From f902fe37f00cc015a1f0c0fd5b4989e8280506e8 Mon Sep 17 00:00:00 2001 From: Imbis Date: Wed, 8 May 2024 22:31:03 +0900 Subject: [PATCH] Init Commit --- .gitignore | 1 + .../74HC595D_datasheet_en_20161227.pdf | Bin 0 -> 273529 bytes Document/Datasheet/DS3231.pdf | Bin 0 -> 843828 bytes Document/Datasheet/DS_A31G12x_Eng.pdf | Bin 0 -> 2547469 bytes .../Datasheet/KCD-HP+sensor+probe+Manual.pdf | 23995 ++++++ Document/Datasheet/UM_A31G12x_Eng.pdf | Bin 0 -> 4761490 bytes Document/Schematic/1.MCU.pdf | Bin 0 -> 123760 bytes Document/Schematic/2.DISPLAY.pdf | Bin 0 -> 114294 bytes Document/Schematic/3.COM_PWR.pdf | Bin 0 -> 113814 bytes .../Specification/이산화탄소센서.ppt | Bin 0 -> 118272 bytes .../A31G12x_Co2_Sensor_Logger.uvguix.Imbis | 1896 + Project/A31G12x_Co2_Sensor_Logger.uvoptx | 916 + Project/A31G12x_Co2_Sensor_Logger.uvprojx | 722 + Project/Application/A31G12x_Interrupt.c | 89 + Project/Application/A31G12x_Interrupt.h | 52 + Project/Application/A31G12x_SystemClock.c | 126 + Project/Application/A31G12x_SystemClock.h | 11 + Project/Application/FATFS/App/fatfs.c | 56 + Project/Application/FATFS/App/fatfs.h | 49 + Project/Application/FATFS/Target/ffconf.h | 275 + .../Application/FATFS/Target/user_diskio.c | 167 + .../Application/FATFS/Target/user_diskio.h | 47 + .../FATFS/Target/user_diskio_spi.c | 551 + .../FATFS/Target/user_diskio_spi.h | 38 + .../Third_Party/FatFs/src/diskio.c | 160 + .../Third_Party/FatFs/src/diskio.h | 80 + .../Middlewares/Third_Party/FatFs/src/ff.c | 4752 ++ .../Middlewares/Third_Party/FatFs/src/ff.h | 364 + .../Third_Party/FatFs/src/ff_gen_drv.c | 132 + .../Third_Party/FatFs/src/ff_gen_drv.h | 88 + .../Third_Party/FatFs/src/integer.h | 33 + .../Third_Party/FatFs/src/option/syscall.c | 119 + Project/Application/action_process.c | 50 + Project/Application/action_process.h | 17 + Project/Application/board_config.h | 70 + Project/Application/buzzer.c | 47 + Project/Application/buzzer.h | 26 + Project/Application/dbg_printf.c | 25 + Project/Application/dbg_printf.h | 9 + Project/Application/define.h | 95 + Project/Application/driver_ds3231.c | 1809 + Project/Application/driver_ds3231.h | 718 + Project/Application/driver_ds3231_basic.c | 383 + Project/Application/driver_ds3231_basic.h | 166 + Project/Application/driver_ds3231_interface.h | 127 + .../driver_ds3231_interface_template.c | 162 + Project/Application/eeprom.c | 206 + Project/Application/eeprom.h | 17 + Project/Application/gpio_i2c.c | 436 + Project/Application/gpio_i2c.h | 134 + Project/Application/gpio_sensor.c | 10 + Project/Application/gpio_sensor.h | 18 + Project/Application/gpio_state_led.c | 83 + Project/Application/gpio_state_led.h | 45 + Project/Application/gpio_switch.c | 127 + Project/Application/gpio_switch.h | 25 + Project/Application/main.c | 74 + Project/Application/main.h | 9 + Project/Application/ring_buffer.c | 114 + Project/Application/ring_buffer.h | 28 + Project/Application/rtc_process.c | 44 + Project/Application/rtc_process.h | 21 + Project/Application/save_file.c | 190 + Project/Application/save_file.h | 10 + Project/Application/segment.c | 583 + Project/Application/segment.h | 97 + Project/Application/segment_74hc595d.c | 220 + Project/Application/segment_74hc595d.h | 46 + Project/Application/spi10.c | 114 + Project/Application/spi10.h | 35 + Project/Application/spi13.c | 107 + Project/Application/spi13.h | 26 + Project/Application/sw_timer.c | 84 + Project/Application/sw_timer.h | 25 + Project/Application/systick_timer.c | 31 + Project/Application/systick_timer.h | 10 + Project/Application/timer12.c | 66 + Project/Application/timer12.h | 13 + Project/Application/uart.h | 36 + Project/Application/uart1.c | 261 + Project/Application/uart1.h | 15 + Project/Application/uart_packet.c | 145 + Project/Application/uart_packet.h | 32 + Project/EventRecorderStub.scvd | 9 + Project/Listings/S_DustSensorView.map | 2476 + Project/Listings/option_a31g12x.lst | 1299 + Project/Listings/startup_a31g12x.lst | 866 + Project/SDK_V2_5_0/Core/cmsis_armcc.h | 734 + Project/SDK_V2_5_0/Core/core_cm0plus.h | 914 + Project/SDK_V2_5_0/Core/core_cmfunc.h | 87 + Project/SDK_V2_5_0/Core/core_cminstr.h | 87 + Project/SDK_V2_5_0/Debugging_Info/A31G12x.SFR | Bin 0 -> 935942 bytes Project/SDK_V2_5_0/Debugging_Info/A31G12x.sfd | 63162 ++++++++++++++++ Project/SDK_V2_5_0/Debugging_Info/A31G12x.svd | 21194 ++++++ Project/SDK_V2_5_0/Device/Startup/A31G12x.h | 23794 ++++++ .../Device/Startup/startup_A31G12x.s | 253 + .../Device/Startup/system_A31G12x.c | 82 + .../Device/Startup/system_A31G12x.h | 72 + .../Drivers/Include/A31G12x_hal_aa_types.h | 264 + .../Drivers/Include/A31G12x_hal_adc.h | 185 + .../Drivers/Include/A31G12x_hal_crc.h | 124 + .../Include/A31G12x_hal_debug_frmwrk.h | 117 + .../Drivers/Include/A31G12x_hal_fmc.h | 104 + .../Drivers/Include/A31G12x_hal_i2cn.h | 130 + .../Drivers/Include/A31G12x_hal_intc.h | 411 + .../Drivers/Include/A31G12x_hal_lcd.h | 165 + .../Drivers/Include/A31G12x_hal_libcfg.h | 85 + .../Drivers/Include/A31G12x_hal_pcu.h | 267 + .../Drivers/Include/A31G12x_hal_pwr.h | 57 + .../Drivers/Include/A31G12x_hal_scu.h | 578 + .../Drivers/Include/A31G12x_hal_sculv.h | 130 + .../Drivers/Include/A31G12x_hal_timer1n.h | 308 + .../Drivers/Include/A31G12x_hal_timer2n.h | 352 + .../Drivers/Include/A31G12x_hal_timer3n.h | 490 + .../Drivers/Include/A31G12x_hal_uartn.h | 223 + .../Drivers/Include/A31G12x_hal_usart1n.h | 241 + .../Drivers/Include/A31G12x_hal_wdt.h | 116 + .../Drivers/Include/A31G12x_hal_wt.h | 154 + .../Drivers/Include/A31G12x_hal_wtidky.h | 68 + .../Drivers/Source/A31G12x_hal_adc.c | 264 + .../Drivers/Source/A31G12x_hal_crc.c | 212 + .../Drivers/Source/A31G12x_hal_debug_frmwrk.c | 438 + .../Drivers/Source/A31G12x_hal_fmc.c | 320 + .../Drivers/Source/A31G12x_hal_i2cn.c | 1110 + .../Drivers/Source/A31G12x_hal_intc.c | 461 + .../Drivers/Source/A31G12x_hal_lcd.c | 151 + .../Drivers/Source/A31G12x_hal_pcu.c | 364 + .../Drivers/Source/A31G12x_hal_pwr.c | 82 + .../Drivers/Source/A31G12x_hal_scu.c | 582 + .../Drivers/Source/A31G12x_hal_sculv.c | 80 + .../Drivers/Source/A31G12x_hal_timer1n.c | 360 + .../Drivers/Source/A31G12x_hal_timer2n.c | 357 + .../Drivers/Source/A31G12x_hal_timer3n.c | 498 + .../Drivers/Source/A31G12x_hal_uartn.c | 671 + .../Drivers/Source/A31G12x_hal_usart1n.c | 781 + .../Drivers/Source/A31G12x_hal_wdt.c | 243 + .../Drivers/Source/A31G12x_hal_wt.c | 188 + .../SDK_V2_5_0/FlashLoader/A31G12x_CFG.FLM | Bin 0 -> 15028 bytes .../SDK_V2_5_0/FlashLoader/A31G12x_FLASH.FLM | Bin 0 -> 14884 bytes Project/SDK_V2_5_0/INI/RAM.ini | 25 + Project/SDK_V2_5_0/INI/ROM.ini | 25 + Project/SDK_V2_5_0/Option/option_A31G12x.s | 268 + 142 files changed, 169038 insertions(+) create mode 100644 .gitignore create mode 100644 Document/Datasheet/74HC595D_datasheet_en_20161227.pdf create mode 100644 Document/Datasheet/DS3231.pdf create mode 100644 Document/Datasheet/DS_A31G12x_Eng.pdf create mode 100644 Document/Datasheet/KCD-HP+sensor+probe+Manual.pdf create mode 100644 Document/Datasheet/UM_A31G12x_Eng.pdf create mode 100644 Document/Schematic/1.MCU.pdf create mode 100644 Document/Schematic/2.DISPLAY.pdf create mode 100644 Document/Schematic/3.COM_PWR.pdf create mode 100644 Document/Specification/이산화탄소센서.ppt create mode 100644 Project/A31G12x_Co2_Sensor_Logger.uvguix.Imbis create mode 100644 Project/A31G12x_Co2_Sensor_Logger.uvoptx create mode 100644 Project/A31G12x_Co2_Sensor_Logger.uvprojx create mode 100644 Project/Application/A31G12x_Interrupt.c create mode 100644 Project/Application/A31G12x_Interrupt.h create mode 100644 Project/Application/A31G12x_SystemClock.c create mode 100644 Project/Application/A31G12x_SystemClock.h create mode 100644 Project/Application/FATFS/App/fatfs.c create mode 100644 Project/Application/FATFS/App/fatfs.h create mode 100644 Project/Application/FATFS/Target/ffconf.h create mode 100644 Project/Application/FATFS/Target/user_diskio.c create mode 100644 Project/Application/FATFS/Target/user_diskio.h create mode 100644 Project/Application/FATFS/Target/user_diskio_spi.c create mode 100644 Project/Application/FATFS/Target/user_diskio_spi.h create mode 100644 Project/Application/Middlewares/Third_Party/FatFs/src/diskio.c create mode 100644 Project/Application/Middlewares/Third_Party/FatFs/src/diskio.h create mode 100644 Project/Application/Middlewares/Third_Party/FatFs/src/ff.c create mode 100644 Project/Application/Middlewares/Third_Party/FatFs/src/ff.h create mode 100644 Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.c create mode 100644 Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.h create mode 100644 Project/Application/Middlewares/Third_Party/FatFs/src/integer.h create mode 100644 Project/Application/Middlewares/Third_Party/FatFs/src/option/syscall.c create mode 100644 Project/Application/action_process.c create mode 100644 Project/Application/action_process.h create mode 100644 Project/Application/board_config.h create mode 100644 Project/Application/buzzer.c create mode 100644 Project/Application/buzzer.h create mode 100644 Project/Application/dbg_printf.c create mode 100644 Project/Application/dbg_printf.h create mode 100644 Project/Application/define.h create mode 100644 Project/Application/driver_ds3231.c create mode 100644 Project/Application/driver_ds3231.h create mode 100644 Project/Application/driver_ds3231_basic.c create mode 100644 Project/Application/driver_ds3231_basic.h create mode 100644 Project/Application/driver_ds3231_interface.h create mode 100644 Project/Application/driver_ds3231_interface_template.c create mode 100644 Project/Application/eeprom.c create mode 100644 Project/Application/eeprom.h create mode 100644 Project/Application/gpio_i2c.c create mode 100644 Project/Application/gpio_i2c.h create mode 100644 Project/Application/gpio_sensor.c create mode 100644 Project/Application/gpio_sensor.h create mode 100644 Project/Application/gpio_state_led.c create mode 100644 Project/Application/gpio_state_led.h create mode 100644 Project/Application/gpio_switch.c create mode 100644 Project/Application/gpio_switch.h create mode 100644 Project/Application/main.c create mode 100644 Project/Application/main.h create mode 100644 Project/Application/ring_buffer.c create mode 100644 Project/Application/ring_buffer.h create mode 100644 Project/Application/rtc_process.c create mode 100644 Project/Application/rtc_process.h create mode 100644 Project/Application/save_file.c create mode 100644 Project/Application/save_file.h create mode 100644 Project/Application/segment.c create mode 100644 Project/Application/segment.h create mode 100644 Project/Application/segment_74hc595d.c create mode 100644 Project/Application/segment_74hc595d.h create mode 100644 Project/Application/spi10.c create mode 100644 Project/Application/spi10.h create mode 100644 Project/Application/spi13.c create mode 100644 Project/Application/spi13.h create mode 100644 Project/Application/sw_timer.c create mode 100644 Project/Application/sw_timer.h create mode 100644 Project/Application/systick_timer.c create mode 100644 Project/Application/systick_timer.h create mode 100644 Project/Application/timer12.c create mode 100644 Project/Application/timer12.h create mode 100644 Project/Application/uart.h create mode 100644 Project/Application/uart1.c create mode 100644 Project/Application/uart1.h create mode 100644 Project/Application/uart_packet.c create mode 100644 Project/Application/uart_packet.h create mode 100644 Project/EventRecorderStub.scvd create mode 100644 Project/Listings/S_DustSensorView.map create mode 100644 Project/Listings/option_a31g12x.lst create mode 100644 Project/Listings/startup_a31g12x.lst create mode 100644 Project/SDK_V2_5_0/Core/cmsis_armcc.h create mode 100644 Project/SDK_V2_5_0/Core/core_cm0plus.h create mode 100644 Project/SDK_V2_5_0/Core/core_cmfunc.h create mode 100644 Project/SDK_V2_5_0/Core/core_cminstr.h create mode 100644 Project/SDK_V2_5_0/Debugging_Info/A31G12x.SFR create mode 100644 Project/SDK_V2_5_0/Debugging_Info/A31G12x.sfd create mode 100644 Project/SDK_V2_5_0/Debugging_Info/A31G12x.svd create mode 100644 Project/SDK_V2_5_0/Device/Startup/A31G12x.h create mode 100644 Project/SDK_V2_5_0/Device/Startup/startup_A31G12x.s create mode 100644 Project/SDK_V2_5_0/Device/Startup/system_A31G12x.c create mode 100644 Project/SDK_V2_5_0/Device/Startup/system_A31G12x.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_aa_types.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_adc.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_crc.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_debug_frmwrk.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_fmc.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_i2cn.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_intc.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_lcd.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_libcfg.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pcu.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pwr.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_scu.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_sculv.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer1n.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer2n.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer3n.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_uartn.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_usart1n.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wdt.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wt.h create mode 100644 Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wtidky.h create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_adc.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_crc.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_debug_frmwrk.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_fmc.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_i2cn.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_intc.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_lcd.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pcu.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pwr.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_scu.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_sculv.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer1n.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer2n.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer3n.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_uartn.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_usart1n.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wdt.c create mode 100644 Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wt.c create mode 100644 Project/SDK_V2_5_0/FlashLoader/A31G12x_CFG.FLM create mode 100644 Project/SDK_V2_5_0/FlashLoader/A31G12x_FLASH.FLM create mode 100644 Project/SDK_V2_5_0/INI/RAM.ini create mode 100644 Project/SDK_V2_5_0/INI/ROM.ini create mode 100644 Project/SDK_V2_5_0/Option/option_A31G12x.s diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..8c4f3b3 --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +Project/Objects diff --git a/Document/Datasheet/74HC595D_datasheet_en_20161227.pdf b/Document/Datasheet/74HC595D_datasheet_en_20161227.pdf new file mode 100644 index 0000000000000000000000000000000000000000..5c03ea5bbbfc0e2fb799ceadd0b6b115037925ce GIT binary patch literal 273529 zcmb5W1yEeu(l(5{1`js4%P_#;1b26LcXxMp4=xEF+#!Jg!Gi}6!QGv}2f63H_x|U+ zUw!rODrWE1dr7bEr@L3x@C=ors5ldlnH`C0b7Nu(i4zQ91vnU6Bk}PevB+B4n;AJ< zd7GI6fXu8&ED8Yd%cmqj2LNQ}<_3VcIRSbvy#Q=r5C3u`ZCC^Y6A|;{<~KDPsfw z-7ajL+^k%G*AL9j`j2(N99-Og>&L;)4gULB4h|sjAN@EuxnD5-di@(O9Goxs{f7($ z`n!H$P7uf6Z3X6J|A#JMPB8c1{RZab=J@+Qz+m7%*8p>I|J|lsFWdP0Sgse0|5FC~ zhd;Q0oSc8R3l|W~{&yR2gE;@8AM2m6{{PyF70C79IB<0~va&UE{*xY5UNQsV1x`pT z$_@^$0L~Ya0+e5}fW3*cm*Y$OMUB_Cl&B6L7duEujGL8}OIREzDlE<=!p^}36l3M! z78U^k#n?p!{@*=bGL4kIxdVXn#Y?Z#Dmt6F|4A?}CVjbHlZ=b2k+bWcgu#kLMJ1*n zj`T-|f2A`PaVuL_GiMeRS0j5C?-6A}S(vI~P=lo#ga6cHC?XXOy*;uaPc28q1- zmrY0jiA9Z%U6@@IBmx4ni*tauUecg2w+Ki~Oo)p^7|g-V&Bo34VhMIJ4l#DI7i`qJhU7Z(>|1+sFzOri*2dYO*>zq02a3;(qnRWC;~77-&?BU=Xx7DXcqGZz5o z3yuKF|4Ft$;0t*FO6Dvo01giJ|FMC%t&yvlsF{g_soB3b|C&AijCOH#HZ!tAvPH6% zK8zO+EVsN4B&?pAfQ~W1NYj;+ZCjEYhSZ(F(0|K-SNE0CcMIp*do|7eo111)xX$NK z7ta!fTY&c?kt-kL_?zEC!>N^UIxO}zq;Je-No21e(--hHlFBlD51&x!WZ6%QP>=)? zF6C>PW_PY&t!(M`$F!YPVR4UE;zi3#S@@%ve+)h>I+|=)FH5T!PPT~6HaWa&#A20H zWC*}&qQC30dcyV4pRa$Ed)|fqt`k$}Ru)W_XYw7FdsU7~fydXvRUek=18<1?3>_rA zQ(UD1C$}D_^L`#{&U52(lKP={0k&{h^DZKkzPgExo8x~9 z-)j#4cU}GCyH}sRM2?w@gPXI-i}(2WULfsYqGIL>cr6wHWfpM7fV$jTyYV*y;{1QXI0yuO z8SIN~?x1ZZm_YIRF)k)8 zwu>p1iCUl;z=`a1VHA<08_RHU@NR(tj7kz_F^w$WC8O9qgAIPt(HGZM_Vvzn-VxaG z4QB6P3@R0)lB2eg+Fz*HzmNV-(Te8mhhB85ua#ettVJ=3_~uS0#*LZ$Sq40spDZ;X zXPmEzqFYZ85A1n9wAYgkcEG{b(7609#cSqBRP30OOm_!_qI!F(f+g-lgdDSUzw%9p zKbcOpy6c@_6l=IM-UbzTTg-j+*T=S4MYJud>ci6(!8AS@HD2-KY~|?c;0yr2986wfOH||KiIqh|2c*LW=F$TIIdwoF&`UjeedgBT zcsUdP^`{451HHCi?i~Lk*8_mKbiizEdH@d4Uv~~2P9RY4rRe>q518#=5e|E<50(c7-0Emr6 z=rvegvIra3OM!5dG_$g>d`SUd@Lyr%3SfFU-~O?S@N33k;(R&4F@e}P0Bo;^Bp@p* zr{15p@J6y%A0n_hlvOlM3Xq}S_Yf3*+A!Jk5~`O(TZLNPRt<#dc!a z;8J&JkcSV%F9{v<@lx8soF(ui7Y+im7(a46{pMedT3JAzd;Z08J0lt5;I>0I)0%PK z{WiS{&C6L2S9ZENgCZ#KEd(+`3`zc`0Zz{b+29!#)1>lyv72RJI80kY-#kVRUL|-#LiMW$Cs`?iTAJKdBQi+Fj zQrTbrf?*Q*q+9%BJ^ESimg$=J2Ar+2dr!sUi`Z~7*Z$>`(Y(6wQ$;jC65iWGv9fEF z-cO>rr0o)`P%a2p+oYx)r$`&wn#Azr7^@ba0)1OeFv7OJTQ#1eqi);kY2kafFL*TB zrtjWDxN>`dNK8)2lI|hReHuuR-W?q`0KSf?G~X^CMQFNlL2s$!q@Re#7=0U5azs2y zAYWIhv)lsf|5lXo`A|6|En0_>*yUX685aiWN+8XfrW`*mJ1SkoXmfhrTod^(8=1IpUKgR;v#l?R29cS#PB<*F`Iwi{|#> zF4ZAPcAjh`b5=NHnZjb2{$mDEH#v&=Lop`s5|xZ{G9%qFHp z=Pn>4LKod?Ytl%Kf>Pf`cKeJ)TJEzO`mo=)Xje}ti8zP9-hL=sPsw1tX!C~=P>DrW zAOkYZ7M_U>in*Cp%?5F3iCuogmxeK5?bdP~TWUc5IJ*wd6g*E$JT<1CY8-UBUlq&M z@;*@i8-ot$tYe?hzjJG7R$FS0TGmg!Feav$I%u%#lw)}r8}*%?%{;-UWA-^PO%P;^ z1t@VmiE;alnn`<<@|e#fC7VZD|6XW$&$4zw)6|&cPQI5S9o(C#AIaU=O^*WBPY=ko zy2rP}{zi8^41pY>x5q50T|dv?khK?p6Y%8^T;OEyrZ1VsZEb1Zd(?qNDpdrq-_$xQ zlI0}S9x~2!qvDJjz6)6Hpxf3f?*5>4e@ls`&o|*(w*ic zM{9mnt=`^D5u5+`l!KOW3-v`~gitzquVKd2Y~*z6skTkt>pNa30Nubx>qfj#7-FDa zB6>UcQrSDC)O>dzLqp0GnHk$DlZn1w!1aL{1IBRg7Mt4TLnNx@eb33oYa7w*Ke8LPaPsm6${1JgMbontm zd?WWezXqocr5a4~4L*Dd4+Iq;74OqI<&cV5a1BnOB?VYHZz zB8b3@#D;d*XJw6Z(l1OpRu&x&>ex~XPM_mpRJRTk)Muy+W40?@6my<6AuG>&G4kh2 zXp~NF58%Zu91%Guy4hVf&r-FhLtDRU==!kA zhboyn2d9U|OOie;CW}9Ai`-SDP8Y-L$~2tq9i}W3qa6a{lwnq}kpOPVAFt#+Vt2qz z)|Hu8jufO65&VHuDoU$P!%~O!*@TWul?fI((du{g?Lv<(Ope6hnst$~R~tH9B;X zPzM4(D?7BqqehdVx4SVt3JB)XaIXETs1=T} zFGL?y*2ZkBf?~!kuD{25(E3qM&_)C2mNI>Jw&l7MGd-Y`@YSbw*JM&glnMy0EVS<4 za_B;+T%;&wsw*Uy#UNSaEwRh;Jj^8s($WGcZLM=elqoABPoV8 z3Qbt;!l20#NrZHY5_X6T`Z#wMADCwOErJhW1K9l5Fc7W6cWHq1NDz8df$%GrX<_Y6 zSkD}qzxFBa(JJW{bAt`TaWHiLQ`B)*caRtj=}&uq{y}xICQ|b7`=m2@{rfSA_sBxo z8qgQj59tU=50FBz<6>u^{mUT~nY5kJJ-^fR&z>g6*^rK;>&_J4x8{6owLB0an=LXz z;C|vwyG_(&2rYjs*uUo{hvrwH)ChVi)V!W7Ld*}gbVeSm%u{{?`R<1<>v#_aO&7`X zZsi)3JLM*a+%zNwL=vfmjl<-4#Zq>BJ%J1NdDkS;8L`gw@3%30BcZeHzx_2wRPBcb z(Y}&+slPGCfX(G;d*=CqEzP05>k#xgvQolxh`dNq9{+=eH%)*LCuv(F+Z5B(hi|58 zLcXz3TJ&VTE1AXcNUH3Xm-4tL&!69}sYf(@9bEh<%G1_rQ^C1bqUpoCbFz~DlLrOL za9iV%{=mA~2+=PJ*P#|_5OOdi_Wg6c-c}7i?PI*RGo$q~L{4V9m<(LBN&4@ia@thL zq%^cQr~93R#LZk(91;g)0q(y9@_(0$J)WWq<$NPd;?MkCN_|9u*Dp&P1_PbvN{Ez` z@}@4^aZ7{U!CiGF#1c#ps=?lP-e&`z{Wfho6mu#> zc4L@$kC;5BjpEac$xz*IR;b7nperN1SgaAf%wd-<8kU6);?Ci0g*GR)$j`Jj|1j{Gi1{M5}7PI^YEZr@FVTpj)v$D$jw?FTg>cuSc3+5n2~7 zzHpNx@}Mt!i;{Xx6>Jh16gPLh`1PyG=88vx**BKHQet^XsUY+4@6!9&`SM7RckF(b zQpmmN5-b*{gp>A(MIpDD_1uEfVg>159oUv5KLk4b5Z>_c1>$zv1qQCTN$5VkOC_t; zZsJ(bkjk@fJTgpv?lj^*`BDadN98cwzj5>NW3G?RS}i>L$Jhz(UHUWV6*5@%WP<%o zCPenAd$KCAG`98#JnMwFjIrhihgyLucg@D_^iXpo`O8N22>q%h%vfx%rAM!oEZJM*P0#@kX5+ zW~)yzLO4-J8Ny*8OfOK4ral;28r9Z(N47}8V5N18#2PL1=dU5wM0b6Z9p=G=69tNx zXlB*b(sy?ioImZw&N_vK!`T^lieU))zx-TXCOL%{l+N`173(t>W*S$BkNT&0~() zcIf!K8+=jR4^+7@XeITVF-9F{wj* zRozS9H4d9}=#cQg!47qjurz+)RXuu0YOe7IGB+*|I|cFJwL#N1(Hf^QMctoS6P6xe zcdL`wF)-S+PV%Aj?F0HH^V5}z?jxJ-;hTyyIV$*-{YvmzK|EuQI`O{|FYpcSTE%}& z%MVNAGjYIOPN=zb5UgBlv4fL`q+EYCpw+e@-H`9%G*avrFS$;A1PfHLYThdsY;YM(^ zaoi%yU*SIq85A&}?q9ToK(J952`Mu*_d$-ab|);t@%iQPpQJk|2>T{KQg{fh$w}k6 zDXmgfDJl`T8U6^^ho31}(0!YDv)6YP)RLBXc>iOj1t(Kfe=2n43c?|F=F&}gF@w6l zOWX^Ag9UyhymxL?`zDbx>leQKV(OP}n7mWY^ud-8r~$eB1ihdjMHK~|G<`ci7Fc;$ zV`$BlZpz+t1disi!0H4zn1uS}QWmyr4BsQ5M+;m%(I&YgaUxvy2cfL&BvI?n@17vm z7z5NJZEZyE1~?tsfXQZ)A;%?s-9B*+20D@|+O=!>H0VTZ28>de#c(~({_GfdI!E}P zTO(8O=Pf$6@?`KJr~RV}hS}dzHbiye_+#(u`__f~1;nh4XaNg;rML#_foozLJ2P%q zdwLp-Rfi_U_G6Q)E$@=UR7-Q&4gCUgbQXV za+8_mOyYsKUjON*h|=n?Px(+59x7d`dp_oeYkr9raM{Cs^< z1hMr_!L~|%-j{YFqK&6G>oODcnXb_$rF4o1`nkGAINF-Q1;%6oxJ@QLyAFZmiL-)5 zeE3^x{Uao~l~Ik}JI%Wr@lL~=kDei*^x-M_%k`ac;H)d$z_foBd)nRoqXl^Kb_ac+ zZt=@6fpJR+BB2BPw>zUOog`Uhq3;m`HlKvm^+tnwwdlw6NK%{DNqTKb-BU8+6;H4V z^%H{~$9C}t;8KDtd>A=~FK2W$>acWKv=}1{_zpH?4pQFa|L$ap>O#5ELcK|2&6+7z z$2%dG7L3y6XbUDrt~fJ9=@La{T0p;hYS;rWJ+4K9Jm41@v*CD&<82|=0Q*Q4KBlVm?clr^xx9*|;9=p7>fIowo3K0PgL z&n-#(=E{T~sJnw7=6tjJFr^hHPl~4@J%bQMo#`LHKYcW;J6~;Z(MyuKe5wprdDxu2?@8i z)+^*Jx`Xf}6m?KX_vMBJoK=z1gyx+Gw>3u(uSP-_X~GmOi#J7o_-(IFx+a z#50|X)tS;8;vG%qBoc>@i23_8Vr@u4c)YPz-li7OfoL)Hz}ZN|s5$lD;wG#I)0e8} zX{jB?WqW{QXbvV47+1~fDoOv#?t9jPfR+sp^jKmU)hk9Aio|@?1N`aloQS9$$}8(A zZCjXnK6z?$5pSF&)=fNEYU4>A({j@b*c88(KKdjo*BDN%>sP=l9Y6@<(LL}xzh}D` zZ$lVSbpn;c$|mNJ|8ld~@H$|hcIGRYoh68aR@Y+6$T>RfVir~J^=GonH#|>b({^jm zus>H!o|L`y8bOt=-dNPnim(EOpKbiAPHXY(c8$CaNZ5z>j3*m^4hq=uuU*ycHl zV?lgYr21r{ab%WU1-n`8aZl8fQllet_2rkVQ9y=+^SG$KSdQ*D&DsxNm|Gi%5_*wT zf5LIx`BOlqeZxEjLy#}Hnp7{iX9nEHr6$Zn>}Xb@RKdL2!X*&?!Ls-p_5P%)MfMBR z#f1Ifh$4>oL?7kjOv_+Sf1TU0_t+98$gaw{lVp!cIN**mNJV#^OVl+@XHV7%v)T(i zlgiItR+A~4PCLD%UkGR-phv=Nj1l6BU+}@FjD#SXqo76Bmde~8b-%Z(59h71*$laY z7+%stLEZr3lTHT;yCKQ_ifYc}&QD@-egV*eIhwn9<6>toG6uSKpiVHX<@-E~F>YRc zd{Ykf@d?p z&-c46y~4h{PP%}QYZJEeqX(%pUvflNY3&Q3BJN2tDAD3I`G};IewR$>L9Fw#b z-}1-P4Et+-pxZ8WBJZQsmTcK^oU5j&_4(oq-KxT4!b*FPl-P633Ka@fjgyfh!c`?o zGFsTiD1;K7x@V1jRGhKOa)2mcF(R?1g|QbBFe-vGYsiT3&UIsi8^ZUK}4$~<{f-`uogD$lV3pAttujHAlu`&|Tyk$9-7adDGd zF~h;7Mz>o{_+wZ}o;~!AA(I5o)~%{dO{(RQ0a>~ZAgQH9N;;s(DG_fQzFhnF)NFiR z-82do65_<#@cn|{(%g0V2V`?>#tjzxNdj1uDwc_$f%@EgRWrf&S|iSU$?|&o_I_WC z8zqhazS5RFn}Ox!5$lFTP)6&WLg-QnWmPu34n~)uX1%n{$c0b_NPdQZcq>-w^|sVJ z8YZk6Ds|2J{Ol30y!b|4lL(IoN9H#0Kuw|p!cBROO?kcJ)=Ji*X3bl6jn zsb!-)7(QyWBx4x3o;y2nanYxl8u5= zbhOrWl-Y;UbGeL5oJv~>wKI4Z|FJOW-bB;U3P_Hpu01qQgldMRRE`#tosXTa?Eqg) z3?rVwEoH1kJ&s_Fk0~lYNk323llGT!td=N)AmVU>R|KpDzn`(``*iqaJSvMHV;fA= z20p7kT6l*G1UijGZ}E9=ymOoWmpc}tKcJ|#8$ z-cDEd%DYWH?`Q*`7iRmqhfAtd|5NjHPD!M(eZ1ZGU^W+e=%3Mg7%5AS9WFc0qhX=a zvKFL;cLr>tFhb6ZbQLz)b8YiEnp+r|3pSKgaX`og8 zq#*q{11z9n-QFT~B2gtr@tA+}5kjo330!1O7rd_a^^Dl6)Ua!9wcAG*Fk&H8*|T!B zKOH{W{k0k-p{@kaCPRW(l~IqU57AIGYMY7^$8ZS0qYar%fOaXXYoZM?>=kx@=Ek`4 z0N0HB9AjUrV+9s#+6b(w_rE5jfsJ&EKbG{Eb|9z3S#o!rn|EUwbH7x+pT}k$7C(kW z$^#vZcIL|e>_=4#@@%bXjeWYt>#+@4t-}g&RlL@U9BjNY+-EFSUBk}Yf}66hfjQPlKD z(Q>~_BKTB`cwy4la~NCPxM;luIdJ>q=~gO0U=Y%;y!#0sflRf+=SBn>rlG#D`waJp?HrWv;8|ae;6d4GYC1?ixO~%sb zaPNpMn>sWaTsgNHwH(v?%-__GqpzNeT;3co2(&Ua@8cDt8$2asWl^`DNafyUPV;!+ zI~n-UG0fxH>-%P1)2Uk7oAdz|nOAB#Gq0@dax=j4%LJ264!k6?H4W@IL!nIrhAq>L zw(NW^ZRKwtP!F^(c-Bhi$bgv6ye`YvK4~Gn+5a944TBI7#7ovOMO}1dgc)MJK*8v9DC$2BQPs3>^8lFBy!N<=!n+P& zX1B+Yb20@9N_m-Dm!r^%IaqbB-33MjPQVcQ@Mo-L1wygB3zUMqfEgYI&PGMY^LociOom`t_=D5(JJ4^{|4cN{I-*kS#Wvuj2De!IP~|XVqy#Y zmRQsmr}mpb%HC(O?+&x9abBZv*)XMiaM(5VON_fk&wU+QUv`7lAQ+kW z#apic#q;j7q4Xv3v~b{N+Z;|n_o^(I>^V|?^=FQ5`~$H>`Z`vL|`tfT^C791YtQCoO;ch|Z?>&s&MvqPAgc|NJavrziuSE}_d9~A9!hBB)RQ7(ddkYRJz9$Xn)C0(_Q!Mk zRu0|f4qLN>E(zV)lgnWgiZ(kPi_eNWdw(}^*5!%U= z{wX$E&cGY^I>rSBjXn}G3K4vVtafbeWwo%K6@>y$ouAR zFd(}?dLd@Yz5F7cM)Jy3b+6CPbvU#GRn@^8cpLB9=Z^@rmmMixYmzQE&IJ{AHNvOj zejM&T6L?_?v=b&cM5Bw*@@&**H5z_E>mrs!rdj%yrzP9)6!;@Q!Nx>%a=9xGQ^%k@ z>GU9kgs7Pgm$pNGcW;NW1W$z*ol6!z$YdMo!QxaiQ+@Ay8^L<6V8OY0We?lYD*UKl z_)tP(o}M3JzQt9jij)QAB5as;~qpuTrZbL&dC3hx+tI9SS{+k4qu zcY?6+vpOyOgV?{&pyf9X-DVM3t|ER8556@ufH(w`vU74Oc-Z_9eP9#qu@S0yA95yu zP^-r1F7{p5=#uFB6xdl=M(n#G?{v&rANqQ6z2}4F4Ar@0Ppu9b`BvuM;{lA2{TGwe zo|rhei7(?}t~snK8RWZaH1^)@vdQ6HFqN3rS*!>Wn|Ip^O8BUfiAGJ2~3DQ~KIi$nR zu9{C}`-C3`CSlwg=PUXQK1{x_*_D9E-E!TEbkq=Jed(7dce!KQY~i+{Liwo+O2q)F z6bKZEI$FZ)b4AVemawOYuQHwC&Jx?2fN{V5@4Sh4vxpz38fd7yw{4TWk3Wia#STQG zeKvK=E8Q5Q@me+UBVlb$SrcISo%+6*f8$Po|8}nluRQtZLW!HORZCE)iGxK`dQ-66 z&i5{_r3h((!%J5O?q8Z?deqBs&3dhj(wJX3H|EF98wDd#OA~NqVPFj)ETOXI=a-F> z_JT)TpX?j;5`}X;mc8tn_Y-JsWKCS##EdiQNPk?FvOA4n*qq2FQ1WBtBP7kw_`Ydr z{}}2d5-MknP2ox#t|)S0!g=p0!|7@~EOv{E)`NUqw4eEqJQ;4YLJySXp0)AC#9pTP z!i?vyLw!Dhj%QleCm?+ufr#L-9=p~RPl+ev6m{W~R$Hvwi&!n^z&S|iZ(ZY-^gw#l zKj7ZdX+HHpB>;eVAS-B0bhQ(|Sxd)$1G|ojUOjxE;8h^8hNv3n9DKm&|HYx+rf;UO zg(!P(49{6*YVnZMw(|qj{4hU1Y5(+1oSj;C!X&#fuhlX_iR%#L+4rk!v0E7kQg3uT z1KY#w_@|rw?!3Za*4fyEYu#_(JPETvVaJH&*<_`ogzX46{OVTNmXL{g^+qC6`%0s% zmS-^aP4rco^V`5EG9mx!uXSl=1n;s!&w%asqCz}L(c4_S<-i z4U1Cc07(&JrZ*|mXZG){%c8tvv}O5Im7L!TD20)H5vzaY*hS^PwO5Dep)-a!WV_^9hue|0^3owNFXzt($)rsLv$8;%meNbcK zd4ASj`xJ-;_{H{1Rsl>tO6LxVp`AuuhuXuY^Hiv$#Qc+e_oEb%d|?%~rB6aPVO&~V zlb7?Lp{%gE&c~MaFw`pFnyJEH1n0&E0s5NUos-*Ot@tka2P4Le&?P0pxN-arJD12$ zexGT-t3|fDLs$gF zE;oKYsv8DjU?o*b2Ig_N>nB8lo_%uh*$J$jK$8j|%z(6?%5&T0aj?S$&QOV2A4x^% zf_aIqJFc;&x-1eF*oX_8^Qx{6^mHh?cI;PIWP4477~3=%LsHg2H9<_979Uy*83vT3 zAlmWYwhGa2f=FQH(^XrCWB5)xqm5RfL{E&{A!+Tc$$3Y~b*9)#IO39^mhFmx zv-I-?c9Ktmm8~OU(?AA@hXwBjL-wi~6m8swq7!YIO#dhI!GW)Z5-O>7wcj8%q!x~X zR_7!x5QRe=1^X9WrJ%_fK0MEU8~|lA#_fk~2>AJ?3uZ@JRY_2!@E6(Iapt~pcPS9E zdfO~+eu-}W(Wey5)m})+)_y;nS##O)Ih=jlO0>@k<^DsQlMDA+2~R#>Zf<2UmuNTK z>{@>eF2!sfQF-Q`v_M)fv@Q=Uh|e$(K&DVPjyGkv=~asnzhERr@T`xh9?7P`jm7?} zB1hDXK6&d=QZ&vGcfzo$?UGLNSRQ4PN5HW%oc-(rah>ATFt$Ltsf&_7 zIRZW|e*9U#t!~IN;C%^q05f8dN8!z!?0{t{`w(~4tj&MS1EfsQcDT594dgZI-n;(X z%%3Aqv~nPfl3{99<#SWr;YIS92Trj8_&GiOII9b(W7_kU8>4C}a^ffmo|Cn2*b8h+ zTW1A~;Soj+U^Fl=VZ3Ey_?bgrRy2w?NOVM2@7NQJ28C;%bTH&D-oViqDYsfsAfcwA zc)7qX0^()}OrY%ZLNQ_g2<^RK=K1qbM=nnvCWJ96-@eXwL-r11i5+dNGKn}qKEAz# zw%e&aNc`3*{m1$HVYmW2ex@P5F?$U5<6UHPy@~lyGMC-Ld7XPW>WN0l?w4aBB0Pq;habBp+~{x|AE8h)Ca3>OT39IN+ah?3AuDbt zdZS`)3qC}Xk``5>Rt3(#wCTuIA^R?niCHxTsgvCW0=zj&`F^p?-S20NnP*#5toriu z5hSwZx!?>))PV4|&o(XTk7l%W=`pToy9PDJz!#t$pFM+3Bm zOC28{&nS0jE`M3-_Fs(`-6KD*CYw!%TbIHSgsq&R?DgmvWZ`@6DL(Nw>28-F0j zxrEL(+QvlJEZ4zpiqs&eXWdo$F*DD$J;ut${eHIU)=;*;kGpBHYR+aI;RbTV>w5@7 za}0H{>blkV9QXd-nzx^IZNdOfD`Va@eLy`Hd2N_eNY-RJTx!4+_Se8qAZ|U;FFGGp z*5+8EX$EmA^+rKmvpQUZQKH7K#|*ZJlYailc5TCJUl<7WePT0id!vjr@ zt1>G^Kec4rvO$zOf01r(3C2$6mq`0`Cn{mIXd?G@BVG4;TN-?LPmIC=2u(K2uFTZ<)&3{5PxzYF+pf7 zYZbaJqub+W%;DMjtE(Yd3KswHzS|E5R9AhLyoY+POVidzmlSI~^cmRcU=ZB=)jfS~c4*9t zgf_z-&s$cyP@{0Se!2uc`N(xX$o+;Er_s-2G zQ4fOAwM!89iuL)EQ=Z35DqMzEbCB?~%~H#3i{T6i-*LnbCX}4N=8iK=7~H(o^xNe< z7Mz1}P{tM;kICG2(5m&k@NFI$87+)A<#unFAZShe1o1J(&nwAeb8HKn9Q9Y2@PZ=H zMZp??K7AD+UkMbq*K01&ac{V;B~Kp{GPze=#^LSqJ75;c5l*sxTgQ+`N$p8olp^Aq z&1r-7wEg%wrG2tfXRcc*SKE3BHKk+!dr;=rPMVhjP73Smq#ig2@LaCv64jKpjep=$ z6duXoRY00(@@h#JbYW=S?wF~!sz(s3jl?xyU*?M(Q!Q68*={nG9`)4e7XX)*!K<1l z$AvJkk*`PRfuNWpAJ)#J@;}ww+@3L##5g<=0Yae%dJQCGCX#|hdNxId9DF}lNxTQAqKVL(B-#2_ow)1W$?P9!VrpI30PZUQj`(gfEcnZLcRfKR1QLA#*?IZYUIYNLGmyT!JDqm zqo5ij=fT}nDNH4TNj}lqFl?i+vKiS;MJ8z-x+GvZBFJ+(%#s^H6>KU`kL}_&RX$Ve ziYQN|`mO--!$R}wEHR=M@n&Y4;~o{O>FkqVg6fpl6ACRj&mMP@tze^)Y|ylfdM9&a zFq<_Y%7rrsrQq3ENhI5Pl{z{Z=6@2DO^mk=ot_Y#JZXf*WOjm=2MW4rO&shSZ0_AB0XFVMZ}`CKxS(Bksk-+_8=pOUkp_uvBF47CQd`d=~NathN_8LDzY8UBv9$)BSOEg9%J z>axb$goPy-ppcnJdYBbE)r&AE`4T7E<)+0#$94&(upEnT2~E=lFKu$9e>EsimLnoh zpS6Q)_h;0RV4fdiv-+gNqjva2sXF&00~DYpjk9g8185zVFENM^ngD1=VKK{%i^F`o z-kKG$xOtvTfev)P4L;2-e;A^dEQu&`DO}>{7)WbF;rWqa^@bx-$M@#e0r^Bp?wq;m=z6ISOW}!;Z|_MPu7; z{esTk_n5}^f!Ku!!y1qAN@;MYf#;l+ma}LSSTV2ynG>M#oge218pVpM^5PyvKIDC5%5kX6-kP;A{&|KPdBHx9Ucsmy|anSa?JT7pZRy?$l98}8fd?)o2rYtp7`Cz_Ro{-zBSX%MR?`A^g3Qd!VHZ+ zB}u6`)uWDcg^|@`;+Sa}c+zzXKc#hjr9BVz+i-kmsqAsqV$m0C`{CMyR5L>dOW7BZ zur78mOjPgU>==PX3Scl#kYaz=xexz*fsqv|B7Qru64W6V{We>2z<@mh)xWr?jwr45 zTk|eDb$oC!5td7bkXcJvV664!f^sI_v*u_y-q}%%Wc)>~p+`8b``yhp=)_2p5K&}t z$=bmC9#_FaIb0q;Y1kf`d@5%Znedj51SfQ2t#i}02-k|WLvHJ^@wb)pm9fks{7qw} zR|dnA^T>YR!%qb9%QQ?EjS>R8XIl{O&0uQ_Ni;qi3b#US13|XtIp8rV&%#M4T!B7M ztH(m)L5m!T1lop2*lxdLdktC^_T~Fky0u3pSw*TCZ<5xvnmOS$!oV3xuV$MM!Vn`N z5f1YilZQvjF>k=T9yh3}{=bwn%x#g|-eZ;|X5zUsy&Kx<)dkjiNwiDp1dHDjy~kOj z=yh0SZ1+_MqIZomKgC0^=Ty?Rfma}>7O<_M_M2sZBhawCB^ontqszn_wv!1E zqR%0;nL@$je;efim$PC2?1e*c*S#|MHuN2)YTDQrCY7?9Y@<&74Jn^K(3%qgLqgkH` z7WbF{G1%@l#;*|&VI2{UGOqP_33ELn9Pi%U&kEvCl)DlgIme7SEIMnWVd2^FYM7`6 z?x0~?hAW-|kQo%SScRp-GUY=m2q@4rdA|Dgo` zHzW8Dm;Mhc@0Ah!!u|cj-+ko5JC(f6j;rQ1R7{L8U_IKtmko8|3 zq}X5Ret)s`Ua6A*V#xn5%vsc-SV9J;1(qYyz7+DWq$Qe!ar9ACX?uBJS!QlMtgzc(C!HqF8g)>!@A{Iw*zLPqxmIUpxvuY#kac+S8_^x{6b4 zf5p@v0wyB$5y20f)z%{#lOeUrIrj}zVKug1%15yJk56Hqqwz5um0PKSfvC( zBbKrydgOQC#7d{^XiuMMqgFO4nJK))PwO%^u3mlK8OAV)FXpt*#3T7p-4+|DUn@Wm z^#`z+d#MxR1)7Sd)E7H4SnINed+kp_%eCIrQcm+SUKeywC~O164!djA;sVMh>hfD# z-#fd6RW`$zEP6XT z2Nsqb$F1^>?U^*Z@6|*;1sd}^n3UNSKs!3Iy zW%wFI^EkqjMs=D>PQd<6jYVd^T~pc_mUGgp)(%psq6gi|b4uH$4bRL$>PP4q?xf7o zM~k@Nig2^RwAR^XgASDRGYAP40r|x}yjy?MX+F5z!I)BQoDH8!ooinxvNc&56i_6K z{jLFbA-T>4Q4J@~mFil(c$n&(f2v)`68q2-)uRz$=!rfS72nvOMdJv2Q~D%F8yNO* zAK3~vKAMvW_rR8tc@yRKf7pA+Fio0n-?NM^yURwGZQHhO+wL;EY}-{`wr$&Xmp#?} z>e=t!`|NYB`7(3Oe26PEx_nnl648`h>VUgl3=xs%cN#PW(m;{t?nbGhRd-^aBm|Ph2 z)b!+!$2amsqCVF8lF);52~d0aLOLe3x!Pxvdq?(Km)bIGgEHkb8aibA$)rx5P0|+b z5?`w2IgQfe891L7Y~Ko!GklEl&5aDbwUp}!^s)X7JtY_9<%~HfEY7*b4<@rd`4pmg zvDz16gvHoH!FGlf7r=w$pgW?*c0@fYi^eZ+o#UH~LEZRNJRc;tHN>VZ&2aoG;ymy3 zXc2QgR9$43x!g>@j8vmtqOBgI0-7Dp>K`n?o6dx6=3%^UX#x=jjW^fY)l}@fJm-JdI)z1xZjsze0NVjpk>kuUKnh++@nxT~IWNT}2IZPS zr@1}KrE3$y6$U6eO)&x9(GY8$Z8=2`y3fQNAB0CaL)bX@0)Z2tNy)++1^d~XJ633i7T?whaGPalUotyY?a9XkPiGCjd`V$wTjFiKd|qV!^3Z zFeE5?A}H}pHI{)nK|_(|9f770eKB7*;O>&ei7vLuBs2qrqxC@WeeXmlbZZl6kKk-6 zwW2?^cP$>HhGvQT7~CUe&V7~?>A4JPSH2U#;WW4y(}#&}n0Eq;Qe58Rb#W)a)FQ-b6eLrDqPj|}e5fjK@bT5K={PEUX`Vv;LhAua6 zr#Wd_9}dIoItPU*g`3W_jGA28(Uj2lMos;Mm!DqssHdCryu04MaV!E1dK&j&W|ma* zPzyoOL}?5aRet~vBCj9gcuL-&E**kVy5^Yy*{8MV-e&{`=?XEX@RWrNecX=Pgd44% zfQkx`Qg1RKtYO-m&}n-Pe$4N;Aqs00&NtOO0`fw1c9s?Gk&yz#M+azwk080ZPqfZ! z1(o>fT_8$jW7610`B&(LQFb^e5JD6CBB?k%OY!CTl)Q4- zO_MGe(kn4#vCUb9)^Iu@7hlNZMOyd>uxMuu3y-5S(ZGbzcl+5vO5gWUk?Xx-oyVkaO3wQ|F7=GZ?eHZeU0BP2Hn3f3JPvk`Zkt- z9EN`(0`ORWI~t$9f|j$lWLU}%MC4UUYzkocfewT+{pkui;) zt*yO{tC5|Po+XWgt)77qjqN9A#>Vh3JeEIA@%JkK`!^?groS+Ul>EcmTx$mXUNt&} zS`cb!LcRMyI-7+il;p^Ue@%&fC$VS|+72XV{9c|;Y$!a#!IcGR&7Q8)tTts&SK8P!1 zFTyYmw#kus#%}N!vLBBb(N+iY>*k!;X7NNOxlc)eBFo+~CU7vD)!h;U>Sb#$f(m?o z2tq9yy2jWWtKY7^6%(?iq*MhBMU~+h+q%dzbdJ_k=r*RkvElva$KapW*S~hme|oC@ z1tTRUpr*_xNb$G+|3BeKvHk%@{U?qT>mL}o0xdGuijq^Cr|z>&6XRRaZ3`E`?SP;;OMLx1k`&rojP2eJC$2}pC62V zd>s)N|7O>s++gz~Q7%BM$m-)xGE(VAR1wRA$<_2Fs8?#T2?xMhXu`K|CQcYX-DLe; z4T@d2Zp%lLe|QGyvLb$bd+zQ*n}6r^)HdB{W`PX9Xv!7O?ry8ZoL?00%_+g;G)1=AwU-aUC#U}cvZ}JIo`rWJlMtb_U&iwCDPv+(4 z2f}|rJ?WPpo~V$QPyA1)r{5gTzoDL(KW&SO2Gb|AiTRW7#7e8d#PZ2rqN8Kf_;hoA zudw`C`Rj%7d*6S*EdLjFje+T}cI`j1mYDx#*M1wdPyFU5BJQ_kqh2w5)$IP{yw%X>?3M*sC1bRrr#U ztuSFUWwrTIUSRnMQ#yWXfU!Euk*HY39UFTam88ZVi!5B9-}Wv>T?-Y+y1P=SiDsG+ z0;-9vGyj4bQkT-$Xpcy~drL95Qe8P`&)Fg+_m8nL3Z*}Y!*fr2OY;}6l*7LZN}Bnl z*Z`f4tLrhYEFfsU65SbG8E1px;!6%2{efz(~jT~ z1=u@h6UO%%{m6qvSwpKP-BzBtc+X`w{&~=`@-|hZTT%%Yg?AH?KP*%S8b`5tT2i8if;|IxV z*-KrM0~-Q$2KqiFHZdMs>kod5sdA6?)@T7ez$s4q(FeqX25}@iO7ScX4)=tuIX&@0 zqOe;;c`r5^gfDw?gMF0xOq&oyrF})U>u&LDaK93agm|UFge(pzdgk?nUQ`lI@VLWj zz&)RKVq#Z=&2f|g8-0Fed#v~>Xf`-*3IH3<%|oAdezQ@_c0TXv0MJL5#onu zcIUWLn|GnsqlmH_7#yEt3~@b|Bg4W&K$1O|UOZAb5h>M|H|c;PjPjC3dW2`09LgBy zD0qz$tmJyT4@!b|YxhpN1~w5WXvNaSFC-hot{wgtLAnZ?!KSwCl#9i(V(3jkQgOl~3bc^Ems?I*5R)+ z!ItDV2S2QytRlfOKL^>+#c;*|mR0iFM7w=?9<5V2*$gkE2nh2S7}i319;9QmrP287 z;N0bTcfhBTKzB~yS-aKh41gT9nmdwveqrIjhmM_fU_=*{9$NY1+7$u}gQ$j8!~58} zDcG@W^zwUa_-R_8>5GG?#^QL(f+j1lHXl}2RX@T>&;*e?G=NvPbyL~{XAx&X@T(|; z+Sq>;Kk#v-Dmr%vORcD+oaqu-0Cc~rDPd3vn3subZxQTO66(n67jSu~tWnR5d;#NL zkW2bjXaNGumQPPs!i%3cuF<=?MQ;$^5KTatQ0RNhJ^+MKE3+SU_7+~|-v!BHbS61T z@O&V$Tf;>ei1%8Pa6;*p72>YUzHKjUIfo|595EYlgzrkMV;R4YKHce1(381K_*peioS(p%+wQzi>eTeDHs5L=_Uuv5Tg(uClv}I&~zWO++ zQND{WaGfs%mr6-4{=)K#367c( zXr`1W?J(}_avQoTsvsVw=)NkbPTOgaTdmigkW0Y$G5U26QK>vDAN_*eYQZr+D!fhi>;+9N(akesnHF3 zoI+9>R*kr%CrbbAY^5(-tF;WobbP0q5K_dUR+lN6uQ)sMxV{xooFIw|WUpxu=Rmqw zGwi}m**t@a5V8HFhQH2oQ)URaoq{&>B`*vM% zwHhFmx051YF_qwhTFHc#9bA1blHbQmOZW_MzFgA+>|3$tk*_cf)(oO-d;|K4ze1T4|M|D z2AAFn1|Cb@?$-qN_aTiqZvy`=&y7cpK1DjM68tV`JTIQ>bRy)OmL=cdh(uqjocMTB^288iD}KIXg$Kljp-ATPieYeR z>Y)<34Z=|pj)*?;zS#D{`cm7ZQaTI1iZN{oQKzQX&n{!7AY4Mr6KLt3-l|rdc6iwFZky{rXRr*6gWK{ z6-F^?v{r5ANIY^5JSzh#&wr1Mus!uK9ifpS9tdi+>mrMigWrFR!6WWiNJhKmnZ*r_ zHgRB95uf0VdxpoDa2ey#9EBoJQ%qLQgL^M)j_p523L-eTS;&olO+w7Y1jyRs8R3Nv ztdJvTndTq47BhpJA$%SW>>i9Sr9Y&JT_RkfkEwM9ciVrF%FPx4`Ve_#*@PE?pd^;I zNg(uan}@HobfhZdhq|#ik%%NC-7I>e6EV7mLozXt)>>ji2yVLDD2@nq@j7MS`f_0{XU;wo{+{GG0H0_(B$Ci0)mS z30l+$rklt&4*G_X)zafk?rg;Gk-i3g($9~(hB7Kx8XZVteGD>yfGWKP_Cp}-0!vlS zn9d|6_KTk}p(;=wIfu!>v|=Ux8V!?iUX$$O(v|Ubd`fgtXmEC(0T_@CX6vAcx`nF# z`DuGw-AkavU|DjTHj`x)63J?OKB&TzlVXt;UM?X>GZ_1mib-JJu1QS@_*D80)@@_h zl9|{=r(ELHxHvNn)jC_S%fk${D zPijIoo|8BH0EC@6gJG35pfR*7F9)(yxltV(DyeEz_^?!J-K>jCXF0dJq{65)CPrju z=Lc|61HdWzr3kT$NUGHu`(oBLFeo_sh;~m~#ZK9wKXD4=4j%G)B!`i4ai6ksKn16s<&KibxlNjOhaLL9TYS zC6rJEa+ZR+oKRe=mnz0@IUAmN!I2s!_{}!*ZY9N<7IE1|;xkQns}qD?GS^WaV0(g_>CCZgsXtFvp79&99` zT=;nppu|`#@sH?d+MVw-E5gD@*)+O~y6KRyVKNc4g-zIF9nsux?T8O>#!ZD(DTl%L z7I{uNfcek_g)QnA%Hj)+`8;p|BLW~W!8qY!ou`G>ekoCT_T?dR`qs?L5&Z1US2z)0 zaeCJnO=d_}D4vbDV<{4fstt!vh?wm9GGhs&n~~`gyDG)U4WkgybJ+NaKEt{>m;Shq z#YZYi=qPK8&vayVu7M3@+Do-zxY^bdVFWTD-0&pUhNW2J=>>HJL_V$}v3QT5a69;j`XvXo=EPY8qSe+UlHSvwFB_NBD*TlBImC|bh9AzJVv5QV>B`kwbG(SS1*HRRtCDB@GOm>8I zdKCknHc=~(!^fWuBXWw77()G)ds52#poZMOfLOI4iE%TUE@YCnOxQYVs|>9Lri@$` z&ZCthMos;P51m5Nq{GRj9!jpdT`FtaN0pO z+J6_aE)L~6r&v@XQAT$wZ%_*zK0!HL0)xT|^~yJ`^&YuIwRTlj3#!5Nc1WPL+Es&~I{DNfTUMlFR)->LBaoktm+Skqf4TF2YPA~~p`>2+~ zRqA;A7s)I4K?>~P=nX|Pe!$fW%c=J-t$ggAP+~KI&K6B!X;M>_i%N4ULjv97Zx1@> z4CP}0U_(GyNcP>BQQI-b{#52B5xMU7mxdoOi6{ zXufl%7C~G@+~N{YvJ-Rw2_B+lI$$je{JLl*aCDGL*J)C&F9uIonXtM-rfMEcSUW^e zy)@#Z&`V#uq+WfK9f^M&*{G>?pz@KFqp?!wm>31El_ZY#D}lv_hJplsf=dhYDAkaiGmlO1B{Hhk$xV}BSNbP+kYB|`N@3oZpCF?RQ^MKFn6c&!oArrSiC$o28Xpf9@x7 zS*MJLx4RweIg!u(V_(Q934qd-!d($-U!7h<;^S}`g2o5DbNDV^sH;K)q00;X+w*_` zD#@B5TYCvzZu2i8in^>oH&b1Aw+o5|Kfo%_cf9X{58DELx4WtH_UyRDbvb~LA%;)+ zwKzp0l{M|YSAAXI@g%yQK?vPhV$K$H7mGQ!(NUSAjGtVexA&a*<#IewtSs8Oux@X9 zuZ+}95d~9`EGTO_3s?EwsDod^Z5uYUhkL~yI{LcG(`fLq`-_7)! zuFt~u2fP2fjs9Y1{HPzJDFf`oB-u|Bt#a+rN#%{n`GXhArsu z7}@BUnExUCeg^HLW&GDSeF8MqeOXK7=|g6(BvCXGIGcza6)A5goUCRJ@(EYV)oXV= zXg9S3svAC$&%Fx~p^wL^ZaTd&>$TsDkVEGqt9^u5p>|L>vZ8$r39QV_m0qn3zXu-k zU^PBP%pHlls(=Z>>XTC2$eCX=!V^#tz(E`XL#j@>0^e2N4EzAD=-cD8c4Fk%BaOzL zVx^Fcb)VB$(Hz#5_i2)JOAePsGX#7HbI+~JneX=Q${NzWbIDs$CrUognkUiic#Wei zUt&>5M5&%o0g^k|Z}(i#iE{)yZRIm(a@;|`hWwD`Z}gg3wFO}eL!FA*oL(`HTo6Fa z_nn+ZpkqV=5yJ&KSmv*r2_sM>^h|OaQ6gyg(LSuZV1q#(U0(dI2@7qxjrBuv6W-p9 z2s&t>8;A(O{V*G|BW%fnz0`4B?D70D$p=g{?}T6Z^s>Ug$=8>du?-I(GhGXkZX+3> ztRsgUfpU=z68>A~u)i0NOKox8&t%)B<(F3|6&u}kq<+Y5cvI8wA){4VNkKlyU%t*7 zaME}A#+Ys-4=DVKO;uBuU|T*I!)v**=Yo+WPr_rygn;+;SqffGmn{@=0)pcqC!D8n z?9yU_gaCH%w4|nB7i0a^rYIezZT@Yl-bg|66#@POxTH7&j}{TSc5CScbA4*ncy(c; z0~;O0ccdXLHs1Nzlh=9{UXmA3#i76S2ZjD4lG4=Vc&$k5z4F2&r*`eEZD+8km6}W| z$JK!Th0cA=_Afo#3+=w~^Hn5PBzRPU{yr9l3{c6qLo6T^UWZHruyo_vDmHsReqpj^ zd`@0i*}hJPs#{n8-8DO-?ujFQaB3|=J_$x?2kEsd5t$nfTnMf(IE5s_pDaz2;#R6M zr>fc#>a3jF4uhD#&J(LkcEu;1_A8OmL%yZdl_}?n)g&Hnfd&}hPUV!!CvJA={B>Y$x6s}wm&@7(ICY%G}?H2PtgT+ zO@w0LMJ6KeISsKh7!^ob-s8~zCIRUor>+NRZl4SLts>e{(9UHVG0mB$_z1+5dVsh# zeU@l}H4?k;q-H25Y{sdRu%VV{yHM!rAY`>Z__5wJPa7lVZPeNVc9VUpvj1Y)nocgL zJ7kJW4zA{@#7&UElFJrmW5CT6cme#A@E_9Z;)?rSe`KD8lvr5Y&TBhAr!##y-N?qSeW5ykc779Rf2u%OaR8akHwmYmdX^Y=XeouykS*3lO^ZVX%nsQc{-OJJ_Ro&^T z5`{nN(`b||T=jmW5@fLMk*3ItK=@zh6YH*p^YHgh~da8Lr%N&lJn*{j7vgqE;zq@1R=+;GQ3pi>&(li1yPp9HIcH)AeeD9u}zhsaVlZ(4t=?9rBYCr4&8WajH11bh^9ID!xs!*Gf( zqsa=Se6%_?uA&=n#L;jR4)koZJ$)Ah*t$G0WD;5l-n}OY3)YCkXX!%XuuhUu+mbWb zn&UqqIKrs7YNA?Y6Vnn*(aZ5P7hpBTT}CL1go3eD2!u^W=2gs~i{ji{Ls{3J8NSe8 zyM(25k(9L>cS`6n7iOFHruqKW@eoYTpp>U;T+S^y0-r_G-?e;0mlvJ)2Wk9-o+}M< zPfCzPSg&T%JU3jyTx0N)x&wOZYrg6Dc!h-AP|0@{uV^2Ko*jMd$)NzXSW%;yt!H6m zmt?mEkaO_-XkF|sjyQDD1D=U(wJC6&F=xa2j<>alBhi!MV%h}!j@0Ivcx(BdGZVEE z-;%FuH(^;iIU7Ph>`^kGIcGAH3@ysTeJ0Xd(oN7_i_hYt)J`LA;{A0&3Bx=kBa;<3 z%-HOh6%Io%U)n^Ha&rj#L{`bOABu@`*M=9v8RQ`Q6J!f>EDudztKC@k`B9`|;69vx z`n_o10Nu@(hpjx4*pOw`rCHmb^u@le^C*JuW}EqYjU3CY^2X; z49$YP_W|+|0h}~FyrOY`+>#l;da%RGOD*EP$>MJ6J2vEMjv4CdG&eSCp*iUEu+<6Y zfuPuN38sQd1Go2vL0Bvj~BBsyl6}$ixW`|L7QcW z;B>~li#r*XNR17WL6G$lB5uu8TCPZnCYg>KiyJE_Yry8^TK0uL!sZLMB!pia_xqDg-34 z)c_vxOVxbvyV+0OS1r<{hEfP`{TT((aUJED3CEW~HRq@2mEqFPf;%4Ynd7Mb_=uE| z`G=#JNv!yv1w8B2tf9K`Dmlt>YcUZNTj#<4P)aZ69I<$NsU{QnBP>1Rj`k?ly|#sC zyAE@tie%-%=e5A_Z(XV6N!axYsb*LO$ZF*5YJ+1WfPCz*frRyHm?+ zF>nJhrE8x=r>6vld)W{M$yZ~FfweUo?6=wx7_Jm{C zFU#j5yUb2gQX@OE`U@aXL!jYbU!h>wyK>D*bp(ERm4DgTVe# zC*xsePVHG1jErcWdCJB+OWIgqGl3h1^we5QYZAbgY|g|jGd&uP61)FMZE;wv2Mv_R zQS{Ejw=Eq7^iBLEGy1zy*JkUg%8T@I&Q~5IyH^~t6%Qhng26BSgsPHdRy5yDY1g+3 z4LxWphm`{x!5>8=$mGO8)5RmM&AgA*K3Wmt-|T5C z{ZcL3&`P3lV=r0H90C};{+|&Z1LiN-*=7W+Rd<7$Ai5kN1JyW}?dJu*`V0(fK06{S= zaJ~+5C_ne+c{{v-SSafgj74IM`Hk@9vwxN#FAE`+y%|I(<>PyohuuymE$%R(zVyQ- zl-=$C=Dlx43ra?zkowT;ubd#OFPA0D80M4y@bUl>g=8KE&9#neL^=ugwN9w$I7;zgr}Stq92K!?cB;e# zN;#XaHB1i)*4`b#Zpg4RwXAGSg1L?x<<2`@xA?GYm2^4n(B6{OvdNw0AmKP)`YLru z`jlc0eXay4)#%JjGOcMMc!d^Yxak{6p`0}!mK0f|k_c${tQ=m=`2w(Hx)-W%A(1ib zrh*A%r`kUUGl-I;(j_*lai+f}07$USl_jyimnO8zTR$nA5KGIG+1-ZdyfvZ&I$^fH zA&8t&lGUH-gqyx$O{VAhMz}~bTJEZ%SS+)cV9jaDV0pgIkU6erykMG-EO_zEH<|;L zhkkV{;wx$jPgxc70_6IV8eZYG;iS}Rl>`&X-RX};TgX;exNUPH(-TcSbzCiEyO7$_Tf`i)ZUMNnnZW%8sZ?ibu(4mTHL!072YeAP?Ds^i zXl$D^61h=_P>HN+$zc;-3QET5R_dGf_AOC1WoyDJFC^|;#qa*)xAkjC)$GV5MFxg`|JnV@K^`KICQvw4d<80D{kw9gIy5v)f`99>`bX!63r%zOqt` z7=w)M{fSAjv^WNf5p?X2NrMRkMGu}98Ixz#A)DP`0RdDvIQk>DAh_Fo-GB}u;(pwz!< zK@Mah;I&jaK&Se#oFzLVaj8N{hn^y5W|%B9GDLd*`I2}1xgJ3Oco!I*Ohr3xXLs~tRC=(!;tj!9cCW;T#$=W*QzfyPowG(Hk4K07ZF)A3#8 zN;p~u8=b-~1&LgEloDh6=8oGD%6WQ%N{k%y?2QBUk~?y*FHh*n5bBGPrGfx=GH^)_ z@A~{Oyo6>iVdf}yhTdV$j}c!>njY7nd1TUia|7%l>oWp<^uxRef6ovB>lN93xsQ^u z;l;P2%%`0Chn9v9xI-ja#C)?~oL=|0`!{&=iC>7F;bvB0)bJQop;~JsBQssMWI0A5 zfgqbFdz^xhn7&B##LM?z_^(YZZ2RupHNEs+3HvB>|3npx0T#~&S(wUcOiT~&>o)@# z0-f+-lA*m^ra?yZ3TB8t;HJe;qMMFi9bf#GWfO|`L-er>b>FB5)L57C270@fqPvJm z7i<_ip3kGS-B4MEDKg)+dY9}oD!M7ri0xwH{Z-AZtpi1zj#upqhFVXN5^QnOR~Bv* z!I+(jL_|XQBZ?zPd>F1vg;w-7Lek*5)plRAJUwuyscGw6UbeXH7svjAxQX5#H^lW* zIm}a79%I}9PO|c!j{q!QvWbziB&$CWkb~ylUbMyT2?+W?;J1V|~5=u(|dH?AQ!_hb_Zq3FO0T&;Au2@!w4Yd=I( zYtpXFL|fN#$Gsj`%&nxz^{j~NV4__B$)tSLmKRgt%&3r=NM(icPAwjc(sC9s8|!p5d&UP3p&qNwN|)e2q>PKjFBYc_iv(3j&)VUus#1- z&i@H*diR(WOr57i2c`#Cng#9jOzE*4{nC^VM{ZfokJC%aW%!Do|6cH9FB|bF6>9kz zMU3yBK#A~d!0#jk%pQs+1E``(r57kimAk4v^qy`qG-4ccA}v(8{(kWMB+PH@p{c+) z)}P05IJ5su_Z;Zzt_yGgrGRcnDjNbDRWZrt?b!<{>9Je{XK0qpSKNG8-(hRuBBsh{>^er5(=anaRNh z4q85V_Oc#pDyZ_8A5l z!3MW$UL%_5?2sNv5UHJg2m~|I=6d`6Zz5-CjFx5iy3-^ z$G1+?FQ%tA`?Dan5^1NLW?ZrA)9DX9qob!^3j0(sUuE7LO1CLp-%BUFOrcJM*QSoI zyTp#Wi!qfiCBLdXgov0-Hh47>r-oCi>*G));^&<)sOq#RVOPKKhR&-x){g&gWVTaL|DE%mfbCrIgeq~{avlG|d<%5r)^WVH}HhInPS z?YbM0XIP6!J?&)wCalAU18ub=8dutw3n9iO6MX1&qrvJS?x0A@0U$45%TG@&` zYkIpN;=rxDdz5srjG)s5NPoUAk3jlR{j0%32?zQly$f&np@%xf+XiAOc3PqJZl-Kf zS8^<-D3N-6&sl2W=BTro$`il=%kGD@R_)_5&U=}ffvzKD9l6k3Eq}ZIAVe}A;9Fp% zVgI?EkliV7)q!Cm4a%AC?N>euF94xEMYB>`2tx4;h>Yla)HnwZiU%*k%V9+sA!>Wl z>YME}7{D>){0R)H2`vwjm_aGu&dep7EJuYU z;|6;!g#3y+oo>6Res8_a`HnKl2@gQJa4Xzj%j!%+YsfmpO=yM4m-(`YWmin%ULXzv zk{mOfxVR;$8@jQwomG^USqfl_{=#X2z$4@}aC=fzFj^_eLSvo!I864D4&=Z&H^6jk?N%Z8kkUtHxVbV79R;kAuwsB6a88qci z>_?sHhw5JxJa5;sY70O~$egnfj zWZ-)I84hOh%Z)^JCUhMOY^QPbb|-?)Mbk78!R<+{S~0p`eyDO$TIEz`gBeuVS4o>X zxQs|G(wDb_2+{;>r>gHDH4BOcdWApV3nymmvLE~tz_k`j3z9BCPey$XZ*uHa&Y*i# z7htX-eT$Iz#hgvd9soy*${9Jt=#xNK6iJwkBJgW4rW`$aUQ3{ZYvzG?@bDWD4~U4r zS90;yD({jMVu4d?-TO|^57*)E=5?4VLvZ=Kd^pRZMVQA*5OWUTz|JC+_F(h=UD?%?ZECd*>pZKsD;{@3SGOtR(%aZmv+N;`v+o;wS{kKqr2dV&i<}>5W zgSna@LFQ}cpVXKWDa#<}@qLos&pVplX<}LLdXEo zk(KKjTHBmo$;e5z1q^dT^OfUD8Cpv#BXl|k>>FE?2_rya8Bk4lnZ6>aGnYN1_eUaI zvke2xw_x@~z9_J6xxLwWahg;P!@)K<(aEN%zVLBw*A^Q~0XebQsYD&iBfigR(_Fg_ z_0)?+DupEL4IqsZHUW$4u}WI|EAF~;VHhljb@D7?7~>loFA-MFCef5_)9a-8q%;&1O%_(a}G{k*}rOp9A#nwEn6H-i%<{xYSt8t>w25 z?3_~bhC?m~0AB-%D{03xB=BA3paSw}RE5i#U_;6%T1jc{g_W0`5&h0uk)VT9H}cu= zrq{w8IJ*vibeK3j#Q8g$y5U`yYYIG&)Fwx7Uwbq4Ze={-nsIY@Ue7+gjmnh^YG6-8 z{P7YZTHCh}+Q+#UP%2NQO_2-NH|nS?_)ZlWy$unTd*uiD){1~_&)J=X2;9JmeQ=DA z6L+3I$3}tz%IGZ$Y5Qjer>H$r1vSmWJU!9i-V%i_lSa3^2g%!)_n-(@<@V=~VS8_N zp>F}eOMzbL4cQcdruP@?z^ai9yZLXGbO?<1IOqv=dNhkS5JuE|pQl4bRY^+8%q? z-@&SzdRqjcliW``WnQb%05u3&usy3X{cH3IB8x2ub(L95BGKNi>zcC2@;0=3t{fP= z4c0q%HJwJ`~WQpA!1hAR2+y`Xbh zcmlb^p%SaS^!sn$_ho<7@;ExE0KY5T7He!(YLR5MU)(t{7yBOoJL>w9Gx-1-BJbAe ziNgfOTk}oRaKLR0%o?i#(FN#C5c{eTfM_EevJffGyyzCW;coSnjr$tMcQ`Xb+$qLx zK-pyEeltpVYRPZnic8d{a)CS(ZuC^}o^0Q{ikUEyy{s`ro~E;6@t(0V$iUJDT#Cm5 zVwS}8IS1!Dt~IMUB;q>Fh6_J?_^1uc6$i60B3lIDs)LPS9i88ar8ewk+F^7xrhM+5YU9qqAkq5&xVL2dfa38niJ}_dvAU>)GMA^FRgq?{T3xWf}`+*2rBOdrqN%ajX+;eL~=sG$!Ft-eqL7IdnKDbLKxJnd z$>nN<-ukBWoNIzX(}jn#zTTY(J4~+@gr1J^U=C{cuv{4b)7A#Xj@E6=o#f(GmbG#t z8^Q?$LQ8UE_Q0HsH}xy`*|5ZH{2C$&S~F=PHM&(T_3pqa9r$i|@1_I4#e%!KdTI%Q zCNz+>{7+oOkNAzqYqwC{IiUQo>(nV)0yf?R33p?>A>MZ}ipiYTZ;{F61n0(@B`k*| zXh+lng_iD`IIYSH<=j0fmeI`ltm2rtKn>#%m7OEK-)B(v$B@bb3=7>H)QT|LerD+w zqfD`zp&U)dz3l+nAb<_ZJesYKGXZp}_ErycDzWzBs!Qh6Gcw^X{oyc}MLpl;>honn9hw9x^yZ$jQ>&K!%T*+3 z+=7M>4ncUuwSn^RiidhTDnWf_jhyU42H+%+bqyfnZP2#EamyDJLNr5jMY*e=02I>A z&0|GC3dK-ybTFsPWXa96vdgYMlOhrCFL+Z5S$q1GsZwmQ3gtMpdOn4n`#gwijt;P* zF&FEe;+b;&GsfCC^Zl@PB0rv0MootsZy}e;&XdJbgh|gZ;vH60}XLXUxmcw5!HpTm{NEDAAq?OPd!)74@V0~NK^)_bF7p4Y| z+>iCCvr|F*xecB*?+KL@7?71sqL>S}%KZEn%T%D-1-O(-ULPAFSmFlzyT?d2j9l znkaj&&!Afx6D)`a*2s65%mUThysY543F)1H{!PzE;XW9lD(7X)>qyQN&!`wDzU*A zZ9b@q5So%2T!^{S=}3n+Z^89vW?SeHYn$>>BU)7c6%A|CsFp{~qI}5MG*k0tRP{-w z+8V#OwR;CP4MGX_gAJ0mSl23Rc5!IJmw zU)^x&E%&#o4%hZ2KFh?U>L!o5yjgyGnq2w{JwU=yDSQSp@`agnWzN&|{_yM5*Q-k_ za|8jj_V>4o0?9KakTif8;MKioO^inj_$m_KTSuTO684H^H!<=))azv)$lGHlR7?s- zT|k?s6sks4PyisQ9)M4dbHR5R!tvd&^_fLKKRSuh)|jS)Vix3y_!hpV3D72)a?s1G zO>Tx|WGjWWQLNpIcz@#CsY91KZ4oczY76dw_r9Ziz_4XD07(q6kof3?Fcco6aDzer zUjQ{g%D+NK!oECjN3p^V;2{+PFpQD(eepO!kMmL-#E1O8?So=Mdoup<%3>&Rm7Tzl z2a51fxJJ>nQ08~N#XgJm%0DLTyX=+ZVJFIF-D*ix0-p9BK)>g-Oy&?TgJQ|-0Q#Z` zs+Ke2+^DUnApJD+R}z79JZPAD6{PYwVwz*=ALI8j5S|h|=^nY|hpekaGM03LD^{Id zLX6hR+30l`ZI=j0i$?@;7)m6co6{HJ45r1Px|vt*(TffZq7RdN>OWx7DY0rpapIi% zqdhz^V0)KN=1b!tpW7RAk_>}($rej87dOgyZ(>EyAAra#1H!|kIbl>7$r73vr&8dM zCDWjNxMqJpwAF1F$JfcuJuL3s^N_qhRr0TXa1vIW35)b%-=;R?>=wLtgtD93VatO4 z9XLm2x`~%BeABpVtJV2i5F7F0Pra2+`3N?yX}bjgoE8g>`c?hZ(?FpYQ$hfk0r{6k zM9XL7p|@*RabR3q)ujOz#hs_}xaK|_+R*HKh}s(o;Kq7|4^wYE0@%?5aU)H)dvU>v zjIBF620p>1wb&BNGGTuxeS`e1IUXEGFW8koh(Vs8?S75NEY|C(JaP;`1bhC%(D0!& z>gp0mpvB6KH)M~cVrqXU$ru;E3oOwD&Lu^@zrj{Veq0K4$dV5FKN56v;II&en2?~* zSqMv4gBsR}uZT%p4DL5o4v4Y2r>ldT8vyRSXJzj+%b9jqnVMMZZZ{5pr2_Odkj&&b zLHpsA!@N@BUJ67MAf0aR0NplWr!N4KspzOeKNGQ*o*)@H$XdmcelwKEDI36l* zlj1&2*EO=d0cR8gR z?I^ZM?d-`5qrj6dSOBIe|INW1qXn^uF_!U zag$<4m=SePsEN4;VLwfj`W`3D%TG0jes-&~)5-qW`a}C}Y8Kd~%o!HiG}v}+5norR zhbFu{1rH7=ED@z?*TG0@Uv%)2NNU;s4&Jj3@Nb%X@_J7F89|N~tsP}9ILBWpu@dZ( zoo6C`c??8B*p-dihe9HcE;d$dtWO`p+nZH0Ab}@dZuh9t}W<>Q(qI!pqc?o3V@be38 zxxxg-D0sD=B$TQ(pEGq&Ci*lOU!_1O>w|MwMqabY&nxUeVwgl8r7K?`Aq5Gu1a!^s zuJJe=Z*iSPKXR|fV~D$4(sQ^xq*{e#Z{BRbiZKAunv42v(Q1H8A9J`9z`gmrjy(t= zZt7k6!YHilSUU4THeimYS9fY{d$nPu5e`?my!4zOGR6}c_KXP3^H}XWP9>UpP*N5Y zg5)QG7_^Jp^`kk!=EMw@i`q?Q24?W5;l8dD6*F3itCZ}xSQ-qsE}jHFbjA$1?g~#A zLBk!aqBz1twb5@+8J6$p@RwlG=1gMkw{Zo17#E2|80NSBr^cIusZ8$-wsr&Z0no`#l?y38go8~^~^-I7dka+ zATbOUJ^13pUl6D$YvSAO_*yFr7MJD9YOi{Y764+2+RD@q7Qs4hU>QJ+$f}vR!rDX? zcweMwflr;ZT5?_}8T{Z2kW3GXsp2$Be69zK3Rr#kkhThIFVcF*mjr zxI)C3U&u6@M7Lx62+UDd80ZLC+ruUoud^Vd-FKr*C;&{r+AR2}6H6@CB?WTTDnua3Rxn zTrR@I#Wio6qaHo*c`5iA_Y2F4T&{IWYuO9eE?|ThXbc%5sf8)3y-*g#;XD*#l3RJ+ zq;pT0VxuX>hY~8se-r(pgDD@yzb_=->mfrvBVM=g%`%!Wxu;~>rd>)^DOqup=4Y}vjQ z4@6rvBef9Z6Y!=J3Xkw5Gl&1YJ)FS`1Q^ahl3Q{rGHXlwUlxmeC`Z7kKP2jAL#&ry z3I@0kyB{6KFPJQV)&Pj4NQ2WVUEP_h;wKxXszrHyR5Ti}TxZ&OC%z`MwIe4cS??H6 zU1+7;B)hkT-skf?K~+SjBaJ|fK8Dv0pgyb%|S*FVp-YmPkB$xcj--cMe7$hO>-H4unSeW=Vjhd;aP4UUJ4yxe)*- zJvRp&u)xu_-*0Ubp|leo%DVK#v;iQ&e2@5FO+-fi%nCvPW8(tY39#)vs8?t`ruBV# zP2o4-qAl6=nz(Ji32{v$Bb|T;6)AWoScesq*W2>5boNw~9=y30s3L4T50O5W`b5YYFrr=Y znses-;vh|sS9LdJBSroh8T@yiR|x>C2ZVb)Q4L9eh>8(ft6ITD64_08<>f07#Yc z2RpO6Ze7Tb@D`VzPOLWT9R>!v(6$wRMrcm`!=_AFM{|tHkD#Eu_{t(_z=#+<96r65 z+QiII6+JH}a&!}Z6Q6t`mqQWMoZmA@*YLv78-&)Gb+ok!Gx3E>J?t3bzdSrKRTQs(bIj)*{Z(h zt*O|^e9(SD!d*Tw$@pjfJT1q$s18kb^iRbyD(CXOO_T65Kh9mCKBRy~p6H24tC#jS4{MEN zAipJfILYE0;HIV0^SFgp4Heag#Rcg+5Ay7< zMrWC?W|`x~Ls$Ec62mURaR38D;3Neks`(6|j<4L}P|c!B2tM3LGNHscIX!GjpY-#q z)3z{VlWB#aLM=+w!|7Z+9YQ>yXEXNK7>)c*k3ixTZ<9CR?@9ul-XY^T0&Fa%tCK$Q zS(T#9!#Tt;+*$A+6W88C#b-Ct=gCU)98TN+rdaSnj)oFRzotiRz(h$LNZs1C!r{X%q7lP-;0lnnj zPNP|U6S!t4^z_k&3xWP3vG5+$+Kg0&Z1oy>TE_umU?y<(1x%(D(P)Hkkm7cqZ`;V- zgp}-dRa~K!_~y8sD)nwT5Pggn`Jf} zA=Tvq&5xb*Y`r8fMm2Hvylm8EU=^hJ#89FxpNQ`+axJ{|J6AZ$t z>W8K-?awA*esv=JiKNgLE}(B11*P!V;W6>H4s=_s(Ta!etKuKyn>XF!CwWHGdalUm zeOvJ~_w$!s!7M0bcmhyhsDDxLeTSmj=0r_31SbON8geUL z#rciY^Ypo~yr9vYO}p}(ED`aSvS{eCmUD&sv!+d+`*%|le4-AwPZ(9pS}Y5P_VlhT z>mlsccu$@4us1VDsUA+pHpmX&*mm3-9MPp61M3D6{RFGtLc`-v&w1hmv*(OPTUH8C zCFGyKD zrCmj~9d**!f_YtT@w_=WK$ih#3T0(0&F4=w6nMVFf&9smT?#0)C0|>v{X;B3iMQ6s zDl`&KRgwoX)}Bx8aFRZ8cs29G81VsLyZroGRF(`Xij9x=ES(SnpIHDFpqL*j(>%K# z7m`V)N6$vohhxxZY$JFC)Xj@Db$(vT!YP;{nCuDWPPdxr_T%>*h?P1 z3g-}OJ%tO2B^&ttv6}+7mqnz%%27I~7}bs~1oA8!dkLlgRb}Yv)#UwjAVg+dc^lK! z5{)R5aHhUBQ0Ap52$(U z2#P8BE194pwxB*mR6xyn>FM)xIot6pE$IMwWc98fB{{ zb^rt+ULK((Fou5`$##wa*g!s1=N9Sy4|PwFeAa6)={{1tgf=M~A+ck8=kATZmeYg4 zD1-oqEYrX0jkhw@zk~knak3gZ?c|LtJ(Q@XlyTxVI);zkYqm_RFUB!;SnRXM(eQz! zxzl(0ET>m(JI;$qYCAN7AO7NQFtyF(AhVR#bN_WL)86s!4YcU##Of-wOJ1)w*J?!t zw-4ECOQ((9Gf5G^C}4b{swpeSvjN5$fiBu`2y(~~LH$(11G?;V%R3oHtB1+!Z@)f& zFs%m2rCbqkP4hQb$OH4;r^?H-U66uO0oCg|aVz4)M|!Va@`z{`L+74z43t>zhPGP@ zK{Nw{kSbt^eHY5oT_3O(bKG&oM_Ic&|GlyUj=E@N`>lBb>oTan;XbaH+8Jg(!OUWJ zO-y06+&vjAO)Rs4<6{sO+)O{2HC(|ZrV*qiknQ~D9qqj&c0kh+=t^$}t;4+VJc#== z1NnoA%;UW^4(c$1`zPGJzyR<>dMyw8$g&v2Ob=)z3}81Ex+=4Jy^5UU&#@=MDTq@^ zKC=K#r>w9L;5j)$G7Sg{TQjORd+c@ms#s7w)-&vITz`Yxos|~)|2AlX2Lx%!w9s*W zJhoTXa8QC|i$REn%7A^@cX>jFHK^#swH`r@M8dh9zxo3z2jaJz3a6-ugsszgVBo8g z?5ZnFm}BXCbmsVE1lvJ}qd!nR3g4mW)*a~3f|>zmt+V9Yk8!X^v}b_zeG#cNBJTOV z8BBN#yY;Ml9$HxaVYw3sy{(|Q=CI?i9-`FzT2p{bJzxcCFk)+p18iMX*RpaG8lO;p zrbkjyrICO+BGnw){0Hvg3bC^zOMqz*R>8L7RMq(*hp6k8$#qz1==MG7B;rK1D_J?c zn&goF{{W4gmdD2-?gHs7oE)8e=#*6UlkmYpv~Ob^3JN{RrbzHs9Ow|R!8rH9qIXNf z@#2Jpc7D}L7ZyI#a(X}j`ov0uSVX0&YdFAg}|#C#^{fkE2X2p=vgIkIc-Ai-xPnvWC*W0(44U4tE-?uPU%Y7w=@ql>D= z7_o;bneZ7)TX0*216xr0OpTPgpytKvN{t0Q9ags(P658VTId(erY=`V^ftn^05cFU zvn8-S#Zpwr=G3@W`X9?>XiCQfS@zhb@j|Rd{8 z5^-7Mb4GtsB2U zidCZ7tx}h0h5I$<3GY>(l0# z1YExIWb-mi!~V}&kw072?=nFNpmOF+Sdj(y=_}UwV2YqlGd6&G^E_am>^TI$G*hG{ zjWW7d1`z#WfQlAmra@ET5F$v{WCE+(C4TsiM;#dAgr~{I|NA5KTxpdjLU zDd4|qE31VOK#Ya}K9&XKa~OCGn2(F|he~QM`2;{xz!e;L$~hMbwii)`waxvtvGexP z)E>wP6-muBst^;dM4kE{w~t#qOCNe+9!T%|BLWm@?U+sBUvG{jP0!ZMsz6}%5TiAv zwBS*+uP#bnd6(64CUeL(-QC&~=Gksqu7GX}YY^|IIPM`zSgdxI*h8yl5UiqGdUenB zWPoE-E?%ucL`VqwADr(DoQ+;>NZWu17@l?D^LFA=yG6?#{jooyj-eVivqX0veGsq$y|k*g3a=ecP0Y305{25&(X6vz_+c z%Nt{=yY9=JQKP;7urdKx@wuqU*SuF}*#=u=k?3-YOi{h>-;j=Yx-1K3OX&RNQU?Ec z*`o~c!oGgo=s%vko2|R{1^4gZ-mK_Uj_P>>X07v&U;~(F2~b7J2Lap9 zRk8QQUO5J6U%n5zz#<_aRRi?`08T9^Tdox zeT5v(;my?nz7j&yc9cg4$NJ*uXBn?uZp<{Xo(ug9N0Gj>{^fSYUt!Sv&(EW9MNK19 zOOsbgzVCtDje=N{P~lc!<1fj~_VKN{pT`qDt!BdZK}mq7w;giIJWTirY9-oK*ll>u z6aV8=i&zlO&=&l2<)=5Oz1t@XJ}?3@V!AlF=1%=~;mAx~FcoXHlV?|fUw`)Ml135A z8Kw1KzWv4Cwh^xPMK_&~n#hb132Wj_BkYqsomM6*lC;3f4^X6Bvg9m$~G9eq6*DR(?7~Xcu z&K_}?T^a&wnDlHW^^_hMv^<2b zHq@zvv%b{igcF3<;q}qN1gt5bk@8%F=fN4KE&@(zq@ENsHPO<>Nw>ZC6C^75QMu5j z&9X1NbimwfM1pBxXaM}{dSVmFVc zoziuu8=>G3vZ|xOR61|aeFU)+l!*kZl*bzlbwrDshiRriTm6k4B_DOExSP=k2UjFt z#pku3*4EEHnFNAcWu`t;J$rh(yRp+x-w?Qe-_zwB z17cBxcZ6?BjzCRrrc5)Ga5f*{kBbPc2!G}KXs1(}@E*q~%aUhVJ%sLurD?p%(H?;D zL_qZfq*b9st>TZh3G&Sr58 z2qWMKle3YB`_|16B+umQ&24#Ir=L6Q6uw|Ayo zhkTLd=VQLyN{~&_Utwabv7@8Zp3VQtB4cRKtO`q(cZnol%t?bxPaXSuI=S9_$WaR= zRB%Y)xG+(X(u{yiy2X7TS!R9lXim&)2_&_DG;n!3{kS2zT);wGA+c2k${!qI+c**& z`SU0&U$H`rEDOB2!x>VkC;yovx-V&u`Q0!Elx(0^Bq#hmHn=!#rqX5e{F_y~n4)R` zn)}I28XN|f1q*U2Lzfkk=e-#t1}eq?b=tUhKCirvRtVo#t``6=?}dsT26EPLpEr`e zT^U$Gs3n)gOQs@W`n9E4(MWe2fD^H|=xhb>MjW&@Er(_toBLOYEOLVhkE;RTNog-Y zI{#x#^Y05-KVAtsUWh-ctp z)e1CP^QQlKEO?nH-hQ#?5c&Yh4~^#wZe;mKt1Z~4@L14vQxDhV)FQ-`OMD>eNwYsC z8MPySKtKd}y1R4=u0z4NVA>4#KSQFDrTHeqxrJG~4V<#Dx6jr^ex1+V(J$+5FI}NRoE(%fm>R z=nOtT8HQqi?i&T5L`Ql`Rx3pkS#Mb3XBcqZ)!FCC3*~7o#sAEA5JXx?N6^Wl=ng|pjU@I3TNK%6;-0+wEgMnK=PgjtZ|8VUJF-zA6>Y`}jukTrwK4Ty0uq7x8py z)BxKnwXC4&F;9pbFiog+L}v?=KIxQV^?zLfU{J8q7=7iauLCzMyoOO|hpuEsJ}cx# zrCXUs=)WDa9Li|Kn=gz&C|YeL;j`4asnwlCdIv0K>Dpc}-j}n`iB8(fwW}xIOB;)- z7C8#t8P^r&6NAJ&o=AlA0vhdAQ%_CWqXM2jP8=lz*{)>SG&R1+hIRSMn}Cwnj1V%I z+8fYe%mL?nw*L}8I-j!k0(qiCX`G(MK=77YISI;~{9gzp9ry{40MraYbNZk1OTtBE zoXcsGkqlhyQOg=4)e^><j_)awJAvi2YbZEDA(T7W-tm7Pj(G5^e0n< z`@*U9`VYCM4F)8&BrRpB*<%!C+V(2rvw65jBKn_pZgW^KCj-7->AeY^V3wZgxxoW{ZJS*L}^J_avJ$CLj?avrP6AxD(JqM{0cIgzGkG^uB?(i zV{@M6p72=eEER4r){u^5Ym3(kFo_*?J@@QG?1><7bvL66DcL8sLZ1=WVAgkGA7}l? zk>WuQ@Mr71W4VjKV}d@Z97R)Cl9@*g-2Gm?9((?%pj&X6l%s zTTRG^$}V>f`q`{5otZO?0E@75|DmT=wzy|^=wmGVI_4e{HF4Lveu=^<%tfz$Qr^1M zL~LeZ{X<+J-}*?fY+`;iBB^WxvIO=W50X7buX+?CpJR@D#A0W?!|}h4JFB$(ntSzS zCvH&+RXF5fvjkH!Pca|27RK~azR2=xA2%dvkZ~sP@!iG6p{)_r=FM5xcgF?f2AO|Q z)Hx{Enc(lGJGz-h^SpPdGG)T)I7D@Y4y(tKpDhGbSosUWZS zGRwkyfkFJy?fPRMuVrgoc7v*HQUZ`NwnfhvBgbc^>ApD>s-0{roFih6?MrwqICoRk zgW_G9EPOm|zKK+0Yc^ou4r?S|Dz|{#O$PqpklaZomhqTPPnc~-`_OMMEhdCQ78@h$ zi#@>$*yO^qr?}Qs2l_@mc zTs|6D$4PIM!Fg;y5GM{~eM~a&O3QFE>~WRrdy-%E;zEFv-btd?M0!-9!$|gyc(mTm zdnml@(j@|NMM6hfj@CUf7~Py?2H5EYlsRgVPf8n*-|WN*T+9rni9q%fZ6tbh`f5ec z-c1qsQDP{-*>#uz=jDU=YsS7$uw|`^E=d$wpjKIVusKI{-(|{ILo`1D# zp)se!pr2>KG)dP+Ff&^O6j4o%U|y)w1RJv`AN|BR>OgDQ+>j$-@W(46MKKH!fP=f8edV{7bpwZ>#-5TQ^9)g62aPH! zy>c&j!}5<~2-x_bS~2)DVB*1Zm_35f zW;EF;$V=ELLtjuvIVM@F;^7;(%DcHCEK)xH5vkXmwb#L$v$ef4KBV-*vLJkiKIaO# z^cUHtSy{KhCmvR2HOu4qBjs}Dg%&0Amq)3)ws$}rfG@%D-1OR`I`+`PG zApOOFyF6)d7NXpCB>JD2%~M4$$wO)aY-B--2&oIlrfX9>DnjVUtz1DPHJqhEQOmy9 zoBB~PX{-v*6&XzdBogXk7z^pzN86Y)~|1ASCb0{qI0l9cUfFk#^QmJvqR4C zrwXGtpY@7&s`Br6Fi;eKs64@pHe@npx^#=b{XU?e2@G1_n4yZ4?T#? zb*aDwE6(QXP1U2@%X5w4I<`#e5o18|mAEPsrMJ+ez?&!brtUID z>y(7lk(MqYO6RwG(sxTlPkJ1~Qo1vI&7b*+QZU7FuxD)m2@X>!b{YfcCh&_HUsKcU zSW=4kfrw~fv&qZc896}I9E_qDK_r z_X|vaKw%?WO`$Bf`d4P_fBKl4Es8cqo3NM`R|9pyx8Kl60~xgUn(-F zFs(A>3_tUT>09X~dmk(}1a=ZOCBxPgI12uHm&|6YhwRjya_4Q{n@jW+)_m1vkko)y z^Vr2V>hjNe74)|ZEwe=w1m(MfrSJ<`k1njg{&U(4%kA?2+ZRQaj3961839J}RH0v1 zNX!=`F&*hRgtwsiz861N8%dmz)EJTwbTzf;*#or&EUPXAKx8ZATk`7SF*#>M0}%t< zuTMmI0Bvr-si9byxcUn_6VG%UfYok4KLB9h%|p)GrfXB@f3(5~NgH^~pP`L+7B!tJ zMecgZzmb|vdhsGvqndYgxlp@{!qeJ@eYCxWPytcVEBHgkbuPNE+7EwI-JhKZM($|q7D7?!kPfE>&hqf9b!5TYYJKxy# ziC8Ev-*gGCN>;)1?QwMWWRs1|CM zNR+GF{RJPYDh}a2Z;r~#W1N-j#GtdYt91rM;LQy4_7Y|2Zf9ASMJ%)4`ziSh#zHY zgEf;B25f^MEafb&ovr6zxVwOyKa+=Pq8-QVJ^H3h3rF(o|2waXI$=0uPYXrn*JKpF z+!~Gwv@f9qq4W)@>4V&7`>FZ2;yD6kmtwmHdmE#iC|?w?_+)Qp}sI^ z5B$!IclTl4^s{Jty+g1y_C2GI=9%cmqU46hkwnzMv>e|hVUnp9j29Gs-#)X0Evmw@ z#4byZjr+ZeblzY7LCZfGtj9|ub8vRb0^MIPBpm5Wps9*6k<;l+G}BM}Z-c>)=B zF35p5%z2|F4V3t42xWyJigyeB&~QXWucGKnHZitM=;lvdP(goI9pr_tXvI!Ep=sEt zTA4uvomPYfJ!MO0tDX)^9xFZH_RI8B&T{nK!x-)H% z%jtgmD0SRnQr|`o?XS{Ni-sQn`12q08OeZ@`~*@Pc!)rV;Sv!=`1f;;CUOr8cVrz# zy?mk(N?|@^c;SO1wbv7TtLbxi)o4J6o}^r2W9l{L?{?EsJpxdzsZk*N2g;9$MIy=U z2`8Nk)8t9BqSAshkvAa5GAMXtSIcmD)Z8(fTsP|6F-I?az7(c_;~_q$AoNOir~RE* z4V#CWZsrmmUJghD%&UiKn_sPZ@+pU{$k2`daU8Nt`rTC!m`EUpi>WnXE}>`lHy)s~ zZ}_(C#^TZI*`F|4`t_951cA|ZV!~tdO#^Zl*yC>j5zijHfX3_0;y_ z^)MBTCkCsKj76B;$Cb<_+L~k8F*t&a{Nl5;_FYSu|3W1R`*?2n2Mm~^6%XJdxOYnT<$;|kee7>Y~T4o`K@7i`Eoz{>WCPy=6|b2ahg z4-Nr&)Kh^nXC(|uam9f+99Y)5x^{N}%@yjrY25?=Uu1tW|6NdGAgmYx-u~iY(tk1M zLZCZrDazkkMjt7(S82HmGm% zmkdj@oI$-}j{nO)tVY!Y#>=%L+SV^>TXfiamgV)nKQMMDnIYw&fy=o4C@-QWs3;sNS@z)Ra-i+^3+3X90ekiXK9) zIh6k(e86*po+LIoeGX=A5M(WJLi05H*|xv6{uITHJ9Oe3V#hCBDL<(Z`T+@wOFhVU zuPVhcLAsw^G1h>xB(d4%_ADU8ajHVD(-;APdJ~QyV8%n7H@pr}$7e2k-B*HR%qR&Z zb5Y~?lGI~snR|lRZ33PYGKbxQU#58lo1TH~qTQ?@l8u30hb&L{@ikvo(fEr4)S}cd zjq3oY?qH}8v|%Q)dDNfGm(k*C4p_;ZD=O`WjIDl_G138)aZ(&@XP8QzG&yY-v%6wG zp0kf*5|R~;X8@L4FywoZ*8J$x>Ox7J^Teo~%ky$4{K>-ks>B$iv9L;Twfwsz+>au; z1Vc&wFUyNXL}(V?1ei0dqWe@Z(vY8(L`4IFtzG)550`A2YnpLaZVhi&xSsY*q*6`) zX_OU)e@;1DcoS#<6k98kNph?+UP$6oj+I3D2ov4!yAq~8PmaOfrIBl8(O8n?)1_esh00^D05=v#=V$aY$l(40MQ*+7@Bs;>ck4!dnaF$}2L(x)2f%eOcnZ za74=&D9{fJ$Ps*>M6yz}5D61+V;kgM5%#=hueG`S7nD2LW%B5G-210CNTtm_%QYmFhk-+lTz!6yg54AqXkQLUlWs_!4U6@#ST9+Ig0P5$^3zG z?N{a=VY1b2lHvL;QJF!Zm6cgVaJ=7W+yUuJFk*`BD=Ih9IZ z@Yucn#Aj}jZbH82{{eAA?UXdV4|+PHpJk>sr`WVw8M6Xby62mgOwGEy-Mhv4J20(K zFXc=;KY5VsvE`uMT8DtYo)eT(1anFiK<%3aQ=`1%n_|RjnW)0Jr2;V|_18S?z_AVu zZ)e7iQ*kID?ZLQ->hhU5x-{a0cY2o$eh_S?z6O$4c~&%}WowYy>Bj|uv;^>-du={O z(D6T&a~xsd6-5efs3K-g(q!w(ncPSp?SY}wbOCJa2St?ZKwY>_Zmr$TpgjUGEUw@# zDs33CZ3?j}DqC{JEcRa#;Z8R70H5Ehd!#yA;|l4>n$O1cR%^oe!1 zKZT-l51^2$s^t5WRb~-HjOZMbU4Osm>6dP%y;ffd(oe6UYaeRmoS+*fqx!zpdpXaT zj6Og4^H$n-PQM+Qq*XC|T|oEqJxM$3#_atuc{!@D^yN0J)9t7F2NXrKGS-B`??9v3 z&3IyZb8PF61yoHE`k;}HI0Y?Lnl7M{Q8Sap%RvH;u>vRzownLAaWF2=kA7RTd5Kz< zF%)hb#LGN@^S}h%{`;@dM((4M!Trrpx!iQMDG(!d($6*GMDt;bjJAktbU#oDD-N16 zVbGq`<7mQL%iIZJJ$no09b%oFVg!s#&^T0WU0UcaLR>u2E6yR=WE4 z+l}1~NG5eJK!RSnE}G6$XhV$DnUU*4rnpHL!5eZ>r^Xe4d^NL*?@;8oouhs9(hk!C z)aMkcMBeoT8i6`bZg@9P!<&ulLjF_S&G_JhpB4+sbo?fVJHs3gK;mr;fg1EhFSZr+ zi(7%t=0oEvqu7oUYPh(-Ld`CP^;czPK)4BlDuB?y)JgKwXehRmdTwZa{J^{TB}ck@Z*Y%7)o}iyqiYu z>YwnFC+oJP^Q@I=5MhySN+d3z97#{gx2$QZEZtX4hDVZ%2s7=Z*#5Tj#oSRkkBpH- zrbwm{+V^4GLaUOY=|FbePuCS9*3Cax4Skdm2Ww2cCDTeeAuU6MLazB9=fjbs+;zhK?Kk`tW$}KvMc;52$u-?LVjrc z5ROM7@FsZyvcv}Xt074oX(vHiGlp~C%h+%|Ao&;NG0Xmr8$+3kiPPx|*oH%OUtrWk z8>zTMbZr-&J=x)aio?VRZ0YO=Pi5|fqhv})7i0AoIRwchRL}^c9M*h-*zwyY@7?qp zN(9lOkz*D=n&hw7)L#obT2D*8c*$$_c+eNxOx)cE>z@mtUMxe$6w!Xl?gVUqv`z4I z>69>L132X5U%RXt2-Fyx!#Zdf->sB+6ExbY9e6132_|Q&Xr^!G)*%EZhG?Q&zm0OW z+p-_H5?BL4#oD75=`_xr0rcmAdD_%0mQ;w{y|Yawe**IxD>Vle%))$YARXpY?d@QX zGpv518|vZZ-^H8o%oq|5`?KcWe6`9dutR7c*pWvQ@6*13)-b;V-!TP_`z zqkMXJqsbtuZGG(lE4QiMd1C7OMXv5LqQeK*S_2}Ks$F0!sx-iZW|TTFG6`?xeQ*@{ zW(1hRgZoSBiDJqEDKu5+qvOM+4`@?q0dAQ?IS|r*9xK+(mb#R0%rJz@OY6&_lyok8 zpN@FgHxM7J)cuVe{jf7cQbl?gNLdfXimKc>t9A&LxuOM&tu>Qcl#JOk>>Y$v9A|U9 zV6{T{{F-I-iYh0RMD|%sNcc-=n%HWC+i9ezaBU!X)mvrCw}dj8@O?I2Id+xtx?v`6 z1`;s;F>aw_{R~809MJqd7*tobR&55kvKNMmF054Dn-UmReua%Q>F6l*w z_f9=@Oo5?@+a`-g!3F%fIDt{g=Uh2_DtZHQiE29M%2gL32JOJyL2Qrz6Al%4$;w0V zJ6?}0;Kt4QA+uGOqA+O%BFl3KqpJI9A6*@~%ImzXQC4JoFP;fd^*$)hX+N2@nOXNq z%1Gf`(4_>2>f8kgRD3l7n%`MnsCFy!pk{e8(s}w*66QdhnFd(@C6Nv7=5b7maN~ZY zH$-3f^&M$X0)YHNL|}_DDr6iPLRk+5za$B)sbt$$wR+T;HOLj`uV;hXf%vzzch(77 zry6c-xt=0(s#0+3e)mn~;rlSUm+K7uo$i{f00}t0*#f6Mj^%$T}$Od0XIqY#F^Y8eqpis*rOoqvWLhhLG@e z{X4>~GE<6^!C~Uz`5RJA4nNa9tll#hgK>>AE{%n;gK4TmVXtAW2;!#~QoT7JSH0n7D?mq_ z!<2^_WoL`b2U~HR-D7YqUAQRfWW}~^tZ>E2if!B0if!ArXKdTH%@y0`&G((N_uXgL zzICeZkDlG5dyJ~aJ4bcT`Sy5-(xu@Ll<}V9mOYbQjLEAk-VTT~wlbu)_!o&sgy2L^ zaeGwTEFF=D*OSEuEii9H$#xY{)9ylKq#F3N4t^NlK+ zWey)M?Qqgv$w9+J`ID`*i^NBY=gigKDv&Vq(7EWkXf3jeBWh#@F_jnYStkFu5rWru zPW1HNP#pv!oQVesCwm0S5{`PBbBgQEvP3+HQhDdgM1J8hB>8}4*ugR^rDJZudmyi{ z!qdWHhiIgN;j1U-TYm{*(4>Yg(Hyua-Gu9R^}JO=`vieO&}-6m6W|tLUK+ zTHE*rxjAW}q*)OiqC*`cHdICl=R-P!Fp)7C)U(us4rsRa{4wj=(_;%g0%mQCx%`k= z?$2qSVo)@$KbE2Z?PDzO^T4hi>;nv(1Pn~q6#hyZa8_Ndww(-GyYpNn2v{jY6qym4$-G{bd3yIsl)rrrMQG9>Mrf4&D5MC)Y}uv>c$$%GTs+T6Wvcd zy_#4tET~-iP1O#GWyX8rh)uk`ojN4ww0M9wWN9HNCz+n+@2^h?1{&jbQVrocoCN-CGHD@=LtijdI|7>!lhi z{* z_N`vF2T>c9FJq9q?LYmwevta8;0tDlNR}!26HdXE%WS14tz8@7_)Q?=&B{OL?}Eqa z?@zs{G97(Td!X<~MHDVNs_wHnNo+l{nb){~JX`4FA!FxVzq8`Q#d}q8O{z-ZTzNQQ;fR5aFnhV&~fO>rN#|9r>!NOMk;3<}W|83;jMYdvz zB?qc^Epfvr*uRZLX3Td4WZatKPqKwYE`yX19ckfFT%X{0@Fg$}d6CIWXEHOC6Ty*~i~?MKWE#bOI~t&H5Pa{(ftqJ->6WVe zR&qa3V!gxM?rYnMX)h1=@X?(DM*ki$c&)3Arj-^kF1>!vt1#+TmD9C9r(<+wSNs#V z?XU3s-Rjm4OGAPGL1|<97SaB@+V!7G+kc}96{M9EMKpd28e2O$*}Kt-8+|XBJG#+| z(Fb;^!CHZ`gO41VvMm$n?Gi4fe|n(o2N0#oGiaaemt6kKLeSBVKp35b^~ z%Sur_i0_>?x=8|S)dyBkUxe5ECd-!0O|=RzHbY#RNIY`hnniU{XZ)+G5TL4|L(?r% zv?A9VFV|D}>os;3a@%&Y2$6(41gQi8cHG6J!~xLH1;daT@ZR!4gy~mO#g^+@c89vZ z(VK7H=eZU&uqL1+KUQe6%vUNnL@w`$xaSk2j=UB@^ty`00$FqO@C(p;q^c>3bznZF z8Cr66MSX;Cf}Z9XDReU^at^;UT7rz|OIHfO*U_5Kt&rLxC4HK(IgQ$;@{R~Fq}&fzoK82@c%#u85#dm(IaGJ`PUKr$Km@=Pmq!0 z|5OIQWx-#XtPHIG3WNV@f!{*kf2e`~%>P3U{73j>W?<7MWMk z68z_U{r7A5{|^#mWcJG_Ovpg5=xA)E@_lslYGTIbre==cJR;-2ZN4Q{<6ndvZ2w%^@7~DR z+UTD>{%bTZe{nD}{U;^J$ieaJzjSDFuld6W2M|)tAr(r*DCZl){sj)^hqgp( zAy#I|PVC7tMrs~D^Lp2ZVCYXE#FzHE%8bX zMXj8*n%j_^LF;3>TT3-m(Oh3b_-Buh^tX&Pk>(COd(Pfa)IeD1%Ku6%K}S3 za3a^)dEGn-_sh4)jiIf9NNI7KBu|~gEG#|wwSQ__3)AcA-b<`;6|9Bz#zu>Vbbpx1 zq&`=SeA~CE^VhifNe-|T4Po}Uyn{j{yjokTm5yD_2Imz267eMk8gcS6BYw9XsFzEZ z-t&DAN_@P?YGvO7Wl)>g!=d7k?tCKCBdSl6{=nqYhvpD7v%ff@o`xlE=*T;-kQ?kc zH07Tf$T|;sSX_r8V8KN7>q%;oD$N$}b+u~XeYIlYJ!R>T?59Z#8ChC*OApy4I0Lok z#&nGmht0=g_Kr{?lKAx5WYk;eTQSL+&p9g619q^^syXhJK%0q~VT#NhH;BWT<6bYz z+0i{tZn*At__XRAkR*!yNm-2t{;aGfcQ1SATNT z>Uw6ab(8O2KaE!;BE?8T!zMR#+E(?4*#BWqOd{5gq6im_GSAIV)j}I2U%GUzzmeo_ zzPL4}-gLOg1Pi7Ou@C zg-N&ND=~J-Y6C&_M`88K#|^Zc8pOxz%erQHTSX+$X0Flgjsd}Ee&TgkSV<)>ud@$p z9d;Ywwu?1}Z@D2SgNQEJ>4o9bm7cHsXbp@lqr;kt3g|Ncqb3ZD(cvU-jCu}!G+Qvz zNccq^G=>{24|(EmX?gX&pDER-O>|F=cAp`-_Q~X(IriXlL+1#)!N+*KZ>Tm08`95T zCR5ZK!<>;#;rQeq*TGU!Cl^9$#q9NBUD2os~gzQCOCYSeQ6Nj=ZHx6JK{c zFxITl^<}C{fO%F*ZgwHIv|ARn(HvC4V$!R0>?C7+(iuN+VU$bi@PiK_0gI=TP67trsdR8~-SndSbI!ZX7 z4Dz=9j`R#>m0oj~dXScBc_*o&{6{?`R|wuEV}?f&+#-xP>dr_~wdFDDKpJiW1C)t{ z-rocT?_6@G(v$iyn}9j;T+PiWi(K$sLXQT&50y5GKA_#E8Ys0`Tp6hmE{Wv%vmYeI zkZ3`eSV6_M1Zd2+6sjR3nmRm=$81SP2Wt8yaEGC(wNC(=WTDTM6T5KtrT!Hpq zn|=ptQr4Nne;8Dz1gCz6Z1QF$%Iv7+1J{!+!YT*H26@Y3M?7)jnTcy{tbAZXY(JV* zV$UGeurCBQO?nR|MK(I=#L@f0ZF0t58)Uj8{MLz-F->JCe;Bg9uRYtCuL5t0#VI0% zjc$K=LZ!HD%cnvIqS?dRFN!nAvRo$GrG}LdNU5HzJ+7D##KM1d@$24BvL+QO#x~zGK=P`{9-0!dk5xdpreI~ zwEQ3jxMg-sd^h{q7BL!^nx#3jEv0{WOj2ikb;hyS?C{cEIc@0zpoedS%97u zYv9$2IxRx@cota6+Y)*_t{~}I;`}WQFKa~Ec8Gplr@LUefGc_erAnCK!vYQ zmC|cO8N)^KffnIsVKc^N3YEAib;QRB9MpN`0MNCFo!Q1{8=V~V6@b_ulnCKG!Q=)V zF{=~LB%Mo4eqt#s$v`USoYTSNG$0JXDsW~ivZSU^BKU$e_yfjM^l+f>H zhqmD=uKSi1e*2?u8dfg*)r>VduOiGQ`COp;kS&23a=J0u$FL#J?Ic;?M^1O@L_+ZX zZAkf=>ij0n89{quKtG9~ZyvH!LfW|ie8Bg3T$=M12fsGc#se zLVxnlvDaMb1(S7YU=ks-Uv5aY|4=C`vcV?avo-#KKh<%xXwJukKgv8+{yV}{c}@+7CUak<(n+X(tN=kX;ilDt;LwCnk61SN`8@lr(O&eG3_9!SWh=N{%N zmcqa^phoaPiav{G1(NnMmfT8Ync24E6=q(a5K?1lAsi(?tBHYkJvZi3i|A9=4nMc? zdcIZeiOL6vy~Y6hB~Sr20CK$D!LNvU*NfHLtDMpNtzpjXj*Wg;uWySG8+l1fQSlHO;eP*8> zg^Eeu{cHWSVAHRxpTA2~B1kjtgD(_X5w;Nw%&ik#S2rj6@Hw$_35iQ_E6nNHvAp>h z+h`^{fJv05w%szE>UJn?%a=W`v*z+oU0E5fy@U&!2SKXd!lIv@fXaq6jLOvS#oWW^-FV54BfEqiy* z&HMeBxawRE?l$uwGZ6N99CNh^-d2Y=UE7uQi?-d4V@{lLzFG0|$) zo7H?1hF zkg7%dn67Lz9S$1u81G87FFF><_%H5J-J4VRsWbVnxm#=iQ+3 zI6pWRd!f=)F#B+JNE+H?PZ3ptYqAx-Gy4Aqo~zp793U8MdEem2Np;Qio25b?X<-A; z$vVXd@z<0*a4OV=%bL+=8!0Wmqt*YEBq0+{)_z12Bt|sa*XAJqNX;W!wyG%{`^(sS zZTFRN$5DDAex-FPg`x(cWyR!KNRlJ*d;qnE(Cjd>qbUe@M~05juvKu1>ETCmc`>aI zL<)ICA!+Wks$5;{wzdfuJDTtOfyJr_nT0O?aOmMZ7sbD{7_gN5EBH#0bQvc7cHZ1z z!btFgWhJSGI$KwGMJ)lSpA;*oqt@{lm#PupaC-u7Ia%V4S3^0tW#SuKO;b%S?UFis{K^vUPn6Z3xX7dAPqg{_-cA^Dxz;-B3F&^ou616G6 z_F4lcuY1EUP}{i3=zJOg&i!fndrP9?ei=#%VHzRfp+53n%ulf>e{6B^j2!a4M`wi~wC8DXMSgd0wbu`n zY}d!9d`QO0OOG~4Q|{|4i-cr{bfcHWM3+=d9Sj!k+8Kg9U4(QYAQDb!gq;D{ZV;~S ztK%Y=*Z#9cU&p9&Fd&p(dP5NXGOT?Csvclo zF#U4l%@|KvZ#!K|mbn`rwzd^KDlp>w>4;4TR+gA58!G6!#h4|rB%5viQh|z>^z|VK zC~RT3f91q|mV@CshiZZk|M^)5n5+--=-QEF6lPudy99BWxB5AUzx6N)fRzzg-A`2^ zt4BoFFN2w8ze(`NlRB!n&~4;SQ)5mIX4TduQgXygnKPh!ElHSGhJx|eAylR?=?^(k zl>%cR9=iFBJTQ)K+oF;t=le&Lku5SBsDTVISia}O;|~*U^0*x@@5u_j@NfCKU36~1 z51GyVJ^|JUw8%|IDAwmZgjqpkKRZlp+%Zf|Uzbcn)lC3rU*dCY~jz|XBYJa&u3 ze^+xf5YOkC;C)}icYbyO)B+{#ew+m}c(fuv##_!!e-Q3;844so&VIyZcSf4dmn|lB zbdA4Zl1B`{&%?hvC+oVgY8Sa>mWbpW-H*PgqsoTPVcHPo!_5rKvD{hc9eN zh`V6Y4Nac)U^_PTf(NlzeDiG>XtQ+YnML|jF3WKqC)L+I|6pc-riT?9;Q^UBbvDq{ zN0)t$7@)6du62Xm&uldNRwqj1sa>SA=BuK=CtHgI2@L{l|5X2Gd13RQL|9`L1W&;P zQ#a4zPF4WDJs0>hwx9F#FZg1#JB9GBths@ZGDZVD2OH!9a&Be3$+iUh$dBnOAirdV zAU}WiclKrO=qY99P0fG+b)>w?!E`;t@NB)|hl0@qYcIfVn%0==DI`P?3XlWOGgv{H z_w1UjAb$JsoY@b>3({xg{O1tt=O-Kp*4;vvj+xitz4A)TOz+XloN*X+iz6JJzq^yF z9Dkdi>e4_gAg1h+GW#pT)?t9RR}u#Uv?qNX{^wI|F5QJ|@iQ4L~<^W;!!Rh?+|CvS7= zajpSB{N!i=EaI=>Lz~!(;+@uj+iCn@1N-m2xk6ME!`Bjy1}9v-3)U$TrRhi|XM&wb z)nag!8v$n>92p8SJ06Xx=fQnnl@zHC;n?ij1$(_-g);C$NPG=FDh5*-)9sqb@vCZY zo^*#q?4g~$_}$T1fP;L+WVAX%d8z&ajn*B3|K=%&Y2B+z=)$z3IDtkoA~t5)TccW6 z$f}Xu+2uhBntw)X1<$pjrSmORdk@tqh5?>*Vi15?&5u_R&aQN=6DO^JKn~a{?#ndR z^|!*XjeCg6EO-w%k>pMsy3KWhds9E1Z1Px6lQ{qw8HY59-;3f5Wsg(b)%I3%-1G1? z9-08cN+PrVF5Y4b>jsRLC!HM~1@5(?8(Ey{kLJ8-AE}f5BAQLF0tfDS~O z%znbg=7;p4|T|EABy8Qw89Rufw#lkGA1Brxi~f*aoa=u+nq zrriZjIY!Qo!oWJG8!=`+S~mxUz02J*pc9pf`O~1gH$L0?Todc%HhJ|Ut3f1jBXGko zn)XAyFy>~9Gr;X-F*UACe&IyOgn6%uGFN6f(}G|y7FTix>}s8ko@+=>0XjFuyU%sv0OfXamBimpqWbr1 z$HjK-*^x$1Y31A3pzabTYa-!|neY^W4Y?pwI{|u= z@d2$|3k-IW^i!^lgusD^1{I>jqKB#UkU0$7Amsq7v{{uret%>MSHm7Oz-d1z#PMl5 z=}qF}VE8*%HsHritt7LjuquF7y1lOF4Ob2Auu(R719v?)(3$A53fn5)0S1pZyr09 zs8{EtRl@t+d=j3C0tfx~OxVFi-4BGiJ;#7O_@e#UIE?uvgB8~n-cS6E29!qp4$J;3 zl6xF?+G`4b+5vLS?Mj(UM{S-X$<@0x9#N#$acU-iMDpL|b4%|d0aQY{&Z;+VYMrft zgXkvL11Z16Et6qR$>0H5{tg6f!9tS=yz|LcRp@6G2<1WFhld7lBjGu%0;PC`P)+?V9zyTZ`s;nnwr=R=w z9Tpo(w?-;TEk>~!WtcmwlwH-8Qz?35YlHDOM#q~zY+=5}g4&0t&Lh(9UQn%{ama}K zG~dar&dR^A#-SJo#j2)TwK%pBE^}y+iT;2cBso{`ZcSUZ0kXlSH&+CIuRs5wo{^Tw zg2yCz><-|ZfI-(VkbVA}>Wsaec?cZ2i2RoZ?yb+yx<}*0aCMWhet5m)5gGpGSK*@* z*Gcg*+q}C$E%*D)c|^d<&|^r#e%ysg~c0$>Jr`S65!Fpb#KEfTK;s;acrO}pm&x3gfl6ZPW-%6bI8 zmSWUws8O_dj4;%K-?B6$w5FE9pB$1ZD+cvVIYm=r+iy(5N-fLNo`*6S(T0B5Yjf@l zAEGcY?h|V>CYu3j45*BNAR43j;NHB#tyfrjvj%1~NU3~WYLeRMSgJvA%ii*sEcP~Kl#m$!* zCVn`oa_n&`4Cx{d%Sh{BJ(EP6@J_urI1?6p&{?i#1ndSt&w6 zP3NlFa}{W1F`Zn?ZJ(Vu!jDCcYPEAro-Z!xgx;=}4;mZtH?{D96Cpj$@JsaBspOzX z*T2+`@I-r{^g9DMIW-p713B=|(bKpi+o%FYtX$vren z$h~fA2GoY2sEDm86iL-dZG@jCT#HyN>LZ0%1`{nUxy|9Jl}rk>xb5Y7tdgfp+HIBT z2Jiw``}x^Hp}%d4D>I2Dm8ibv0!(dCmxlNSZFR26g9K+`z0qp@wbA>LKJiiYVt z0~(`g8!3rS4>*S0en!g2K14f{KrP_mrTSU1q0`Fs{ZyATU(TD5v6GiKM#a=znQ{e7+a&A|7(Q`gDMqL!r!@Nn5v2h9W?_xwphAtqS!5b&gr7r2N zSmq4;L8p(d0L)$ymh6<0Q(NbcjIS;y)i;HHi}{-2{~~-+?i6|XRfQhljoj1LNLef> zGrwhGA%is1DL(cgOLX(sU$9lSxiI5qllQl1E3qJNXo~BP4W%8kn*P0fvjDRY5VZ8P z8HpO|yQgOvA-lMwQtv;U>4twA^nz^OEkQ4JdcW`%H=>m#nwQrYf~|F(SoY5kXo`E` zskTI^jPx=3z{0}5f2pgp_jG2&Da8wilZ~CaI+UruOJ^BoN#u)-`J=2?Fcg1&v+aZr z8uGg%Zi+zEQ{p|RKirBsPg)6_n$yevM>qyA@a#y;wF~JmhMh1kw?4VMxV8P$u*K7I ztnE5#18wX9k#+G$a51zT9N_bhjHAfu3vV@g_qP2AZrzPF4Y>HR{%^&&FUqpU=UyseIW(y2AH*}ZOU0`_IfJa)^66-YHkB@v^DGpcnYJ@)^ z+B+|9TQ8Rcf-f-YbykTDjNkw6v%}~~cO9(jP!Hfm^Qh0@sUw8wm^-4)B$}1i^sb|i z)GMO!1@3C-ut+%_rk#!QKOeM@+*U)TQ@J_>RzJr3&zb5Pwk#1(>{3ilq-9wuz`<}Z ze7kOquiB7qvq;l+Lt5tGp;X`~>}m3ctkf6geFY9OzJX^P_GE-O81cRJGv}pU=QaMP zg`UA^Vo~wWO2_!;a>-V4jK;rKd`sXn#kz7z0ggSOjV&UXYGN|(`3RIWinNu@2z`Ag!^?`!(>|^ z%yk`#3f;C*1u@M)3#XAiaC8!I^oX;^ev2Tb52FIi!=eIpWw+>n?RpG0k2$O{u){eK z=dp@s997a1*^ICPoZ{8_Dax6z;4eS))RFXuwL9AtGt+X7sv?BclkSL6f;a} z^ldYH#~S=mg68>nfIiN+mYx`@q{3)|Obx-_Jr_>+tL3>SRpbtCJ~ zB=JHwjI~O=HPuQ^2)9R3DRx2odt#RaL%AirU_y0+N4sq#TrH?E%ego$}Hn^d4$)|qFgl1dJFwP^zxb~uljWX*3 zjP&yPOC#V#PgS;~KytkeIK;GEyN&;7sI^E&d!|u0`Yz800@$>Ir-x_5#B& zS(}+CGszyaL6XU`LP!9`j9)t#sS+DX5{7nWn{&{A$O?eXwZxfO$IYH0^eQjSYS8u| zn%mpzO>5FK(7bgAe5?Y%xc%Pz0h0M790ICVV&InYM5@G2&GRO><`K2uu zY&ODTITzVJ!V-2*jbp}nI}&Y!k;k7%Y(sK(gngzMfTF#I53xCTiMpW~5JV2O2zvdJ9%xV6BQPT%3NFT&SOETaNQHe1z%|Azd!?x#f>^; zxi9(PFEwc(Z$Qe1S!J6VebQR5-uz`|)-qEqaG^ghegZVWOX3R)4cvqd@z=HtvPD(- z6?r&qw2~ZLM`oQ^ny_D7`l=98^akjDJefWN1V+Vr*8ucm$SY1i&7%sQ=M8Ddh(0au zPL*abH#^whD#r2?dmDN(f+%lG39VlhB64P91I@KH55fO!^e(07UTgiFHvSuS60z|$ zn&+?R)MRrK7`fufn1&9{OYq+D_rR3E_nzS%vUH`0=W&G>lj(W1a@SE2X9}z~4^&8% zFT|2=M-tOxDK!2bhqSMm#T;#1YqxaYWNsWqp7w?yG26g@KcSTl?J*|4Z0r?oF3;}pD9UWp86`U3Deh4 zqSSQyW06`2@(2p6&&wYqxh$-|K^ z((`b*(a$dQj$G*+_Ve(TmrrvH%8|G--QG+0R1)%?-Z09)gxRR>(`*8Eaw-<}MnV); z?Q1w|FfanxCp2v+o#5*VfG9dfg|E5`lHrA#&EyT*Z8&B-zM5yBuf*vF)9;|Ebkmq< zZ+E-DU&iq0b~24)T_@5H z$QKwoMdahUFBW7TJ%@1d-xP0*aX;wCDb1Iubn~&GC)OUOmFUA7rSqaGIZrZ?H}WQ0 zm~(a*YUxT{aeFQPv*2-+E3EPYGd>Q~-*=e@F}#bcz|=v?&PnwEi~3X*U1EYJjIsda zBkc6V^)%EsM&IkB^+ShJDc=`n*yNs9*-<$$Wddf?{{hHrP8a@gS0DNv%ozJby&D$X z6dicd7;)kx)j7-gL&r-v6m05Afbui>aMm|Q}Nl=7>Xzv+p*pH%;DMES3O-qTB z&<7f-?sPyVvf~9XzNL^(-cmn4;%i)CXt;1K6ne|}vawb@=BSvOp+^ASr$Cs*t{LwI z94?@vQeuVtowEsh=_mQUK6~+I5_+@Z+g5>XOkY<0Gcxj0HN>SAOJ`J!ht9C)2JeID*u zn;qT+-SJB;>Z`+*GV@!fD^g&uKU+*|UI9qU>m;M%U77+&9~q*y>L*%U<+Qmh z?>GHWs~3C3N`I3(BRuJW=17qi$C>oxG#vRZEU}HCE)2rYvVUJhMIhV6&sN03UUM|WJ^W3xA8T>9{O zlfX7rfFgRQN(MLF-Q%fIEcyM`mPwYOZl|246`O(M?;Ro zy!s~=`K>J9#BV?x+l5Jic)c0af4&J*Jj!2tM8vg(4^+b}_7Tqme(mX~dyT8Hlq_uA z>Gn~fsJmpI;DkWXkECk|s{tGqPdsD3bG`=IH({m6AOOU(3|CKc2bXET9lpyLqng21 zb!p8_?a=B5d{m$`>*a+GGZ|NcviQJ?$NVH?0o-n0E+C1?3noB&x4l-P*3aeiHiyJ9 z^Y!bVN0oPjrnCHpbQ<(lI&%WL!<8$aN)d*oic@o#v*^t-lBHu*42~Xh#^*et=dV;w zoM}*ry!>d@M4l@d<(eA=XTKrJ;UQUN(s8@p82lTn<$3OG$2xc?vp5) zzr!(_?jw}Hhu*12;x*8|8P#3x;dGRpWyAu6} zA%OMMt|Pur)r|SJQ=t8(zCumh0}*Rj?+YXe8v4DZt*O3&-+g3Y)BiCtPmPg>H+mgE z%Yo?Da^p4t5@siEO%q+5$`_wzgwzF6sQN%DSuM$O~N6kWTL{Cg9cfCa^0u(DLO(55Gst9)!wQzsJj*gvqjQHRcm zANd9etAUNI>sxk1G3K1~je@b;uw~fqZ8i-A$1a3bbn?UIGXyTd#H-P2Gm#0i-2cQ2 zqN8QEKi+>9m#JI0qM+q~YQ?ncHp}rj4Md(=u4tpT%~Q^)iU_|rmnskW3GTVNpCPoN zann)O$&grA6<{N_OK8P*s~LciCH@#!uMS_6fH`sAX>9(Qot(6gK2RUmi(B!J<&gy85 zFm&@pm0Z0bG4D7c9+(#Oym;v!OqA64S;tsWVyEn@#a;RBc(>Ep#BLZrOD!0D?vf(R ztRGClH-A7?kYQC%v+-ybfklEbXhvHs9p5(~b#cut4nxZqrGXt=6Ce6q4yD5}FFT;> z?M}5Aw~$gkP0#xH&Po>EU>~ws)6??TuLz7>SFq7*Cw?)R26YB}N8#R$r0)Jc@-?P) zA62j3-$4m$@70CKZ7vGRYsFf$zUnb64nVl&;eiG~``LufGkxWD!on#tz5 z*N+^>5aeJ3_3WLbkVn1xb2~B5a7DM)!tiK9mFcI=Q9=2eYNLykkryYV znR@A&#_JrP3J_92slCLrj2($`$EyM`bs$9tT(BE;C}4=LHrsQDj;&9`K^(IK$Us_- zEtkjAlO0B9`>1fJtp4F{hhC)O(&e@b6JG=g!9|BBg5VJ4th^XZ-%(&>;n26makRjc+y}*>OdVO#OG1-Pn z#jALxGchd`b!XB9F=lqcPY6Di5#yqkF$WDM1mD;=Q8^YpqUV+iD?fT`;z)bs%DyQojxkAaWg` zIex~&1VBSy#wznJrL^K(4Q;EWbx{31!BsM>zt&$l{$}-%p;3 zOm#?6m-=jC&5KfGKIGw8TK0!tvuR%)mrT<72jujA>(AsApgE5(!I6#irGTdD>Bmkv ze%q!v$fp8FLST!s;k)b3dT(?2kq*-P8;xfxRhb!2oldv8T0{)0AG8ul>DCu;k=>lT z-4C$gLi6**`54wId|0%8z=YYtp_c9%e_#^A(Y;@H`s=rElC?4Ln6bS)i-DvYXdK;H z`8;g_YqK7YPo^Lr+BS7_cV-kW^hX<;&qO zDx;voL7|@2c~SwS&0xZTzEJ^SVsb;n-e%FtZ55mtqsV8 zN!CSzsYwj-0yvUh=>iOIh(QGKabh(!!({dlBG*`-YOm5{eADc12}r38mRs+^s83Zm zH#ack)^Rs8>*Okzr+inrYayCu)p78q|9a-D|32-g3*?{i?yxE(qSt)twW7JtBaZa$ z=nkXSGc;SnKv^1R@fY&L9YW}hL7k%2+1LXrfo6^E!ueE@>uRfQpu*C^-Ov^qL8uh{ z{R5$05n}$@Zy7b<&*gGst_|UeekUYc? zN)DII)3bTpV%eVG*+{(VjN;Eua6OkI=Nr(;u>Cc(y5=H*odiVl#ch>eo%Jpn7NR0% z%n8d<2*BzGI16kS+O(XVjW?VPspfl1KcSeEE}#U?X~(^xsYQ&;DJg#`cE~VMid10A zqv3b*W>tXsc47x=jaCH_V|!#w#fp0x4Doiu46vpK5`dWFsz^8=Ex`)bUW!g^Bq}Uj z;@@>eTPqsatE+Bru7->0G1BEw`$G1rO$1S4C`S9Re$GOr7G%cw8VkJ(h!?*H{gH_> zgX{BZz%59i@|0nbGyCXqiFz9!LSS4fcnzUK>p6Mdr3?j#WMvv&6QOHpI|MSwwKS8i zD*L41VU2QaA;_PwJOHHy5lp3siJYB+j!*Nj0EO3Az@sR}hhR^MIAe9|%g-LswY)qhl?}D>LlqWSOt_oyj@Sw13Pe2*KZH6A)Q$WYwh!vGtUz=Wg!l*FJYN=WYXN zxExt(xw4nF4DFUB_NKwF2{J{rS1RxPh>((hM;9HFuEiYhf)cjb`Ypb{kdi29aGy<> zs;#vR;mXIqioZMvSJUQq79h7$$cw%eo$92Q#qOEonPT~Z|Lt4kjj4U&wMEU2FFnmkbC#uJNW2VPT=RTWoP0#I|2m}`XxMw)8H3hsW3 zd9~`Nx%@FS=RYE;NrQ)P>aQmYrlTg=4DitEcv-Ey@HVTL2`J+@#4%kCk{W?dpWak`R1#wWme;Yb8_yzdD z$wbJ#H{7uN^x5+TnsuHhlvb}?Q~IZkIsY|yi#!0D=BPH_JJf2?fqQe@AyGO`+CrDs z&Ay7?6nK9=YGf>%ph%`yi?K9arrw(u;+K-S5JRkl=5$Om{ttp@tC(`VtI5g?CB5Wb z1?M0YsRf&E);6lxnCwyS@JdlxPqAVYGEi>Tz^d9Wm1ZlmRj*W2{)!~tHjzSWd?L=C zY!Y_>YfyQUwZ{Z8$Z`8%eQM|xh8lO_Lq=)K&@aQ@T&QGaDc2?7XunKk4va`j{os5X z~ry zs|Nm6vn9l=<-B=rFX}*Z^rn5EaG0>Pd~|!$ivb$cr|w1x;v^t%`g1zPVj9||br~o> zrwd%}eWx1L{xY7y*pL39HU@K$g%ptyxOnUGVc{_D*2-|@wwD>$lY?KmfA%;sZEp_I zU{kypRZ4!2=j`x6qtr{ykVSnz z=2b%;myWhtIt0*W zlDRgKPVy96PO6mW&&uz+1~zK zY0h;K1b-tSVY@{xOVSL!>QcFfrr^%)_KJ5c#t~3Xwp?vTqP?8$^Kk)T%zt7Mt8t`DWn+cp{`}oX9jO! zz$HJcbdYY%X$iq1R=C`j#_!H3=0QfM^Gu42lN7-hO~zK&I3a~W_cN!W(tYvVrz14A*V-KV3QKxq^!&wO+23Rrz?}o&HtaaYgpn24l};U~Su)uT-@XrD z*@rN3_Aa?n(aDapddjL`UX2$QWY?0}SCFp28H84U5LrsJmr2V>6@&8r+>ELy0OqH~ z(wxOjo82H0c#Rv4@JY#`r=ua6ssfzEq#j77n!+&PsM%CrHXNM#+_3`M=Vq($WVyBx z3w@AC&WW-o2T*yJFw9(eH(zapK_IW0pKZ#UM^QYtPXX)@)T;x42mTKFWN$Y9PjkJb zEV~jWNn^y8XpI`|NXfIZ_1~jfr0me9cu@%BMu+ptkX;Hrd5=;{8cQ#a=DzFgaTx?0uzZT!iBNrejei$|Ufv zq|410U2*W^K-D3%Q$Hx-Sa`DGLlmo84 zMe3(6cZbaGXVX_6q8BFRaj1VIQS^LpWWP!#1Bp*$g0(7e7>f)ty0$y~sr%7OMe|xBh z`x(GuY)p$FWU?|9B(JXfWQ67F<(y!(UHTFkf{CZQ11|=NR^J(BHoH!->Cgx3U#H`f zz4{H)ceVH!W}H|YJsKQDq%KGa61E3Rsn0RpjIO*e!S&K*prVH@$Wub>%+P;Qa%4BR zoGBlRK46W=Ws_Gzh_>H3JlU~=_cZ@NoG(Qq|9sS}RP`F!VAZ^r;u zZN>4iC9Pj*V(L`M=< z@Bk6|u)EbiI72L2ky-tRVfm99FCOxm6rW`rVvR=}wOAode0a#~8vv zG32kWiB6c+UpkoOql;V@u~Vpa!g;{H#;!M$0>R46j;QQ18KF5MwPSow8kD-M&53H+t?w$9(_nh?TKpugo{G z*ZZu@-;-m0IRgC6FX`B*-`i#%#AqS~u=I`VK5(KUP9SA;fngp~H;IMy;qU7r_vx>` zJxOEds&dfcdc-Usc>cg#vf28}FGqm(2?)IC?Oarq)qCXrt)bvioWk$T#J1UgG4-M| zW75+MS<~r4;VF)1dPzEp90+WChvjgfksUY`=@WZl+6Tf7sR{6l=G9yY8=GiqyLIrT#?kPpGdiGYIR%BwZQo2$$HSEj?2|olxc?@f z*MMCKHuqvsJ0@+!mCKS;DRc9hK&(Lr-nbQi#RN#&3RO{)PBAs1?e7fqH#p;pSB}nFluylyAf#=zx1H0qH zV^4V$*el*DT{|B5g)hBV&kp>;%B;tEC;h#a@L$XIF^v13_}56DRPI9FGt`;sQ)gZ3 zguAbTgG}7fLD9S1vo671^jR4TOqITWCgFSq7;S2;{t4whq&2zHch z#|~MZoTSnI3PP8KX;t85W&y-Cq44AQSJzG-gLKe@!-EF|_E+T)1l4q-jk7<1QT!YT z3(LqXl`$5{8g{#e!ZGb$k|bH!QgmHw{FMC`g7b6eCHCsv^Ft_f@APONu2P|?axe0X zV@|)H))vsYK11k4BQWnwe15$ledK$D_NgVRWW@E<#9sOPbI z5BKe(CxZ+Z4gKOf%2{3H*y3|+Q_EVgT&}3L^9;zpiccA!oUTK(PzK$lJ6HSkt3jTo z6O*`Wx<+FhEpk=oC?0+?oW+6aA=G5zb1We|83k>379158e0`#(J61D~Z=A7jkuja6 z)BOGI_Gx#fa{U!nz;Ln$BUoQg5!NIP7#wS)7O;+gl*MY%=Y#ee_w{MyMfun`P2?;d zYV6_M_D%!`wH|x7Y%IJgQP&!`wI=!eiPYNK6%45@HOGe~xz9RW zIFq!q$JyHxeacPF?Sl^I*|A9a<|)1PXcS)<^&!5-J;sg>+bFF0j*HWjew%*97=npx z-0{_^+JqG6LhtQJdg;Xn!WVcDZSZ%SL;!$^^ffINsO0=w>C5&+GBL;cppH)?&dMCB z4E~=?)fOw!E@+!925V`1u&@Fn9ypyXQM?d*%Fspa`f?UqM2bkGK2oL>6?D!Tu{nu7 zHD0ZfN)fk*bCojyXl#c3pRrP3sg< zulr|L`x#bowznH0GLAtz`T_|Y8GhSc%;v%0&O%l%p&K2Sr7<}bii(=xiD34YEJcE-ullfO5LEo`>CQPP zZ!Y9ip(oxy34LJL{j9s0vOyhQaN>{vY;0a3u&MGCR&OFCMUdz=9uI@pR3yvy`gE@X;`aHGJ~d8Eo1Zc!2Qby znxiqjBiWM*W1yy!v%=5&XVFtu=F!GeO_nbPFDO-Jy+I&jyLvIQUA_22G0bCu24s3m zm0$ki>uYhsAP;h`qE&yn0cZCX|4=O|V>42y8 zb-t%=+9ktKHayh;NlDJ4ANpa!Y6Jf~2s=J-YR3uvT?VQuCDEUnf_>Baq~;i6V|(5@ zIeFK3m78pFu1$|f9o|cyIf0}e>?xFt2BnpB^Qs~8_h!wg5oZYj*wO742fnXm-gR85 zVSM6E!$$Ca9XMsFa-4C{WQ>%=bnJYSV~V^X(fGa>`Ryu4RIST<_vnvO!Wgmyj(E}F zuQF@I$Lyu&$<3ih#GVAhWxa$ctun$l6*N(&nFZ=6&O!vTSQcBVTl#h&(QNVut;wNw znVBS0rjJVP(bs9Id>MV3%>lB^@W7s!R)4p_h!UWUs9nN`mE7T`Dj4Gbw)+O>f8I%f zUYF4R`aRSi{1QuTOmbTjs_wFc-`l2#jCQW)W$yVXpNcUQGB&`h{?&LRA*diE=G4M) zDtyo7&p|#!piRPsV-o7J_mHRP%ch@opycp z=hZZgdIj)`>JVV0@y41d8y(iNqx+1fsUaT`|BmH0@O7fbH>XsESr~DvAEH+E>iwyU z86nsn3dYD#2t74YFpp4kT}T+Y*kbY3aCeCTaW|E2c*s3=FNJ?x0qV4IvW!Aco^e~1 zm7%m^F+n&s93?BZuz^UqB;LW%m60_tHjzI42ovLun}K)LCI~AG!n4oR zBm6RAcVRTsZHm6a6*LT$ub&mt6D@Xez_g-2Ux#GuA@E{x&kh3mTKSz!A4<-A9nd&a z(Qzwe5U`b&YYssHU5-jwnIQe+Gayuaf-7U`5!ow=5wq9JOWF=m%g$gc7zF7$Rp(Xm z8xvO?o`TTbd*bGD>5r&IGoXv-ClQ8C+Y4F`G;;GH&f_Bs_Mn=MT3PB?d`J52Sx;k0 zSR&3e9hbwY1+AtPFUaNJXpq=R3^bZs5{=U4WV5dc&ADMeZd=rzzHtJcWCcfx{g1|+ zPZU+JcV4WFbObvplF1G6M6apQ641nlx+W%e0jUif0&KoW$* zDAx5SvNRdCExqJFqF zFXL9|H2`?}4<(;~;fAqHw@ROx{D9sX9N$uSm-7Rx*=8bCHJ~?enyY2NB)bFcqsTR| zijY;SAr~C|5D_H#i#UW9N|6;+#!#=C+DjhjK=@Ei`CANiezw9|r}pYv71-6j760}& zx=qNr^3U|wFJE$3xfiUtg=#p?P57Qw?iV6I`Yt=rH(^RWpM~@Bl(LpC#L?D46&mc6qLKk~-m(=x#ZsYn9}q8LU=w#}`+(S2oM4uH zFUv#L3L4bna6}<#+eCZ{y6x*n--!s;J+~n?KRaEL3#kR6Bo*1{SI{6^OKj<_QFczc z;@#Fk(0nle<7KxjDk{J$6`8ft0_uOp!4)d${VPhDxe4@(8ROD_ch>^C$u-y= z#BjD7eMtTUoE3)TMuoKCY%9tVm`X*aW&QvRkH-0$kSl2v7Jit)5QuU@YL@(Y#KR|g zKsL|*>Xt8Q_~Mv5KUjsoWPZnNcDiuWuX*9}6Q)E+*D&rD(wncYppmt0zt>B1AW-+WSInn;>{hw*@lipqlQVEhQyFxNt~ZFPhjEa$c^%kSt( zRXZ$M!%I&U|2*gxJLd(gWy_7mEmZ=+81L+I>!j;)$DC;T-P?(@>2%)cWJ~o8KMhB2 zr|g1YftzWr=#n6Q4C++f8DqW zF>Fw#0f&qn@T%wYYKes<+wZ?01+ws2KZB{$jI}s!cE*Q}$+N769yCPQBqglcPW1-Z zAoGZF@Vy5w-@Lr*#E{_a_8Nu6aHl62Pg~!}DE*8zJX8kp;P&$jt*6d~7@fMlLDjgm zR0KCJYC|yEL-?d~}DV}@A?lSwH8e1W##!!2me<8ko75wm$$I-HeFAg=z2NbBVsNj5i@n5OD78V`MV5bLqo0Fk~HNEjukvkb5M zOV>r@%U^Bm7rEq)hPNJj&(pW+eeRcPNM%SNRm9~XoOc?oWmr1qJ}btIP-xy82%y*X ziUrQFnuCWZrGK-{E_lVzY)RB?I3?m5o+LG)q)D3NL@>8Zo`Xy{ku>6nl-hQsXoFzhQg@s3!M5kFGDK->@ks%`{ zWc`AYley|J0vl`xZD5jgQJc5OK11*LcqqW7eh1CT{j0o%PXqhY1Z(*8b zBcr+-G?hex-Z=n%E^Ng>99*&kpNM1PUg(j9C4Ge$(rg?TCui0;-LqMS&NDWyy?= zG09e4T<`d3*_@&9WIsg_=)B+wQTL5cwo!qGm+A zw+o~9%%ssJxp_TR_QOBqNKvXPCetsW`qR|SoMSy*x%-kUT6TP04dZW@5SW9};>wlm zB~PJ5ge(VefvyU~us#|!Q#%to)tOYiW9A$;ts8q}Xm?{_r7`=KyoGLkkG#umdw}H6sAK{>k0hW&!?FS!?_v zkt@vT*xfzlrGN~N2jA5D;8rH^D>M@Q`RiN}P2h%r3zy{WZ=-lL*u$i`@aE}A;LPXU z5B9Y+B{y9Ihw)~v{aB97%8+q1_nzM0Hm)o6yZl+=xZ9VTvSi!1e}9>_6-!rx=l36o z0}g!tHNf>O@mQBuTro#po_4}41+I+=CiU^ISbm(k)5-{W;%m< zo{+M(e8sys2`qfcwFzq2#sIbmW7-_nbvnQR=2#Wpgdi(mp2I_$8$CMj&YcP@=JN=f zCXk8}nC$$211seCH=w)!8+%h;RY*Zjlv-3(MEL&!yvg}b^q{G; z@eiTS(9T87-p<8YoAHO__rtCGSLWV7zW6_Z>j;>bng5Ht2~kt$1uGY1rc_J6Ln643 zl1-Lr!w}7gwHph!IYYfMEZ7j=>b1r%eU8o&yM^4L*) zq}~M2sPu}p7w9XM)!HDrUQ?}B0A(fFJjT3&X3B%ng<$Eo+g(WZ8x&qY^x>N@A4g!v*|27 z8mC?HflL;nFJVFPHrRBr|LE63apdz`jwHlIIu6!s+(EqJ<_qEXS~F`0rt4dNRb6#? z=9q_7iZHT-ec%7tasQ0pe@7Jl@1Fbjn8|>ZRuYalM0|RTEp;7&Hoc) zl97S&A3geCB*K3d{P!yU|D-6g{F8b39~4Cf0$Ro&c;in97zj96Isb*A_>ZCa|AtRw zWMKO5wf;xJ|1=JNFq4cN3>@?_rgr8o76feU|1v85niGRDpnCc}?;e@gw-3%O@*E$X zVl;t(%iN}!YonY9i92P+_lB%-5~iIop$M?Izu@(fd+h0f;4Q>Q4y~?R#o8;!bn`Tq zK|X4s8L2^|W0KHy%V|CmZs&4TUi;iq*YOQv5#Bl?SgHgS0u-gL3Ew%HmbzfAbW|pS za!GiO^r6`Z*Ou^aaLf6yCv5$d#AsXWOV`-3E^?2zfi<|@4L3@;(Voi~+J9rjcHOQKU^&)d zb)kXgq|naZp@6~kN|MA`;ZkiTz#uG#+TA^f7@9y=Tc9GIU14LNP4{(shfT=rp>HSK zC&!?~G7WBbwlC{1h3M`(YQ!RQvDmrApI4=&pjdI!6c$lWFx6Ncvw_;3pWAKI3!;Ng zk>@;Oh65qqFt?fCi#Sm?TmE}0A(DAS5iTSBY{f3QVI5{mBb+9$v_d#@#2J?46sdx=!y_1 z8bs^@RW+*MLT`5)y0VUUeduxl&t#^+s2_Jgj`lbLXueuiy*ZXD6UtqUfMYJHDxu$( zz50HX{%@ADlX>y9I>@6KcEzVJSE>8%_29?tWPFV;nE`z(dW0tL^o_cTJQv?R7dvDG~>#~U{4z9CnA7Oki1YLw1^SY3$Vs?x_&wavWr&8MR9Vg zL}o^^_+djMeT(TKW^JMSwYxmpbm&vRNDul1xb(gU;-(i+m&qoeIvl+tNh)hE@a zp8?L!#zOy}_)#V{MtUJj7iR@iCt-VA2Yb680y6;zy|BHFy_2$op|R=D#QZ00`3F$y zV(LUMW@G4LDq{K%4Djzy!BCv}l zUs}6j&^$AY_XIM%23a<`VL*~0mSI_};$+m0Qj6W5BdBoIN$tOnbl7-8)+txcX%=w} z1Bv)S)d9E#v3HlhAoxH>3AaXQ&c()?DHmaJ#2D-SfgZ21+5%uq8mxY_pvVund+2eV z;1x5pfP1Ftb}+8l3#L143XqbS?3vf!mO`1l)8tIWu$ARnVLYM7+Kj}&KHzVBa^3P^ zQBApYN#^>h@V^3sG88_%hS;9(ik(AOZmFFS(cocKUknZI&1pO&o}_LUPke4%^*fYb z6cg!=cdEh~M$@xDFLeEJWq`(*RLQH8sc53H0=)%~))hY??(rv>EIooQs9!>Y2~iDs zL$O_HvZOE@Dyp5(Kk4J0-k?koG+7u?&kqEA^YkasJ=l%1gpwE+Y<*JNO?v_}5`70A zxC+w(B@)K*0#7c&j24~eYRCq7ax6~mv-MENG{+to;~?cAS>UcRiW zmR3#nB92c}|2$oY1hNP$AH|Cx}XJ-f=eLzGt9H zg?~(BI6$bDUbAZ?;c~c=5?yx9^yfKi>BKy@J{F&M$Ot&;n82pzW(b}U^{E+?HzCIi*(tMn58DaBfUs7}yzjFC8|6Fv;p z{CtfQ!(vt&<}30;>lkmcrsMiVj6OSRR!H8;RBw5YSe@>1;%ZD1< z*P-;46vE@J;GTUQHA6l)BW9@lil4bX`Qyx^$4O5F%V!;=fwc2#R@zeLzOIPQ=r5;i z8l;J*V`$M3s=F!`LDt+h`ndGt%2`n&w)A^H5gd6dVRO?SAg^Ox*fE%s!>;gSQlb`0 zk~odtwr`G7&x^S53YsgPAL@}gBw^^CBBzkFZB+=MyML>tq zRhbpGXHq_aW8gNEXO&J!!P^#9P5Y9M^3q~b&5}PbN~L|_CGVY^%qrC}O`eQvxLljx z*O(>GGLy4nD+){Auy~qYRP_v^^A@)48`PfiC*sYlZAF|I-iTOfW!SIU-H-`yFBfmw z4Y~D5rBEVg4An7Z6@VcOVynf*&waE@S>_Qt2*ktJc*-$i2#;aLuE0FMq8&sy4tIA6+^-b5s6xd(LnP(z?9mIAt_#_4J@HlVm05UbV!|G z1cxZ!OD^{|EojKCVX|XK5-msTUFh5*kS`0rso3m_t`d z+7LDG^Z25KD^r)UNyrWr_%I=v>6RAa5*$&!D6?u;z)vFmkKNX`=Rj%(u-~&_7BW8r zwf+);6DXIn3eAQ0v)%W_Gg~wPMi@`W_~p6f0=xp`oWZ2G0Sf?GD^E3`sCUlJIcDvV zwC$>AWbOg0S1x)^fApo@8F0i|9Yz7@CeuX%0Z?su(dz9C&M#znLw#GzSd|*n_wXbM zBEDYU^WMr}q_0ir2U1ZeJB0>{6Twav-h!wBNz{5CYAn;T+_Iu2mgzw-{EFGJQIb0N z*NbEX9FVr-_>qQ)XOTl4)(4UCoNHF@CHf4Ce#NX*-55(MFV>lrX9*7BMC}M5IOiMB z5y2+OxKeNbsfBv-jO~ z9VbB=*p$6Rhz}v4C)M=hA{*-mXkmxXL*OU}((A=VRa@ys)IWp4Ep@g$@w$29EHJ=e zx^3MCqJUy1rr4Sh-c;aBW46HdbZ6(hcdz87O>%@lx~tBkgvIjgK_0XD2(xcj$hWS) zbv*`Ro`Yrh!+xm6?za1H`-?Y);OF#&9s= zwR}yIsO{}V4FjrL2k{9V2PysY?r(V4V4FKcP6?yt9taB3W~99S=LOu%?+<#kz8tP0 z(U?vUVW>6C*6k>nwIm?Zf0*`U2Oa0B@*RrXzq;nk#YPX^?H?<`(kBFEBi&BeLxX8~ zxq6YokdabaX>W+0z{le90h^El&+c$POjBc-wc)G^YSpy3mZG#64HWAz(_Tg%0hRhl zpU$a;y7Y?nJ{<&*0nO%Af#l`R-ho5G*?y@ss>Y-S3yy{7FeAq7rp!QcBt^~78JTbR z`mI= zl!)k3h$N^VLE3hhBF(`LGy!(KDPC{(f?I-&3iF`WtKzeHbnOH}Jx}#{tKvSJ z8bZ`PT`t4rQb~onfur%WFGwprV(6a9w3}_@Ut?U%TpQfTMll>YIJW|ucEW<*Z@1gE zJ+IR`g;ZaZ&7SfzrbeS%>>jcEmu>8e`GQae=_oC~%$U^HxE$qM=s#BN9hfM`Hh?4A zKyisA4e^>?b6n;#l<#mK?_8Fk$p{IIu7BhFcEvCjzVGgJYGRbSus@L7`(+TeMx}Je zQY_71{F@rw97XCIVUt7QVNs8O6h6jj%*fe8fm4F3?*-bT*mYYf&4H|vrL@yVoM7@j)A`g z=A2>0w@#e)4OZ93)M`#_CtlHj&qw8UkyDx(WJRTI-nBLSv{}VM;ZN^@vzw}T8M5YG zCBIg+goA@VnC0HqUrgS@&~?3Wwn;TxLK2IFX)I9_3Y?cZCsRYnU}LHvpFUtrElA8s&M6T;rNF9 z>!;6+19tiCT}E*3|4uUbM_Tfa%wC&b($2)x!_2Nc_5Y*={J2&8S1$ANN_)pj=_w2yV?|~Gle&QT^azd?hI*H`ZR;B0a*>qCn@u|>NLrT~o^fUTLb@v8TE6@p02va|6Bav|*v|L-dQ-z_OLUVEb|WU}C4^WM^mkkyZY$`5Pl6(|;xTGDbsCrC-}#@lCmG* z^+KE9>1|%y?UIsC`Mcx}g_N)lY#~Ojd))`THbbMpHJCKuzYESk8uOq1q~K(4tZeE+ z@MH7wBS-y*Qw9$Yy%@`ng~mS$`1t7mGjczrlFUDW_|M{h6|Vk875#VFFz1g0$A4zS z9PAALXEx0EFWK;a4l!{jL(hK~(0}H|3WiR$&Oh~0a56QqGBu9eGZV?Rwl<6w;n@RQJ+ii&r_4nRDYRtSPyyyl+YU zpwpcMYCf&Qef~VS;b!mPyz?o*QP}jjYj;cI=VI*dcI9UaQ+{j{E9$=eb2lB0@XhT( z7BA*N_*xarDW!?fnc-R}2T>ZbK3MZf(SB6;3=hw0)F`!nM2D_CV^;MAelI z#NHIyC@9JbQ{3+OgRvTie&(fXRpi35_TSSjC{pE+)uS+qrr(!k{F>p%wxEP%C4gM0 z@nKpQeQ(4C;DvfOS)X8huXw)#BFGczhyx>hO+TD)1j%l<;e=o0? zW_;HcJ>*t$e*Zz9ffWZ?dd|%dCd%Dnv7~4eIlSJScZLAttYbG4`$|d&g{x2BcGkJl zlk%e@ZBJzHIM)29+{s128sWstbrF{wF6gn{c;VvV=6nIBBsisVa`bj=(W9=-l+?@a zzF#YJajfW-0`A@kl$8NmpTG_d-+XD5ITe+qm|40x>6xsJv}~X)x^5N}WajaH&fP8qIMJjT6mq2VQezSqsx9_+yvnFQ9ykNw}gWhn*Hf zBp0oZc*Q%LVKur{>9Y6|t{PHsW(3xf(olJ=Z%13RyQc=3;9fowIV5SVM=eh$=T=nA zmUwvtn@Y}7v_jhkWVA_0db2bI{#JEdF6%oX8jp_{@?OB3EO2FT!gILg4LkWUzRBxo zHzLb9YZt>-VW66|_GG=Zl3InP=Xn9|^$WRQ5MIXmC4D`bqy}PM5*ekWYv^lQPJvWx zk7;BsKHqGQ!ena*5n`QkQzfGmjco{b=eT{!V^!&8^Ei|-%g|dr4i1S>0Sj?`Ev{XL zftd%rq{@+Ks!P)Qy?mPo_m-8|ud8+30vZ&S@N0cq!Q+t^wZO-GFltQOeSmHzECFOJ zJYc8ZRB6QPR>ptfi!EOCz?B9C=rn@yq+;6plMJ0DdN)HwU6h@vwne@IcR$o&Af>bY z>9geObhP$$4Bs@GDp7<^N#m=G5faiA{6S{?Ytr=G-jhy+EWIm|gOuFOT}y3#`w}m=q@ZN3 z+nodMWh_f?U#uLRx+QzdI{*qTVyffx4^W>TVtF*9X_^oK^)@TCSU0kcv8s-Bzuzb0 z@u~ZnFblqm%RJj!DJ;UcTbW?VOW*|n2f4#3_M7QVRvxa4OQT2 zGBTt-eCRVeCW}BwH?Dz7pDYMn?KZhp1FGz;WT_qWN$bv!8^+)eVJef}O#xI^IT2E~ zc#Kr=l4rG32H)fV)W-v?d!{*E0)pwcP58Xv&HFI97E1TaMCY#ou2SR>gVI&(^b}7= zOr{>xdT!aDv1#IROxt{wcQ7*9TmVwhR?k*WWMn|Oxc3FF{CL@=dN7$u<1e&7VsGG; z{(kU(F@nJSF@+RcOfi6Zk=}_#eln5-n&pF3S=%-2Pa47L2RzWJ>|0#3KHf1q6UakgjN9P zI?m%T-L=R#A2DJ4(yqY|8NV68UNQ4Y$F3ZoPi;cVOi5aNX4Howol4?@CBjK3vPAYq zwpi;gnVvi@Uuvlj-$Wpx@t^CDhCLnBQ7fCgmdCJ&}4M52`j3N&&JT>Qa z%}Grv*j9Y<`1ppRCkgqKE^qB|9^bZbFPR^E1)||+D&ug)k>8I-e%}-tB*g0KLH_W{ z*d&oX@l;a;ba%&;y~Zr1mUCl~r(#UrpApJQc8s2}8-DQ;3|==*+5Pt5b+M7nvaBUd zXjD`HEvcK5!_7?T^zZ~_0~-q%?eI=qd6=PPH?~BmX$$(Lts;p8z*RLNMeCT|i2NVE zlTqt#Qq8#^KEde^a(@e~fi-S?w;JX%Vn7cR((G?^SPD|#jg^MFK{UZf2+{IR8KO^f zwKhOKD)X7p~gZBi}9SP4|}oc|2JbS>Yj4R%Vv5c4>yF|1a3$wLO*T#^{0krf<{M~@KuRtbT`I~p0QK_JnW5zch^g#;FQHJLoG=Z|%Or*!W!rMY_M$^3dduhD_iTRQHv$}Kwx#cU)g zH>3(kgru3#;}(sY1;^72wtYv)spbnfgH73y{b(-Xs(X{U1N1Y0sKharVEo;K(n79t z{Te9^)?u(iUrQ~_AGwcs5dq^oU{n z{OnU`5wXxhf`>`XA}dZju*Zl{CRy5O>27g9pJHEy@urw5UCe?-TjC5S=V~;?FHC_` z58g(SyVi(1juc%9_CtmxM~17rFeZ>JWkASKrh&g2Fw^jRLuh}*!|C#%no7ECOi$yS zVQ&gI0Vwpwjr3N5X`relA+j@SKo;au7vz^&yReA{hRB+vOqb$yUn0rxV9oQD)iZ7( z&s|dI$1IxmvL;3%3Z875MxBs4{2Cv_r!>$S=zVokD(l=2rSX#Cg=HLVeF9~OoN}tT z)}F4g>!op3h-*{36E1#^#~7wy3eO|~=MyeI#GTC59T>WW7Ia!F`h+9JoSB1L3msdF zyr2EHVn4cm%D7CC#S`k+Uaa3i;@EU&fA)tj{yi0^R1Bi(zLAc6Kv44Iw5s$`UD{tx zX?i^DxY{{aMD%CZ^lcMPAk(82`MW1hTD*%62ZA%cw=>W?aINIsstX|Ygk5Jz(c*{< zj804VUfq1z%=x8#l8>A|2K_>C{HD&0^4FRj67u+75ea4l(fY!&((Jkx@mjq=aBl+z zkquhzlbK{Hu4YeMB}ia(-aMOe-Mf2z1zg(E& zM!>JRa9Cib6P%u*Z--Tl=?Mx~{#-}$IGGbJ*?x2N_@5v_I(;G34h0yc*{_}2BEw#H1??rXj{(duqbPP%iF4#;EU}TiWKV&MyJ%DOUgD|Xuy0p z8$j#&Ax;_{`z(pS)~pSS~YDtyhY z??%a1Ag*F7`yo2S!1z7D0xQDY&$ZAO_88I}6yQGQQ{?vbCIE@d-G3$VVmbdv(pQz$ zEL)C*c-gS_;e8W!VOP}XKSein8vG+O4!QevaTZ-DUs%lJn80HQ^{?5-jpoCE6g8vE z8u0rC&eu+4tZ0awge|5CM-S8nwlC26fvH+uH5z9wl}a*5svwGkaDcw--Z0{lKb0V> zpLgK>q=d;Y0OY_GBSYzY>D(H$s(EiW17Bp=>+z+(+NzVd`EQ4$BaH_Q zvYvvBw0TStb$QajaIB`~f(gkMj!+*6_G%UdA*9R|kLJ(FI12jHVZMOxtF=SQ?V6k8 zZyu8=Tt42YyfIcDj1YPrpT*#`?1%PJzIY`{WK-oG9nN9O;unPK=Q%*ec6DG~I{0OM z<2!s7fuQD`WXM9!*EFFOHlyxwOgVkmO+#!**sqJMti*Ph_3wM0 zQj_3E__$8?UTnMPl6o%$KYhHam=KTmzg*-EjdLEoyOdf~^SP2E`jT!Qp=vlp8RtEs zupFL_?qhtI8g% z_!aC1vXC}LfwKR82?t5)&fNYoMV?q+-+(FUfQR5ah3{VqSk|!1cdkI_A0=5GcGLV3 zq#oO{?-Dzh*KJ#4v7<=7lRB4de%!^pj4rQnCi;je<2P=AE8q$kb>Px%zYeLMD%#LM zJQI@&K5v+e`%KUzNqVfgIvImW!lWkkUY}3W$vhIKZF%lKuwhe2N#H9-?gC zQ>{3La0UuN0jlo_61DJIMQ!mScB_f#2Yup~151(&APsqYY-;4;Gs?u3Nf<&#)f&A= z9%9X0VJquDsYNv@tqPi$cVI2)GG4!ey5MC9GcTJanVDb_9ah=&2@KTG-DJ zzrSPc&kdTs9oR=Zo08zTlX6;@Zk!~q#T_SxDpjC!ej$a3V^AN;A%j4a0|nQTBW384 zss7g9tLLP*XVvexyS3~W+z*HoFtd@#P=Q>SgW9ed@eSQ&%Xy0;P$YI@R@=2GN7o42 za_Ba~mx|Y^`kPmjVqQqv-x5AvOup7yDhAa$RoRV)2cKd@q7-Df6=ljP>3jeMZ|w|N z2m6pcfB>c5#w$Vew#xHxm4`aX628n99!CUNUO3I+m=K;GtdyY#=g(~&VttZRBbn$^ z;NRD*Q^K+3YI21M6;6%x4hFm-QA~2Z8oN@cOsW;~i2-FuEW^qCE)zl1^51>UpF16G z?B+FwOuQTEYR49qCv%x=b%Lz{4*F@ZQY${L#wzGZz`oxqUFBDqGAwjE%rPAWe1W;l zer68bPSy`HpwuiODZDWiU0H!mA1j8!CPxpmo6Q}p z$jaVL%P!20z`?^+>EwcriB!iS(S>gqzyf!OO!ch9&D_CwV(-r$ba!yWU{jlezL zQ#$n`#6uF@`s|Cz5xold<0imi{MVkM42w~7(s_i4fT`jrfL3{_zU-k1ZR~cxVnbhfeJzvecSm`f*B-yT+N{Pk$V zAljUz0N=hGecr4XukgPF{|=4a5EW%tDsUdX_+-shw`l2vMA&5XuG2!a{vjd6lLhoY z{phA^@X~lZhGi4kFORSDd~nuSFez3AOifPcQ6+g+rfss20{$gcM);NZEPA$U&cJn7 zlL^q%)iDD%L@o}RE1Uq4X#~dd?QXNulF?8A0DUjkH4D1>M-+QjRAZGdsqL>ncDR-{ zz~6$RFB`<@Sg`)HagPqt`4RC;;a- z(#o1WvTxY9R_M4XCMCA%$V_i^`_K9qZKE{!FKqf(P*9xGHWpul38RwUS|{zEp}hwQ z=2?w)*&dBr5mK)L7`7YAwbw9xIO{_yf&B#TMF58ZYXxLopnz9iy<-eB`CY0T!ZG_I z-{QbD%}}8eUj+N<{_GUc48Mr11?xCkl(=u^h{O_O-xWHkNfqm~SPZik40-3HHT(oC?bp;7n=$^v=CA z70g^-b2!n=K@bx$U|p4;Ol5~1%z5f8*kow-x)I4M+)E{=RpO%R?5xmpD#+3=Z_VCw zEK%_kxGK(wd3qTiw6t9xI{-+H1T)gw%(yxo?4>c=US{=*#}8yHWu-v5EDsOAgL91O;uX!TVbLSfrmm(q)c^pl0lNP&593 zy#Ydb}hWV6b8N<)U8pB~zz6WK*(5^K2}aC6 z(kgnq2G!Mj4S*;YHnfvrN$io`0d{ZP%SDqvXcF_wu8M*E$s=uhQLQ?z9c@Op*fAKr zijNAUqxYKX=I7Z61L(j!4PF+&CzDZF2p)YCRsiw!jH)lp5S7@XL@%|0d`O&4p#D4A zRK3xs^NOY_;_k19i(g;6UgCu_KH@7Y3@VvEp3>xP@#om@(y*3q1vFh}(BBr_deJK; zcQ4HJBP>G=ExpC#eL(gem4!Q5T@08U!=W{Y4>3z8- zH@nbdBW~MpFW<;SEE1&2LLTGDRHZX0*tR`NMfcabRYS!gL>zy*l<`;%2Rss>*ByAT zH^V1rX&(i5=&u)2$AR@#XMu4iKy~9IdF|cNW%!+GRZXpkpdK$^c-ITYGTD7BB*Kp) z9|^$R2#UID{^s#iF^jR-#YxxgJ+!Vr;#toIo{ywCJ7(rtft>_yur25w+`1W&Hb_wceCldLrR)qM4#sjQw$Ky zff_nr$zHn}V0Qmaw~U=WaTa_3m-Bp;%4K;PjqI~O0L<-+=On*1?3_-$y};16$FcOg zAizvZ>!3lR(9T2$0ZvzLq_0g&V)D6SS~aT>MzO9(jTZHIXD9GizK;JfGD1&}qZ;pl zyn6<}U1j$>q7D)#mfe_UN=G~1k#K#2cL)lW$?)%=ciprU^W{C+tzb@KXx$_D9K^7> zQSs;b!9g;=s8o_&j*496O;EmAj)O9Z0qua5?bp1jAWrb(yw7Ep(~0OfDgsQ+85G*0 z|G0F!93E}sav@}irNZP7S1N^Kwx2sHA!Yx%HPX_M+c6k$q=tahzNBnqNky!|&SbdB zx5w*o;i%(mb2+hSn_5XYgV&(HD;c%_j$9&yk&b}}Yf zA2)eBrafS5Fr%Hq{vI$CzZ3FC!@ENC3zGDoG6_MGUwCNh(S-HtHyfJ6m1}kEtB|Hd zlsUF`=ID!XiRrQRu{swL>sR1}m}NCCDD-;Y2p{N4pKSdtlIc78W()0wJ^I0?U%mb@*?tUI4di@qbJFwq`1s%%o}9kHTPr6D0Ae~iwRkW2%bBM{PL?B83JiJTuoRSJSfoRqy@A#-H-anXWqfxGxfUM$(2iT*MrtQfq8+ z8wwA$DgFP^_D(^fgxT6=S-Wi8wszUJZQHhO+qUi6W!tvx+1=CUoR0WAW=>4RBjskeB?3}e+4O|63rtZzb07xgqX0(psiS4_fT}SoUjy1{ zY;sOKhn<=kk#}K*SimFq_k``mp*>L$cRQ z`=&WMf)yD_P8pkNt^Kq8$z`tQ2Ypw-7WWWhM8V1s+imlDkJ2R8aIbpW=Fe>-LEQIF zz7>cMBsP(fquO6Xir24qV3mI6)C`=~elYEFN}8et+Nf4Pr#h2Cc!iOL?taA)L_F!< zTij!jh`Ul(KNR|TV(ou(Q~?QmhzLSP0r*e0Sn0wHa+;C;n8Kti9?Lo%);Tj1Kc4YV z$a+H{9v_xa#5N{b*-G_gFiCi~+Y}UL==ADCwUauOCf-imF|P@IaPo4$0ZaY_3;w8T zsTe%%tu6^V6+$TH5gv{8HS#IMNCD|rmw%|^j4tK{5E=LhxCNlb68nYXXaU?IfWH_v zaQ(DEOi1l#lXl<@bhZfI3_zn&S!Fm}{~FUQdUVq&HEwCecRjm^Wu0LehB{B7?B26w z)5B{Ql~zhLO&`N72-I}zX7s=0rv*ELWs-s1?T&<P<@r2RltA12R>= zdM6qpq)7N9SFpnQEta-(qqqB{^ktDA8Gw@wLW8CI#Cvo2NXdsn+_!uru%V71&%v9f zDq>bD{l2C5xmiLWL4B%yod9Cbb;o)78!Gf8NV(+BXO%Wb77c{U<)Xi$5N6aN5x6N>XL^1N*DfYNOsXk{(WnWnN5C zq0_AvhuWKc%43V0KAMW2i~`G(rxz&rgZNH#=m zd@q(gPh6QjN)DgVtcOW)!Tf=KeuESg^78qnZS2>;K3i?>)Tburec8d3L%qX(Jv)oJ&H*;lJCT@m(_42I^1aD>UwZ?u0b+u;s7d%RuvNSvuo@n7 z9vS3;XE8zkXL?argXs9$ppWKr`~}Y=RIAcIT9hrfnxPy=J|>fJ5vTB!u@=_7=-siC z*_7#1dipqH;E!WZqM2|&Z{2K+g)OUQ3qo%L~7RH;NiC~c0 zOAF7B>c4#vRO`=wNn)cv9AE9cgQ*>Kv%Uf@=3M7==mr7c9lA_zcPFKk?>Y%P%v1Se zdxG;Xm@5|3$NTsFok--N1#NpzA!K;DB=!+0+bn-@t$zqJJQVS49R>}7->z{dz=xRg zgh=2raJc0Kove6&Q5R{O`av=vBVUHycRoTGmE!3}nMj;os~m&p6ms6#CF|HSgAc z>dUj!9~P=7czg3y`!V0DG2He&Z9MPGKIt9mO*48R+vH}Su2q(|mL+-v~XI#-cjX!v1wXXcC2vozmXV&mbSV z!m!UBkLH3a6aYrWsVRsUKoHdE>(r>Z$yX8{RHhJNAm-3Zc$T8j?;Jlt8VE1!i))9s zM?ZnlqC}2q8NoksZi>KQdx_rSNN_ak$S8sW;{n!J_Bgm? zE-7isLl`-C7#tsL7Hu~d4Y5O?d2D&t&}4V1@s&=0}4 zkWTbXw*|&^)*a@GqrVEAr%1R&rh9#7$}Dq&3M68FjOb=JrwT_nl^3BS#0I0)=E$ah zi!_wo51A1vjNjCDG`}f)x-dE4iWq5rcPpIkhQEU*rG$NdjLoMl3B~VU+>viBYaFik zr&^^H0>$o%(u&3)JqT}zI#xQcI#HmW!uPD(;sL_|%ML5ZePQd;){_Y^((3<}Ob z_#7q({CCQBIKmBmtIX%$VUuhtlXC#+<@w1|xRPzVnYbhhLF^kx$qdZ_c{$|KU3kqh zO(P8^@MrWzF)dyC4)o;7VO`PW04`ji+9{m%XuZjpPtx-KC=_{p$yS?NWAk?)F*^hn zMJV_u?9)(zrdQ;nB>o%6`X5w3vlpUJ-i^ zsoaXlfm!pmZ&~sql+G;BFC{p8*V~NmK!XBkE!Sg~UzoP|y2*0+>f@-4HCSGq_WR@O zrs^0IJ}128WU6RC*iavktXo>A{&~%8i)wi&vpK`e0wVK^xMTae(ad~+Rpg!!e~Sw zEEzKr2_#pBv56~!m8xG5jWskf-Sy}zxA2T9kOjiPjys2jLvZzY#5I6Y8(K|tDEq6~ z(6_5KV={#}?dR|UG|TzHuZZ-^iAg|C2rLESLgSe3B**h1s+3sFU}z@+Y;JCab;KGa zF%GjI(?+|F^9p6UI^$bHye2pEOMGg-qw{^M%T5HU&@wjuqPFAv2OFLGW`+8@@;Nm-Z3AO!_W;`;9^@8z{0rG?%}|z77njpXc&09q)&4)PTk(G8Jjt%ta%aL;(W!x z@TwWVc8#Q%n!R3FL^xELITDszOA^hrBDy?kl=JfEwhaGxdpff+*D_b$H;q7$&*B@2 zp53i;+d#;dl0xPE+iHZw#!M~(lz5slCS3{8GH1@6(9JCi(HdfeSi5}UnFV6`enmZW zs(J>`7qCn@0OIA9_iZm-xb9KsCq3bPfCIvP-$q&1IVi9BIN{#WAOQgeKpFNhd9Wd5`N;WC` zBTar8`s&_li_r1#Bh~xWjbFuW*{4jv12IH-z|r27;crAPwIZiT=w@m`Ev}^lDU*s9 z3uTRQJZv)(jXe zy*R(vHmKD*f_IQ6Ii79&A+1Az!I3;P^4$Rs>$5#jpRxC&@N-mgCfXe$lnu4F?TG`{Q&sCmF0K5#oaGP-(uiYUrZJk;eKr=pPFe zR_=RtNklZ|)hSnTrQgD?QXUe>X5llnb9=2t0JPD?Dl=I6uZztW5>E=!dE>4j<2sApwSei!;L5sW_Yfv>f4+CS z=G`W@uYl9{gD#inpa-lTSWyrIJz)+!F422>u}jX^eMh3L3~TICpoZr|@z&quRG~Ih zt-<)qcf=gA`y@VmdK4zxS!%YIW-Z4@GF&4%Q^j4QAN%UjpOD-xcuzpNr2{K4tCv)SC?yy657+#4&SJsM`u{oN_g*)1o#Y}RyC zeKRvnT=B%to6>B~cR99?n8Srd{0IMYIx7L7Vka`|E}SNF{y9%zqgTGYCa+FnlrFn; zp!rF~g`CyAdTli1bdgq=pdWEt4lx(v&{8c<1iX)j;3_5tD{e^3iICI<;l!sW=f~;y zK;2z+0-f|v@7+rZW$~N89%QaU`y_aRGeX%THY&kQ1Du<3EFf(hw@(BQpGOIjbR=jV zGEmNMRDT%t8+;QQ>N|tnftwqJY>>`J%=^?nK6R!o3ofkFw|UqCR;Jf)Z|4bge%j@N zIxD>AKN~z27FEb4@U^FOppgi_1_PB{g`QSTW$;?UL@~eTHHRC;xVz)F7IXTek3jCH zUj5)KD3D7K-X z5CU?L3Ig$d@HOzPHI#)DzOnXf+X$vX43@3eUSe?PxBf<;t1gf6-paU>p=f@&$tBGu z`uT?FQ)B;JaW#8UlB_=;4y3^+ZS-9Yyv63$%+>fQ$5V+fR{oKb!>^7qJE(t4d6oqG z_-#l>Y!?OqO1fH~8d7gJD8MI_CB3S5t0d9(tz@ma+E1agmxx+b+)9@I>kirnW{C@E zBcuh>wk8}x7Vh2vC9&BX`7_x|_!^VCmS68(%~yP`^e%USL4)VMMo=`udLT^Ly$2H)5cRwoEVgHrqu6`k4t!h)fa;&q0VS?pdSs&Yi+$mEPFC zPl&uGQFJu>?YUMPzbf**pS{9Q?2Q=NjbaXSb9SXO!a&b8=*XYsUUx1oQpa;D8rK2 zgCqK81EbTQUNk!*=|S_GTk7NZCn|ruYMXvbp>nwe{0pC3b%gQU>g_C2{EwZ=YYp5_6+^Zw<*I$5e;&rM03UZFWaIb?P=Xl8X2(})&6IZ+~qHqNqSTGOlp zW4$U{b$ki{D(pwkj8lAfW6{4Y^&t`$O3iFpU=5YRiD|j_TA`J+>sG_Ok~IY27Mq|q zySal{BlGlBPb4vG1i@H8=Y-jr)mlipz=iYfDmAXX!)dQRgJRIo?0ypzLc%|<>k@|M z<_g=WvXsQRZ0iBMVKrG-k-#OijP%S-lBdG3rD zakPuGGqn7g0#a8nf0>6`V3Xa=*Xx^JX*WZiJxW=)3IjWjrpR33NnMs8v2>vk-HZ4G7J^`N zkHQx(Szb0RO}XP9d*^SA?0B6VtEUTZiE{v%&gw_UmR9ZLD$8I0iD>^{rC~6z{Tuj= z9AN@=c4eCg^rR6~5jMMZz)}`1?^BC)h$7hGT1rAN*jibMs$Z#Wvwu`bFUBM+3tBJ- zJ;IU_zqnkpEH^}avX0Ng7FupnVYwKeL->JtShMgyEd0Mgeki(shzxXceEUpN2q{dCfQ@p^p$TQ|*rI1wxyEcooqjM`9tYdHKvVrTuQ zwhr|dyti@sd+mt-59LAu>VL$5e@lk==d=BPQ84~nxcIN~|FgD#U)ujhUihnZ(Er2R zSD+JT$N$#}13mu#E#HeXFyjByX5smJ{3r9^U-keq^Iw$!%F*esvttdltAS|R8_J*l zCVZey2iP(qkJY!}GxRKY5_dHfRyFC*lt0Y9X6tySe7ekDn5pBY6m!o=cerIL^XpG7 zXjfw1@swG(`6kao5P+UdS_|8xkEV;P>Kc8*I2x^plzMP(8@-`%q+)VPSBm}K-~hgM zuYV>v`MY=p$cxPP`?*b!MfVx_Rf2!?G|ccpAP@VK`BNb3mY?Bwbw%Bw!+s6$vRT_}AR+Zd z{VQAW3qMxZLP{zBbRJnzcZbJy(qX{p;81%OVDCn)55bOz&7g zggi|nJzaG#F4)vrKS@Q4kk~9cUmBhK7%AcEW+^+SgYA*epRY5RYi{Tf23a*TKWvx4$LC8FS6GyJ?GGbO%vB#F0 zv2XBczyk$Kklw}6y`=|DwBpdkKnJy0k6c9B&PkNS$q;+n z-e~6`J#V$Yn77UyvTC;mPv}|d zUO#>IfIGNKX_dyihm*`@%s6HV+|%qQvzXPIjzz2Ppd$?^d|Ws^ra|Cu#B_kpVlyML z#S~yfDZk>oY>!##5+ICC{FpTYv}hmrR`r7FiH0zHFmFM_Hi)}8196H^D(Af)6p?w zLZh;2?Tyl;+L++o{L)4d+uPpF_>`uNb(s?!U)pIbbCGG%Jn+k^*PFtwZRvz7CAIty5)Gr@9Hb4Do+?uOCCb69IZ!(bA{&hkH(rE}(!u^|u^>YE93BsrnaZ`}|O zPwaoprQdf3W)QsEWJ&@ona-pa2rMy*_%rIONINxB-N=&)#zS3Z1*Jahr5t>H=-Eih zqEB1EU5j`$#2uT4twq{)h2Xgd*rCiS4t8q{?_5yAQUU%{+-*rn@T#cJVRgM~M0ZRp z-WEctPXce)f!^gfa9Das-uBeb@Bp(vFL-6-Z8p{^>GUwk=mHs1UJFWq|DgVK7UoEh zuf*RnB|;sM?!-{ue<;;BdgbGksNSyV`W08!dH>2s5&!`gz&Ns|(CAHWV6H$pvw*IB zGodz-Bf51rWpp4Hhnjm0v+CW|{{-hXQ%Vv$o5(6Ry_Lb?3YxD+Kux~=w3GwfS|*FR zyJEspduC!5RVV%)JY|HpINxniBH&I45zH<{YV|X1S}dQ|G{)Hyx{4VP{{7m%9tIL} zTO2q(EaeqbDPy)FJC~$BNF00jlhHMv!rq8&WddVaMf!m!rZ4_XVhCSPL<45;j~sJH zMVhYZ8CijP-~lfRrzq$o7<|;d1w-*FUhPS<4>JskGJnX$!wrp8BV;_ZT;G(3O5Xbg zPhjIadnn)D)42W^IiEc^7!~fcjY)mdDKlO$9P3G!1goNdJpMgxSh!#Kd2^yb(Ysb1 z)|cS^DMU7AAlQ$dLm}bsJ+~hB4Y5VvH;K@CS@kE2FqTp~i*6M2g=W9d{rc6$TMYwI z!GKNXx%A9?)E!I4kgz`)-JMoI@HWU(*?At?7oZ_%;8@2?C1LL_x+jD#MRlPpsz^dA zU&`26%eV4ak20<%QXeZXBnt+~)r<<4-Kx56?^OVL`hY1H-R;vLk!%=W>)i&iWT1@_ zr-(`eOwU(YMi>D*0J7IeE6$5>ut@$b_J%MDfgDYoLtnymUq8n3|ZNMy)oqhz+L zXYN7*QGsE(Q9Pt+-xo=cJj-Ad^zv52Vq%^Zme>*(er!MP9Low}ycDc?ASL_c#_+Rc z*TzugzPQ8hO$=@jdDOTP;2hoe6`gW*Y|yas3NcJhN3`D0CmczbzHbMB{t=rM!L*({ zh%{zg^)X8M;ipjurGTET&x|_k#{jn5ywLxLH~R|?7hu|r!Vyirk9RP-@kyiL6C5r{ zS^!x|380D2HOwE&ia(2=;H}DzPy(gXUdj0Sh6F3!%>PPDhwP|Kr;EtG`BO&}|&FVf7{z$lN>ZkeFiwe7?%`&3eo2;euxwZK{f zNy#X>Zb_oM`x-m(>pmjKt>`RtQk;qHwci1nZ5kMenf7S6ew2gc4+F1?Du{0{k$gvaP z`H{&NYFtNxCw{)@X#|Id?o27gT&jXJA!D$9%!A6_X~8wmNijmp8C1Q$3CmFZ~8YC}w0kkxpO~ifE4O$zfY6%mUkQpZB+s%)lcN6}RFi z)KhfK6#V&y=VsePu{EL+6x9w4oRx^rsO!m*3JSvf_%x;Al?^$|!Pj9xyf{Nwj>CeW*%3F_+-z52> z!1Oy`aN*OmVTCYf$3eOr68?)5Zz&)!@iviijm%6{@w9(D5=TNQEYse_Z*9a7pfI?o z?UT)4-ncYHCV6Bfd>Xz}S>SMOdocyh?l55#c2JVNsuRGngu~+|I$9sV2r=uPMn~Zu7>No ziXlb!++xcR^qCib0&%#85?|pp8;DK4L$?5r%tGo-iMag0fHC_JACR8jP}L_1K|P}? zBAV+dKE-i+I~X8o_GEK}&0dy3beg4o9!Ld{PWxatBjTNR$}jqqR50I*)R~}#NMsg- zidrk}xsGmA_*!))V=(b0RRYr3$JIBr2&e*W!|z9n1g6r9Mp7&;Xa2}&y-|F&3W94`-(|EWzTxy8(UM<5=(efJF@tnC^!?kIc!=Dj9z(td%;Pw&JH_oO}fbK$%*wjZs@V7|EH z!ZIL476t<2U^9~ND|Ho&_8(?DtNej1UpbIAYENwWL`npgPJJeqyQM!bE~ou6R}vtk zvP^39eiHOS%b&A6UNRgMn|42R zbg6}H3O_*i`4=N2dZg~v)ehA(l6k!fNQ^x4i71oG*vV6g2DE^zrVPL^Fw42;%4v)5 zNJbysym*}kqM5G%XxO?OH^}Nd2^<+Mk-X93!tvM!v8CA5XWS8kV5^tDdRnoWiAhio-|D6%HtrR`_Jh)(1 z!LC#yRQL<}FgI2a1PYsaDKtoiE@SLeT8S0&`(AvNZL=JiT^n(?bD)g7^V-8h6lv%7 zB?93w41Gl$2An~ia)4c5z8K_>-(a#pyD~Zgr#oxQ9}0GM+Mv3qcO9EYHJ35$fK^)o z>*%t5hkQ(o*7=b$;o#sm!zJtebn+F|+sFQVy79d@TKEQU*j9k{6-)U(M(r|J_0!6p zgTSc|j(z%E(TaHLko$=fUlQX!LN1uloDN*Z9)*36=zPM|C9i5K$JpXWuc3gZp+X#Z z!s0l!G?7r77EhOfQV-S0Kw1_fdQ)p9;yDRXiJ3^|F4j{XQa)JF2i6D}F<=fs&UNKO zM?X2DyJ)%<*pXhl8ke+N?9Bvq8AlP{9;IdXaIQ$!LXyXT-k~CkUb=9)+h@%`6Vq z5g=(oT#_^pRm|KT!ctc}jLm?Z2NY8oL1Y56@f<1_iiFD7k0I>im z@VXAtD=`JyHISiJ1noxRNyZzwl;JcyZT=91xNVCzOZmGgFr?5P2}?r4MILRTc1AK3 ztZ4~M(0s)oL=(^JW*;WctrTzcgg*SbS&8(#P1ulSy`wFU3Q}%qAzlkK-kcFb*k@h^ z_$U5UeqZM-&0iL7=N)1n4)J^)y?=DFm2p{CQ$CuE{neB{uyF{KDgsLDgG=>(* zaL%>B0_fp#4j;3Ogr zA~Qn9ysMJq6_5U@YZ9iVXg*=5Y4*ECke@2)oF|jkOo=FMEiKnsKmErOAY65ld(C+? zsJ=+823(`GrRq}PqtCDvPy`b8%5N`fJ5j3Ct|KH+>#2!;6jP^ORl!`eYX%ZpyCCo= z=q0t*pYJ@&cOL(hs_+_-z2$qHKCS8nse6PA;Y)WGge4`aV=(vN$fH&5FdB9@hyoi} z0tRQ{dLJ&iNnPJkA4E~Krwu(QOHDwk+z!Q4#Ca}nVFyE%-)~M*h_668EpX0oQhdBO zS^dziV`fflz3@heY?M>=`R5tW@tH(Ku7<&m`|$RP6w2FBnleEx(C9SFKEA$_9b=HZ zxwDEN@_{!#SGkTmiixSJ#dyskaSOiEK`19Deo9?a@oSFr0nW9a9ZISC_{a2>{U^KL zaqv-`qLn)fY^1_deke(D`w!Y>HWL>(i8CqBaCT-@sr$39a*DCPL|oJtc71&$FYxd( zKZ|tcbHt)6egi09miI~O%J;af$svTP`UviXtS)7s`!_DCRwNqsK@brf<>H=;!Ooj@mdiQA3_de zxpDbW$<$6N%Bg#Yky}vwmaqH3YAWTTMo6NDWS&Zhr=_(#Avn8F$*mY&D{6oFW2mu3 zppPgcE5}-o9%Q=KnVX7&Mw(JXj0cz3K7fDBTvUXAfe^7@anL2<0zpWPo%URqLni(L z_{A+0f4JZS&I3L@Ns}r)Wsna6UmRupYelw16DX$IrRD=PF(d%P9qg#4x@bDR;h|NQ za{8JmoYR@^-hZtj^m*kHRwR<3RJAPHC6a#z6&;#S`%0z{9>RF%q*FHt-G&KROf_SW zzzmTV`?Sl8Qd(P?$8kyc>i`cq+ij6x8k~zA)h|U`Y!z(jN_e^^URC|M3LxG~h+jv@ zqX#CcTCi-bCX^MjmyQY$Fz%{1M!_d3UlE=VEbChq!^NdG2MSKdbr=fPA`ni&JSr@f zOJ896%u!f^kvz(6i)pq2tzrJxg0#R7=pG^-;H9|cv1%&-CgTaxXQD%u5-Z7El#pRC zO}>;oUEGhPiRKsRck7{$Y8Inf{01mOfIONWu(3KKl{-ajNf=0?^N^i8po^hO;}>em ziA1xcKB~IxcyRtFRrIgxjs{d;Mcq3dx)0ZnajUAD1~O4>Q3m`5(9^C8yn;T`^wxfk z`;J|)g;Jgux?Qtgw_KGWSB)|>v#9O;P~Lo5Ygv_fq0fL-6Oc6Zkxa{yQ?JLq{q8LL zO#^W6(607};S}HgbasE#j0n;7(eBf*zs*#1(XBNz=t`q! zF}}Ht-8+4h`|K-OL#%lxI95yIA3` zR(7`BYU4>lHT&yAG`h-TH_PLSD!h3x=>}vzyZ6jc4Mg+6Ks*qRn%}ppmJI*2@z$zG zhZ?(Lc62lEFJlytiis@w?26VIH z@ZP-&-Huru9!bs; z(B_C-eb-~?#p6AE8;$$0eth%J+CkF0CsfQ*_y9-Zr#FvUB4Tn)7=^aIG+2 z`Mxx@;?_52&?SF1ntN~I8s~@TKtV*;1ShcUWST4%K_*PB7{8W;5!BkWHSQMG_Y3-X ziag|Lst+#R=`LZ2$_|nb4kHt?)P-s;y_xVwTavl@P^llGQl-eWH9g>{c|m?+Nv8b5 zOGy?NoK*M~C;`NwlqHO`H<3wk}e0G4T`JJE_lTps;M?4QMemCCMi!6 zafl8nbTEsXJ-I4;N*JTS5w(%_koCGmLZ<_ho{v1H3UE85cjrLvD(bIWZ>%tLO+%* zhe6j-fPt&YjA1apXkz$yTY-Q4Xqo%*3W;i(2k-mgu<*wEV%yfR-*FC6Q1KhYRW93! z%F-!Uo06j>Px?K2@M*2_(gDz3H!~sp6C)P_Oi$)hr!!1?KPDLSGf*NwrIR#f*`_(b zenaRo^e~o!S?9;Zh#9_x_wWHnKWJ}zB-!s!h2( z0#}_S2XMn~y4zwk+i5H#c|L+MoGP*H#-0VekLm~Uxxq{LmVIHu2}n^J7SWU!Q0L@80Gil%`tZYHK576!y@_cmiT%oUlI28YLR zm=aL|hsO=VCjxGCs!>{)iI9G#t%1w(lOJ9>Ju!;TI(z&cLWSW=+3^0`1MpjYUK5B4 z9)4KbE*i~6CYj`}qw0wN&$klZ@s3=<%1z&T;h?CMV@<*Y_%b(5C~|_oA{?QxHc@w) zDANp^*hKP*>TB8m*_`>WAiBRDzyFjk#=y$wh0G_OCV{8yg$T|MdB! zY^~v)+w=K9mmIBBIefccY6X*G22uhx64f~d?gtt_!fkIb+sC&OB`ZmR824xC6wOTd zC1NKkC}9DN(oTlPG6BF+FiPcWqu34CJjOljbY4tgw&cm+J?S;954|sUS|7$WPbD9h zsnQO|%X6FxD*a9xaW?5|^NT$E50BlFiZ~0FGLJ3Mp7hor1GsmiHWr@XGnLx%PO5?4 zB;q=%2xwCoVB(moHsTv_`G8l0NR4HZGBV1a89D%-Q03^(#jt z|;BLjmV-Miy zWJi}M%|TKfAqoJZqFE;+r{nJ8fhGKajT=UKA<4&yL{4$1#2E9}D^n$?5s;7Q!k-<^ zw_CqHCei2r)8hLrzJAusEJ1;8rFU`;q8^c21kLn?&@pNoqi0%HVwHh5v!HHSDa1<@ z#^S`BBgQvfsq78g=qezBr|JmUX~)m+v9ESJi69 zTC~ZxZXf-KzThIq#({#Tf)qCt+iFEut25nJ+aPSq8e`kmb6%kc&s3$OkkDb&bw~KL zCesH%Q`a3;x(Aw_JwGvDJUWxg*4@>FL;f@a<*a<1P)nw}WbM$E3ne_L%zphSj3Ny5ReFEJ(|x}-p7QSRl;<*TuOlf4`k1r4VUbNkQx%sMgK zRd-1At9L%js?o;^MVlw@U=5XGSHRh`I9g=@@o#}aSzeFSv#sFU&(@2PZ-3t@ zR$UL?w*o+lsn%Cro0c5=3;CXp48-5JO~fj{-iI6Q>f4+@@s~lrz%8yv1Cl{!^|BA` z7x@Iaudt#THd5PnJ$WIhwSkP}Dwv61h>p{b*@kB2ft%=1Ca^5)l)o9Iy z`>pkm_2MHsp%nJ_vaDF!ITB=0Ke5Gr>-KW+1<{Z``w< z2^%WcXx6{5KL$njT5Ku()`MSuBMjA-@O&9j9z9k zLyk(EouvzP7&41zs0}(IjsA-31>0?Fwi!H6F;!SGB|N%(Y>{Iuz;YCi_$Y7O@V5xR z%<(K)kyV1A;-R}_P8$OEQubr##Kr~8J|2Uvwi1+k_fVjmkW-4i^_ZbJ7Gx?-HGK~v z;RJpl=BYE&U5THvI3sP6lezSIW_TOV0K^}reBOq4E8?co&-ir{8s5}#kH1e=x@QYd zdXwR+U(`EADdkrJ|END}fy^jykVm=!!0{J>5eO;N#xKG~??DM?EBS3z3F@S##N}@e z;g`Bk>~d#q(fW z+~cOxT%mL4_3eg;3SmO6gWP?y=Fm6 z{)51=pQv3<*53@B;#z!XdYo;5DG-<;wE2@QR+hQZHQ7TmO;GPsw5&Gl zSubk?lyZJI+hva=p@-iLH7rnTxwuFA#RoMoxoDs~piPMerGqhL;6*UW^UmjdnC_!D z*D-#x<!t+#v|_(~n5#aAOGUIrpWGP20ovyxn5vvf`uSG;E5iGF~(!dozYvj^c)PY!pvD z&kN{A;E8c6o~zUkH`TuKe22MwRhcS@0{0yUr#-z@!CHzzm6}5h?kBz(A&~q#Fs02R zKzc@1o*3oK(~Ld?Z=BO8-TELFMVeg_FWVDxf^qXYgU>1+0xF34+i#?9guUr!e;Ejp zKq=$i^57_i{j5}=Gw<5K0e8ZNWeC@X9!eQ2V{u8cZ0mO@lT^AJ)j4mJ%bRggn>uhM*L=B{Rj!pr}1&!YtB@EJ!1otD&R5F;G|6WG_C&^S)`JBoYoOqtqC-1!EcjBR&+BB} za^-b>6_HP}p2AxWgJ#w%0*h_BcIoiT*~*JSFBcBY9R)mu*(R4Z1uVDW2`}p|pnm4b zadcn%rkCWgO6u8p$tx5k6D0~nf!8cbh-%ZkYFal)sdTl3z@GkC$v?~yE<}41|1v^& zgA=}ubm-cOxK>8p=xJVICp~sgSZ8G(zf!DJmwoTKOUW57e>*v&n+h;_{rTQYRiNl})T#o`uDB#x!Wn8|Zo6sosfd&nlsfcVn-&ny3tOdf`vnH_%px zn=k2%%&YcUyrL6oF*nvxUf71(!4O4^ery64mMq4n(5$+JTi&|3DI4ZF9^)eJh;(E2 zU8v&*_CMvLXd`l%caP;FL5~B@JruGtH>Ndp$k$boRuq)D zd~q9*%mjxvX)$J8Be^nCxq{cvS%OR4)znQ`G7i2Vet#56iU73Of^Eu7d;?#gif5S8 zb@^(4DD!R1y-cK9$)q+C8rWA?gCZrg_Dts zbR7U=?uZ;l`62sUuz}-jXOzM0hGE!Ww5=;T%rN$m4a&>aKw$PRh}57$;iK)xr%MR(6bEe_CYs8(>yKr=KA z5Y6}BnHm=59_vf|SMM(Ajh(>N3}P=7d^R)|L*T@>LdOnn0<*F*@?!MVjN>pxGM&uT zY+60^S-~El92<5U{P(raiO+jWzvR z*Mt9fo#;Pns{@l$#O=C0pqR20J?5jvU#)-zWTU*0dQzVFwRp=ig|)TH&v1syr~Y7< z&#a93Cg5W8L09HbJBYmxNAF)D4TkQXQ2)w20rcYQr{vsdmOY?CMTb&S1a~4$U^O5C zM2j1diwc#!V&0zqdESe#SWI!!sxPMo^Re@)Px_*t`XNx7BqX*XWK>5jz-lq-n@HXu z4xZd&9D^l8%&r0IEE>niEbR8;NY01QE31Rnxp0lzT9%IfJ0P}AKIB{bE8BD?T8wQ@ zCDfKQui3LTKP~{307p8hpCReN``bHXbn-=@Ub4$0Xa=i`DUXbqSU7c=xL05;v69-b zSQ4NoZ<@B7Q5wRN&~qoErspDX;M@=_goC{YCMe(1SwV5>N;?*3G%Jxm${bRjk5l;L z(EXP*IktqVMT@wE(er|O)?{zsQvxc?xKP}9ho?wHqHn9DQqOpbmOapf15J&HQHm5$ zmN8WH89*{Zt4SY9d*GY}*Y)%-a|NjO-xiZ3HOU0ud+?$fWJEFvu7GRm3QGlS+`;Prxur|GSvNt6yc6tNqdf~h#yXjT2?1rJoN^aBK5zD@}tLQ_kf*U{CJWs=O( z6}kkrk?yBrJ(3ULUmDz<`IKt}IJ`Tv9{xD`DDGfR+Y*}CosXx7c!Li1jK7j1RWSr! zDHN&rfmF@5h6gJH793og{wU>M)t-m)Dq~2rVUjm4t~z>(uCjb!rFwH$kJI`AoD1<$A`DM z-kp99^p)^Xvnp*XXI9?DF>?cWrX}sU)jMBpO*mN}AU>mM?$%0fSIsZxshB5NEaeSs zgH_p$LKn1|cim5xxgzaEPU=ju4_V5u(>u-?eiXD(R-7k)aB_~SF6hKmUeP}+vGr}f zdvj(JEQ=qf6P{|VFkC+8nCx**)f*}ginEQQ1U{J)rc zryxO1ylI|rFLirqQ z{(c1bFs9?>!0rf&__O(Z_e$E5zE`4EwBfy<; zET&^*AV1kT&ion2wC*u4p~QzqHvXa$cwQg)Yi|7WB6$=a0cw?&uvBZgt!ft5+aCLcK*jk{+)9C;1G|KXA&8*TQN>?R42T(dB9uDIxpg4&)_J- zJaGD;j(BP6hO%0jB+^_tNq)i3GG~z$8R##8y+(Y-nTLOv7|cG9SBe65oKjQh!+no^ z7sP_7#TZ{s^_QGn=Fsk-<@Ek=EAq-qv_05&u4X*yXga*`Fm@tmVqa?cOVVE6pj40X zS<$2TTDQ?6uC53O|I|v*f76OV@tFyaRP2X^|Gjyos~c1=81kUphf(>S5kNht3(U=> zliFMESjc8Lec@->-PXa42=4SnBJ!dWIZhyScBdV(3uwWB?mw5_0CL#ARb-z&OH|UG z*b(HZs_SwwpqqtuNHdZ>=<;vt;7|7lreV>g_l#`Wkec5``RCSG1m=cEQSfQ4&DTU< zgh61R5Q=*g;TTw^GV3%`*TBF9wh5HVDAS24;d*R%T!nRQ+-?CH2V4&ydR-yuJ69y; z7;rdQuR$mQ2P%MnH!1q;U-6-yE8O?eM$SKZ)Zn~dcpV9tK)@``7kr3n>fn$|$f`oV zhL|xPbJ`1OcQoftkDkysz@9N^^E|vGope4+=csQmETfGDaD;E60#9i{I~F{)+v(kV z@XK+40IX@#STZOR&I2Zm94q>B7~~Jge`Q>zK;UtaSYv`qYiAlK=1;l*dpt>Me4e=p z_PZJQ%&+D9?EI|5r<&#NIy^j%Z&IyLviY>qU7O=W8sz(~P@> z9TDn%w;am9L0$ znHyFrnrdg_ey?q3%po-nd1U`lA4Rb2)fIqY9SMaU7)2(yQ|44p!BD35N516(_R<@j zThh6qIVUW1@?JYG<2I5BY4eLlAM2=_QIYA7@X@xz_1IY|;8EVGe>(SsXgfU66 z@w$@tkyPpfQNImxmaRyr zzBKeG%9>xCk`Igtw;j10H;<}U$_hiY)+`vJ4ZpP*b0cEq0wTo+z4_YH+aY1@*cy%G zN0vX6TCGY}DDdopGP$w*H&a`@zcy=4@#jE@`;)Fws zYr~7}!_o{jiO6kusJRszXL9`Pta;#QR&$`T29s_ zB<$n@7D(QYH>&Ah1yreq<|r3L=}M%%l-%<#iyQ=O$u9HbsQ=7aFRhvdC_`*YglfvS zma67UYwQ5zijxI7+4-rurP{&y9Av|}*Zm!*oP{g;p0V;$1M`yO{s^AJ@Thkmt zein=bmS+cmvwwze`36dcC?ULQNv%rTBDc6jyb)n@iUVec=i>xvkVD3fcVC=@#&pKG zzqJv9#aFSoAsV{vKv!XKHqprBY1R1|VuJfcV+~Rs3EW|?^?1of#APcaTo<4sJ#TG) zwzcn7b02q18cd22I2#NNzpPa1nw)K{^rsxkLd?DYBEK@(mvTox0kJO=X=_S`Da>V^ z&iazNB*+s?wWlD6tRAMuC#Cpe=ZNkLGFJ(FYnEfOMYD9INu*?1PwbSmAJ^z0Yven6 z{EMmZ2N6}{PH7vPrwpI1pyrQgICJCjZM|f{M%G?z8#G~smAi&LJgJ%DHtW36QZyj$ zBg+`IsPcZRC)*BlOJR8iBR!qzZX|lA_yCy{bp96UHh)`y_mzDz*)E_%r#5SUmxMqT zgqgcrC?Z!-uu#L~ysKWZ)p%i;^yx+}umoar2at@;lqO=%i`A@VVAduIFU{RT*0NR-Q;w)>#Ls)`HL}T>iL)T-LVp-u< z%roo`l;Bi1>cP?whc2TEu=jzHo1C!DC5)+qk|%|>VO65$dR8b;-#s=0yn8G`SxO^w zK`;vYv{Vay+{C_8EvX%&0iLZw?ETw6IrzU2lC7i3!wbV_cUbjtzTpQ0mN{@$k!yf-Y&!_FX=~rPU(2$)t$I z(dm#f6oK4N7#;9WpB~vYLHj!)w0n>F%^;f7o@^`DvM^zZ?%{>%cf4MzWBWm?Sv3`I9@p(bsEfZ z?`R|FsEq)+NMI7t07TWpi&K)AP3u{xzMTaHU<4?Gx*X6&I!BEFk=NawQYI!AGJijD zCmGL&jKu@c*^2h@oYK{5)0hsWAq zAiKegaJF`;^%a_(Z4i{`8~uSGgb7g(v6~7t)A*{f-?fd^X!(w0kz%6b*)KlK;!Y{i zXc;F+ib5tZ_EzG{$uazPx(0eg22KmzKy&j*Z6C-51%rvz*H;FGF1(Alfk4RcJ0M%h z+@If;)At9T-7+@o9Ey8}#PQyoLyw%nL4+ONxfljBo@7B*dXlz#)hmX_DN2H%SG&XS zgb8DHA9VqlPKr0f-!|;`o3&VqrDh1>CU!@|V%R1`JSUXeE9MI7K)`_f^aLjSPt*y& zlu9(|Y)((4JWFf7`EbXsH_P~dhjL;9*nQG>>ce*)g+&@*wduF0!Xs3-qh~}Hl49!Q z*5<#*%f@ZubhkZ#afR=QpJ!{XnSm;@iB1^5vC#|+k|m&6rFW~yzeqtKPt6z1KD`hS zWvd~Mj+5F9k7)C%+H1ZWio+o?T|q~{`A-fFr7=RG5HTc)2LVS}9Q#|=dv*tl0`{mp zYo=mJxv|kc(f5_Wt_(th*N`oD7oSvLZ*s0AmZ=(rhNc} zPiQ)gaR&N_hir&TlwnCq0Z}GogUpjC0y2(R52H49epz=bOyHixu8Y>urfM zcbLUrn#fc#{(Z_q7z8u~Ibr3V>AfI7k5K)bAa;gufQo;;+L0H%t$8_m$@ycH4!kn! z)>0-;PU;Y4gUd$Zm1+v)N1=ZT4Yaoy!W*p>R^)U9pph0E5A1{NUwV&Ry{(mmrwz%< zKJreEtGJIzK^LlN%~e4l^TWM_ho&K4JW=wL9JJ^y^lQFv90F?Ea1**2Zh_Q!2-)_D z7QdUN$(Pp3rmnBovHB?fvfl>|H-dZ8i4<&(<1xF|L)yz#*6qGk{5aJe(ucyC^{ zMV6GtX%Oi(w^0fQmTM`Mtd#R43OansiNGkT9AXMAgMc-7M{3Y=6cT7amM_a&C@Y)G zXDLIwCe2ba5YQ)&FZUA?q5Y+07m-B6hbA%5<{)RYW<1c$xZcT`cHQHwA>W58^`@De z`$*>~gou`7FT*tRw6kF6^oY|Av}6h=gHN9bb|g$|BqNti8Id!LedxP}wtXD_75=WE zKN?ShK1E1~(MRfu9~8GJ#6FI26)9C>n+HoC448;TFru#sj(efkVbHPnry0X6kv!(I zDB&^`3%J z2{5Y$DQuT0lpg00^o`E7Jqb0#-v)ex|A?2E2*B=Dj8E5%JS!<6+**^SFOLP21Hy9v z<3nX$vshbN4_<|U8Mjqb){9)+L8`S3eWy~hz)yob8~XaShpcU5N_V2%YarrZo_J40 z*-HJUOc!%c`TD>ZN24?#U{|us)u}E7;jqrCfL5JU`}cC29AUdXzy7TWO^D=5DCqF2 z6ep%TRJOHJl8s2>-DK^8J-{#?j--W^t-`UKW=WHGvj_MEzd!lh+EJr_^eF=M1)}%% zFm48s=uh&wz=HCbvQDHhYQ2I@PCn^F{4qWTNI+w}fI9 zlt`(xQ+#Q?iDuXp=mdJ=h>-`-EI+YzandrrJJ0B`JzwF^&Tmv}z-tAEJF?KvXW*UFgtLRY-lw*9{r}pTH~0cSWrU3qGmf6@}3#stXQ3w#nvV~eNt&l z<{%#Mzm4(!XGi+jH+EQ-l2>e(iODVDJgD~#IH$W1S7BTz7(d3WVU+;TpI}DpO;RIj zcD7u`uod`9?s(Jl2*!u-((1iYKiraZ4Z5efR?cMZ_|CwIr;=by#K<~ouAyCAN?i!J ziq81s{nqXlz`gz90ZM89ko@INmCvyJDxpp2eo5ah{%R#a+Bm&yd_7iqnCVN;7TIS3 z?_ecnDhOJ;BSjQ=j12NVP^limc!g!a@6YHt;HPriinQ;NQ_-~KBKn<&1Au0)iSEQu zXQGweSwEtM304EpguR@+cLwj>u1#n+y!c4_@ZwzmtA^@LbS&%qLhSvpHmm!(-9YtN&uqSvAlOl9$HH`%;GrW-CeF#E z{9=B#3~nCkJo_LT>TfOrd@nX^lqbyVB;<1~igTd%&=)v!<>LhNywbd_E3u|;QmK7^HG~mlM^D^f| zXQKZ2VDtwSmyUkN!P85$VH2F!?z&+{3Eb2IWwdWAjB$)yt9_J zFB#tb7n`QZH-ETClddfpj^U+967b(x_9_utC51;NQaz3Rd&*bNzlTWml7)Jh5b$*8 z;TyYBSbbB}y)}YFK~rJU6eaJNHf%&pVl$F}XPyZ|GfzZ=7*KHEQ!dtde~!IS-*sW{ zrtHpazE!}Y-uau{^-B48nLQJMwvt2Y{KOX z4bcD2@GaPZ0FJ^F8{Us?f9%-YG*ixhc}Je6^dZ4WBu)R>QV0i#7oHp2&XURre0hu5 zm@SZ{^`YZUe%b`Uj0iYE_3rY$6jXYgi03{m09?%oTgmT;Ey=Iyy4 zzvB9$*(X+qFHZPI5D7>DIu`Cq`0fvLK+jA7u0$$Fi()OV%}&GqE*6+s+8mfP$Mz?- zwGVSAq&7yQrAmQ7h90iE{cb2*TT?g=dSjDf7+0$EF5XB|Eiwtt)`|76Oii7%GX1fm z?sbte3GdYKKW5W@!Nu?v(B?d+1(xD|*)-`Sw8UN{9{|ShD6qr& zq}IIUFvs_TUpI-shPoN13rE-UV0P@6nnql9mmNCqgth*ZB-qH6^>c^f>Q|IxJ6;Ng z+woKibL4!ZZ=gMq3wBkYh3;^tmK_Whk0R`_lY}HfXZzjRH3RJ=PLgk|3xg7VfT?`$ zGT5$&#n3Aq!bYrX4re8XkNyQV&z!^G~tD*W;u z=21Pl`L(brrDQWr84~%S6eTK`IzBZc^>A3LgZyeR<>r*0xu6`$lq0I2wxjB=xGCc8 z(t7$DK-1vtwF`CSjBH_E3iK*k&X}*7L?DM9=b*|>E9`>TNOz&qs2|k0JIEbc>A7Ky zuw=1Y&W|+LXrv67BtNu>sEH=ZiH^{Kx9H@OA4bTGsj>+V6Og|RM|L@niPj~5AYq^^ zeWF4_<9&pPgD>t} zD65SZXDZYwS{EPq7^@m5ik6;b70Q>QM?j<_z|f1Jl6{GtZKz*na%a{-~CHNIgyQ>|{#i&WN^S6>h#T3-XK$8TN< z6(Wu%y8wX<`r^etq=WtG&eHwJ2S z=&V%RFlYkC54L)bKHxj|#@Q{;xaP=2#}*pZF%EJvo~T-G_}Qb4+f~y7Oy_^?o|-^8 z@j`rik@44eI3*sL{E<87#w&Y5-T|%vrzO?^7WdGq(UC7bU1)UkunHz&(f~6SuL$Z? zzz}1|HKq>-%#6>~Ha!7w{s^UHNsRdNQxG6eIczB**gyuMZyt(-~*CBH)hJSQofcF!G_(SMt;;G4Dz@4tRue4>|hx^;)1tO%x(@ zl5xM`mDfI?N1DlnXlatnt3)mx1_)pcuxvGMUZvITfyS(VdmKr*8@XiG1qSy`^kpk4 zkxg&CiT(|l3+ec5sRTLC41~UZe9F_hDXg1{B8Dx-6x&qkA;Y?0R`K8Y>#LHZ`E_-J zw9i@28ukMdl3bJ5CyNv96J6-?pK~Tyvi{&t`zf$QB%2Szu1l{iMGQo5r`>5;E97}x zHzW;DgYQ{8*Ub~o+-tbg?BDA zs8&ISU?cB)#FRv_efm+S)-bU8ZoT1DND_KGd-{%Pk1_0IVv6@nbdGp1o$tcHBEd+jaB zMxoOsSPe&l?A8--TxdZw`(1_^A!hbBMH=g)OMZ;}6H%3iJpRyl*F zM0!J%071xPD+Hhwko0+$jU;`;BEY>frd5SY;&~sIatz@s%rnQze2?$1Ls1RzljY6?+MK(UGk!5d>$17XLs~tRTs}5ayGJg1{{xTl#~5JRXP; zdeKLp!B~P$yO|8yXrZEjSMPd4!0;Mfm{*l{4{PlD_&WFhBSPBa`lrNGZpnU z1lnpnzd2q1EXxO{fw>4TKXU1{KUdTg>8gnb^{Lx@ls|7>1WKhM^*C%hTKTcMvb7=IFF^B+Gc_$X>6Uxn7W~R$9>Drx!v@a& z*4JUuqmvT(99MlVqC)8(>}Jx+?;$DDQp zYbA5=E!*@qL)`swDA$A1(KJh-mIzEegSTBVL+>e%BZ5wumw`znxL>+o1SiN+gHX&l zmN$+OFbnMZ&9%z8U$uJQ%g;Fg>05Ui0*(4Otr1zvB6$eVp?i@&Ax%K@Ny5A8?Qs-+ z?v*WpnuADI+=AA*z>RLcb69&h zy3sP>?NxyhWCx;;8UwS~im!(>f+>3dmN1*JWKi}F-xPR>n&U)HmXsM8yAh?6;(KVc zuo{7%wXQ*D^B##zdqdry&%9P`Lgzx(F}jfvIoYybq)6tJTDk*e_(w6o9>#VbmA(>p z3}YQSw$r`bsCxQLw7_+&-(YA}$?FI{elpd%k3 z!TB&eBNUm8evZM&a660 z8;u*kE5yX!{Ystobk{SyvS;ud=5$G$hUy{icerppp3PbfZi@MZ!*MaEP+7!b+Z$td z0#sd^hiLXT_&*bu5W^al_bpE5N8bghEsC&Yz3x=idd5zj?=o500H>XIgZu+GCT6RL ziSqwUG)N8-1C`_i?yCKqwgwm6dlsx;H3PD9Bnn6asJbELNSSjE!yjKs8#{u3#xMy! z_X6x6)n@^S)LP4 zB>uD%x03>U0xco{2|qqo`=QL0vsE``{MLgL3NS+Q-ze&2W&P>f!AKk+JjO_PYLxtJ zJn935XOnUUYRMOJjp;$$j=>(h7Usmu>ZxQtS=HKNZE=~7`f#aWIFs)Z*>c*QrF%%z z!uL*VwPdb_x{@Zo*~JOjQfH^Eh(^2;XsA@TZ{^~kE*tJiTdCn?p4gV|?7!ZW`E z=u>V$IA6t@MnULyBx0528%aLzrH_D*Qm{tI3ys{nvSXs+V&~lxd%EXx2Prh!6W8Yt7qG;nt4gwkj*WjacSQE1+L1@swu3Yc zbHNpA_A-fBbk+A(@{%&JXFkW}p3JMX)k7|qVM@MpYi^%cSqJjtz2vnbOEshej!N(# z?;Mer??vOW3>e zRI_7PkCK3B9QvWba2;IHRnbH8SU?y}YIv-}lLrao<&&XxIa(U&lEBMZVC=3jA+DtD z_<^$>R9yM?$X)rFm9E?!VI+d&BA7hsM}mufqVA?VID=paC<w_$uIhTBHx z4y!XpYjbm`i)gDNd;HhxYUAd6wJ8%E9UtVr7a5AAj2c*mZI9v%5V^DQsATcOaf{&2x2-TDD9k3pj+bUBeJ!bP$+>?{Ntzea6yPn zd`2{s1VlVGNg_IiTy!$)2%c0hCQxn;#g2{rV6=E(-~uPI2Gr;1sowpwx%;wmB;9q z7#&axZvqw@O=Ovg(ZQZt4+xTKhg}T!iH%UQdHfyxRxYafx{{;Yz^)q~WKh>t1#7Ad z$AJ-SKuG2a;9EJA;dMWH+{gFtSud?VHK5hE%N`m51clh z1U;6_79LuDg^&Xg;8&Q<{b_9QNYGzVG)=O$4#3W@abi3L-0*WbcLK{B!+8fk%y&G# z4_{yzn%lC*L{-3kR9(h5b|h7Ap9s9cV!rDG}AT z#{H@TpzLDE1r8Nu5+7*v{nr!M$tmh)qe};A;Tp7Z`vll>O*mmoau2p`@j@L3r_e`C z19p-RK~y-zH}0mBdZSNFt~oL(Hu0xb=kPT0y0Y|ycq^hn4-B2G2n#~lOV#ipK|?_7 zFK)&+q1rC7kOLMHNQ8rwFk58z3rP^gzVrK} zeC@7T4=JmeSS!NH77oJK;MD4tI?FA-=XB4lsD+hjD^I>4V2o9^T&=z1eQ^~2T`Nei zSif_!JmsT>)p=DXr483*d!ESwAde(#W`u-Tm{JHFow>f|9%f}Y(_a}FM=A}+9b_!{ zO-yD-Z&mx|q^j)UgX()$Z_e`F5t((Sq_4-5#kcYmu5d4BZG2|E|5eBT4G)z6 z*3Kr5^kUWq&L$!zMs~&~^#A2GXXIe`Z|!_#k&4n|9&Z-=b7)#FhpWO5RiA(+;r~0qE^8Yg~IU@_fe}L@&5y~0a z{?W?+}?EbEWgbZdN8WwGre!Vxc&upY?2^o0WzJ#Ikk@!T~nG)4S3&O9s0Z%w1TqqZ|bawB%0w9{E zXZ=#k*s`>OH}o=*jnqKV3m``Nja@@Xh1S~{@uAA-?l_rZl!Pt!&t*ft<47tvH*&%8 zL{i+fn7dp=s*)BL?^?sm6ie-al0z#*uM=EtP%PtE_F{7Cqy^3#{9$y8{|wym1V~=E zjYl+1Z-}EPJ1(_;hUyE24Se@xo*mcmx=foJS)vqk6}@Z|&TJ5AQymW#U|(M_fqz8I z6&v8khJ}#(YkkgDv?ef)ZABO!t}fxZy8>X}HBHa$4Z+6{VLiC7UX2xp%;Vuv>dn>o zk$Ir`zb=jcDX8FoH`>F*#>VhJK;sOY9RIIi7XSBi<{z%##_2y{`TubKM$UGQ1dOcz z0mN{zv2`N&&+Gl4K;w)Y>`W~G-D0oQE6>*ZbbvKA(?7cWNUDI;N0y=Cy7YO|7TNV- zoFr-intg?xr_I?lE-J}$eg@}$>Zbvs{jYhP#ClC965-9>8)7&CNjbCNHe_l>@01O; zPvfrARi<&iy}XLz|3Xr!nM;YAPx-I*5s@=G~rU(d?UOHUgxl3By@ z$7jZM7&u<`Y=cGluZ}EAW!`G4CwfPnUxsL!aW5!cvH%ejr{vu8_N;HpBwCCjG_%gY zixK^0Pf$*Gjq$LTCZeo1!9Kg!yQ@QLPH0sck7w#01_OhW(capSrTM{jJbsaY$psdV zA?OygQ2*`lD%a4z(Q)$x%GoI3D7PkJv`iwB%vXOG__%&!-1*~Cz;KU@)oNk%Vb4*m zJo7(&c+fC!wdWHBh!DX?`}1cb#an|L1PkPo?L;Tz+Igm4|>z#gr$Wx*l_ zJ8gMYE08(A!Zq8FS*axZefnoj^-#D}R9Dq1qyFSP z1UvY)pBhan6FVxD7;x*OP~Lg+ToxpNbu^4rCQsW|LnMv{)~eQVUu4nYve?xB&0DH0 zb(&_AxQy<(j$626fW}ypitWd{mj}xn)s=pAMr$tM=x*jX4;=x0dcKWm;{koYp%Rd1 z+e>8BUw=O)=A&?RuY&PUdE$!!VRqtuD6j=W7I0Ok;_J!!sz(nh&W3o%wm47{g;VP{eZ7DgimuK zZ_!S1ckQoM76{%P?9P>h1=G*cli32qi3vOh-o;_6!g%@JO?J+=Qf|=SOuOpMZo6;Sx)$45~AB=AvpmB zKQU!#jijl3SpZVv1uTPXP_FJ)#PNeP+6qfK)sX6+OSL)bc0lN@(Y_}o){B*hzjaot z6TP26!Kp`IXw|7a|He7?@S_bgllOAQ{ln^I0NaWLZ%7TuS{%ILPVs)eQI(R&t^FH>x5>4$){zr;mxVyZJn%w8|k(y zS(UlU%HMuOfwPd1c`8j@cfUceYD6~i2->>r6eqd;qx|1 zx-^@NY*F~2!Vzq&Fpth&n2I!F2YH{&$=0z`U!@ZOHw|=&$SQ)0f{nY?=LVqGzYP_8 zGG^@diLAmDsO#3GaN+HS8qvO2%blPSJ2~p})i`h5mvUGc-_ms5^1pm4i}~W2)QHr> z69@0G{2O3Y=nwBh!c_t)^9g^@dr7;-40)WpzyU;Jo;yd{ylC$=m zs);ar{D;q&V@)@#J2{y*>c)*7n0c*gQ4Q+*24>$Ojx5GM;jAhE40oF^DKDkw56)2^ z+6_VgEJ)@}uxlc}O&8??Tj2Jl;UU^U*Q7dn^YEQMRb5iQp&#rU9t_{X8|32-VpF@( z-K>DcvLcKEK;=vy@{Y|5I_ZW0znW_mV+R}`&ghgHbeSJ3bjbGauA&%*XQ0C-U0<-7(qF2i9fJc8!)?o-`hsb{ne~^?tix zv|gUuRu!VvfKGFu-0={+Y=iU=Vf2=0uF?cr=|m+KHk4(RAvQ*)DWJ7H`Z@bpYj?SY zgM=9AedN%W9>5w>{uR^0=#OK}gae&7KhNFo{O*Z8s@U7G(_{a*#+NYWI^U*}9=nRu zPC?H-;tuCPedk}&j+%KPQr|94Rn$@1`&+(PkG-c$d*mP0s&2B6MS>{XyYc&qXnDPtxBw zF&*vJndnU+)giL~M#qLSNkf-G-%%5*AtfQ%c?ncAv)?+axLt)ec~QA3A&p2kMwp|=zh!)ZpEaYmDU?Z{>O+}QvaaUbx{ zAy(r@3->Hag^AGa5McaKVx(tXD!4{SO2Qwo??ha>VKdYpE$RENH9+$e5 z*)Cc+&)npt%(7+EnIARCo-fo8>O`O#KfUCvyQW&fA){eMv7RbL>_z}}JA+u4uoXD? z!BCjly6$Oe_06H^#kz?H!WH5!q>yes6yXhyXd9w!f%4bu|pW>u`U?g_A-UkIWYV@ zUJkN$q=8efVI-*>l(ACF(-pHs!Vc?D(YU6H);o@xT@qsQM}l9(bT+6Fm+@SpJ;n2- z;_i&H0Th(H@8|j=ALjrmDjo@q_|&@Uclue_Z<+d#xoJUc4 zi={cxyIgzMR;3`_L2+)`-DiXCED#5O9jms4ktuOeFtjKDeOh#>Q708~Za@rMiHCUk zwV5Y`$SC`qXcy}Riljw!HXnP_t-}*G(#I?JFSc96Q3?BwADW7q* z<9lVnOa%L1Z*_x;f7W@92ee(g?0|Z>@nY&gIB>T_P(5WcWfx({E0mgic;gpXSB{q zGPXRGCkq~Mv32N|CfU3_%n^>*npNjAD>Z*SRp3)Q<^CM-gF(aqQESzCDmBALBEbJb ze;OzgYK#$wQ^zvxM_Xlo=)|?;s7Q`2w(0eIH9e;Iq_OkUwXPU>u8f|nD z-Rr7vK{T-#b?RUYDh^pP+z`+e(Ek!`AW*;M7`vMX(}O|0bo0Cc-Er8leXW&SyF9F#Q^lk+!pjxd!dD50 zvv+`emLT;2-#BCsZ8Iv&x%Il_jMf0;kh=S=TNlLLtCYiFAOYUGMYl-=vN*~boP~%~ zsO5~J2@De_yQ8xJA1bLv64dG+!z$P*{JPCP`Nkl&^#>7ZcN?|9&8O)(5ZsFGxT)#6 z0knu1US!$h{y@5JlMbjI;bH6a@WN=g0h!QuP9$HGP0*HF!uqy!JWK>6q(Y0bN&6Q( zb*G=3=cA;EsyoSUrMUIsyMu)8d%zn#2gRK(whn%XJ+-AELYm?s{o?ya1DHCnN_1yI zBqf&aG^M&H1oG3b2#}gq<{P~}3XlOT$ZOC|^6ynO66fJmf#R#vXClq8M5y|qne~ic zFvw?)>4pj(mA_XCeuuFA>NGH^-`DwQIyfGbD?3lnL3S~hWR`LdJXs}(9!B#Q3zN`w z!Q(8IfL*VNR6HKZA;U+|Yw;_Hz%O*p!8qTcor)2aer*fpM9`YPQsv;ZPdX5Wul}}@ zWGZP7{FMP&-xBn%>!Ol>XLJ9r`M+TChZ>s?*Xd`hLIV+?4U|R6nM+OW?b7eC_ys_% z_R#u<%X|k&czdNsyn-c8HbscI+Mao*gU-kMj8DFavOK-i6!wM|=l*8*>>Yenn`f-e z?0EXcmfDNtzPz0|@+Dje)_Af>wD{Q$53bxvP{|AG%jxD4QQl$86Wd8zn#lzSP93|# zmgtsfMP5;fY&o@B)mu64O(lf?i?(xU7A1<#=)1OU+qP}nwr##^+qP}nwr$%tFBv3* zRArVw&^_ro{nb9-UJIY%sxeUAKAtRhq2LkEibICLsSX+-+&yLVIWHZgn`ecmN-EMtdrrX4{IjVfzzF6cE@~|5XA& zg&G)9kKG>DUJC;Btx#`Rf^db@=-mP-JWYV?N-wXfoROGXvQ$)AH^e6ykttW;GXRl@ zek7^BxzHDO4)4oygMIA1^|L?CqM_qs@(~{s^R5OkLiMss)%JwgQyRSmaMSKO8gAyK zq7iX+mgskNqL@G+gZTw(p(Io#!3ZjkZS*W{T7*Tk?8LA$5cfmJxW*vlH3UwrY@^6| zvB7}s6V?b>5t4glC}lb5uOMBuDO(z7x3|S@G=WQU(~(+yzv3O@w@u~5`_>xR35@cf zGjhnT5b!8od$#S4F`hG{`FyG>!j-n{kxW-0_eAdINy*847zV^k*D&!<`%F_o#*Jkf ztmexvP|bo_qB?f0<~Tgml$}vv&bn+w(0z-=4%9I9W&!q#8cl~_^E^;2FxZ?98CW$| z)g)XrftHhc;QYAw0uml*bXaj?qB%KktbB{~BlCw%{SFRg>}iRSBk0)_O_1ZblsWqm zF-(vn)4MFLaHqSP!JG7-k~qbo6qV@?V+9{YZ#x0#d>%P}-wz=<0Q34scmh?so;#Yp z!$wt56oViHg-&I0Ui6g6?h>h-Y{D`AlEBEJriZmPTJmFn&%=FJzr+@P@18e{2)R&# zStbMW>H=-YknouTd8(x>eMijSEJ9j-@@dIY$b%K-PSEpYpgWsS+Nl`x?aPCf*Hp0A zjU05{;L5V4nOmgrznrD%mucnf59%7Bv={P*zk~cAz8f+&74^0xxRgI;6YmOtM*1yF8hHX_4?FB~{dU8&sFSa!GB# z70hc9T0WJf>DyC4lyrr_%+s~!qR+5Mu(^GDXM4ha>myn8^m~5MZ(s_geyRGrUGtu9 ze{9J#wi>uSRDU@IOtYCC0ygaZFuB@-Fm(4}m2;nlrCJ>MYJx_@NKWjaf|Y(_S19o` za-rj?UG)BJ^5*3dJ%sm8y6BO>R+B0lJ2?|oeft>@$y0VYB8K>)+7+o2ODOGN13}mL z;8RyPc|q!g`)SSCWq7|*(B-j|mW5?2l{x)UG<;q+CddE5_`4NOnJWiqE zaB|XTGA&9%E2WXA`uGJjE3uTBXJlu5D-`bEjAD6g{x&DGpV56NHh?_Ri4w8p5}Nj0 z{tKS0uTBSg)p|yW*_`s`Z>0M-la#{k08yNwMjjvLs$#u#gp00g` zWkR>>58&PcC#eqKP|a1=P|yQk`7@icc`qz`Cad6MKT=Magu^hPM#{ z@6GswLQc9?%&qEB-eIB0%=c$4&4*p=UOaXRFa zJ@?@@OL;)4NpLAZ;^ULY?S<`K(BRB%c`g!6drYqG5O%dxd^3luWK!GxO5xGG$URnM zlc>y&E|@ARWKPmu8zDhl&^(_^xKbBGIy6g?O}n7Ha^v5QTUp#eZNUr~W=9`%rcI~#FU?lloE?`6=QFf-r`(ii?r@S{&x_2`Ldr7LzHXg|t2 zKAS$SX!wdRdiZtcWF_4daFpd1_ZZ36Nxv;QU6vnI7fbc$OLs2O`2hq4_+4vwB%>HD zGMlv;ge1TKu}1g`C)6R+xQ2v z@d}iau3l(L`Phb%w0eWIH$Nn3umiZnr*#iXue)^Np^UrlOq`PNFWXQz!!N}OEh#=rVZbXk;gCD#GoqoFP%p9MV3WJmFEYYQ{$X~#|@AiP{1bPpSxxF7Ir ze99SZ{FNMP?gj2S>@Ey=xL)IixWu3FRv}4g!XpLEP&?Pp8z1+)69C2MUz4jCV_*JT zpZQ~n{f!k*jLyGwYt4GE&+$9nJZ6lc^GTI+YifSH^cN;M3kIfAdBnX2@-mS2Pw6ov zIVO2WJt5LAz5I(qgn)ZBncX;24^Ec$g4|p+*mB6M$tCtEx2V}bchUz5eR_Rc%g0**QEMJaYT$@=CknXM3m~MB7jBWv z*7Ocnrk&6h627FMcO*#Q65|bAzcL4Sd!JE@Z_jg%88I$}so&6O%0iam{C3T^lF)we z3kmt!PK%vhsYP*T@{b}wn95$xjPk^$ScgUvS1HQ92{IzaG}VVnn9WU={Xzlf#++ru zY49E2W0I?h`fQC5jC=0^Y4tQ@R)QLR4V`+2c% zggWZa13{H#oUepnTxkm5cw<3SsiN94pkE((KH373SNE)(qO@*Bbk7%k)Tx?9F8Qc> zJqU2KDrs~YgZ(Xa$_W`{=Pf{#`y}t;?$&T!tQxT8=>@3-ZNr>DBqfI|@xVr)FEey% zH5q2D>I(pgD-d9`-u|>CD-Zi@lt7`AS&Ea4mR>$l4d#3`r-sA}@51~blD=|W6J^&K z0j_l69R8Wo6@EKI(_!kwR%s<5+;dm_$=?myueC5gGiM`wj)uvS6u7G#C&=4K_mOzfhD#nw#s;u28g?*ofjv z@i6T?F%K-;6w!0^3Ryv~p@HlV?K(+pv~=bX1@{wnrdy7Zn@fzQ?UZuM$-GPOmkjJA z>N*~#DgkJc&i!m6b-;f!T1Hp_dubb+?YuKkkS}khZbuRqZ25xb0f5(}@KZ|lz@s7d z9-;0dv5nc#fZ2G3H8mJb%Lzf(DDVYYLkI~6Y7M(fw@FBu!-POU>S1h)?CG>9KERVl z%ibq=Tjpy}ralwrv58I@2Uw8YeHO3{h9VQJ!LD1a+uB&Xm49y3icXN|97PVrCo1e( z8p=(I0jFZHGmHafp|?pqd4zfPTu}19R92lpNxyHh!%`k0MhX4p9P{yQqiWUbR9xoN zB-b2dQMH%ac8Vi0MjA#I-leWhWgQ~x!yQs0x^q#oZ zDTqSZ&Vd%0AYq^7ptBB+ZL#Pou@2NO$TD&Qn{08QMy8udYFP}k@_TSUNekFJ^!v7`f$|NW7mNJ2dH>FNZu z9N$r5gu#!lG$^*gO9zgEoFU#*=#7N^SQGga-sHgBk9US`ga4&24`~@_#go{Fm4mOV zqYMk=O-sA?>61XIZUsK@gVJ|u8e*h)YB{MRuZDNz@Q29~aGk+_A;6pd00eLE$^+3} z0TcFlAN&c(t!3Or(uJ*bBwUm8o;JN!$>tMtQjN{vOu z>|9c-$95u=qL6~Vtwxy97@C=z%46E^l>CY#>uoKrhqWe7n{o*N{R{-F1*T}6Y7#Vx z5yZd3U$Di1LqRz-f}eWgI5CGX>$;oWrNWV1g7N@ok_3aGy=t6?7DXd3cNS;uM-j) zsw*{rj;2m!@S%tZ*l`qpDcnlazxjrqdi?zEh0Cp6z!e*l8<|87+WTF0Ih6jruTmF6 z|JgZ*b=O_qwm(2Q1URQETNNSA*2@*?{-A>ZV3tdrdNR24;Shs0Q;?%7<&p2sP^Z9Z zO=Wp<^cmL`gL}Wo@n525)q$>+HcNhJX!dKUoKIG-h0-&1UU$G~u)x(M`4bh$tx7iw z=ZPv!Y6Fm;p*Bz>0C5uRBDSlRPTiBKQNmOd1StBN*9UJ;!{Qhqwcu;=}mlwRA^Q|7l!v%ygh=+tgY zYB5_|!juX5NMIXG)9v2sOT$tPEcCuN-Zmhdd@0hj-9(@V(!%rZCjGQh7J7Mvd%juT z2$;>%Y!1^rRh&>jDoAcxmTiEzDd9U!l1{lPaU78C>F{`3hhT5o4WKdF$;q~=jusm1 zm73q+U7<`%e<;$)W&;lcBLB#m9Vxvhy|FZ58(&kM^dm;51nTq%*LtzFq7c13aEC9F zS~;ZZ^$QFr4JH32LO)l8^>F;u&qR8A%&wox4961f1SY4bKL{<_^lGFooPrpJq#dr> z8ki=EaA8_nl8o4bgc5Qx&j^k4np>IU2(G3f{+fa({m>ol$;n)5nE+3rNqvgpq5-^Z zGL4IP=9oYmV|`;Bm!(*38?C%?YU5J(hZ$dhnGyvbaRMHOWnYyM`Oxp~B+9e_k`I@u97^uoSuVP9!%z9ADOD`zdb80K9A8tPc){<~ZH7=$odsGb91&7k6 zCwLK+lcy#g-X72dIAVWEE>6OZ>>u-m2#Ctt^><>|K@hGG7FRuknfY28DhlkqUpu&M z!48(%dQx&-VQ$5XhxHIZZq2yO19Q*yV|YW);V7$%oxc=Th|@AT7e!)fF>X0V&*GEpm76RPvST zG`?SuKl5=W!_Jwt6WTP_NSNsy*U1hd_p=Mf-EX~_ecaSz^j9CA=ZmTyM3Vb<9e|GTRG>vg9pY@X74 z9n>5b;H2LDeok}%BgA;(=o4Jbl;k|wb7_^$*iP+}s^qw@l8Axj>vjYYQc+XbDUfr+ z$JL-zb1qKiHN|}w?sYCiUcacZ_R$)X3!x8sehHFummC^&69e+R}l%6|e+RB}lY}pjh2kP^bd8=r0RB zJ$I^Y=JWmDu*IO%(-g?1iJa3-z}578uK+n%}rRT?lO< z#L_i;9R8gU@T7KUVdB2$+@lDWrVGwhtr2U}P$CvPENM-w7F^|x2 zwD#q16Mp|Bnx3R~zJ<{cTCljRIbHw(CK`qLF{!xwZ&Y*QTTq!D@EV(L0Vx>%CR~oJ zbiSb#T8gsqz2Mo_Z;22(ON58VNUGHI8DtLsOg3?bt-a;V6B-tJ-&0J#d3Fh;C#JR3 zq0s+O?QjuXH}l3soo;SJLrSqJwkANP{K^70i^skB{5VaBp$*7K>bfsSB;78{X67>J zIm?8own+SP%%X~$?8!xBFR>Vavo^gUCg>VgQc3IcC!2D2It}WivY;i9iuroigGIl{l;!bU~xy;@U%=g07 z*yhD(_OCn(*L!K7NH`k6$3Uu%cD_~%nXN4@8Z$d50y@?LS4q=%op{|6LVBh5CX#V= z`O)%Tz{3w7=lA=f0HK{CS=*Dh2$OC)StDy&c@y^<)Dr@4J?iFg;79MwpoRX;_hHQjlqC7!_elylNSwN~;S$6K=TnP+;mIKH^G|h^9Tl(oaS+Rpt@4BF% zXlqhr;D~Ye5Lf9!bEdHA!)C*=q59;ss~Bgc@kPw`{GIzsKKQ~QJ8*BvhL>{ zI*8a-V@FH-8ozJe3Fpeuo2Md!1=HadS>Zmwq)c$5g0yGg5{*T>j0QLvECi)7&QiV% z3Wf7%e-3;xo{6M|il*CMyR$*L7O5K8FdZ>kF!LJH*FFEHBrHV+uoaO(%B_&&m-FH; z9V9gB#IA)6XBE856wkt74p^eJ7FCT`iiPg4ZRqrH>#a2}LAej6!IbPiQa(&*L1aaW zn9HqrLJb!6eA1=ewX_|zUXy5trK{&1pXFjGTMa3hDv81cPpDU8JC0#>EaU`WvFlgm zTYW~A-61P6LcUUJ4d5b~pMOl=7b>QI7vD18kGtSJq^M_qHTYpEFiC~eb%<-;+J0^v z8_G1_56u1Cgg9|zfGf_G*<674JD6&FFdKNv*8j|a4c(b$h?PiprS&@*UTUnh{0Zhe zphx5+ur|u1i2P@AM1-P);jkk3tt?dml&5TCRaU(t)0?SRr@1ucQirGor#5?Nn&&eu zm8yC4bHGNdTalqMOHhk?j(uBsr-V@nfxGVH3d%IjK$Isr8GizXdejUc9TdUFjv~i9 z2O?Bw=MVCi2kwrWEyZV~dc*>8F|vWy9hizUvOpv2rut% zJpN%EM-DRNM5Vq&aST(7Ku$xFHfl`@&A#M`72TOh&ty+(iMPRTg&;~q3L!hW{Kcx+ z1ZRX_eLtu5%Kc)}!W+_A37wE1$vbm^g@WkD0&;m+kRY$Z3P%K+r>Q2hu?l;(%(Gn_ zAqCQd-!HlPxaS9l4DF{dQX?MW6H2O>}$*Ak$13<@RQ3H!>h@{G&q@dmR1aazkON zW=dNK1ajci;VjReRy6ilb4)xT;!QCWONZJyb@5XJ9m)p%ms*kSr0&2j`%rl##uMh& za;^2b?RQJNqJQ7_t0Ic}7~vniMe-mZ$$n%K+wZuFGP^l9D}ZD>_AES&i8Q1oV!nCD za1?sRKWc9g#h6xRGMu=weHp32dzvbZ1Xh})s~I|!YPdQFk5O4CO)4QBI3jh7@yX=$ z*CfZc#Yk1XWexi~n&}^S6}#H03OfZkh;2JrC+!8cMVRFR2G!L8>DPKb>DLK|qN%f} zehMt9l;}H=k-Y2{nQTD)GlnQp(h4hxD$#dUh#4%N&|KAZE*}6?8+-=~{=!i7%+4hJ zzr6y6E>40IAaZR0>fnPZVU?qs%3(1x0Q!ok39i%S~0KSS61$ ztf+A@OgLuoYVJjK*Uwfk-3ZE8fiU+-1F}r=*rV^?2?J$4+Hn6xm(S}`)?{oE%2wOG z#~?@-SE&uyB#6R2o-HNbNZ7jVQFq$-2^d&L@4C^d)l^kcM5g9$71U9(x}Q|_(mBAA z5KEf7qmgC+sKQphdP$4!OBagj=0&}axu#=S*#pL)=4HMWXZxiTpT}|8ls+kbxod_$f2f?-8ukjO8il&Uk<9rdBqyP;yy16-fM!1``5mZ!0g0XPA&Z?&DEQF*DloW^_hDra8E2u>a!H4lMcKqcw9mXX!*9)M<+1Btipnjm+`sMu z`<;>5l^b|h2}7geK6Mu)rh%M$f2Qa5I4efsTaE~jzkxJL-o}7Kln&rVyB8M$c2Y6f`~8%z3{p@Mo^L8 z!W)knoa}M3Tc_O?4OKQhz*G+nB-=rD8|4bl$vZi`}~GasyWNqm!a8 zP09L2(YLYNj4k&#?LfI`gt@FU$}#5Cke`bmS;Wv*ByjnFi4O&4TpzupvC_Buo5x;( z$CKmzqfx-|l+Uao!`#Z-;i9UbmUTe*OjHP|JH; z6zV5j?dysF8kg2hqvDD^C(@|hKk~_a?qts`3i9R^{bE?>U^XST;~7eP0K zOh)SOUL}XdHQdfDych5i03nhWEJ`XSeRrViujt(va&hJ21GJUqCmHVGOw2$rKU`G& zJmh#+cY-yX!gs&)?%vdKUFWK6A`yf}30amwUKIDLq=Opp80oiIcxb2Xv_|vIPlHsp zt~jiYVd_*t41R<9vtA*aYU`3fXyNo#mM!zld@!-wb+_$M8bLmK13gt&dT(|B=%j)5 zTScX{@+zfk&Goq0D4yF_Ph?Vuh44nqeJq&VtiMmpkdTPnQV8F2Pp?_D(kc@k_? zSTjcj9b5zZ;9Qet7htYWAR@j={Xi(cS-WRfsqsa5Cz7727z9t;!}s_SRk2CVxXl5N zrTMDx5{%P}mm324#$!rP}dWQ~x|^uQ$}LMFO%!3Y63)XM?7~j5~Mf)0^i)+htmMB^_ z?Y8a1V%)dBW>lo*Q4aD&!wzqbeR;isFx85fZjKg0WU5t+xD4`vcS=Sg+*JSoL$K6a zzGL=&sT2%G-Oc%3e4SDjzw$w?S6AZb9pa!RwgtKmMov2f@V`d+8hfm8V>xy!RWLgC zs{Hz{vrIsXgruEf#lZdML6QW2^K6sm-WY9Yps?Zt3e!GcnJjSry&tegR=IvYSK#9 zp{QP=#6X-!9wQqf)X{uw%*~72dvrxm1w}6qQN4-Io~0$eo@K%8vFwW;D8#%iGqT5Opd>vK4uZ3X(<0g3f?*QxGp|xQ+pe;-+-#2#h zpRHNKZYzHj--Hw!UJ}gkbW(viO0$FUjJ{G>xWJ{B6i~kd4JyXiWk2^hb=ENUV)dZp z-LVV$2Z#OXjM4WHnky%syDL$-xk)Q80hN<-uA~QZm$RxV=bIlPU6@}X-skn6jdKc; zzZtBYULj?4K5LnRw3Pzj?trVneiZvIPAIu#2PROjyRv$153&AWVt@S*U^@qY+1k%5 zJ6=%n`&kcqQSJ%_<*jtS^I z>I?u~CpLd67|xKf@XU~gWh<9wc^%>fRUU55Ag73naTi5*W!}SC8;L$+ptjl``G_h$ zc9BslLLdw)C>T}Gi!>uoAAeO{uR?sftDVOIHT!SK^HE7#i$5mMcvBUv)ATyiyjv9n z$pIyKgLwbpHJuVy9UqJ@FpEKM5NT}bt}KcC%sr*qnkZ2?V2m}f@U4W~ByM9RALZlCl>B9zI;APyELn6kES#;TgIy65zgQvN zb@Yc)bKkeZ8GhzeX6oRZUGSFmbv8V;!=r5ib%lQ|l^Zc?i-!hs!unr`Z#@|fKsE?Z z6-8D($36elV!(qRISQ$0wuk0&hLV|C|mGNrbCIE$TV3VR!Le>t}yjHaON3j~1J;W?Ks zCXkDbNF4=SCh~?|7hDOL7%V@Z^B&iX z6b~pqWq9z6G|kztiZ>c@c!8~6mvB6`y~#V*euh9fF*W0L*=CeeKJP0h*C#VL4xRQo zKvgY`64hzMkzEUa3gNT1 z>5U&zZkVlehu*_VqXG@91iLseh=V zevYORk9kBX3HLBIM8EIG%+hN|*`~ZmRc@tRESb5D5%iXbWt(ek;o%71wAadYZIXg( zAH_IgLa#3O2D>o&{)BVGJ(NQ;81= zM%Jo%)~o?`=D+`ehP7Y63WVC%4oESVJ^=1^4QY_Iv3gK}gtMfc;Mr{}L- z@qOA@^s%g;?GS8mfyTG~2AhW@M`$cA)tC(gCd-%f_x=35!g+70l>4SGCo(UhW4Ro> zL8g=q?4^3uBJmuc4PoG#@*dqkClh3ZGJ@!c(!0yhDUQQdWH_*C#usUuHcj)Zu$Vh>Y;N zoFE0uE2=`YoaS!DWJqKuTI19NmO{iVQBvqE4bj(6)pm2!mAS2xn4aUs@$JBr^PkU) zmmQ($byL;P;lI`i4yO=2$Nlk|AnTxAc=uoSTZWQ)FGQ>_jw4uhB{b872%`-+adSbX z*UqE@OB|i(%c8UJGTvffR9v*Tojj>3lo0x;&ItoL?2yc)f_&S)E_?{CQsUM5>3bqE zd8Y*+Eo5}69?_49h&BqZ%p(XRq;sn+H(y2ilt zB-iu!oogOdg8gY~zlSh(&wl(!JveJRqvB?*_*ZTUx?;0`1ghj`DEBuL1yeK0rwXp1 zX>b+OZWYG%S0J)*!&ksC+6w^m6AF96_BC>9FD6M2+E4v`RVJt(w?N-$>D_(eGa%`hpwJvHN zzW+&?8+7PP$?x3Qw@B|Vs%nzzLDm&A|cdbopArM6(HGe6LLX5aD{7xSX9Ch#jv0lU68b&y1%D zmY)l`Z8y!pS?}Ye)}d%PVX^(p31d+yoZb-iU(BS-ICU7MaLR)<$6X~7Kpk&$`2`?`TU8a%_y@7NcNj)%*b9(+ zhOQ%Q`&JV0O+jnp9q^pa1d>z}@$+IBQDZYJicGV?+l%s!H6lHTyy?HZsIwPZe31J8 ztUqgaqWodRUy~U~K?bOZgg>FlzhM?BlsLTtuerBP%?Vf5-i1bwQUcHM6YxDmsBe!4 zzRIX0xN%oz~D!Bx31FYEv$^0 zZw{cP14*%yjQiA%sqpW(_TB1688;8DOg4^zEI?12V1MV7TYx}r2kRW!kxhHLsQ7so9j8NeHcm2bjZl&Jw7fJz| zRb(Nus|!Aw<6};A6IbI?SOUQlGr>?if7`DZQ|~3bh*hFzjw3I*uO`@&$4JNA7Y3F+ z-j?ODhf-G9F?qA95{Niyv_Z0n(Bj3lc{1I$O<&nZj7u*jTyqHEB*tV+<>9dIdI{|` z7(qbt^n(gH_Jb(5wY28=+masbg+GRRMx%hCeN~Q`nICHVg{-{*E=k|~HC=Z;E%Um3 z)ROm(RdyE4d#o;Sf0T4~lvM5l94p6?JHF`Iulig?Zv6mAhmrao=@z$Rm=3};KF~hKtU0`>O zcWs{Nez_b;eMSz?mTh({5R&4hg&66)UX-)p6JIuW`l@8VkfDydoaj)B!8h6Sac)*cg_NK+sw?uGuS;Z7r0x9pse^1S91wwBBWUDQ_)<#`YIM-?77hXk zXu3rR&cAcE@-YuI(^bzL(X#o|sj&%%;EHrSXoS4@LFsg_UtaXWsz-dlHC~#`61RGi z-QE`ypjADs7=jo71c1F8s;efuA*&g_2j-DqsU0R7K6>dtWRAo4!3ak$L|MVPNs9c) z_)rRJ%{wxcx{?BWG{0na@JUmIV*fk2L8V2AVLj zf03QS>JV@~$MtEe98cJmM8ec$#Q;#V9g4MC!xrv#ff3?k;T{i~$VZ-%XgSfgXL~AX zB+YGdsBEX%_`|WNlr$lDDp%!;BuOVA{ho=H?+quWRi&d31KkuCnj$>2 zUZAVaP&?zSV!#4CzNAwxfEo@>-7gPp^&5J!OuH=g2Al9ypm7^_&9e*xpvt&5@4*G1 zyfe1DY~G*GKX<=OH9aLl2KVmHn+XOlh~T|iBZVm`dmAH`jkS-C51dLK3IEFKduCYKaR;j63S94xbXeQ);5O4N=PPL1Bc= z>hyv9K4=XJ?LyFG&q|M6u3lFz!QnobYBQy6%wZ3)CM0b$t9|FWa7wF>qU>PX!IKu5k8it*DtS21MufpPON-D_OE!3;Bn;1@ZtV z^6%ou&8XnQxe;!@Zf0S@@p3q1EryZ)b>Cgi#Nl|HB`P z#))_&%60nMI9ZQ-5Qz#v2+_~0dpy4JUr)BtYbDv^C6bIt@1a|jhgDj^F zYA=?Qbnw48C{k+&#wz?T$Uiu}=O~a5BDka0im9E41Az?)Z7h(386r9b_~jaKR!HG1 zFI#n^l^O;Q;9Og-num5h5zBq2xo(fy1G28sq^I;y5A*P-%HtN0Uw14{aL zF3LswZMfQG(lO>WR`|7c&kTpueYkX~*hkI!hCH2+M6K0w4CHWzs3YK)$kRUR^%7?9 z$h3g)LOG=BSuz8GrbIzgjAvmPCb&ZG+nH1+?tVchDFUWPk8&QH#vTt^(229b#yNAl z=kP9IgC@M(Ul52onJ~E_ityeJv+Pa#6UUJprG>_67nbC_T1JaZ00;*HxImx@9XZEn zJKmC-&{~b<7K+?yit9M|JmDP_HexihU=Kw+B{ELwF4rkO3gg zc3n)`Gg_a3dWY3bGSVLS>A8z6gKIZP6<-lf`(xt#Z|Kv1p}hVNp&KI$Bl~|-pE#Hp z|KF)k|M|ZEllsKO$innL)TgQKSgSmo?V>cOt?I{lyy$Qh);2TlQ6ur^grYF45=G;X zRLGTqTSs)D)mU_dN5?bgUTka~I5x6fA#pvMF-jHoGrZRp0s&1(+*hoCnFm#DqBGvT z&(7E~6kt*2SP|i}Pw|E%4zjc<{{=UkTYZ}7abN1(oOXcV&oXArsp%CMiJbb-(8O2B z{hKYk@zg_;7l6L>lN_UCfX$p zq*C<<@#Opsn;!GWp@Z!7*s(T<$myK=XL?2&m%JCjgM8f4mL^M&y~py!(f1Vvvn_-dv2Yy z$u6_`=`OY*AiVs#WI_63Ma9gK7?Qj$G@@*ar^vgC35zp=(3d*Ti|??64KVJ-6Nz{I zUo`sKiYuW8vg3!PGtTaD%Y@dH6hKyqQ`A|DN^HC78m~XYJA7M83ZRNu1@AvR#EAfY z#I-5ko>C08!6V9O{7N5~DYoN+*~E&Mrp57MPlu#8b@@f+Y*`X=eD;lZ5u8Xvrz@w| zEnPkQ0~R6rPr1YyAKe_b@6P`w!|U6ZC6oHOi@_cwBuzADyhL&fWm5o4z}T@lmnuI?bz>xrxkwX>N%u^Ov` zBANW_YP`~oFn5lR89WXswIQAVzL=^ zqQUenY9x&p;dV~hdJejRV5rOvG5!C6f*R>b0U|unbOPJ2cxw$op`)`G9w*?-85w$w zQndOmw4PP5uzDk884qVd!hShh_icoycUn7`7Vj3Pasn3}gf z7BU5eO9x5s&};fV8Jxf?Wi*f2209|OCV--j-MS|f8krF5!SX-8NQEo2z4d#G4_5+-jF5_&BB z7&R^Jw6ibs={@RuhCYeF-x>YTY=Hd+2aoCfE9WTFX2P*yL7lAq@rmeB`?%uO6=F7I z$T#b6vX^m-Gf7s}Zx~}E!0~|O9dnSy2>(DloO7KlC&jDYW7=PP2h^dgXW+rAH z(nG52Sn$68WMgIp$TX^GbLPDUYc(dt>}o592}!go$fu{Mi!p?FVPq zK|V^cMZo(~bS~}q`GJS4BgqfN8kc`Ud>|$a-3T2&EiPS)%rCsp%A9laT(F=IE8(*kBswR@C{!C6<&cX9LWvPI!`+kHCROw zPTK~@In0WPs{uiSIZ2d<p%3W|!p%UhsD|i0U}ialRDqP%fiuy(NO};Y6NlR(uTQ>IJp@eWPBkP^GOM}#LA?mWf+9VJ=enrGJ(XW1?5mvzCK$c{S}OJ?6PhZP$un`R z3*J7#1u%wagx#_!3~O9{L0$BuJM6!-J(KiyX~i9WL9Y1~xQi$N_}j`JQNFJuxq7?( z$GRcT%CY?CG^L(8G7d_p(6FR6qM-)7)81GoUF8t{7?-%MmBwxj0#HJEYaO$s@~r-u z@v%g@o=y)@KU1V#`tFoib>tK@khF9HG%Zc~xiu8>$PbZ8R?{ldK)%mX~4lj5HV zxA(@6ctEFw@>@evnsH4#=0Es)$0$#lt$VO+yUW#O+qPZRW!w6dZQHhO+qT_RUAA4* z&%Jl%egCuWOn%6fc``H3IT`U`N31w|6W4>=Jxe!cDgWewj3O=!u|Nsf-P+Bfr>=Sa zL&Hgx^k|G(7~zSj!pYf|>z)c7arc=-reQV%L$eGwg{Ro#p9H}@NB~yFq?AEt~RV6MLpQt*WSR~9mty|y^iGiAxgG&P$>3s?igB%+7NBo9&c9MWy7R{{~Ke5%092- zr8`P^R|jyaU)YR)VS;rXhQBDC=RhrHKn8t3@wN!K5^DT>=kwNqOm>@sAP`E0M4;EBdO)!)}4v#qp&q_!Z(C1LoInd7jWv1=Ji@l z)})Gt3vL5m^#|#Y35pV^Uy$0_ef^EZnZdjYS2mNEi%(;p{*z>B|6DFp&%~AT7_h0l z+kQj$L3WKvTcnTG_vA=*8`RC=I8$@!wEFpFcJOW`Q0V z=Arsk9aw;Nk{}STPFV%lSYlh-{pmyvWLSGuKqMmm8$;TL?OQ7Ywq!cv(ny`_uuDFU(=F3jN-m_aer!_wrm%TIKUfn1NMbfuK|L?9z- zLmNarT|tt#7INUxi1GB|LvsA~iO?!qt#fp{U@iy4`ASH{ z$Br0~Pic6yeuz_qu{djhCEsv3H1WOshrMZ8jbh$qZdP%IoiR8 zkX9;gW5%-DDzXFt%##q(v9WqJ=1DH^oc@MZMR3%5#W#D+rPjgjyIRQ99!ebxnCq&| znHV~Ifz+Idb=3StA#)R?_o%#I>8`q`la$^gyt)<$zsZ{gGzJWS6IpKZF=@1YOr?`> zWi>|-Y`GAHu|j;DxobX!LB_(WzV`4V6j$n*Z;qUew^|i@ehYJN|t7-RGDt*s-Y?d1R zuGilovO)n^rZ$#mkh_SS%%;5QJYRxO>GNZg4K<9)e(&U?seMR1I8L1*>O^__Wy|fg zQ9OZuC_w8sXmWc$X5)wjG8FP_i`v`e;YZtH2PXRx($HHO)gy>~ZuVxaapqSv%wJ*) zI83rYsU-O$<8U&&$sOB0D!@~Xm2-G^2QM3=xrSxqZIyUPj7ELs|OyqM$ZOb*lUpex`G_WWDs-qR*AO zB>@NE@|Jr2d<%JCK(zbbK-ZRAJ`&6wsV0)$Z_x9Y8{SLQZ4;DBYKx4@{vA7=n2>#|1G zV=Y8>Cop$AiGjRBM}ggra%))FXso|=HPIO>Se5B9bah`4#fB zGbj6jw%h8XM@LPEoEG4-;JNubRd@Ff(*aiFoh_rxOGJ%|rsdpo$S9Qy+clOfW3D%% zlsn&^{4M?l=#d;#s2-~!sPWbNGk5!}ribKN-Qi#>RG53 ze$6R#>}wP(5f{6)X=H2nc(%`tk2yL};I5W>Zhk#k+ouaPKuj1bt|>HC!`J=l8s6ME z^H+9ib{bEC8PZRI3vSI6m7^x;XTsvq9sMk*Qmf;{kdZu<+6{jxZOK{He9@OPmBqU zI!|n=FIhn7&lN_E(%ajmLEaD{c%(5SL_lW?k4DLOmM(Pt&@svU@WF_0MEl+NT$=|$ zHUH(8k6~>&*;|pWzFJS+Nsm}FOU!HiUBp@H)Rxn-qkq9c9 zAQH4!Pu2OIC8-!Ylc(7h20%GJsmGSy)wC+6XS2CDyq-NNyZke*D#271ox~u)BHT%_ zV+q!b-0j6IiT-yphI}{(&n{__h+I|>)6U1x7G~eZo zI97~KQxeC_^mN?}2l94?^B)shD zuBG-%zg6lK^iS+dYwaXu&;|Lzj;zMf9X*il=`=2b3LXa;UUO+Iv>=*mPVhSZ`0pC$ zUNmEy*VJ3VFvk1~rQHVI5Rl=0vZ{j46zh z?5+cT-BBBfcYhH?w#(!p!B4gNywsyhSg1UNyZs9do5$9msFNOfa)u1PbUI|a*3QlfUk$bnpA z3#rt((^PdTLR<&(HQ?g!{orJb*e)|OTJCY+S)@oeWq_iiafkpy6t4iXG7=HSEhqg| z7*r+0brM%OCKPU*3`SBcY{s3vRVq`Ra@5DLc@XIO+^l9lm!e4!#KI9xtyldexC>*y znld)eMiN>wL2zy+bwRrHN=mK~#STQ-qm&i_&9E8o1Ojsel=Rqn%Sh&q`+m!Czad0+7kypc{+paD7XOdnX&)|qPmQe#PYCA_4^ zjA`STui9Zq*_OKaiMgH2@DfCsz?aRhQ%DrT*U-Gf0-_Bo1BwnIASVL&0!dGAF5;C! z=F$OT2cGl zu&XOYnG8?$(>6WU-C;$){5I5Fh;gKqxZ*kss|^i=&6iLCUm`m_*dRv48DUDKPr~Vf zAWxsZFKFm>%!2algF3X1auA7c7iXEUQ}#ArcQ^?h%O5^o#ja6^9%e`CzYe!V29P~- zE^Pf>;958^zhO=|u*A;7`tBcYUEx%}-O#kFfjLQMipE&OMwp}7qqLX4&7J4~qF;Pc z?SArguxj00r?I>sHs$0QR0Zr$G5~8mjpqTw^}F--$%&g3wd0VW>!Y634M$#Y?Pauz zQ(zuvTf@+-9vS$SK5mkW47SXN!bC^s=P3xfZ6P1&lDhoDJn~4QY*@0BX(kp61S9mq z6ExYah&3QL=WDc6-WiaS!w+s2zKf-`wdS78n$NPcqANkDDnbl7d01$;po!CY&-!di z2UZK(ZfOcK8S^owCFyR5wRw+B(`hd_OfzgW*O%;nzmcQ=6Ys55yVKm29Z}&3WeKU9 zHgtwFPHX^@abXRJS|Izx3K*YD`+WdOm>can-8%((P}63mlrUJg%_uqsu`T(Si-iQ~nA?(TcbC$^d&#he7pqn* zq7jn){-U@@M;cw8$JfdTJ7;UsCy021&iC^iNEjCEJzx87L*4l3vvjWas(DgbR3Uds z3|t5VJU+_^&aZ{;!tErG``1DJ)nSk?s_{l3pzwDE)U$=MQEE}&6fcQ$4MdjqH=tL; z6_73pQtJ;3yfvHmGnkA~q?l((1IGXv1PagJkbn6-?D&)cZO}>|0c#IoUhh-GgF&@= z48b$u)USx`a`i;Du>@21#ZAMl*)g5uHC@02d4^hVxEGXN$J9jCz(4j}rp6sB~gcQ|$r%Awjl+!V>N$?!=3fN>EMp0Shd-v8uyL=r#4(?=^#LT%_W`SGM* zmk$}kT=wP+zqu)ysu(=91VBJdOl{H_dbCvDmf6$7m+BAJkF2;-|ZH?@%@)JM{I?PLQ~=FTh?g{**h` z(T^{B8uSPzk1DkhZz{(ke;NsVfAxt9Y$-Z!>IB6F^rHs#j$v8e_SuV(qj|%^dEMy3 zxJC_}Jq@KWfUE5cUXEWIYyCIQ{SagN_LbGgqR_hbXzm$YRL5@=(GdKy4;Z~k3N^tP zNU65|M%b-Y@39hNwm_141HXGeX`rGgtY7cM?8|lZLeLYFfp}6yIO9oku@rS*T!$9- zDlrJ2rboix?#NxiyvsZ|Zp(2LQM>YDOS#`p?Y4VWd27(cmXK14+~0RmK4Ol9@|q+S zupO7=UKiM=KSWb*)vXWhCdt+82}u2tw}Vn~Ig8}fUp-_8*4b!KrHd)?=D>MM^I=gi z?p15PTv~|;$|B-#lBKRR?i_y-*qP-_{jw3(5%!eD*qUf2UmX0f_G06Nw(!= zoob1;7CYXtmn^nv^hFyhN^}TG zqcu~7t;wk;^C3NEC7C0LPK}>61Rjgkq-j2hv`E%q>}e@(Ap+es-OTc;XrmrNk)PD#}ze5C!mtep? zizHOGYb;vCkzrS-a0}JQtAK$vcpIfTRr%`jSKUEaVw@KcI@KqbV}*m-3&ZvUvS^;N zqnA>GGhRr2`ADL$ygA)N843mAQV~9qCa<3A)a*mliGtm6FQT;R6!*Q-WXjF8rm&4hN)Gm{=qp-H-sef*q!LRxQ~V z>d+G`XNzxQzXsHUAFzC-jQyyiucv3deM(t#bRtI{$?!293mCo039Hd7_oFZH&)oj_ zcIcl6TF9-i81!GwZEaZ_+@U>daGPh_@TX<3AN;MtV<{LS$!^C+#O72AWxa#r&Y#BB zSOB6~GJJE{X(xfAG^cSy_Te39^S7gQ|A91gMEu+Pu=DvuCcN#h9>Ye_M$*8FXm`|E z50^$Iu-s(x{((qHhbe>oP=FGtr3ml@0|-C2I-w>GPm=LAut3pHKU}O%z%=s}7)LQ8 zCxhu<&fL%xT8`1(j>c(63xx6>tF5>1)U0wKwI53B1P zgwMr~i4gYHCg?Dy1U^$%pnB1XIX!k$v&1M8ep)|1O*By1b)FACAzqWEoBT=8H8T$U zG@fOT*@vO>)XO7Cqf-Ze`N120^{Wa|q{cPs%74sJmugX&A2w%EJim5PDOO>LQg>ca zC_gCUEqj+4R#udw3E!3Qns(PSNps1!)%oAj6YbAxie&BjDvv3) zqa3T;ZOWrF-AkC?dfCaatfrytuI}vJ^ljLfJA@)pT+>-9N^9mf!OCS1;vaPK?m%uc zPEooh;pWd*iniUgPUsZm=oM;8FF>FM8cr_O1dk?9Xqbh)hYw-%H^vh~#8opQ6*Dy?5;gOC zfGg9`jE`{`79>%1MQFoyTpiFzyb`JF6iLFMoJ>pD4AQ_rGL%Dt^Sh5YUDf=(T_bk9 zfGE~k%=0nlYQB$lYS$>S5h}&NX^xLG`PPpKolUKvD&Rx#R>WnV97x=@$9LYyo*N7% zSACsaBDvMHIo4eCh^v~njoThY3q;T+lQd6mL}rIJLeBFBHqBlT$KI>Mf6QxwffZpc8F0)cM2=mkU91~D3%zeqc0R|eUL~NSd;WRz{E2-L2g<~i0&{}`?=fo4+pmlD^Udg^ z3?gVHqKW2mCtF?+AH6q-YAA&JB)C^ePBz?Y27J!v#PRVA8N!SVROV7U*1{%uT#apm zE|qGP`YZ{dJt+bTJiMTW$^2jdqLY0=D1Egs)SvIQq{wh4hK?%m_`1~wGKhamx~tnIdswK-q`oOI-v>%^#Fp)wYZ_${yxkIJi3;3|DxCY0>WAmaqB z;lsYD%u(^~R0+r!b{GY-GO-pe>hpV>*ECIN>)_UuwHpVw%VdS?BHM8oC#?f%Bc{;H z9-HdR1b(ly#VVU(~EDb7``4cb4AIlzX~|G6cr(}57&Sm6g1 zF?X-rqPzmmoMTX;gu^pv{naIZLD?!Ktvn2#?DIRGfx`941$<=0+lEww`(9!AV4_F! zX+(W;1CBJmu0O4=XKU()-d~>VMD-=U5 z=idQAScOb@U?1;+a5XL?h+&sZk21{Ke?{Brt%`~fLAFUzt*-=8XJMJTgd`2%#OjH6 zO&sDO7cy-~1sKb!iXvm=HElHV)r|_i=Eo~02&$Kqcp?FnGx;-bAv_qHHH{EXU2>26 z9f;2^xyxWAt{y7}0}}bknq^*F3}?UUn&XOf*O-(+!Ev=)j@b;9v_v&lZet_3bwWMM zez@LLS;--)ge9C~^k+8@z9>5kTd6j8-A;>lY&KH0rHQL(qx#Z|P!;3uNt)gZy&GJf zjJxUR)y}|*lQP_;N+wrA{MD2ygc@Rl{9OTYJ4W(ZbuL4@yOKcq?LQSVA+?O3c`%&O z(_n~fME;l47QS)}z|E=>h6QQbW<@~J&!n_!w^#3(>sEvbC<;&V$ueiVtK4<`;!l-NkzhirzYHUy z%;4ZS+LVd-(2vZdV(EAj@u620hvVy9Xs-z+WJ+?zL)&J>m-bI97PQS+Mk|QCEp~UC zWk^FBk3>&j@WS4VPL=Zp&z-HMKcdV9u&c-yllBU<@4;t#KQyqyAe}=WVTUr(>Ca8@ z5Z#H{XUV36?s>O`G=X&m? zJaUcLbR@t)UxoyXSWSVDI58s~sW?YQ@6`bER!nDEeeR5n=ZQfzaupjglxwXfT{}RO&rSQa~zD&!OsgH z&jpqz9Kd$>7N{A~*8QT<3!KPZ_-#K_tPxrF^!*lGk+YTN#t5WtKfmM<-Ts}znuoX- zYEvi>u*d5m`5e!U%c4Qo!@<@5vrn+uG`Ma{cP3Yt)0@V=Wx$!(w6*>POC*-vL4Y3`B>Y;+~`z zk!<~ZQ001B&qL_h2%ha_{k#5I1D1VoWFnRrUo3-x!3TAz@CeMPMJ^h2Tonh8nk6Fq zlR=Ss0YJ%1RGo7?2;0b;3tdd6-`kyBvRks35`t3OG&=7(9VES#8<%JZIBy zp!220p=6#?1!IPKJ|IDH4Oxzx2L#PZvB}ubwQ0X;$(Z$>fJsm?NMv1hG8V8=6V~CD z8)eU9eF|ZtOTWE)U!ow}3BD0994V1EVNu6rqA29JfjE8AkZ_hae6G5%aB zeZvp&p(j{ml3VkFJY z&g1HUSs_f|!4$(A0jF;a#qrtI*w-kl>%0!9{yU1XnMIrFdLw6a1KX(6!>%{d@1xSY zMRwv=@8sC|dCJ`}<4238>RaeDmW51GGU^J@7Za;iW6_1eVPk? z06;i#W{Pg(8wtm+sUFWSL1A!|UuNhma8JlR)|pgWopkkUW1YcbaD5XIj3xN10ue0b zG7ExEb1vzbZ;;VPx(0|0;Bd2_x-?JLfB28g=OWUDx0~8 z`yI7{Jbcj4Hrq6o)&M(z(A;C0750fLb;}Ni`g7{?l9&pFT#wuts+GMBPzo0pD?BbB23|&X?n{368*4gSF4T(RZyj;?|=H7Gk z^{BACu}v;R2iJe-l7FoY7c#`I;@yyxq2WM*tMRCJve$=p6^-GJcF)Cwmn$4u*_wX+ za0$-~{>{i^Ml$M5jxU4Br#hJlQXwSEJpTS)^556h zGMz5IGM5=}82i2L{&N*gz>B$}AVpvru-SXo;88`M>-4w?-X#mwQr-i9v5Eo`ignc4O_) z2Z|H=n=hVVjQHL7pd^&AxWwrkgCRgUKar1mVnD5pONV#;(I`=~)B}w|Y;z1>k38ky z$#|>L#~QjAyw~RLx|*I`xM@N(vMt>>{*)^=)G}W^mtpl!FaSRZnLToLp_bpkGxby0 zBII_gwP81G4v}l3s-J+Pb`zZ*yk~8^uHoRFy0OK->&NSHI;kcECs9%qLpk!myBE03 zDNz0{7%%4JO%74-wbFFwkf!RLdFMqC$Eve^?_QZ_Bcd-X@%gbNTj9dIL)dZ&a(81= zxfE&jO@;{U{^10>Qp#}6Pag1IF`ammN)*`=L_>FQ&sOaHbKy=1G{Cd|qWa($Aw&*t zt=BSWMBMIl^dC_4w09A)eG}q)EK$F&-Z{`iAbl%1Yw~lKVOI-M$=B1esjP+klaUWa z6kksNiSJYz2dRL{0#gowPER@9RuLED0w5zQ7Eqq)!tMru2;y>v+2E#Nkg>?NvDbDp_yQ z(rp_%I6`RdBY15r!yDV+Ox?^gp!6Q@7Q8qPHUHGZleH73b$<7b&n*QVuwNRJ!h7NYS|l69 z2O4~xZ{#1zmcA9=Fb&B(p8+@*@4G||m5Hiw$`!f6-=Lx(j**xLf@ttxEiQR&^kG$Vf&f7`IR291Y5Z2ooO$oWO9Qccioy zLbW8VZg9M+!mSK#274`5jx^&&eAN(l0~unyt9}(`q4!+dHp%I^c{M!CYi*-~(Z8M0 z@^36p^ANeQ!sY&Iji1nldWL8YZFzZ0edbj_-@n+Ro=02C_b^GGFL*z1dzJiYW@!I~ z6GQGZo!<3a$E3Nrt|OTQDZ8(Ek^N@;`?#&a9zr#opM;6MV9VV#*_S6^`ju~oZzv0v zyA6@iq7zp(5nj+z^0v{L7yB@#+wFo-NYuGN(oFx zv2>8M(&0Vhxd_3p1=%wekKFcnt6?e~s_Tl*=TTq>Na2|QrK3NE<_Nfe$nx8hGq~n_ z0a`cZD?&u0VeSj?@&E9=m*n)S0MFF+nlENkU8PzK0m8IX2)uJ7PbwKJtkJ-DR&)19#eK%_ZCHyST5zjM!Mgxr>#2vpS(XqLKJve`c`%;@v~~@v|ku}7khr)xdRap zL)PU#^3VaDsSdVEep{`|Y6CwnPtWgrvkjde3x&djcUAn7{`u0wZ5wOUg3gIB$+{)x3NyH7^tA+SYrX_bpvKS#8iSSxP zgLh&_SP>fN3F{n?;pYCRt}y@0UDV6000;13-bIjPA7cwkAchQdcyH>B=3LH#qQxHV zep4mo&nj&Z8IMo3Y*0aA(=h4wT!|AMkD}jyc6p!Y!nu_I6JT)yCu(C#nJ~E(> zPZ!Et^k+6`F)P**&D`lQkGrmJ+y}uUdC57E4#Lo@bqOYi`CsBxJKnNTG@06D8qg;TL+Ih9X{UI1ja8w66Tb8k1ArBfItfk_1-t3J# zzA+Sl-ixasKeeDS>JamLOQRUJzuTKy5l6)*8*a_%{qAc#r6ocwBpvBMf`aoF3Pfw* zO6?gItU_I22zCPy4fUf$_~j@Wd2@=UOQ=CZOI<7PZi%V!w|M5GqZ*e0P;bVu5mL*7aLh%hrY zOlfF77}4a-8~@;eu5N*_TgR(&Q{xhAGCN(m8od~2=6mJwAybJ_PV+&EizTJ_;-Mt^ zL$=Z)t|nxuuYM)ZNpgnD4$-daC+qIEjnJzS9W8DyTzdM!n@me{ZyeILlcsJ^Qo@0m zm39dV+Oal%2(&xRE~%KG*8X-p`yDaj$Sm)Jg^FhUbIwRpQ#-VF`!mSWZ`E328!rqS zEEPSO)U+!_awQTwHE7+lvT2JdzRa6ZxAYYO`ZM{pf5k0vdg@oL?R>5mr*)z#M}c28sqQWx0_Q{sU+#G#KsTT}j`d(j)qQ zYoQKTJ`3?L#ckPX#eR?-x?+GB5Z@2ZE~Gx07#P)W77yaeCq_0XDrf~;VLjJ7dL%A( z5Y6^Z8JE#2X7vjSM2)#pMAp`^<8OYwuZ0<a1&W=Z zA zk!r}({=o3IVd6V*lazW8(ehenPF!GpXmv6%IGNh6uFWy~O8)4>c2^yvop%~4#UuND zR`~v4v0Tq^ZvD`A(w3n59OqmP#y?bFjH^r;;}~dtj+r#PVEdFXb&S8qB;F)4>f@gH zelNj%rtq~n*Rf$qmAll7yvsyf9-N9R{9RVdg2NYH%QcEmH$c{@lLKH4pN0pvvIrJY zYzmOom|_+)6w-d#eI&1Sx2hBdpgxl;MSHS*_2+}wrJO_(6I+=JFt?rfvx=86Q&qWb zGiI$Gj11YMEVc2@b9+RmhP;hb%m#3C(UI321rKxg8{`(P4FeqN{#5;OH}ifMN6)Jw zQ#JImNI{-<$4urWk7xIkOH=)YJgnmpKZ|q`%Oeq0W8c6RqOBuT9KfUKlvl9c_M>vd zyNI7>da1c5nUz*bZQsF`W(hj~)f_cDd6<8FbM`vPRhW1RK5n{~U^B&@ zs*^lBrdDohTTxF-T1*_X<oArz}a#!Wv-W zNH1n>05JKtZalq=iLDvHoRE>7^M5LV5)?s2?%I5YX{^e}V*(vOuK2{^{Ji`9xKrrz zVB!mou+{F9wlu*NvfUV`tgbXQNcwf-a;kx{K{(>vDXk!ITxMVOQG9hBdD*3sj}m`! z5Z0~bU2Z1eT(lqvr6=!Y*BffV;y66;e_Gqc*D3~sm9zZ$0@r|)x40Ccd@3YR1mDng zenl*up@_&P|KquZ@+Hm5_slNeAYy6GszU z03jR8KX1a&3;%8L@4XWtBkR8mf4?-bHU6hN{?*oh`sH8Y{l^o%va=!JpK!(O9Buw} z`sXPjBfYEvz|q1@>z_y&2>*4~p%?mp2k<}X{qO8J{_*|4vg7zy9`s@?gdG2Q#7@Zh zudDwvi2Q-lmy4W1YX^(om|~~F@Mgb$HhMNnmD~gzsB0qSv-{$g6utGW zfF46DgYI3FZ16{t`u*^e$+NFlJl71JJ`?8oga68eRNUN(|K!TfkoxFHlAHT!X z-_eR5gD35lIUvII@v(NZuk>}f$~Qc%=TSmLJp?SeJp8=lw0=Ri%-?>DsRNvai`la_ zTC)R6%(+epUwYYtTxXol%37rBEiG5o5)z0B zj8RU$;7r`(yGFN*O>hExRu*WyY_}!+=ClK{GW=9^9OO10c`7;sN=5-7w}`Mlz{M$V z1;ym^vVyzKaOXV`d`j!jrlJb@0a99}TTJ!6z>%7ld5Rvp-HVGKAtBGkk0;wvV`ukS z#5TI&_vMLyCmS4iHw93mtBH}P8lC&`Sj=chMDbx4wj<(jvbzbvPx5Nr{`CH!TZ4U) zDM%g>S>%-DREt#Ia(Gs4>{GlC>$1>curX3a4-rdv=$YbS-C#0ZWJW#X`83lM9;zRE zdNMkI@t>$Q2L@7Y^f09Tr}#~-O^g#MF(h96d@iO8@{gQp)BGpbt<_9l+HlJ-Z?RA! z4QF>tr+MxC1p_&t$%(9KUn@XRKi3%tD-UN!>A$9+BNpef)ZTq#Mui%nY^bu|@so`^ z<_`MvHj2JFl$Jh+qieZnd7h@D%Aa*6xJXtIPHB^60bZ3pgC_SfzsG*XH1`CFWbBz;~p@njngZ_o5J;GPFltvaox*Rs7BdbHbu zfF2b2Kll?840an_0$$r5C6~~bbT_n6D*L-|W+VK}8N1j5~ zNVsN?>iqbBiL4gkz6*W$bIMKy<7g&Y>BQWNY0PXwpZshkNcGI|3()$;O>*ptKwAa< zd92nP;X86NuCso;41nF{;7jH3KHt*lhkoqI==5%TaKt%xD>_fPyYWHD4RkOJGfT`B z+wZ9Z$gJtt5C!gelY5wYEOosvci+y(Yf|INUI{GQrQ`|X!{k&#Q#4C&7cE+$L7mFu z5sCWmf8Vc@wY8(kJJLSiV7zw$SZDMLl0y)5*xP>Q{h(9Q%zSW_I{=@7&UmvI5{f*@ zAxN5R`{511gD5K;@*^A7&?98*9Zu$eq=CQn=fW8yd?W#3_*z2V3;V)3&p0Tjd;cg3 z^U)B3Wow%#F`~RwMorV8x~#&Y=-V>@8JNoI&*+#&D^gD`H~$3>FCR^nJR@sET(1#`kcRLA%BUH zlJ4p`IPRx_;&K*7G*AL7xJYJ6AJgAWwS^yb_3k?btX{5$wo_J*&`|bj8w+QrAo1O^ z>B6T+CV3!hoi#LU4$6s$xn>E*og>5a0gAjz z7|O_E554Fxz8^_jZyjZ!wiGfheN&a5T9CeHl5ozkmUN`!6IQY5SG7XbrLL>12MgJ>3q?)r$J%R0 zYAdFv+x~&&`C#LDClX>&6JB7f2Hu9w$i>RjZOa&R)z3chc3@LFDEt{0wWa{4GK#LW zvd91&+ruANMUC|W{&;{c`LRHPAGOGQihV!I7eO6NPgRjCaFm1WZ@4m`NKpN2dfy)Y zXyg)Z?bD+596!F%1iM}#ZPf*o$WYSH1;ww(?pW2iIv##Zie6_#r15}jq#P3*tf2Av*dwRubjZy2u8 zRK@jI=CoRy%r+<-=4bAh*-*)FwmSJ{cL!)f|BKuA2=x};V^U{R|25&V^CdW^@1@+V zo+ zsE>)dfH3Fs+Rd?jIMTd3lhtbWh3#dTpRRCF=}=C8(*zGwgaYkC8?rJ-5h zLMzxXfgZ}4ae?TN`5uTh1x7gT^NB6YxBBWfR_dG<7ks`gcp)=j=mFRVNoCc*^9eo1 zK>=zPCyBcv;$yAxjw90R6k%ujHsUvKl|JvBMmmYhRvjh2ij|k{4ih9O-`<+-AHQ)w z+Zq*_k)Po6xZUaW+#@*#9f%l2L(mWKwUZz;vio$uF6NUf9;WO_tH_B{m=E1-agm5^ zk7i;=-C+Io1>pu(oC>dgC*d|`Yi^OI;rz~`t2UF(Y`@V5dC~7{Km~bs;3%P;W38h( zvnU^;HqR?{Lhv2H4{>ryUY`}*?V(=W3`-$CzX_q+xHos7YR`n{vw2r?($IJ(wz1Qo zp}y?v!{E#8UqeteCaU=iyC-+r+&OBz<(#zWUkd865mWjv0Qny{_a6ZIe`8Kbo4**D zUd_Vz@08&G;45I}VE8Xw0)OGHwVk7~y@8Ply@-j6g^`K4qk;Q>Lx=yKZTtntHctNn zrhmb+5x~yzFD(A24QCr$r+@qV|K}@UVEtd%60uAfw#cf%rP}s^HoJbygSH~3{c0r} znmIjEqz?ILtYeX1p_&4Hc9Kz)D;5Z&a#UXSTx2ho<gztTRc8A zR~Fh8pp8C9B;wrAYFbL3B0l|VF}Fr!cDn2W4GmI9N)Xg}_o|rUi7m3VTkot$BVT=z zki4y5v=N;v)VWv|@X0tg_-f@Xigoa!Of$2pm1U*4e4vv=D$uBPXSDHTr&c>BbX58D zJt+0E;*5>jFN~a-9CH8{C5<%!E61~{!h!K_9J4OYT>37W2{ON>Sfjw3!zT?B_9NESX*y$2k#csCR}x>2i@vTCgEH;|5CR&2zMI8(7Q% zI=ZNO126W;RnfG?=E*k~9f~)p^YR{wKOE}J4o=4SO}>_km@u)isY3o+t)LB|vL7T< zY46B-N8AQRni_@_#9$8-JpE&rsW7vw4t=>e2Z%Cyc;hzFwG<#bxqcS26tDf#m2@51 zQHx6$?taTMeJ&VHvKIaAoqyEEcT@KR>}&9)m$;T9GH}u|TOX2gAm@aWB+cEyHNWs1 zq#X!5?rdpSYEq)uZs0kv>m1ofL1fMQ-o|=uIvgHgN%8 z?UovnXEQ}sZ(eQcXp{ke@A_2@CiB|{oEOe2oXTD(Z13`dGj6cl#k8o0kJnEOlUF|3 zA1XfKvQRQIZ&bkaa|mrIPzS-uHx#Cm$@Y;vl?JprnpG0EbSPa;8lYldJS%%qP*F6p zBzy`a`bI?E46&td@C!zlz>_cQGw>m()M5W3UV!U<^_VRNo(N;b6XAn?rjl5 z#n7s5GT9#(Pmm7jg*6%K_>)c%=fu)6OVbh2w|6T$U`;KpXj6EC?+-&9P{#5k!)wfl zDP}PQ{(iB@v>Jkt|3!rGTvhOcO@BV7-R_Yw{cCcooa^mqMCe(VD}UY%0M(Day#s^Fj#*&&6P{Fy1KU; zy1;(H%Y^muA}h7v&_d=_TCX4KTzY-M5yk9tx=TFsYs{@ui`Q*jO}+!22-yQ~b{$2Q ze|xohLRNj$Vg~#;;Z$3E>12Wjq20I5(&k%wg2n6$vMd3Gs9SPXw0BUvuN|tP2kMY9 z!uJ*n(q~--?8+104wgG=wTp7!t~YW!^;^{PvkFvsRpZI?ou1*+V_=;$kQjK09$?8y zuk!PPjD0MT2VgIvqkk(s1z?PL!?BKki@(E8adWSe^Bi2J%HCR_6Sie|{dTB5^UGlx zRu0|t06h{kMPAg#$OG3B5(7_4OwVU`T_j*0 zN}C8O<5x1K*H@i8sme!gc%M-EGrVINpgTw#aL6eK_OvSGMcElnRM9CnxisKgPrmX| z`mA&WU*)sy{(XK~FfuURJ>?&v@i!W$x`xL|e!yWz>S z`8X_eKNc_GVQnBTa!o2a|5RuPHEl#Pj~(Jj-pSqyv~_?Sm<)=)SyHos~@yxO!wg zfr-IE`-2vO>!z^CS)W44Bh|6aiT+n+!I|qr+Wl(uu|BiaMn@`w6gcAbZ}iAu!O4K2 zCtdb#ZpI-Eg#axrBk8|V-rmGQi$yM2h$OjWbD_h&=9+X+qI%+m7iJ!feiu$Y-5zos z{H&C23jE!9DvvU~=5)OR#Wu0v#G!9h(Y%w1XNsmPxHJOl5KL3q*oHh3ueKAZYoX%@ zO1wYwC&x9h!d=y3zwQ>xJw7mWex`9hiEFhZyke85o0XihbnZs{lAjP&Rxq-P@_tZB zdydzuyFv!Ts2a1M?OZJ}>$-q_rEKKyJqh&(6cM=_MAHrz`^efcy{M?`0h;_SBz(?i z&oPPaQ41OCbG?72p*MjZJ}7y($q#_XM2lAcM(i{2gDS!E=0G&mXs*flgksNH;x+7A zCmwwMiH|K1$D_`UDDZy~_s&7OG;hLY+qP}nwr$(y={{}Swr#unv~AnA-QRhCGdnZ8 zI}_h-#769&PgYe{W!`sWW;|8bl~}x0f_oN6Qg=eVCSr*1&~1Mf`p7=g$97`hNN`zY4FBx>Ld_JfI$XK3g(|=d*iexwPy) z1}_!c^tSBLcUgL4*_=TT*5rRiP?i`&c=Vn4mL{K^@~dqql z-d$wo#V2dQ^g6yS`MByO3XvI8Aj!XtjFj$2=_WXDnNn=u1*59MzYo=1jz=>sf7;Km zrZ`fKOL{|Nu)+k6zKZ2chBM&n14{-nkgqT5j=TD~oKb^gv*C^p-8>?=>a9fSI{DvA={kY|7T+F1xC6tVesIoyz)yOS2KjxLCL?i{uiHE4gy*)bD zXon}rS)UUks%7MJRL`e)c3!1sJ>5o|;dfnWkQ*#yXe2g%b8ZI@FwyhN;kM8PxH;7& zLz;SOX%oGp}rcT_94lD0D(g(Tx z6OuT?0IL)v++DUzKk!##oDNgzDH5Z_p4H1CQb-7;0xv?o{bu?E7n$#oZPPySsXn;A zLQHC|>~nr35jUmZ%MTHVhf^l<1{;)@W+qTbOn9T&n%cVsYaeSi5O9IRMR0$LGnLO2 zb}8=DGTaXnyRqEZ5r`B3<(9O%U~Op$3&fPkWkS-R=qNi8FG)K!F{hU%v02fJ{aa4W1z?$8PW!Q$kFu;dm(9Tiq^c0qh~3xCpryMPFNw|1_;SxHWvB9!}1C{|4DFBCe9kDA$F!;M8%POMdHvs=4zjT*PL zStAWKscQrVzv3l^O_wTL;;IpX&1M14dPr+oVt{TGNRl_y5FPL?Fv#B5b(%ofM-q52KFvcZVwS%tG`2r7##tP=^(A)IoS*o3$4Smm@GvEn3 zzlFrNddY@kVv`W?eL&e^GNKDa3dWI<8~yn{2cAUN&DoHKu$aVM0qT-jKY?sld}8_uSrnGnARU4N`PU0Sj45!j~=U7NA}+JO?y9&AX5D2%8Rh`NQZg^?mf(yfcUX z>Vok|+dni`1I0;BfmUm+7r&|*2&y_7)ylEK2WyLelbqc%R@2;hkH}&9yR{Wb-#rXR zO^wOpup*q1_;vg3w_f=D!ppSE2xC_|hRvs-Wr77MEw#SMc z27_McA^AC=+k~7@Y_7;>`U_WhiRtELWyz2;DxKC`U)h8dgcL!l-&eKF*>zm9?}TpX z8s(LsJU5P|;@y&527{N3wu4Z2ZTa1bj9`hU)nc1NFuv}6Zoj#UEaxrFGc*l?U`T5M5HS8&=kz1+$zbSuIag;jx)!#SC>~W@ zV3&jklzZ*cjs5nDo8=J$4E%|zODBa#*jmkmNX2yqY7(w%4iYhrPk}D9=JFgZmQ)`Y zeTi!EG)aWkN}*=6g>hltn;EruLjL<7Go?w%#|jGP>5jaY#1JaQBHgcTq>~~#CZHge=IgXt_G;fG*>uV zTN1&wFk(KO-zYtelJcKd=-d~R*xRY7BuK;rZek)Bhbnm2pu$!TVAZ2zr#rV<95Ejw znIjHsD8gx7y0-A5Lj$PCO6IJR{Mkz^@g{o&&t8lPX@#VVb+U1#9I&+cD_adOccExP z7NTB}YoXOor~op{HhpL;XkzfTo{@H8w#vI@n7B1VQyCWxfHmr*HA89~9*)z<8Th3{ z{Fl5NVB*hD_loX4MfktJ>soyqv#I~IDF8wcvvAw_-Tpz(>m(w_!$1^@#0S;lGZ#a9-XzpZ z&uDdbjFtNAK^m;y(bu4Io9?7+j}`Nkg6&!%42HhcE`_-i8inFMdB;HBq!n*k);ia` zXY9rD&eyJAQiq%5$k~s{)KLwl5pxAr19#+jY`03io5Kx-W2_J-HrRa2Jqj%iwM86#Y)ssH~F(yQF zRVNri0uUqOJTf|oE2$EnTIAn>`Nu((){f&aR~60a$ZH)3yJ`2CUP0Id-=i!a`=h>- z;dD`AA3AIdWF@xk74d-MhrnYRUqs_LAILQxB^7<%#6mpIyH&MUh9tSDC$bPT?dnV= zur;EDz^(gTj$Ha2A09Y!{Y5$|IdTwXzMsBX(iFH}C1$=5VWBApvfhzx^XJ@Rz3Nn@ zgw#d*OdOS&SfUP;9E26~X<0nc-otj;V_*F*;6KCuL~vi^lXr}u%r?hD%f_-*z}It( z5|0!O$vpWUTW&{alLz(DE0sc#KF#qidXmCm8~d>r>95!Gbs(6I>&T1HmA}>XJ4n2R zt1$)Y6BV2ir1zUeYzjJus-Qcn>cGVV$(0^N#z#{XXhJ01gMNIl!<1rTYN3T%e6m@u zY}QYZC_oDW)=rIyZytL^cZfy8=Cgen-)=MqG}qJ}ARFxi;*5l#ve{j$4WW+Wa~LSu zEuX63W0sOCjwJ8;?t*xi(5ujZAi3e|!RvY?mz{q@PqC}?SU+yE=-Y9G94r9B<*Oq| zHWZMzMIjV5jRjWgY4dSIe)D6zZKpA}IF+HlSly>JmPzbf&vOk!=2(re>qI}~4@if3 z*$XhJcE{g_E*Gc=Y^nFhl@?`9Dm5OFH(Y%Ys_g%wkW+2WD^0-L^RQfrj{^2<6eM{W zbDTdmsT?3pi-85T_|>2`7W9o+u`Ay`?}m$`S-im@EB{=Zxja3*dBjI2XyOZ-e%@Z4 z{vv$3fL*yv{vx?|od@?@bHD64L9%oy0-PQ&@f?7e>~Uf1Psws{L3^Y|YzOnf*a0|b zMIJse*yhYU9^BSF7N3$AC<5N0-Qi8zYz%Y}lp)z8fK*Nv(areQ<$(?XfXGV?2c5;< zIALAh*_!_Za_f^>NpJI;X-m{SJq(!$NQNA#Uf`Yinguf4CxT)Ua^*D%u9A0MSX;~4 z#AcWgO7kZt`|DLaVQ%aYT>@e&9m@>ti*{0~r;Mb7g(2bxj+i}PB;?}vbP#aJ*S=^< z&m|fB_uJMNo5e*L)K7Fbue&o!i*(1y2C^?aIo_M#H_Bq6++kId<*C7}UgkSNC`8v? zPyrZ`mF*KF15I|v0o&P$r$c`&#@N$VfYTw${uSjU#7akGx;B50gkAKFb-=;J0?X?C13wO8< zO#K9!z{#LTLbNqyhhWUQAqkc*{smqGlf}($WRZ#J>Rh%l{uf*=J_oDGtWYp*z{0#o;n-%rXf-_s9yw#Q4R=&%k{t zYrD`7v!Q6&vA1+^JXDKA%(h znYEu%IBjFtld-x+8v=~0TU7P;8oTIQ+4fvVybiaxe>6@{q#!H|ya!f!bL@4cirUWh z_L5+=vy(g0Uu{Jg@M%8_5FkX>I$LXcfT23Yh`0hh7~gR2E9SN_R4_WGt&SPAKW(?C zNK30-n>&*!_`J!1%y+1fm%9l@)Ib3KWgs1X(!L z4LaBdnd>SHq-d41)sM5RWMtT6_g=%C{~!0R^UTw`i8*F7V7~!&^X2IC)^b|;zJ&aeFK_={UP7rp z0sW!{P#j^7nLUk$-m(#x*+NuqQp6{%i0*1kA`Bpsu_`AeV9-afF2nXiWZojJ4-~oN z0ATY*ww`C`%I$qQ*9XEjU*q>>4s%sT-$-hC)^x&|09fOnf{j$l0QJ!4UyUT#+Wd}m zWOIS`cLq0gJmkOG;P0uVV-h{bX$4WLOP^%wJW3;dvdaqG1SFO^XpiRcj5F2v*qd3w z2=3&=!-$IEk2@SuF<|+E3tP~3WVDQ)Tf;P}qxo5dl_!?MKfRe#sLLb-9q2&Dg0`R# ziC#=${a@;@{j7q~(XvLyd#L90{hPC|9d=bF-FM4Da=1*+0!vk_6wi*5uAvKkvh`*L!Lv>}!>%k8RwrkI?@qwnGKxSF++B}AZkdTRJe@zWP+8Q4(J ze-d8>t*#-X?&j#!9&_$&!m&Wt*GorJ9AsiGY>G6;s|!Cgtl(f7RFJnR zm+rrHIFcg+zK;kWdNv{~wHFy}mFhd{APV-HsLx--Fz6P$qq`3~xLWQ>n|R+X6H zAeu)lhm{8VX;o4(aQ?tDm#ERr5e(@+b@tG^1CqDbL#|d{zhq7a2?aS${uF{efj^13 zYQz6EtoO}H4S^s?{qk%P*F<+*O>^{aFMGv$U0au7uQwEHpQMa0%^BZNQ?IKKI;D6}#(B7cxr)2=jh}uS z7`l3SKU}4I;5;W}1XD0bJ~MDB9z6)t9&Da`9|hm73cU&q(IFtio`e=fCqG@O4>i>J z2>2yj%mR&;7^zy#d+gXQDx8 zBR#)dIUQE(!Bf@Zeew|`W`bD3OKJ<}kqj~oDuh}bE39czo@jVBl}Rf%P1!=n09*tE zDo-vibmp+D>?HbE(Q|p5pF)zqUt?*!mswGFFUnH}gNPN;-PuXt+uAjF(O(C~vGg*h zjYQqzS?u;FVj1Fl_QW4B^ep9xc+tiPUbn;j?xg4V7k3J`QTi>1{U5K*a(;PT+GDEi z8QOJyr$^6opX3wQ3Up3GfP4$E)?Zg9UJ4vv@2ipRYq@)4FP7wbCW_x(mW;C`#L@-8 zz5@e|;?ToeA@|0btDBIoY#%Jf0v;#Dz*wHfKp@2EpA}~OO@LH$%P8cSu&?;G+WI;dtnum9@gg3g%;-_{F^!t~!fu}?SlFmOhMi*!IMC_lZLKo-XKCEAaV zldEJML40rrq$P&^ew{=JSYD+?RK3s^_7cmxsqTqODA_r8f>lsMNozrjOXl%sJ_U4^ zfj6#w5h2ti2PG%+txIQGM&tZ4;c}@y#t?)@hkUeSgaE_hw8kXHtD6h?@EdZ!TGXR5 zS|(tN!-|!8qCge+>@9DSYH@yfU0HA#%6MpMcQhJRDtrNDiIOMsjnzF1x@&spfktP> zQxHHyq}oTr<^)$k3H-CmHS4GCT+0@ngzkv~95bD39-sO>V&?aXo}~WlU7<);TQs*XRZwpIhV#oDCx$BFVTHBMmqVxuMmwy z#axPmMA|4x4k#8_{(uMr>Xl$3kPqL^-9LH9M44g-tn_u?YuX*Ny-eUC!joOnF!G%2 zY@eUn-4AXqCrkG;bJ{B(I=s5dI`NX&xQdc8NE19hj##u+Luasy72j@Y)?J!BbRD3P z0W$2c0V@KPE7?^CD#Thso5#_eTdz3%B|sI2>UA zR8P)JFI{9k8i93FZmJp5@jCbARnzK%6_VjT>sB^Phn^XqI{+aIr!r80|LX_4wusNP zcI$`Jw*SGbcKc*3L2@nA$KY_!hb~sRdWcSzo>X8FZrzIlo$2Iucd^g)GS$T30}nmP zyF-ha+}CY!kI(*QEbJR+4>+*ysL9?UJP8LcTsP`tF-x{T8buls5lZ)q<658(()z||`)M=EO2igM zUJF_y4hb;npPmx>i{cJ2{v92_n`mKWZ<9zVv27iJNUsxu$dqCrSqf}e{D%%5O-zkl zn%_ziSuW6E%+K3kqk>t%a$x;zrpAapbm4AiYAUR0Um7&dv0Gr~?GtWP7Y0X04X#)X zyu*k|G;W4OX_v>a? z4tpyt-+*4@v1t`{a+wcV1i?6GJsARnRqY*?T1obmRBUYKj&l}iDX_T3(U(Tw-EZ3*mny8J>CYkio}$g0_Kvx zt+Y+wB2v)lF{54v4&7lRZ!URT-=(>+4{7fw{Ba!-Xii+HJzz!%Szcw#D(^IIe@dpq zTe0rS6107?yI+@ifXBW)CMb+ljC0ZoR;Ini6FzLoMoD=}i9mx2Kxp$ZzkdGHtD=8sZ0!(zX9OAeK7 z?J$eDC~2e14<8R%=<~J;4ux`b#S} zw^|Zv>ExC>-IScINV`Fk=igTkj^&>~EHj{9)wL1 zQzpynC0(CRWWR9A!CHLsmkQi^u^S?Zl}fTmQ2ucHY*AKt76#IVH7->AN-f)+NE|YDGWt16rN9M_;_Zj0D-_K3)OLJy_%wQwc^~iYp>)>>`EbjlZU`;8 zf0T2TJH_M@XDBwCr|L>(2$9m&{j|!8Ll&Ktvfs%i_L&7V*HqpY6$N(Gqm54gN#CfL zm`lU+MF7fnY}ZK+|>g%<(7iIjjNGt@(_l&4xIq5k92&tpjjarx)*1Duz zSEWsw%`%x$B|3Szgy43v>n<_P?2siWL->sfPJY$XU%M@dd_`q~%@ z^Qae#v&QI4%LpP6=!JX(Vc#nS6|XcoJ7xz~Iq4Da_czXcBi-~CsU;{ZU5^RmmPFsR z^JE!V>SGfHQ4S2z<^s1~p(Yo2vR8UrEf{~za85~81GzF%bUE6tN+c+DG+fPHOjwqK zOSVGgNbjYN_*&L1r3nH6da`*Mt6*#B`x7Tn3ICW>KmBL*gcQVZ?U4pgLn$CjoK+q8tmTI8k@*6|(5i#nL6CKfUq9A?g14B&tE**dGg{ zoQk>#H-2Z(EN0LBjbR&f3tRyS^$R1U>(SLXN{o&DWiI6BXXoZTQlhFm91>;#XfVN% zjK0V2+KlgliLm}yuT^TAIHq!b6$GX*d*4}5F`6>nEIB{`KS#lmJJ|KErgw)K>BM_(QFEJg!yP`~ z#JyJs+J9rbkvO!qq6LY(&+aAk`&OB!C&be~g!@2G0ClJwooi~3j$38Z-jwu+me$MR ze2#6vph1bNRUj@NR4T8+wRhwYdnLoeoDdy(G@pNC?jEkWLr_?LC~N(e2?o^|7}@&x zU4dW;^Oz_RR*P0q(%M`vRPFi_m*}7d2l9FOxR}>5A$!y^2y!;Bmaa)5s?*Apm8^{F zDe_VGkZh9Oewbj9_b01P7uEyhiodN-n25UYVC61!7l)CbZpa8jHXae_$j?jq+0mWD z^~&JN)A)UHCl12|D&<@JUMtd(3#lQaHsFZ@=e2kisU~fO|I}qLx|$Am8lu&w@`UX| zmBC)D8^_xl+o|sn1X3-7I$H~FYfhEw%)JVKBy0rH1?jv5lI(}d!w_s5g%Q8rU6!vs zU0R7rC18X4|MYN6*NLK@A(;uOOtK z)dEg*qF@%{=_TXUp*GW{xpR}4Fup)YbNvLL=&=PK$n%+OG~z(rLI-DSoB)Q;Y`d7A zuBFRtcOWHj)6N)L(#!x(lmA4bpHL!30?m7tJStbu(1^Z&=fw0m0lXbL zvAPxXB#~Tc^L`#-=UuK1y-ZexL9OciquYmqQ!hnct1guxvuE!|v`kx5_w)T;VSD5) z2gLS*#px*M?SN}~ie7#M?SO7MhMs-JP)lejyQ_V1U|Ngo!)8fS?-No4f6QQ>vr;dh ze|Cn$Es|5MLg^&cEfnx$vaK67&jWOt&TiEUAD*eQrOUGn>}}xhE{p7*po8(%ttk#Q!FT%BdU+Jk--=#fPiQ&GYM61zSzHthwfjn-Y@{Wa7;IPVPXs;>kj-#tEjOMoAgmc97Fzr^9MASGG1jV+ z9GJ7?soxS?q9CyN#aiH(o>&L6oZw#=r3F4WQH2Zd%R+_f6mLao&BFg{!7;Jwn%rap zx72g4nkOHiO9CoIVKh`st4-;c4AE{Nq7~XBWq)VHT7lj4>WM|EefrPE0Z2gA{C4ek zyvKAn$dQl#LkV3RiKSK#0))58Btwoy&;FI4w}TLNX)Ga&-vYYTJi@`OmGaD(d&c#z znNAX48My2=&XHu?%ruF;18(lf$O;0b0c$%%nF+Gru&R~0%q%Az%8uGpn9aOjKF5x3 zSRGciv7GKpOAvCB$om3+H$mFH396jD;2h1WN>G|x+Hf+B&6{6wHtdBDi!gZTdL8Y9o`=4A2w#nkJwMs;zm>C3rh0q2;+WU%I`J{>|vUG zh*`W42CCX|LyB}PY!mTZg%E*!aiz3(<6m&8TJbk5K zzwIN-rQ^8`mkBCd9Fs^{$_w0CI-hHP6TD{Renkx6+)Hf>kVub#7>;>9_($s33f!XU zHumi8{1mnalcN?pCH)}zQPv;wr6cJq#Cfbnm%sHk_Y&qln}`>2Z}}39B(&OFBfk}y z-{kav4*mcXytXLT;QYS!X2Zh*Vj47TT>OYM#~8ASeA^W+Y@jvTJ<unKhXJpFHvkCYe*a~|fjQ_=?T_Mpf zbeU+S5ereiADaH$oDba!ek5zXO-HMcZx-93^T=qDHcu2EV1zjlcsS{a@C!`_3P(p1 zO#fSzZzA0*k_cvk*i}#w&wg4M;Sx zvlaXr+63YB8lEE04E|PXi%>^zXiZR=)Zp9woNFCK!g9$T16j_h1$ZWITMjgPF@62z zA~qWM7r%Wz7;m|YQ9U|nzc8D9ng;gUNGE_X?}0=RWZsZCF!t48m|Qb1>IdO4fwAov znsEQ-0$R6$AT6I7#8SU0@LGturk@{#0bS->wch|y47EKHg_7rqj&TNPFdA}gD>$z| zk)Z}r-|8Ug;G3RAgL9@QAswo^$8iD zr5^Pfg0WT@Yo@3%?$nB!pgTxs0v8)pL4iHa=Ycb7RH)RY<+!hdbAdD)R!&4oy`F7} zV~#V7PYpJ4L{SNJ4BIbAF=P@Kgef2$2Z~#9;0x#UpEdL~X0NvvPw`UtBss;hy$U@Q zM`4sosBYPk9r`5yP(*AypRWAre#qTU%^yio;X6v8L6*!WvNs6?PX_%a(anx<-y8C% zwxgkzF2BI83^|VT*|bm?s%i~h1N=5BDBQJVLoAuivbHs>UpFb#?m2W;G3nmaP8Kj| zIApb=W1nQhl;nNP)Mv?|n#YDmR0T(w>3}5e&ymNN%yDg4x;_=BM5A2#&XORI(K>`f zc!A3A{8U0p@;(oa)T+k5Rfz50@!IWOcJjhPx>ZMD0e<;ddln*cR++`tbt8pJ-=voX z0q-4N+p5c`iY>i}5K`${K|8RofK?ncxXIK~1bIa`M!mI#o`uvIOJnF+Ba0-Jd3>L> z-VuW&NpKmKM10}i_ZrflY>s;CR6Q z4bAfpU;ghj&;Lwk|DP~_3b{NGDyt3cQ?rm7phv~{d8x8*mMKT-{b-p%VC~a9j=Jw- z`L#ur3ZZBOv3rK0mJLL{c<;_>K!klqKNsC2oXTdxFh`z(S$<`_@r3jyuzpY)DFkhW zI}uYP!)Kd;FWfZZ@znIW5CJg6GQqeCD$=fVXh*Vg4+C zWeET9^8bMD|F1EBrhnn`Q2zz<|EI41pD}+Xg1_|szYGBXkAeSx8voyMT>pnIf{By; zKPmtDNUT_@9Xu1s9$%|t@&Jlqd4-1P27LHx61)uVMXhIy9DrBHTx!0^H#QnZPQRt) zo$x3|FKOrl+sv@Nt=nqhCV@H*(y(qfM0)#&W>_y;L;CX2ci+o{NwhLK>2U_qCz7n! zjy-en`V{#jOhAP58-}3^K0BLcl~euF3PW=vC%h9ce;mhk+aQ9U^zxs4WKHq5$832B za&Y^!Nlo$CWD!6(&|M=)m4Hr_gm19x{Z#U(cwc7{LFb7P+ z$yqn`19X9FGUUzDg(sXF-AGn=qQXSfFuF za?xSRy9Z^kk=LC+rdhQR znX=2$NOg%a$I-!KY zlyGJD_+I6DJB8iSG_uD2m}8A@m078vvj4~7cyvBDm;r}lq`;sIx9x)akrBX^$(P%1 z&)+LuLs1M&!lKu`(@{Q=8loLJHMJbKRGyVyR}>KLj*`6xSWNzraqKd#JOGP&z_vxs z;Ts$);gI1PdI|~2U`0`&?9Hx$W-+}gLm#eL-}mZG$K{OW;Yb&MO09d( zUYL-7jS`#N@z?yld9#uVk^+yFDzg<_J_mD{+A>vO3qAPixYcltwVei#d6r zY~%z-gJAN2;dPa;1linK29W8;6WICOc-wcxN8v;4hz>tl=ygA*(=4=<6N8V*VVoWJr16lt_#2EC52f6KSGmUl{chNt=ErL>mn!q zmAUBA`y)nw0;O58lz#z5HW%`ODWDI-CBhSX5J5L0FwyR+(1Z-o?Vwm{!u{uS3St z#e-IYUewOm-o(<*oc_O*so1O9S^h2lYl-3f*Ela?>TLX1Gh=Ay@=txv+D!i_W0Z9N z%dGUzdH>th0uvMKe-84vFnnRRD>Yt#Ir4robF9A~f2rkX^LQSTlBd2owt3gNcLV(`!i@ZvrX;a)O`&%6=ynqo!X5o)Ll9eSAQk zmdq&)!U4o}3OxakCn{V<^UL%jQjEXd{Mi<^$-lREB!1z?(k}|9&?IvmU?Wq0NXcY*-cnjRG zN2qdR1=AormhJR3rae~cGa6ziF5?cvE6s8QKqzVL{J#h7U)}aU22EH}VWHrO>fEc3O5t zl+L33n->laHm0`!h=dsanTP-0=AV!{Svvf~Of&tPtVms(lS7-8fkF4b#Pwf?{;yB` zpO^k)elm9^U}mNl{3k(N2soJk^4EqA5~h~s7JsEheCak3F`uyX4D>zJIOttq{%GBFdwza=WYq>G`ArLmx$xs53S1HJO!R;&G0 z4AE=+`wduFIRACs-vz2E69Ln|JC^?G_$a#u_FJx3RbcG@i%_&Z)1PM0%A|Xtfy;031-*f}_ng3CY<&&}jPoK*Q9YJ`{U zxqPhHwQe-sx*9*SOrtt;m5xIPe0#_X`fvzukcw?L!JDd$*$=Oe)6#XGT6o$_90Ls} zCR5@2k3Gb-HkmFEosCz~ve(6_i^Wd(M%+DD=~BmL8B;k;p+ke6jw=c>o}~6g$YZvT zh7kHNF)jaaxpoW>#k##i>S-^OMD@!(Sj@ZQ*=p0Bj+A5C?YcjzNZ71E9ZW{|4@qD5 z5nyqN3|^f38EoLfe5+=nvW~v(V}%( zJYX3v-fkrzO*yWJ6QaUS^MPufy9J}1~ikJ*$8oz1L zhp}LeZN^dJLj7b_!zG5G<%;92f&}XnjCnmr7cFil-r7cPMV&Jd8=-ClNp-+JQJ*%u z`%H#9{eYPL@(8Ve8j(aYrY+VR^m!hKey;?(g^WL>P&UXo+-Bs0iKLL=b7b{tVNVDuH0!p)(_;Zs*Mxu(dNBGGA8p zU!ool0e_M74BP32bOqlqH>N+oHZnkDD*XW<0OMsh!Z!)s6i@-98!3)*DB{!f_sWZKQ=iw+N zUvm~(S@*Jmb+PD_;iC;GkAG-OKmO?_z3Bokw%0ky!+zrKV^Q2!DkC1A0h$h8;t8u@ z7AktSPG4L8u?I(wZ6w74EIB2K#lk~@02Mt^=9XvoqJSXl`&r`B#*n&FacaYBd%bgZ z)HIRPW9T)WU-QfHGyopg>7F_vZS18TjL6ggTNcw*x5zG9N(2FYLB<~k-Ep2B{QLp* z6p4a_N_FvA@Pemv6TsqQz6miS^lWPoO zN}0cWaFAdAV4&(k1x$9f-otiWDIZ_Z?LGfkVJUAQf1lXzp|c|QqvtVdAhx=BgUIK4 zsAD4KmZZV)d;XL&_(OU43IsyLBN%fXmlFnIz5?FI4}enoCUi8!xX?;qN^v-r3JQs4 zQ6`gtuR}8ZM)AXBjQ&UQcjOM@rIbuf4sTpvZ=zk27RWYCw?aUo_4AVrmv77FltPeb zHz*OYV$K6H>D1Rdt{Ug2&q*vrXWfr(-CIS?<5uXtqgq4nlOUUXzhYfE|=%T}bd z(x(P(d@&arz71qd$7{A`x|lK@f%-DwsJ%NhbPKV$t!e#3zrTKMeZ#j=BC`;iEGKeD zf+!^YJVa@Au+JlcpwF!xpO}P%N7kSabx&pfuUe`1eB39 zyW>p|BG-paa=ujCAZ$Me18M?8X`F4#-}~I$q#(QfGJ|l>{sE*^Mq#7Y!K|UM=g=nC z`gNNws>rh~_w!rlOwLjJWG8KjidpL_zslbbC=ZVj;i5@Ol=%q*aq*ddccf8KA_ji` zWr*kCw!Q-I262~VRrdUw@T)N+4sYnVX3hw|U_in2dTwx&3uJID6}L~ISy`+@gFnU? z_WPIxgT&csoe`wYMy-$J8V*2)!!6x`Bu zIz&<#Cj9r$iB^3;VfaemB8lJm=3GIJB8u@$)EqXPBr`!~*(#qjfVR|hQX__3qo>s@p|K)0AJXB(7iJXlIq zGZ~R6X{t3;64anG6wggeSuID@(C&M--XXSu*fM=JgRDu0%zPct5vZ6#9f68O!wEcf zV*o8NTl)4o(@eL-aviX%Fjab6T>AhBk%(%5wBz4@;ziVE9YX$zP9@StJrU{gzOp#`FX!yT;eE z!6Kg7tr|^ObydZD`Fc-+=}qQf9fW~Cc&UR>RC$ZFA$F}tSFPs z+-3~tVnBOOVk1+;-8SFS|7Upi>*U7GEY$loS>RI(N_9i7rJ4Shc!>9=ej8V_%HwBU3Gn6 zr_FgN6ICsP4|$M&GJuvtOB9=EvtE_}h%zJ+06r=XIkR>>ozhZLyd?rrl3o6SP|32n z#e_7!?@yGUcSvvQ5q#W>my*xSSBtMd-sn*sWwtn?{dgwS`0LywoGrTxQM;{*MB7g< z+3b0bkbqx9?qGMR_o|+-_K!FiLg%9!%q~shN{?CAu>TTOYB9jx(Dy%WQEQbTB~}DK>&aDe^q_7PF}eOo}B)+ z8lD2Wfh}S5jV(i=h&-x@Yq0_JnJJ>ip8T}A4*8a&A;`}EE-w*rF75kl5~Yi`pj1Bs z>LL|I@@;x!UiYHw9KV%L`#VfHXH92GPRy;S>Kkka(f_dB@GvOJCa%Rkq0|*a)W!|B zK3jYCn-o=aGq4Iv`}b}fRwQ-}Dp)t^6e?rUwr>nZ_b{M(tynu^2PRi6*u^0id!Xt> z7#i*eF_xFzgrBe~y*){!qGpsM*A{psG}1;EC&+`N%GHUAgnrCd<1`bQlF!#*`Fgc8 z=RiRc5OIrNPn<7m?HJr5*Jn;K0T^baDx*EE?Aa0YZJ8v$W(39KG7qz$JoPM)1=YD2 zo`&Ta)vrpDDZkEq2X9bdlJABq1wJ z!iMd^G+tpD(HCcO=gRj3Q+-9|!-bXYgjTOVU>}Z_=yGk4{vabp z(k@n@@WI4qEtNfo+TPS3ZKKpKLx{uPYTF0bM03{1){grVUb?`r(dE%AT_JxpYJE0S zTlfp18aV>VoRdO~)$%dptzev9C0?ay%x~5blOhP}jts!P*dfea-dQX>>y!IlJT8H0 zfgIJN)~jk>2rU^>zCDJo-QJwl#S ze`$47&pZ+I-0kA8y^s2=COUoz`1`GBYzQ+hEyS2(!QHp!t9;ZBs~s<7P5t}^!VRPd zn5y)oG^4VE*{C9QhZN`C`YKtmq!maH1i@#GlMSYXvVeP#YkwRZvEa;q{DQy( zIxmtbF00e=lMhQi6vf@jnpF4US|t`n@-7H0A|IYiw$?zQPgxEab$`J#R#t7mQF&+- zYZ_82;7V5am7-lm^TT59I(QD&pkbcCHuzh?aE`RhtuvUx*4?tNjt2fZu@X4IVQmF@FHV*svO2Wr9#bLof8c9iyCcoqvHs2qE3pOZ@kR%gK~a z-OZx7o1W+qVQ#;c^UZ+utXvPKppK7MCCRs#^^{X#0?6CGu@25ibfVK3%-j8is{i}mDDeK3m`!?xlu5yHY; z!m!K`d%0$di(OW$bdaP{8sgB{(Dzkcsa5kW=cD!nR%Uz_w=}2I285e7uLe>*oKC~6 z4~}Sna%lc%FM~Ur2%gX|dOfw|$gj zAG`W2z3-GbX+Xd+HNaxR!p9V<5|v?PORu<10E=CoLEJr}UB?DqTGR$UD$<;?h7Yhr5LJ|LzHex5CB1;m!E7dn*N{*PHg4@#Jr{M3&Zczq?^Ky*iMywu>ky>YqrOnA+Gz4E)T?yXx2k#qe;w)0W2)w<6KVD3Ye=K z;RZ<}a&W?y79kQ@h7kv)!>hJEe!1fcHeI2Y=(YYMTqHWtVttiP4Dmw)Jw%3q)}^Iw zeM-ebRI3}eH<`Nt=azJq5;OS6UIryU^wi(d}+vY zX4r=QN?bzkRb@ zt>YPL^!{1IIetFbKS7tHw__N*t?(hYtAzH3s1FC$0fMYi}m>9n6OgH!}OQVoGGpD+Fg<8kw z9vEnxj>3C?2W8*`>q6SV--&fI`Z7Wk`QqzMPpZwQ7CQ2Wk8&Dz%e_%j)e2XtD?+7{ zt`^|E|8CT>;iX>*qL~2daD3}WR*_3$u13}Ijg87v-w>!2OFIYifn_)vncB1tWYVZenAbqdzmG@ zxB!~RdIh^20rnoRE5GS>$G$un9B448#?J|V=YzP=@~k!<@ESll27L$bRP|w|S``Bd zE#`DkE^|^bXDGb^Q{FH+wyK+1auLa<*H(yst}e#2!2reajBDiMdv|GHY@sA0JM)$P zd=@L5B{rHfj9ycJYI6mY0554pjPue?aJ-j!^OFNZ#5UycTp*iQv9hHrG-W|5n=E>g zR{AL&&j9X2e->Un)g@duo;-f71!ASm-3NTrka?QCi`l}QiHIgt#x}yP{eAx#jpJc= z$0Xt$vIgFDAY)O0U5Z&nA0%zbKVEud{b4MNA6!d=)Y-h;P0Sab4Rp*zeh_bn;(T-8 zM2;8NC}HLI%t&F==XIolrlSI}hH6dViII_3b8vuG8$T++)q5Rcc|MGcq<9&#s}=L6 zpu-40x%s(0cm`3T!@iS&duZRiCZ0sNX(^E^<0+MdQuS-vMG>#~NOtI`S-U6f?I$*w z+CdSJv*!Ib7qBR}#Q6|c79$AH{QA&us=~`?PsG1L5IGvuG*OCCk;F!Cr5xMI4RaSp z$=k#~ zNjp#O*R(Y@b+%*oc1HPyFcFXyfY#wi`=V5+ON_h1si_dyQlMZu?*jjt*rQ_!7x62x zJ?w?`6V9AbQr+*pLpf_Ew+B+X7lFsDJQ~(CikJKe?T5Ba*(u**=S3atoY;abH9I~! zFJ$@ZSHs2p2WqW0(zWQHDwrp4DMjo_xikhBn`oH&t#tmjc%ak?H>WV+*q}lmcd%mEF5!!dZm`#(pUY(^~+Y7B^oFTVL(_>}f%^iNC@Z7A%`Jy&3#GXj6M7Ecx z;lpHXqH99>Pl||HuxYuZXeha6j(dg&tOL111s7EPx|))Lh$rAasC^!J*+WlLfUDML zyf)+YFk*sSshM_lYZWFt=5DF|Lg=h6ibU4XJ6C=~+v2q52COEoUL_5%EI0|ByD2;8 z;y?r4^ z%adz+MSf@j1wn@v#jWAHZm+hFr~~f16L1e?N{P!ut?}v9a5mx7V=@W`F)b3-QGNo5 zAnA*1EEG^frKs|K_F-9jwraS}!8Urcq$ zOznbV1p0eeHGl>VZ_BKhH6tL*Z{o8T_cteg&P?cYsPu9rJ#`0is2~2xbCtN{;RW%ph7(XCH zkes5ml$1DlznejUc?Y-}O&ZbWGT&OV(YvRueJ1T1d)JeQ3*kV3MIkpo&nUNpFqjQt zQmp=k0Pde^HV}!@V$GpXFol!pyDtHGOyTnly4oNMsJURfo+jL4#P-er->3WF6~cBc z7B9vtng<7`v>W~%hQ?usYxm<5)qet>U z|4Jck3M)l5jisO+Z}{*>w2}~GZU0&GmO?-Z0@RW-3ERQ$`{~&37J`cg8zy~01^zku zIvEamYm%1CNmSv_#clf6M5(!D#y|HokihT|lklRM9ymIi$ALbpwkJgt6|i^9;O6dX!wLr1Q`$z$xF3*+byb$wB870R>*OG<1T- zTKmW~N;Ew+aU1OIMYf(rYJWkm^ccNxqT%j<8Ri5`r$uIRz>NH^?1d7PUIfI%NqrY_ zK*noq{kpEvuu0bmAjN0P5_13&r&Nbo`khS2lHRMLYW8wWTU7V>VO%&W4C%w8|JmbEH{7^%T;@5LdZD$SUvDf zxYcJx8-(jHUW;)Qe6lJPaw5*rRm*!ta-3>5c!REbJ7@1c9VkRoj^bG_vh}K6AONzP z;kEV=OnU}|=kfla`6?ng*Z%uTRbsY^mv7jSie~jNVS9e$j=xlJP5`kKvaNke$@zjq zG|4A^Aj;nBsLLi5&0;&Im|vkyzMI+WgBgg;T783dqy;8z*_C_@NCtugGsEdTHQPP* z{EhxM9q)rnY$FsRt@wll(8*puxA(%T7z8{x;}fTh3mDh?(UvVHF}8E1wnBJmZOE}M z??#YeV%Yx%NcW|xilOe3j~RRVpHx93^~mLO|aZ_J=4 z8T3jV4y$#XW9Uv2Yw5=iroiu=T?M2qD2WH|_NFOqola~jzRx^U*DZni2$uALzBfN|{hs$1jxJQrpnQeCp4`bRu0>jE9MP)3%Bj{8^ z`sAKk->~V&$H&rW0oRc?5>&U_xprSEe2t(GAeZ zcnR0QOnr5yI^+^U&>YC>{#^l??UYbJb4DXfVOSB2Ixw58sm z$_oNFIWzE`B$OejPFM7rk|qOPGg=1Lv`txfBpDyP4nA~>NJN7kR(e|4G)Y_qB-!;u z$B&TFO}rTMkLb@`6MS5y2PjEe<5}8_sMxjOybiwZaq4!5kl)S7oRqjHwJO%S&%{GG z#jae`2gcR0jAeVdY)@KU9zfe^2bPCaIJSd>lZ+`dFg%A`Qld=iCGW_BAnVE0Zep-5 zsU2rp$FAS%yv&c2e0vHahV1DsGYx$lFWwP^q#wEE>MH@G>>S`abMko%xd!00OCph&>)_n`X_cn))Acq>8&2+@L+v`8&y%LpKQ_rVfB7?FF(ZyWuDyZ*+0XgAj{f>Z57GDg-e`|4 zzFuJ=|t@(3DF(Z$bRf!CVo!fBj_bF2SMgbf3s`T2N z)j5m8o~&Q?@~Tm0#){aRmF9qCSrgL>PDbByLl{KxB$7z5y?ao zCJ`8fvKDk}|3WcfHu+N;kr2}*&krDgm0yFdxC>{VU-awbm%+Kx`@G zx3NNCZiP~81lDLvb(`iunV)VXL(rT_Wmf zGisor?|rZ94oIM227~7vwd0$&MN((mkHR2a#vo28QAhIZ?)V*EUuoYsf4XF8}oB ze#v=`CPUT7Y&?jN=XJ5ny5J~?A8Ydi<(x2Gdj%g@oM*mr8@`eol`DbrKY%ikE{tu5 ziCz?S_78hcZz~SuFr1+D2C>ov)1vERGj7R2PtAg|?FQNi$}EQATtME7tIB#CYIGy? zO3Og@#h)W?o+a(w-wjQI4$VGmKb23+H0;`(X1(2yWCex)Fmz=>melRDig-*e>yEU2 zU3%n9)&noF!1?#{;a#YeRpr8PK4+}>*!)|o>0*xw)cwor8;3?lc`pS|U$?h@Jp_?R zx(KLMoOal?ujMgJmcYVZEJR{-3$=NP6*Fs1l`W1H-f0Nj;=^s1y6Ox>dN+dF*>`?U z>!;jk)XfJ~s3AZQlxj3j^C8`we*b(SyryfiQvny;T7MCw8EtM=&{jL~mozLt7mT{u zUx}zDMa1nYFAB30A)`e|DH22~I*O7-?ZGgD0>$BBwz)}7A}|+T=kuKUF0)h=oxf2axgJ<0 zJb@JZruiywNG%7PM}`W5#Xx1Mm&nnhy>7}@`ZDf%>_~6BBjKOEq^IRgv-q|d^Dqk{ zoftPd6f1cfR4hnIe5&Y2B~j)$sckA9evKQ42+OBW|DgSnXAzg^`^U%oV{C2c0@aVS9rdRAgd$^1(gf$}V5g-2#eqSv zHV*&M5^F3RlISD8FMh;&AtxwiyrC$v&&-FSRw#N##FLBc6#K85UC{!UFcpdV6-NF? zUU=~azvyfkEm)`v_g%4z$le{=p!^g~6^r>8tneudwO81|nnWC1g|WF!bk($rS9Tg zGAiNGAS0x$LM%vm_9H<()l?8F618*QmE4M^XN>x^$wa8ET@apBW05eczKobwC0nGS zUUQoLMFWz8`6^)g_a8y-I}@_5c?m;26K`eU+-z}m5W`NGT*g~K+cd?VL-N>71=`?r z`f-7A?ZKb>B~S|VhZjVCn@1?Q%6!87$uH#;K^wp4%fYSzQMa>|B_<#b`cIgnX9Y)? zdJEEURs6N|F<%bDt{?V+RC`V_7c36Jw9YZKJVQ+a+qRWN$?cSb{JiIbzMR@4bX9R# z#^6#6`YUJ^uOH};aIK3CdCW?j4W9?wqsBnXp*mj`QuAR;5pH3^XyxUx?UaBrNn3 zJV9S_4l^pV`v+o>9>{>fCvRbSQ9Hv%jje@qB{la@2zlA$yH3S_$6!!3@QR#$-a}+9 z3_Y>^W5DWt8Suo>IL%B5^!O7Ny+v0_wv`x~3^g{`J074z(CQwFOFfqLv&IJ&@|rcZ6e zIBnX8EJe#(B4Vvd@TYVJ#9Y!fJ{fh)B6vf*G`49@K?H*e1y|Ph3<$Ky!Dpd~|CC^~%_ zc){szqb}zY`>T0Bv+xbUB{j}r^NW{R($2*kcX4ctzUrd6RUM8TEPI&rg%tjNfs$iw zpUY7U2j$Px*kYXv!T(S;NS)ESMvT|vdc>kMQ#2w z51+#bxbj?X0Vk~YVZUfY2e0N_dc*B)1#urfyR|dA@_XMfF!&xe?F3@HVtNfDisE2+ zH8raYtB0e@>6>VBd#K80KCSLBvKt_utUAH28RAwg0H^rcJNr-ZI{7(twlTe=yXRm{ ztVi~u8w`W*fgLdCe6PN@(|Ugvl4M9vm6|rh1fAzT2Etq&G~xXE_@s$>tAomUN~TPK z=_fMMW(2#IsxJC#WC3*zSAv$ubg0eDcY$vw-g3`3Mk&g*H-B)7y$Y-B*+Lq6?Qxyw zfS#}N=p%*S=j0-B0n%V@hLc8eZ?BGxiJnX!m{qLWO46gYYnegL@G(`a%7*PIl5Y=~ z8-uXa=bSI*)td^{{mvoJF<*+C@TRlT`tuW7oU+49N2pp&tTnMo^FA0)i2nB#H!;Bk zQ}HOkA*&ih4SOzw4YMg!iE}Gg4f5qeQl8r*&o?^ld^_`*1!6iylW81zI0buDL8QyU zx}p7BAQjgU$g$5hp$BecwxQ~|^{~M~&4ZoM2HFCQuutK6FPeC1f&zz(IBKl&`-psE z(+P}aBBmH7ky*f_IvQhge!3!3pw#fML%HOGx{mHL1+1Fqp&pAwHzyHq)%H)69)HTH zC}%(Mk7uiikmJ>Z^(#dmE78XdO>UN#S!Z3$**eeC4Vb9d)$Ke*Co7S}r6K7v#%O8r zJ{_A}ukaoojFL^~BsBs9ZFZL}uQi#T4vt5asFJtc7KkrZTLsVIZ{wFH;WICJUaP0$ zhkY=xz#6UfG)o7~56nLgyr6@Q`QowGU@y#pAODZ9*`@vecHXd|TQub0#59XkeqChR5psN@OD&&2Woy1j@LRPVuponGo|}hplqmR`QtIDsYhX;;JGI zpThPi@X?Gul1YHa(tM9NaOozYSI?6N)m~)yW9h|z0$$e(($(3yPS$`Re4`cZ9?o3| zExrCQ7YOo5uTZF4!*5~o0yiU!|CwF>#rX6S?Gz$&v6U(P1!&6A^nRIDf-T4V1=GZu zzoKGZeSG!a-jX_msQ~lT(UA=m4zl5hl!7cq3&9Ev*9Y|*7oVYG?=MDHtr%XCg>JG- z=4P?mLGJfP2nYs!a}fG$Gr|vSzin1AGAS1W?w6qhh?DS<=|J1>^mmkf(n-5-qUHgA z-mJbs0hE;M^U$TG)F!0kWR8&`Cw#?OX$x(_S(+Pf+W$Lb5~?-UeJ*`xMCFt0DV}Sz z9*AYK4$$P=sro`>bu$8gJT0}WnyG1G$oC1Ueuj^_G0i#A#?GofuTd%=tsX$S0e8pB z6fIg$v}&<{BXf~;4LKG2)aX9-;%qAJUz_@|WmB-U zpNkwI4SiW1{h{mtZ8Ybv*L$4b&+E*_G(1N?X#JX(5Q*9_@gpM|8^}8}ld51lF}ai? zp0okvVCh>@S*zc>7k37NS$;Dx5ZP0Z^~U;;2YKCfY@!C)XsHcpWLeVM5tT?WN)JRp#<516Tt_G8EL?QzMEVQu;56~}*u%meCf74f{Gbn+dl`VEReti>PL9InR|H1-1r_ye5!bkU| z;ZebBb4d;6yaXNc*T8+b%zypwf`PNn!NW|Rn3Xe;-R&wQwx>eAWor{IMIQdL(BP{Q z;v>$wIL?@W-T<4S`P5gT^BW>JPa6n$d5>~LZV3O)k?S(t$uW<`Aw(QPfMTKI%HVU(gb#AtE6;l z)vOO+mOr{Xx}Kip59C+R!!zj-UeJJ#J$;yYc?7Vg44%X+C=XtPdMht z;nfT1!@fdEO7J7Cm~oewd20jgzpbo+D(~~V5S>%(K^lqDq3tC5(fPe#4xS?fWX(bu z{{2$muA~j#lsq5Ag%P?22$4*lOO)Ra92{lOeOBxZz~eE51h5ktQmG&Z#sL^A;Cwc5 z?JK>hp>=>sFG3e>u~hg~mahE`tPH(+%yG>`pkX(R^63~CdDTI#w&Ok`s@2EC)=7h3 z99_I9%?^(FFmJogES0Xzz!y8`@j%x&GIAOtF?y6)N*L^mYR!9;B#d-jzw{J0ZR>337P>coL>wSJjK}d0JNam#}08~CGg5EC; zOIfq}l0slWFDHEMTSmy+uKcniiZ$tI`9~lzPW-oqYQDNxq8K}KOIin{AYOktOR8n& zXfEHYIOAD5$s-*{q>-CHvV%Xk_Z5?&6gU|DCU>1jsQ8X^i4CzKZezbL`_ z=?v8Kk3M#ROHhRt(WNz&~nL1`5xkZ#13BI>I%>Bv#j2R|#G% z5J>b{Y}KcEA`jb4xK`37oFX9$(~J%nQ*g9z97g-r@T@aWLCWoAxorZ@hTY!aDd7F# zK{de4(`-7Bm1`_Ogkq6OeJaORUiL(%PnN4UOMYqABt$VW#}J;aSq=FtCkH>=BnxBB zUgdY9m7pbR|8^?*1+YQEoujGS6a#`&o!4Ayf;lvfQocFsA|&ovy97CcvZsK}{J&BK zFc?{Xr<};Mu(oOv!JjBW&udKGk$;({bn7aOHG_~q)v_F(x>)MXjKz%mh^`|SgdpUx zmT5^)yxEn67hP`NCU?!7jH*7kU+7%-2*O{|bL;Zkcb&XYwH6)kb=*X5;+kHgWKPs{ zvIkaFuoAzQ#UuKJ<4=57$$&}~QR3$6;lM*IgqyMlrEJj@u3(*sw4jKPzxwP*{ezEv zaX^~fV1Rkgq?M711-&nD6WYQKR7k4rXq&*famio@eA^zy3e)y#%r_$f)KR=>dPkDu zQU!P!4W$Y!E`z7fZsHB&YXEO6m{yB-l1aR`^U~2_n|Vxa&aA_x4zIP6?fq({QmoqS zN@SC8z(p?5RG2^>VE5v9v$GVUkJR>Pa)!^t1&^Zeo9*mz>yPp(Kg7(c7>eJ`Gg{pqo=j2x)|@zkjrhB|?r_ zhp!=X;w9+*!7E0~^qoMCg?G3mj-fhU=9l=VL>U__tW~puGW6k!R(zJ=FsCrnF>Zm^ z@`fDC!kMck|6*z(z18N8#TxQqi6{>T@jkdiMvOQ`z$2bKm{!x^gGd)XBL)z+ z6eO;6mz8TApqdrMz}KX_7&uY+uC-f`iw*VMPR4ZAk5cs<@8xmIz#WBW>cQ`@j$? zm*4VU$I+_ZT3%&z<1#tJcQjVA65u`ccQ?u=ETQ44Z4Tj-l!Fft@e?FO*f!N>k6(8N zC5D;_Q&^_FJjsP@4X<~olz^98JI9%iX8tv56+#)3YHg5OsSVl9?X4Dq>D&5tk>k{zLeAIpgWvtLpyEXDjS?mqbn{%f|esSZ%Im(eFg>ST>C z#TS=_Y^Ym|^b5gKedDgEW^B-|HSPMTv{zl72nv1Y0ls2%dp+zH8EiYYx z%aCyq-RejYFn7=dk^Pxp`3iwA!l<;LK3vK4Wy_uK2t-1Nl^=*<03&i*z^2Pn36k z%?gCd=cn5fgy+;wW24n*si(qDqF7WJ=rszakq5+z{SaOdO(D^kBPTo`KO{)m-wPIJ z_Bg^gGjsMjc=0jt>5fm`#bjVU5DF{>eaVDNwxkr9)Fe-R%(-%$@)0wC=~q|}xF)Yx z12}WR2Z7G+nTN<*ArJY3n%tKHE*xcK?C}J>RqUDeFf&0~piHJaa0LD59*dfPa4*kA z=a*PQk(MJ924DhG^=lOxX6|sT!~GC3zfR#^Iy$I;9F`ZdUD8}Bdj$VstGIr0jx-d- zr<|n0Pb>#_x~mcWpV*ISq~aUjjj8p2<0(bLXY~oo0phn z#q5Ynqp8yIEEd%9t}(Dc9<9UG&s=-_*U>m6w#P{3KQZ4GmIve zQEBi}bIh@=0%KZ4tC#Q7w>&gmG?n3!NwshJdr~NlsXGHt^=0imQ~fN47m}8&{J(3+ z+K*|J^#zI_t3vR0HLb)55 zQi_aUwFkO7cpc>88$j}DW?%lRYuab$l|IMjwsIVIQ3JElXimxako#x8k_S{Y;C-=l z15)U(V!uAm^}$DX2Wbr;!TQeH9+W;k-BX4%V!W_>*Mu$x&AI!|t%&LQ$YWh%1ZGB| z?LCSx0$D$K9Y+X3l&3!MgJ;ed31-%G`%BiIfX9iZ`9!TosMiSDf6G|72pN%luj&!x zB@>r*vah4~yFQ`FuK zF_oAd^dP7LLdDfMa*79SEN?HB%lc_s*@mtd-*1~_+kYv%|4zKR)94<8_C}45NACI>d9H&;eTs|K|LmJCc|9zI=O#Xj8#Abl`Vg1Qs}d z){&h(E7Vd)Fo#**rnZRxMjasB^Q{e+%hh$v$Z8-?B&7j+m;u~dPoo#pA;o)))2^)eB%_3_xibw z^0k`1b9Vz`2MP%=j1l6q`)>y{0CGhHRh;P{MM>^{7ac@UVcMy68?$gpnqlU=Mfar0 zJp5nY%;`aY%4j07NALdOP6NjKEOe1vI1oEe!UMQ2P&B;vSlz%{Kd6>K56 zuA%Jlu7)Oyg(++<1Y5g)X~ijWiYchkZ3*dDL62^298kJAkE+U0=u)&#U28Puscm1EbH#Wj9++uQ5}NTrOKXuJ*J4Pf zwmqY#$zB0`jm zKt@INQ?_(yvMx)(-KfSzmTXih%ugIUrvLC{N@S#}{4+p;PB0CAYkz$AGgBSoP z8$jiM68L1pF)O;;2qn(St|{67;=h+zaUz);=#2P%rnrBh{&BU8DlA&km3o7N8E;F( z6f;8W#onGd5*z4!II*hO8pT-Hp=Pp_K5k#{^5NP$VS}v*uy+W@R~W}W`s7Kk*-V*_ zUsGJi7to`yZo{ny-E3w&$X~gZ1%|ACiWT!RCogAW0{^kiSU{yA9nQ=DjbKB5XK=a^ zPtn?0_F=leKMc(PeJ)#OMK}Q6(b+Q-9C_dY<{|?S1`^0rVk82SE0p;@NsjEV2LcPD zf1P|^T79&tg7#)cFCB3T-D^t+Zh+Wdk<%~`P%yjL@96a z)$1Cr0ha>K44cNy-Ym_R2h=z4L1b>i6(57-1D%uu^1{ z8-m&f3tfYdb=9p?YZpR45#>*CKm7hq0WH2nO4%2|9jA#R{xU78VS*zrm>)!AU$Hl0z(Zm=Zi ze;4MYMk+&CQ@-KioKKC`3ja^JPZKO=8Dh4p5Z*xx9hR|^Z=>?7Sn?lu9qs4c-kY+< z{on=NN<&;ltqEqeNAZB9^%+F}%>UeXnOzPf*xeYW(o}d(VcAGZQ|ka6zW+0U6{6bd zzloU8vQfK=1w0cv@z1uWJk#Y!t0S=$<3}V5sQAAzmm+ObY=95>F!!L^K)jeManSxgA4^0DlS#8JH9N0I5>q}x;xe;>vFC4o45+1*eR?^HiilIiS`s)mXofv} zVEv#qGLqC`Y-EEKQR&j13K#)^<8ql9xEX?)?PD?Qq*L@oroRGv}hCezyjlc zPe^{qZ1mpckCo#2Hxfb)Cw3+>V17=&CS)&MyWPUtr5(@vs6YH~R*I~tt~GUDlkl&i z9>_IND$jnOl>s@{eE6%|#nLSyPftV&0fkvA{^5@|JME-K<$q|wbVKU1Bt%jv8L9%Ad8XoF+f+5~zIUKgM=}R@Y-n&x3n{gYl-TV{-$1du zthAJoFph&r)L%#+SS`u7&gIesGMe>f-(pRM7!vfDkL&=qT=&&6u#RtVgpi9vrqf2A_S`^%N9*Vr|FE4a(gQ zP6$;ay2EUwBv3gd|LJU!8iqVF*mC4i4pN%br!-BcV?klvmtpx7JuMo-i(T#{Yghqq zXU;S~jr-_g5g7`6)lSM*HXgf|E-lP9^Gb7eqgAI^_N*Q1;aS8J0`pnkQ5w;F`GVXGc}!cfXHIJUGq9~#Xmhc0UU>dJ=F`gC`8${s5X{enguoW=-)3Lss~2siz~C2 zJA*8uMZaUzx961q$aXwodc_Qk1i)l{T0vn7NLZ) z@T&~HrS<-|*H<|CqOOMD6udVSE*~cf4S8()|LkY{wUz7%onRBOJ?}$fiPT#z_4M zaTk`E?j`XFP=0r89|?n;iYz&ndanrpi7|2?OYdXM?tukkjnOi6mMvIF-r? zbW8--Ogm^6-Ox!kt)tn+d#u*Y4V=~{vir)IQfZD(63EE_XON(klFQv7FFPDy9T7xVkx1eI-Rs!lt~?1;Wsfmi8lw8|#Z`fS z$cG3KURpjSCn5W&Ml3T{b8>4|BN|u-=V!#v42gwwB+so&28_Gr-o+&KJS0}9we23? z7ZM`VYChXCvulNSQ&$6*IhydAr1uDw&g+wHt&s-RH`NFxp1{xzUm}+x`iivyZ&FQ$n3SBR>)!*A+b{_Rv&wmZT&_yJ0o0&oTrFY zUhedSb+?l^AhW!BGOrZtYX9iEz*2)>Zg%o_f=d1?nr(;?+AB7!iYF%IipF;%58j5Q z5(}alLa*$h@6r@N>HN+U7Z?fxDH%WP14G-Zf-#d)gimm+fb9U*#ee^Z+(YAN8w0-2 zL?EkoN$U-SxeHYZVKf_yGv9dSy6$Xt?_twz5Tz{p9*9PrqL)&^D-{$UKH3%2I$ z+nIHvJvu!K8yUw89{|A#Cx(g$o0{~{7wwr$(CZF4W%wrz7S z+qP}n)?T)~YM)a#_nfLasnq@QrIM;YBbnpJ$n2SKGG^<&^`~_Pf(^{=i!UY|gQ}p^ zqT7qNXtuTkAX~|yqb;*MV!y7(MtiG-bTT5lmrl)S0!KHzIFZpeFf0aW@KfL@^-COq zu~Pnrx>^2b-TzHIVO9dBf3TVVi#*|f;Ek33jVJuiXZ<_jPeb0&(!}UrEJ-mtN1MNp zJx7~=fC&j0>17R^9WC6o{=p1nAo%B1hyI^TzkhsYar#Rr zRB*I2QZ{iWVE-S`;J@_2zjwtL|Iz~g@nz`6{<|o`%_&Y zGe33;xh^(uvv0I!C4ulo&wJp4;?$cM%|RSJ zAI@_aJBE#vP}5u&yE5gT7`6;y@XYBR8{$$TFIp^tsZ|xB`QkE5BGdTolfd8}{)~~% z%K-q^(WfsEfv9z1f$kpY;_$8IId>;@{~4#q!xKu8gnGc|kNu@dq|W8r@%4*}Tn70* z{RQh?PzX`W>5GSXhd8wk*!mGvtiD2uw2}Zy-U|pqe7*~5mf0|vg!7eF4>RuKZ#T&W z)Ow_aiZq9>I(5;E^5Y0IEu&bxg^FpZCbPV;T#)N2U39z|;0Oyft0Q(5ot$-1yY8HIw}mg+*=On({@lnzwwAzCnG+CB zU8yDiMV^VSu*H@-+&OEh4^oA9Yr}Df*K4c@hC_K@>{G1w-!?t(24TW`-&ri^Dtmce zHyE<|7~uA;HAEU7MJI#KDVo$v^W*Y#L$rygCnf+GVN0wOC$RbjTdiY#S+)`N-59t) z6vZqVM80%~YV_DqJ6kT2F(eEto$ujv4*EI}wCPVv6MpghWr0jcl#(9Lg(R~^)?`XD zmDojsUbF2(Pr)>nC2yPHbo*~FJ=Q(FRBG_GkR%$&mo?=%@!^U7Bt=n_rut_n(AYXT z+T$+*B{66-ubqYFeodbrs-i=kB0F#Dl3!vwWh={xO7Fx|?4 zWz6vG7C@$@&XA`I7|GZ?U!W2m^E;1SLmG!=$ttnwkZMszKJKKcH>@4~U7rc2aNZ$p z=TV7y5D=L?K8}3o5B9Awc=FIB1)?Hra_124vHQX2{es5LD{VGmFhJv>?)d^qyLBlJ z^Rac&C5O|;Y^2?Q5kR0WxIho-jPgKD9p`AC$t!+e=^1bakLPyyX?HNGcsG#eubgr4 z?HnObHRrOfeR`3o_Om1O6x}{;mdz|MoWu)@7ABv3o5B-I!5RYZeuo$ZMa!HB*Epi` z(A$RqC1HVJ?uYv5i0*9yvsZJOaV~>LNOku?L`{R2z>g(sr>YBUqgcAL$yjZ9ADlHw zvzBQ#0t7l&dYY21?@U42SU!|BSL~Y*9sCZ7yzI@{wkmk*bD2NG(TOs6%0?G zomd{4lb%kzjXTbxi7ekrj|itIipdXI@TbU%hz+hexJmpWs+kYjGYed}(V{>h&_|?v zIzHEV;)i!2CAm&;x%~8}nD+B72)v@On{sAeTugOF9 zjVdJ?j8<1iHVLG?=sm{94SWk)Gwof5R|%`MnOKT4RHVA3QFIC75KLcOd}&HN^gNB#ta7?oTP=RqG%&q}?@<;I+(-PdW&2hfG(F)AddmC1!;77RE1fxw zXq)NtX`mrpQ9@FS!7hk&#AIch65iWQTA%UWXW^-|cLQLG$n)sFP!bnNT~&JZA0P7` ziaD697jPrKjL5d31Nh2_BgS{(bDpZq>DL2;y$7D-Sd$6p5Ur^MP)|k(PZvjuVZ~;@ zyiN&8PlHvKHEjQebirSJUg&;6bwe2_dQbKDC7FM%Pv8sUE@jtnRBPvzwAU`72ihTV zB3HP^!x^7ByP6ZRB(kJ;ZqET~c97E!a*%_$hk8o@4aAy=9=kd2RVBsX>sM^|PIz)d zH(R3471Fm1g|F?rtS@uae*$E|VDJVe0odXg6P|BJabQV~L&z{LaRA`Dj5W+iEcLvC zfO*2_zZiTeD6{??uog`^&Rpwr5Tf;Xx~>>TKfji{1t#AEp{_SO@@73rFcpZEY3I!B zqh##ve4!_f<2W-~;RVv{c}-~+r2C`H_nQlq?m2FWn%pK3?v0PaQXL$L59F)Q&6~*I z8jmRG_?i==SeAWA)R~4S%~GB}nFayJN)!4hQ2}cqi7WmdO^m4a)+;Ai z$|piFzOq`L0`M2g$&K4?q6%?2;vwFBuwqL^VFCLOo zGpx9+GFAHMF&SBLagFV_391{d!*w=U-}m0}b zpgys%(cxV93(biWSW=m~6Hg53dEM+ux?OxFP(!zb)zF#(qIgRqVR zkrw&*&jgXK3TrD^8B31fZaTj*w;-pcYwzUn7s~);()MX(XQu-&GB`wV{Xc^dVK7Xe zUz}>t1M?H<2H+bcOvM7%>Ek-01|d#2HRI>7|Mv_F!Kc8;zSwi~fcJ~__DHV3fC^=hYOJm<=$Vz+2*Ls$@FpC_&uk?%9RAX{v zC~bD2VkY#4qG)Qr?utyTm9RLgpl2M^*&?Wck~yuAXMKTT%B$+tvQN*ArmQy0`uCBZ)TUF?zKw*jXv<4K}Tg?HEfp}UDgmc zChs112V6FTQCS)~n{pO7oLg9?rM`~|@hka_O7$+=8wvm?PhscQGTTAyhH@G9s+7Lp ztbLegxjVkcVZN1gphvNt!I{h%HjuQM-3so@6m_gGu`e-Y2X^)>+AU$!=}|^=MA_la z+ZNFKk4_qenOLn&uMZP`tBdIM^#)*KU#(nveT=S(_dv4&vQa7y%%cvha4(ve?Q~n1``=#0e-aNnGJJ! zYvEorX0vava@XS8jhs~atNJ3__aTK~j|!4TZ6KVV7*qG|aL^D$)uOhUndeG-;-{t$ zOFi$lP4Vp($_L1e5t7G|62GDAs*UAW3r{YOrk^&%M$j+%e374W15dWo zgqt2DJJBQ3wF!@KT_Fz$5c$RCT1)t*nXzBt?*{G)mtcxh7{j555+zSVWtExhg1Eov z8}T{`)HxtbFwt3+p)d1j8EO@@#L!47+d>TbOw;kF6-+b@jpbC9eo)*v^+*;ubusYm z6@LNd<8R)S+mn#9a=nK=x_Bl~^XObi*fk>OzUr_cOmf+YI-Dyqdo)?{3sn2uh0|=a zn1wHpYf8S7-)Gny2*#4;ZllFZ@wJFZRiJ}F>COeRu$s*0P>V6AL1i$4x*-N?ve3qj zp!YPhN@(t!^=CPko={enbMWSZ(5u$U zjrJCX5V4lh+k45FT*6WGgsIi%z+6396`pU2XV60|y0CIjg<5w&nwB^_OH~0DJf$3F z;YYvw%(9TWv|AdP%P)#yEB%Iq(NKP8-S}AAxl?xKd6>^0*s&Hy6Vr|YTMOc%SMpEI zI%S2st%1UITrTcvY4#Muyke5P-d4v#TMnIyd#!=QxGHaTPA&db8tBfHfq+@{VVRs$ zc%Vkih?dF|Rx58{x;R2+<}KY==q_UImcaFV*E8$m%woiwNTU z(Id*mH1iskY?7>9@`9imu_Y#=J$BBTI3g59TfLBc?xV{Q^dH&;|B4;@zl+KF_x4DL zXz%Jk9B7z@^Oa1PZ?#EB*v-`MPAVbk=uxEeC7=#%vn5{MOwk>?qEcjBiN4)W-)jQk zQ;-0@uXh!>S0XwK8n<}d{)cNZ`lJz|+{jn5aKOf><|P<2qKZn|wRQ-Dv17&MYNfHw zfW{y0JwoOM*+N=fDVfFS&FW%FW0lH8 z0cbW1(>fg6hyIV9*zFG(MEoA{H}C&Y_rKzd{+rq(Yy?dI>@)l$ap-?NZt0(S7ysTK z`9J4u{C~MU!p!hD{Q>H4ScHjz4b-1s2wCQg<-vz6n(%&224kGLch>2soJd1y`4+-1 z*4Z4C>VBy|Gtt|C2KvHDloLQh1y)RB!iHwdBMzJ@L<@?Ct!PP!*M&jyhW)%BNF6R4dA9L;YTEEvj9r|_@nvl@PS8wp4QvYAZ1hCzGF z5Rh}N-g-hE5NyzHb3v4Mx|GvDpw~f9m^u~NOZz%|J!G$c`1%&`s*G}qy=R3VbWh!k zR959sS`I6A(NFqA2piD0G>~}2%{=8&n1(!EHM%8#7#=pO-)m>H5wlkBRl*$5P$88w zs(^fM@~gRQOS`4fr)E%$Y0&MgRs+v^3!qfcG1OzJ>t9p8bIY4pXSo!0s04|4TMJ!- zYh=2-mZQ}jwWeMBRN04+=sYOCI=Zl^$Ya|(uCwWH=ToRSK!>q9*`Sn$0EkqKE;3If z5ijj4zQSYposP?vk?UswzOhI2Og<)pp`5!s?bL_Xgty1K)l@V+&Cg^#2$z+!qq(37 zBiQd%hi-*+o};pOZy;5MmOwhf8t60Rt>B!Tj&G{#BEYtCZZ2?%{oT786W!Ft4ZU@I zkRnj*YI%e0JWuNEIKS%F6oGW(vxhfWJC1?%^mB%K@o;VgOx>R5Q$W|@d?e5!bKxzT7Kt=Dki90osL-Cqii_$w@?%I_R z4D$vH$V{ggzg2A9i(@4D3uAsvd@8m*RAzy}_dwa+8bJ}s@>Bp*hz{1cXlT@g3Yo4) za!)#3V>RvlS|2hDVk)4vZY4qrt+7sZ>^(a)8L*E zilGtr`I=aIKez|$S9Cn9zu~H_InaqULIq6Y`^`r#d&vYKry$8?7CckA6zh9y3c0(K z1la7x<%Bwq$b9aqF*I7Zq$AUts|c38#$vBlhZt@`cWz=JAP88DV^h_YjL!AS!J3R< zeHH+S87gyijwANaQ{#;vI>faA)gcX1#v}b>!ux8`EtpaKlfA)a`P~^B0&^s-ynCQ&I-(;L*Tc+zM81$w?7Wf z?uvMmXlRBydW@IArt6^TTx98ZOK3#aCxBEC|CvDO#TE){OQZ4TUf})mP(yNuXdKN^ zRnNT7q0K#rR+f)6(DX)8oM0GUV_Oed;0WT+g=c43oK62G(2MD)(g^!`n=a_p8+3&z zd#wLvRjbTc7&UHB-LzWDjM)dod*adRZrsoIZ%K)zb1{r^aQ?9)t>}-c?3}5gib&4V zdlz*fBkMh&0)X;I&1WC(aWx4jWLlei0d;JIpoyvBID<~~-qFxD*Q!sr53yd-td5of zyEX?Th7OK6 zN4vv)%hhO71vWA^x7d*zk%uRX zts?_A%~4vyWH*3QZrVR;pl=m|SJ)hTE}c9UzL8UY6|-I0{GbG``5kdIVj|yvgmdoJ zGXZ(5D35K${4C`mu?($j0>BR861u?Uf4tskPN5uRwa)`zznw&iupLoUgU+q$CJH&h zPH9GJmuLHXdAZh`Wy65_Ap$akE5|y3xPJ>cGS)SiGL~Kg9B*uU!lYtoRSk;c{tRQc z!(Px=xB`z8X3R~?bKrai!6@z2Kw8^vk=mFB)|5GL=a^D4BVx`7N`V?}wi~`7(9RXw zJx`gnS!+!<(hA=vui0>A!nU%`( zL7S|cDUe;*hYuIdpSB2go0&`XKB7z{df5Qk1ETy9_7d>XK-t;0v=XxTjbcL2=39tT zgkDqPE;+mY?QeaPIHN#`0pRaZZmp-^5&ihYBGp5UfR_fCr8eXXo|uA1NX;QGSR`|2 zjdkFaRvZEI_owO|NjTqGqKQuP)&>C&&XBvoMm~O%Qwa$>g$p;_mQKXwmV7nMCb8?w zIq&LMF@wW?{Du1zADlk+aSB zhq*MP3$S_Um>=1F`b>R8wf0;BHT=j$@S9(wE(#K}S5;rmK(b^_?y=@~g+dDH2guKP zax6)eYY9?o=|-Fg>gEWXl;ycDj>NY2>f2&S2TxkWZ%4qglL8cuJL?h3I8~{d9U?0J zj+-Q3gB=(dh;WCJgsPdTUkrK%$}&xC7H+Kz|K+UeUUw8-3djVR#V5QMjI- zH9TBWd^iq2Q4uNDV`E3&4lm@IynkW)w@TA7#G>y&3DeX{p?!jd9q_zE^>MW;6Av-n zw(EPfb073&Oqt{Phn$?IQy@>UD{Fr|4x+YMRXsodAbO@A8 z2IsZPhfLWbKA&L+OX+Foi#@RUZH5dc+dT&5F&1JxC~|v%`Am~w?eF65?T~2IPr~KQ zG<@$;^{Ws0KS3fm?TT{6OuJzMQwJ%~@+=??XY6OHP^}K!!m)9f;ncEk6X(gekHQGq z_DhT_``=Ts;-E!)=bqBmD|10&a6$c+EOp15yL!IabJWTFIsvJx{T=@W zD6f0uEsc0h{Rm+>$EshGD~$nGg^YTv#7ja-LhB}@LP1Rxq1tVuXx z#bNHuWT6H-Bie%?6Z* z3lE;m(n^w&rLFn^M8V)b>k@5~5_tn|9xkBo(@BjG{DmqMO&mS)-Mo=6r4hQa9cY6> zC}|R_Rl<~hsq+!H?+poSRoi-&C!ypTW(30;u_|^gU;WeY#pLHT8AA*2&CApG1Dyk1 z1tE>9gtY{M(dfj<>4hR^YEg`xSlwKkAxue{2S3kHbY@cd7?d)Ae`-~*74?v+IJ@i! zo#k0u23uc6d|)}Z6=28>OnxP7wRXY!05Wl-wC}oom7{-}iv3;0i{%$SZ^K*&e!}+v z(fQqRngaRisI3MBl1i?6l5cH;+FghdAp+jA0*9KBq!pZ5%9KshjvO3uc5^X*^n$bp znlg`xEqezr!Zekxw8;IgL^$nT`UX3(PqIbv2~-nOjiNmv6KPtY~nmMR;9n@qsh@m5&jmJrS*#G%kpkB2BbqNe{3F*k3vFd%;`! zW>pmi-&`eM1U`oPcI@G(*K9<8Lz3JeNy@OsI7ZazCZAL@0+*UWsv*Sv=3A_05%53g z&HqP1h5rW|!s4fNgdv^R(PRuQFE<$Ej8O(!M1?4AIAk=4v*J94@C_kh;JSc+X9MIW zmX=`pmQni1YAycf>Lf-`2uq>zIG=4M(4Yi=V@tsk0kk{d6nB7bAS0$Qc`7_6zMr0E z5wo}xy1)cS)Z2v;yHZWM*p)o9LFOxY+{w!t#fWib6%b6d99H#gm|)dFNd~PVpzj%) zDs;~T1!i@8)U0Te zM_p_*=v%)IwJSxtQn z$&%3m58`T-=o2mXSI+|?)Z{w+ zDd5Gqlc1qlK^3m7*Ix4qLrvA@8YJT~x@IRDdvXX!@2;SOpXHCoNfP;{r^j+H;lik( z#oT#Nes~gR2UwCigAU)IBkYwvUX)Beubq=@DD=v_fXlH z5*(!*n-U6G1K4fb(eqE*JDeVN!HqR4#0ah|V1gkaLeS+&<))Y*6I`B*DC|Dx7lX?> z#6=LcqUwUV3-G2aco?4q$+ECoj!@js+~T&EdN1BWo>~g3z5ABV{nftgM&wk29MnME za!)d^>RESVuM+h6Y3Oc;Ssv!4QP4bXDTWhr-gNGC$MxHIgWvTCbX(CoJtdf1*KEJ6 z7%r5O}L0ils%80Rij>0A`cClP!f)tBSgSy0ljqrsoWQ0^;p!6sUl8y zMih&eT=_uREATSpXay=9Wsz3d;4bElUI_?ATCR15-B;^2wq5u^0CkcK^W#urW`bTm zd5eoYJ!%LP^BZy{3;Uy2gmBpMFYpiL9P=sA+)8KZk4NiGvLt+1-|-Jsc<<|V5xi2E z?waz_One6nB}jnJ=7LFAUO)kss`f<@P`x9pp#ot-aFq@m26q+f!2AQv-S^fdQ%D&+ z{n8@7002^VPJe{#!*V%H9e+dr0VJs>mJd)d>W(-Lmn&7sxR3`=x?wU0#O8x;XQ~Zc z04kt*bWb|673KmGc~Pk^FU!$wS%)a$YA#yR!$+eSmnvC6VNKhH)*`>}Hn91X7t@NE zEyMiP1@0*`8?9LzD}YtdodKSj`{fvodh+Uif}6rbx!d{W64P&5f;cL7Vt9cvuD?T5 zlX)%$hKtYXScpgP!-N7YnI-SWn0hxxxGIReddq@ehyHhgqR~LK9RLm^3TcJDn!=cD_`wJUmHLZ1mQmFas4ej<1%NBWYxZg3 z(qJyP@|P+PmT)xKK|_KFBY2B!JWJf0gd6$-FrFgUG`5E;j)t^rc8I9-W`SR%t7#kqX>rhNw{_g()qwDs z#K(J^g!#AMZekXqz!|9}K7GJsbK>xT*DljVk_b8*Em1T*P$`fEmzqnzc%aYkWU9yz zs}#`KKb9b8m=ao5)YBqK3en0}Mj~y^pvn-xzGBY2as!6C9|7~!p4`_>_5|tR1PIg| zj&JG@mMdlyOwo5;m{c4u##s&{{&K>2vxN)6;QDYmTOimj=9U&!D@jQ+gOsorCnUA? z(J;)LS^902yxGuiF1heO<+4LBiui)svBUv5U2XJKpAcQo+I&VYwuv03XIggG)KLw; z!=;)C&%L_X5;lxD+v8x`2hHOn10cUCyG*^%1NVcX#D691}%Y zl3-PlB{^F1UF)gRF?l|#g2@~VVFt8FTLwpqNp*wRK=>y7)2GYWXnkvP2bh}V&L2E@ zZh507EF=j>I62;31?MDpthG(NXlY~9dh9YOy9VY^om6VK-ailJ1rXpVPp718&fSDB zcbh@ISMSAlG)Tld#X2Pg)VY5;`ro@k$&gkP)PcmYYs?0M37=n7Cyl&T6DQyN;5e?BNxa< z!*tRxbjjoa=9wFu@A{05IhnqZx%-HQUe~&?+jxM$d1nPCJ97waB9u6fDwHyd`77b` z9oMvBvqj}<+6$OfII%b~)?myun_u?>rJ3hmll!nb93>DXLOO1^3G9Ux7lyU5dn2*)f3GT z&VIwgYWv_1WH5O+r2|%`sw=PXJ*7?z8c451qCP?@>%uz!(x{YN{PVRF#c4rT zkqH~OJZNy1F;<0QEbD(&Nb?vIeezSTwW`m zpDI~0N`R76=y!p)zFdk-CEQ?~LaV@vL_5MqNsBQ#lNJq}h=Ovd2Eb2U01zLDie zz=d>_#G2g82^D|PHEreUh458*QBgMo)2!e%PMV05Yr!*OlI3*FF7RIf^?`($A8mTJ zQ#_6NEdc>G=8OzbzG_L`ZyGjYxFp#2Z=I0f4p7UCmSh8|ZpG5hfYT|zKL8J+qxYM(AMTIP_l$gL4DXq62hn1 zzg4c;Q+Eu3{oAUn@umA2VU#8YO7*44SuQa2AUHDpe%h>z1j`_9!_XgwIaXlQ({j{O z!m*42)`q!O@@?gs*EA38eaJ!*!7OW^ii2*gMQKvY2+)hK=q1oS#D_K|?PwKRQpRpO zrfgF#Wx@;Y;%O)Jl`q%D9At9YRFK%(@!KxHO`%F*aSr?f+U8cx?t?CtBKj8eRd5d( zCj!kVXm1qPLb4$>H-oq^ltlcyhvI9#beJnPo!DBZC7buNw?U{DFQS^o4jxGDwsXTK^UX72#0+?!*pI zG4XvZ>)moPg^7(De~Ul_rk3+v_R{!rCaEDU9txTtwbe<bp zWmoV`5aBue?j2)M>#NzSB5QDg&u727rBC>+9+ov4O-5Rt$G4zmjjYW$m0Ekv)1aN@ zFD3f#maIpgJAc*S&8kc@lhOTd?E4xrecsHRX$ewoM?ziSZrWTc#CQj*z%b7lt7!9E z<5mf;R1aKWpm8z(o$0VKM9m#_I~wN_$8hVs5a2+N3~M>puqbqxR3Dtp6Z7|Dp2!hp77-(e7;G_>aJNXA=<AVUGbuDr(hAJPOZaZcR^`Z90W0uC zVBne+!^a@`(_a*nEHQzt)4b&vmG^a9{z51WdobIXo!;ahrUu+JGlIb=NJU8s&CGbC zL`BzUvmU+|SIBp%!Zw6{`G(U&5?J7$BD6spzfHv?@v!Y-e+2d}Q*rmVGX|?NV3OV* z^sVblhs2cA63+fZ-T&Hk_-_*190bh&*dzF_dH$LIxi0YUV*6h^3H1MP_0#{qHq`#_ za{4o|GqC?_l-=55g=zej$d_J_{waTnF-f$Vv&?c{P<;+RkM57zU98sadO9z||1uvP z#jgEL#%YAH3&13nR-nUf{xR`4XTt%M>mBFyjb%O&C$ZKE57E^(oQkr2c5y}m5_b*Y zMqeBihqc5P&$-?$pSD0g3qcYjPV&oAIE0TfB5EVrX510nHY>%SQk0kZews$?uN~iy zh-4daW-Qe6&|NSYZ~x{kvIQ?E^ssE1TAWd;x!!PV!#S+=+>*JD2ZF*S1PAtkaSAo> z7B{ZriDkv@&nv>oI-5;Br!wde-)&92wf6xH^G%TVu$ zI(?~Emka2=aic>g^q41o{A>e$!p--|?uYotyq;WQF(LAMrDu%}_V6JP?Jx|SiAQ0| zxa!~&>8hz=WGteF{jHHU|HA+b_UJlHTi%8$*$I5s-NZG4?U#dKB>Iouo3fS+&< z2>Lg;{t-1er6kx^rvJHJ@3X88s9ppkE0E17C8dC>LP*EB=RkE1i^v6v|tVB zrN`j&jhcGnSn^{-S?g)4+iJ0P`b&XXNj16GODwBUs+;I0y70Kc&u2bpbW(;)FG2Oyu^ zDM^w0l4^`h0Cc2i*V!r=UD}LqZ=P@4IDzl69-3$*);)rO8KZYybP^P)eFc!)^^K_P zTB7oPfzFLa4lh0pQ;&ne3tg?9WDCB3gNUdsF{!odI`#HLR34luOMa9NxYyTzQ);eji zd)W0l`qA9Zwc1SMNPNd4o)44>-?xCTS&4$3(0)>C>>U1_q^&KA`5L)Adf`9w@-96l zt;gO^^q}B{!Od$EIUhsjN7u)65K|cTaAYn}@>8<;YSg=T1p}-d;pXnb6S_Wjo7Ycx zM8v(uHp3K8(lEnMv}@Y&!h-J@Q}Kw|y`VbksQBeX4#67(K!u6|jZ;WLT{NNC4y5+N9 zr0=;b-Co-s&hil>g0~ko`lHmU;i}}{6H~e8!{{SAcPuO zyO~pW33h3MG8rCn%kkleuO_)OgHxj@oTz1Eg4H{{q-_-DMw+0&WXyyGIe|U#agJ~rrP+JrWCt*49drBjx(+U8po~UJGWviA*wAC z;3eXz&O$m<1t8SPYfD7m7V~&UK;O{Pxz-u^PzRGdB&}@UjxfC|x$GU9HxjR(*S?ry zO-+}C8Ya2Nk+CxWFxn#D?L|@jUUM1lJ+v4Y?16=4xN|G)pAh8@$1YwCCZ@UG>I$)} z_e|dKJS60y&0nfYuq{lMrbM%~+iZ4nW}ME*{Ddmiuox@?&?T~wRe3z8zvk`R-OUg~_3V-}TI7CBhZOe6?hw%(;t{Y1UrW;9$ z?9^C$iH|ATmm;5^$pRk-x!c3a*1{yl1p8gIzFM{3Pb@$VB37k^~vKw?g#+ zN%NMRFhK<%rTpkP)F(V?BPq~NooC-@&dDrN=r2a|2mQqeuNputsxUo6Xz0AV{HWwr z43}TVJ+}-HvXAG)T~Z&>+^#~%J7xrY99xH5SD&>@XnWL^`?|+ukqr~bTk8>bRZ=m8?vDEji0O!J2kje4K_s*$RzcF6BA zC|{eC6|>+yXxCDdjetB2b3h^swGlS-Ioshye`R5OvOe3pIl7kFqPgnk+K-WlM|=17 z!N&01zbN3?1}X60KW3%`E$${CPbA1@1;$`Rah1Mb)Z;zX7&~omQz)=x=tE7i8}z;^ zb`i*Twn>6KxNn}9uvH#PZ3WngPM|%3qP28bq7;@rd$Orb!UZ9SCpzqAmL(U^wtva2 z9^vFVC7I}_-%`Ob8p4*=6M~|GXOo8N0wiHR`Hn|Ob0f2Vd1rE;pjDLnEbg+a3?;Z_ z!|w88Cxmb`=%FzKD7{%Y!TH)ydRWwk}zdMm???w~0-%td9A9Nr1E7uRhEp=&Pa=T16~ej3Mk@h|C^TQBjuiG7g?yNQcj)au|#w{2FL9 zs;4xVrHPCwW0jTy>KZm7?o z0G5t`nj9G7BxrKa(DMI-y)>}Tv1wnR&e=S$Aj&io`je?FIZ{+3zuBSaw)wfZq4oqH zTNjSt>ylD3yUa_nd{%NFy6~IO%#r5AK-X`Rb+?EG;ej?Ss5QLYjTEfV$oN}|M4tad zy3##`SxM{etauGxfb4hPypvf4dLAqw{e8KG_+V8%WSAN^$!iRTPxbtMaRU%sv`AJt zaJgm+ieOr#%!*k$M7XMrL5FcFu>B=k)RANlu)%WH#Sr?UGK+2ZL#)L_oCtQV4W55p{YQ=}UJ7h_cTrZ_ zuTutNyqs!*HKK8(%OTcBExd~XU=+pPdVX4LQsvEDUVV295h*S|=Zj(N=uAMWHvTLa zHctmWcQN!Y2lD@C#SkmUzr@gpagTYpvB=`P_@}m^wIcii zS243(0I{=hB<8Gm6bTki6J1ufo1aIGb3BVG0-}>_FYY3{A=^0g;ta{6NtZpt9<9SU z2xqp5mqoP|We|sH$n5h2%HUk3cwg*yWKF5<-fpWnCS4PK}!su6a0BFGx z;)~P+g=%sg3f1zy(MHO^WRoWrwZj5=830DmuA=y68VUFEVVK*v7TVhK`@?GCmMxi! z4Q`VjHf~hM4jdndMLn6sM%Mzxk_*$@bY{*1B|$s;=P}8ubV=M$5Y(k`&>TnwlB- zX*aG6+)3}1pZYLh$oz+HLgJqXKAkZ1)G6n0KlGux)#PE&6ccA%e4R-qfG&Rw-}W8+ ztqKpk2yJ^{W;la!+D(15w9@5-FwAXrkq;Hk-y-k)o$@dMOlkoa=}aW~{_uPnWRe<+ zg_+vdXZU?hXhDD0J!A&V!@9x7)v@7!MbXKnMc`=x#F{~=kJNhDvI2|>!N**I-7$*dMepm~O_{6X=dc$1UpupSQ9(HPC^R%>*%dteokN7-xuaQe?<$^@@sYrMP}oc7nriiRr{XWC_kLR*EM`8=H|NgkRho>d}{ zSZwiO(#&HC0Ib^@Hf#Qy_;&W0{dv(E7vTBO|K@;#If~yptVa1%XKRtubQI`Yr#`%_%(tRu~GCj zTpeBbfC2tRlS^syPjt0SCkV3Mr0~~fL5@*2 zaNuvi0igR@J7HJCAi&;)?G@>mJKr7&Iw*{z1cT0|I&IodnH*Tmk z=G64mR?xqvFpG6J8~~wD7wJY|?aAM@_I*hva^Pk>wrWO4TcGl;x5Jc&1lq-zX)GuU zUJcpaUjNS(9xn)Wg>ohT!nV_q>H(s$Sy4L1RNp!s(S?5a`C+fA_+h=}S?5<~Vjt-BmCV>g(hSAfbk$@=*pImY~-ss?%&-7pz>N<@dl_%yb3fM zVm$HUMbtP1MAa*lZvrK^wNGqzbY5qT1TDvVv`3GK6Fg8VF+sCKS)uc+`=(!q5{bVG zl2Yr_zeMEHEvSGSV`~9%d-;)Gd5;H^>PFERq8#t+_BXkym1hY zjD&h1mv zlm3Dl{IqU`A11V-Q@;mS=l<=}Y1y~&r`UrP2s`t~=l0>!w{U(jZ>M$Wb+D2#cBE8* z7!AqMhirqDA}s~n#}?~Y(0fOrP2sSM`Pn4BaWWPGvrNyjgh&*9)6qRjR9ztvzHh?H z4#Iya^hZIc=_rD6`NK=aJiJEwu*z;Btvsd0TN6Ygk>w9khCP^^qfx;~y<+-my?C$M za@ZLus=veBr=9M)w9H~93%@Y^bk=1d%v7tPBY}z%xRoRQb}-{53WG}<+Fm1AYQ7aY zGNmX~NMYP735Gm?#5+P0aMV$MWM~~cO~Qv^cc+6oN)PQl^IA2vi@?y%SIOq91kCAh zci!D8OuipA1O+_+piOTjRH<8iCQJ~F(1W;OAKyWMVODH!EedzpXsZOki5bxJe0XgL zw09q#wOX9}rW_jU03y9%Xn|~t6@a*7(2VLS-%k-6LuH%Xn65Bjsoua1aES|jcZbNSQpJU(gI{%jX)Fi&3SxGH$^FXH@$6R21;uMh@E1!f=NnS^rDve~s9%Lo<`}mcsiVD#LLZrun&~heR~SF z3E${!zwf5$wDtwcmyHef`uq6=O;b)o4Y9EjHIb#{le|iJ{45+G%SCvElrVUmBgAFe z=YI$WDLbc8uXqUo^ecTL9>yz)14a}2Dr=f%V!H+@2eAR9cbwzJ?%5=_TQ1qy0ip1G zAKm`uRffS^0#@t(Z`{4(kM8aM{x`O5+x8mUwr$(CZQHhO+gM|pYo@Ea5AL1(cG_>6 zoi^=1a3$xJ&y(ZzKA^K$JgGB!!9Bogq@@p-<$e#9*>Osik;?hztm3_L%L%u^Bv;){ zw5_k&Q`~r{=j#Kb89%JA9M9oDPkF^npej;2jb3wC6#|)MGxC74A%xob#7eN!GWFbF6jY3kwj<;+OM1I?Oi3M?$1g zA+!cGP&_ouj~+Q~m1GMZA3}1#F)5?LeKDjyn0OKAOf?IQIh7KP`-lFp44Jc@ORwC5 zt8(~7L9c85_fO7MsnY@0cmtMo;84*3Om*%95wBIIsO5@(wq%41S$F z=3@4ICY?jUXB9tjr*k9A{G~SoEWBJ+>$2paQ15D!srd>@SBeK6BJzLG5&xFN`yU>Y zP_QT#BsNi0uG|Qj*)Z7yV`(oPXaMg-3eXNwQvGd_{an68h2z!FDo9xxB=@o0sY$O^ zG?ezPcb`APuCi&EzBmM=*Ye%vM%_QCJhT=bb#eR6Bi4h{0YV|TNo=<4PWpXL_gqY^ zWPRw%y3;Olj#p4y_TB(Sca93WJwZ&T6BoorGP%6N!O{i!9&IM$*hF7H5}%v0;D|C2 zyC6HiYmX9SSJW#m9ja6P_Z6JQO1uBlG3g)2>VHW;F)|Ra{O@+q|CxaLKaNNALVsJE zOl+N<2w48*`usNm^{?Ohf5p1_-?}C-v$Ox3VH1GUf@#L;`fI40AR_|KY#6U0M?pVmS&FhIoTpa$CgihbC!!8VEs2f*+YwE=w%wZfrNmvrLo`QxvUmZ zK{&Pq-E(?io0CX(;<$kPE~e&|GL{zrCS>P5gP?Hqo_u)k+~sJDxgMef)Xhu33!WJ*@?}!te+Ij9W?wB z*j=xe5##lrDJ0*Uq^^Cx0uE0&wv0Px#bnww$bnbqEKc8M=v#<$AP%N!^Z9!kIbd5! zq?-lRH_evhA@zP0W`N0P;Su1{Sg7YZ+TbD@)e%^{UM(br&3J<@DfAI1t7*!W{g*>< zt$}0Q=Tm4Sz-qnodK6&wTSHE^Dm&=5W5LrLt1rtrpF&hPPgcg)sz>CcU1mUK5fbDc zSFrKtmYzSaR!!y;q>>te>eKd)#*=^EafNM6YCIrKU-RY+L-DUs>wlG!B9VMJ$wz~C zV#)+VaF`g2rGP8Fe|Hl;bEJIaw5-4bmLKP^OUT`M_{$F|i%*+G;%u z$vrLh)R5N=7O~V1d*p=StR3HX_4P?*o^O&1LRj^vd_)_jjUB9T*jfAho?>m@YoE(N z`O_J9Q8;sT!_$IBb#cYChOS?PMTg^c%vksBV)M^kPp&DHB%89Z02u$U$Z^?E znu6cDdfxL`ySOuuq0WIPJ=WCtDk~+N<2$skqe+b05m7#`SgO?EQR`G^EhAI=to*V| z2Mf4{o&M*68%-Wks@HmjsEz%pKMdEhm#CVG1O>U15O0hXK&aof+tEC$mZ@C_PJx#S zik1|WlEa332@gTIgN+-lQ8dZ8k5@31I3L_`z<8Q;8ZEBRwm#ZT-;_%`L?MXp3Nx%A zbJPFT8daIw^B~R9j62!$bmnII>P|L%-JM1JVR?SfyA_Sd2;z4=Q58j5ju6dYGdUZj z+FZ8++R#XlP3XC1`hn+t^cs&k9q!?xLm@!DX&Pth>Oe_xYL)kEY0`Yl0}Y%b{VAeD zBX?kwX)JJKRMCeaJ4H#)sqTn~+jM3K#>$6T#WdyW&9)MYe*@VJtejiJA3&*&z2v+< zq`8S7s7qobHI~L+!YlMgoa^)Sv*9KK7>>VBcIY7k9;5!$KiqZ+ix0ba&T?#~Tx?{g zOmdfL{w`$%uqax0bOKz#v`RZ~z&ThQO9^-z7~2O=Jc*5^O_v z5w~>|cQF<)yH7UXCV(N^vD${&GX*C6$i^;d|8+SJMa}6&uwNcWu zlW-^#5a@yDB3INJzo~BVd*UA4GUk5H3URJ}X{o&!|77A-rPpMu-i<%~?d8_ZY{FtS zKhO{zRf(!I{+W&Y9#G&OO01GJn9%G)) z4=0+$NDl5L=GWs5NJ&$|%wLKo=s5Xd<6ntKVFyjtr$bWLtdl7%_KIhe(_UYuQv<&1 z$2w2M$)`}$tzT<6J^;=9S^S9>AiOPYsi98PmD(HeN=t_`0Y=lgK=EZ?1fH7&BJPQY z#=l}~GzdqmprMe=T)(#~rlLKa&8l`FlSG1Z$x^AA!#afajAVJSRv1q}v%sv3lvl?| z>4E6@VL9G)!$6t=JfP8pif&aQAdLj$qbtXqKeM~+S8ukKnGK^#LQ_yfEa9ta;&s~z z5*X&^-~QBH7(SSCqqEwN{+ok*3?C4m%0RSv*GP9QO*L37F$WPS6zmnUu{Insa9YDF zm{vJN$JWjKnX4DnA@r_?&Z?5%4T#$=d8XF$Y+AWkla#g4{6yKbF}YByY87S7Z5h6F z{B?7eKmEwK-Pb*0n-ms7QYdukV950ZU0L>2GR5|X=R-^FS+z;^O|fkJc>Y;5UJr8W zEm*!%#U?rat(H6iWg$~UXc;veIpjy_@>?lgtiC4gX-?#l)zSVCn8CZ80iG-9@3i*M z^bn))pozj$Q~a42^SZlh&RvtVwtwo_bOXKCPX=VEJDU2%-P_)MNv5cH01w#kmRIE? zc9}ppy*VX7w1Ibpwn(635Ny7aTQZAJIr1|UVn60LM7=RNFW8h9k#;yg%B%z2LASMB zm4v=y3R>uPG{S>-Rr>QoL4Dpd=F8A7Po$)e=)&!uYvX3Rq-$~I#^tO*-Uecw;IGY5 zGiML+9T!M=rz*mFo-MMyJyONoF` zS-ETbOiA`numgO1AXq3RvH??wdhoaT>LY^;z~*8jBcHgbYPPCD$jT3!H}H&E7AO50 zs6x3dvnJ%Kspmqu>7)Yz@x)D8s2(dBv#GJDTk?8~jZ=71k~3z#sgPvgio{Grnu(Ieh^$ z0%$p3UVfy5nHl{w)z{0t!Ar44X03(lni2#0+ySMx(Ja4(=^jR;JVwz5I(OtERBE&D zcPw}Fz&!;--J_t#28XxOdIBkWW&Q>d65CJ~;bh*vK|;+uJNE$>fX9nc*@cp*VRsDP z3qd`9a%=*#=H-f=dM`^Ey8ihGA|`Ov<{@^m!nXyA%@nWx@d>rEcs@~5%Gp!XZ(>vm{hbF-x( zD-F}tse>@)Cmm;MD3PP#{4|UB z>oL&*(^DQ5jr4U3i9mnn(-;Jp(;+nyU-5Z>f!hEPP`sf~K~%`EsM5l$6H)n8GLR;; zx6ZdMb)CFY8d1OYp$K{o!qA`b(GP@k2KI%z)Or;Du#{-&$_OC-x917X1~tj(#jU{( z`BdWULyZc-g14r5VAuVGcbp{39W#!$^TsAv-E$IP%Vtpi6uTcy#ppb^^R4zPr?NXF zXR?KBcQ=ta2P37e+r!zgLa$mT5WqG#``X(GtieZAz6k63!Olp|*sQm?g=xJIBanm_ zYA@>7Q9Clq_d$WtMix>Hp!&s?*+ARvI$|2b^^WjSdPx^y|#UqU*@1v^@{D!wB+PEGt+9c@*DM}v$Dqekd1vGX|W1R`uvpKN_Lcs z0V$z_s2*F1wx;%{YvMfWqR*t>j`QbfL`?EO!F@K3Gi{CPCgy!0(H=Ulz43-ktfY+s z?vQUt?4d_aamIS9^iE{PXMUgXU(%t3j=8EElPn-D3Z&NL;;;K2! z2|g>r2@ZuXYZ>yRnTuo8+V$v3!v0D{B!53B^Rtud?d#QiO7k;BCfEfZ(fi$bRA;6i zz=9!BkS?BBe=aLxoHtM`O9>F0l@>UPsnTqZBUZewt3FIvba{8tl@bJkK}Qp1&^tEV z*4_qVgVRZp^5d=;ytFbdk&W>fP|qHjROI4kyrDd|{YKlFBZ5$=((p1+ioeBJyh044 z>tL!D>Qh^X6=`VzU<<`u=arHh(jU5G-0e(aA;zXSQ*BAhBvM%v_vKMdaQBGmnH>)?ZL z{P4E=I`zJ#)CMyqD`%z85*czyfU*;Bj&Vh+FHVhwv+kKAYXmVNIa2!mCU@2OYl2_p zWu(A^vtE+T5UGbZ`Mw$u(Y*eNVya0FI=APO;j}L{0(HWx?L8S8d8aFC`ZcEe^PUgJ zx7Q;8%E&}`tTrPj;-P}2nOc^@&MW`(mkkr@SapsL=u(_(O-?Mc@aKM*%P?f{1m;A{ zcz5(fkXi442Hf=d=$2Z{w4{+`)6aYvA+URA&Yc&Yv9r z;o<-IkN;cfgpq*dU!~RmqtxsFf=>SB;r(}X@{dvY|KZ#7f4_X2m4%VV({aE|xmRu@5k6>Rw{Z~>zW(@nGjg1q!M+PajzMB+hfd@t$MeY|3f zJX+oX{X^23RPL{jvfIgcm#{I}IHov2UZnYfySTk^VUvd$0qKieI@unPOi%$=^+ZC@ zKUf<~6ILYmG*cbLpVKk|$yBxx&6F+8FS=;Q9eXMB<{F3FDDZKmU-C2>B&6?*^NSLv zUXM(?D=_y!h7?8*u>)mayNG@0)F_{Cn$b%adPA&?oQ47VN-V;bsN~gpN9KtB?sfzo zl!#v1WKUR9YV6E?fY&-o34ctq>b^$WgFZ-6^2tr}tbtv=qg3ik&g+?=*Qd>{a{R4# zgz_00bS}#}(is8xbDGtAx2+AH8M#v@E^sDU6)?D!}QSkjdRMI7x7+^tYtK77;1b@{WKt`uKTwL-I75# z?UAw`WL*HT*97jjHN|`p83DdO@d8^yKcC}}mMES#D6r2SDNlFUi1ByyP(`<7PR7aG z@-q3Vx29`b2d^Jep?;ug-ceqN!U&`Il;Le@7ZMHa+eCCCSNJf?wkdo|znk#)=GRE-e1j2VK79XX#GEbb28LW9Ipqy9=e< zLSM_+b5F#TmJ`pp;gT`-K}D3-eahB!006B zQxMi23W!P3C{G)gtac1T&)aUohG025bx3{KA}s9WbQqKIQfznhiRE{SPI&w*a$`Uz z8`a?CQq9swM9INq>jCV@CL~qP^z&FSdg1FB={tbFzY3;twZ*syV5Lgzx?oq{n<*Zu zs6h;+Nlpbs$qqHLFzDc953O{E5* z+Tvj_9>i^OMLn@w;JOy)Kv2k5H>?nouL`BBAo5o{P&Gser(FPzBowyd}pH_G*47D(}HCS5 z$;PHz`MU`3^;Qj2dxS^($bpLr{ecl-ZO}cx0Zm2CpLRZ=mqovffhQ;>>G|Kq`S-r1 zxwB z>8JJzH-=r*Vs6b|aeQ}!5!+!<&_lNN?@)PGl9mgAO>WaolJnW`?z{R1vWx^emUK(` zz~W3jH{zz?HKn(rQS*bh&w5n3qYm3mLZhh>y?$cqP>JzhiyMT$flmLy)8oJEae0MH z``m}_I5H#2oAATB3xFOPdlZebXp6t946b~6r1=QkLkC~bovA$F)aoXk>}bJ=uu*3Y zf?*uIGK~uwM$=ioAJ zhcK*h zU=6}5IcjK&mV?V#SD6+O9HY*+%Z`H`q$<1PwzRU#x~=605>gcJ1g?j81~v92&B?LlX_b+5gD{>`J4Ds?!)qd6?>76cuR`y$4pW=2r@?IV zwy)dU>s&nOWjHai!t^_kkDddcaSz!Wo~SQwCb@w&lTgt8MFI_AFxG64-|Rs~iIH#8 zL>kCR*|?MG4trr$qvTtw;#%0^YNAMCi}ugZ(sb5)d?m8$0sw_;fqr-Um=I^+(QS@S z@ckmj&+(I&2JTXwP4ePMQ~6lFv&(uJyu%<2XsaUU-x;D$s7;9>Qd^~N@qj43WfOHk zddOwx;}uaK0zc%xo}tZP7G&8Y)R74Yi#OXoaQ;gZ=o#Vj0g6n+}kKx7-F_*WB3 z!M!nHbpYuN0^#Q(xG7JLlTruk3VDXBk4{e-{GIJ=V+#jT#28&9E{O0TKc$=gAHbn9 z^Y<70a)crN>$WUE1KLS#iX{3(IFgLqDH2Lbg)}kiFnmHk`Sw15)$jeG4z#9RzzA?; zMrHT?3s_8`D%m)8D{m+t+VE$g$Lk_{&<%Yk3~L7H==j``frhOo;O2w(Gbfj*o?R{J z_~7&2r)Q4nq0bp;2c9L5R;*2uom9n(z>06Ii6qDWW@6NRk<)05L?ReA5ZF^fbT_yYrCjFFh zhpYQsR_gW$j?IQzKr%22Vk}&-vy3Rfr_TH1F^2eoPG__0Ndn7`QW()$2XkY5^ibmq zjPC(2pltto9vPF2?rd@a#n9>2B^Fr&0BYJI%u6QKD%x-jo=Azc?71O!5tT9~f_a6D zlr$2jZy{yp?LW^od=1(WD%0JEdne@``23(yc*72LL5zs!l-?wNBT1B&dI|^s_xy003^5Xi9%p0t% z@~7cQFl0I*Mp5tnsJu4D$tXD)^NZqw)ZIVixN~>SR!B<+mF+kUAr~wgQI@i%@ex!P zY@fYGjoU5ugu8N(q~Wg^SP0KHf=RYrDdFH(E}P%J!SHsGVBec0Ld`XDo0KL-Uqsya z3?(s^+gE|;2Q!uSEzUjgb(nRteJO}x`(>u>j>7LDrh$^UxiI(*K7RIXupiu5I>BDyNDY3`>|x~vvM+=G%lcm1l5fcHjND) zQ;)mFy@r;GgrjN;5Z$$&@NV=$c)>@%U>+e9MrK?o2=zCmV!-2&Q0-pRis^0eh}^A~ z^Kl&%<)de?!-k>JZ0~QT1|`uBU)jai3Of9iNOM<9%7I;1M5CU0BsfURiuBWmGKfDj zp+DKU>t)C|G%K!_I}`VG3&c{U^7}&Tv* zt01E;b+rsWxg_$8slrQ%H+ zI#qCTZX=|6rftjP@O^NmfFyA-eLs_X4cKRrX;vxN@^Mp*HD6;4z)$c8jmXolsdgR; zIyY(#J3?frf3j&J{Z9CHaow|5(d2q>cHvBy6eT--F&$# zzm+%%MlNaz^>*spb2%8c5`sWH$uZr!oD_iOY@wtC<@>Tpp1VMs69HOWKYx;EBLxh6 zNak^gK;v(bA8~;X<5b=uwVy)P%a_pjQHJ117~D@7dVXO6UetD>4?05q<~nv+axew?OzBD8e+qZyZPZjEQhmoqTjjzFklILUbWXI2ug=c;= z_tvkY1Yb@Spc1NV`2L9yMMg}Pa;_aMeY!wpY#eTT(EwZQ2@T4Olum~Un+CK+RvCfgmE{+QB}qwhVZA^6)u{Aok!7>({NDzn_D09v*~z6&*#q3TK( z($f6I;y=q|taUg* z(6=lBU?q+e;M^VVcg+&f0NO?3I0lBFQ7(jBNq(Vx!L;X6cIU7mQTEZP?k^tVzTsky zy%~nE)Vy{qA0=d<^(EnpE;X6c;HoJ_pD-mDms1T$>TUW6hU#RSVXc@?@*+HU)_;75 zv+9CA{+srhj`qgX_CQL|{GeMw6zt*0d2Hg!lt1a6x2SaMp)JTGyJTvn4OpaJdJ86al=nvr3yHZTSe#T%&A@q{k) z<*>LBv>a;AeOc&*Wh#iS=O)hq|7|IZ*42WK_N@2pD5b~g?afALKlD@r%e=gpnWvM7 zJH%J)F77Aqroqx@?+$ReSG^pRkq%vM;2lB6Rr0;|Hh=#IH?XX;W&}wbH1nev7N4g| zY`w+`=`;&(5Gad1;y0b5b<)CjZ59K3GKMUpLi6&4CTGaf!lVv}waB3hI^-1!i?W9b@ee~w`!i550&t=+Qy*(?J9fFxeZIWp+_Pv--=llXQ}?if^;7w#RwF~B;x3NL zB?5I?zE_=?3R?m={l2iWaD4QF74s3F^kSceILBl}NAbDo&_C=?W|W6EF=BaU18;=n ze1+wx%Z>~;f46?fBYM7(Gan`#+^X(7QneX2xn$prt!6k?l)cHkNw^J@7IfNnI09}B zvc~)$c=f;aGW?%d9RD5EgE{E?rdR+>D5>zg&&MdGH_q*yAQ1Fsco<) z)cGUfvO$3!KkYOUXF+DZr1r+d?qzM#1-h8Q05cI25W@6l|A3<}_6MmXJO$dhUP&_F zA;VETdt5;Yhza*RB{Tm8lV{y8zBIzqQBREt?nY8qU8$Qu#am~0AOW4+K_%Y zD$){G2fJAq#_QOfDnIk$ZkN2~73n$)@>8sb6&+rIuD=_0K$mEf{)dPEla2dtfqEta zmVZrM{@(-j|H_yBJE;FB#Pn#X`THr)R#P_js3@QYoom_95XSy;}K~yb^I6jz6r|(e159|W{MOu6cu`O~-YpdPP z&=`kNBr9wyVBHw0hzF-k*@Nn4z)90vjbt~oYax@Ue>Z}@47&pho!PXWTnUv%osO`f^E&ie~ZjP*A5cjrQh zi>@2K!bopV^p9g9v7isGI}?1t^F~0NbY-+XGHEC!iC4`SN7D-!sv1NcdQdB=eCj4J z6L@e<=h-wY)I>>(YFMK}wTFJ;Ev*hsFh%+f#xm`G^TY~(wL42eBTl}7PN}$4=`(KR zxP5cxoLNaZsn%G*Q;eZ20*$=SGWt0KKM|og)*bR0XnesmH|Hv`2vm&!3897CFHDZ^ zHq|n${t(jku%bMqD)-Ww-`6Q6JX0>F5Z~EG*|d))Jm~mn8&hZ9M@UvRi(D*@0>-26 zYf-_nEe1yn7j9Cx>ypyMh^M8Z3y|h*KNo9_M9`TH&oMIO93ZAA6p(P3 zVbVSaU8Y%WYB@3)qC%H%=p+(pFXX`Ft|H^oYc6K6L=p+LX&)=-oKKR+&|b9s&paRN zNsNKoo~k5Km4oNLI!8BPr<7xMfU~F3BeiHcA&w9NP7JKYKfQ>%tQ$N->Zz|;ouLFC zPv^8IF)k|HGFR!;C)Pcu6|1K20Xvt@I?-T?c1g_$f`6$=M&9in$(bRy(P!P7T5_Ic zJ^;=G!ga)k5G)#!SFx7gZ?qNnD@Ex#Y=|m28pEsP?+i8ZTg_u9Q2M^-Nz4S;Dg>FV zf85PrCAPna4uSkpNi@hmj{@t6R7yzh)T%RXU0SOOf<~F06D2lM#W$3@Nas2wqcjp9 zFvK$V5$flkoMg$EOxA`*iO3YXtB4HXV~|c6TxFWeDWQCCM?mTL795eT#H5c#tL#1H zx!#BM%%(VGzhU{Mi(Qdz=G1bJs(pd-N9`TiPL_M=&-Bs>f?eG-^7A-i&FOKiK@>cT zoL-pl%C^2T0Y%|wUUZSNCz(&ufm{8Ojw4_=cN9{P#Qzf>}{mmK(u|Ae|M|&r^i1RcAC)%Mb z%yvi0Yf3b7>9bSOlUy|+`FPUYbBj3$>~u%g30r%F_{p9H5)?W-k*S`7Y4{O7K4r_~3Pn{;`~bsdc$!Ws&wTGTzHfsyf!#v!Jf5 ziB9SoPIAmQj@)hL**|!{_-Z>0X|G`al|r{aC~m-&Rq2j}ofbTn6B4aR4p>`^M2+6b?medSm%$g9&d{FOKO2D zMUgHDa9LK_NEmH-mL=vamb73o#!0uBtdKKB{@|AH}ywjAa2(TIdajd%ox)7Gf`hwd6Lld9*#f&&S} zEKd4)@VJCrH^U}qq%HKuy#2f_&5}yV#}I2uYUbb}S8S-(jbKokKA!|2UQ9((xS`yj@#9ji1A0ToZY0vg+<6HGN( za?y2Ky^5Upk}9STfgyXTYhl3yj!xqz8fA7ke{Q&P4vFvIy4NDl(Ky+-p=iK$sl+T| zOnt=}YzQq$_{=KrLeRYlie<4<~mg$3lzW>@0LlTP{@@wMoPiD&*!~_$+bZ*szn3 zsZEJal(;8UDfjOHWcGP}m3Gui;4_`ub|Cx5`|{P09XjSfhL?zStvtvX%=VcWeFHT& z{#p=83{W7eRe3~(*Z0SCKycWBB!V%ig-e$knx(4WVvE+viLaV^_cZDm;mefj0uZ3J zbYq285c77M-x6Z!j7QU54f=j>*)L7WAP)d(dfsYLb{d(CApB*ZEb9_u8zZH>@?$>v z#fk8e8T5nn0`lyuL)$n|q}+aDjlBbMyd%3eV1Tl+1yrKw=oxWO?G3^`(f z?iP3NxszsfvWvBcH51h-oKt4-%U6YGFV?z@M=n*&#27)6^!|=MTNzB z|97>o`2BS?;>HKp`0ruUfdVz{{vSw=WBts5 z+t-HHeG{H~Wk9n0kT9OiN$?;i>sLq>fH^JY;?3<^P!yEGA_!J%T=HZ>&fgECks>0-SnLuFBdeJ8rKN1 zjL4zz(iA;|=6f3|wrGS6BOz%^UW#w6(ztzO7xv9=UlY>>3@SetEGzx;*8t-`*!9o7 z^@^nXfXJ0GBU^fMNwGe#<$9=e5z2^;_<>nM*6*XgW`r7O0eLA& zSaM0fV!j6+;5R=vZ zfqHkk>1SCE_;BNHsXTxTC_nh-ocg%NBLv27NGORMmi&a0!dP-J3O*XJ@`5+At!d!C zO?nSVn^pWqMudeAdfQN!R218qk!xvRuSI&&N$F51Y$EXUrgB1^q9)sP`sd?x<1ReB&4hDysBX zNOxqV*k%Dq3MO&nymgmKK=HedkhNtt-QU07g5@dpJ|kJubp8ZyR^W`2p%T&&v<@M# zv+&39G>@f~P@!W1fTXPMp)`Bbya06M&&VByz{l!^K6yUA@oV5jL-CRRa3!Ev`B=)*MNq;_JT0rYU3Kav;Yr!!=f-5|*7MY=pwYoW73eh^mU|Ts9#&dkQse)Xoih*a0(vUnVt<* z%qbHj$M}PiVk%Ao&WC1j&|&*dy4bBFQ5+>46DNF%?_zthxIgt}tzm#oilWr8#}w0F zAape=@u+}GB!357VyS1oV{1c%RNlY#1vvhIXCJhKYh?v0yGYCxerEVTUC3SUjkfz(g55NR&4RifSWJnLYVu;JgiTOS(fIp$tAg9YC z1#k2W!eS0$T%hm5)>_rpEs#EtR2FwdqRsfm%52I@OZ(UrYc~M@;o<*;cK=&ohnaxo zUn8CWx4;hTzjCkt4tD-Y*Z%(i>@YI@19m_c;_gUx#mK$6?avj6ajC$?c|qDhXC91x zpPZIZ|B@dVZ{HKK-x36G-kavh?3(p+;W;b$coU-?c)F;qdcol~vqG+9s zqGH7>0HtsU$F+M}Nb6Vf4W|3PtNDq|6Eb#>HD4T{cEgYERyA>U6;>1Yh}^p$Bc?KMm5i_m?eqd5Ea`{Lm+4cFMrmpV^iE&4!IYOq z`sJGvIGB;V1C|2B;dyIsd-hI})s=cDu0KKQxndpdl_0x1lARk8{AKJ}$HjQlb?gpA z+)V08U0o(O;q7`8gvyXF*tDuKJY&64UrC;F4-& zN5J`amur^7+H=ZgPo7xWJc_%aL0aJ5&DD%BMODCF2m`t{$jQCV0M# zEaGIPZ*WRZHA}Xo5PNNo#a&I*7Rp!wCGLGVBDZmW)Eb}kC0+|`f|4w`;^`!Z-16jw zp1OdpoCxuaHSf(es5VFXJ;$vwy3E|#&mkzsnwL(~FpvNXnZJ&8F}lDqg?n0xrC(45 zT90%MV#wzaY{+$|5c46C-jIrmdba4}C@F3Sl+*enUVelmE!OWDCwHx?Z&HlBi44+n zSk|I~6*we@-zvQZpVJNQb{8fjeJ2_r zKDc16U3!W{Oky&GM_N(vMXge&msrotxwpVK+>Atq10T; zm1X~t#yxkHrUsjJuNr5Z7#Pq%-b7sXopd)ib1FnkL+Y}L2ZOPEE2p!cccH@k#4=09Y0MI;|U#80FCk{J0RaK>7)85 z(#C~Wbu;X5+O;eGVRtr}RJ*P%%G5t|4PepsSuCvG<_`38RW@y>0Mas9$4-tw;Ndd4 zZCrKMcuXb?LpTbAOXkshpHgP<{c9TJ7-PeGxPY`rem} zkpP|qAW$z@hRcQ1!I~LA%yrjN#RXf$kR7_+Sudb$wTCKQn4ef}Gh_Id83E!rtL>A9 z?IIWU=c%C4{qlTgI!;3P82%7BFL?6Gg5)ItX3jpd9-f$(@uK}AVLf|$W&XpHy7wvLmU#LP_$YcN~xrT0#$viwoZpp~a1kiz*mcWY^DxghiBj10{5aM z%eKGnky>KUEnn;ekBr*jIOV|r94CHJypu^qeT(bASqN(PNPs{3kx#WqHN(cphO;21 zI~8`i+s}*h1?pw80@nKMj@};2%{%!ARGc%-QzNIptQ*QggX)nYi(H+k;f3DYU>+`B zsh=LIuMk>x!B- zNX?S71MjT1;fF|`MK9^E6J0R8Z*2YEcK-~(>H}1|>JhCIHloI!y#!F6)hn+Le`bDd z^`H0vPoWHu_x=h2u8xNNtoQbE{{oSghwE0gC1WIX{l3_<@n39uay_2PLE_x5Ioc9) zb%?bFvH)|<9XK$|XWm1Hw1ZNt5Sc$z@A&B3w=D*+o^_f-iC{>35Ooh3B8i!bWeq}! zp^_i@!j~OX_SaN>0R-G1DnPM*48pw?WJ7Sfp18ObY(BWEQ}aRIm0pW5)ivNL&wTPN z`*4wKPh!EE^OJg}kWpEZ1G~TmKn9W|3wz3-)3HNKc z)o*bnAME!9QNBf?^*2f=3XfI}|7bCQTN_I@+Gp{cX(BM+1E?W#?SgbOQ(NubTjxsdbo!<@%Fb&aS&YbBU!Gp_=wT5D_%V=Hm3zB*7lEKj(Rlj zcIEGA%HOC%O#R=8d&gK&qi9=f`)u2`ZQDNEwr$(CZQHhO+qT(r@9k@E@?O)lY0`h| z*FwHEzcn-F9Ao$xbNpB;u+SIIzmxcnoOi4=SEE}<4-KCBhZqqKz)8&t7vUiF|JIIy z(M)*}>dl897RXZKnv?z&Z`bL&)QkT_NK*`~-bNcs}f>^IK zy7BYfk7c-pr&X9NC94n#^U$&)6Miy>OvoXT1X=1RE`{8{-MXenZZ~3&#kV2QD;O%g z+Lu}VlVx|sTKHQlW}CVRpwqJq>{4FzWf++Uh=W5C5Z;9-XeB3ND*EU2W7s0T3{DSaCwS?$82f2~^ z%f83UBMP8j&Hcga0rSUbe=%%)k5am`ZGME-pMHl04YX{#_CCmW?4dk`Yu0kE@mzMs z+X?L%`AOfF}bzY+pHt6`bhsHR9k(M5>LuXK179V7uF_cKbi;Af@Q1C;WgJE1~0H$sp?7HuMqLtkGrUY=j zZFwJxnk!m91i^8wXs>TCo#Z_Jt-Q2wF=lwleY z2%u4H-&0vgQc`&AHj=_GDNAT3gpi59N@T8P8kmuDt;dXQ9T!{BP>^rM#I1*3pCP{+ zNiP48UIb8Pu_5iZOgFXuD@9{fCdz|4>S!)38)VH7Q9Y`^gJA=m>8S8(^K|I0@EiZ! ziWR#etMmS~D>oKyz({V`=i2kD@Yx)}uA<$ECDXy38Vo9QZq769?_iJH;fuF?w6Cd- z2{(Kmc@pO+;d@QgWQ=w}o(z{=PKl<({_3tsB?4m|tB;%F7CZ+WIyQza43)2|l^K7+ ztD+$La#Y51?o*5-yX|_cDmx&hJY_M|CAHrC?LD zIP)4J5`AUCt(RODLekknG1qyPG3?viuEO0ba)xnBN$*v^k3ZEdbNtABcHymb(a9|> zk1ISQ8h^04^5O1v){(HJ!djsd!;VK)d%C0gbB*tj$Db#{d$jyhBvvt;9Q+$MaDP2k z6k~lyfTLxejSAgM<`sN%J2{sv2*C%4HoxsFCLPrjSZvyf+S|$2#1JCb!YfZ)vs9!k zrCT?!9Du6`L>+Og=xym1A)echt6BU!#oSX|NRIM%Nu*kjy)8_iLW;{@a;+NcoPmMqSaG@!W4G7Q5D}z#e0;mDPqbWE zMgViBccZP=qXx`n9$>`Jqh7$U1K3e8QZ?PZc(X{~MPK1FW>T?s8}Upw@O+x(Et5d$ zlqeXr*3Db=l0z$DlkmJ}p-ZwqZXbN>nC=}at#Hfx@FC0{EtcK2au{gH`4NbvCwX;I zaaGedzI~EuT3hgQ_ej+6x%`PA_LBbVCEedO^f2X#ZK@Cwlr%N87Zjv{;8;Dlm?U(}g1BeDJG!TH<{e(S1N5@k55_>Q-ueP< ztO5I_GI8dS2BE%yDh96HUZar>Ukrt*^mHgPY=^mce_c&)kPmE9_0O-HS~ln_&6BSJ zO4jkUEAG4uFx1}%VC$}R^$rWg6{BQ7E0=r?Us4FD=CJdvLN(AAzXw{QoOcihK~Z`y$_EPt?p2f<@NFdhbl>IW89F78d3|V_zMn zFuP-Jk2DH$js7%UBSmn%8*xy3s8)A3WHM}V#f>dsUbSKj{QIC9Xz^(HtD1kZqY}O> zN`9XQ~-9t4(`KiG1n}01ZISKPfW?jniO(Hn%4^8$EpcM=jqbin-8u zH&+sK)d6`uiuVjnLHQuNHAtV)ycy+>G?)Gt2mcT0um3vu|5s=ZGd|0ITEYImLv#L% zVEYgAFt#!JkA3~;T>tx*`Txh*hL`t$nCWnH(@D_dGtmDhU6@XSkpZ9Oe+(J%S^f_< zAG-f*Jdl;~zcI3{{an2Wq60l=;78lgT9~8^`8OehS@eHqCZl!1jSQmCT|bE%Nl+>g z`6{5_#Z?-IqJI^1!WX9CXG^dSE4}vtp;Go5zt6)cFfTL-YZ)#TjQPP?__z;?Ayf?>KYc(gB-;Bv3zy=0mWUw&m&b{WTa~gbIIzBn&NC zFWlCXtd%CfOopzVFt8+wb>h~^hzt=`{?NNvm3!udQuZ$kx)ls?o$hVL??_m_Q#VE7 zK(3)P5AE{aV$9#1b(@PPw?q>tz2I!j0+cMbJEnZ+dG+7(HW_g%MVYvc+QrY(A~W+K zr--1gC@bpP2xWumm2?!lYD`DT)kL0cgo`G1oKkMW6*B0b^;tvFdnJp&4rxtVJ##r zW1j&s;6kSRzli+*MpRdHHgNh6(2O(Q)J6oH7vVr&v{{#fBY#kKs^bL*agpFOy4UNSd^xgkgxBtJ~!Q}NFtR4Tw zki3Jjk-4Fhtph#-%YV$_Y;EKCU(5Z^Yy1m7X=5AHfBRu$Wd3hZsBE)E{n9{j$VkKN zBMem!(crpyD*FJ%idkn98#vtfbcF(OPK-0tn^5d7sa^gb^X)s65V_z1fu2cC8?MCj{1(0DD5)o#wJG z&CKxqGGdp5V9bH&By3Fdmcc+P@1|b98Fl1So(dAVuIEUX7MT=TUt+|Ys?hshY*>$G z%N#FjZo@BZZF7jHQYLt7Q8l<*uD^dHk#Sc-I}`HwYPyoaBP~-PX#x-ENhC)D%&4V6A z*y_twA93#nrnu-28AD-r(m8&DAgIX*IXUvvP+s7~;a9q7Q#Jim0JL4%e!S;;JG7pt zL@oCK;Zg5RfH8OE2ihre190`&&O9mD)s6{N8!zgk7PnRYa;&Q)GOn)T`{{RsO?r{u zj`9U~$I+IJ`wUouDL0KaNF4iC8XEd;%AEk@UzXesDSx$a_hPSZb%OCG0RCJ$B;E~v zpU|=&78UC3b7MJGV8_E?YcSQir^$hy#9a;KoJL8FA((h|uJY^pdc3g&(nrZZ3V?G{ z@|@%SVy`AYj|+^1Yt9zEcM+Ae_?K_O5WX5Fx229SYrPm2KPV(E7oDsGPx2p)ADJv` zp1{LH)pd*WRnyOQ0A%?(P<{aJrwZe z4K){{bD^-0Bwcffvp^XZ2i~L(a%E=r-%6OLkAG0RRi5dl&wO=r)trEq$@!>02FHJG z-BST%(^cVArl&wAtFR})6smfP5}ZfUg>ki?1i_q*<=f7wN> zB@bi~i<{})h2RW~jd;{AqZ?il=k`0%mVc(V;++0Yy|82r$pQCa!5$H$A|+&4pY3$< zrb@&YQ%tmZ-ED8D`0asrvt>x|>yVf=bFUgZJ@E?Ih5TBqV=x^<@^zu3!dN_U*h zJIJlB-*lRe>+mL$0aP0oY0$)Q<+;59py!ZKLu zRL*6TQCsMuCG;QFS=`CFmSp`>L6>wBlJO=Uh##)B$bdk_oY4}kIUdvpY@y+{Ln5Fu zODcE+cySuu9Y-El%~c>Bw$23*%K|>pEn5$o+7HJ=8wT?>*wE4y3ARW?Xs$Vavqv5m z^d_gnIklF%1?Q|fxy+zTa1MbJvGqopl0Icz2AfClxxj2Vk@wtQQa}E?3#x*L4`+q0 zG~3SaiM}k>$2*a#3~7MNCNE1)Jk12EO3DX@I^D-b>TQ*3_a3r(qAq!rUSbrO{x~;w zpz;io!5(A~@gtyP$JgjV3NRAY7G+qS)X#I4$jhZh) zZ4&9Y8*N;f@}hDFGq69Z2FmO~$W^8|v9@+-*U7JEVDHn5v_B6tc;xn=+;9~Z8;vMw zM!QqBv_E5VvGG^1P&>ddR@RKdCofta>9a7+J$8szVeg;NH~8zqoa#UrrURC}3%M*# zWGPpqm$*sczB?4lX`hIbOC8-@`hDV{Z@#~GU_}3sp}`JCaw(o^5gWszLM-h%GOIe> z6DS?#+^8ggDIW(v11^C%QH!MZ*iB?YbDmbpQrKRc3WpBY&t2l{pPmD2@(nMbUyyZ* zSE2Hq7&*i_7tuoYyRsukYu5XSO(buB_-LPc@yLV-gl^EO)fA7nnhi=RH@#1t$F8un zv`PaDat24_J=r&Q4kI~C!dXhfpp(+|c`PBW807YbQKx33>Wo*zl*YJ=->$wJ_VG|4 z$U0Y5B&s=I2a>0fx8!Zcw-7|q~$k{ z6XlaYmf$7$Oc|n#k$eiiyuuWygGQEdYwg{jb!gTeol|dWCHIuLliY1HY+Nkl+@SAd z7*$VfH{B`~2vQ*{`^PZlvU&qkNvGr~L=Ao`mRF3&*8hAnW)}SM2;yGA;uplMql1A> z6yv%jT7^a|#VsN2Y)hIj4E@!%C;hWt2P%Fn(+|n#^M0>ap?dnzN=`NHamYXbiubPi zxI;w;SCt2#!PpS?is8eW06!k`d+JgOW?F>e?vHB zE{$NAfJ*}l2cLL2)(?}+in;p_XJC7$F8iYhs04~`F@pkwq~W3ZKWFaGwQxifL*vBdQWeD{Q|eF z(D!P%^OUQQL*6youl_d)9>%uAz`wR*FJnMBef)wev|l6wc`3N@*mq@oB&9z__Xy$OPhDyb&Lu^@}+1@jWksIW-sW zO6MBy<<3c*82z*GmAG^82T&E*u>0sai3$l9{CG(UrtIG1cE)T}zuNBj5b3nG&`L|J zw{QDzvSc+|fcK*h!?)}B(PYQvWFP*N2C_>cfP1$&o-ZEDem=V?d(^N4ceW{!+5S{1 zZp0zy6Y8T?;mWgBsaO*9SNhP4H-EAS>h&!LWp<*Lm86Z~+W+LSyO1Vqf|C^{X-%`@ z53$nWBVJc-&dZ zw?mNZ)>lGr#u)Y2Gg60JC!H(+i^c2>(kO){XCCy-Wg$$^<${_Y&gQG|Qx5ymx-#%$ zv^!c6`nrcK4^GzQfZO=jMS;J!*6Qp)-t46GOVTb>>!@yGjIxYaHnxe^n*!8_H8L`^ zWaSbPm7%=ZasX9svQNS^wSsE5x2GQkWXf`;*~6|jq#LM`dw}8hy_Z<1gM#|(GH|gM z9f1>H*nfLR+vruh?lP}Cl4(FH63|XJHK{5i-3OuWsAq3b%lG7NKS*SDVYI9@IwI zZejz*KQ(&iYWfwNN1`N4{YgR;!3Ncr_jJRu;*qhZUJg}cKn%h61q53F;Z&U~ipp94pPa*{>xb4H};3ns({-B`oK zwrhW)H0p?_jj+&GqMHGqn+fNMIoCN86@(o#slGlm2f(P&O)c^xBC|dW(o@KPZ;Nax zIGj(xR;){_>Ckk_0ri;>Tza?Gt&}%eD;4wCNkA9MCK|oo4!)%4EZ^7>PH)Kpl ztdAx)eFbEb;GfhnpE6Aw`-DvS2huPT##^+*Npg;)w?lPqJ+JzXz&|`4Br-RE&CN~ z)tn52L2(~mpuOnVh*H<1nt#WW=|)Rv9l9C zd(Yek%)AHb|IT0t{NpB}@l(+$kYOV~?z<*8(vf+zv&OPcD`$zZy_>8C%I z950ySb#)!5>hMtH2@&VVTv^Q5b2-7IFo!AFr-zUK7!^}(V5g!L^SSCimrp_5J9D2~7P<8p=@@F9QXEu|G)sXLzwCxNP=$|F4?cOo z>PLZ%coEYn`{0sJT~H{oHqQiFQ^Kv|M?q=KOMFA^-v1L9SyksF&B z;*H=1WZr=|(G&`d5-VQq{6Osk%9wZP&YNMBW?B*9IR_OnK3;!7+t zYS6{C+_U@AWdkAOW~1`$(d@x@%#t;ZR6`Kb-77yw2OWONmnE^^fh;L?aXY#X1LC|; zg%c{ncQ59mvZfDSW858f*^j;YxWDR?AB-@@c)tTlk(TmlC;wBfWi@M0$HD-VG= z&~+I&xCo70LZi>SU5QecGtG2EJT#vT2i*QEe{$TMR!fs7 zO^f|rta-VcxL(Oirp{@7IblZYxLWx8Q~(;`gpCp;f#PQq@~)Bv0~)Q|5O zPvTP;|K$6r6cdV^&MHOmJ&d`BFy%AevpoSFi=r$?M zO*_t33Nwqbw5*?!~({Tkvm9hJmr>A%ZkDzbBa zp-$RDA8*E8mjdi_$EfMl&1ug|`>`=q5oa|_>491dbi#jhiHC2emqg>_yvqG4+(?OW z0OBGF2IpknhNzYFDs;&u$*7rR)k<}EidzSRR+o0w@q%dVFn(yd;%mcNL2qglFK7DT z4iLzoQ={c8!BQTNC~Gn8k51>rYAzieh5qf(kl=RY{Ssh1R`ZYY6dw&#@YF7PfQ?7L ziX_(9oVgpXIoMscX!>;AJ4yvpXBf#1Xl-g!BNehZY{DiB=Z4>YlA8H)N2~vR>$ffm zwF3_>4%6Bc%U1NP@UAr4k6ysp)R|X-rZ~*VqKK@h`>P-rs9S4(MAu0 zIE-g#D`rfUr zW2Du8>SHkpq-lk%-?gCWk*~R972Dz_-(Zd`;{K8qWz1FK;=V54#)HD3%WyVBQ7kc( zh`j>mpjt~secaOYSp|6ae9eh`D$YQXGSVNc{&u~$Zl&8D5i?Ogye;&~33U3=IQCm3 zT*YT{`?~+qz?pCA0JHOzH2fc{7(stk>z^v-+t0^+1z&E;~(az~`FfVW@$7H{aP&ZFQb<TcX{233+J{T9LkkY6KC`SKx+n;iI^J1?!O#d2jp?{ zCwS)qB8l6eYF(#SK*)!XKFMD^$%$VFxQ+@M03Et3IHK#qD9W3aGS z9wh|j#AwWIj`{jp1Bg4yNI?F|Uw>3(l#?y$M8;=pe9I1oI4`m2oq}@uodzR9h>WJW6Dam5ogY48b^Xenm0NPRDo)^u|A2lK)F`e1W@xcb+-k8a~FcTyP|tZ8p+n@~3@ zn|4PKvp|3Nk$Kho@;0~09+;Br$z?xY6L2#kH|vH%Y(5FJFjMQril(;q%t+L^e$#0t zM1Nigw`n3pTea(pRFN;t?aFzKLUM9FxJaU@a72x}kz`we&y0dIAuz2n_(qFEa z%!j)l@(((894Z9v6xA9y1KcEYn~{<_eB?NNe>jd*O|Bz7>y0BKYFdeR&YcLe+(J+k zajjkUXPo(h{4Xk_|4p;y|E@C1z)a8n|9feaft7`k_DA01vzizLp-i~WrjnbwmU9* z;G{WG88i3LB>u4NqAf+ah1}40nLOMYb^`p63CA9cLhcxK-vao%aJv(BASIHYa~97K z$b0xYQq<55iq1?O87G6y?B4hH^*HJdSu@M9%CiwGjYrs|6I#et-yJ}2sDePEUd<9$ zYs%t7pMc0-k1GZmSyi=2+|XG>WGv^HaV-+`K{wE{KC8HFIOpaRc+ue(HLO2lUkV2^ z2@cpFCzGEtz=L!AemBLg*WJYCzHWE$FilL~lt5~uHfp8x$!3B&0WKATS zkQe^xNCix?`TEK$DSl*ZL?dPp@Jza4**f>Ru+yo<3$?gEzOH^2lddCFJyIYEg)y`h zZ)wLwr(3hRAg*Vi^^pFShf{$ZUP|cB!wx|y%XfXQt)7yU*b$*+*V^3<>ZT@q70W9M$`a5@W^qp06)xd@j8EA zOPAjMxi&@atl!0kO8*GK`xUY#GY;oLbcaH6vX^7C@8KHc4}xWD4C}Ey;vq&gxx^)?I{-p&$fgY$uqFp)! z!Qi-%ZRm6;Bn#v-c>uo)fo)Y}5UO6yphQh;gKEyzh8-d9(}lA+6^X=y{rv^mJ>cb3 z@1UdMC5lhwwx#I=A>YP%oxLxup|?HflltD&b#apIdI#P z_NI!RJgVCvnB{a!YfN84$|>rjFIY}#KO~5C$hofPP|#2DpN0GN#nN(eDt2x%d#zpg zxiym4Om%x{{PXU=F3cyHz=U-_lXe*_Z_tyBQ|95Lgp+ZH`a#QVBT)K(xrV$STD$c| zQ~P|j{B9L*+~=y+a~ssekM88PGsy2*f~}hICS&Sd*kETn%7DGbh+2}Y+{jo#P*%0& zJdg5fk;X5NGv^NSCBUv3NV{bgcW^0Bp`wo_UFQ>iFj$u++#%`0fHEGEadq8;QCF8b z*Px1jG3V?FVTdkgN@NeIGi6dRpA8Ey4#o3Z9_8VwUB`4jYX>QhGeH^PFRGRKn7`o8u! zL`h+DQJnwsrWi%AUggkTyy9CQ3xw=@-{LbbnMw*9{J{3M;fen)2K^DlSzKNp2M6#6 z{vc^%MM`8tR@#k?OcJpM)M8@HJ;(Zz{7W8UBln0^=oiPu1dVx<=eX@mM(&lNJ0T9? zGj&jMiRVS6t1ommgPH`dVqdGD%d|D!BQ%+P`ho$6r~?#PIGOpecyi-MuYzH!APyDq zw>z(@Q43#lSyXRt1l&CH+2aNF2vfEy!jVYk*v?0fegjbJ#i6V*{B-srqv(uB3f_!R z%x-g_S|AUkRgGLi?=M&0ruF?or3qQ|y?hK&N*|N-{h2!?LSO)|Qwe~r3pMiNZ)lga zEBqNn%{b?PQ>ib1@Zn`=G(k@HB-PcQt9zE0&P9&WuEK?hBwWLTw3Tw`pYMCGr}Pbi z2a1BiAJsL(wORm9j>Jku)Pt!KnNC@cVK~5_;7Ef}*ulYaN_guoQ;6`&1Ym75%$s@7 z`D>mvAUk_2tBzQUm_63;@XV^R25=khD>=tD^65yL5^nY~f7AL{W>%(aIbcICt`G6{ zFmpc@JM-%7avY?_=v+n*Br1N3QNurl)@CTv?O3Fl3Bo9nJl%qxf0nqzrAaG$OOMHoxx4m(|4xXkyDa`pyT&$p})fM;~!8EUN zLfSDk{;AEG`vK6SVU9P@s zim2wuO8fCTeS`1Kwe5cs0yU^}jn)h+8Yfz{(GE!k%@9*{5m;=Pk`@Be@T8B@)(6#K zMU#$ZWP5vcV6n`1qWoteR4qsp_;DM?$>4vobaydvZK1Pe5%j`TqYQ2433EEApPeyA zt$l(oRdeUB6yswP25pMh*d)Z&8AzpApY@Y`Q9E=ZNP-{Ki2j3h(< zoWgE7xcu4-HF--UJrx?_fMS_69@H*^|3N#Lir|PdqD81W1UrvfD}5K$>bRtG|AW#3 z%Q@2fJGe-k&2*sFO?PM^Jb|XE;Mo5F#}RRS*x~byXwc|dFet0H2;4J1>!(ASuf6Yo zU<5iquo~#XbXPQ6MMeY^=xzd0;9{5a-m$a@_-mDkzwJ=$?rs=CfQO%HaO=;nU%z;| zDAehN&697w>y%u!pDqa635Q{`QvjBKtHT5cxRE|(P7q2AT-tc)Dqlv{n=FjBt+{1+ zh@gJk*rXh#kvwrA!$AOK@g#coodnGIW3Ysc0;-5A6{nZP{k>@vn}4JzeM3S2Mb+hw zF_j};&aR?*M*7~QM0h{ZHRio-^prm$Io*u#MZd>P2ttWXwXxN9?XMCn2AO%$04R^3iAn4{b_VJuatCpnL|V5%;BVGDpO+Tn9va* zOWp``Ugn}`)aFVq{VG55jA-OD6P}{!@QVllK5dt~5R56S9rX$LdfFG@0g5nl2!fk= z7EdD;aF0E{B)Fc}MI@7QC$B#;$^)Tl_A3Wo1GXWB}y{lR=B5G z`wj@(5W);va|>;VQ$S_NFC@uy?H;Y3Ot6FdR#^wk7C9WyoCn@A>T$w<8s@3Sh zl`8k>+m67qcbAM0oC)2d9dm+@xu`VS+KMDwZp80*CcdM}PN{vKb|R)h5M)|}SwoOH zU;q#;>aJG5(?Lt391`gTo;uX5*r@9rS)+(13xW%=_kX>7G}vCvv`<-SIM?@A&TE=% zS{E?!lH5R+C*@;IKZ!w$aLBL>SHz*7ANG?H%qV0>@u)fR?Df6M)M7XRnzu;8S>qi& zfIHW_eOU_Kwp6x+1a+}_LR!TqcTgS+s+s4JsazoVw5j(7;3GpPv>lBRc-F=Nso@DB zGG>5%fAvYpQxgRd&X-EDMO4YS;Yn0AP}7`R!03%wp)*S~ICqtepyqm1Y?X(cji z;`zJY$cmA@4?&rqj|lKw5`2(M2LoPLtED1*wJ3L+VYLgGrS-HRZ`--0 zpx52-kR%#bKbuvjB&=uZU4~)~;!Qy50kjhkn7eo%l9n6Ag73Ui1GelzJ1lCHPuM0S zzyp>xEAc3F$x>NCALCt}mkXYl4$$$l;? zMVjz@dU?IsdKb_(5v}I220d`@S;@oD6hjAso4b}XLe9vxKLIi(XVs-@SL9@Q^Sn`D zba$Bry9^PDdJRJ!k!65SYd9(_CZP7CQxo^p$^}5#;Tdi(WAT}zKR!2kV`nn_^c67z zbO;OoM9ZqEU#B`Y-rPpJSmGJGQpF4C!A7)>u|sV%Y^0({YPiK0=PYS_PO1&P%XU<> z@eGo=I^a14K`V2|JTPo1t@vOuJn=R2Eqw+EDD3JB6pJ&=y$O41z(v_RB*hv+$o`>@ z0{Xnjj$m2eicn;DJ)%)7oxR=VWffkqcEZV}uZL4d%Ge1zv~>k(#ap z2wM}sGfT}sLaIf}M-KWHXvT(LL>W=MgQPiYUjfWyRC!VA6)Z)KWv-+CG!6GGTN`}x z!7jD6j!9@K_(R(SGcsQ%&_j)RNn}QV;~k1t(tbFpXLH;1Mh}2(z{ji)0&viytasUO0_nbkJ2SuUh`$(c{Ow91-*$ zS$0_j&gxe}AD8Xt>>QSFx4C2Hrr&^Z>zvjYk$^*@SB&u;7kw(?KGdH;uumqg4qRS= zlag{+xghLaCfD}7thI9H{0f;*c0Fa%F<=Z2aH4Ci>I8JVmt=Q@m~{bR!-^Z}wIh0K z`tY9j+|NG=W}k8#vYXs+T)pLG zXl5xa`ebyZhPIS9K>FQ0a|PxkElQ5HY~C>5;e`tCWpKYEz|P|o72Sj0O%hykj)S&! zP8X?_NnUWW`G*<`*?bbH+KWMls(i`q2C8yF7RXD>N=eE!>sZ4HXIZC8ndV@lH04~>CQ66!7uohp~ff?2|YBT$5 zE%F!boYJ)YNH!b$M~?qe0L&fW%^WIO<%4lN)2tRVaN(80=%W`ZWGFx2v&4zLr{8}S z-A8BJ+lVIA??yCO$ZtE}jBqh&XCLv+<62@P=6t%2o4ZM#@tBWWl{n`M1l!ie;WshD zAnni!{2=!jF@d8q(SGkCz0kE4ugZm;!R0BDTtCzvRpJ#ZD5{}ZmvQUgK%+~+xNp^t zuAq%>gX&$2qkUJy58+!LPT|T5V0z%7`hZz={|?m&s6;qXt>S-%Jw#M;G!*mw%es?s z+q^Ig-fo0-kEi)_dNTgdX8g>>$~>k|raGe-RGa3H{1WOL$gU4!*Z?HC-OKp3+F2LN^(bsvcC0rsnuV9I6}9)Z+{&JNjp;Aj zpzth^Fg9r4b9a)-G|w`ABAT}0fCQE3ix50DneF*U!<4vEG2@pe(e#x?_i*SJZCg<; zzV!GvlMjm$&~UiCbYUvM`R#FomHAh}xj0@3wAhCT}~Nc5&&lesi-Cg?tjyHnY2i!$Xj5~viqwGd(QadO0N<4;? z6P^z4&2E5OU6`rXw8u&=`-zCbR|iCaj-ajDA9$X>C?EpO4)a^>E5_BxVDrLBbi-N) zFp=^WyEO|gD3VRQ#qYf^IWfVWk)LK;xaz`YXG~Xz;xw<2u#qs$CX}k}`L@AF1`ng^ z3W(#W{h~oP*&rt=TWoRNeGC7zFQ(*aUFRMX!*j0DN2#qRJm?PNhJu zB^W_1WUu`ByK|0Rp@2765aODazG!|^$?L!&Y;$~~^(6WB+pkis13YhIymmfC@BPOC zBK~G;l?4ioxLD=+aE@YcL5nfgbD+!u#DnZuJE7YCI9~V)5M$KS7%%Zoix#Bg=~F*^ z{WDE-!tuM{1b73&6BkXCuZn(#Z9NN>VRsV_7-!hU=|_$@T;{v^uD0EjA-LaC_7(n! z-dk@0ZdhMes5&s7H3GxdjvJZVij~Hp>-Xzb&Mj~<7|5kJqhrkRs{F31k02IoWcBQ~ z1WIz#Rx&P!a`7Hul+e*S=nyZ2Odp?_G%8PPdA+E-&oDLFx^nSF%h8k^8gEW8jP} zc6c3O9lA9iuBt-<8-f&D0(qLc5}r&Vv?Gi{A$kd z{g#tPY4}T6uIjwFK6Bm;1}8mPW{~S8Z=Cs+yEJ!6QW>fb;7cnW(6=(oWNoYw9JZ2Y zsAMb%^kbB=D&fWUIZL}i%$LtQM z+UeA#&ekJ(a@v=Bth_-7XDlm6l__a0N%;zf*Yk#APv1Rz>&M~ze0wt0S|cl{C5pxX zLRI(rqk;EB%Q?mkKzQ*-Z#``YB46JKV!#22 zDI-_L*NpaKzJd{0q6R)O^h5*o6rKMB?Yfs5#ccxjw45C&uN%(U$!a@WkYe096uDup z3$`1wkxSCJ#*y{8z7QhRVjUq7P;7lT`ytzf<8G0#2Tv#|KweTPCbVfjAjtw!8?j%N zvD(T7acJnR) zJWi||Ook}p!KhcKr`w=JMFQn^eWcDxvsVFILlfKKq^i?xJbWr3o4HSw2~*z<=!WJm z<^%8OuYX&sc@$uuCE?g5ua*hxuquUUd2(;Kp2PywSFROB@U?p1Y}6@SbSCryX(x{e zqGY5tZ9l{TJfk2b{_;4WX-5f|@y(95UoZ#o{Zd=3=D$ZtDL{w)fxaNN#Otheee_|A zl6awk+F&U^qkj6ibVt0Zqj3t&Y4~)GgJs$`e9K&n^Cv9sdnt!!FXjSy#WNaN8h3jw zwG>=6q3VA4Fnusp%a!kx4a*;nKv~9=?63U9vuPj-i9zpH8|PG1fLhZXEA@*br5cF{Mk7do3urT0jPCjvGrOwdp$dHRv!5aP$K!QU~2S17K**%WnHa5Ubs8hD96S@H>$|2|yb zmX0GCdg(t;Ng)3zTz~Cu=wT$2d7I+j-Y1SJzj`xNbMjrnoT((?*KQq%Q5L~v4{j9- zn5=Smsp*PCqn}nnHJ~cg>pztR`T*@{xL#X$B1^%~ax#$=usq{++A*>{9$A`Tz;SK% zvJ7)$Vi-tx@KmT%f7>!C=t-C0DCTbg<_!T$ufThqin`#jimW0f4Dt#s2I~2e=wZ+T zX8Z}TPwr?k)FiWD%ExG8>zptk*RFi~JdntHM?DXf8|u-QB!Hr{Wj&t0u5YkyG~CI7 zS8L_*m;f-*E<$5)@aaX2MHvy0#==|x6>mU)3HR{BW9&(}fk?s;L6UMKy)H21uv(mG zAXhh^d3cv+SM183F zmGZoMrDGZ)-urdQ1L|;|6?l((JgZ2L1jVdxT(icaJp1TspG|1cK*(O71bDxuYxhy1 z@dKsDKp+d3=o?_nqI1enhhHNxK`jarjzK^c`inZy;(#|sKYGVYB2jEn0&uayv{f@( z&r84O;XiCG{tSaxBI8xjCgJV??DTcbIeigYiffM zxdGt()Py(y_$jKtK-C~5w9K4CcI2WXskZBHohbuESP4GIx zvBWxU63eUhx?a5#i=>PO%2ZYLZao--A&-4uOfF+!bE*HzQg9DnC8xvZ+!ZF;B1TXH z!z+cj!hKn6eJYf~uXXH3?+bQG0yZb@SmUjoh-WDM#Y|n~pI{=Wq_rcqGVMNt#8YDO zDrv&P;~qYjaALACz%`>}vS^t^%^x7s@EK&w=@L~=sI9ugP;QE#BaM}a-))2J+;$YL z`Oj4I3y1BRkQX_?F={JW^0tQ@oG62gUq9cwQTncl(aJ6G93Ijqss#)f6rj|;`;rf5g2NAXuG-gNI{9f z4;m5VU$DhLo#{5#u%*u|>cUm*mJ=)&8Vdgw>28kDNoSD`^N9fiFh>nr4I4r7_|R#a zcG|MIlCd7izvno#7p3mt1+hA%;gcLu2;OT)>AP7Db=jB6zAUo1l=}>>A0~vw14?Oz zwUkQpGHEh?X7+oqh$wSskrd82%jZ{)$FT6H`Ui#}rRU{BooOZLAi81)cH1u2|kv^zftSNAlmax5wKsc}A<1_h&nY6d%x+7H&H`ysiNk z>PY+Tzn}fhstY^r4aRi2-|gQ`NU)^k{P4v)C&|}Gx`lR$*@pPnP%ibtubyuog4D+Y zo>)ABc*i>yN3$~gn zh@QnMe5|Wdu;W{4#IuAAmMk}y*{PgQ!AlwUW+m~{Bi~94g8qI3QlHjhxWXI||CSaj zcV1J|s`cFYxY_FPd~?z&b_Bp20P;XfKMC}pso@$z91K0RS5AFWYLQ9%-p+EWYPP^@ z^yAc*rJ=IialbYzne^U-c3CA2142ReLq+C-nQk`cVo?uP3e(2kG_?ss2xihn#9hkA-i&tAwX z&R4<6$UReujN)}%hd%xcX`Uo>GO_-8PO9oBprCPy6Cf2NXxo#0VbcRhddS4tX2dHQ z`>kex)occq*IhXkFow$HeP=#UTe=pL2o6+e3Ry?=wwmqb*Gc~oP&;jB-{;9KzEm-_ z{}*X3u^4#o3MM&}tbD(v%eq#NA$a}AU(WQQM4hFs(0fM6ytEx%xfgp=56zt9eIlKh zyN(yr_Ah7_is-xeZ@NUGb%_7~Gdfa)>ReM&zmM(2SGy@8K6gZS9m`}elG+Af_5w5_ z|KtyNmGE&4wKEyk!u@Ez9%8f?rjmrQt8ZVISL}seF{`x;?kYwhe23;p@6u07=FKGr;DMTWu@ z%>ojhp_M`Qt5+D2WE*x>g{tyTRK&_+3sWo2LVeJpD+??OuU^U7JDp zdG1vW)o|fn)xY7rFCXMoL0bs z;Z(}xsM0vUej__nS3!2_VTk=`i^sLK_Y;9q5gE6%=!wI%TV2J|YC4GE6AkJOq;O3@ zpAPO9yLWI=q$h>*wNZj+8~Dktf`j0MS~hfwp2|!eX8wk8%U-Y?pOhALv_?4b0K!5y zZQ2EFbWy)gjR z`M99~RmSK7y8iZB6z}v>I+HS-nx2RfT3_Ou2@!a4VKL=fO$`O6Binu zQ(Q@Pauq~^{OUjRFH0wp@+r8SFX!<+K5!0LL`Je2y)J#zVEouIzjp_!0pE4>Bg&wV>20E>NfF{WfS9sp z+`_cwkM)1#ACz#H$&^xCQLxdHHMy^aet7V|^6Pe4V z6p+#J0jc6DX&y60dR!>57~13#TUXIk==0Y*vA(nBUFN*T zXWMwWN75%6>OEBZGZ8`g0Ac4&T4_|t?jg<-W0cp(d?`sFRkccr<&nm*TAiVc@j{G` zlUD|1Iam&Oz<*K(sBpqa`4P+3A;(kqE5BQrw|=C116sk5qO2lRvtoiP5ugll#KD8 zLa%`6HmFJwih5Wa-7G{gUNH3e7pwzy7kM|HFVqct`MktH?N>Mo8qs6p$@bSoHmam zjfhfTUNy48;qD|W1Acq5&ZFFW$vB2L_vaN~XFz^kxDXyrhg{4UngdTBeftE~ef;Jg za)89|?(3VMlf&1;tD2pVn;q zIsvA%bY_^cA84w|SMY6SK!7m2Lq&Fg>e*F&QE2|op?JbSde>YACSopH9Sb#N1lB5< z#sl$=@N2nOlK}#=CqRmELTVscUBW$^_{fyDVOH{0fylwIw;WS(xS$p_C*z=op@)0F zXudJ59|Zd7Lp)}-@Z>uqC6WI_#c@!F&oDdwg+n^(u% znv_My?;_DwWuJd6+3K%L+PazaFOs6nm>zQq&(;0@^0D1Ipb(#V=fYk;!wXW;SnSZu zfRWoDA+P|zI~bPc5VgLStqDaYhlA?k<^;G}qVm>Hupy{)m_Mw?#~RAAq}r!!=I}68 z9jOV22WNv8K!ZcfMg|ymLZzA#kxH9^rsUd?3CAV~*4<=*S}GFm)Lg?2RjH0(mH6Hh zq?v&D5^AAes5=Y>?AnAZ!s}7jZ(F)RG`#4|)&Q$E11b!`yNsNFGg*u_=9 z#b78s#Xs|&I0*BKu|qg4RK8DDBw1H!wzneBINTJ0L6*uyU}EULQyrx(W`1K(pLFUb z>!DwWdvUImge42s()IyI(sHaA=Jjn6JH^PK2B#>>E;l(?zyXRAkx4jypy%Lv;btw| zgH0(uD0rL|$F=S8^-KZ3QZ&r3Vq~tz6}jV~GQKft*Eo?6-mz5v+n%hPwdfPIEa}QP z&J}Rs6%zS#ee-WAnv4jy!A3)&Q4rEfaFUX=B%sPxYj}9ob2>j$wH@Odrx95)VHb%A>bUA6axrkv>j0@3m1G4N@8;0_#Dt>i zI_uDZiLJ{-D?gn|{>XKJEFJ#I2$YO8RF$=Au>o=f1&ig)*tPmEXZYm+R3K(Z>22kr zA8P5Vbc?u{3Z<@{1brXYF*&2GX2@@p#^0^l=ijy~bldW_(aAg%3@o0z*{md^1>h@J z?>6r5j=TcSB8aNg&Y>cq?=j7ge$t`F)*-;?0k3$d%v_G zzO=LZ4jUD9!ujtxzY&lRhSK#+f+m7P(@6VTuv=7WI5O-7877#uP}aq+>bn%itRhw_ z?lEqfFLa_t;t`=@2~_v%j&x|ID`@#Ne)2k^>=w7k2-U4CcKcLv66V`OYtQ9JI{D}# z8MX;!?kY<36{VhCoOb$T$*G$a7$X3WR4jnIFod*}h0VuNm=orWbbB`1z=X;6v`ki1 zU>gE4QC)R7pObvyZO1ubf?^!mJ6!Tezmu_Ppb;{VCvNwsHk`6zI5^;lIi>&Xjo7aqWJDmtJI=py##y3^`N=gh1;P z{yS;gw1qFd0@v8FjoLD!N3WUSHay_(8m+<5rJ3g2&xL7gij^6wkDURg) z;-U+?8oGzw{+ia1r-IbuQFCo&`6`e0{vA~ovJfO2+eDengXWBc+OYP)=s{lQ1(($s zp<$mRyxlEYOjqGNQ(~h4ez^8$&*;|;O~!TEC|dLl9=b@xeozW{V^>}K@GUYaBd4#Wk7bM#km&`qCG1lmCj ztVGtTUKHqie4#x^y!=BI`{=s5y+lc+dj5IpPob#2l&9N$>?XwpS$t9Aev`jQ?d4Ny z5xj|;OoywHRPEkqRI_$r-CVGNK#iV{1;qn$F^$+QmrN7N<6x;E&Y>GrNMXUnxid3Q z!*O>g)+qsws5ZI!OPbbWsbGw_o4VuP3gqKx?Ohe+LuM16-X478Rq-;79aP1x;Q+ANB?g$&$u zl@O2Nn;gTmgq3M>UD4K2M@_@3kt;bza3I^-m*Qe*YEQP%y%ZA`x~tg$-rQ{Adh9Ke ziw&OxUn`q-;7{8l2vv+EX|o#P1YBR3O(l~I##X64V1)pCYI+iRwa8*z9yZQyr94k7hUO-X=Sb&lsA@(4< zpeSG|a%xoVu*_yseD;h^P0Pxh$K=O|h*nM%-%LPFP_lnGG@F z+7^V1y_yp{8B7oOYt~f;^$-%7^S->SvS#7>A%mElQ-~i_!%nq$KKf!%#;Uclc$$}2 z)&Hm{fEU;z_W06xOXbMaAebwB`#Y{)SN5gM9F#(>##KBW7MAJ0v%$QCx_wUB98My} zm>`6DGG@=?ukqdalZA_h7{7!OFD0fS;;~-3<*yM4zvkaIVB^SRxf<@7yJ1U{7**?~O z?ex*YT_FKOJU+$6Qd=@OB<1Xwb1zcFyac@#Y%*iQEC?N>iEp!z{m_cMThhpy@tIO(tguJ~xs^NQk;CsO!+;NVn1%PU1l#{G zBscJAAvr-B>4Aqp-ul6+*ylBUu5O~ALG|)BXmo1U%nB%*U(+!}gsC;px@aux2k0^X zs7||DlW3Fx^!-ixn@F03gz5iRcfO90+U}@Bq8Razo{w?+y6@Eh6dC4g<6-FM?Pjne z1cIpoHialbNc5sxf|@}!p6S7_g?7pyjKz}v>0Gbv~LzOT}BssJ_(wnj(Bo6P*yVy8yi~1x2aQZGb%7aoo@y zxZldso^<(;I?+KPe+7$eH2+2*h_jZeG|B;!6Pp{;7U3`Tred1Ii3*riV@ivY7+!&T zIGU4@cm+>d4w>;~6WN9 zB`v$E4^pE9c57|anqPxl+@lMg6>)E#YGN~4LZ;i`;oXzjK5Pb~kw>`qQjEIXCn=;k zvZPMGnlP-#lBjh?qTWZw3nj?ZRyt;3U7}7(6XBdTmCC%G!Zs7?OykpC7YO@2QjoUS zj=ckuk1y?vGMc2KaK_BDdoiwRZ$jbPzxqerNlXP6ajTMdEOLefO~f}_ zN=p)Aq!f&LSFaf^m8Fz7LC!^g_kUA3?qyGT^on(x^Dk>|fh$kCCVp?ru=GcoH#%`# zg}7gsHgo7B*}On=!<_Tu8x@ZbXv80jI&)?Ewd}qT&V45$?lYB#je^ovX7-#n1xCXKylC1S(r|KKAwF)j zsUWp*_)rVIwRk)iGRwQQF6TI!1^xGH&~Er=t`k-3&Lb7 zhimBo@?$|xpEyb&ZpZ^huv6-fTHY*ngjJ1XmE;g_ z9VtCeg578VpYUJ`_T?u8C4qMJ;v|GTYCN$sCP-1J<2pQ;Byd2IT6q1T*|u-8Xb?w( zZtdRR<VC`T=nJubtE`y6S_z<(|gm09``Ehi3J@# z5qFdbdkxG-y@tpMxF7m9g?l$1%av0juVkz@d`B0!-qfX12*IDG_H$h&L*c*96rmS0 zT9txI$#o~Ul$hWb&tQmTq1a9q91dApybo?RM7K${4+Q0=M^+T|MZ)C!G*!H>q9fC* z)sT8kUe5GB^ynyq`qf{m9d0K`m#Zh|*npj`gtM?D1*DQ3@-@9X?%cYHA1MOi2Ahe}3Y; zc&8PKFCnMeHFsz;03f7SCZ557L;Y6^A1UT-{fDoILJ5 zp?69ZT7hN&c#MQo1t92LC?Z2XhybwxIY$1C`K{x0$$i(n2E4BYH(mmrf?W z+tEv}Josb_yF+qPnllyX((c9hB%hHnZs)L-X}36w&Jt@1PK;x}Xr5?r%`7)5?iN|* z1+-NR#P(5AOMjh%7@z0G(m4trgK@w}@F|?6+>8YZyr-UmdSIGGs9js48V#g>xU1@f zpWQPL?-o|S+WJ|}<$CbF1|><8OVL$RxA~%#C*nsnS&X&5l^U5flnwQP{i#vx4tk_! zajJ)b7NJzL*2mByNO_HkH(;7&Zm+uX^U@_T9gUMBzdCiR;MewFt}3{{aNdjxDZ11U zdf&itw3GP+Zg(q%KjqmsosvJ%pDceVA_9hc)G7NsG(5HzEZ3(b3<)!S$|KsxMMw-^ zNVA7YP^JfU&Vri~P-P=xeeLtu_^Y3Ye)X2f2j-hip?27=yXniix(w zQ?uk!?&Z62Z|z37eI}nMFzxZk_LF~Zq7;}4_5iwk#x41--ILc7G9DlcaX@90ZC1Pc zTY=+Z&{Gp`_2ZdPm0JwUX@#nx5zg3&6z;9#S)U=4YK_fqJhvPH3-T5f8R^VrbXPiB zWtdRN<>ki*pAG$w7~0=Xb)C4)p5S_IdAlhO;ot!OG0q7+>o%a9E5Q>pe@<)W*qy9~ zEseJuZ6;9N18Do%u3zm!WxqQ**UxMeO%ZOhWtDyboyw20Gphgwwo!2J@f{Yl^x_45 zblOYOiz414NNKF$Ol+zV^x&%*+WFMTgBOD#+a$G#WI}?EtUoIT;%(~4izc}?=%5Ie zXh!A#h;wlTPg>y@rgUr?a&Wq|VRHEvC$7iOMEuTk((WQ*uw9N4I6K_^V8@uv*U5iF zhe9eIZ~Vm(qq=x`i8D*FcN_MKe<@}6cVq!_GQsqZUmV0b0xo}Nke@&UI$-Gk$k)vo z+NL%hq)_?w6Z&Nmg>T36mVuoK?lafNNRhR}W)4|>bv$zl27?I9@!dFCbZL6eZ; z9R>Zfab~-hRA(_<4vSiwK{BJNpY))Ma4W;_JJIHa;EsVqf&;L@v0Q(1QvxHbP4Y&HQxEQx=TvbtR-d-_%8GF zIGVFG(HTg!$rFR|v2794!TbCzAAWUfsUu(9FYO+Iw%4`5~?^j5=5qAdG;YqD>VH2peKYOZMLq2Ny5 z15xA+Ifrv25qRX@(Tcq^fiBAdpM1Uqtzb|?E+?N~$Afv}fbS7@SQwWqWOkO`>`TgW zf_W9wl{_EbW{!QBRjS+cj7rzdh`N1e4y!C~rRuG_#>I@Q)pvT_74i3g*efWtEI}8s zF!4@#`8GBvV%A5vhyv7gW6UNhDv=7PUAxH8;TZ*?fR?CwaWeap6wU$ps$EFTj6*aI z0z(o;`}^PS%6Pfh$W>U?hgpU;=<#$|ysqla?nj^`9={qAS<)NujG!9d{-Q6mYBVfI z?S=~iAH4>70*)DYOBer&9$L8BVu=Bwrs$grU0;_ctrH|MK0P7w$>EP1y^2=O>a#Ff zx1|n}Xvl0e5+Bxns)~lU!d!8u?i-?g9xm0!eQ6-hC8wdIpk_v2&1nL;A&sVnE9?kX z9T3_vE*_4PLK!*}CdvTOfDLj!n36co*Aq*gam=VD#wXR9SRF`W0W^9$1~|)KuQwrj z$K+#=A2~q3%5}-)Gz0!OB<8{h>6_^fHrnT>d#I!_osGd+IXK0F1NvG1Khv0TF&1G2 z(_LC(UgAnPM#~-9>fWGdC$(peD{mkuq2IHz%C$CdM?VblM)m?7&M=4KR952B5PFb*y-50Y zd^O8>mjVg!O5d)Q%CVBYJfELsbUNoj?k9**`ZjKH478tWt27%==KqoE3~A zp=ArHpFDI*hv|9EfmZCfZr_g-wSwp!dPY}z{=sK@zS`dw$xL9XQIxr_zpX}}0p?X{ zpgF02)(acE)N$}_#m6!bUd5Qi{{Zwf+LZwgd^)n?upa z;B^y2n&v?qLHNMLfS@=*x9adtkJxhWT`Z*xiCyfT80uiot5VJ-HzfCoEYn_E)S($g z^!${~XVAnhJJmqU`c?QeRR~t*JOuF$kFxoSNY zo*a4|MP2F`QR0R47hjKaNVz2;Qw86Pgz=yqPC^Fo&&i=7Vte0t7RIB}G4}Fmy!c34Y$kQnyG%!Ny|fo7!_$R52 zNXvst=Fu>C1+|CZe`>*Hul^H?{N2z2wZAus8$G)%KK_To+5UIo|8IF4tOTt8VbLo7 zKl3*J6JGsqdg^~(>%X4N|KGfgf3nD*|D)3Xi5d7WDS(yXzqJi{A)Z+g_Zr}e?mgsb z_7CY4X3rjn!GLIC;)UirRq;_9%gvfwLKdi|Q2ld=#`c)f8~v)@5cT$QFREe8?uaRf z7Z0reY}?Z~3D;F7q<*1#W`02FJJJ5_sIajoXXIw4Hqjn#RDTh;FK#%$XU0cPFV`}; zxByO@PTuWSy%_JM6q!$NKugBD*l!!#ecTSyLQz=w2r3AlAB^ z1t+4XN!<jrhhdTnOSNUY1ztz0Dir4Z@h_86DTKM8=^KZWhf&P)jo%xSQ<; ze!IdpN5CpYD}qkP6t-M4f0MPsP;f}1b%S8C3G^kfYiiQkO5C)Jto$1!YlO-qYdNEk zI4mV*d=l2~{q2t_T77wnm6zbXJIkpd!#zDI+`q_E#$E(*5@NKU_(c524CW5DOdV!) zwIsApJXGY@i|xlAr)b%~5eKI+WSN_$sGrMM`hg_bGRnK3QscfNk+*W#=sZg7Li&Ir zX&OdbCSggc|Nb*;zaGwaO}yIuA6~ov=$rrQ>i;Ryg^`8ze`6Pntc;BRUq`z9=l%Zg zv;oAVW0$@vY_8_jt zlTXH;8VC%ji~!T7@dGc@#UdxY3<`*%g0a!_yosewEksa~ggfAHg%HUkGiBfUGRFt%jV>+i*2;t0Ey!H-W8 zU`=fV7KHSmm@8l<`oC0-GrbY-L)4O;hEa#2|Ip3IXQvSZC4P&^VT?CyG&h{Su9 zR_WNrU>k&9Jkr+Xx)>+2;~7#!9n7ErQz$MmS`8r>vn}b%vCOx%0D+R_$}eyiBQ$)) zx3U~~Dj6)?fjs)2UJzMZ0O@TJFDd2hTlNX>(Z1{*TY7&7&rOft9!&kJUxVQa)P2E! zm{hbDmtu-nlkBJZx-Nj_9IR^AeMjOb|K!&B7`iA+$ENo+#ndB-+^19Hu5 zrYAoboKJf}>7r{e*J1M~CbxuH23l8+u7Dmr@<>HY%+NNIbmdqT-4?uTknXq*C!1=R zF7n~Uxu*^Ci_&nklFNS>EJUvAVmc-l}w|er2XS6Xyx?jka6D{e42Jvi|Otmm{vB{cAzc;|m= zqxy9eMx4J{L(Le{{Q9S3MHeay%5oF!gifDdPR3jNV*+mjsUu3ePX}_(v}iJw{GM=X z;*l8y5?D|Y*e*fb$j13Gw-Za><%YTj1eocv4R5)`&`E36C;%SOcdNoS^rI<#BqJhbkrjkA9vd#o(VjGXFC?vFb05~o~U%$j8y)rki{|+ zNjrWsRg=z_5l-^W0B?kFnbed`vg4u@P=ujs$)PUn((H`e4ZsFel)=1>mterz)lVx^;RC!>m>NS+jE;&GK3=uTJRM12t z4cwcjVo^fOK{l=l6$t!+;$0n(+K@z9e~!VCU)jC5M0>4waqglOmsMQk*zOf6PbDb< zF8(m2$RjEoncwj)O86%Lk3>c zn`6irHs|b2ZFC~ug*Vy2;0YyxX6M0jM!tgm6w)iO*nwQ*JOr<1SusEWzi|$9`;6GW zidUOeHmoQ}q>NRDV8~i|hm_)|e};1=%`A3Xz4Uqc>t-knmTc+cnjGi2t^#;~_|I9( z;p0ugFCA6F>Kg5l+KFxOO!~%kzTzhi383(cp48`Q9dVIVP?7#(!Q z3-z*t{boQI`ACQR7_&=?I{a-v<+?`Wno#=%sYEp(P8vHsZU%sfwppsuU6PZDd#~VP z0kImkcp!_4Il6ZApi2NDQ;xNmrO^`iI zt`Z>=MGXRPiY54io%h9Lxcru{^RuyOe9AWI1q7eIh;*ALp2r~n&N{nLw=+&P$Gjc+ zL4=+UbdF=g@Iwgdr9N~CB>s>Pmj&%Zi;+q}6ou@ppHUJ)5c7ExeZ*Z~T3S_&Sv6jR z#IO-oFh29md9$y2<+)_hAZL>oUj2#Pme_K$;Fflj+@XMV2P z9>J;y;&!Q=Y2F!SAZ)*~Yrak$6M|8;PwRqr1CpLUa2QQCnVlAY9kdcr$*BV~c3Va^ zu8|4;<*NW>obU6giSwf=fhyd3pz>H>#FmxZHPIl6W~---n4H3V>?v=xG}We=FsEy? zKgf@t1eB80|2||=_=XHlXr^GU7#)BAGgzV!R@l*LsGY6XBf_4Jxs2OO5@m0p(bZ*~ zZKeHtC6m{En~M)A1r10xr%9$it2IV1`A16da6Ch((TmkqJ!`sWFZwqRFcO(Le%$Qk zm7_d4A-sNBz$TU4pQn#NYL1!!eU7>0t~6HIhxUt2SAGLdsHus~DNX+LyI<7ZjR}SS z^iZp6RI5{^v$H<@FOf-=$ucZuw>JPAyd_@?*2YGDPi3aX64#Sh{#WD6g@UaHidkQQ z3oz6`r~8?$Np8_1nYDQ7swEaTcFvO%a+57aq8ISBmcfI63I&zVc{{1DX2}+_Mw`7P zy6+XQe%2l)oLcc4BjxX?H@Px1jL%$iTfaptC8@P;VY4}^>jN^jap!J<5mw=oWVV-T z4GL*6X5}Mrh89>$cjQoM-ku6J8LD1%^Dx}*Ip}2BHv_ zX2H~$wwrHqfkJ)4muT@|y|+?wKOZbtJhiGe!8N1Vky}}rf7tqCbbh8V9G?$}6zH@I z1~RTABGl5n(TMR2%JjRT>o{w>tS2`JCln|oXeA*f^BsWyHvr0@&A%P>Kn+sjJpJKEww|f z*;vxBJqRbffKvBBI5;MNA?DWigI7_E9lKzd>j28Ii5^l$%+-Rj109eM0NG;*)$yEz z`N=BmX=Oy}4r<5=1kfcIISx5Y9C+{*YN=0js#4Gm>Cg7Rq$My-R|%+SWqceL)cA;B ztW=o;){K<-mfL*vXdgKdq*tm7%F_I@T93<>ltCR@d^+s4PZ;{6labYR0ewn)vkEoO?pH!;XiG;~BF{m`NJdO@a0$GHeo* z3tmg88h~3RvyJAL_$kOxT3OIpyfGZSJR=(G;!T0qvWvqr{Q?eNZ85&ua`V#SD+_$N z!=Y(!wH$oom^a*T!c)C&KnDE~llw;D{JMF3N9xR9ELro+6ZampS(a_oC0MURkT7U8 zq+MRDaJ)AgEZA_C#oRez|hFI z7lf}-34}jq_a4}8qn6EPcwkTJX2CJk&sGD2(sBvalx&I=td2gRX<`aW^KB23j`6Hu3z_QBM5@cCJWh{d)kz zW2FeTUWGdPGSV(nTF4v`(!>U>@k9BFc$r99Ui~=mjhs+-YD7yc%d#sfVyYez>t}xslnbFv zJT{+=TaiU%K9ot0s{R@D*y?g7#V;4)u)qiG?n9^q-tYTIcUY>i0WgI@FjF6XX1!{y zbyl?W^eSdGweg2`4)xavjUY3sCkR2nkSy%?Fl72b$NWp4y#ho+(T^VjeohV4tw3fI zmBJb(tevpKhU02=vkiO_4kyC0v_z5BLRMu}MoVJz*(H~xZ}`FkwneUS-bHD+|?(TE>Wj;$cr=Xm8^!^|u{9`e_nN7=QZ_Z`5#<`w7;D3R`7Vn9_6n+-(QStyD9q~u>*Rg z@C318@n+*Wf7Y)@L`}mJnqi7r5-S#8k%#B#%>e0fy~x< zUvvh8?rgc9DAdkxVS&oSB}nik!I}@`B-E~f0}rdb2eZrKx)!y?tJcBt5(U#npI;oG z5;8aDEsqRx5)wNWUFRdQy9$`SjX1nDCppWb0HC~rM$2En^VY#Z4ZHvJL za1u@pe{VYRUCP%2LTBe@!0YJS4R36M+>Oytjb|B!z688!`>jR)H@Y3*d}PAt`oh>z zkK~oK=62IzK1={$_0&@E1<7Z4B-Co&)G(0w!t-kZj;DceuoRVsK}|CYKp>LPXN+wm zsLVaIr#HlVOJcO^ef8KhzJ$JeU)V|08%b!BucKE}SYqH*tctzKI%9R;J=w^DvOfN< z&c19_m?8Syv7D?hwoRf5XULe{*gI0Aa7g4sB1%-1_~F{@%=u0M?)2>$6|lEP0+OA6 zK11&I&IfZ~O9?Ru8tSP#8z@#@-m?c1GWc?z`4;DV2Hvntd-=of>0o(luEea6zLRgO zQR?XMH(^-o?_9EC;Bs`~x2lDDRE84pEi`V46k=Q;U}GeM`7ke*j6E7>g)Z8T$%J$c0K=pQ?nB!;U}D9unX2gQ<)i6cHs zuB{UKT>yp0?1OC*ymlGAk}M}j-z$#8{=oHnjL|i6KAi_06*eMRjbD`d(`nO!of|*o zy5AaoW3?1mwo;RKQlLbuTwK>3iVV`HxI6}sRX8yQL~#QSwMx96Fd`)2NrvNCRl`{* zh8#MfIPK~aGOxfvLb1tZgs-WsR9}VArn3EdLr1B>QU#qzsqFxQqY*sp(V2`(GD}E$ z_4LwsMs|6pl&Iy0ai0An5Ui|0h}5oDXI8?}z%#bHe6nebaAG}6cJe-A(rKeMf8rC& z&L)^!IinI)CI?@NE)ESSIxF^U~rsC|$e9l0Dj&--3-99^sSA;qP_V140mD-rY-OM%@TdvL z&;~h#mFHQ8EooneJ>X*`$Y@wD6+-`-WUdZSkO7>Ll|$gaIK;p}%loU^oiW}Cv}}$P zTsuCzy-c353u8F$q^I`PJK$9>9$SI6}dzP-f1<`Wk}MNT zm(gFl7CJAPed=ggIYDb}I^F4<3%g%iwEt~b>%bM=*geL>Q^WA~md4P3mUvoRlju$;-GxVRBC9UAfs%@A&Bbbndhn=R9U!otY6bvuJJ4yuC z@wzny9IiIcOu&1#Ih-wv@U6;kg&1Uxn(F-F!&Co*CLbRY#C1|09J|NfrydbvJE$bN`hDX$9P)(%0B57-nt4^?X`hZS(&5a- zj52Ff2rVf+EdwPr;|$t>Fzr4Uzb!3#r;jgTqwfC1nSRW7gv@hNY^qM}ldhmTa5qEP zC=-stA7Y+z9v}AU&A_;Gg=;+YclOGNf7Qj#I^h$TdyF^G+Au1i9z|;2s&#o4OFAcn zKtzl>;#d7&&3#o=TwAj)5;O!08VK&%G)+U}?(Q1g-3cxU?(Po3-Q6`nAh>IA2m}pw z$=>&zvv=~3JH{R3KHT-zUsuhl`OTX1p;paWDEdj&98+bLXo)v$CGtJM1NE@-l9+hl zbSZ(G22|eK7B=%QD7T+_M{p)-2b>js!#(@Y)cBH$*IL_~xV=X-BBNY49W`YUPPk=Y|5} z<$DrXC&K`-~Hq2=f>g?1F*q^?Nq9}ZBf>bh?$ zR<+{m^WJnE28RbBjX4;6Ci>X#p=p(}NqILL{Wi=!Qyf8n1eW2RZ zUuJxD*WM`AJXi0${)D4Wj zqUk)65kAD5UeYDGhoVi>++>;H1nHA&v_=X$X7b+>-37ztsip2d*7API4r(_>A=B*Z6Bn=c5K9hiu{L z^u_1z-ltYmtDkGrz)P2s+2QvPdJ43^I2$9XFja=;!EF~lI=T3sZ52txMov~Z*|=B~ zgE^SwW1v;RYoU&rncum{ zWM1h`Te7()X!Eb^^`o7>X#Bz0$aqw4%rnBtsM#Oi_$@p3^#UVFK`$qY{^Cc;H<+*K z@y)49==iqMm498A*xy`NJO=i@4GC9~0NBa^ZPB z9Jd4Gp2B0}J>^ju^vO59+vZj=3~c!XQoWIMW$lAK z#rlVSP6+0pI2R}DI0(^u^OH*H8rdCCiOEVNqk+9h(?6%W*YABtE4onf6-54-GHACt zEG9y+x)6E7b!+yD-#~JI<>faSP?uZXmYFl1Xx91U+mW^uagND16dRD`n>DioQMuDS zgu-!i-qY4Q*R$d!;h^!QNOX7{;a3|W98tBMqPqPl_Cs6(Cx@x(%4@YPH9^S{U&WPaOy4#YL>zZJ z+=iJSTG5x(ao_uQxPP%NP6?Hk!>8L1?NzU}5DeD^sZ=otV_|)ukZIS9##C|4W>P4g zbyK4!U(n$h4M+=Pq-rYa#YH_MUupQHG)NRD%IoN@8k8b*qFt%e*W*8L<`mGwDLv05 zEa>*g3Q*W{b&_?nO=}7S2bO5ipDVGy5KQ~rUAg#KAip)loPqu5R>iTVLfz|_Nxyxv z!^&yv7DAIL$@i#noG<2VLrR{y9n>6J_FWaAZRf7`9Tc3Cp|jC1__lzjrtha)$rb4a zwF96sd9fDvDCINK4~@DA$v7^osZxW>@jl9NErL6fq!u4`Xr+cu6Hdj3*K!x^BO>k> zFq}9d9*s^puz6?f2lttjvF+q3a~M{v?Q|tt8PadIP zA+y6PKJpTh#k8zHG!3Y}>2Z4YnqIjoMh|bz_G}@29Y*lQXl>K=2p%oNWL(*JI@6`y zl!WQ#uqII^WAj4cAwU0-M#3u|6w+_FX#*;(##;k76zP#z2fPE#YGg9;-eU9twFAp; zWW9sOZp)8QpVIq1&nId9M!s_z@EfFZ8ovdZR$(JHf;VkwC9pFz!PswV?T)GfvG5fj z47$Xzl$uERa^%$pz1V>SJzB2?`n8>+!Qj1vlr`UakB^{`a4p5* zSoU!u(AjD=ufKM2gy?N4-<{9>w46r;U4mfC`I|^RYEuyhi{F=JvbSx=R%~~q+X*#e z-RaRDrPfANzZS}~&ouPBfN6@s^6fZ+UMKdChrWtXusM_#xppq)QF*@AB)_a{GhdrH z@%{ZZx29>1pqzh6Qgp#f@~KbLS&@QnovSlSayl=*j?^Er=3W=>z}lfjR;T|)gPoBsy}Db2SN7Cs+WziR5O6Y$n}PX3-6rX> z72TPCfVTo_HDZwh3B6qnp=t&WePUu%wP{tu-nwCW`34ir`{KNGm|fMk&@My=n6DUw>TWK&-0YLNFjrFcCheH+?<&g+DjCdDNb>u`;W0gvhSK23RG%v0q2Y zTd=%CZwuw|N&2CDlvh;>1=&S=Fa%R~L^9cqgeHx|9|@kD5Bc&8m=oe}rfTYTz^ zb|s@@KUB*@KWg#H4BxfDyH9wc(_U>vF9o=2^(zo02tMUE_ zjO4hv?5bC{p@Yic`D{C@6*Um7Efu8qqrJ_S)ANNQrb3@UTdvjeeN7W6N#zcK!3FQ) zs(!v9jhxGVX?OQ6y?VNhbDh@%`bipA*AsW;gO%tz?0K+WQ4kHR~ zyjyFZap%CPEA-oKL0Fh-$};?)GjnbBW)TgqGbK~R>u3@ezY1xHzZ2!eTPm&Fp-}jG zPfWvWPe8uF&B(jmz2h&itIub!*t?vQQH$Ouwf=CZMuvQMfB>(xwq7Aec&(1NMhDgx zAKADFLEh?Jnxog8Wpc<p+v({!Qnh9S>)J3s>UamQiHX zTy<({$f=Ivu`X#m@3Kq@`tw%;gWcCZFyxaX+Zkrb3}29&J+$k@ISLgK=fU8MNupbG zNDyyV> zW%jdi6Yn#WcAMI&u3ROj;0XiDI^6oqc@~OVP>xqs1*Mzh+;ak~WO#WmUQ)bVtjI+% zy-WvN#Ys+T1v_);+x1ph@0BLWfS@=^TT%JPvwR%dO)b~k8F?qW=?@DWqlC`x5TIsP zZU>R!pn5VYuEDZO#}k#g10N|T`t;O=?OAg#g~+*;=k&UkHMb{2P1a*k32e*yf?_y% zEi{8eIh(bg;81d5_SQDo^f1%nz1pic`m*8__rwy852ew*JCStK?valr^EM%zdq3zT zg{mvqjBaL+R)pa{%+zD2OzS-9FUDZI*g9`fI#Awz9^txNy*n8U%i&XalDlQLtU^f) zMzzyO^(;A?_7O-4TtA$s0^Oa!yHH1rMoS1vF($`aIts~w4=+q)Ry-?Wi+D}4-ystQ zFD~5C#3dd2D&;U}P^^r{2onou^5j|}AZ)@N=MB*AK~j~HQ#oHC>Y4?OHZD2B@8Zjv zYp1{MEp(?s$jT7~kzVWE$~$VP6GYSDS5 zb!S1yVtm$b)ND8DJw1ZQ+eB>C=<+&!?V}|7<-N|~^#s;Hfq+TqZO+mF>;rXZ>C>@| zUGB$rlxo8s4Vri2Dmht^rvsDHQw>o?(cH|%W2*GR_<6(jpA88n%FAjQ9`O4K<`Fx` zc_a(4d~#~3`JOOhzwuaQtgFOh70>L}%B&wveF?UBbiMpqr`%m+S)~z{`H5n}jZuE< zoJ_fNt6(aSWLmU#hnr@g%DzAvcZqvNA310TzFqL8qCWK7zzI@afv(nyL3@}T6F5Ay z2%{aJzLqH?j*dKXi^;2)yZy6TSWIBau!ynX6DbsKj|y8X{@bF*kH$w*t$~Kg5>9eq zBU3;5hPQ`iLZc)G?5Yk(-O`h16c1n5c{+a4Xs(ozOBCoe6=Gb9kCm~MBgeGt@x&;a z`%vmfkxZ*g>1l*}x>*=Fzz>cRCy(>QNDZn)^iAWNsLRcg!R+qE^h#Jm+rgF0k(`#3 z1vxOgRj|zaWfm_7H0x~YBfT1HNevVDrb||kI7`@vtC`S2WFGypa=9J0OmRRbH&*bq z23m|LrX!49j}HB#E7dNy1xvRZH3?k`7VBb}>+BTS5DvVZW)F=z>RM8X<-wL0eU zjHIIL&*DrtcqZ(cksO1BpA-A@cXeK8)N4*-)md-XlP7%fP-LNC$X7n) z%T}%C+N0KkVn6KT@B~4lr4-`uN((B=S|M(mbHdF{6EzaT9HV?U7beRpDT740YPM1F z^a%8|Z2ipEEz*>fp!1?bjn4bZOSWknVf_B;TF~NLDfP3yxr%wO>**lQkt3IwcBd8L za8AJGQ$nYVV$jZ+iS*dONAV(foDxI$^=|nj!f!xS@Czn8%hgsEu5)>mjKQjt_uT5k zD|w(#(ECyY@}p9h-nc&p$l)=iIbm8BJz^c^#LMgY9f=T-u!Z>B##ms8Ha|t?54*Cd z8b5@>SnY({h_@q}@=(kaEyH{`dx8s&A~oj8=(#PHW|TyIHRlR|it8nPFEmv?^7ZMn zMsPzetGNc?$!Tcg2ggQ0rZt=1s#*YrC(MnXdgnK<5hqm6TPjz=_j%d+H!p0`9jkAU zHUh}I_jyM$kr-FPnEpd)?5_&&|GQHBKEZ`9N}bKNyVvm8E@sgcGX4|e$K=mnsT54w z$U}~WsdlRizQCu~t9D;Gf=Wd8p+LvpA=q||?^J#l6?xT2-a^%`pnrwMMhHCIt8(ZZQGUmAnD4P)5ESTE7k*?!rmSEmjl zXMX-#D7IJnh>`_cU%pS08@W5;2+|B)oaDENq9=-e?($~Ye-z|iBSb6`?vuahPX2S^ zV8DM*{Qp%cK9~f|@?Qn&$jmavM&|m0wr*O#46#AjNH{=19b{&CeFtM3Clc_lD-epv z%tE#{PS3v`Nx;CrfajuLV;iGi3-Z@k|38-E|GH`Lf2h9)aj<~?r*zqk4QX1ugs-PQ z(95L>s$G-FX?9NDOQ2txef>*V!GJ0klMcvVp^H4#u2hY=#nw9eJ)S-A-g)*dIYQH7 z<23}OD_h9dXsa9sasiF|-cNjr=Lc=AwBx&4$8{Vy$IE>xGB|3|OOG1ZYwni;Kf}h_ zNQHV#U;3ewQ?nuw0}==#st81u9Z_3fP999*_*N*9_u9ORegWdkD#C zrIZ7(2R~0_GlLoX=KaWP;PnyjQh}u%7nX{zgqn28(}ln3wIOr_bn19~SaofpuCCzy ziC%_-a(Y4I4?DEqm2VH_aF2rO^^#!jY{5a-%lEW4=yTtI=9cK4)+V0H?uOSS_QUxK z=1e@BVI&C}Bf6cqxz)~{K&S?&Es0re8dkDe$M$=r=#0Jn7bJ~{#E&f<`sC!kqmTFD zNC&=8C*5Xu++-f|Oj`N-caHjRXF_jm<}|3pSYuEow&)Po-R$b*-^_HKBUIf{i53G@ zAp|7*w!1hOJ2Z@>w)b(=Nvq0G0lbg{rlZ3>YD%gM&6zmKF3^Xe-{y%e?3bhcyjSBG;a7d`+ZqFPj9er6M zzK5NxbFAxW7%-pevqY`LYUZ6CpO25)?YD8GeGSD{E8Ed_L_S}3yF2UdXd#+?#BXxw z`%-Pt!O*aQ#%t$0yInyMcIrN1v}8${7zgY_F^}PDd{^eokx@_LMx1|ELm#CAO58a~ zv5ozJ{rRw@^iXVBC;lr{oOdjpyQ?hDG)FlBpH7>d@i14RHdHU19P&0clqX52A2!hi znHV|;BNq~0DPMm%K1#N_+@nm9XdZdRWi(Uh>x(9)mF^evt=&Bl%RSLkWrTN)j2#hbY@v6QGOHC+!)GmgPqSaPR(SBCr9R8S zqwG-f|G-W*nvWI+Eml5`qzgt0uNX(pm?rx5)GJwP$@JiNi^oBzOG}5`pHuSos?eMl^lwmy$>8QYv0AneOs#rDZt4B zA3SfYgc*iMZp>l1dA4PDYaLg<@2+`dk6Bh**{zo3(c#5)& z@cdAdCB}ahcc3l8E~z8$<~D?+WYpO13K^YpHC7^svc$!ZwwJ>LvFsDUMtxnHaHXu? z9K*#nkHB7uXMm3eQ|=feV?GuhHKP1`V!{-Lsi__3R*;Ybka`SE0OHtEEx+N?72RVH zEyh)lsTvsQzFR8r!7Cv@^_`b3s}E>yp`hQGDVttaQ?@<8M zAqET))!J*=)GqDwsN+xK5PO%wQP+MQ$BFS!%i$=|mbjo?d86=7X#h%CDef?Z>I-`K zZK~BEtrOt8fAOvW_j5Be8q_zy66iz#?iXARk!h)B_%^mA7l!?L;h^-sZ?~Ou>&y2t zZMxRz({ChHOcHWma)g@?_O)47Ekz|Xe;=pr?OuX@@Gp~PTxBwONqFI;1^qsr9Keo) zAfO5l`A}?tvKvg>bGuO@o)Dt1mvJkBm_c>j7!iU$ciqPdlz_7q%*;VoulMp@k7-w5 zV>3rC>I_>(*$o1{Z@sr=#})T?-KQ!rIG8k>i)cDaK=U27EQG~d!@M;-sfHK89y#;cV{SX17P&X~d%J}udpi%0|T({k9DJ%+@+ zHX}ZrxlIG44Itu4UIS#>`v~T&v(Lwzi^^YLPDHL4f3DVhArt$;JJNnsK+u${#A0*l zo69$9H%D{$(`p!n0X{iQtf2xYtxmB>A_tP}G09pqVn>~xvG4)5Y14Kuw1zKeM4azG z*P?pC6E8_qUD<7^gMMOIJ@Vz974P#m^1_$z7uS9O~{E>_rmGt8olvu73Q3 zj7%J&{<4Ho%N_-TE zuM;(H#$aaKa1K&9%vQ>cw^GQ+s zr>iwodK_n_~5W8y5mez;-O&Fqx~ zEk9!RnGEgT)g;$&F_Tb(ES8p4)RcxMd4Puf2CVEL|R zWr#VDfacl^$hLBA>*_nl78s@wr5c^yf{$BHfu z!mxiVRM^CDO>fu7c~p&Ibz#^0f?UM2GHfT&!}B4Lh_n@JdM7Z4LCOjNk!DdAwqH&A zX-vGx)>ON8;w!ha1wy#cN|e|vZf>n3M2z1}+O2Hv4)E?g5#X(`Jaib^O!hXUJLIy?w!i+mDn#!CceRVQybcqV-;&)R4(w39 zj4D&E0F6(?x}CUDOCgmrj)EoL8)Wwh(oP$i+VLVE?p*7H{-0sr%h_*iN~Nf4JoI%B zrSeQfwiF%gx#&V(G4yacfxcb4cr0l0(dwcM_SD*ej&@%SpfeOPNHS3bnu8&7PZy8X z_E&F8Z!)uII#(b?jyDVN6Lacqin9sv`X^A=+tzBWWba6a5EOcfH0Vp%M!;RV3A{eOXD`lrg)TDQ2SQ}hAPrwrJ zQC{Y2Gg+@bKMumKKY=lz(`Vu-$tJwtIC)jlx9`k8=DoP(>qbCPr0p(c8%@DQ(z~Pp2phSt@st#_d2xvM7gOvwun9o z>_Z2sBkBnj?@CUJdWmqUA84L_;BjgQ$~A1)Dy11otN4lLGT-aY#Se4KS8=Y&@sEzf z6_^R3nY@U|2_~5d@Z(`1CQ9TWSt~M;q6}Dk8*Jz}5yK>tq4i>jW?NC-{1G!+*`G+q ztvw(Z{#ZuTXMN8D^~+&H?%+j!jT+2+oIW**&FX33w^E^H-3GAgYQKTdyrOJG2+u}j zjQx$=f<PU(XlL29|xuOL>It2(t0B z<~)eH{RnDSeL^06lcxHVRE7l)5f1j)qp8DX7Q=(EU;3x5NC)D!XTA!F&Uwm08l}3w zTvmWBaq=B;oYH&oHgE{UvNmHDar%J~*JxaEQ1u-}2a9R!2a~Yv@^oqYcagl;>6fZ+ zH>}^?we0I0Hk%C^zOM|B4c&1<@oDL_bM7G?5FbKY+F!H}Ww{x06qSU~IW^3h_z3{I z9F6s3o)4TIX&E6QwVHJyy(Sn(OtE|6=k6=$9lR!+$09!sNL;lWoL+E;y+gyn;an*( z9+cK>w|VgAO^TuXCi?m`o@JYGn-9c760&8ZX+k7kRl(?*t7ri2k!9~KU?CiPN^q9H zWx~*b=A)4ppc~0aP|oZ#;H0MQ#H(#jL)_}l`Ng^P6d&n>X!r_uR+{_3;$!9tmrrmc z%t<_v*eIPEe$71SVUFzRdww7jVOs zNC=}o3!OxwR=2wz8S?Jux@li{^Y@LF%N@5jNy}}?N#;wt(u^Ltw+<8*=7d1ja}Ir* z2}1^i8&K1hgsJvbeCqSz7jI#ONGDfrUQJHk9eWFWz!=!z-`wn>9_6f7Wg%m7V14*1 zr3n4{ty0<*tMa6GBpSoqL{91PZ7#g+e#(C8f^)mP3fxoVTKI5S(Ti0Z=o@$Sn$-L^ z=or!sW>{<=Nfh1_+rGgqw6upzrI3}uSU&Kw85F|^0L(iBj0JN0q8~sHG2yFN0vbh? z4-O6Zxb)FoE=+ipd>G_o5(K?%IwGp#UJm}P{tt0!pE$-Esww2In_7YlPH*>2zbbLy zr}?WFJ9XikR0G!!P91n|Y9_APnFUtRS-M`XCU+IXBt24neD9-<7`2wwaKn_><=qNZ z!pGy9Ktpm6GB>rRgDW~k-np({w4Au6T43{X$c$iPE|JPM+myL@205@gOg&B{6LCsX zLE^h*a&1q~aCLPje#MBR-B5Y6a>NYOyU?aqFYAy1g2a(Q9X;p|e(-OCG#qk1={^a+ zDsdgB#pc9#k1vNB^C;~dwOqfgwvTczS8gg#kb=%{5yq}X!-ldYYtwhgOJlx(RQNOI zL&>Mrrfep5i=}V($4qDV(CKNX)y`+TN3huLf?-DHPiM#x=&V*>_iiiD(U}aWCp;J( z25MsMm%uWl5&mU+KZ=k;hxA^-UEq2JRSsNhD0T5LY;0nplMP}9>D147^s4LWbTNFm zI%2ZQm5q1_kKfMauqp{Xeihlnq$3idPJx1EAej$$%}1KZ?Ao4pli`NjaDB4pGgHw5H2P$Ta@)5d$xO8pZ7S-5(o` z8LZj#K0n&0dMdMb?I3AgdM-V)S-KN28lH*zp@WdJj-MtvnF%t*^=EO;RmNd9Mdj2@ zgaj*b%dF!42Oiou8ht(ZlYL>+LC+A#L@Cvw4HhiT0dNJe&dT`mtKX#Pj7oc|GBtpMdC>kyZlvU zAXPjZ5tLjpl=?yj`uoHyhGb4tJZ_u8EtjBuhBW&0v)M2?t>^EsuL&J&Pa#WWUqGV* zw={x$Zue?L-lM%0m+s1Ud}ls>agHOT_?evRWe1a!#H%TLk&Zp<+xj;MS@B87lR9D0+cZ^7>_!NkH8a(&r_*M?blb89;e)@9~0A*zz=h!A@3g zxKO^PPY6c+EYc_aCa>A%c(HCMB@-<}d3{_dXwI|n4zD4P_EpmNlO@FPfJA7&u;SWJ zM=OzU9(AG{&iyYVznaTs7IOvQe{jQqUk60ZgucV3&M>{40&>@bwV zWc7^XDqAv0g3^&-9X+b8eLriED73#BK6x)HkYd}f9l?R94vy{1! zqZTq4^jB8%?`)^eKa!ij@P8&Z|D_H1?=0yrBZ~ifEcRcCR7K>!Qmn{-^*ljl`8#RK z@~f?iA~NuAW5B=vWBps4^{*k2S^pCGrw9M&LGy?I?EldL*1x-@fc`cL`a6RQ`l}}i zGTYy7vHk7IU&&>~e_H#W#xKmu=1x||Bs8*aZF`4#E{8-5`6iR}=j?0`uC&O^0?tlm zwhkmTjIf`!lICHa5g`X-eJ68U8)1E?XDuF=fwa>yhYZKk5!0_sSG){QZl#lWHqUbM z4z@o!7(3{h|9@gE02WrjGcW(fAVAVc0Kaz3zkG%OSlIu-KrEo&{eys5pWk8prVCT1_S^Bpx-!n#(*5Z#l|xR`nB!;Io|W4v2y%o_Zb5N|FFTv&hZDv!Os3$i~|7> z0Pv6TAS@ieaRp>yW#RZ;mxUF?`llQlod{6aqv9a z@B0V{1OZw8kmFzl|F*u*EQ5a?qyOR*_{_^6auD$Edke_Q4&eAL?t#zC0sMWwY#_kz zu?A!V0e>CQ|FX*lg0TJWGnftX`yK>>!9dXOx?u1hdl1OZ0{X*eb{6m->&DK){`-0G ztjodvTZ}(r5RQLfP7eC!R>ls<+}y~_O6Kmyzs}RY-hk;lIQ@0PvVZ}|l$0WJqR9UX D)H6Df literal 0 HcmV?d00001 diff --git a/Document/Datasheet/DS3231.pdf b/Document/Datasheet/DS3231.pdf new file mode 100644 index 0000000000000000000000000000000000000000..4b51d64bcc2162f4b5805a3960af0968d20fa6a8 GIT binary patch literal 843828 zcmce;1zc23_W*2RfRunBk}4> zAsv$HcNfG!pZ9s+|L^-gmbv#%+?g|H&diB9bD89YMLEEnFhZvB_w8MT_%Ij}&cMZh z)iWjJgF&FkgXLgw#2@A0ToCZVa&QDUC!u4kw4Dkod2n3Alk9QH= zsDo+TP&j~S+~3dsa>EdRz|0LtLjNd-g8z|*gmC`>3knYTqrWHw{0~@A+^B5(@jHZ%8=o;243CNbnzNC@$n5?V_N6 z&^Q={gdA)G!i7K`#0vzNp$FT5z!0#5Z9w2$fAkGV<389o2ps$ePT^dzKgI(Nz;Y1J zNGRfu`j9}^4)y~H1(uQD#|X@YLZA-N0YGZtgL4`Th5-Ku-i2`?AqVS&;fU>EIWXcN zJ>$sgApL<602v&hD=-QMMjoII;Ke`a4}gvfdH_BM7$DsP@BwLvKkGw+56)i*Kx+rb z4OoSt2XV#41qH(nz{kb4yDa~hzqZzB6LX9;AwICsD*y@;?TFA6Lq07gKdC?o<7;erVw1>i6tq$pAt!p#jw2}1aJA#k`b3<4Gr z1&cyOgkTT>U?D+45JFHCw=hBgj^x({$y;OWci9Du8+1bvW2j_n!GMDoU>5i`wrFeH zJq`iz1|}vEIZ;CVecti+JHSiexCy<_wS*XenFay)6Bvm=fJ9BqZ86p$QFF8{Mi`@y zHNb!*7{Dkv3?z-QG_o~j07Fo4fTw|xa4wJ%z_s8IfSG~0k#K%~8(V7(+JX?@csM}? zYfgrt@<=K-TX25%^yWYaBLQQtNX1Q;FE+iQs!`JK@;gFgQZWn{7;J+pkG@`VASdYy z_YjR^6y&-@Rq06|JbL0z-D{FnAHfUEy5pAxVS(V-lv8*39XQNwTst$LSIljHO&VF* z=3CgldD!zX$(GlN@bapo7sn1?K3U}@|M5_h&}sd4p6ArDTPr=w{`Ke2Z=P48{#>Db zZR}N9&<2avSJiJ-S-NBs7uVw2%9XV8p2Z-J9k#rwZErtWG^X@oA$ib|rHA+%TZQ+H z$z|m8jJi8E)`32Gd1%MR%jHH!f=?Y`B&RNu-Oi4)=ub&H`#PbkR^@sU&*PBh6Cv`v z56D`Sk7|gy%#sGgoD>R>W>3MhI}(S6cSg(LE5>Y8RN7cVu{9M*JV#I~$M9?QISd8m z?20bekoH`36lwclKwFf{1uwRX_=d-?@9Ta4TmX};ciOmx`aQCQ2NN1wO%XAal|{y5 zL0>V=pBx{H*>4B9+ytk`KRqT;?0AJrev^h~MOr-r>ZQ4C+%3MfG@h?XDy=ziF517Ep}4*cF$O5h)xw zBl=!6K)C7b5NmSzo4Cu&GR#(T*w8)>4d|JUj1xkl%7mYmJ{#!S7sg4yus(p!uzhh)N- zc#z}^*f=9JHiD&Q&f5CyR`Ev;_a-a(g%^_if^|L`3=|om)3@#+Dr+wz;&`ii!>yt5 zLMB%+od21RUD-+T3hd&Wmjzk3IpXg=a-%v=Wi=q zAuk~c6<$+i^V7@fh8jjcout!iK9sA#8X`@SIN7LZvf4dbSDUsof4_W0=;Rv6K&*@`Y_TQbVlKOuL7+3EloK? z<;$${{V}piTa`_k1awT=R09T(>*%$Y$Om2utepvMpM!@<0>7eJKMUb1bDzg-X<}f$NfEG^iwOhsX#Yyu;)*UVl8W9RVRwqH%4-?fK(tkJN55}u`%|{V7%06o-Jm{Y}dTjU= z)5dvDBbpnpD;N1W>qHi56Y9TMojE^xd11r~@8Q&Vpw&5-F{=EuNgB72(=1cI&#mZQ z*3-(D@CSP)kJS%SIv#mEdYBjA&G9x*A)WqWeM@@y;kl)6EIK|>5CwtmWKAaACUS}FneC?Wd(Wdj-I==Jp!!=k4b!&x? z{%K#Ql|(#k@^0x8i)1^ycp}l97>9RV&?JQ6pCDfP zQD*PC()q~gL(+cI0)OlI9q>k0FDhY))-5D3=a3gCe|2zTNA7$NE)KZ5B%+`W8WM84z@7H z#unEYZVZ89zZAj&`@N8WwXGo5QIm@kXd4I!Fa)@AqkyY6NYEUEG5E(^A*`h>#?sbC z69(r3Bq;_(K-S>eMFZ|(x4*yxP66D_!bz9A4||;lvLJx$wwJP-r416Y##;Sjb20#x zq5m-#AWHxiptHMmf)p_}SUc-IYyp&twN|o1>tk?as{{}s45xtth!#=;<08AS0HZ15 zXe*{<3rG||IkCNRd!s70S8f+d9EAfN$5jQ<0qO!&&AqxHIzVM`u3cn7;2a1dA!KSPX!$tN0P*#THBKx%=fCyyw9RM16YplK! z##R#qDE%O1jH4}16vJ9!tZhwpiA6>T2PJ$LN}%`+IXzQ=cmViCEpQn)g$qX=K$apF z*Lem&VA`e2J(Suopg_vTb{2YoJ>YxatW7NbaU6DO7f0p4(Hjo%@AM{!w!z>K2vU(% zSCbTA6RDa`qT&J+w7Q#1fF`Oe~E+Y9^KfmNq6o;-V(jHnu{>Xln*2 zWOo<<64$pjvBE7r0PgqDD2@JJ2FVRlveUE0Ei5>&z#wI;ilqroSj3I`cVyynci~Yp zF|akZ(S&g0Q2uW}I2?zzz3bo0A`m!o;Rf_OT-b}@O8%@L35D+Ee{XTW90H7^4K4;0 z4EBqq1%Mcrco2)qQc@Z!LVH-`5X71r$SD6`(T6jc0F&Ye`oLh+Py7Mv-R{u-hQd9{ z-%bDTP`KCmy(mDZ2x<*TUWv2OX~5-Z1Z7aXI@#h`S?!DUK_%AGjExKnkwx9^AMmZf@l71BpZQ z!GRRg&`?s8+8fCK^BBTVdsFo17$U*{GKPC9;XjVy&t3yP14iO|uYj?@^&S_)-Ejd| z^1lf`$^&)a#&myN_s8JZQQaLSpl)2+ZX3W9$Cbmib8u_{EdLnM-S@svJ7609hwDSvMYdW# zyiVe~z*|cbDRk`ie3GzWG|kn!u6^`*4)lj=`htp%!|F~{evwsBP}R5;PYfQ?Rxw?k zbALv^)&F7SeIH%*tn8PLS}R)YQ^I+wwlCp%lD%tlJ4;17&teRlmX%#gbtbL)i8H}D zW-;HyL62=J%Kc@y>1y<&J%Y3YzF654SC;sT#n4-JtzOou<|?nw>&+*~?4FW>x><0( z`XJTc^-^kKd-H3Q>DR;!r(|!om`RG-B7MqyrLNn3rzgXEM9SXgyUX?R5D2Z0xNzLC z=Pj;`kL_V1q7*4(8jg52%Dt>P9%#gxkfl07QFNp%{Urqi{fwNt-@t{{KPc6GW!UL&WL z<<;@ATBS;V_jdCx!l3o1=o_zA(@WdGSYp8$JvaF?F_}+WzmV`(mYO7JWmki?*{Tlt z&5r|inLj1|y%pp;MF`mF6=?5rSy8lwiMi7i0c*6Li9Um}HQLg~YFBb&*~K#g&+vv$^isL zF~J{FBu*^k(gXt{^!ES=wczieEqjFh!e5)1KJ}poZt9w#=9j9W_=m}59hBxy)#eMuAR(5&}-kix@7Wo zM2ko$I=FgW3?Avq|e6jEwin#SvP83=xu>7-*)n; zzzkog1XnUvLNB*86*fO?2F1lR;MsUd`}n^iC}cSC(KELt(7ffgbfJHL*DU%og^izd zrT<9R!r1z%%Ld;UI`>qiQF0zU+5h&2vA1sXMxAIw>9%=&J>-#ct%`n%c=5#6(Vah| zl?76r>9dNqEal|dO`IYze?%ua+a$qkA9BeH75{jEv^8~?UPz*WfVrIqGwfrvS3znn%~QD)g#>mdhn)Pb5)P7+m%(W)0(&tf8MKWVP||Ww+ZkI9o#JW zuNvF~1=k`VRs9bw?!Nci;_{0^^v`S;g8H59-fa!6VIZkr;IE|_5CThFOlR#@Nbu3owd?4j=nbxP3K{M@pZhX&h}zM?Vg7_z z(P4aJ>JFs*gP7qLpD!MfE0D=)#8wwDw(7i|94E=P1=)a2-yq9xrY_78#<3g289+tU zw^hHb#9V03_t~1~i&Qzyi4T#s%z14P@TmoUOPiTlsG_wZBtd_M{30Z%VY_9!A+sg3 zVX|eiA*Mw+jeJv^bc6y`8L%nR2GVnX+FT)(?5s>*DW>FUw9q}|Vya24GNU#d^>ia4 zBc3Ll)$%jI)=>1}WPhV7oGA8lkpGdb;Z#dNm%ai5xRO2wWb1$h83J|$kN`*sBn%P( zNq_({1j&H_(+x-&q{i|qAB279gTS5?7~q#UF0;=IVK`n0NX-Ah3jqcAyXO0o3*syR zxP7r-x!`}$nEyZFh)C{VIpUs?=UG6m)^+AW9_|lhDW!sP8#veJ&dri3ctfxm_MMs#^q*Zefi{ViPia`d6RD+ zNJ&?}eyOkLd*{lIDE|T#s1PAfjc#t8hgR?v$7?H)Y~&&>jYsPj2^@`dUXw~bXlY;O z6%l#yD%p?xzRHwBkycCy%e}#~mE6gJt(ljvJc;j4?<(tl%QU~B8c$Sgq7%R2d+E_= zzy_(GH)Rwt*Jjn3I(L%K>?2mUhMix_J-Hs%j_#-=GVu_>cvz60=YO=7Q|72eI1+c_ z(pR`f_k(XKWf$t4GUG_6nm>yz)mQuAy+7gd&|xB)=lr8Nc!S`z&@P(3uB%sxqE!ft zDCr4E4dUo;Zz>a2h&7vuu2i{)8`-d)NKGDAdF!o`#A4>dR;0txE38BJi4d>ZiN&dS+EQBYjs*W znnw-ou*%KN*?OWTx}eV<9(d;Kc#&NLU-Gmm9#RY%q<`aYT}CMjirznK8T=dY`l zwB=|x5cbuIY2FuTx6rgaThe%(7ezN~GyC}L+($MJ4W`70s#jRltI{NR{o^iOJnY8{ zZG1(=7szpw#cA2Ig6=_}4(XE+3LDmfv)aNcc@drI+A-}Vh)~fZ)myT}97KsnZS#)e zJKi17AlGsv>^gp{N5ZBMN;UW;+pyp&gy^X*t-?LUjhr+BF9N!R=Eq2UG3s|2?>0%^ z2#8GaxnMB0?kcJrGh)%F-#k2fKL!CBwBV#nh)(CV&Fh@cp=j6~EeT1|KRqqpsvuo< z|J+;YB;kRDFJ2G)L^YEYUC9})BG=xU36l|jep|CR5Ki)#vlku1eB_iN1!04D=j3Cz z^7C~%eG(gHcF_@uZ`9W#`!XZjhB8~O9M*hwkJl4v$8uF)g(pY0=0jEf0L*uQ-nr_i zL3>U1X#xo%O zkISFGC8YWafoKy7rc5*tVJE82*~aQpjoLZR?c))OC>^vca(MQIo@3GJ8VnTZj(z z24rOrcLFwQAgns{)TQfGB((_k0NzjVV~(&XozF5l=Tct1ImS@vfB%Z)Lg3h#ZgIf| z?De$VvV9cM*GlKJn-a%Ra279q-aPios0!$8lst0!cw|rI*if=u%GlwS6FzScVCwr+ z@Ab~ty9a>q;To@6@;+%^(s$8a?Cv}omb-?!(KKjfzkR#WIP6K_beY{ijLe}~@snWF zZ_`u>8lc%Vgp!KUDDUl9!fE=cYln{?O1zIQ`a6sGMHl{Ir4*Nx5!|;@0%n;#y~hA; zX^UHN0ZTvNBC)$j|1eQX7yx>aiLDcd_)o(NAk+iCR6mW6aIQTo^$)AdFS5a&1^N3j z`vVMRL1|Uh17^Ozz_M$h{%QaF?_l|EZ{9bA{ss#!?_ZaEI0X8ez7W+KIKV|Ny6%q8 zVRY6--e7JO5^`JgcqgWcvC z!q#nTYOE~W33H(jNwj`tn4KTm`!aW2zGO0%!*E*Tel4eVGkeLJ$NHB9Ouf=tIZZ~r zwzDguu<%3F+ccDkkn`m^@pu*r=$mNe@R#B2CSu;B4CI2W;*tfI%t}Sui=2-;bZxjn$1OnEayuUA+k|#b6rIz`Hf#U~4KCQKE~r4d^r~6p-mP z57bMno#F!2D?~X(S9X0JsMnvfgU{A$KG}9_b(LjxdRl3^t1I(OW~N-aoLsVGvKK$a zL(jW<{!~7krV_R%-us?oUj6$l!;${KlN9uK6*Q_f z^ucvXJ@ezd{>9dUCw_8U3TMzQ%ClWv85ZzDaQv zEgF0Ol5;9MHdEOT>3g1=e0nufwk_{uw;YoZqL??6K~0H2(o;P9(D9wC>!7FyU(qC| zMxOGH8S8M}Sn5rLox}2Dg&qAzUpk5?*OtqXFS9os7q%g zBGYCqlcw4l${}|qG54rNs>37CUTmd&=x6H_${!gTX(h;o)3s(UpdX9 zt_VppkZ24K3J($pN~ui|>Co%AU<@`UEsCYFCXqNPal@I%S>s12>wprbF{!IYRFDNY zg*rt$S;p0uPi&~Mv!E0UE-i=;M?_p?Vq_AN6qF2&@^xrj;ye|HFW=kzFJrQCfOG<-9w683><%_u6+Xsuqlai z*(isb5V9FnHjC>$& z@@{b6WIO%CXWMMm%ant@YX z64Ml$=atf1UOU(_1#Ky)+?#3Z&TcYDsw|5-G(*31<=j`QbH}0RF%rWjxu_{O6+}7T zkvN^peW&VB#8;;c(~co0X^b<6B~HYX(1dn=CFNlv4Rsw~J6-C4m|~PFlw{&zBZ#}` zAdu*vQKytE;-f)%84C>~{;{JXWB;!t}Cu#}fB0hAUxb{?|A(Sz}ZCLQEBD>&Q=*qA_ z+0N-mS7BnG^YAKz(L@x#3iSg*+9pbsRI#`ZqaU1!0u^Eog$pl=m?$H*I;K#N2@j%P zD{HfhN~aI6Y{tzMbbcu8R@YPXZ)IPT%7}D}!8BP8Iy_xxh|K(`J85Twr15a>+1 zzjyseWKYidlb)eNBEB^y1a)Uv$ZQ)9g(d1_!CGhGZC689pbcb_+a}>(Wwr$Rr7pJA zq+-37rHgG`DCLAdh;N-QxPyOkE&Pc?v^tbXz259Ch2Q)ZZP!cQw}JDHN(=PVdCdUi zJTk7JdzO9VCvH0m$lfiyBy4_i=}HC7Fv+kdNwpfmS=|wHvBf*DKCNAQJVrQ|Ja=+H zu&*-gOl#bHjIPNuxieHRyeV`#NxCp{wrouStL3D^ie>j1Qet|z9gZ=<AO>z{6u#_f;6JhbcbpxUM9w95;S;TtSIcC#j|g{;4Y0-om&@)t<$mpHo?EkTu4uI{)<{)- z>qKI8{G(v^#vu9JJYC<}#zWgo*Li5Ld=$~mP&_HYi(unQ3ZCe1C$dWbj}yMTj@QEIRugw#{W@v>Kw)ZO+e%h5)9p{ShiK|< zEgrvY@8tPBk}v0S`sngP%2h^Bg8_1ja>cMISGhGu72SGStS{}!Y3`A)My^-SFp-pW zYDP(mc;Ue!Ca!ssxSd>Y%Zu0?7bbW&fmuFgX@al)@anxN5&ZO3W;SXI)0voptQnNS zHRCVLg~?QwzSle%CtCQ_n2{+1;GPc|?5pnXH2D*sZoQYIv?jBOayI=`TCQ8kI<8l$ zRZ&0gcb754O#hqpMf_Wj3@`&tDDS2hVk1$!?6NKvhn}^jnZPRts99FnMLcMvk5)6B zcz&(p8{okbxXQ}+_qFi9GBR-^5x*{IMbX;WcU*wFzDY3&>5S67T0+L``&z6s_O|Lh z@$!_eDAC&(Ci>?3vUx)fx7%FzJC13c@)f>*XV_+Eg75L1`|Mz8V*Dl*&ydKtNXLT1 zHK$H$80qxTrX%9;OqDLz{f5h;mYJW*sJKdVh>rC7Cp8{wZ2xSk-gl|We4r?g?gaO& zv4`EdSQZ<`-~FcJd9|Ik?XLJ~a>nlSr;k5TdaJuVksqQq7bUYw9sj5&Q>@4TrA}JX zx6|vs`Vp5HbKfA3UHbL_JOQqF_+deN4oXhNGjjcur%j|5K(3~TvU|)9u}9L9P!%0{ zg`Ob^U_B=Kc^JnX8YTz0fpH&QIy2II$ol%0!U} z?&9g_sw|uI_f=4Vbe9G4-OzVC8Yez%ojgkMP?BD1PBzzvoswnhw${}y)iW(tv<*x% zw^j5aDW#-*qM_PJvHVOCN7U-o7Zil9O*&d9vC-NN9SkKgcEa~jQjN-yR_jYV8&RTfPc2)rn3u~pDUprOGyHl?tZb@|k=8F^|w zvB&(H5?A{PDv|C>e0sf7E$`rSALXq--*1zRjOPo|&1%$vHJ)l+@#hG9+Nd7(cH5j^ zExX%3M&Vt*o#>qpt-_M=pJzs|Z zW*2{NhkWn4zk|PD-%tOEgM0UTd*S;#_j_;ScF}=!V849N;{x~2?%w||9vS<4_CH(L zd)nW1-`!dN?r(5##~x?}F!uhxv0s0%^}ok)E&aqO4no{s{ja<1dxP^|=sdp}PPL+1 zE#KjE9``_X=AeeYmo}hi_De_Zynb+vb?zvYOGesYeneeueZ2)yuaE~_ zM7H&GnBx*cj(EMu!e7Zz_6=6)d`5m{X{Jv3`$DO(^;8XU^$X5sL zUM+hb?#fRrS=O$O#ev^0P);1FV1E3H>G`pRhO3K76(=h1j#Mp7T#=_}0fp-rOq@=L zwBedO8>@Lzy(($;l_-MC4S$1NbNTF!q3fGt58iU@#)4<8v%?DrH&E>3a;(x%;?9ZGvruVzbFr5~?|ov9tMGr-&DB_9gQ!9d`W$xh z)0}+Ge6IxBrBC@S16v`^Rhm?PYOAT(=L&wD>*yHLPo0y8phyGsrNeZbOvW?RPWWUSl(BoTA5rzxrCbxVs8YWV-J1_CZTpX zs*ro@UP0+7D;{!tfp^HduNXceLn0#C_6bfs0bM!gvQ}5=P9CM;!O7BLhw3?75fs)Yp0G&JSWZ!!E= zXNodKXK>qeq);8UmQUH;=l;U9Wwh*O!FTWe1LWRJqO1I4(8z4 z+s`x{v)YgCGRq%qa6k3$_kH$_b8N#s<66Q!)mHxw6etl_6SOp&TKHeQ_EZT)d+n2#!5`{kNh(P(P!EX8!ws1CO~YHVl2 zwBJ#&R{=W_U)N~4B)I6v%2hu2zdADid~&cRPJnq$bb(pzvA`x5U2t{m;0AWqOJ zMrU3+A?& zC5T~6ma#P}SH4!jQ)1!g!;^TZBM9RF%|u)48x$(Yu~v6!>f&|OD^40 z#^=`_Ra5)XrN7QMR3Eu9dEAnNP-*8>r1^80Q-Uz@D59@~(J3MC()$~yIJ8X52 zC*I=l{{594yn2m1!o`T5LDC+mr~Q)4Dq~`tYvg5w0l}hq!R8dt7O0T3dHFRtU(y>s z1q#@s9#-=i)?_P!+$2mqWSYL@p8e(U$a^G++f*mP@y!lc!27q2-V6^r?vFcPJ8MURxkd zL`>yg^vX_Fv{x!D52ZE3lU$Qm6!}_^TY0FMjb5SOXZQ}w=ZY7kr_iUf8M9_u$)Ja$ zY}k+H(S9@;I3982LPP5XmRUZiPu~Ovf<5MiiSoE@K2L~8B(Gy>GCkYT8AHf9wA$14 zR)zXK9Ul+6ZoM;GLTZ~3>~+sQYRt`YDZA*U467#W@H{mWL^%71V%yGx*}I zud?VI%go4lTc4gmThCcX@Jiy&)ygt2iE_Kn#Ky`SMzjx^ndTX`ok-`N$;wgQJ!2mj z$PrGdGI9A)U$%=5z3CMQamJSJ_|dDabj0h8Gs=8^9kKQ@r@j$z9lG}zB=vW0yKmn8 zkFyyN&VSpjic%ery(mWFmi%~1%rRxi?o*I2`y6$JB>9C4SKCSTXshwXs|(&uEZ8^) z&z*CTKF&B{xu&d^*rk#=^E#2U5*DKd?bYJ!t&FVI;g*}o$OdbRVcTm%V)ZWnX*y8QhSA(Q&XZ;Bej0w&xWa=k%xJ}cYh*!G;E zT5<%XTt2;}rCRc1&_^O8bZeVI2?Mugk*v2(P=CA3qUn6Ol7k=B%h7LQuAfav2Zwq; zV$DDKPuyszf87X1-n-E-#E)2;dWs@TjzA?y*%G%pXYym}=GVA3j|{Xj-X)f>&rC~V zSqLTLr7E#XaFY%E@L&_81$&h!(*BgD?_^N#p@GvpsL7;LVvmLW5hv3P-XFfG%SFac ztOs2Z2`oa~Z#qe)n8(%1Xo+=7i08lqyQq$F(yhSl=&5D->nn!lo#4Da;sUQtqLW7p;SWmPY8PUBXbE@9X5ZYC0 zx6?(R@3S~u;Hx1ZSV`%8OX|ciBrk@- z`487tUU>OK0`x-{UL8fPu|lZj?(`gII#aG?B*#*DkD@2!j(E)B(dJv%Wm}}`9(F^T z)SFJis?$}qIQT9(bRLD(h=$iFVo39PkTWNFRX$xJeHMDfaPA&r{^7+Qaz&N1pS^-z zdJOK~cD%}YB9BOnuY0V?u7u&?CE<|>5;sjjTVLNI z^&8jcG|OP0zP>%^UBYkt$*Y8~* zSqzEkNAUD8SWx>G%fsN7DxQeB4kZ;k6OqIYu#9=(HG3iLsIP?bht7HWzr)A>{5Du# zC;2EbK4AwYAJ0cmH07O`zn2{eZC&5H`hF^(h~=asr{9^g6-L8trpL3K__&Buj(MjB znw}R|8E{ZKStcPUHn{O>G#m^)-V&7B7UV|Ji0XdlqiY80wE4V-{=^h-$NYrBqQEl7 zqloin{hK-QcOg*SZ2!`p5_+)Z+WTO%P{pwz1J_P@p2)--q{(6Q80%#2TXR!XU!+WPE_dz~*$K~#q06z8t zVD)ckf#JT!vipO>fCD190DPNdHvrFoi3;$h!^QT>?A3vb@1_GK_Vah&1kN+=zsb$L zf9&WWTnFR8mvO$={`0;M_g>2H-_H4dhVP(SBqV<0$3dXI!T2AJ#c@ObLW2j?A|&j8 z9Fm`C+eZrE3-}vV?UMPwD7wGu5R-;T!p zXT9Q}zKc5vxvT9$5&w%?u{#M4VgvclM<}?s|8|4|jD!H#0QRhL=0xCv{QU@pgu1-C zviPra_J9pw&w~6z&-%+8{}mZZ7z-2NKri6D1RNdMrJ;X0O~L&?XyP3ZJbDP_t zqy4p2S$ZrN7%ttAqUfX78nLyyNg&yBwvzUJIN10pK93)0zH=@*qCW~ELW0Sqkqb1} zH|P<#H3cYM7p*R}JoPpe2|SEEW`N#swU=9b86JHDe1e4`4%`?KefN=^K0Et$`$?9M zjKk$mFDXeS=VhRuciAlLXnm;X*PmC8#bjKNu{e58-OUIjylA>~)cn}yPIK0k+Zyky zsJm0X#o8&%#cX~pkJ1SppG=iQV1qUWKV0`!2?}mOeb|oukZ}$?`L)HRb|_jxEniZQ zBA=tcKA!Q#ylINNOKIdkny==mD$%Yz7j|CV zC+nD~EF-+A3wTfaxifF&D)hRkRPKv#RirEwsR)&32b6a7nzDlQRsWC z^tp>nRflvxIsf&@!rnpl@6`Rzb_C?_ibuDajNExJ$%}2ZjaWMS#o%jo{HupfvEIuj z?@Rx3IE%z|Og<~~>sDJ|d<0>?{4GhpLknB2D=RB4uct{M5A4>kmwmPXo8-s3ouavO z9Hc%{N$hBE!BcY|8>hM>1*~MzO+pvt&U1eZF_D9{U3n*T;Z^82?u>z#Ga9EuOut5; z@n}`IbhonJ-tg?xY&lJ(jbhAN{}$9Cl(c1Vmx)jh$(RbF<&%iBanCr}`P@}dlK8x{ zGtWBh+61FwRd%se3T@uE{1u9jqMW-K)YHJXb`-{51~GyguqEUtk$SFg`$W{4u7IUX z_$-tCVk3F^XXif8N#i^7K9U}N6(M$oF^cbHQGHA(tIPSW{DSi~;MhVm$&h_1_i27b zO*D*!q4g)fm_l}@>C~A(6!o@9&4uv$ zS);ea!9u6|32tP=B%-`yq(tab+D=YAZ+MO*G@EkYlo-?Y&ON7G@vMfsuryH}9B>h3 z;-cF)@n%AMq&0U6f8xPb8pjE-=MN?yDMZHA!ewXlX|m={b4y5;Bh%fn9@bNz7X066tkND6m_{@M-na z2bk5*Ua*7daSTLlIQBcYRK3=ilWn2xEbJ~Y`^;_x;+B@&!H0iJsy8F^zy0;eO_ggC z<6&0@NKNP1SGEPz+0MT4?kr(-tv{<$w4!m7ylS$$qZj`r4YLj}Z>d(FUMJ@n2Y%6n z);E`mWfq3=Y_BP>)j6?%>f0G5-ln~Ky-lfumA{tr8guGod64auXE6~*`pU661+(;k zlP;NfWXoR#_TA4Fe4lE&$18Bh;&wk*0oX!upRNGnfC=&+jd>7mKuniG+gh7A?jF;_ zy^ag}C+l`!|F^G4?6KDGUys;xpA}NVv6kHfzQD&6ex5r5Y!Tl-C9&t5`~6cAIJE;; z4##1DBD)`mfaAXBwwwCjebNE8Yf0Vxa>MT*YyiZT?;^J-a5(Z;+hvPdv!zx&#bCSI zs-i|qqWiT&HP@vZy}VC8tvPjup+S(UOYulV0L!^MD{EOincMt^SMGp|PM)-~9D4Z$ z`Ix82|C7hd=iEAOb{k*fx1W9NZ~gLBuD6}|@#C8>xY|%FYrP{CrI2!LXn9RfF+6j< zt|{5cqilrMJxC2ZvOrY-5Z*@PF{p0Ci(cITeRaVm)V6zU?C5Gm-Pw@nB#+OWGKJKa z4;51OzB;kKvk^Ab{C@s}nYqJ8ck0fT{7Re4RrP_=l>&uD3rTQqtG$QY=j9Q4I?H)W zOAl}*D$(8Dab>KIcf=o3YxFqj+uTNZX5s~2XM8ZNzO|?BlXdcn_lIZn9(xpIEp^JA zJ*qFEBxz|jTA1@CXPcNCYtlz7H_Ym0nG?>esR@5x!V;GE)v7WcVA*ndhmrR54-;}N8gXP#-9sOp zyY}4E;AV@2lC;*e{j>H+DP9`7Y`Nn-B$D85?_RG*A1+1TYh*rsH35&VPIxkNeB~n{ zYu2(%LN2kZ&zpdFx$22<+Z;-aDPvHMd9y3&w6hO!5T{q+fY@S%cf3Y(nC?zD-n*<4 z1DcO@{a!AVqt<=Cib6?{Cd-0zb@eRHsM9Z>xH}5m3c%P$P_asolBTBRIA47}-u--7 z=4D5xOPI|hf(RnS$7~cr8N|&-L`Lrd-L6j0ih5Gv$Q@Drc-i$H3Bx_r`_O(btymo! z!9Erj8SHD?+tQ664=-LbCbGRzu;B~cnJ}y2{lYi*7HgN}&Yxt*^rc6pu8oh`syM2x zd(?L5r3g`xcz<`G#DwLH&x8WB{HdmmUQrs%eDja5!E-N& z2aVRXj_F&5p67mcFTO@>NQ>JtRL;uA-1ri&te``RsrpVZto>Fu+B?K5SgZ>ZL}qyU z?J0peL^zTz9ejL@(3frjfAz!7ybFgnANjr}@+=53Svp=$Ue5UWO!Om#mswEc67p`& zx_~3M)OiAT(Y_{vr>qk<4CrO2`cLJL8AzHqo&CC&cf~ta{xSNl{PTvZ%)vr$$Ejj3 z$_!tGQs}8*i{i$#6lodZ+C_>!C!>lA?w)&>u&5;9x`qF#2Q*V9XqEc(Qk0hi+t@KO zsNEUw99n|hOPr5O%7KPo_@6}kpbHHz%>+}Wce)3ViFYkMye;hw@0Y5#oFxyGEgE_% zx2_Z$>1f&j*M~_}mKf5qLcrtU*su4PiG1aRy5m zNKN+$qUB!`@U9IlA8Dk%6r(6JF4CKp9~ACa9ak}VYbJrAu>Yi?cx!&+RW9hA!1x&A(~}Z z>9vE%Uv>>#KVMpYKSHxvjHLR3ns;bt2JDIR{S>b#426VNVBU%`NLyNYnBo`*Coh5v zv1Va7@1D|n3nYH>B{9WnMAa8M_3}49>?*zI{JU*J?;<6%ENaUriXPn5#1NW=9SKow z(qNTCUZrNTTh!NEJ#yoA!KH}B>zPM{=MC=`u`<$kowM%*0nslZyp%=uWlDayHXCkZFm&=66 zp+15-p2HQQ#MS~{)@UOA)3^LD)YF_j7IChmja*Qa!YQHbSX1}w#vqHv$<*kcSrLvx z8=_(wvSL1zcCx_i^T5vxip7J(`Nv02-Qv2>A|dGW#95*VDqgx)*5afk*+-;Lj33z> zc2WYrT&upX6s|(=&;8=b@U&oYW&ls#$xlXhvKyssS#rIoG3m)txl@T{Dm?SjGaDh@ zEa$V7N)$75(`9c+&{p2%*;JFFJ+pklen)lIS1urN_0m%^w%PR$OOicnnvX-HA4NvW zIj4u@ND}sAS>bhvFhOhsAJdbXD;*Q$-j{uIA5}l$EFhBXX(;LTqCQ_kRruyyCq=Fy z+VF)huPJqh$Q@D@r(=hcU!|Kbns^P#U{P&PKPFPB8v1v~TgHUqr&(WN+oHO`^49&% z+@X6E8b<8bLCZdkz19wwc?~r`UC%Xxhk!5F23URM%@tbrNUPQ}iS@5BV!d0Wnthv4 z=f?08na_TX^eYM_0r~bR_f+agG-K-1W`*zYbcdWtqmnf)4&r|DZswMGA9@7-5HWb8 zOgFx0R`Ph_Gd~*7_N|iH#S)Hfd-n2F5P}lXF7BI~-R&LHbzCXXEX^{D;wZHCO>-H@ z1aT+jXboG(4u}59WxTK#X#emSnCJD@73(GzH=|~*GU+KNihOA7ch4%M~OfpOcr1ghvl|Vzbyx99$F~j5ZCKflzYD`vRU{#$^M{+DX^)?-q$)k&sFH)nyABBylUlcFwblx^)fyl5_2Ynv=AN^%y0VnZHvQNVVy}wVuxcagQq!>d;_Y}%s~`V_ zTdN!CM{Bbge4VJWoy(7M+@s0{qn{6w-C_GCIc};sZvE}5jYR;PtH5|R$?I4x8jyC* zm5Yj@-YU65Ouk4@t5{pnycLFH@Z(Mn35Q){eXFlLGT>4+X?QD|DCt+Ms}d6a@xsw3 zJG|skqQ@()@Ur$DL5PP2x=U516R0~~*i1|De`v~IUy?i!-TH9wQryz}XAYxbNSyc+Q=Ql#4&|PU5jTh);Wycf$zN`xzsk6M?!iknC9fHj#!G{jNw4}j zZ^WsUbe4m3bk2QQ|1xi7XVKcT=7Gl{PVSxf#ZyC?qKQJ9+|}!d`t{j#&olH@$74tv z^2EW1*=b*)sZYa57^)5-?_7TIcWvOm+VbN@{cbf;mBK0BFBcxi>bnF$-#=sWJ$5SP zf#My*bh_;9>^DaQuS=m8*SGs+5%EmvCh3v_jrsMTd0!@;3-iA-U4o`Qr!dS@X7al5 zjRYcB_=9beO*5;fMw?Nqlg&r~`UCJ;46O_L5qd@vxhfC*+}+LiJG3uGd8~3(n#~Jv zxeIDsW-=Gx@;!R>zL7XZ>=i>#GzH!RM>A>(ECefBR%sN~>&&8ZIU$Y}Rjr57xuQ;A zRsRKLbeI#LbMdZpZ;IS>I=V8OQR4(h<6&EQPQTKi6wpn&i5Vk-=mrKULFL9Y{9(t! zBiAC*1Y2CWY5A%*A;_!THBSVjY-c4DmB-i0&Q98OE-N!jFx&|zUnp(K{m5_7x%g+@^2Q)^Vi5p$uz{tzUWQBK1 z4hm+d>Ro@MS~HUGaf<3g%EkK2_gzVk)iO_LidSg1I*Hg{Re=zE+#WY|G_yx^wlLj_ zmwD#_H$lWkRY^*>Jx^`)s`Ywx?}eSsfJS6&A^Q%ojfc3^EpdiVo{S>{=F$>N{S$ML z8YPr->cqOab9hW@yPDhPv5nxMNKTpsqqz%@!`hgYb)Ju8h|O5$FEvU}EvMd6Jva4q zs`w%bA$c^kmcr1chEGVX&GydZG5?*rzSFr4*3q=|BM_O&_uF-a^g8p#Sgb3;3Cbk! z>`A2u(yxDKjLfQ?K3c}*GH+*cy1S=w(HAD_xa$LY9jC;KjQa`c*Qthwh*j0)Exk_+ zoPD_F$eyHsoZzeTQ%Z1I*`(kHJMX2GWs}MqHY)x>K~8<&x|8fJAL;;S2-=+NCI3Fb ze%T-U4@xzX3;1NsZ<9<Pf~v6Qp351;iCpC=|X)**Jm%C+$IY6e+WCLSW%(|OCQ^|ZQHhO z+qP}nwr$(Cb&rjEH23fHBt6MwCfP~7SN22I!nbrmo;bDp57qM4SNrBGmKX=zb$lpm9oP=lzWrInncQF)${o}!^1qmiVQlm+5|y5W!P z#)E=#R6q?P5-$ve08oAq*3JbSI+&tz1tSOroGcYFQzj=o(ird!#ZL9Wz{Ux{z|wLd z8XNFoV62}pF-$TMjjW_#V1!;^V6dS9{=MUe0fqm2PvgHp-2W|U$UB*sI{hObQvC1g zF6BRzrMcz5^wB?P(!a$8Q_6q;_6`mh-`8gd0E8z_Ft9NY0F7}#18-^o0EP~R7WikM)~|pE{a>#K^Zy{({Xeb;>p#T% ze?wpY!^r&SS^iH^LPr(aLslK_XMOK;2YXUh1S?5rr8CZCqFACT4>|E9ER7&?Jht$b zG!ev80Yx>Qim|=oFN<(RoFXdgDl#&dshIYS3m=hGdF@+9B4?>Zo0#DJ2-GnVQK^X_66UodC*2QIto9!@Xa$1_iW5rB5RC97#FIU343 z@T*N(^^_T1hFjy4@}WQpq)eWY-X%3>C!-~=!sMezQ4S^}CL`MNaK^2g9;dnA%5fe| znK{thEpv7GUlBh+ScUGuKPyuEUs(}_abO19ifQZBKB=X3n2o?0eDD@`Ycq7UlrT8m zK-W7kA=(6;QEP(7yoRaPc~?RM7Y zK%gmx^Ci8S1k*yq7DM9^!gOs0h-?LDY%&IO^okP&jQ+r+8nPFWwmILn7G|3d8Zp8b0uO7b zG=or{Ve+*CBwa=jV#Qe279p(Y$ct5J#q?qYP^>L0j~dpsFKgHvfx(Z)S8(ggk(DsB zYj0cVYx@af`DA(Iv2yl{&6SX4S%BGvjAKQ5~rG*5Jx>dNi%GHBA`!7@>rtABJql}Y*L#$rz(Bg*rgN(KlUcJ<`toC z(BT$|+N*aj(`ua$dQeAEC5OyG+e%@#ph6ndEQF83uqHd)6c`kEMQ(pY0wZ*|HQ=KC1r zRE1{hn77=@b>mtqxLw;@uiMUfO&>ydJ#us7o^+Q3P0&E4fWCok(L`6kyS^}~c@ZRd z-5YPnwcZ`aeT$nz2!1{%Ihi6!%)y*&$u(Q_ku!;2ndcVbvo9RZDV@K}`pT)~0`>8g zaY5WPN)c8rTA4w*7Wq;n=|kq1;)Y4MJ@v|@3748|Qkg@HKEY)_UFO@;JHR*j)8yO#z05%G zeh$77zJDATJ~wT;MEhn~JD~u1RKhX&&4WqGr0-B31*S!sS5CGln{AIx0k$Neqb`|q z6+d!y1kR{%w(LMPx9M|?>jWhe5bZyb$gunj5Z(2m?HO^ zhE{?xsk@@?7kZjO*f*fsH(q^DO{Hk65e=giBJGiEFA85fm1bit?~jH$MUPiV2GJT_ zd?mjtVb$o7NH*!U&FYBeyjV2kDEE`rYmew{($`gos>Hb;aNda2Tw|(H;JIQ+6pooMVs_zYV-;zj|$nd?B;yiH{yH%A#*;*<2L7C8OqpGXocD4gtM?CnCd+){+!Yc z>0c=H2w-cs|CK-#0TD^ zMY?M17>IdBC#m6Or9}+9K?@LLvmC``3CBznA(0$!fnos-5^htjSe^DvgA*`b2GgQ# zb)z`C`wnOBE}c=!O0rWK*0KzSrr9=1Ld27hnT$4^Wjv;0b&%pgX)ohT#otTd#f&3a z#}o|GzDPXfzfr+#Tcr)VI?Q&5>kq%gnw~Oo<*$RgaZ7h`^$Woz`})<{{dD&{9am6( z(b1aV`KXbedj5FXdb5%^dL_-QjYS*AOHgc;;KYz(uIznE!?-6N~A(is0o5=Blj4#lBQlEqTt@zOo$}&DvG>1_t z8MaP6KNo<4e)m0r%*$E!d)BaUJ2Z!YEQl3UBuUZQ-38e_x*0s90;!10zyM<7AD5_C{!i75vz4Ob~( zMnlAuZXmW6+=q*Vph{e{lpvcMqJAWfl*nLaJ1wqZnM;^L+yaj2nGOml9U#- z5R{(UJ^d#3i4@!|Ed9ho`^d35wSWb)4PG7bj} zHo?=E*vOE>EZ7RP><7rm@CH0p@n9!eBvt{bngG8lZWT$pjP^=_39AiY1N}KxLOE*- z+=}soqZ^#wYf2I>kt0h5JuHhU8p%_)8rUh842$A(iO!K}TV;|*B~9PnJ(H#0#t7B0 z9)L7ZL1`|aj^|Nypzd`xF|Udowk~Vp-H>FgveHJn@jRjZ0w zL!{;lh|O?$Q6;=aet?cC$((WAl)dfisAa|v4~;Ci(#4k&t|ogrIOBvwscI?eDG*xk zoD^gFJ)hfMV}rTcQ0Sn;96A3S;yc5qTBsWL(FKX_FEjAnok%xul4(U@XuFrtX~KJuc_RbeI~B^$KV;& zFR~mTn2SGpz#uQ_LQwSTLK)ErL9iS_Fd@Kzkf~ds^8@OKWbb2~Pyjfrc-#Cg>Sb9HSUsXdy}U8U zz7<>o-f*ZCbV4|>Cp$f`EaQT{E5u%$Xz;>0N}c6i;Njt$-48{is{rufYOjTLUai`D zqe`08Q8)Em1BOGmhjPy#+A$5eTfmMSCkA$fPS6hAfWX#sHlcXMFD$ao7%mRZLyosE zJi|met_Mgl@;A~WyFTcD0eKNt627a&j=}@r;}62G}P-?C*q|Ej#EMe$Zs z*n#!w2@x$5lv4;%GT~Bz9fTKRgncw%dUl8WUR$DgA!*372Z~yq#vQR?fv%C7Vu^?* zrpmCdo(}VQgKWzB3P@|*kHs5CyA9cfZYTAY)vQ9`mMm{rc3PahXcb4VY~?xe{Hc3b z+g9UL>&$;)v(ApavZ?3D+Lhg-J>ecQJ64kpcTnig*K^S&Ob?wN?YH1w)cZXW$-b2zlZ;q17%f-F zmprLAX~NXc>3UD&NAG-4-R&^8>v4X%8$+!R5by@b4a`+Lkzf?Pa1sL8#oJTmI2DyW$Ql_L6)%2*np~Qa zA2XtZH{COYyk~noj`*dUqK#E+7$ME!9*9th9UiKYs~%cPg@%s;4<3|6U@>ignwId% z8!dhb|5f^~I(rr0dhY+;DqX#~Lo{GYJ2M+kFXJFdub4b-hKwZ>Bb8L5c1z(URe09^ z&NuGT*fgVsO6^Hx3)K!hZ$mzN_?Dss*@x`O?3}W#WxHguwa+~* zo722kKB0Ck_3nP#Eu($gDGmKM-YVv#THD~Ef`~?FleuUOaZzT5Sb2|@f2Jv zb$(7bos(dt2t6l*vdOKstv!-tP0@^+dxf^pm7SC!iVavD_t1T|_qSk0;&nsZ8l z%W5*Ji^tJn~^v+k0 z_08|H>xFSAb+5*+`3dW7wc9Ui+D><&_Ad4Mz8-PE#dq+gKYqwLuL5udKKv)_$Z(XW zYZV=WU*yNDSlFYkq5p=Xnwy*HoAr9GSh3NJ!EKE7u+x~3U==;OSQr_H0HZumga$K8 zifV%hNfaESC_|x^h=ix4SB?~c*%TfkKtn+mQ_?7iU=^WCN;X44L?{kQ&-XTGi!bA~ zxSj9f%-!aA-#+`Ea%a!%o@yv#OO1K^jaJ|>#LHw*CD|*2WC%Vvr-D+LE(J%P8A9<$ z#S@{>YF8H#jUAx5%A4Vst{O=G$hCMBf{6)dSRNmxE0 z!I2Lm<3tS5jzN-s8UDb?)Zrb?Cj_g3+o5ItfUL+v9NN;+TYh%Yx-9hN_9efTdL$`V zrhZRjDvVvd2z3?8Ux?sUeEgtD%<1D6bBTJtNGqbnmVL;t44Mt_$}PCWq-?4rGv%60 zU8{l^_opaqb2a1!JG9nwrQ9pxlVNwOC+yep`*!hiL&)#S!SiDD@^+(2^cH&K0mQHW zAX$Q2*wjwv$b}gC;`I^enEYu}sF;{99lB>iqkh!Dpfs#NA3}_XG{9VEnL~;r22gZI zX9z|vuGhDySI-$2NfS{QX9sfw+hh30gZ8e{BCtsntx%&>#S#}7r)U_4yCC!@aF}}p zs})#y^a>!73jjaTs5M}rtw$c}EP(UI?3?%lTy-|$>CspD3glhcyJp6gKtdydG)7?) zF3BOEW#{Rw{Zw+cwA)W`=Z2rxV6+QaL+AJM zn&0J^-0LN~-Zl$P7DGXh|I7C|lyaThK3~Iq4_F_!9Eb_JBzGbRjaxk(jOi0G#UjAF zUoRDuY37ARNy-C_9Fn1o^iUPM)xQA3gDOwz0s;eluhf7Us%F=FJjlsjGQK+((|&i~ zHOot~{*gj|8pTBu?X8Qcs3{5a`WY@$4xZhd$r#+5>+(^X-|THWi{12d{T(-L`)=%W z#=c|dCNxmrP;wC)HY6u0p~gZ~jwx%L8ORQHLNLTTsm6M~hyCs?Xb&oSpsb1s5G`|f z0g^3qHJ9(-fPBs5_zCi`jpnskX~Ck$w1!=e%?=BR$x#WvDE_DQugrJBPhlNKSLwYw zm8E7HjoJ00r+i|7-yZ)?=XGs!7g#E9OVV(<~SfeD`6hYk@sf)BUyZ#^aWdM4!dH>@5^3(T?wm zBYIip7fqydsIKL_+PTH@wQ9>KA9Ze;{06(NaO$N4ZDr#*#^<&68SOl+v@zKeLo`+w z86()Lcq-~>NGDY`)HC)y);M*Jz7AvXJ-rZRKibi{MNX!mhg7s=5>|5MjvyKrmeMRX z++YaKAlT4`!V+el@kVc6zF)F#`Kh1BFB^xhb-G zZl)F7@+|49>vJ!vX`ZL1DSurwZ(49pxRY`(@>!yvkjke|nYd_#{^=MVtk}`e+W2#x zZ`{H~qok*&x2;jKZsbkZ_b!K*m($_0kbbA@mw~s3qv?*Bo1Dn`PF@ay*Cl~ql~DRo z-ymz`j^g^)RG(pR4U#}mg9-Nt?tO`*?me3v*3Z+{99CF zW~-01Yg3-z&6A1Ac;41R&TTT>%B!4@>$pq4@hr2ud^J(>zffke-cEx1pS4k(E_)S` z(p4RBb6)R>&p|GTZl_0sm?m~92J4~GFW<|VQ|68=1I)m*BGJXN7NRYnwrQ^mH+gbf zx17fx%w1T$s4tp774%r8rcRahH57VZA?0iwlq%XB;#uh5mHx5*%)2JGbX%eR;%*;5 zW}pfPqSpnuh66Ki@F`^>6StVb9Y$>AGR5;c z(6K^vlS&aA%uz2|Yk_ZsY9MX3fa-!Q`NN@OBdFq(OCj&Tk^V0K82QVR|IQtEL)C3C z!sb?ai#-WO?pXkN(%n~QsMy6#9)F-**rTc%6#9(IKU#{g#&L2e?$V{uzZ=J!Jd=i= ze158$r^=^!9KyY5H&Ay3hvNu?_GG1{w7yz0_)Qo;Gl4CdVFHR2!z1~DS{`6LR1pvE zz;gg%1+)xA6Ob&yVnk=dH#dCNi(@nP4u7hi)OdxxgK8>bIcG9O=%bR~tQQPEC{!>E z(#~pA-{dfs>?|$6nycgY$YTe4_jhw*4E+x}JQVlbtZ#lbr1}SwMtR5?HyPPAe~db; z4e~l}|J!Yz@9PKO_4{_5@%Sreq~s(zB$))SD~T=yWep7>VdIpDu%Qnal$AhC0U8C& zhSWL=+eOHR3YS`HJYv&=WXmN;&8k|hfVjFPj9|AFkSdFC4WRk&n@^a8h1JbYcm5rx zyWem8{^$2Q#GyzPP}sv&nAPZ4JKgg(JZjfzq0lc)HvNN7gK^%V`-48=fqpM!{Km8+ z8meDpSUqY=C&XsT#f_+_8x631fLO;V_-AhYuQ z3xagum}0ka-HDtbsrwT(peTG&9-IP<`J4s5-@j$ZA(yNN zMFV2PVhF9V2;~sWw+A#V)Eb@zCO0-MXeIFyKx#v?Gy?IJ+bZQv0UVxilt^UJkWB7k zcjJKF6PDWp9fWE);j@ik4r#)#>o%MsYs_4jZOo9b#XrkW(UWKD!+JQ_!eeA`)kWCh zB8Iuf)yFs?ON&PqiXTpdl=4bkA9jYhU;nf!G*X$77@hi=vDz<~YQnb3c*@sa4}5+L zTom}HdTm;|8Y(H&K|7%a2)v4@2msOX%vDL6$!)9^VaWY6r;syy&NrvEXL8na>3K7@TuolA|M=B|_1VEsY0Qh_dHX(Zu z0nI?|2jN^sRZtF(-{Dpo3mS-EwnJQyQ_>ef=NS0GSUn(3FG$KN zwc@x8>R`?*&3l|>8-G-nhx9O_Oj9Ho&5Xmbn51NZgUP86t1MNQbqgjt`e^Ru(Np*z z|6XD%%wW0~aX8(ILaykZ!UkB2p19N<*XodCVs^hQxu1Up^?$lH-~Vi&98O`_iZx)A zfN+h5vEeyOrl8!2=5a0F-3NJ_s5%ZSitxw7p#sv(4I2gELEYgzWqe2%L)HRQ z)`$uiCv<Nc_Vqsu2poTlZGm$#`-F7fmu6M4)YPYJnx3Arxk%)tI zg+6^$0v(E^(=o+TTam@bU=d=mF=D_H{X^NG+MgIP-@-B9!cXrFh)A)y+Uv8``#klf zSLsp-R1BFu5&|7Tj~mGiyrlxu?Ju|4$gj`teC$M93~$$)nydCFY|DwEsNXF-E_Zsr z`Arw+W_#tmO|U@a9(Pa5It4yCm|8SGOez1Rcg4A9_h>*tlM3;mxTbR)r$sGUN?*gC zblGR|Maw+3UTiMm-K(r8!G3=+A@5J_8T!uoMRgD9pU{2QJFok!`wTWrI-`;xMr<;P z_gCnzfR?lm)jOZPp#4d6P?l8gQN2Up&b`U|IQ$sJC4DM-l1fhy%`5#2=Iid!{^R~z z?j`U@4DWLJpa3T1I+%eq1>x7;A0$Hzbk=Ly(<<}k4QUPtj!Kf@cOXH8r07XQMx>^# zK>xTbgMz9ep=gK?eouOA3s4-BM#+%GLM_Vd$e_ij5J+vr<&t$`eiV?{fkHulaoS@r zw`YgldnaYbGiAcef#^UiaGBGr#$BH~pT(7>E&EYw@45uN#c7&ZP+AEQQvEW=1(TKK zY^7r>8=Qyq(`@T{)(RZ{SYxYa^o|DJo31ETMQn0Z<8`GkmNr-x1{M@bf5QSv4og zk6wPs@>G*$p7FaKX~fG9zrQ}ioXf5SK$4nyn7P=gB=6zr(R#yKs=sUGujs4lCycB1 zTk2D1)u1h&Teba1CAVt$4C+v0!MeR8C+nKPFMIY18jOffDikn_B|knowF&Q47?;9G zMEzCU0+PXB+d>{dJx0mQnRaH&tT<9NG7u!6;>CZvukA~pFP0sT4SLMxnoX*rW*&I` zYei!LcK-T~WhO8;bW2b{Vmn2A$)xxd&-UYa1l^poQ1~aR{?^1y&pq=M6IX)|pkWe%!V(2>t2eWW_vN!7&Uwe#mYJt{epeC_P7!V5Haxzw7s^~YA& z&p5h$DOQ{Lm-FHN32_~icg%{AUtvR-zZybPC8ZD97jEAuS9M3FiC7UQYx-T&E6P0e zwqD7I;l8mHgCf8r&;m4pDu82J)K~fwfhK?#U`fTlG-QR$8ZyUVdD4rOu8&xg<>Qxy zcn@!<%-_Nu70r{|mpAFF)0ayzTU#SpC+dFTExJ1ecS~)gdGfc3;L8GXBdXb{j9aKb zg4ud-YXQ{oT_IUkxmTfQNz|Xh+rk_v&r_+1DoCV}=?X@MQ%NFJwuuqxE6Mz1wZb$xxdpaZQ!cWVloZ`U!71Jih9Hy%Y1 z_JOOy=xD4U47ReC-N}}?_)6*e`qHY9GLSG7jvl{yg5pyvO{IIyQ+`xBW2^s|-R9(t z?KIuddY*~5`?+28Yfnb}J;N4cEl>Yp(END3o84``zD7rD&C_Xjqrb-U30k{CwE#>!5T$093-0?SQl7La7f z#UV@BQ?`IF$!v%uA+(et1QUpmwJ<2l)8fg%kg`yT4Yj<%V(Cx_naPH*`F)!jS$VVT z?Ru{D_KpRY=vzlsF;-UkC>=;IoQ8tGgS71?Au*P%h%7PTFE6US>5 z@1g7xI!cDP-5@>SnbLnMK$L;=J|z=|pau1_{l_5$bdDGT2Y7ru2i$lLP}&#IEy&se zSpgdj0!?>OB{`rggcrz1Y$B-vRhD+tf_*QXk&IX?!}`d9l_Qi_2i`sTc!1W1`^?Ih z_708fFCgU!qpAP~%4C55!c0jdc|ec^4l2085~$`cnQ8 z91+7U5i7Wi!@R9DG$lpBJ9F(QMWXr_WC;1`?+2j2lN}0^8ZB2cx4H^3{WZ) zZn&M!{KNOy5cWm=TBoTpoobco*_38QX-ni;urj@C1?$<-8KGN5zJ-;1)I8NOIwh$J ziUat}Njge7bF%2zv7kFZj**Qy+H`eHYmQeVvSCA*BdV7`0}#s`W=t$rd|H?jr|6Ar z_jYc0bo-Dc#|b8)h`e^X>^*$TAekwAV~l1QEk$*SYg#q|tOgu0gZLf@Mlx~;Q83HK z7-e)^IHF;2y1K}yq%~G}s;e&H6d7RU7F81l8%X0>RYe>)K>>#HWp&nA#2`L>0bH|z zXN-noA?<+iqYf5(kq#JyCvW*8-0^3U^w{_FbAhYVpkJKMb1`jy*87gTyVc?yaC79l zKLctreCr=Rf5DPYDK&3yLe;z8?C$o^L9_!6tU-{wmP4r0#04;6)MAMYMr5WKoMc)! zs@dS9=4b$ha=}Bi!^d&~fFX=x#Vl(!j>`yzMPsxP|f6?)l~y zK6keF&HO%|(Gg$mI|mkC>fP|n^}(AHcTw%C`=6K)hJlCkH-p+LWl}Oj#f#9SGh}SQ|CS3!2D=26^S$=dMXH7!!EPbzrl;i zzwSi5zt4-%W2O}iyUbSa$L`^4mqNYiu-8BeV|J9@f$ zx^tuvZ=^P0Mg~feTbT1=G?f%Bo48h!hdz}lPKmv<#rhW2&C*7Q%WuxFIZWm^8gl%7h+>dN@4PKSq~2HwFL-Cw?@{7}o0% zd)b-#iRnR zpFHc@x5<1O!HK5f7Edy@uX$I0Hq^4DB z+QSFg3KcIEPg&ht4a{56AK}x_|Gm4t>bv@phprC`6aHa9S3k27DRIFP+%y%N>rS|A(y6VZOQ$tSwCJP|(rer=+e=Li~LI=XT z&?!A2Bv#8AS#E{1gbWLcZ&d7(YcR!lBT!KJTtsw1-V~w;J|jXy6e2GOooiPk3`8$d z5TyFBFF~##fI`i9*gpm_bg4?GiIr)hdrTlr=&$fw>ZJ=TDq`lM*xpV^aA&9&FiiE z?rqk6{;jo3+t>R+@0IgUfENJ)RMP%toO;vEj+bNY3TZD@-ye=cA7zx@Bee(RnJH4~ zRMsi8P*sE!jH?H$FqU6#P_Kp zz$Ob9v!x6W3mL2+u@jtlA)m1E9vQL+Ii|?+(^P!@glk+y2ZU6gM{{oM^K>?)G{F#4 zFmC%%Fz!E_gqy7@I&owF8_xe+(4O>f@5sj;5Zs( zsgjeHTq3I&Sh+?1Q##ohz^9WZxv4Ws;;iafc06+PA+81dxMZu`MRX~}RMy+8=NUoTW zBPf?sm{fV|300e0)M}-JS4c7@$ScZ{XBH_e6IE8PK?Jd6H88%B%7$XCnK~Wczv#0{ zu^x!t5-%*So+m7SaQs8@&umFVoITU%ixP@mv;NIpC5FW=A<1uszoC<6-%hsJj9(A# z^h&0K^=3-HC1;dQGH00=pmRrAOVEZH<-lW{;5^2hVQO!$b$=Yc+@R;%_>%e2p!2o( z4O7?KZoMC^p}XyRdg2?o&t!+4Zo6vyUIg#w|M{#)AE`mCvOqk^eBf`Y24l0jit9J$ z-+uEs&$&BlzN6mJg(DB_b|rC#1j&uSB$c2IiAPIwDG~TU2)30)C{1CdiLhgcl~ZJG zakEY@P^&QAf*>?XD0EaRm&;-cwYzFrM6JkDU4X^1y%w|Nd-b1(>~@Vhdi$F5Yuo?* z?kg~h=THM06&~_xRK6^^>fIjQT}pQ8UPm^fn&8Lgn&Volj%qfxy`Nv=Ek+@I85~Xg z`@VEgb+Pombuc#3^`3U1UaWoedHP+LqyTj_G2%Ddw3d-QOQ+0o{KMxffbNnza#R&0 z7NTr3KG&p)xBzff2h0_x4&+564!nBmC}!Mh5Wkl3(@eU$@zUbv=ch2N#R6zre$2UGd=|@%jduy_|1sOn+|> z)#E6P>v^_zoU9(g+Bcfb=W~<&9_(t>FbAON?Xat|S~>q>a+#R>;lZAE+Y?En1W9Ux z`d|A{!}$yY<&!u+o+g4Lv2Ft1m)_dmBCkTN99Ap}5y|jM5ncAAnkVbxX&cBA@mLX;;+sUk!bWzIw@lL;e0bMY>KGyK}MI(c*v z2xKWu`(W-0oyL2N@i{;SbrTp)vV0H&YT^fD?qKFiINSlup@|$_g8dBZ2|62qOu#y3 zRj5;ei(zG7ACtGo{&Z}kD9jZ;E-s3nW388a|L^Dlwbj)bd7SJufQXNwRqZ<>fe~C* ze7*~tcW+YS%hs6Gos)2xYGPGQ``AQTcCYN1@Sd7+@Y3z>pWgBxYLy28F70lT-V!%c zTYWczYL?QhvsM%B-rCC3Cp=kRuDj`}wUsr`?B?E0>Q;88_GSEaFdAjJT=Y4Z^-*fn zIub7=+5@ho=%@=OplzyW4p=3gTlIAHao;M;sZGvRxNv%HjdmRC=2h!N8k)`qzG=(Z zqZYH>a@OeOEYrvR0xY`P#%dF{+oh~gnL%NjWo_^84YAe8&h)S1AL}1We#v+3uWG>y z4x=wcHEn;^>{)zs<`2&H{~Y4X>phg>b{TEV-rdep|FA={aVb!Md5qzC3~Oi@#$5ub zG*hj*z#7mM2)cRA*v^1KSqoFl%^QvzTaC8%bBhk3J8U2KFKc^#|A&Fen>)C#qvCYA zSDw$m@^IJwy}Vs(b{nr7LxXn*L*Dd8KG&mrk-F~UZ@(Hjzitmp_Io~8vLF~-K?xqf zD$@hnbOgw3eiKvCG;I#vw#j0Sog77od@UPMC$#bd=nNu|#Qr);F!h(smC4pq^2$0T z8z)%CTs1H)arm%mKvO}Y0kk-$dvRi$t_)gE(n7FmNK+tV(|OXWVCb)(oNa9_vnCoX zkFpA(-rnuur6t1?V;k;Ohm?3-4 z-vme;G@y2z+d|Z9Ga1pDRf1j=4HAlH2p$DyViO10i<8!(7E-!Je`spjwe^~KJDg@ z=K+(&3KZ{tz=b9@4Q%t87=UaQj({oo4tT(cnFx^*(U(v~noj2{Z!VXQlrK>qfHgTOk6Uz# zH}cFp5p5UMtZ_?(?bNv};625>BKt^x2SB@J| zHx*DcNk-CCgp*WIp@exb()5#1;jd*%lAbp16e1g1Q>u8cX;M$X+*Pt_t;+JMs(?_gFBMdoS^P1i9?xW|GW&(VIe6|pDUt)Z=?FZ#I8v;_h|t1i z)^u=}vQ66$&H46n9=h1gxd6*R9Z!&sOc5#i--vUkWx;ADIwZ>EPGG$tC~2RS2w6^+~s z4~uy0pJMI03-)ZQZTGm?7OM26B)*qeBWuEjJ4nb%=qlB-(jXers3PbR8#6fyLj?vu z5$S|-kftjCT%$NIVgSOYP#cbxpofFR$Kny0IeY?#Ex&%Rgnl7Db3Q&4E+nPi6> zNl-_hb2@O0mMRT6abqtoO|joLf!hqU)mnybp$`BKCrM_MA(dh2<;lQ4Cjf;1x&JH# z_dZ9*A0lQTcm_WF*-BShhTZgG#y(ve-+zpJxH$T6KsH<$>%bWC7>r@0bwZ5z z*cfxdtxTVm;nXPW8~1YrD$#`RZ!59i0Njpit3M{3Y}jxltcc9c{)5^}8b z$3N%G)KWgfF&{zBYtv(QsE`ZiCfiQ8@xL0dmFO}=3N+x10;q|%GzA|qQ(4345rze6 zS*RtL(Qq%Zt&R+%aCJ0~{2Fx%hPbH=h)`KJw-F@Q-7igdC^!EAe@1FYZy?N%JBW$<3UM=_g7@tfRwRK2dii&eLWi1~r zZM@xMezA}9w{XXKXGH@~<%&X`iN@@W1fRh=&z#r{-aTCL711V0yGz@}A4O)V$Y-c% zPpGK==fJCk%47?`Eu$tFR#BN$QBC~I0t2L0RwW3!DsZJ#IwT@~OvsY4=mMaGd5)W~ zXNS+~IS-u3fNLukE${WL*H?wkeeMqldC6#0Acugm??nP@?jH-4P7b_1;=(hM>UyL{ z#fsM@@+jDv3%acuXD+{acHIB&t8b2It&_ZAwZ(5XE!}e}EX_70>T) zd!1O;xRkfk*>DYt#{FwCct*O)E!MS>o^PG+eK0j)A311r7QcIR1R~0ATo{X=o^hXV zQG#rl>{&?KP0)0K@6%&K8Cw@RB(+X;sHt8}P~sR|FIdltelXfM`WJ}#d?s?*VK03> zJ@aPH&5_sct@Y|^HopjI@zkZ>t;V4)L5(^a2RjG5L^jGK#i>V%Vi+G*DHgxPbRamZ z94nu_GI;b@{q9+r8X9mF1_1z$r2rU3V4(>0k0pZDO2c!lPcmgJw ziu9Kjcnqy$r*mh=T&LWL0ox!F>^kB?1`^dzX5^9o&x1Ps7wDgDyM8xcmvTpb^HDhp$Xs9$5eiujxR{h!n{Q_J0!t{@sNeCYdui;!R7~}8PjXM zY}$5m>cpnYq{}8x)9jn?wgm$g5OmDwpz4rSsksTz?T}b0YCCo|F!1a7uk{)0zlT{}d(LH~67^jIU!7mnv8B?Hgi6=G&QYAy;w8q?C&CAr9E z$`H2DC7Q}6UkB0-fCM0RG89P%Q8iEF+(;$acSfQyV)t;o ztxg|s!NdWGZ-|?T6GI0kT&+Zv7bJe@G1@vVvVwKzp2LB7eM}qgpTBKszN(kq^fur3 zBWZQX#kF(J{Q6xk^BdXYVt4(oD#H`L;}6y6^!|Py$^5|Sw`8>*i3Sx+=}pY#RuIk< zL#3VM9j80YJ7{yHRY4RHcCx6uF~R~&vV6pmk>5Z_Lv3L!Y&Adr_0IyTU)0rd1uW>a zAL3@}gLIu_6O&~6#~=2arGz>C+ngegz?+1x5ppG7VEUI2*BtPjmm(iW&x{@u(DD?P zZ;PC@nXA@kGuiCUvHT;WaV|7A9EkQaH8(gP#3qEyZqtrQCWcwV6L!Z#KMuhi5;^#~ znE&SS=x$NnMfX?vYQB1hX|Vv8Guk>H<-?dK{Nha=S(9dR5|EvhJ>=n492B6I;&_L!oCTx+o%XX zPSwV&+WahhO?<67d(=8#kgvK%Q?);7*0{tQC<$F30D~c_{50Ye(Hb#ek)#6|j1VcL z`t!t4+~TvuDyY=kDr0D=X%9I)V684C;L&b&W^2FzoJ&=mJOMz9)YO=lR~vk(SSn4? z;uh4?;8=||8_lL<& z0{mMc`C#H@`y#-aUAd<@t7aUckirN(6_u8wJHbSV2yp;PFrjsi`>0!Sa}GMoWH5eC|`|XIQ4Z~a7@NQ@C0Wlj*#D@YZ5t< z$q-^YkxW_;1tL1BdK5I%X$K6M7u1f%A%Grvs*J0h~dyf=;r**pJa`|gYkqe3L_c^fQoA@_^UuBEyZ!tJJN|*(5Ne-K^ zbYgjXK538%I}szqO>Jf6z4vXbeEwXeg%t&Vpq5-R6FQ}qMpiCR%K(R11ymaaUm6aB zK`>Sh1i)aV_Q!xpZ4^jt0D6`GJ*|xcklcj%#$Z?s2#P5Ma>*kO266$qIUP6_urZ(= z0852@7&t^mBHB18_7FH5IXFhZgM~}v(MUb2Al}NKgi5G1Oe*DVn(!F&OFF~)Y27D5 z0(;EOP|3h;ivYrT?dr2#AA+PEphO;>q!JvVtr7qSvt`_p5oKQGs!A6|AURm6C_OA9 zFcG+!w)yp)YcQw3oBr-Bm3o`M_V4yL^mevI^D;PHzWn>%Nx$$ldE zBSek^iAg?Do2;R}_)SHm1l+4d#MT6jLf;TgBco2HBU=w5^H+fEFU;-r^VD{;XonA> z#qsqFKdZ}(M-L((g=Zr?%L-FR^23pEd?Gv5e@hYK5ctTg3Gs&X<7hS2&lL(WskNPS zf%m@dp4C;(D?d-7wkRLUzeC2MSn%J;uy{ny0#q9Du!RP*g`Hc%ZU^#JK;@y@ zq7bQN%|v}~p=Tgw^B9{>v95Ed9GXz+!mga4Qnt|eGa`e@huKy3{tj;ra$(`mlz7U` z{nn7^#-JH@7AdSLQW`=A85$bK!YdTgHqAt$lTq78!Z>HITAs)|p^iW+I&^Z~LPdZU z1DaGl7#eIo#|$u@XG4X?bPm%aV`I%VQ46V$n}G|NRFK*xHj?)~omPxk30?*i=yo+lfg6dC>*g30dYEOLu-YWS=3)}P8ecuy!~WtMjJW@qh!Wz`p6 zXE|Vfnl*+Z)5yF}?V!3+hZ#5%Uct(3wVl;uUrMR{SPyQFUZ#Sf#Ue%ws^1lDC_V1& zQ~6ahz3vq7a}S^<1bG7=G_NaGTV>O(2S`J#{yfiU)>`vX z1-bw-@YK_THDshJ51ZygvjFbxgPvKsl-?={Th#()HI1vhy3;4QxeG5xS2O;1fsYlw z!d;dMTrb0tGBVAoQ~=7jluXz2ZH=Xa%ip_c2~L75@qbYdL*Hrb@Z=*g<7__Z?`kLb zzw^9SGtmiYnAJ@QY`&N8Yr_NBzbaLsH>ud=c7$8##-YdMu;iGqP|8f`3r}QQyD-94 zc*J&T4AD>5;3L(VFDy59h6EK2>pa#&p6lf8CPe8PuBaTQG-Y!itnB=}F%VW-O4nQU zzV;)%87QHEw2}*yXezTL=rDB7DH0z_}*&DZ0qjqKMLe+S5)YM9Z(H|4iAXh;}ib2_v!U2Mh);cw(CK8!sF46 zI~UGkvQAd`8lAT#yR`)1pL_A`_x$^);IULGk`GSQ{a(Mk6wvKYm=qF!43kTpN5WfZ*2>-bR`)$cdnZwb9qabzb z62y_A>@G~fLS>|fyi|ZlC6EV}#-yY@L(aXW-{pE|O1bNKKQNn}pTVVy*XinfWC--j zpU3Ves7sH_-7oo3utOeV^yCLhN>$Z2{)3W89_*)8B_d5_^-zrgg9Bd~9W>8mL|ri^ zTY!~w@ab$USIUwFrDbgz^OpdbnB+7Thqkac^-7xa#}sSIgX=7rIp6Y}X?;uywSp2N zw={b>`BU?TPWSq2pN6Ue%^dm^&$>{HbsshqoZ1!U%lXF@=ygy9;rZaR@`>eMq)%*~ zl3)YFI_5Uxss2lkK^;LGV67oc4Gv;SD}^(k12H=X+rYJ#4k=fLK-h>$ema;humZ^) zG$>S5-!cOS%v%INEai9k7c1&W`lJooX20F5VO*6Lf0me$hmdz@ z{4XV?oL)>`l*;p%Aw6RayCp7LjV7&?ScqZlN_HJbi3=SLVQ4|bFzqEUHPA5FRAwSO zFMdm@i;p=8vCT-UQe=_{##>dxn1cuyEYcPP7L+;2e$Mz9(maHi3KYp1%7V;GqE1Hy zVFGFEV{23zhtB4LxsV@Lt#zYKr4}8aF&38ik0O$25ZPZw`pXY>FAyw{c$c{=t=uym z<5g(uJHYO?^r}XgATI6o`*C!5e^2Sb^KT7nao4i;6h(9a&nw6fpYa*V)fv9bZK%^A z?>PhkGSh-&<)&5Go=IWlumxCKT{JEQ(gv`jHx_RAj7D((p(J|JAymc#1ED+v#$bhg zRj0hJNK-)W>>k7;F{<);Uh%(J4sdQty}44G01Ifo(oj~t`Ej7wNAw@PBIh7;y)dU# zwMD(fLH&G25X20Jqne}$%3H;eXw8^j{IA)&p9j}9SbUP3J9I^_U~csSn1ar-!|}5R ztXvdDCnslU-)E$eZc@D*MozF>ohTO+yAu`?Aie4`jbaEafuhvhyve62(>|MH7h<$b zXvwMx_|Mj3k^=CaWB!rp%e_7y0k++#{WlbkZkC{f7YQfIYb@I5Swr5L*MW#(;A>)2 zC#)AQ#gf;QM3|QQ>L&TCgHFrztfN*M-dFqy+)rHB48qbDR5+U)nLYF9&<&P9 z<46Tjv~3JQbaLZ7t+F#I4?4Lrs!WnSQ~R)i3DbQ)9}~vEa^b`G$0xzF0Mqx;1w)7x zD+N#=m8bA?DK)4evpp6Vw$tCLe(ZfIfT3Xz>h0oU!r?! zV=mvenM)$iIK0{43=V(+KnT9@JyB%HRggPn?xj>R={TfxvaR8Ad}8BNwN9pt`nW<~ zQ^IG$lQm*|L&w33f9)4XwtSDDIp;d#__4T1Adqz59C!=3C`z-;PMUe0Qx(H$B&;b;E@?{_2cd*V4)mB$pw>cm(`lK_SUumr>wdd5v&qX zZhV_-UV8p(nVxL#y3jZ9Svzl)@UGHYj9uTjkc^HofBv+8YUDHV*{rQ*{5FSQd3{VP4HUO-o^N~7JSoWi6bed}Ffqw4$sf#(!QE2d z@vG3vFVf{mPG6=LQR~L#z76bACIL^XmO+14Pl4g0-MVU-9=?H`KXOkWeg zR#&7JoLVc{ere77ReTH1E#Tw~PtAE&!Xr%9`{sHT&n7cy&rWRju`@5HTNGweW>Tda zP1U}?AB!4PuT_!3{50V z_M~6_W@dxLo1K$<6k9j?h3L~a&HhVqXh2?1so_@`bE?<+bybwUm&D$fPJG*D=Ny~* z8f&E46tVJdGl>$5dXPAG8DTLf6q3e-B^Qz&Mn@p5irgiNo=QESai1?N#)>r&gg+>? zDM$~US1LzD&P$L8kpeqL4`?bQk^@CjS=n6A?sK;n{u!&;5-Xm6 zF)&Yp=7l74Ya+im2+j~qp^Dx*t27vi`$zW83&pzU7KWf%;Pe3e<}0&|ut8vNevNv^ z*W~tb)z|DY0h0cE3@G98NvlktsYMsE)9}Q>cicjUl2P~J*==woJP)=wVoLmx2H09XR_vnLU?H9g zDZfYvj`prZ2u(rE4?GP0_dafP;UP@}i+JXJQo7ICT%tA+d!Eo6~5GW>=Qu#2d^iyp^zK{IXw@G&P=|9tU z>dKbMFN@=olr+lRvFiV`zx%S2Pw=KPyMX=GA&AYHcc^>f9U+M@tbr@1D83y3%_lIy zG1(C_&Ac{7Tsamw>oTe6qan*Ct!~0a_m#R`*2F@nWW&0SYRzwRn7yuIMYt)ID376z zP>1oj9r5l#{9#4+{)6-i6$$htWL{(kTnC~h=l19qGh!T1xX35c(g5&A@KLKKj5F$1 za0}!Ix|C?weG7fERKGM@dNJN|3adX`f;8c2_OqqjFdTkSx7>tZk9@bGVjOH6k80R{ z#)C};V{1zPm1h_A5dT}T!Aj=hbd+n7Kcf+0EtDNYSOfH7eiZbL{}(IaFWuKZOU@qA z2862K%#EOSXzdU$|L~he<{F?UgcR0#M0gf_D9~X~Q;mI`0LTWo6NkurT&!P+3z?%c zpZTt6DWrguT!RF+T4r9S9=I`Q#a3pE%l6h&*m8v+TXZt5daZ&(p}3{)7I zGl4ou^JYL~aQ!LiKE7SuVE zX-yUTdyb92-G6Z&f6A?ivg!suQ3|Kgria4Yf%wNaf2STswF!6Qdw1j7_=$c8-o*rY z%}p-$@oXTjFp|zl-*oL%i0sCkHpT`%!vW{y+9r?8c}}Tk)D2!|C%YBTy6ioHlz_Zi zB1%K?$^z{w808Ta08=nQw}H8W+5}+>5h}$tW3Qx!bq|=2afJExaV!BlMs`DI$K@Nf z&RJ|(7|2eEUxYS;+S-8_W&QpPv&Hb?PR_~pjkcwr4)d!e?>sS_;~p;^A@fyiA2^;T z2t^!dNlyMm@SzAe9YXH_zc*WRf?TJ&Vx*43Y+&z)_9Y=EZOw6OxJ8!Ivwwruy^)$A z!!)@UZozb6Sk*XCI5TFSFsYLG2G=duGjTaW;gHUa<;a2bLzLBA+kS`EK+tCp`vPnp zDgDT7`zE5${Q-4V?(7+~Cd-=)!at5xc=oq*SAb6o5BHKrv zl;vuMf;o&5s;7ajP3A&|k}eRYFc^m~ftL10MdE=HPE0Rx`@;pN1srpaf)uvGf-hFK zQ3TqgP$*zo2H?q^csvTEC(8M@$#)qz0&4?1T`J_vys7DaSx<0#Ht?x-d-ST<5_zA0 z=A9LTnV;3!^rt_S1l>GkZC%fYh+pH_wE%2Ig`(v(Lq`55IU1@9QT|4$-boC9@hwknUz_1m+}v565?J zSY%y202vhcE;K6U=W4uNHs;Saa484FGk4yF_dYUpCKdY1g3qA@hmN_`>b30Oaz&oqCd7q z22$;jankI7Y|<+|TyPh(I!V7gNac$Aktb1As&T@tXd{rfRhYDg(T`eKRO0fy5a zp?JVbXXwn&rPthKG2<2>u;yg#a_U9gm3ZG1I|9!-;u77M)Wy8t-faZD-Rm!Am&eDfp zIxVDP)a(>+>3FPQ9MpN+}i}x?uOISM&q`M zo8gv!L)sz!C-$MkGC-tUd7!g7<7n|&c0sYaelw3M!z8hEM7+@T>GrHju+MMuBvZwZ z+OUMt&2D9J@ebv^{3XSUTj4#!#)L-26k!r2*9&e+?Sw~A0pjSdBwL^O zDvBHL*`lOohGhczg3j)jqIZH3oTA)A-kh%2OdS{ChkM~e0iRkS-6_oAhk0%z3?5v+ z^_|~;eV>FpcFOoq@n!S1EF+T2pXZ1v-|@R23c8+XxG>1+lk9EV7`|%2^KN`u#IJ64 z6VKO>lADU3nI}-Ls8nJzn}i3vaV~QI4MLfTx)M~n>Uk)xz9n7$PVe0|e95<|>iDLa zD7w2WSSQdp@bAYP`5rP<K_eH%BW1Dlyo_e~NuC?TXN`lR2)>Ym*d-)P(lvRkAIfXd+?b`HIg z#IxPLjDNB>SWmSRbRVVYc#wA-$^RmEJSJN|ixuol_02vCNFLSt#y`SMsa*e2jn@@> zD}DIX!@g)7o7EER_h!!=x>rppPXU#pTuAzxk4#2rb%*agcm(JbtkGbx$duvtQN9M` zT>ON$S)b)vz@7c9+|CH5!L{w8&;uF~Kh1e&x^9k69r9`Y{(2^#cnRP%t_h6hG~^!6 zCr`?JSKUi$R@`u=jymfXG*Xr;;*J}Vd!&7)svH}lz)|@{m#+@WFml?9eP~>f<&jdC zbkbI?oiy#N3Jk5K$mM%Sw;xLg4|7D>gt`Z4@e5k_GWby;Hu&QnQ8Si$^)!bi#33)* zyLLA--{Tltx5#EDOg8)YZj&qCjvlO_(7GGC(Dlm2#>X$N>b@LwNmg|=i4!0d6WH{u ztbBP%R$laU4OMG3ObhI zisqN~j*+z@k5KuLZyuBT2mKpRI%e*NXtc%mqdDR1CDE>61M)a~}rHU}% zG3LJVTU#;wVYL>WNpo&QykAd5k>t&k@fQmx6dDNhzkjEX({}CYSLb*eFOkL^iEOV6 zWIw>CeJA*az&yED(kHv1!@{UpoX2W*;ptqlo={!peOMd% z1W&$uCcm~q5W*ODw&eCQ(cIjrc09}|YJPU_s~LpOinyE@2H&}=kH5GKH7I`mjxZf5 zT~N_J-bv+fIUNi|p;9XRKMO`|93;J&e4~souu~~vh<}Q=pDr%Mg%FDS?P}m>u4b=_ zLf@?R;@;=<>W=FqMDn9vt8D|{3O%HD-a#7bZKT0)Ue>zA@e*Q(89aZi$96+J+22|F z^V&>GQ*&KRpK(I{m$3ZB+shS!wNrV#j2(uhTy1=y`4*kT3||W`-s2t4dwBs)D%f`=T8;H7DU@MZ)Ms9z{XS?)fkSe<1TeH zR19}KS~*(KALHs^m5u107O&9m-DuV57w%9DeX}r3X^a0zE=y(7cUvmxw~?^1+uGGf zmqt_vZ)9yiaA=cSle#r0DT$id#i?uZvv1>ITSE<>rV#(uMzyZAkdf_9)f{xv7L713 zy`$vao~W*AfuSvvclgr^Gx)SWR^|AX@fp1T*8b%}np$`sTtvH^vSy1eE^@SwT_}~R zuInUjRwd=BW9BEyg~HHEV^am;PM`NH4whZZuc`>d##UUs1HFQ}bzu2vU$U%G_x-1x zIYC%}9d~9|?=gYp3Z%y1&y*vDjq#f1xmWe9eQ;${B^CFJ`(N5u-ukE@gU@Lq6KU)> z0d2`(DR*7#ao>BwkxI^%xW?Gt8=pKs>9h^zT-3Cf8@y3Y91rUw69&BYEj; zCEtEFt41k6c9V<(4s_HtjdGNARVGJyodU_SKtYt%#=68hd1gvM-!G#%Xore3#&`O~BT0nL9KW^Hr}l>0=Z<}T?DU(>D`%#(IxbEQN{vNgYOx&%EN*z&_1egM zm7-+Y1^yw3jKgY4IBKn{1=I)H;~aO-(0!$WUcbQGU~GX6TB06Aa|r7YBlEizy#vvh z5T-zB`!M!QZU!ONd_LtV>0VTUOm6xi);im&JdD0Bak^|Sanhd4WSj$xmAUTnI*Lcm z6>NOAp~F!SK1_~A7?zC!s;!-#WV{)DBG!Nm7VCh8K*NKe_t^HrT7exxwE9^4(EA{| zP8)*+a8DZ%y1;D{y90sYKv{e`gRSt~n~N-#TqD1NzQUV|{`6Y(qJLueh~D0;)q?jz zBZRE3lJgjE;t(bys;f`kV;{X)2HKwr`JQiC^6 zG;3f$rJ_AV?H43voEYK);Jgc8GROVv8z=T;df4cif%{r`uyigq^u-|Hd`I*LOThi| zIoWe67W9Ysw^3a7Z3KqkquL(nPA6n&GVJ7yYQh z#N!ONR<<0Plx=c#YLzO*=yP?Lt=*Jmi=_vRk|qWbp(da!O5a?-{Vj?1M{!91Sb}Zx z1dsZIKhr3o(u0yzFQNxJ8dykrILsY!$HE7PG@Ogad!p zQUAiz0&fY#*$eAHh0RA@LEHo_33S?%{sns*ePjz9%cpF3P-$$$|0IrhhoL*T9>qF9 zA|WXRJp>w2!X5TL=t`vr+eii-c6)_oo1}}k(RC~S(A#540e=SJo;00=uoY=>&ZpTv zU&!-FiSDN@zbhIbH$ZU7(~*D52C*Y4Q}jioY&z2|X2ckRihe}Z@kaY@?-q5v*Nw4+ zJF&Z@h!)ZR)!9(>E$+hCRP>FVl#aRNkpg?3%^x2-cF`d!ESr_cK=ZMrxf1q>hmuZv zuB`xj{*o&E#4Ju$x;0dfq7!b&UzAWD!DOJpU5G;-+g?zbVsZ|w`9yTnSa3aza!|7w zH+-!!R5D+Ob(<|6k;mr#!SDvtL%h4{3%zS^I8^q|<9pSU>#T$@#(UU#B%z(w-5_bs zlH|eDEKjQ7c;W61n$tyK&p&|3Wd9Zb`sooj0mmr+A*+&iB+0_&P^*yq2|fLNBDGW) z7cqL-S%hs3`9LO^RsS;l5MS#)q%iW(;_$i|#sa{U7qfd7jYysk7PaAX?b${kprb>P z(VY?8o_V7^$>)$?z~K39Eej5*^BlnM1Ien~dZ#P7Y3Co|M=hvQ7qDZr0e!v1Gu8FA z6{$f-w=jEV(SAwNJu^;6Cj2a!DNQ%>qfbTx#R``2R>@QEzxRIvE z`ttA`VF!c496MWL#@sLtPUwtidyU@tgxRFNzQ|%lQu17iPV>)F)o4M~a43aol3SrR zqPCt1B2-k75Q;`<=#DHJvGQrRi1TAwNf|@CqpGv;6xqBk&hRpugS|}_XJOP&@2DCD zLD{muOG8F>^f5(IJN2&t|MRJFj!m9It0U>(lx(^SlnQcrhrYfO9L1{IJ}gn;zI<43@K*G%!nBJH4UW_m#b0Fk(too3W$-@n}k-pn?0e3$>~z6 zTTM6d=9lJyW!H+k`N!0Js(j6$MgjcG?k$1~Jz|CxWgt+kVyt8W{Hj^RcI`Lj+r20V zp`{j{{rb3!U$vm^Id*unX{FHPo7<#byl$RVO3!adxqIoRFLt9@SW^sH2hj4LN=+jkis1yoi%r^A4z!G*^JPDulvtdC z$Z^U5SIk2VQ5UHG-J2PC_qwjfLB60!S?TU zaClk!S5R@diTF5b`wf)k0>&>ir@CU!VvuebI+Frk z)41HQOf^ij2x?igSrc(R@oy_TNju26>BFTnrug!P)fe6+g~jWc7ykgCo85;lMj&7y zUSC463|0hPk-_ff&;+`#6n#V z;gGLIhnx`tgDJl<0UCJ0M2E@a5*M#I_jokAhj==FxvqHdaU9`8uaBfcy@gt!>=VVM zA-N|fgj%$!7@^gkg0h6SB(+m+ZMB_ zj|D|wA#X&Tz^0wE={L(;2DQh9Z}!4K=F_VH1Lp~vD%R`yCV zXjh8Y=e2I1%eSmT&8c}Fwu){n^=Ggn{y(jpel?P{kw8HP-lwJ|F3pT1*Ayn@MjW4> z1kz+$#&RO$h7==Yxbm?SkI^J4)dwYOlb601WQ6&6hF%nyzfBv~FD7W?I*S0xntFSr z*W;)~d4Cd5qG4+O&~{Xmy8LSK%0^pmT24G;zhc)9@C-N2CR}QwXA3ZVAjy1=ed876 zZb)CcA=f|l6?o3_dC7tuM|L5uCVRpXi{Vb_34~(KFro@W0GYCyHm*t^QWS|u3u`RY z3(s4`X$@UyZP>Kcbt{<)6hGhG`e^fI{`zwm(mU?6Cvby9geW?Rn6&F zmd&ga7vr;yAZgCLW!GwfVTgqwUbu`vkJ-<2?t5wimtXsOHUZjQQU|o_*}}OwczWuN z5)+rL+~(%_NK@R+pUL4J;^pnT_@b01RKZl#Ug8o zR-L@{M=OO2_JZgnmK#C zhSW5DJ%_}B;P}C)5%~&_xi*n-fQahWHX-=v3HI<@uQ(72S|&;ar9cUolPFcUY&r-~ zhtSe5Og9|TBKpB}kaRf4Bx|NMN-kSJk2ar+{yXL`z$rnu0V6F{o}Zno)m%nAlZk8X zQ%5+p_j=RP1LF)}V+pG9C^on&mJOyOUNL7%Fc-KMO881IFSwrsPq_|HRUsqK5NK;Vy}w`hTURPT zf;JHnWJ(ZJ8$p{sR&L)^%3P`*S`jO(k7&T5;!Crh? z%Y~XFoV~V|Oao(-591+`i#WG)THOCGCZOHRqGDvGB<3X1gS+IN3!q4^Wl9xPC+-?? z||K%hjBe9yYWk6DuOSaauMuq?7| zemh;&-@TuD7DU(E(>c&S2w>21sBWcqseW4eFod!%-2Kh5-om2;@LS<<@At^`r}4A? zh#|dQ+lFsI{%2{WL66Cm-e2qaf!=tAhnFEUF*$V(g4XiedQoMWlt<_LmG z+EvGJPadc?H0ElVs_e84fM8C>D^f3N3-54Ms_6^5Ixa@V8U9Pfo&KhG$T_pMI=l1* z{4;zJkYO{OT+jZ^LtST^-gUp?l}E_9YQ0HZHl41%>6vJEMhEUnSfh5Fii8T+XDn~E zE$%$k)lMtMAPFH;5yps;85bx2+dW_cl5DkcW|PSf95V~g4{AvT`X>z-t#Ul{8+;a#%w(QV zKe-B^73SpK>$5T!{M?Ia*VM(F+cmMbTlt7ocf2zftpS3y@pJV4GrS{4~_Oo`J5 z2jsjN{UEEPzUgwz#AuN;w9?4*;lQ^Fm56B3`s|a?p`f3cE&w-qImM{m>95|NV2RQZ zAxi4&d-wykE;YXeI`B#Qq}mJ5`BPn~^(9-CVfkhA`vP>_@d-(JpHn-TcMR&q!zPoJZ zlfFGXmgFT$>Ew3nOi5LZOP+}xIeKUJ-d@529Vj7CY@ZKTwfUzsPTEo4HheE+QL4=M20Z4Ku6 z+`P@cecyca3Q9s#HKf6rX=pOPxlu<_9bEN;sO1-ed=k$nd2yp9LG58;t3l=xyAgR% z_#^e&D&Ns0&{jv^wwaXweN+3+-dVP;?i}e<_UhlRxOBdePd`v%c1)UPr)QjNGoQ=9+2X93-BOjTqacNlKc!7dOx4(*0=7uyf5 z1`ZTd-PALoel9+3+$Rk{9Rv%9DhdsdCZcUC;r%P5zqoD^*ND-UyAuArvT@VY-pP8C zyYAX;FD~!m^SG$1d9!G@VZ*y)zq7C}Fkok`x3jfS^rEM`uCD6Gwfi%(LpvX^6IP%q z1gdria+)m?A`$gK?Um{)B|zTG7z6tXK7k0n1I?{qipm&;LCc7YJ?5W_*|!JNCBmhR zO!iIUk(AM2MaWJkhO~#_yiM}xLi;W@Z(L%5ri~(F8HG;4@fxd6B`ZkJjy669gC?!Z z8Ut|WjW{z^-x3d1<<9-f?TeKk^sj0qF!;3gZiUNx)*JNBOpGV&MXh>MAqUxym=OFn zEQ%23es}`IybC+}wbrPZO9`{&YXL=eQficoe$Z$h>PgJs4QHqf{;Q8aT$iJY*vrOD ziX)hqn-;!?RYcCNvH+O6v=MJF5x*2O6i7Y$WF)bl@9p3rW6F`;$O13l%V6jR_WzWn3(_P+-6$*#{A8_Qz@rWr|d) z=nnW71PbZsc+=|4_l%+!v7hF9WesYL$C2*6Wce{!x_Nfq03~{K*a~cc316M4n>L?9 z`bEd|bxZpeM<)mxvmREY5id;mia94A8-ZHBegS8qK!J65{62TZa z*83Qz4)yJ3pS`uhnrLUnmto!cll-{&@8gZ{8o>e^U8pfHp@)TWR~=-{ZaCiQg5{L5 z@^ydF!e|fxjr!DmCwfeNaybnK^YNZqucA1ZpWK7*RR7z7yUpow;=2LJxT6?86lpT+ zdGeXw`0bQ@UZvobuS)G-n-UzC>PCs(UppBs+gn-glfH`=iLQJHR?G?+7?2rz`&7T> zrMQ^o5l=I@_WP)4(Gd>h0Gt)tgzXbjGDC3w?k)Rd{HnNW5M+-cG<9h1cV2`%D9!w{ z!DDY$W4CD^6@E5;@&f%Q!`%6p=?_mXsxwC;ayU%PEWpNkcNq))1b-um{gkdM{($Kt z>#+U~Jvbf$K_4SQXhs9XcTfsX1b8V-W^1+{&JWKzB^c=xaHReX~|&gO-I zYC(9PperJURk7NF!l3V^v%*Rjn2imH#KTB8Ec89#5lDm6&hw=kb8?b>rK$lvFXI^^ zq&GJ~Pnk5(=MAq#ET_}sMe!BJ7vT=h09AU7AxEcLOKDvGk_+XO4niqwbj99X#E6?> ztj|Bm{;5}e^|06s`osEe_9L15NYL$`@yi1QI|B~;loVKHEJ+K&eUfZBKLH0?AXYag z|7Pkjd&ss|^^S5p!*&h)v4MePHQtY|w28YfrA{ruOSlo#>-4O(=$ElsN-i*b~@~UImgVC^Ev(5_#_(}reCD_m)z^Baf1{Yt-`90G!TBD-pvN^|$=hsK{r#{a&2D+p zqbYXVaLwTEc;$GJ61AJ|xP(ycP7cq(1|lV}9Dxd`7*44QOfHwr)~NwmdAgs-txjRI zko(@bdfmU1eo({cuN?pu!p8A-o8AVQMFh&mM5gtd1!DgZAa~I6LoR!SEn1c#Y4s1(6KP?Lx4TuR#nI zO_8*|M=EBVoXn+~*_W>T``7+N-zt(qMB^!w2VN*XFs+hcA?`0OCZ4uv3op;t96}tN zgUaym5d}E-{!T^70L9jNkIgan;)4E;>G$X-%9Yt_k{q)+73;SSv#6uZG=fP=jB)FF z>9$febCxKM{&!WT{8R}cI#ewzMr4w#5|)0JO&&=)qzP~Z%s+ERilJ&8EO6H<^d=iM z@d=V@&x&+XMLh{5iYu#>Aa1ND1{<(<8VtGEH2bK^|Jo86fBZi z*a{!iXPTf#qF|V!4^zb}lZ=81M+-}D$ts|VC`3|~9MFYzyYx7Xw*^OAhZCzzL85<# znJHakxrmoF5^&Q@5oC)0^eJ>yxwv|qaMIb6Zi1brFi&BGYR4u4VZ>mVW5mP{tE5X? zg@uYlQyVjz-KCPP=_<2M$$b-InDFKLh2AHfp(Vu5tC|*fcR^|%L=$u(R}tWbDXsP| zkruOUxx$qJaDBVlcCu0Io!?2S2v+V?MkbTTYQ;y?ilwyy99X(mop+LV9t+tc?4p`O zJYA7XIF*lsBk-&6FL7+@j?nhRapzeyFd$PU(PWbhiRk0xCZT)m8ayTxrV}-AuhB{q z&!4slS;4fR{s0LvT z1{dC{J*P!i441|)5*@xe6oN^crX)8~f<80At{6GD(7_iX+7OPCbqyqJdS1{VF!}Tc zDPkZz*Yv8vzDMOyciYBr@BnytJLFvS0sfo1?_944(u5_(GxUXW62w^j5c?mB;yj+M zfeu&q!+n(;$>JqUz#WKmY02ZP={Tf*ai?K-;Ln@PQ)rHg)1C&qfW4s5`Q6NSnW1mq zlMrvAVJA$|{jI>(Y64i~IG%nw0ea|pm$+A)SM@oC`n!Q;_ga<6bBy3JAUIGa1UxKB zNK4&sN6KiwM4E~c54iV8f`p(1>~C@my8wz@u?96QMshkjjI?oHI@Sg(BHrMkP@A-oZ%lI3BiY=Q zOnm86%#(-gtbN9uYA_}E%LY`aZjjTmsxeiFpgz2Rm1>0)?;`S`O@3jV@xl4zOja#e zq}dQQy3BE`{Bd6Ct_L+6lf`4Zm`#PAUpIX(4ms=|22YJXmoK+jW_K0u>#&CY{nr?f z=kJ;fyh=KbUDan&qRjex&}=JwkB23-yr!#FlognKs#EuEPM0Qk)OYxi6TA*pM3sYJ zyz~^UH>ek#axBVGKH#RG9gesgX!eqwLk#a(o%zol0XG663}FtT{8l@4lz63K3u1~! z@tM4%-Bc#^0m>^hcTu+qU9&zO^O_6pN3I87C5sRCS%_u@iAhGGU}IB=2?}(7=?4#^ z%p`aQL|PaRiq?^Z*$>WKl(#}R2qvauvtcy!P09ABc~bRA2{4&}!nN4*|oWkcn-XQD~(EIhTn(7YjUS_6E%)&yEVkZN#z33oO9i(DN? z`+FJnU5?rfzv2=PW_tyq0&deMU*|~)bF;SY76d*Xu6pZhzc0B=w)p$_p8`)^Z@0}V z$EJqWqeB!DkfkMI{!|_4#dzG}sa1?10U+KGA_&S#l3ZHJ`8=ca_rfkya1USq{&&AEWWu$ej_e<~~eMYlUv-UPS4pUpp@7&gCk?n2mm zU%x-?Kj@wM2>oB3J|5?4?LPMIldrLd5e@hqk17YCw7L;9_EB$(;|*Fqld+W1v?bJj z#V;*I#-n8xlG=Kfjl-Vlsj_a1!M1}x{YYtQzL_8dswh$QXnYix zyW}SdHbhWJ>;STdg6uo*-8Q$U8=z#0>acB<=3_L1H|yj_TBm_f{jE?`DalH9Lw3?; z&^-XjbKl|bI&TZSOTD5$vNLrP-XooEHzkCzdK3|oHkmt(oszi15$uT{Ux^>gmyIIp z*z5iaN`dLr0+)cdH>&e#GG;VlK5qF^&=D1LvbcfA5@S5Z$Rkm4$W9m>DDs(K85KQK zC`jKEqJJ13`dIzo132hnw`uZtn8HbYS2<#;)63rg9;BgD%b^qm5$1XN}{#;yd$ zQxX+&1Y=TpU%;pFih))8m~RWl)dCiC-0syZa=FH}AB<;k;<;>?hBPzq;;c&77zj{+ zE9a{0MDb6VP@)sVtmnmD;iC*tIX01x5?mAqx{f_h2>3R~>Vz+zIo({M&a7+; z_o&OJ9v#6!t~zMR0rBXgnEyIdJmS;1r{z6 zhp_?Dunn=E2eLk+_SD{<3u*d!RQoX8+@Co9={DWimk2*?TN-Z82AP4*7Kkgd-=3?- zd52iA#tOQ;*IEv(X)NhB0q*R}g$BubJuy{nJp@>0*1R`naCz9*VVd09x>BU0qQ;=K~3e0@6T|QP5^= z;RO=5@6%*=)XKTxGtN0bgqf5j0X~7+Mr?_3& zP6x!76*+=+O=~0=*p6u^9Bw2OOd7ghzaM!BV@d--74p8O87xj~BYhDZ8NmZW7|l3H z(sUTj@PeU0FztmlsYo4xO=?JmD|i^GUO)#R>KhDX4CD6K(_}5_BT!24z@W)WW5O8A zkL6ozz}b~#!T@HsByTM)<^>xBTZ=L2n>4OmVMOnL;>~`bIZTjyTlcHL@Je9#uY}OV z4Zz?T0Z*KnJ9lm;us;OX>N)$v6W0%DL3S2M%$BEyGOji?GNka-u0ukaRIh^xp6{Xb`>RNS!+NTngf|}-{CJYa|J6SE=scUY@>Pf{s zm(Ot}(=+y8N+#lh1a;khiASiEXvK*OuS0&NX&3~aOqr;J7#yPBLKn6_I<(NGUQ@wr zauvv~m}S%im`w$foy~I7Q?st3#o&mt_1I7p%!-0p0cII9uOGOTZqX#_KnQ0drmv8> z>zIf~j@|SjVBe88L9qI6^s&Bm9jN}lkW+#tq=b{+ilmNWMl6=HQYlF?q?l@{Dd`n! zNc+7eT9%!{=?c$W9$jvE$qv#HSZTDGYoe>HHFkS)W$K64PHZG9MKMyT2Fjc?G(AjZ zuplTU)da>ho{tr#JDB&u7~=^+VPVPe)RXM1Koz>fVkl2a9f|UFrCtX*gk>dV$rijh zfYg!i5%T$bPt*`HO%iKOxn`eZ2s4`mvl%U=x$e z7_Vc?j2`YB=9p6d$~8B%GQjI5s}h56G8q%>*|VCRIwzaigQsj;`mJr9SN#+IX0-8* zJ^3XwzVH8ljA)VdL)}OG@$p}XXv?P6-zy6<W>^Wsv zEtjfTHx{dkW#tAk&B{6RNsBelSwfari<~@Z%XT>5CL6`a$rf=7c~1DT_%mUjcv?6e zza(6;F4@U)k`o%pd?Mw^Hha5s+L3DG4Ni01br#u+$`@2E$hJD|w8LyGTUEZQqP=Qm zdS&%D$pd8%IUAj=pkaVIpi$t`9+-ONLM;U}!ETSdkGQ+E7QHd_b$#g?s18> zkeyWCd#N0~YGTU&qIYRg=W+CY>56H&#h%u31#_lx!Z=Z=hWWhE%W`s0Z!NT)PMtid z4`mpKv$KofHW||AICav$`g`3yyI=g>G5?L%_M-(S7~mfszdX>r4{-jT|1nCPe{glz z`rY|lzq_$(6s>;$J@k!{-}*1Tf7t)%N7M7Wkb4jXpY_lB@Mr(+y7>u+`CiEDuLAOI zp&H%vG7(ink=f;|sve--RZ_sb1 zx@MEDE9#n!;;a{ExPET1!YN#b{~N`bUH2A&QL8O3U!4A$y1Kl(yf^Tu_L%-;aI^k= z_!WIv|GV}#-2jD?Huack>ZTqFL{d1Dj0fe2X@o<>4g}&>GEr%<@{wRcvn(M~%{goX z4m4WnXuD(v0R{@WL{FLZBGqgkvdnfY=hHPCYWix#nri!Qc`g6n8kA+tz_L;|0K{I( ziV){{;-U?x;Ub~P?;Kofai;@z5CExPyC+AWD`1q*P5ubQ-qZ_HPj_`=o*9|PN(JiS zDnWyalT5fK)T9U;npf@`AnlFnDmZQx8Kw=I;_Tw7zZ0#MsfchgVL^wEh+CeRBJ<=U?<2$;k5K{~^0tV4J$m@IB`~eD2Hl zgMS&T3umey8Ed>}GkRx+(nq$bhp;-mUJ|_UMC|ZP|V7etAgU zuf43lkoi&e$Led^Yj&!t&RfzsGnq?>xjf1-Og>?954Y!-0VpoDWmj@2iIdr=+LCI_ zqAVZfDY5u!oKLlgsZ^Bp`&=_{L7AVgKqW9FFri>a zVo@&UgOu`RZsiGe)q8uM{_Udvl`|`w7j9Vh!_R+m_{)9#N$tpQ-g>jxjV>(RyL;cA zpTAqV`35?#KlSpGZ*1$EwXPxd-R7>t15f{P?S{WR7e4UHb1N1U3Y(f{j_w%zVB7ZV z6sc{X2TlSjhL|Bw<#7rU&OqLIP8`SEMtrM^&tTT0FnE=pk#1>HQIn@MJKkCPqRulQ?PNMW!sj^92mh>;ww zX>xp5lVhE37*iIk^DSk`jUqSNAH}PqTcUfTY*fv;5|U~bK=SEOs?niTLyB~l4kfN? zBLg~=?$V)DvZ*A$M~BU&iFrC`JwFkF0`wJ5GgCqZqpJKmK>kLeQ2>g;tvR=@;SCk|?@_j}XE$01?bbFy=-0sq3x;Zgha40ZeEr<)&gk zL3_zCf&UTmRO~esS7CGr{}N+NR}7c$=W!c2&|&@*e}m`2g}yW*ul{x5LbqOqYND5; zLlr_JNyI0d-X7{Tp=nUB2@?vOW(3^iF-C)v_|GxXM06q%IP!mY`+wAQHogaYA#EoLf|0_2tQhW??bfbp(#^a(7|`gr@@Jw-@E0RXSn?B*)->Ih6(MU z+5-LE%jKDzkvDTrk?AywW~VcknPbc~=QvB5CB{;7iKD+xUe~IL^a?sk#GCEu{B)&{ z?^C{IFXk63E9|xWT4j^Ho!_oJYiqo%unaN@lp6NWvA5ShwV2PIH2Eo(<9RHEAo&s? zQB=d4rbH~$w4*V{0V&xt!ZVIbXT>z=>@By!axy%|;5#D-F%C~i%61~Q?U;%vrfd^J z(@-?c)s2X*8>Xlb$L2Ldhq{6-@vNh3nkW*2VNZ@}8U{lWu~Y>?$im{ZdkA0x=!9WqMDcj9I{?KGN&pBe z0EA`2WED^Y0zn%>=ogh|-~C4>(Ji6arynk8sB5|Mr^-`rSN_}>ibX1Cp;mi;`s!yH z_EI@f`Pa<@$JyV3i7g#;2j<*4T&>u-fF28vdU@zZaTJwt-ppIwsEh3;-D0;oBivzj zS(0hFW_r3whcNKg2+V4A7OOL%e6|?^j^4%6VJF%t;~bX{HObS$IkS_SL1xJG8^1{w zb0xA;ULIa-u0sRdMzTrX5FRiGx!r_nf}Q40Yah2iv|oCa8z(2sceu0UJohoV5I$#K z<*t!y;Vb6XKt_J*8Hxc-I7&yE&=E@QzauoL;#^i3JEA+1AyD0U%?r~=7Z|JpD`9{% z96LQ@XpaG;OMqrkM9_&?78;GEg;f>lx@wq~B}2}zDzl0u$w<(#B}$g%G9vJw$YND> z6*i(MEHDzwVr;3<>KW2%BOB1^DxQLsakT1$D-BDhBvu+nB?x@jmL9ton#Rt$tAFaRxojp#<66POidQy>`xu5E2@-Ur_>cK z5WXy2YB(C}vCL@f5dsdOhvgsw?{dj|2&~#vvnVU|=|>P#pYD+*8etkKRt(mx7_89< zL-c?KZ$|gUpuB-B3+NtG)=EOeT@~djk`^_zKZY7VDwlEdjmn`Z>2|v^j3@E$D=!W9 z_Afy%l;=%;iRG4#{!|4~CKamPOF@R`BuN%nL1d{^j?z`u z#RwV~5)@fLAcc@Tj^myvNq{TppW$)rc%mXc;YoWX49FSvR9RMBhJ9iIKI9{4)DwYC zBQ@vb$-X>Ns*>oFsz}1lm>RC4%GUr?34w$RrYlql^+5bTs;fS@Y4Z;2l`PA)ELpN; z%W~w1Bu?VkfqXe6W}y)u2_GR4V1d$NYH0Zi<-?&cxN!%R@zru8TaW$$?Lr4-gRvzP z3T?)YY|C-Cwp_RsxN8ntu1z+&k;3&T8x$vdf3Hbl7hC>Gr;GHy&-46#&r>63THx+6 z(WnhiH5OP!s@)=PY7#y)bgH;n>9|>)u{rHdOV{u{iW$C#$an+iiAZ9y+cAl3_={=t z`6&&;(1IUe%#oh}DAPYme|#I|G}TR9g!C`d=jhc;<52yUE$iD*$D`BfHz;iPrw`w< z=$2=wFjYm5HjC|oJ)#MLNRbeU#D%fms;qI5X_17Gh_nbRBgxX&gk41keTM?a1#cK) zD7d6T=mI3I9@9>Q&uV`R_h`N0zk6?lZIgW{8yva}Cd%z55rPDXO6YCPP#LP>j}}K_ zaZ9{-nq_M7JllfkGTX}NM(1wl-<%JG2T{2yCL)Ve5{jwiIg0;ZQF=Ztn=jVjLYd(&0w=-TdWC7gqc|Tq}DejS7z{Pd&(YPWH3XQnt&_@)V%azOUL;s>!(((>RXN~>h^5i9PIS3xx9DJi6+Ue=APHp zB|U2wmaks9;@1Vi9nJN>+c|UlOhps|p?t@h(c>4S{HfO)%*E5kY`XjX&hgdgMp&1^ zjU`i)&(9kF6U^vcn9&CAI}#N{+ss3Vb-F@qC0oa`wMM5w8%C~Pp-{I)+FFm)_+uB#bo5rxAjbck&B}yq< z>oVCHt|oRF+ZX)K@=$V0UeRKusVp7$Oovw&d4K3Kk0jpYll(T`VwivyPdLi^Ne!_B zz{AB|!XX~W1mwZRO+p^HVwM1&{3}SVpa}UW3khKrOg>F_Bh-ctqfT@eSqxN*W+4Va zOas#5;*l8ydLcp;L}C(h162^A3e5I1z%X*F4<3#D@LZ(8BaoIorRx8}4zQxNrcp}c zEB#XX$wd-;@JEi53vu@^JDQTTz}(dnGC_w~$d(AVOsfsDeiS=1l9UB^@bq z=-WS?Uq#27f3fl8yBjv1WV_Pe?Vq*(qxC~~hOWJh-n`KK`lXLAU%Z5;O4INyW)SzQ z0DA6mCW?t$UC6~FlWL;YQ4D2q>pbVzEj$vvoDEjbk=1ifSUo4f>N&#jOII$!TMtS- ziE{Wtbedv!B150#nWWD3%vF<~qM(Vax{D2>%f(Yq-ciS|9ujS& zKjmiO^@aGTfu|03*d_tUBoj`vNOc#)@nn{=8*D4XH50do~>6m zv|QPde6!?qdeq4c8{hryPdB}@>sR}}Khlnv*Je+k#fSB@`;(9Ue6jD79>A;tchPLD zGcU$$uBjT7?!}%e!6xj@{8DBWyVkyxw|QX-l4aw|PyMtZ%Yz^4_QK`z6YUT!N}L`I5eMKE|iJ+N8r0ElHLv-N8{^ z^a)_>NH^=oYQXz0VRanvVD+dbHpHLBi z{F)PB%o@n>RY)Pu3`JriNR4_5DV-pd5tvRe@)5i+Qcw59M6@y8KT}F!_m_h6M(BiT zJR$puxDqw#R1FD202c%UGC^BMBqmW6<&>;&IX=J(J4W;6f7ipZuSXswy#c~&Xr z^OYHVono~IwO}z{pcLoD`LW7$zFwKfJLn-Glq&%cLbX#LoE?C#vn{Qvj;-)CJh|DBHAnrm*F zmz&pgZ&QEsK(pSGZJE*De7ALXwqwSU?2;Le>JMihp0Todd-Lh0i`h?d|7dbNm#2>? zhbp(!CUvYNc0HMeb!0mkCMOBVR;8~zTdS>&n;i|c5z|cRHr^mti`UAP;>c}rcQ5*7ij6sE0likmF-v8e0E~X&()cyhL6AZ z_PcLO|GJA1^&(WQ6n*F|;zHu5!K+H!g7P|GbJPy?Xq4g9T(73+8BWAWsTw8mT1`(` zW+V{hLQ8R6&|yJctTAp`hC$qd*OUx_kjBE12PaV5i7E0qfqqjSq)5q-2w7k(`MJWB zaFO>9%d-`ouEe5OY$*=9Bd)T}9dHNTahJJDDnPuJZTJo&upd$nnRA{n3AIYq)Ltoj zh|*A28uFtFt0MGDs0WFHuvAcTcBbbqu8NCl)WAKIq@|do5OEq3R{JF&@){ z7>{IV)Bs!(0A_v%0Z`L?AykR3n|5*zhLNY8U6pOan|`sdvhlISFP1$+Za0^tQPJUbdhG>yH=o0;6Kn#juaZZc~orpTIPHY!@t5fI2 zxG?JkXe^y6s^IE2O1Q?E$@M@ZY%d#NgKU_cXMC8AFom#sb`nO6g<4rz$|lZ@;khwW zekwy|W7nCDNf9p3j7bog@(fw0ar5bIfYXyS)_xgFg#4E&zhQkoIj4|T;Z&ZlR4VLm zCr*5kW{qE*23j9~_1b-OF77!&-Y<7BEzrKo3ffLh^9)VX8B>^+Bs6L%YAV7U&C=x# zEw0x(@qS=*&jVCO1JmrcXr0w=by=z(L$9C|joVg8;Guq6FX-32i4`fE-BA z@@6?#DVg8n7>JiPH1;p4+Y*)|B`@Q^E;vqN?p4;vgsusLLf zFXww8+2o7m#2H47lnbAhN|o>eZ;cN5^5wrD%7l;8-X4^0vbP@jiWVr%Q5_IUU%zy1 z4LyGL+P?2#gFB3?f_tRX>ZQbx7jUc|OICv0ck7Pbum zw&eiIOB5(Sva<&7iN4j|}{%pXSp)r z-l{H!_Ld`l(4V0hTrM-QTn3ke@h4?RE|Q=$1EcW?(ET;7IIA zGnXe7=iaeSN>wZ}2UUlz|xvcT!&K2M6K6>!*Sd;RT$5+gK`L(g# z%FvcAi+}Wku|HkcTpvTexU{dF)Ks2S4%kQRkJP^;N7T_IXOePDkT6f%^cDN0f8HPW zS-p{prQ8H)F3R1g8I46^(|y!gK58xt)s}^7%bHMI7J|TPz$fGhquR1iZNd2SFrXHy zEla8~q(ch@okii#>hvY(rby-GkNCRG)&!8x!vgSScb;U zL^a4WcSyMnDTGm$dd#~a#JgVIcxL6k#kN_Q`Pe;A{){#5KG?Bt(d@plCzYK~ti9u< z_r{KaLLqmJUt~=X#VDzvj~!0?awkbZB7!U~A^D{2)!>bUZq`KR^Lva3c#rWd{-|LT z?YW7$?i|0vUYJG$3H__|f@2}O?idFX7#9DWiznP{5*P_eRCE5~mS>$nb zwYJ)PJYssaOm~1XQqwc&eKME^x^y|{eRN;W>UMQ@q$1BBJE$3CN5%m=SU_bElGB6Y zES(bF*6VduzwI1wgq&Y6Emxcc{n&I8iAmd=KsZM*zO@+N7{)h5E%MKQ$*vyQCayF7 z-t*aa?|hEWv2*9MW5=-_+t?vM;*cdkef()dp(LVNSqTY?ps*#-m4uW(0;;e=11${{ zRMASEN&!)=Wh#NNmPx2+11d@*G67vng4xyr4WVE3hWC zCnVMAHU4?}JpW>8iPEGu`P-ybN~`*;zRG_lblG#=d);?AIOrJ+-VBX~w1|*WQw5bm zjry1{Pi<8HVZRd?QSGigi&j_&EUy*j*-@Sm9gwjqn{tcXB?}=AVTi-YblWY{4nWG@ zvG4bCg6>}&ORnqyLK)6l1d=UxVs{0p$S*#ZP|R>m%()gd zL*qp4?i{IV1s(#1Htw>FL$CXA&E8+{7-)O`#-_&IWv(OZHoX6C=i1(pR_p1P7cSg2 z`o^J=`>#AvGko82xc}_Ms~1208&u)kkygu1hM|fI@l>P zdx8go*0ekA&jjbV=lC1k4gTfs<^GmnSMZAMYW^+Tx5{m=I)Q|hR6#YVR30afDUGC+ ze5rip{aX9hxaI#Jabm&Y@fF&|Je#LbV8H2l6{tj22b@@Sn$8wyms1Fuc9zZ{N6(oL zOy~s0<;Cc709P&ID2%uq92Qw#2ezMC=@Y~ThEFy$}8Ci z3&k+6Z7nN&c|99}U}tf9T$gNaUobSB8i&(#hSKz(0)yJIi&v5>4dCdNO!JLH#ZsEw z|J3P`e?NEmg>&r(hl`JHSbOAybA5%C@`wKZzkG7#^G~3| zZD-J&XQ;T)1#{XYH&z845z@kJp+Q(FbP6`=lBh%-ZWnbRi-hgGB#=xKdn7D{LvHLQ zVOLi8nd-xhVVu_cujv}sXl$H_exxY)8Ai5oBEK%b_Uw=CDeEkQYV+NWL6#gyO*Q*) zBZt%r-FaKiLZUiwb50ZJBIHG6jbi)3M_MyWmOe6T*0iObpb&efeQwRW@!CvF$M6-% zLT2Glugg4V3x-ELd{eJ16Ee@*ty!zz%rJw6oKY5A} zwH(XdCaH-w$xZeqrODAIwb3@Y&EBT8Ir?IKac4XhjYlU;jW)`Q?a#!XNpwa!qg~N` z@>|N@#QxIPCm)u7uN;aWPMnCHi)o3R5)J2qNG^!xf<)FGj?IA}k_)1_Ai#WunIEif zl;S0dEcimP0>NGu@O?zyGs8wHB)`bW81=?4jSq}VhRtad8P6Fv457%_Z4l!$!=`|p zi}w&_sCrZgP*@-eunkzmf?FJ52uwEs zEEJa6i+tD@HB7fRT@K49LD?DJ>B++C zfh11CQ(#3B$`fvr%+)CiZvPP$C4Kx+#qngirTk1e$&`1MlX4Yf97W!&-sMLwl+7}u zb_iet5SRvRA{2FU0(SE4IYVO%anB5K-pJ#`pm0(M-^kfoV+wRqM#HSg$EbLS{i*EI zQO6|`{M4RWFisN>F)a*}GDGe8)swQ$hLJYEu*1$i?9A&~^<>5;1|wEaY0RbOt8Ud| z3p+x7L=&PPTPLz1=wV-r^CEr}jyM!)g6zkMIF)TF!H|>eZ&Yhb!Z>TKUcmB=# znLkUu*0AMtqwAQmwsmuxrcLs1`*{DN*7I8~eTiojuIgAZXGX+ZQvUeXy4sD2qSV|? zzw$oW^kh|}FyNM>6*D(CHNO3eqfn>O(eFvp`X}fATkK9XjKbKFU!veqQF@d<+9IwIyTm=BfS7VRARZIXhy$Wcgk}v~C1#s7 z55DgM&JweJY%Jico>kCUO$NQ6MYb_%mQ|JFpGX_>;;FqWA2O+|{SGmGtPTRh4`o;g z4DYI_P%nUOO{Ge7$X~3|6=D2d#h5JO@<8f|>U(1Pvel*Aww*Y6(w#~K-+5boWW_0m1kG#5Tc;A9jALQso#$`8!7<+pCDdc0hp#^%Hgxp%%3A?Sx_oP#99F^Rfg58>( zk-3Yd2~}t%ULEX&k9!rJyA(Yi5} z2y93)xs~-_wzjyELlbAe55?s=d$d`pv?HJ=6N$>YMv)edSuR zclgAsQ)(AB?A}4D?_Xo+Fo-PQFmz;myO)sJKY!ZPtrC(Y8@9>FN+l~M)+ii5>!j56 ze)W1kI~vgKV5|NQE3FuXUDY!1yTesYnaxZ~Fc8LcC)gE_UD=E;Od2dsqXZkc?xf+8 zG}73>zFjk4NR*{fhz(9<0!q-BT#YK_xu{lNgcp&;($jP$UP)S|R=NSL$Lq;PX#-s^ zcjIpIl4Ym3LwcG14!uENlaHc<@@e#;*ehQ^=j3bXs{Bv%wR|7ll}p*{$X=w$2^5p7 z0TRucgo%eULAo%Hk|;Yj5vovF5ovVXCW*6SMqx|xe zXXkb2acAz1?OpFWtQQt93}UU?#td=l38W!lQIkMZ7FZRO2t*(uw3G_s0Fg-DJQS(@ zkwE*SmHGhwl$Re1L=B|~(WFjkMM0&dqN+*Uw60Rgp`z5g{qA@T#Jh7Jb9crw-#y@F6yEjQAryDs*>8TbT+wsj6u?oqD=Xkwgd>6Axo}5DJz>(+I(eN_7cg zxnN>5tA$~x@7IZ5#;L(j-Y*jwMxmY|A6X$PJ@8btQ~Ua6?#O5Dm*zL_(P^CNUawshGIaMCXF)jEp!}N1QAEh(MnR zuuzMbP!9;qZ)E z?J>pF<4Q_Tayf=#ilG~}#vK%wJTSM7n_A#GO{;Q`AMLe+?wNBL9QOly1*I=8XHj8oOYO0n~ zS%eg}t~!4R<#6-PN(D=z4dX+vmRSQkTX6i()&b zHs*e)OOdTD_!ICIb7xe1rqmz4snC;T83;?2bxJj;QK;j(!0$b$WW>RMIrnANlV#P0ea>07XIZue<&IA^4=zXYy-Yy_t30ML*R-wZ@i_3frm3n(9nP3- zBkJ9DEWE*b(b{iGRv8}-X{MLr?Q|a{v`oGd>b)-B?(TDmD`tix;|=nQkX#ZZ*Wp2Y zJAQjpWQme1CQ79Q_!{_%Br7F8x|dl_?E|llYgy+;ZU2e~6YldJpt9d~E~vKCB@Qtb zN6+yYtJVxy88buPt=_pWP*u5+t5Pti;) zgTw;R241p0y4#f@9-w(}K!Tr$2k=J4nwWiEP>Jx0Pzpwb67fmAL6FK!sYSv)m9uA# zcE{R|p8jFSBSXh3180xUxdsIDgHiW=^1|f74@StQyVptI;QxLLOv6Bj{tZmy;6K-N zsE%Pni4k2PiUo*dkt1gXKLVxO5a|!&m}QfJBJ^ivGFZ#rj=ilNvcJ#H$>)@F>IY0` zVSUgj#q~O?(dod8j92hmhF0KTjTP0RvBrJ}AEXD3VNy0P8SmS_ajr{$(0^zB$+<=O zbaELc;x0>AU@R2DZnhXP1rZBTN+3rB@d6TnhD4VNHz|swYPyaUMVDg|SUv`NZehkO z#{g47EJHFKsxZQ+a}izCiIYRRhmbB2>!O9NoGE#xDN$XQB%(kiV48^ba?F=md(A9m z8x?(TNI@BfLuIA1Uy+nDSrXbAX)nq4LM)fLeNmxWnwSy`&A>2r7%T2ZQ@ zwe+%c0g1zI@LlapxdQ&)j%;|Cz=97#M@{J%xps-!6D`+n*&F1Jgp0GwmHzl zbTF+r#nKMsg9ZUmKyca`g!FX62w;L4K2SOI*B{SM&&!>D=+E>4&BfRUbFfsTg~V9bghm-h2G$`Ct!9H5_#^W;(!?;2e@(Jf7p!g9$T% z408tc41>lwH%&tkH$Z78O$zDv(j;9b-GdBb5qf2^IyAa@$jR6Edz|nxUOdEb7JVs2 z#ZnZlFk`lwy{5F%teV7xUz{p1hz;o;NM-tf7$JC*JD^+y5W#Rf;Y^Gsozc>c@y3KR z9*s~!6b{pf(f~=&Cw#jGss0E*z%-@vbGujzO21W6^e*?5lT>w)%D?HX1^whTLU?F8r*OvsgRCJ!)Kq*J0%n!^O- zk6_S6g>004ctyI=LYAVq=i6wg{qI)quhHx+1j;csP32_=FnX|K5(1!oz31IiG70$RbDF&myGa)v263P_6 z%ee(5;w@Kbe$8q+_5~mIFj9iZj=^pY&cU-af~TXWiCDty{IH0k2xas`yrYOq(cWu7 zA4~+H){PCP0$r<5JtEB6$%Y^*yJ&qtJUANb?-NT~2Ucb_cQn^wbwOKmU7q~p8*3`P z(zBDl+`juc{EzQRn(}|fs|F~l>k6NH-&@{;{pT&a>_V1ZSQc=X-G%)D^(kvp(QyPx z(AZ!$bwE2}{X=w|#6*ZmlZ=T&G|M!`$zZ?+6FZeCI@pdsDO#gRBZPBk(HuJ5glu$a9hz+3y@L3X&LXlvpIm5(m zUu7o7$Z*J=mz&bswSGfqSM&O=)eV)i8yaR;Ht@%rJ3E`3yE->iHZ)YC;F7D!0A0a- zguBQ&3LL48>QYlac0d3(xKXpXdrTYn|3lB}_7#oo?Tsti=_ifxcq3u}9=O}zs{Vl| z(nAhJAWEK(M&3-1o8z`O?U&OpnlIWe+r<;!qs7!+P*hwL@RW3O`_%7hk9hv%x#US( zE(S{c%lsSs)Q`o&A$M`e-$(1CIXIi$Mefe&)kZMd!&gNxmz8hC4l9=cf5~x7nSRpW?RV z&&-?dB}To;2{|O&Ni3;|tO6oAzrsr-t-(ni;_#87&U8YS3LkLi)-n0_+;aqjVT_dW z=@}-<=jFO+IF#F9B? z^4yu^u2ZLyyFUDgtUTV4yzpK(@pg3)?>p}%KTBGOrLA>-OQXkDGN)nB+(jYR?5d9S zwS{w*wyQ4mC)-c=C*L@8hOFp6O@7(`Y*+Hry?UV_TJD;fjqzYIr>2o$)DcHU?Y8ZuPZgyLeYzEM<%&Habirrj~I73fn;FPguyE|Xh;l}1km#fgJt1 z{ld-m{#Sbbvh3)lU-dXP**7j|Zr{GH?&bf(?FobH+4jM6FZ4IGtbS-+&^Gi}!@|axt&1u{C zZE41IvsJ^XDK`2Pnr6xt)LI=4TJuR(-|f*}Rt73StEG$Z4l~$mFeu=gi8sk!jYa|A z_Nvti_&Z(^vBHNeoj!&26F5`>H*lx|{u#|ya;9x*R%;gR$vT-u2ePhY(JVb)X0R58-zjiGA*()RDG~Oj| zk#qc7tUEZcNA)BO)TUw?)5?#1o`W&NH{h?rG{#|m=J{rFZpRK*Z2>taf-=t*)v|fY zG-Z}Sju~Vn^%-%F2+9-+wcPi#Zai;)5q+Y=dcaDDg<*+)EqpD}^TK(Fo)At*^nh?c zq8&nqMB9WmiEa_LNc5(7(?nN^t4y>`tTR!Ks4-EKBnlRdUJac7x{kX}by`X^vKC}5 z=_55!zqD3p5!wV!AX2$W)@e1eUayN z5J%z@h(Gn|Z(fvZiYhHj7T-l^sX5$LTCF;(Sn6`LTCvo3;&&!WL}H>>gewvq5C$ZA zQaCBm9-&8~okFKXcM3Ztx>eXJ(RIQ)i7pqHn`o_AJ8mhxM$Lg~zr<{#(W1$8Xe=V` z7ubscK?72d3DN0g4cevK$8_11N*EUCG2y&GcMHE0Xq~W1prU|e!E+Kdo>VMf zOIk=9;S{!H2)LGVMC62naYDmN#tBZ~>Db|7WDwYqWkv&bs);kP<4pGUtOxlRHLT*U zk{OC;H$>eRgue;&eW72V*F^HV__j!!#jPS;BrX>z6^V%c8h4MK+}SqV@rB}yd$u|5 zgRu>cTOTG!%2+zt;LkkBwlMyi@?aq!-!F7N%C#}!>QFwzOq7YT5akp=N-JJzo&ZRF z5kS2ZKywm6_cVZ^2IUrj^cp}0jx#$@z5=k|7|Q@a=3{rohU&B40LaF*?bxy7xSTkE z(*=-=`^wXyi~_j5C`SN_u3&Mu4ZwRGpcvQo_W_jR*w7~c;b#CMdjQH!0Mi}?nDHb) z1^>Tg)+K<4djV!+s-2S!F!xJecr73*E^(AF1dS47%$^um+)YH|zH1Z}4I(0fq9Q1ZE284AxbF%o zxJ6C3EE*M~iMWgl-NFscXz1bT z)yTVXlcqk+nzv~AUaP;gZsYsD-{1W|2x!~x!}c8lKkE2#r%yV6`q}4SeEHSa-+bHU zyRO~3_vqOxsCS>f(69f1frGyPVQ}z}p+62AK4Rpk(PPGr8$V&;#JtG)Q46AD7A}fiyku$IviOAMD-x5EQ&z58y=LvY)b%WF!^TbNo40J; zwtdIWUAr^(?A^Ejz`;X@j~qRA{KUyqnOUdLoXyUGbLTHyy!6ZED_4Itny%&Mfl}37 zZnVDKstweKsW=ViV>BjVI-0PQy3q+bMb{WI#ws&6HkF052$saoDz?h!N>8P?5~D0u z5|k8WtFlMAs@&m6`0xC&uod-$tMC=yia$h&%Pg1Ys-0R(by4-IL2aV?s4Y}q)lc782TFq8HN<{!a9Y%MO4(xOlH;G%Is(MH@7vnH*YrIHkVrZz4+k8!xBrW zrLb)K8tPgUnUKMX7C=D_S)S3wu$BR6Xq ze%B|a+N;MoWPp{lPgpkd_?3iJgpPH4wu043WYdAJy+s~r8;ZetAK6g!F_by8vyBz? z-_LyBS?@mEdWi1ZR5!8l%jE5N3p3?%au1#GB92u4a$=_WJg*c4ts0&D5zW=W)XH4c%C8CvYd- z!;VZaMM4GN60Q=B5-cj>q*7Uj3JWKW{JS3qzfAJ)O71+@7!H0PfFAf3dPm)*{Z9Fv zR3B8IU0*%7RmOcD%`^?29hD7#`2y}3p%|#xHN}?6lUZD=L4OV7Clm*M@qOIl(W!h) zPZ!Osa+k?^p~ad5*|l^-%Wj+ML?qHqy+b&I{wDRN;K!d+D%;Y%|0{->If%N4XsDO} zUf4W#?_m6+5XjbGGn8`2!75&)0b>*omd_FeDax=JxP}7jObWW(Cs!BdSZ+@>D1s{B z?@@=-zsr)gE5s0{27o}`W2F$s%L+cI1AQ0u3l9X1hXvn*$O>$Xhb-Mg*bEhQL~0;~ z=IVdBrDpJtawK9J&FTbqH$s9KFvI~99%mqcieMp->W9IBEHq+>PH5*Pf-?%7oR46^ z^-X;1Ku-Pt%-k; zLem(g)55f4#Z}#f6&OlsgVQ{~(~G)b!CW!Hv;P}&?<+ep)dtzx&)yci$AjIv=e8NZ z{~KFl;Jgi=eHQ7e9%?z*n??lMWl*pU+s4Q)`mawLG4igcKycU`?8RRynURjuXz5#; zaF;$406~s8t6muXX(ZkG&p7H^ikyCh$^M0VU;Zfj(^xz_7JTPhf}9a}04iG@ zsb?OwXP<*3I&&X$JhbMXCW{!8UZ@R#IO;Z_iV*n@RcM&{6(e`gnPFJUQVPE3FWTcD zS4fzM@C30eh_#=T6$4y6;exMv6tmgOBB#fgH&o!)+VZtq|_DSu4C!v%0AX2 zOowuGlJT*Rs|cA%_$?&v^P?h&V?hFAQFzKYQ+v-{P*a6689$Vp{0nG9vF6DlQ*wuz zg8!%xFct#j@{XDUuN%*7sWOLr&7D^TxN?W;ByDNs<1rmDbw`#P5pB^oCR-Y}Y>D{? zW9wBf5iskWFVQ;3YwE$=lD3Te>OL+(1@pol!-*DzPhka%ExI@z27JzVF_Y369s}jd z)RqxVDQv>TUT{u`HZyx?zS@M5gUPPn(_e!7aK+K!|PIgVA!H+vpfi&cU!dIT< z_#gYK9x%Cm;%~M@!vh`=afiwR8O(?E-mq~8cU8)3uqX6yIyqD}Gh97E7Po?*{#EnT z0IZqg6DGZGP+9%#66O%)nCe*9PzI5DJk;I zn<1hA80J033>f0)97`sh$mNFpkBN$9r6!9M320OrAvD6Fk%FXG2^XwfVA7{`Ozc0> z=toxLO*Rgh9j%OrE|Xe43JgW~AIJhynkYzdee`fr)Kb$#1cY=`HqtzSLtG*-u>`Rq zEi4jdNrfaBhMzTue(?GfDmaJkN)bL}tBZ50*_8vr{C4BN0l{7y>wGl<2D?8HZBKl(MZP?M0_lkl zf4n(-;u7y$*X-#CO-jGg5FZ?Tx(oH?lFQ{Ds(w=X4Snw}c!yrRGORrC3e8}@l5yWs z_DpKN+VqUac*oQ_qx%hfA3D52?O>b?dh`snzVQQdZf<|Q$B!Qh-2FpUQC^{ApE9c{ zsdHpM|BS#ZK~rmxQ>Y&9tw9gUaw}Rx{Z_$;OA9QY#8H~6yW;H$| zmSD9*Pm(BX8-~0*u+l5jS2?q$C=jEXIV58`))vUIc#vS);}u9fx%2i7qP|tp{|oPd ziZixPLhX^M8Q5A4=A}DL4`AyZ@H<{Iq_c79)i1&hTFd%lVVW&Ke{sa?p|2m0Z3aI3 z#xR>!z}uv@B#K*6cn+ZuyWGHm?U<2AX)P;qMstNZ1$&4z7i#ThSS`0vO9--!WVNJ& zSf?8fqXL29)$Cm@B3SNQ*-=&_@?f)0VaFCPfDK>*%{c+zQB_Yqhf-tK*ivsg&-bjr z!L0NwFZG|tUst@sLh(|!S*!sq6^2&P)iwbu?Oh%LsWfqod)N8Z=Zx7F4bFBD@W^*n zrGfnD1{#_R5n9-U{gmU0!14ugyGclMUHP(*tKPk|aIWi0j#H{b=BqU~{thXYFtI! zG--|s+W8h*m$I-|Oh9PR1{+6&fPq`;#c-smU59qT#R2kDMkFR)GLEgo&y!t%+b6Z*<>jt*R+&SybH1hxaH#K(hQnNJ#9NK+ ziDhd2oa12!LMQjkg>(Yy&C`*Wk5cbOrp2L`lr%ngw(p5w+2X+Yq|BXXWL2V=i6hba z(Bs)cBR|l%Foq{?M8@sp@EtzJR5O2qdpiX)Hzy}ifP!xN#Le?E7P=d<5ixY&WYQ_d z`F$8~`x07d__p0?GsHJ_CdI7n0YSK;qy#5INjN!fz@Ea?LK=U%i(%l1)&=vVKCykQVYtoaS75w#DS}kOU#>)*SRhl=FMT;Tv2Uc3*x9)gck;Nx9;w|DtfJolk77 zK|PI1_mrWU7`yii@!wd#ef|!q$WKm~Fz0 zw^>J)fO7cQDZ{D@6U)wlSxT=W&8S)9zGMT=I8_^hDz!;BK^=XJ)+3Cf@^g@0OC*ua z9LOnXhXvo$qUTvuSYw{W0L>Z|p-X4(rWjDb=Ix8qqc5IZF&bTwMm6X}SLHX|Pm7kp zSeKI4eEAm@p&Bbu@>&t{CO$Fivi_1@@#dVenQwPv(lbXnt~QAh)N zP%m9jMOQ7o#NYFzBVtyE6=2O#&?Lo9q!MMUWL>(qOIsxE@@TpvVg>AFX+S ziGU;>n(Cxk-6yf2P}>O8vlg{Gym^dzkc@eigd?1zx-#t4{6720&RHFZn5VMhqOc~5`hE`~CuDug2nn?FNGAt*J>r1%W6Snp5YK7rCq=r+_gM3It(43DNAZ$B<~!D<01EP3d%50$(b@|j-cUj<~-&|E2J-F)89yp zMf%2QoU@%gn7^6?9QV2QRnLw+?o$i0C>#dQ-@`{j=5-bn63XSCF77GBelUhDSUnM$ z8_>7X@N-*@kzrFCvQ3qMIpZlV&cBw82BhID{ct3-Z2{&`}HgKi8?XClKRk$*T?pTBFxl1>V182 z*AZ$@B4x4`ENuwm$D9aUBJ*lY^fgsJgo-Ujb&H!VAFj+hjOvvZR9Ym8C>hIuuIcc< zN_Z^`Qyl0o`s!%*uR4~(;-!iZ;h*=P*_{rlmu|z#;JsO1GlDPM&eLC8&IX4ZS1LD2 zKV5Hse`S_yoHzL?NMHT>SCMT7mq&!KHe)oz+9Aq3m)7KWz5%c&`a9(z?Wq?t-m6;Z zCc<@O3Q$w%eog`u=$9_orzBoy7?u@&&F#Ls##Q;W{ZU-g}ripG@W)F?Ktck45j3#p$YIrZOno z4Te*>*!=ES!NONm1ii+WrAb`CfRzuU%WyC`gEcBS(Sg_Pj@>RGH1$)5OAFp(&WR zHAB}qJ!O^LgdE1`Y>Q7I0yn%o!0*bIq_Gp!{53*8&FpDc!cA8)KlsefRB)zs(YiK2 zvlRw`MF>b^kmSOl)BJ+5 zdg~!XoPw$JI3K{2gg-Gehvn~~ zJVic9vUbi;`*-oShcj7s%pZ;$VT7B>Xzo_rDaLG8L1U_{O|I6HC$9p;R15TKB`~c69psWb4lrh;pxadt@zIjzps7u85M!8$kWew}Fz}M(!;G>9wNs zW)q&x{Ri^N)59h`>n}%~8AE5UQY);Jm;mc^L~cH?=+fsj17z*RfK2U}Z!52Y0Mr+4 z(ISRHs9gi8BbNsRA0_@+Vsnc;u1GP93}x}S3|RK`7U&LyEt|B1`^@`Hb3cj%N~Lw* ztGt=W*ujKK{c_Y)u@XdDaXfoj$l|@0>D9JW8DjgmU&)lb$*U+o82hzs^{CShvh;~a698tdxG%ad<+Fh;~<9>W9ix(0zXiqzN-_Kw1V_#q2Cze8Nk*&(7Q^${X z+grnjbh(`LFB&uRzHi2vTgOKB{vgo0-*}`nBTfOu-+DO9Jm-p(6xKc47=i)8N&POijDs$TWIvpQE6Rsuir6x zViN=FY{LFhO?!a?cIi%Wr8#Ty%S-3^VIFMF5{5sxLS`7TLpy=9Q2Z(}I%^P&{4Ikw z2o$e^?vJ(Y=lfvoA$q{d7Y=vB{G26`2NkYU6Z&Cu*#5|8O1Qt16M^5R&`sdXMD=>} z`lxN{ZJUF1`3CXkBnuF%WeuDQ3(zUh4aJMn^ErY zzfQjF)oqFn#E<+v5Itu2NKMJYKF%10y$&W{QHpXcZX=JUaR?oF>Z_VOCZqN`!&p|Z z{8dIvT_*d+*R$3u{cD^W!;P^J^IYx}1=*JIjjGx6Rxqyd6YO0Y{tz|POJ%)KiDcS& z^n~IIg!ik0jh0!Bic($B;#-^YEYed67;EV#g(a3T8eMOyK>T6w^o@Mjy69Y%esYPt zB)cIc!2FQyxRa~_=BXj(hl&I8$IH5iJV%K3>YAih>XG&~8Ldc)Q>HQnHtPrOxxh8p zBjNeSW9S7+cbUTaN)aetJoTA>XLRCgXJztB;!c4mR4wwFaE!D^7X6`$hWVQgP5Uu_ zmJUey9`I|q&lS2gKzDa8u4s~|vRx?Z1(XwyCw{Nehk9g&-UaX8@J}wJz!m+jg)AvE zd@(@x=T74bhHqC|Ozs8w3QtXf-bvfE=@tA@(_4u*mcTKecM4y&SNCim)$6PD$#o&p zL09WW3s&=tW$GpCimjJ|ZpiZu=#o%STW^Y&s>yTmLk`nKPcg3G)M!tkwcwTO`5LXV z15jxP`{tBu&mh4qAV>2%!dV&-1DNA@O>z(0`;iRcA#>giTR3p6W6>ze=z;LHuReE*%6*;@7Xg0>@${%CRZIv<~pu;aJ=&eBj z{V`y1^9D8bn4GVqFdsDj!%$UfsE(i138jcV5L=)%F5G$Vnu?pJ6OyZITb7ktrZL+) z3#}dH;f}HYb6?L;u{v63=w(pxJ%3$v<9C=+2lQ#tasa=kl!?{>$FXZ2bDAdQ_J!tY zRGYy-nc_ehFU9N5o5S^l5Kl!V!odyii}_0;*Ckc(&rvG(q)xmYd+ z7#BJEOt-&;nu&LcTnL+=xaU0NcujXZOrLm7R*oZm3h#{cAYp*}GzDGDv(AP+9DNIk zHb1YP481G2sESOYu6SM!kmYFoV6GVAnB&aVD71BjdzSTnp-is+`_4G9{ww0SquT+! zryK34z)oh6jZ6yVVu-Lrh)H}Hl)?w)H(XDxUY-FR<|EkgZwcKvUqCF>L6>2gSG!?Z zNK@)sRYSa)zPxtMesOVwH%|8MMv51U!w_!AkLrAfibk3;gkqpIAEL&0c=NhsCML3a zWp$Hw%p9FjrOzoS+J+R=p+ze`gjpP zx0xb6pIV;kuG%XoW=SM~+EA~@Q_VwqqR3^efc7gODq1e?O zi?nWq3W9}qH2B~NGQ`_p-wL+X23(&UaVVp#)DB4Y(q&eiOuzCRB=QK|GFK6mj?IF& zV7Tx#_0L_u+1+4sPrX?!d*8GS>EDZrW#ilI53gIITTE5&ynRC~E71cG3Em9+qEL_N zZoxF6_v2CaM^(dr^e6~Zb%b^w9;n>HJ?G=jwkn4%e7uvyhkEmk_aJ>i&Mi%h`e7fH zGAPuTFKF=BT*fs>byl1AI&>@n&o3mt+acVvuWId~AL75MwIV;YPf1Uu7QgY=tNnr7 zp1#$1&&G}*&pSrUAdLwI@K^ARpgtl{>kcUY_;n+KkIpN)JHqywsEq=U!mgI+k86Yc zgLakdIkFsgHG9#1Y>M?aXo5@U(3|PgSS)%QTE11;}Vng!+81^K~RGB>O{dbO@^56#F&3#v~~v3Ijv{5voDP6=IqY|{-s zV%H8pz6XIt613O3*H-KW51EGLD$Xh?JWL&rwknA=K$zS zb{G8TD7JWTN2&CSpDhX>X@ToXaQ)lxj`sS!Fxm7uq8F>yWWWRu#OF9euO*X)Yun8B zv18}`F3h|3XyiEyCt^MN&r+3J$aqkz;f5)SMQ!P2Y zBdx-2fNzl32-ILF1Y5GUMf{v+SEL3 zQnhtqwY4~LQ)F0lYg66ed~|@QxTUquts#Z{SV1dDsYN^+5ITz>+GV}svDou3X6i3A z4K_SDu)Yp+Ny-w(kU72isUWzhl45A`^3B8St{N$c%vg znS&Q1KVQ!`{w_eiV& zHq1Aa-7fI*)ZD&aSE%x^T&ZggVZ;?61|ch5+Yj?d`KxH5+3ClL7Is|iJ{)1xE4-qS z*AGOXv5!qrbZ(L7-@E!Gz?_XgGpcycDj$4iIE$u1|sz88Dk=QtHINk?Nxn6t@F-hZ1O7bvV2U5XaNv zN)!PV*8e8TvH}Paadz&lMe~IUoP-{v1-xNBt^PC0y(3!WcwCvgU%c_(SiL*sDUCt=u4gz+P4ShN5$ipOQQK9iPa!%F51 z#!~*c;OWYL5rpwkWAD074~b|ugfCHs*Pjo>2E>PoaPq+L1;FZ+Wh0N+ zn4OF2z=`GIF+gYkrQAA$$iiA@x=xHuSy$48i)!6XjWZycfj!$oCo?)#&}A4-f?#QabiKSv8#@8J33`;VZ~dmm40u?{pUoX zJtC#-j~E(g4rvfg{-L?!`(@{+ zV5a zfNHV>liJ4JX39>G6T-RZo!cCElD{$SnR?A5HMxS*F&@jIqzGR=cYPXVVx zAyqTH?Vod!+4h8Fq{Ow2!hxFTlTR|O_xR(Tt=v~%spWVQ@I9_%huEZiq2l~|!t(8r z3N_fsJ9G)excNwg`{+lDwqO}e8hbg~xHZV!YW=rDNd2#B755?mnt;6Y%gc)~+>m)ZMUm*FzzKJ2ir zCeV}$(hv@^ujt*BEKRl|4uKh-A_1;lG!?#v&Cq`p#`jLuVrSfKc|MoodUi%%5iNZiu%;dAj z`WCay((cCYCLi(!LkC&J7f0tly(c|%!`HGpu&V@5;^MiBD51L5{Pr%-fAMNB6uSEO ztJ2@%Zam@46}JBEpkvD2fSXVl53)H#exIM6F`-UsAvfR^VvrDn(h8~I8Lb&00P*b$ z>}I(>(4CF@#F>|ib&D9G$Z5n!eWkVJFsim+Xb-+X0}28Vuuhd@JR5ljSExjDcRekd zH{iYYgj@!2q60~{ZTXKO-6KAUK>83JD-hw#>`k3roXm{u5dTFE#@2`&oa`JVEF}LO z3J5SuS=qXnIWbGw8o8KBn3*`3nlUSw*;}|+lCW_J3H|3yIGKnHlDBWf@0)L7|5s#i z0v;AH2po_M4w%jVI|1kaB>3TEYUX5RZ$Tq#YG&_Z<>EohtYT(i^>1en8qt4(%xIZa zT^${5&FuaylduR0{V$zd|Fg~iqmz@HllMQOSxGo}I5>Fzw{SfT8%OjNOre)+d7Ue{ zPETQkKMW>bC?q1oc*>}Dmav0^R0xLRc!+JsM$iZ)IMC1pdWnVuga00Y3;CNIoE$CQ zhl3%mYc7)qaS0r45>MkwUKCHmO8J)v9I(|0HQ&^^?lsf>(RSj!^EQo%0urAOi34yO zt~!0)FyJBvU%lmUIhO-j z&*}SplW3+GCHyw9g!_7(3tF;Wsjb$0d;SsS0B$?h*Pe)%Ri~6v`C9;gOF>6u4l5;S$*;cO2EUdvJb81^AbEJPbCH>9D|8ppJ`OqkQysuqpf5D5 zthJh}S)*T8a9%F_I?8<48FU3>cffXcAgv)r_9EtBe|knIE|?44C+V0TvH$U<0jaUz?fXtxrt32x1vZMDQPt?vH;=Q1V(4PA zI3{|mnrX1@VhXFM#ss9&r&>p~Ov&f1vQ18!ly9O(*W*XUK2)l)IJ)@~jaQ9sTC{;yspI8mx}@Sg zXGO~nq~h)jhzFXh(~w-tBM>0_Fh;gs@WH| zbI9SIYqFUx*IR|6yDyk&55xpWD-}ija#aby?x!@&&0{fL0Y2f=%`|bN_&(8Ht9}Xk ztPuK${@6%K9rKuf;-#fj$NAv-f-4UoVi9jIIfh4v_zX;EttSBggcl37=dp*P5`VFD zlN-Z8NiTGPSSOS!_{*a8kk=A9z!O*R=s`}<>0rkA}2yS#-1%e zrC3-pyIl&Oa(YY$-H{!jeuObPH>Jj7dLpNNO|17eDY8)efh;8Oy1c7+Y&Dn>Fh{LC zQl|P|6runjwwy0Sy-)7$PdPCb7rjY1insH3l8{@2gjHpL=S8sX=m_|GCd6|y)N^w* z8xr$AU*B1s&j5o9E;(j@8LIvh(YBRLc$>3&!&DyzAN z(#ReVf3hi>Y5OC+; z?zvficZc>7ydUUD6nFTrq0waLPz$*6e0vDf7kZuEiH*%^DrqtP<*fSDbUZj|8m)Ij z#mLR2(BXbDE&POkB@gRd|CGM|hEMBm`F&A5Q%(HWSXKrjYBQHb4!+51pmf1p>;yFt zr8J>*Xw9W&Gy+SW8>I>jVq(i@YCK%hd|CwpZ5cd@Qd|VRPa*tG+Z3M7ML*S+3J%c0 zdL~6Sm>`oVp|1jz?3&}qn3HZX5~E8b=qOmzurE`PcZrz958PHrpRi`42}dph-jLCT_QO*vV7$F(uPZO|}Rk18hN3Tg5F z4$p#6HI`p(HcAScDRljVH)8x9_%|@?+(;O==TD6p*TU3f>|cKG(7Qt@BP|hip3s@Q z2Zl^~=xjX}>_P!tFj@e2m5WI-U3;GP-&E?7F5qnma*s4c1Z_`X#qh-`RZcd3o*IH=psXCO^e~8592*KYzmz<4mUL>+4wEJuv}85oRKC{vc?c;1S-nZ7=5w!4Zu3{m6LS={Xu=B?91kqN^YFgOu7nqDq@$j|_iNFh&RF`9R5 zuCQ*sIoQw;Ur=H{ALU2xsT5v@QB^&=W=vLs@OIgjZpNOx zB*8usVJ;wXmk>%ZhCm-bjNo(KHWeL$!x4q};+v~3CTRD5%g;+Xe%2s_F|T7!1zv>iVt;ew5oZJAiQ4C!J(L62qRx z;Zgak0C>=ID03f9{u3}GYeL<4)%O7XIJKyVjr7?++QFFS>HrM8wg$D|?*~KJ|n&ep2|x8tCFk1h5N%sHP!qVm$PMv7}=<# zy1a>fWzI}A)BULTXyhC(o3W;t1NUDfrD9|3!WnfH_TSjQq?|S)f$gsrHE4Ht%};jN zeyekwW|0b^9WhTwN7%x?Bd;5A2Cs+ESX%9wc@qb9PF#(OrRF zNe7Z+eek0~nFCn^P-DzAa10IW1)yz@ny&;62P2M{cE$t0@svO+BIuM7Kx-0G?%JU2 zgN7|<)jUF3+7|gaW2L>*7~ohx;6puqg^MqcTkn=~uCHfEN~_r1M5?R6xv&Gn4hvB& zYwd}LMgR>h1YSeQURf8A@}zYulsqud|42NKx(G;ECrw8!*i5trnxjXsJC4hdNrRC4 zI+G?89i|pBS_CNYf%k}c&+G=;vxv|8jeFSvWhT_)R{J3Apl}Wd z8M|cVe~mI^DRS!3S=5PiOkr8rp~9WaJk9HMr+&ift&erMXEP>Yb5v)rc$o~W|3UOx z`F_4_KKpU?>+6A#*kGIQd*c^l{WI*rdJa)UDL+5fq|Vn3_3*TgH}JTRaCn8g#@7s? z+V(A6Pxs}Sz1JqY-lL)Cvvg4xYk=x6R;IHUEk_97q_b-kuIlKE*DD{BsQwM+NRQ?5 z;hvWd5n9w6th7=JZmpP`QHIdh&5nVj%*#gJ%s+ ziJj{v2_aopvrEmXH!r)U#QZOK}K%a}XeLAti<@Z1nW`jl#ef_3zpk z%RKur`?2x5ZU>ub+G#@1sQeP?Uya14+E0}&9UeWEz*T|V(98jbu@@U7Y&1bL<3QU5 zyJt2vp6a^x+aK`Dr*q@vrlm_KZhds>?=bFq8#kH*E_Pr>cYTril=io`F<`xCA_InfCVV}yt(ByhC6 zP1;7iO4^?j7OrdI5&c==o4{no4blll3wO>|XYpe@Lx z4b9>2WQwjuR;RnZpq(D@Vis0st5H;2FpmKmu7J@qh#H2($0cYnPp2HHVn(=%N`dyr z2Q=#h{+}0}o^QS7}{t|W@zdV|H+K8rDIf1<-I_I<0YrA#oDc!h%OW~gE>@Gdw21Typ zgq|gacx1zy9g&uyW&d;9FVL@zBW9|UtTktD_U{Bb`Glhm6O^?I3o5ftMn_AkU^Wgg zNj<76%@doF<}0?V^&(yu$g^aGM4pb;P$F0MG>67EQF7;NsU!8nfNf$7L9v{Ttrb}l z)lTfEh#`Zywf|C}OZ@v}-;%B9>GZa_xyq?hLCBkI_{D~Ww{x!Oqze*lawfzm@t8zh5rFR2ugOL=OXF(hW1a{vQtLZ({gAAdqA zdWu4dH}DJ1G@GbFHu!Jan?V(s5`?kjk4x<2br?Go9+TiWs(mx6vu~5vBF(K0)y`n3 zbW*-8ZMIn0DG010y#D&*nqkt`;mN_J5d%_g16&p*WLqUUhu=P9Tc+35IA@AnDm_+g zmLcj!KU0}#3H~@HlgCHIJz=FTrFl7wZeCDYYakk>J+jR`85%Nza1UIv{wCkTj3krz zUP;&eS@?I$y}qQu-Of*B?G9$>xvWK1K$@*hN~0f=s(uN;D#D_)a1HJ54VJiL3fN(I zY|(F%=u1J`V%?g%1oYMK{PBO29%3_T+;}jnq?^##L~tI55)5la>)E7TvrXL6r;?vq zmYhAT{;LH>yzoF#QY98sv9LHrS2>;BIG`ccdk3&KejFN!_HFYW@aEBSF zA;L&}g~MXm5^H5t#yG1I!zGf0GVCs2Sze&h++2)TCAY?rpl&MyO);JGVv8nHK{fG` zqtZ}p1d6yK~)7pt112|5UQJ-Tjm zJg44e-B|@U$4C`{<8rc`!u3wA_%1>Eha?ja>})nsg>sV(T*` zfmEpy+@&i%C6*NL;`n&t6Jl(t3Dp#x5+#q=w}|4o4h735ZoZ6Usb*OR<(!pPHs%g} z6(qV`+SDhJPG4>68^R6Nu1+QB-UeFvxell)OwSkyrb~5+$4dfy-9rFykU8_~s3$4RdE3g^fXS@y|uAey9QFn60ZyX{Uq?d8gTgi_Pp{z%@)Q* zz?Xeq3)##B)hw5%fyvBR^`-A?vPy+G(k#(2 z66;=Ybo!8UfwRG*OW&d981wz;7P-N|Cq*@85s&optOCFwBoisX;DcP_2_b zik~7n0rlSr!5lK?2w}Ncws4YZl+i`uT4=>d{l42+G$Ee=8E*ga&XJGz}ilq{M;Sa-C%z1g<<6pdUdzwKxvD<|CyPOoe(agvxvPZQ3%6_P)L;# z^^XJK1Yq*+D;JxsgbMrvlT$FIo}PskdY8-tAvit`*sF9S>-T>0(&8JR<^+;@xplj? zZbn`2@IYxRTU&x5glu_^MvXe)1h83({Qb3Ci@Jbwm+XeT_yL+ z38xC_%{6H>D^Ic;J(uHNa89KrBeRdwaca{u_5crbQ`3-5!esVR?t&e|7oKlxDQwgR zrmF#62Q#`=`%^5rcl^|m3N>6ZP1#6fLdo*Mkym?j8+eIcju~gSk+9ufL%8xt0Q~JV zHvNdz;&skHapAcvbdG@BrD#9nUFa+K3xTK@)a?sHZNokR7k_fCQhs)C%M(frhd{{HVP)np!;9lWlUm@I+Yf z9=h;s3HpdBH7vXwCo9Fdm)jec`M4*B4~zHf=zYks zWBC|$)rp*6Yn?|VZqI6*U&im`@TzCtEnfWrPlPLQ!|HYbS|7wtH{lo4v%hjwML#HuA6h^XINk&pKhVb1uVNrW1 z1OQJQ=*uRHahxl z2HShFaO+17=M8{7pj>2GZ+Oggx^8D74_zKiInlcZ#B1 zi6S8$H5v(*ctw*87pWw0SBtc7FyU8^Fbrpx2$W#>cNQL6bl~&nlqxuLPw1m-R-OOz zE`}snxBH2?RU&~~vj2Y@D50EH$AVU;I6_EwiOjKZC4?;yD2?OB~EMbf_pMLtrR^E(QoUR8f#4HOiNa7txiIAMqChL^qqk zRR1C^)|KZ^QAe?CNKw%sLKH6)bJ;K`oLAwpn@Yo}qzfJB>1_;(H>d1TwWWRe@D|>g z833~_;n8l`zy$S7gNx9E4VCbt!Pu9;9*O8Eup(_ml9&_sRpQ3z?VlrUHOHo|AQWxkG%h$loJlNST1Z9_gaoZG`ONv0Aulq=SNKYx=#D;&<6gG28kF?=k6qu zF}GQk*a<5vRGawVA@%SBo*AHjUq!Jd1S951EA#mF3wbAv`Jv=Z{H9!M~FuxgzGczW6b{{m0AMU+QHBjGy{1 z2j{0t#~;>Uyr6WWouD(k9*r{86By^g^m&AKMN4$(kegXQJ@=*!`-l?sGPz51x2TcJ z9XJN+-OsLtE{QYyCGf8aDJjKu9E~e3C}l9ou~G9xR^h|`aPI#Cia>S0zy@%@qQ)!7z{$g4*D2~LppSk~W85U<=3kXBfbKQr%ExBZ! z4||kRnDwX+uI3Kq=MAPYT8K4;EkX~3Plgvvx6XE60*{7wGHi0GGd;WRyzM+0ZEp_@ zHyOnbQZELXEDW`g`gm-`pUyN3zHrkUcsfS8sra=o{~4WBHE(P+^)>b7*uZvo>C9Dc z<3%|EJ_Dn9Y~k2klKk55|Bb0)>d^#LiO!`LJpL$-^Kl_6#!G5(6Sk&oHMS*@)e)wm z;1pNXZAVsvz{5tGAGvuaCI`f6r0l_mYy4dOTj1#$sNLI zUc5>tqpaCzpt8|sB!UD_!=NUxPS%_ObQyC{)|t5M)Y`Uva}Qpc|LjXUrgir}`p8=*?$fFE;?zZs4;Y z?Mw4Dbhm4@|B#jOkT|&*;(ZOj*Fi1wcPlS)&nrK*S*$L%Ya%xTXQ+(LD#xTn0*+0F zV(<#dHjEk;SZ|mSP{zQMp~C!2avN+SAZL)INR-qZoYG7v3pK`M>nLc!gPfQhfn;um znrsq|uNRo9#>ny61UYVjfOO>4%>VX(@W(wE|KgXQpTHZIukC+)Qzr8k-tN3~?yKSB zc>b@>9T7Ww_l*5@TVLN!VARua%3-swh0(Dg6of<#hf~QZJAhXlZIzgym+lq^?WZNy zExCdd*{C*KS|V9pI!@sTU!t|~UA(0hm+^I4GoH>jX;zOci-O?dMC1>1tSs@aFeTWp zNEz{pB6rB^I=E~m57uc4O+z2^+9sB|VQXpPi%-Lsg51D?v zfB@M=Cm0eo<1*P&07If^<&F0a4IjC+>0;-mfwAAeJ2tswP16gjcJ5f!_*D0t*H7HI z{#)GGf0mkhcNRXgZtLQ$@85lCf9>8skPTY{cr?P)y{HI%I)oyC0|$VFNuzTUR5An= zYjM$D&t5O%@y_;9EIQ@A;H49EiSV3nmybc@yU6cDw2B>a5XBVy1jGxh;Q4UF;6;qz zSK@d@?T1F8GDcWrbzlaRi`UYAmtjjpgNB^ejS3_y@_P9OAXOhr)aU7u%=04;k4X+l zz)B7hrRe~$DZ@!Z70`ItL*PmwHRj3UVk3o2i6eQitn~a36Jxi|JbPjFTNoYuh^Eee(~~gJp0HuSLQ7Ydk?<38E+1VeXsVzmShp4XFwlw zql@V^Q5F~Di9!u~!+FDH@!*77rP2&Y45QJi=5{;a9$7>|qyrC+U4hFObJSI5FHL5&z=xieZ_4#gFy#hOaOma|bhd+uoP zXxLM}VdeL>N0!xBR=YfxgO|_IukSn5v#K$8*i*T(ci+7(!l25rCCmTx&peVFpTFiLUd!x7c3n@p_}Y z4$i3K7(xpCi$Q9Cy4;=$yI6?7*5a_8w}ykV$HRHO8OZQDpvCDw&~aE#>09-yI@6(J z1fDub#Rs^kp+MKVnhsFLkD(=9P!bJ1Mm**#igkt8`3gSK0kA4{pyNs9(`*mE3Eug& zl1pyBoz3?2VlN1ILB_u@FDB&hCvOUQ2rW&_N!uM6uQp~m+a)QGKuifYw>YWmRtO+u zasS75wZJxYo#AuNJ@;k%ULV^xu@gwH6BA?NfQRB>9%*uUB#==E&|yMZ8X&wvMj$Da zR~cz3Py`Gph`^vqnHbPbf(?iWpc2$=v>ne?(N**Ji4+!%o_TUwEaKhUdE)+$|GMQ@t;0_J zCe(Iwd;8@D53}-DPnrLdRR@|Uz1f^t=&7jiWX~Pflic^{{smle^v2m|&p-TV#iXrs za>y&I5;JCR!P5F|$EF_mC2xdNK&B_~Mu-h-{Zxv>GB#bNMpRs+)Zl7mp0HE7ryRc50GI>Ns&0AJWn?@>Z6r#nv9DG*a=2Dl7p(bgy+0a>JrS~Esf z+W$?h3|CUCqR76xFg={!)h8Cft`UJZZz%my-Z#?g&{%r)XZ}<*_@Cwtx9`s96jVkB zzvh4Kj50#S-ydbd4<8y?9HdUsR-7o6v9DCXRnd#l)RYHZ59p)Yx1afF_}OnchpU0Z zEr6{PFS(2y&%d-rI9WU>TH*q_lJ2HQ==VigrPwWmgcFWGIi5JgCiNYmL%J={On-`~ z%;kwYR6(H>zK}`^awr;-HKzaoI|*~vLC!;(i~9|WmP1wH`7GT)`2$qiBikw)Il3#A{O^l+A||){P(oMakGI5NxI+%Cczmvt}}V5DzC@9Qo&6<4_NHayiN7P z-5)VWMHN6@_jUZA)Y{%Z35?6t;JP!YDHfe7!W0e;D$<$m@P$e}&imf!^kE8{me= zfNZ4hh*zFg12U5llG?#Di*b$hQnd${SgIr5S#mYhQ*zh;S?D2=j{1*=&Ssx2JXdnn zFIDMDWv)KYyG-9&BB{7gDb&l9QoUM{O85`2)mU5@QA|j2F-H;y**FLe0BBx*e#i_O zLB0{hrWtg5y&<1r7<|LPKA%x!N;#&>Wox!nZ07S?RML$66BWqa`TcOm~m_IxgVq) z86O=7XX!_ety#n5GMR+PFl7v>R+40%YZ=+7Z1DWZ(*yQ-OZm*B3)y}U2Z8wg_E}kM z+#36=G8W2UqmAK=lM3B9WqAm}QpDxgG)OJn3PBn!op;qSSS&DAJYc9-$recR1YbGt zufyH=isc)0Hh}>P<%hvb2Q00y*p6z)#`0)oIm%&w4A}mdL7Y2e8gZ@-yqa=aqXEQU zz#1I#!O7vxR~Ef>Fn4Fy-mIFc-Sn$652VFcAT16F!rO2aFDzVBNF;GcmXR;aH(t$3<|ob7Sqn{RvWVBRH;m1> zoANIT;!u;yP&u9MAhJfr;wW5@6GSqT*T{nWdSQ6!psopx!tN4jQG4{pU*5Wu`o)=* zA0Ie;EVgj-)W!w-J7*ui3-b5;i&PVx2G8d~1?Z_&SY;;ZYwlOGn%&~~tTMBT&T!Xc ziA8jjJDQcC<}HHwJd$4)pH=DJKwijm6XOa<(EMDFxW;Awr&? zcsv>TWK7RXr!UEG>P}At>1r?+G!+-_1}4MRL=c{~ub-nEsL@n-R|iV7oKPEn!b zX2qZ&jMG84{_7!%3vNBEk1|Qhe(&`_Z%vC{h9y7}JNH73tq0raqY9BA`wkZ}QMWJY zX5rG)X8plAM?P)&;AFC=X~R!FIc?LAUw><1)f-DErJBT#4lSDg`#Yynk5A3~xVJ~B zSwCvxEZlHu=fRo-p97DY0Ow}F8RQ53&2nCG;mtX_a(Cnj`Mih#ls;ZWJaCblJpql= zYtaI<5=n(z;zyRo`D)ephsLG+?EHB;q+7-`WVnV9-R%xB&4Ak>rYh?ZO)^a4WD&Qn z>#Eyb$J8|%j4Oc27^`HptbxHH;zo<9o}d_J1rd!gKPzyAFXJ#8jPFe7wY+2WNPBx>;Vnbkl_pk1#M?E|A<0KLj87lT?g%k4jy#*OHK;ajMI zvx4PMBLvUT9b7SShZlU>?#Y_>DzCMU7p(a9j+J^)`F|V1e}TTwUyz6UBIgyTxG^AZ zudUkt>h`)V8>{P|nrqm}hCe>kUwWbFx0!UNmEzR`ipBt5Rp{@NN`x10a~Xpnj-GFwyMyJ zy$fOhm1rfTWWhrb(~HZa$fJ44Z4dTbU)_2=E0)R1#A1h;>VWP3G#EuPE1S-S>Xc@t zbT4GY21t=0quFwct*Z;PlajACS{g1crIprVr4Xjz<-o|(pB%R(0pTYnYmT-aI8a=Y z`AgZ_b#qtde$8HHhx)$y^s0ivkLp@?{c?Ef=u!Nv((=vqosU*mR2(wOd%k@5%Jf-V z=f2i8Yr_7zozW+r-*T?Ky&Vqt-10qgn!AAf=y<$hquQu^A;x%b?$Ftyp~>$%H$1-i)H6X(e&^($-ne$_WcS)1lRf5+C#xIRZamx?dkKF4 z1rnpzA-fF#rZRdpzR6k21Z?Z&xNWQLyTWm4$o5k_CSS!050%Zsmdf=As+$xMIkzZs za5j-dFmgk9lSGk5G#N@;8xl2<02(f#{_v*;R`dRxN6L-Ijptp*m?7nu?FHA1z9Iiv>38nC(!4zHa^z8& z%nwHC8egkuQ4Neux7!rOk>l}t-9E$b_tKKe%N$f#FmFF{xV&zst}BYSOP1-$U5+ld z+s}9Tk?fiwyOEphx$4jJdi~BeeFje>h3uZVCS!RDPfw6G3~6Ndgi19DUyn;FL=hwR zf^}T{7WPUclJV^HzvL>0DH2?KZ8B5CR zGk4i=CX`t$Xz%{U9#UbZ2W}j@hyVJ;A4tzb7oPo=ISRVJYyy`OfW3+xako>`13D>H z%4A7j8zXudC4OIXgtUf;ROM)9CQ*%5@neIGT9ssRZ)l}$&frmhs0x6 z6$OD|2romxRe@xidAUoW%|LQ&#%dQ5yM!51gm|J%V5W;uDZ|)3si7VSMl<*((lB$G z>ec5RDVRJHepjw2%fIXu)Z9W+1kl7;M`Lz{T^2=gR?r%)+|iM=Y*$=f8ZWL@r;jjwA@vXlHi>Vfk4jyVsq>~hiRMX1J z4X>^o9;9>_zCT+iNjZ^>#Bg1V4+UdE0rNs#Bq)^SlZPMKbhJLx7hr0A=Cv>FI$Mul z51t_3Ubn%1cE33g>y*yJ-25BgV0%bAB)$A;;B7-(M#Rq{gMIliOA@p@s5$|4jj0Xh zuHT~`s|kadgIWvk%^9c~bj^?8DBF`qCk+;5PCT<9d_?)(I# zedpBje7p)a6@0li%8v@8+NeIpj|pSim@W&vu1ScvWE)nRkt!F;M4loq#0zyn->Ydn zM$HUvW-gM1A~)NWX8T2(;of)9!ZVSgL$;J!88Q=I9S{yEdEkY#_p=EBbO{Tg7zh#s z_0WSIqg8$w4vy^7`pR!U*s*W^>dKEs|HFQnMn!RDar}Sl(F+LD> zgNX*MOa5 z5|3Zz%bZj1oZqcmRqs{Z`>%TURaIy&Wxd|=ye_kB)>*ZqG_OocRaq_TV%0G^4_E8~ zK3<*-{=OBN&P&Uyw;H-6Uq8`L@Y^eV%GW#}A&=MS>j!_F5wI_3BjMrK5$F>~_#P$ej+XZ)0VHUoIg@n5EXuaL5 zdvbXmrAv=1kG8I2>583)T^jQ51EzR)n7;-GcN_iZ(3L+TwBua-71o9`las~kg9qmU zz`t*y-T`QG0nbRlE3up(%kbv4#CsCpWB79_^4t6J-xOUpz^@D7-w_CiDkHE7rw|A# zC?j}0P}vNG^4P-oewE8*7%YI84M;pTBhSUe{}3bm0r}e7sTvPdTMtxE1tK$m8hJp} zO`v8PP)h*b=~YJUBA`w%P?w*Jm4JFY?)n3P28V!#JjQpEfcREGqjbPB3~0=?Y09tN zECNU{Dg002?@0oG*3J6>EfUN5NkKtDK|w)5K|w)5K|w)5K|w)5K|w)5K|w)5K|w)5 zK|w)5;r|;5Ah=o}n9HM3jvD0$`Bo5IeSY8gN#>zaYqXwT6}){a`s)1r0|JABLn>7c z4XdIzh?3D19wD2nR;wOaBkC_T|61#v+R=6D#>CdE-=JaKyYY=Ijhi%Wme9OK%lBHf zZqv41`woBW_@R6g(j-NQ0b1L`rnX`E~cmBe~ zOP9aL)oWJUb$dQMd~_}~c&ZwNVhWWAlF(Jy$ii8BHk^%NQ&=)fVawPV_QJ!*qrECX zrB@wSJy1Q@C+P?459@REC5EYn#fEglGs7z}NYsl7Vq3AZ*j4N<_7o?JJH#X61<@)# z5uc02lCNZv%u+3>j?_Sklj5ajQZH$^G*$Xq+9_p9PmL;LfHA~qGMbGwjqQw`jJ=H0 zjq{9aO@c{n@-_LJf=r<%(Nx`3!_?B$*VI2;2-k%hWypf;E$ie!xsqH(j*z3|I&z#m zM4l#3muJc;@?3eDyg}Y3XUY5IBXX{MR=z6VHOHAP<|gJo=6>b@=E3ISQA4A~)eKo< zT$ADyoH5QgXS}nqvzfDfad=5lNeO=&BxDE>j6yeI6N_LS*l0G9P3PLoW-C}8d+AZp zqk}3`HAj`Fda4K4W{CcXzSsc6G_FmCp-_ZaNtDEvVux~VdW+M;Sk{As)vsN$4>6GyuFZntsU<AvX>b%yz`)ug#xtpKo7op9R=gyT;~+*hkuVWcFHii#^&NVQX$nu*KQx+hT0d zwpzC8Hj^#P7HA{uW9vh!!+OVh(>2O^%(~y2ZQaeiqt;c{&DI2K6Ki8@gwbsy2Dym9nc7uxaVd!yfWx8ICxqiyH*TfL!A zJ#9yBduT_L-RZv?!wO8t450|~aTlLsHfCWd)?p=dNan(QhPil(XZR8eFp~&2JV83v z;|CPtIhJDsPT&|e;sf+UDiV={{y2`4ID=Ej#cABb0Gz{FKEVS#LMF|p z1tbt74^qL2B3ej`D2*25XB3l~G^9ldEup29PTx=lEhA6zq6*|q%V`B(;3ci3RkWJc z&{|qY>*-tCKpSZjZKf@>mA27#{D@1GOtWYQ?WA3_oA!_oRisSHB45&xANivIHzgvJs!ox(jXQLS9bgC9A$FJ@VMp09 zcATAHCs__V#d6tczE=FAnd(57&r|cOkWIwRy>_6-U`-S)F46fox!ZSTR zz0(K;h#dV47z_d-k)uHtNnn8y0uf9QNWcSugwSDf&Phn*Y-4h;4@?dw=L~0Z4kqWE zq?@OES9O1`%5_z~-RkXcX1k|m=e?f!zBlTvdZ*s259*`(q*7HH3X}+_5K$wkNh(>T zsL5)InyRL$>1u|Wsb;C!YL1$#=BfGUpg~3-%xKRuU(AeIFf00DHq4GWFem21+?WUR zVm{1|1+XA~hA#XZ3t?d_BG1UP@|-*`FUX6g9@fFSSPw(7J~qH_Fbo@FBW#S}*aRc6 zDK^7MY;HnLebd0yHgC*Z^Ul0CAIwMd$)uVz7CeQg@-&{#Gk7M?;@Lch=kh$B&p+^w z*aBN(EBp>yV;gLX?J!DhvNacm>v02a#7(#vx8PRXhQHx<+<`lB7w*PAxEJ@~emsB& z@em#sXT()JBF^DaJch^d1fIlGcpCqKzvCG^i|6n>UJ&QS1#wYa5og6^yoi_ZGG4)} zcnz=P4ZMlB@HYN|cknLW!~6KaG&GIOx2CZPH%&~0X=<98NYmW3FfC0hTj#A!8&y;l z)4i3i?xXwae!9ONpo|`<2kF6jh#snk>EU{W9;rv^(Rz#?tHtT zdWxQ^r>Wwmt!ZbXOncM8M4K4XktG8wM%Jd2>1^D3x89@o>V1}p9MA{#A$?dM(MR<$ zecYbDC-o`)J1^j$c%djLpU9{3nY<*Q%NO#cd@bL|xAL8QFa6~Q`B8q7sj{TyJOV5M z1O*5h2pllLkU^FLAIJ!qz!x$@7RU;IkPWiS0LTG3Woa1*xga;>fxM6p@q0HDnLb@s?(q^^n?B|00zP!7z{&TC=7$)FoFb(gi$03ND-1IB8LnyWgs8QNSVl& zGE)}HN`91$vQrMqNx3LD<)OTkkMdIiDo8H+oC;B4DnegSQ7T4X@^vasCCHyjQYi|c z(i8}zVT@X+7EutDQH#|QDof?mQngGiS1YJIRiKJgNv%|?s4`Wds#J}t(^pi3YEm$L zt$tR&sK2Y#YK{7pLZ}wiraEe^TBp{l4OExvQ7F}?2J{VuQA27(-%?`=rzR9ZO{p10 zQgdp-i>MWSN3E$1wWW3xMeXgbvWYrSG{sOy>O`H%OO)DTOA}6q-uYXgbZHnKX-L(;S*h^JqS2q#x)Q>6J6}5?PA#XlQ^%?6)N?|e z`tqi{Wf}W8%S$I%W}0OFFn7#dbI;s256naJ$UHVr%v19W?!rB|4-d>uv0AKwvFd{? zC)SDeVz<04eiduQ9&<|!6+6W!cnFW+DLjTJ=84!VC&-EBHoSnB@CshTGk9*wnewKB zcqQ+cil&mRXeyg3utWKYt){A}W~!SyrY^^EJon%P7-yb~nc|VyB^HSkSwkerVA)G1 z%M>|TelIr4{-y?ReSW|P`4AuGBYc#P@o_%E zPx%=?=NJ5vPx2{##Si%rKjtTDtJ>3x?&N-su>b9ymZ?C-^n z2$D5D$`jc$rtIOxQk0Mvy%>ZqXfIZx1Z4AKw79w#lf|99*vb&pHz z6CdLWiA#u&ONdHz$8`^I1;@s^!rh%ZC-!uO$MlRz=oJ$k(5QA~SgkPs-~@M6Y^l)1 zs91N0u!uk3nci}_d%B`ri3w5BG2NmPy1L>z{`X1z9~Zols4XJx$hEBb|Htx|5}`K7 zgWQ(N@s!CBDX=jXlZptF8iUoMW9q^C#<#^AT!xo_p^HDsAwjV(~@L1 z;Un_cB}ovQEZ07p_E^@D|75&sfTFst@VWQC{ozMh6qFyWZ+(J9pf)BVAd-#C?w}|m z2zHlXToeH{Q5uZJ)EbQKXjfuvoarPMV-(Z`jlMm{`q3oOIOK zSRJ(v`}(~Hu8_=hvTx6O=kMNo&bjBlcg||OGm^0-rF2|;LuXM0&oU*L8njGACIa12 zFP{gyV=10yV4I4m5;h%#t$(7=S(?eIT_8I-XdzZ!kN&HbPP3Qza{erD6Caq$wOtxk z(PK=h49j6RVdwS;bj?rLrDd@?Y*$Q-3_fVo?ulN?Vd82gM9HpgcMOvNK zsO`~CXEjTEvO~?GK8n`rklwA~>P9{O1$xvw=oll=>BXUwn}ohA6BTC` zTfjIi*?RT@+s<~N>uf>KcnsE@L~nPFeT{ne1|ownqk4@&O*(O~!Yt;sd<*K3 z!~AW20@sK96MmL|&in8czbj%z9IlC|;0wiEQ7P7lMzLOO6o(McGvcDrTg6CeQik*^ zX}@$79mN&tt|6Rjh}p z1E(VZPlx&*$bQF0iUe9Ee$PHK713{a9#`3XK1Tcp)xtW8 z7jLqgbd!#wUO&syrGxBsI)Rha%vbS7DTe)2dQ<9WYtioreu)n7Z;a1zoOBSYt;37p zX_gPH7O$e$cpRPKZPx)S$O`hrateib_l%_veWZsFJ;m)8EU}X%1gQyT!ZgU)0Gq zNVTF4RyJ~pZN^zROvgl_6h*VCQ*_cv_J;Tes*s~nBU{aOYOempbjy4|IwAt5ENPr} zI`jqG&py<;`L{GfJ1xpXwX8)-#JSvn)B6k}6h((Hz6EcL17ro3g7df?`5KRRTLezI z8*i?8^d!516SEmn%3^6$%qP++{b=5swN0ohsnmk<70nM84XgUTo z9brvolk+GqGedSMvf5jq$U#<7;sO3*f#Q|bYX&~wz@=1!7y-g=#|YV#P*)(U%qP24 z_qsa2%U6K;0#T7p#ko8(GlK$=Q9z@BsbiJ;02`an41|w$3k{W~j`$Oj)XuGFy#FH&n=JETfv8s>N7FUZJ}~+vGsTd;aF2 zjh6b-qpOwbs!ES4s=WFdvFTX1Kpp$srGy70CLiVWY#Ay|7JgU43Rx%p{w=cldWmPK z)UMyXUd(~9JjLzvyRme$9>s*IFhnymwy`U#?4< z?n}bMeG1aI(Ucra|xOf@%sI6ZS1JXfWgRK$?!9o%Y&lDiy4O@>r57zVv8$jIPX@ADWf3-SS;&EVme zIaphp;(jse<&SnPiOIWVO|%-HuhwV&cuIf%Wv;92-ueF8$P1QxC`|Oe*QmzzyCpwV zOwP#md*}aK8mU*LmZ2ZOh&$#%Rh|=u2L36Fml|jZV1;Eo^_UjXUbY4GZ#%uk+qIoy zJYAIzQxLijgSQ0Fbv#FV1^U008rW1k8v*rz#enUALx4MgR{?(LKdX<`=LkasldKbH zjcJkgDc19vdZ-)l1n^4fD_U&MfgL@xNFOT^6@dQ)<|;L}Qw8|dkiP?-2hVpw`+)Dj zI1Tupfrl(FGQ!-afL{lH8s-@RI0C!3ia$WNLEFgN*%ZuK0Vu#44R}5USP4DdkLfy} z_0TNVqgf$$1JAOY^XQ zU(sAsA1&0uN-P0!s(_Ezq-xq=CG9QPdBoI-aiGJ{Kt)muMT?u1{Xf>rJjklz3ghS9 z?LA0I06|nlK$fH=8psrj10~2-APEGQ89*Rmn4q#KOGFGv1~h?`LJR}~l+4$Uh@MbJ}mWm?YoBRxfa_$MNqSj5Ry* z!=YcY42}FY2VFx9>t_+H?U$k@mr4cL1a@Mxxu6f_pMe#i8}$rxUx**Iw*HFGsBc>T zz&F(Y^{lMVhI<%feazmOlu!RgjI#(V36{%Luna8Wp96Yd)Ms^_@!0BH>N7E0{~jZ& z8K;BpQ++DOdiO-e=k6fB6|>$u^?hZhn{-lk3#5bktomEbY8ShH?xWs`9Dli2!}=Oq zu99()ub0If+akvgNU^o|^RkNaC~u;axc5pgZ@ZK_Sy|zC;w;CQ>AE-Pu-uuc5RH@r zs4q_NB1O6?HQKL`53N0`h%7^?aW7y9;y%XufskWe5%(Oob%E0BBHmOCKF{!ob8>Ma_@=2g~p_>%? z-K3*eAzi(O!~v03wBP9OmOI=z(%CyKze<&n3#w8L(+$Z13*{o6%c+)o6goaUKF^O% z^SPui#T@%K4n?`S!3{5+3e!}F4Wwh@!94FVzhmr1s{h4>!dQ@tDU{xv>ojMEsYagG=3599xn{AK@C0rzCFjl-|Wkmzpz z8O#SG`PQ0szRSIxsLG+akp_-fWR8Y#wE8nm>alr6#yQ(ox@|dJpX#oFKjQ9K2Do(3@ut zvX4jH)!3VMuUKZsMK3v_PP7;J?%T(jPtk2LqTUL&5fu3 zz}lwk4_=o29((kc*qr}B9FO(vGqcR0^e*=QioEZw;h!e5-`}iz!3OQ^o(9{#<>t3K zR=UWn@eayJ{{`BB?K90Bj_gsCZwu?gY752;hT5FH-rvf%k+L&AOp4Pb%sWB$ryJ3x zbIsxWuY`YXHrsq%Mt-|OO5Km}t+Cb@NBc9(26wGAjq|Wu$-TSB?C__-Hv`?fW#T^f z$LbAyBzP8mrg~yNIliU*C3r&a3SO7HywBt=e=q;Hm#*$n?vY2iw>c2S?Otv``w1DL z{vB$WP|u|Mm>t2RcD`PA4XRTn$=&WTDR$OKS7OP)%u;-1s4VAGR}nKGl!uVX@W&Bq zLZm9--@#Y%{d%{q9H)G(Qy?=%+|7LZ2WK|Un;}iSBJ&@&9ez=dJik?nQYU4A`+ZsI zZ6;Q)mHVi#^Ujwg?g~k}t7L_3e_dLnPMXi0ZRVJ_h&zB1} z<1?=I^XH z+-v13T`%SSr>&Q@=GHkIouq3#!}@gJc3k@DKi-4V5j*VytwPQY%;O8b0e0naGiA>7 z3C#<^bYfmLv2QS%rM{Fg<|iUG*MK$rHwZpLTW89gpXo%o7Hyn!b|qW~jG~=?%s*-C z={4rAgmG#rZKL_VmUhm$W+Qc8F*TJUUwi=Sgs5o%{|QV3^FVJPA)k6DT#xxVJe@Yi z`(gooPEaqPycnDWN5P}uKKktD`;S0B>Qlfi>f2w@HQza(IiGz~&dXz+xQ7RlIq4g7 ze4gjvWbTU3|6H7>K@%L>A>Kz`F8$h^88bt)%?GjhYGPCujmsJvH6Gh|6tUF-VO%_< zIRu_X9Q;IMvBpDU@vFqc8SovE27Lvd9IuNz_PBlTt|JP4B==iAutV26qvPv)!tGBST`m)KyxPR_TI?&se!z7>AsT+JbfB#?Jr{o|wC~2r z@%eHWPo6>3s74ENS}odh(l_n;#QatBO#Zxazf5n=^tgCAeRhsJ7Ula65#N1g9hdjs zNc!IC?~f7QP*d$rH>-16a|p~`v50J(TuVF`$I$+4cQU>slxJJNnPhF+Q*{ui8?`AW z_~kNLb(GZtAAtJ2X|6K*wrX#2Gi!0=DetIEqdqMj3;${gu6Ev5-DqA1$Ec^hnbH=m zFfKw5e4wuFvn13MK{L?V+Pf4jxgrlAV6%p5H*km7n?Ake8qV)6=X%r0nE(dLU}vK# zz;H-yph<_*f| zFj0-!ApFPvhhCI=pcnWz{Z)594c-IC_~&l$B0zIxRVy9@dqFqQnD*u{S}yx4*bkU9 z`zh@sVg07s?pDwmlt#EH>|aCw>@a}l%Pyh52u8OX-d5vXalYX3}I4W6W@8e7&NPC0?wztCtQPJ zt>$0gr_|pFnw6Son408i>&@;T# zx}c@clU3fs@@cTa>(;sA>Bo4on z4y3z3QF;WAOA~*p^vJZM{I=CUeciRDCU`P+vA<0=s13BRdt2WeLwr&CZP#26l%d6Kr}3YgzytUXqEs11|)!_bz92cwAMcv$Dix+v4c0M1W2Gv#vbW#8@4cP4K+>6ZcfNbx zefQ_wckj99e5VxL=BAWl)HNA9xg@gMZQ@hClH4W=^lx5#0e!C4L~4!I4w=DRvqDsF`sX^o=y z&EXWm9-jk;e8Tr93YuBOJt_Hy$4gBEI&&yst{0s~l{kyJN)WpO9zRXY@f0}2dc?G+ z=v*?d@px1>PNBGJg8O>k11aNY;`?2B72l|)klqCzHVEG>xp}g5*JSmF&UUqbvnBtq zYG}5v333CoeFG8eK43QV#;miV)Ay1%Yu^ZatfwNy*AS!4^CavJnuhcH1J3CoOpTn3 z?#DCkTKtG}X5tKh+)m(qJc@S_FqHAAuR?t#>d4n<3ZCC{{l{~-gYP;%Lf+#z^2Ipr z7U9m3yla(?KOp3&>_E4i!RO-Fz=QH#H?DTK!zYtuH(oElKS?f0s+aw@xtzSiu#v?H zKJ4+ytE9LByS?Pq!SiOYSK^;~eAeU9$r61R$Q&_A4sm2$+HgqPak5PC>g&kfa?8X$ z^W<0fwfH1SPW1S7Z_?%86|S5B*^0ergnSzE{v_W1v+L%PW$-h|1wCn1GeTRfClk40 zLb|*>kv}C#btcL_YF+*wl6jmFM!werq}@sQHd72Z-A%SjD1Ltp_->-jK$G<})dMY_ zG*-ip_W<8^jN494Hm7=^rJHP%z?!G@1f9`lpvicQ>VcMSvQ44F$q$m@tAXAc=p6}t zBeC9AthW{8B>(RL*aP{EEq12*#&#JCdqgGOCt;^RPYT8~Ku?2`=Ow&-_x91-7w`KL zYxeZJ^Zu{rXsm|M?*YA?%m3@Uds=&UfS24OIf*Ng-_VC&U9;Rbta~%P4y^ZGg1iLI z0{?`*F&FLEvm@7Uthj9cb_1+KL} z7WBaW)?3Apa<9HlmYb7>ao&LMRF0RI;UUIW%)PYz>E zTO80W68b3d0&ooNufnz52HXL?;NS7zJ6u&^s{4MO!$sqJJ>RPfaoRj4ekXZ@!6>LW z(`s;^)ihjxnWjs=fc3wrmr_5pP1bkN5XnD`2vDK;;AF^reE;Xzi-FjeO?snxw@S6o z!d$l_w%iUmh)$|=s-SFh2XZ1v4 zoVIP-Hc#8u^!eYobKf^&CStzq%v!Z_t;*W5qjpv0dVXtA*&y8lc`jZmJ0T~Z0(76M zH6>ikSzNu&>VWaq=DP!N%2iJcvO?ZTU$Ed>ls0wAsb|7vP%eJy&7hY-WR}(h&a+== zs=`;`nN4)#MuzLrZO!K+yYItuT9-UI^Z5CyUVKLu_zN3eSj+X1ZfBOeoI;%w%~23< zrTIHPy!tp|dDrKDw}5XZ1@fVu2tJ-rmy9(8(|P33I=n`HV)v6ot6t?EBOC(H0rww3 z0(8zlx2^A_HirdIVADWg1{13XQdN)vCebQ9M5}0Zx*mbgcjn#V(7IXr0CMYwQ^m1r z`VQ?Z?dq?A>K2gG=Fr1(jO#F$&c(Teh;GwH{7wH=JA6^)#mik{(a6P(2?Lc5E6 z8QfBGWF*(r%W?}-Oz6LEmF`FfFW3&LBCv_TU_xTAQxod&Y{|1%soEb@vTuV;-oOmt zmwbUoLTv|@qhe%PS~q-DkIk^i+u&{9I_~(mZ3~d^Qztwh0gZwhB{5gFR&jqB>wahq zg{517`G1#3L6XS*kOvQSv16|zq1*G=tbWkJyW(#SRtZo2XQz;A^jt+-7WVVbwK+%z zZP7?iaB-ruEe60fmi&Tpq2>fdRftEmRgtS{pta@Zfnt{+sQo{a*KU|)6QmD`*APbS zwOXB$sY*Sj@PWZ8MAR5Cyr6Z`yrm`pE$i1z8<<`&TqtBT=euA)oe?ITaq;2#K$(VY zPFmBpIgdqtKpXHruemO9)qo%IS~jvpzm2&M*g}+5_q2%GPkt`og+0d&b_+L_>>=^R zEZWy1R4jfUtT(v|(~%hRUVXt9OX7(B)Pz|C>H$n(jsS3=zPJlYN*g@U-mswXk*zp? z4>S0h(^wF{>OPY64y{5ZdubbK;pLNX$(s{1^+I(jfmDfmtueSVOehTy189@gS-(SR zKz#-BU_BJ<}zH8k~12NZ?GsSp!Zqm1H+{hgm zbx*c3fM$IY;~HuX+ObvjaW4&FO9TzjX>8fE;|Xf&33-J>BEHivk+)z99SwmV`^^&s zKgVY2Tz3j~I(sL-LvXQr;1avj;C{p(?<`a_hgFC?0%ELX>@3y;L|K?x$@hbW*Q_

8dk1Uel+9qzWzEN zl_wTGfS9q|F=s-~S~`a4cn~n~l6#>r&oOv+JLT^$j_%2BH-=$2oH+YSXH#qZyAcgV z7KU*7#|BB4#e}nA;0^#{ERTSmfVs5_spKkF(bpdFVGYgX@8}U5v?KkS^x+`(n~4vg z?`-}V{v-FBWdUUVAia(Po?&A!uS>YW#u5XrondqXNAwr1?q4Ve!XV18P%u!S zKZ@6DjO9nuHpQl7BP`)BFf4NLgBaX{!5?WplM<|r0&u*8;`iV$6xEAITxVh2))R^Q z2tBCdVHC8?F9m#Gd|&-Hp46Kbq1cr-18N=>sNGXg@h$xal@8sg(+&N^l{XC3Jfq|F z%$G3l)^3?OMjOpDY&KJ5+|*=WXdr?n$ww0j{pO?d3Nx6QG^#4Yp+?PM<7jO^xM3+Y zw1!`!k*F&5JluC{{rQZkO#CQ};b;vKW{@eHsyqZth9Z)aNYF%~#8O0Rr~Vk!{&~%T z6mdHP5a2@Vy$Cp(k;t9{l3H~tsDxn#4ITk(xK~*TetqHN{RR0rXEn_;!h^Za_&}(US$#!$_l3b9^#3Fqq z|LfqXjN}x|Cq{}mx|1_b7;ga3(v9AS%h^X<1qS@he5y5tOw>D10k`2Nuo-~klp%hM zG_pBaxPMHzXES8DL8_DAZ!@$OIu2b8<33oGBy4vXnS!dW=bgFNzxvcQ+dN`D6cw4& zWTH%%8Y;1*1fhp2O^7y0X<{F>s9eM-h((nIraUZ_u46iRNRf1}@3!fN^Bv-K6cCQN zjMfnzNq^YWqO#>Yw48$3;Q#RK6rxkV!DQW%agHU|4S9{0dITiJ)h%ML7R$_>Ywl3> z*EHGLvaDNqCm+F$K6Lx{2OBJ=d6p`3*f~qGxF@Ld(Ua=oTM$6HneEJ_xM)jCMFMRYV}MCom~>rw>zgJu9A!1dAq=>Ac1O0zPSLj952^bj|80h~66ESr* zcCvJEv3L3z#KQQWLCUU1E}jmi{|5haQTgdJ(f|AW&j@;TZDwsIb`D)eMgm4A0%m4r z0!G${BLD0E+x^e=zuo@#uz!9(c1{)+T~Y6uzoX^g;MC>#$;t9xsads|IXM3l;NSfIJIK!w=yEa= za5DX84=1xWD+9yNjM@K9PnVICQTxYHSNH#it>O5e@9+PPtzqQgVEZB8{MT9kccK}E z|G(Ip5EX9)WplKiz|Ab}V!>;0Sy0tF>fFmV4G*?KCxgf8HtgUH{bic8A{FlQZx^iB5@gE}1S9Ne3=D*!2*a z7cbTRZY*ld&c*yCb!l4cCjDA5k0hK?MX-63TD*DpT}jlr2eVe(40Rnjz|a%IB{n=b z2~$XK+}dZ-fLX6rrJ;GnV4{hu=3U&xK*Z@iXjzg!t2M{~<;4gHL(DKq4TF3!FlmtP zfKN6a9%+{D*oZF!Vr+6nQ9{xDTJ*|u!}>D)Z2NSnnrm#kX=L}uiil*TJ)(Y~H~^Q9 zbL2=`BW%0>1}-S3Gno1(08n4Z9*$L!68xpQu_bMKgcGq$_^My%J0Z;t>82j3A{Q8h z-O(s64&Se2|r={iN>J7RCc_ zRFbHRA&}gFSqC0k<;GpZy_t7MNmtjztHj4u`_^WOJu^4+_WU%p?09bBM4UJoMj-=( z$5U2GcH?GazsmauENB*uw%^2reXwO&Kp};@dLO{xXu~;SV1G6sBKS{%i%;88n3>wAkwWQSOb zm~C&XIKS!gi|PV9KT&s93WLsOclGDMk#^vCZo4hMiM&s5dE{Cmny|>d)-!BglRO#_ z$E${fjm!F8L=3l230R9mKVW3G-GUMCHq$6R5>p%G!6JBaoyid+l?>@* zxLh9d-WI@nKJH#TzqAeLg@`yB70}^9F#rSGC!32>*B*Uzhwb^q80g4o?W6OH)0|c zZ7Len2yauA0YRNPug}}j>xTyyOrF%R2qr`R!pHsqsn|WSuVf$N0(HBk=+*_O{Eq6IKyP0}OyxOUD~oan21f?B zgQD;Pv~;3aTsY&-EST6|s$ler9xN9EfU)6&>GQDbe6$yzwnv)F_bv#$(1f4_6sY-o z-V_l39+|58c10o33O$Ml%|M6gj6oqjkb@Gn!Lb4DjvvL~(lX-WeC~4|+*sT2*XsMI zU3X!Rb$7DQaB?vb}9VnBpC15%F=w3DpTou~O#xu;yW<#uBZ1_j({ZoEDf zi4B6T^E1^pXQ92fxxQLG8w)*Ek%W2|N!u`mmzm^JatxdV$#^{BHnm+k80Hn!IO4s; z_ZlurQEc#-$Q8;L#}?+&li5Z#Hncy@VHJPiHP>v!jMrKG<_bTDSJQzBx_`a(%+8e+ zArssphX2oMpO8sE7QErn)YI^2xZ7pnb2%4_8kQ#4?AaWS_fI?z$q*=o?l5SqhXlPA zNF*XcjGe#pjUwTGaZrw#j-O{5z87aM4Wub8MXF?3jTC;t-3AhiIkcym!24DR(fFKk zb^zZW%bwcAd_qArzoDpG#Ox(kGv>4BZN8)(|5P=dNlnqpyz3^c?~+#TIL}GyD{RH2 zS{9mK?QVAPY=CevA@@nKNUDn9Jy=P!pFZvzUj5A_t(XClTaMwV@WUmT$B>J|lR^Nx zy0F=DbyPoT9@mA=*}GYC@JC3AU~x6spxbx&bTEQ^TO+T5UP&G}4^60rKr=}6_C>He zoMpAyIV_8y>6e&#>y9EnlAj|y0ozlOn~$NfWG;1M8Lx_+=O90kv{7jrZXIq9c)oWA zy!FmFvn4WI2>pg&0N9YZG5J6L>*D->xoR_UurV?JZ`d3w2Q$kLvF?8;c`7O1|6p_B zGhH2jQVw-3)qkyMjR_&49p^XtL74n$y~Pr)6-G@0iGf8m&|@W|KeX%?foR?oBq0wN6`Rv<3?U9Dkp)v#8kE%;t>U0=WTPN6=7SY4q7}9@_!( zd4>aM=Xe#%UyyjGea&_K4uvMh2|96+=Y{Nmll)CO$?LXCni;hnwbZ-fw~L+SvwyN3y6jX zlHLuD1^!If`vi!vTK4>FB@zl#!E4iKnnCjw1+&mo7F@zHY^go&@Q#rCg%IGCLmTys zy=|aXgouxW38eToe-DvpL?CVm>Mo~GCT(mmK3*)^sat$e68i-GhYQjX;pI4yd)ym# zLFyd)I4;4hD+#~14b^18HwQr~+NvB0$?ys@A#+mnpaoNQaUMIY6&%_6pb2yrhSUmj zRTLvfa4E86k7d6RJykR74JM>TMhiwg^~rC)HnJUu(T#Q}?(n5oz?aF(#pIPFcT~N5 zVKfCEqM|e`N*N3&kTQ0%IKY^9p#4v)p>?Pg>P`{}2~sJ&cofNg922@;1!W4$ zdSAA_h$6AyLQy5v$=PyGJd2x4oBvItC*0Pgc|S`B)zjnP&Cf$dzli>7O`J;dSLb@e zb&aWWrprh6!uTf!O^uQe1aR!o-I{gH3A=+S!FJkZ8 zsm5XO_8SZAQ)ELL4JZebOxs5q9G~UB6Cx8Qix!w@HD|?o-Re;@bv_qFDK3FsXfEGmZB$Yf+^1=q$zhY^KB9dp?m$?{P1V9?{Xf1cm4 zr>-osq(5p?G4^;r@-rVGeqPChI=p?VM;s-!NS#dSw)!kBUua){UQQK@rzOMAOtTiC zjOg4NC6o25R@L&}8slz+}I(O7{_OHl9MPDnt7%LF`13@$9L?zfm z0lACiXj(6M&taT_rk&WM0w zxhv`){{8#A_Pa9gUjGEVLWtxLk{VdE$^>9hGCs-jMUjgz(20irmV!XcIGt8_5P|tg zLP=N^1@$O6#94={W&Z3|bley-uLyB+PzJrm0GQKQ*pVpmJU3^h{i^LR&3F40YYHkg z(*vUYg8e#Omx90PyWeNS1p0%wiFvKzRNS%Z29rGl^$D+TgUu~6H$2_yT@ zLQ@3kBDoD_5j+q<6eXisnc;@IFsWu#wM4{9%TS$UPm!M%*+j^_G2qK}cm|r;0F+A3 zG3T{Ne-I(U2k{SQ?qII#(&o`Aiz_ZkUeU@W%pVP+UDy#vHrbFmP~fW)MIs6FiBp$n z5t*fEqFI;iTKprgRzmEd9QU64OM$@Q^F=)eJnCf$5jlTl5F=;IUK02G-mrUq`0M^K zVDh1R+yoB&U8P@aJlgXBLt+Pr#2zYbq?^zfX{Iee;WX)>Qo)a;-*oA&)1Zk{zkrzR zjKhtq5f@=0ii%U8T@cPPMAmM@HfQJx8sX@`*dR%c)>*UbN+g@6j-uq!P4-shsbeQQZJ+Bi(E$Ua^X0k?qB*`LAl~O?>#`HynY0Y`*hHagOF!DuHV46r1 zzmrNNI7Qg}YvEIX<)7t3g;Y`xSs-4DvvkOj^D4%}bt0iqKL4lq)OvgxG{*a;wBgs;Ua}3y5B}iB+y{veKaB&@Q%o#FikrOpBh_OuNmy{ z{0i6KPw;0e6c1^>`eg1s91TumcV`(Bp%tBHm^oujXIm5gD({N8>mhz|XnqV1)(gwa z>iRpacp6&JZ?1sSq}5&F$Y3?p#!NJf!H21SB*_@#lCdrVZxZbkpK?quBqX<7)5NHf ztrAhvkdbMtQN?;_^|O7*7{r35>}P_R;?XV;zf1~^h9U88?T`m0jIlzQj#++>6qz;L z*~W5NSX>%P=(!;pm(EzxRv-BenchmNzR?M5%uRb7-=92s`xq zb+jVtpk%~}KDOm*7(Va%9%*uLnsb_WNL8POs5&_xgJsYk3JpVUiX5n1Nggm62I46t zc9G5^uG+2dtsAdjuU~Ixwb0kPFMe6XzyJK+hnDTEXD%QhHVpAwfR#8CaUIY~E;eVx zSLY8BML@*8Zp~`z*8hz{A=ur;ASAX!HDEr|#g?D*0FURxf>}hPx)#^#={97;4$GKsHF~ecw z8L?NX?dvD%%d~d;If+}b-qkv54HoJalVhxTeT*6gZCI6Z z%KMlmmMxGQB%+N=@2Ow4$TW?FDILA)qXn|1q|!y6JO$HXOf-?rlM6t)#NHS7FJvN} z(}~SXA1s|7Nh?Rso=RP;a7t!6;FB)C=S~oMf8MIW+(X632eM=cK;JH<#FGt93T2UbE2TCw-hrS$STq91gp8|dq(A&@pcT! zcamajt+&xx2dlsXzjtJvuV8I~NSZ}2SfD|KVSyfBrxDRfBE@hzukRDy#B}WFw8l#y z_2E`AAW&4FZB7efdQ0Yp^P*jmWMIdp1sUmF>2`ueDo&7HR;YoFjs&1yC$W8WpaWD* z4R!)}bMYFeu^yKYv4!Hb_b|fZpJMND`^MnX_g3#Md_2DSxYJ|M9DoM{6%kJa1Ly~} zzeMs5TIuU2xYfjJgxFbxhX zI*e4EJ{T+AR@@q?FOvEf9s6t2Tn3p?Phk+70@VeDkiImyy$HFSz#}qUGNO!~;%ZY8 zY>Hy5jWHPizLNsLT8_$0F+7rhK+fKUYb&ATgz^{o6(F^JaEki@BGjV-O0g}j;HMt}?=$$72XIoEQ|RYdEmAbDDf zYc^kw6#n%B2J28OLo_aGc=3-osweY_z{fH8((^-5zPkcw5m;P=kfbCRXQ@-m%*X)n zs|}AlpBlfFG@id8Z!~$q{A_50MY~t>1KbCUchX8egX0Fk>uW$KEY=`JwR~ht$Sl(R z*(~f{E`dT`PE?;FU(di<9r*=^)pfmb2X6zxj(yW8S85-1WFsjg_+C=&)0!P*pGk*TG#-9Swq~*r@?w zV1*DYK_3P4VKjLIW_ksUUTvRK_E6A`n@0DY)AV~C;rG98U2fxx75bidaAqLF^hM}+()d6^7Z$$D{J+1SUFq&&E~ zWk&lOvJ7cDN4u*ir8PNrQ#+@NeYc2{zouXH5AL~dma`Z?_1-wA8lq}*ZUrlAE^?() zr#O+qoq2zQOvu;dX70^Nki;QJ0~$==bWh#{d0?EPsY`HSd(-S##2wqkpuHN5nujM* zVKE~#qm0~#enW$$_?%k+=Q)n(3~cQ+YPS^{C_f35!kPZ%X{RVMU+pSfqgUgd^hjZn z>2=X*gQoi|W#FzyX261=tgJ^=q`0ZyJGtk=omR1_8@2g>bUe(c)ccV8?0SQ;5@j@b zJL<1HOS@{x+IhQJm_7#PcrX9b{_DyDPAjbKKE=!P_zJsI@vYMHX@{K!YY!lN0w`=c zJy4}S3@Ugf&yf{EMhwX*opTh&HG%|A=_)sRHM%X?EIDXw*x0D~iCND_Jv+279+hOH z8dWtF-q}sD8af0;lU&G@iV5BIofHaBIjYtM#E8X-3r8JYdZCy=G)FS&0Ziise3EP7 zQ{Lg|a$9gpKeLn684{#lMN4N-LG9gaHP1MorR*Wq^_pc&?@m_+;TfU~d@!|~$qWvM zqi@2>9A^^>vJ6k1(n>o{>;;54POrraA}Wa<<0jG5C53b!VU^4<6&c$xDq(FJH znX76|P$X+;Thy&- zSj(xAx|Rg{u2V1(D*O){o^z3q8= zX=yUsx%)on`cOJ2RE3qhM&`s1(&~G^Sko$1#3fbaA^Cy{UM(PNV5Z+K(VuiYeuyDz z5Q<8`Ff`gL^bGN}K*)eF5%+ra8=<~9xKlsAnJYV6j03=DksPzVsc4)K75vc3bQ7F{ zo+2dR-F!M7j~Fu>yvwf~kjjzu%%b)gzrzyW!`x!`#6S4h!K?h!@~dx}nO#hdty4eP zsR#4?d+%w%>OK_v?0$x>)6wo&Ua##l#doH=g@F~q?FxXP6C9&=T#KB}cT7bp2|!N9 z$?OkTLXr}Tw04QB)j(!y4Xf38BR%VUxgZ&FB|}I}MU;~UrrJ%yA$VlOy@Pmi+Grzq zSWOxmcfGdM<6?laTV@(xM6jefwpMp_NGqCJ1EGYaE46wqOhr*M1D`*Uv)I6?UQ9BbJm<@b? z_>Ec^c?wyc({V^)jD8TCJZ9}c=yCJ15CP*;nsglod(?M?i8?A>@%Y>HJ-NNFTW4`i z#6@LIg_O)CHII6|LHA#HU1>OE!Xe$&-+?g+8(53qw|m_g^2Mz#9)vVxr}u+ko1xFU zygoG?KQb1YG;#;`FWtTOgM}DX;p=}=8`&1QUyI`7A6{dvy8L|x$L}zfiu!Y4gQ*o{ zjlz1cx(|Kw$g^{&vL9f`voYZ~osTyang3`>rnxR*=4X#dlslq+Ew+f$icv4=$LI<+ zJ(<0i3j9=*&CLvd`S>$)edxb4MjY}Pq_i}-qsnHOi>4|@A_1X_8j3_Kgpj}K<*_j& zGTB5XkPkBvB-+P2(5UCJ&!MY%X=p?A6G&?F&eoI^6Z=o;+ns9V#0GG3k3kpBAY{;9|AAzm56`Ni*m}v3Q1gZHZZ+^@XjK_p9FG zTd0tp;+o=`)I^2!5=v_KJ_}I!w$DxUxYo!lmSX6pDu4$YZuf}AWTIxlPEHu4B7rag zYXOT&5YIP?yKA6QD#$Mt-!px;zPKgk5b)ZxrJFa;6VMGRz|+YA)A!HxX_Jg|LLK3V z{dQSzs)_EQo=c}v8(2YU`X$E$f-uY=6es)icd2EHhD5Yve!Y$So&eleTm88J#DeLW zyI_^AU@$36mNE3s@Z2kqpG>v4qsVh*FoLMiI15YYn>qoTa7%zN+_@c38!DA{5#7Zq&s&Iu(MJJbP;KE={4@=q@ zDn+-{=TxTQn(7;T3V$%ZC+}h8jw^Z4X^;CwJ~!z3MBonl8;P}BX6j`KExVLjVl{6n zCsCp#0mG{FPuoS0OekA$!c3p8iyJwB0}CgIAx!5NmqkpAE}SBn%;D#!B!er^`2z8Z zJ0*pjD^6G3BMhOJ+#Lnh_orC3M+2CBNZw*+*BO zW9#mkq5Zi5yw!J=eWjp2DEb)?A~A~(DI(MdF-7Hk?|?b9CK?`60Z|iC%o>3DCqip( z_;0&~c_VwpNP)hX;-dEApEA6J3{<&E_lF}_pPL*72NuKNLP+sH;-rLZjY9f~LDhm( zfsHq+ivcJ=1mJ=wiz_7GtDRl)IW=}o+!xAE0bBWU9iE4ykJjXJFon?ryytPLlXd#_ z&+G3OO$7ccKGyN^@jE@v@^6AxecTD4^YFIIB)ZrTFd;Vwnd_Ch^9eibW44)6)#^|} z@%{DR@+W2|;?zt}mWnmzL=z{xG_F0$?Mld8x~ITR(15^{d6wx&kqkf!OrP^LOEW6> z<#E6>mwNL~PY+q)Y)d>1zw6bpC*$_xW^&KvlIE~p;`JaPLtv7-6Xx=B24CECe zsog7S8+f64|5!xN?Q`||+dq-TWvRE$X3#llG^ZObXEx!DcW$CH0Otm{hj9+vx0)Be z);|X^tb2NUC^u%ghj$ck&A>UNjZ`wTXVzr(F!`HXnN40owQkYHQ~#YF%S}FlEsG7@ z27m%d*3fB(#z9xXnEfmHN5autFhFOL_G}6MT=>m1=kLZc>7qsfQ6SRou1F24%pxfq z8WV4Ya9rF8mKL*bnMnW`a%05V#6uAQzBYD|a8ew9KJ3(3)PYm7#EZ|4H>%c5)Aw7^ z{p9Jey4Kspj-FP}M+SNY-+NK9)oD=wvz=wI^o*_NyVG`Mb?_7a;#u(HZ5{i~ul}WY zIAY;#}szMhUtt6V{! z#e|y{EK&b7o#n|~@7{Cp{rS~~-IO=dY`I}Pl6()z< zGv#WTMW2EBW#-WeDH@|S?F+16*6!T3_30N2CO(^*^Me6~OPkYi09}}ULlLuMCWeL; z|0l0M#t3*CyJuZ`@Evcx<9907itT zD{=NUYIyOovb<$Hc}sqq><8XX!h_ftQciv(XQA$_!zsOtfw%>C-?fp*w8tt%LaDF5mQhj+i%Dci4w=cFYt=aD}yY@0PPSUjZC|Pc{ z;aR$M+vwR@;mV_mSIv4QwVl!PaJ<*g?Mq)$XSA3Hc&Lq7)@~zpqNF{-K7wo0-lmKO zI+o-+iijZL#DH0#W3#_SkwzS(pcB=tjNYA};>4B&KPBUaB>mC+-x5*F)S5vUh2mHw zc|gE9uFT-&$(MA+{0Qk&ocCZiyLn*}Wc!xT22br6;e!c+yzJaa_S zRtJ>YvgJ{K=KG4C)iL1Vbn1+s)9&QkKo2B*(*UhXovYjNjDp^iC%5zIVQ=)9Z<;ki zaCUI;JA3Np6Xb#?nu7+=RjA2yw}Df~7*10CR@Es-@5B?Vo&Vr@uj>$CYX(1+1g=~t za?rplr4cZi3kpKCOrT8sKIACjN8ph{j8CH4b{mAj9%x10&!QaYSGqlL+adUvl}J8f zexqtshXs3=Ab)Z4lkt*Ld#l|Q*fXU7|AQ4i58x_igsRA>l{DQD#`!)na(f#^v2Zx4 zc+!}M#UY_;oKGHQ4{1q$16|gSU_(+SU`Z0Df)P`u$Zn_t)5w#6h-~cs$W8pMmQKiHtzYGs0zAAqoepEl2EC2QY?%{JJ_z~|J zq)&3vz$(4mFsKnwON4go<%gRln`4!P3#pWJ@|sJ2y^?3{m4e&cz&PR?;b&HX>O7Sx zJ8w~^^o}y$?*btcK~zpj1xoT;2;>ZLrU0L00KvY{-xZ33@4E|-Jn)hLcj|~P6R*xe zM~_)T!7wN0#kFAhJn;}A0B(}O<6@~?gcfjUYB@u!gCsT}aw8YaDn{`n96tQbnm6?a z8{ls$7D^>|Pj6qGYqnIV4juqSm`kS@7b54ShTPK^=-=$R!Ros}I71T)st&FcpJrYO zMWdlEh~23bQk=J4ELoxllrL^o2;Pug%m7FC;MOHtd_@N1in+*?@|@dz@klU?eHl4r z1Wb#)Dj;X*l*bUYJo~7SOmp(fLaOy1g1revosvN}Eucsjz*q4^4xD|?FMF+a+|&&( zt-qOFR~T9`y#Yn*&Zk+~CXX9SGp9}rn!NirBpB)qVNK-%_|LpZR`50X3q5|SnW2S& z%4cq+vU~Y^CzRMFxmS|89m5S0`6l}v~@(MTtZ zX4OidV`mGgh|H%B6KR-?=Fdtii@Q<-H~{6qSAr8p&xnZCdBl0QE~yQh{&@( z)nm}{M?RZ7F4(s*8*l+p&&bFb)n&a#^G_P;5fA1ddyt3Z&Dd@`0s7*^I)2vf$)iGM z4)NGf^`XXQH`X)-847j;k4D+#!xV$cX7$|mFNiCd7#@TW9tK&lL7VA!MLfx2WCAcp z34#-swS#=-F{oyQFd|@@X>^F=T24v`f({!sH8quWg*eQKH{airwW^A7D={NAI($1j zy_m138x_)r$M~{%U9(PqQ{2(ms;w=C#B_Ft1`?~~qh}mN;tNe#L z))VZu5rS4q`ZsCv=vN*^@`6^?vbNo1KCD)-dt9A34*@kCIT=PMA!JwQU*oo$3M(|F zAWo%LW2D?eDk2?0HGaB!oK|30X2pof`hzXa77G&9=QSe(@2m^)RhyuaE1CVngR0bZ zvPMeVz-9$+wmcAXG;ju2;lDfvMg#_-e)KRk;d~|F=RFr)>2g2H_PKRDW}m}{HM#m7 zX4L%YZ(j0m_Ydr+H4FGPG9O_)ZyThz;qu+L>wjdt)B4==Ky!W<_GrsiX+p{q-MFZA zl=9Y6HY)CjKXKBv(S=sGRfpL@wiIb^YkzeFwTx>Y>F;VHq+?5Qtm7KRmNF?|?z7!s z*L%HGX>L3q=N<$C&R_$sWt1xnxR`~-&A6mm+KA_wm@U(^l*1ZDp+sbiR1rbriK6j@ zkGl!w!1ebQI#6SwFm4QSmWddXYfU2w1lAHBzdShn!5tTcK}w1i+b<+`{5?2#$e?0l z#ex^Uq$U|*8Pdb^U5WuKLe_P%v3X&7Fe4_zk9=WWu+()iPE^_O^8PBQZVG?G zxus>|ov-KlnP=wO{Vtf8nw`|o4^oRNmP2JWylaQ4*X|L-UQfAnT$9audK^rQeBC+x6NK zzEL`uJHcK@&O}eEtJHU3eM?-;ED>m2SEw65p}B53N#D}*eCj#wS)e;5p$16D5ee{N zz_1_8^+yeuV-Oz=e*GKBvN14Zi#_>0-TmG znQ9{$;7z2RMhs%jNKrfVkS8)s*x5rx=yanxtkxcUqXGTZ(i@3f{5hCNm~1aH(>4qb ziF~6K4mde}DBB-zs83KJzXa-=5^!D)nC!hN`BQyr;Zq}?qlOg+@T!%xG!+5K{%9!= zPf5^P7}5j@L1!>*knUy~_2yYxgc1+F2+c{yAgEKW6+;e0_*eYH9KVCcr+D?O#QTFR z39}JZtC6$PbCk2tb=7hdA!(%W_`I!e^If4jH_i9mqd3pMZE4Nws=PJM`r0z=XUHsp z-4)eqsU6&D$)I&jE@`W3QYVEFnE6r)n3`hcWl@y{YFZ7`HiEXDXwB_&UORp}gfnOp zn+?ztB>{x4^fZ$)ZL~4olkWy*njmvZBoR@EPpu_UKpJHBD9pQ+(*U2pNju=?F{2Fz z`-y@95`l?-P%X)m-q74(w&h`)K=JdT4)RQqvaSX_Hx}n;YzcWzXw@BEbE6!&f;_m0QTvaTuhQJ8k2cY+uIJXX$48u*&frq~g ztZ*|U@TBN5lykJFDp;-uk^5US<0Sqn91pnwb` zaJHJZO!FVtqgb~_$X-mMKR*kG$WyTcyt9DH7z2PDuD5^~YCWQ0kU|RB)%aoyxp1GV zdJChpAl0F&%YLaWYRZ!#kBg6&LYb=GC9{PRCHoYMc$UpZ)!f*ZOB!0tcCPOFTcLPq zK=mJc;kewu^i`*UE?=Zy2b`oD(Yz|u5g+zv&J9x#e;}5I`C~F&-JG9=`qhCAgx+JytOkA;vghU!vM*;O+?WOJlYKP64 zILX*W*<}qxi9vW_s@R!LoR{4x9K-eE@$7(8N^qIev?Xb8HTq(Y4*ZZA8F>sxKT7OV zJ~vx#gtzi^xftzGmA%{<_Vs|AJRC?Uhk&Y<&T+?$O~2y&S*%IT4Fo_ZOIUu}*m>>A(H(R{q*W51FNq zv9|NlNiL^Pl|<7|eE)ecw=3BtuwCgcT0kseyV}zzoL^7#N`^*J69pLxjn3n+9& z24NMJ>)oTZIz*r+B%Sd%sTL+RN?k-&u39qHsXB^0Dz}#}P!cK%LrY7ar=3a zwcpx8!N7e884M*WG88zs7#e&WeWl7{~TRCjLoPUsxYmfU)b>$;$=NcC*D8>w6!uf+$Y#J<$Q3$ayD2AevgsQ5- z3v99}1msqr{8XZ{R70zB3=}CA(V0bxOX>*#ic1xnY(k>Cs;Sm0og2>Pvikg7nY<^t zo|ZG`+&fL(>ra`FQX4*I-9sF}^y@nko$F7*%^7ZMawArwHy%vH`gBi^D0p-`JdwbE!lBOYE)AOM{Par_K z(pSWA9l(sGEURM3OG)7Uk0QgUNtE9c8p2+qyVbI_vDnad)1u92_?T zwkHkD);&0#dG8g)~rOH?p@BgItx)H!>)t%u{l&v762mMJ@jxSo(hO&q<^Xj3^jx7G4(am*a|mF?i%j{#)#7V12ezYVX0 z=T?YH=kq|t4Crq&MzxotzdEVW8--ly%}4R!g8Sg#GNi`7i&TS)>r$iX#-Gdcm&^6h zZYCY#JX(ffXh=r1?AsrtXP(eHd6T*lum^@zvuJgKNxFoN^rdE30!v@HK_ zaM)Gou46XMNZhl{^L#wN_B#)y-N3VrYhlrh)nDcPXuAF|1M4Vjd#bzn^}w4A*>l5I z3^DK6Ou2}^iHieGDH%;N*eZHqF$uy=m7aj08cOLE6wWPj5&aZH8&}wF7X1V?s|n3v zGL0y$_VHO!U7k~!L~zd^L=halb|#Ea5m^BDk3_bjxaXDCH!U=16WOZ z@WI&X{`V0>QDSQDRj!SQ$8qNJ`f5A3q%dJ+t68G4GI>vU5o4WPTdje z%hA4lk3Mhs?JHTqWT_?GYo9ysyTf60;{C6(aY;`Bj0hO$fkb|2sfZ4&e64JT36>11 zk;!aRM7V^$))}OIg@N2}ei}j8k7zuQ-$((y{tLn1hhUG0_xu;Xv#%0;*}W66UhJNq z?uuJmzQyJ7{EgvBvfz`$FLIq9*Y5{DpIk?HUEFS;qDbf}#ZE3c$-_CzeG&Il{e(0tYZwa1htI|`_%D@K z=_?U_Hp3mu66$z}Mh#A=vWtfmTbfrp5oKCeGf!tX;no~}Y{YznqJlv}P9n+TnA2D;!SQLbqf*y+ z$)korN>~2otaUx++m>A_&FJ{q7IV=K>GK(66Gc_oiyGuM0H-hr&+rJIlH|>+_RVh% zW9$Y7bXk=yL>ZTPmZF2mL69-&wO45d@;nq%0y{c+*^4VKJVZ`Es~|Q6ujA}q20_?C z5Wy%yF&7^P|0Lp4dX;bAct=e)W5~aXe)DzoF@C%Wgz9?wNf>sb+*uR@OTt>cPdGoH zx~rC*E+{vlZ32uzz`p$Wk&d7p9r!`*qKD7{J#gYOMjw2*e_SdnK&^~W$|!xHOb#`# zrYyc0(6AEyfK}%MJlfsbl2K~pLfFM>4|{!WkIu2KSY&zIbRtLIY$Z%Ydyc23wxtuZf05u7(pUsAn8bIOaF6Vu9!6+h{ZNHrl|&-cP&p$Y8y#@6i$5hE-lSqae; z7{8$IS-XV-CX#vOPMBD0*^2W9)o(CK>?|S4kBnV6YUznA&>Hr>8Oocgb6fUzL@cl8 z6FN=~7~_iC9WLs|2))Nxiz9$`>z(K9Pj1WCWw3_gi<_ zM5Ha%f>v$SP+g_AvFt6P=mUc^RIO|AraCCpYA|1vb66Wy1pc|o=*bCEJxz7Iz|fTS zm=$j5{7}^8HRAc)aM~ReA7SX_;9QFcv_GVC?s1?Bb~^Nq*ZBYlr+CkwEE!F|_~WLt zrLzF(i1UpU_u7NQoe%wv@thpeYZfn0&zYGHXe3ZpsIBCqbWvBDL<3n|7&IwwgEjDK zijr;bD1JPzL84$#S+Ed1ogYw6BHdBeIJOYq$?;ZyoCEv`q3~&blaBDOp(C1!X!SNk z+(o<@eH40J$ZINU&e6Y}6{Ro0_W2Y8ns=Dbobx>0j1o!o?X_pkd)^_jZIDu+ef{{Z z5TD|lBaX?Hj$y9|FF;kaAbi3>m11zi7f{Y3HM%}R*pNKS&LenK5P!6P2^0Z8b|^w* ztrL5jB$wT$&f(>lB!xA`f3`sP;w%bQ`pN?M1B$DbO0utjtc*Wd`{IeZ0%=U#&zTO_ z+Xl~&p_bRzNiKcKF9brV$wP4kR10BIdnxHn06P=-kl%KP9`nA(0mZ8E8V7h$IT4CR z{~z3*JwcJ6yvwj-dBQNDLyx7Zn3F&O9&JIs!Kw!0GL&Z4XOV0U{5{F+%C)DTm$LW!ZTQ|ld##&X+V@7U2C_KTOy!mW(9|FB^j z*bn0^I~#7E-Myk@IPQ!hSD zw=G+8lF3YPS#+#yZT{R8*;R9`sO1*Lm7*;zJ=1Bj<@oIu;h7Lv-!8b3<#`~3g%C~} zFtcUL;B;$yYjbOt%eK#QokmQ;e!|w17Bwy;HHLoTzPi-&lx!zcOUA~qtlLS0UKb{l zlRnjHtk;WXBSb;ag=UzGR@ARRu$E}Bi)I!oDdb%>LP`gRIS_i&uc+)(5>paFF~rz; z*KAg3Ca`+hXfu{`{mbQuD~5VKVe@43=CfI%pomMHSjm`pr5(J=fz+rB_u6q`JyYzM%)Qe=D_?z~qtUI0_T zw#Qts{u}!#8Ge)b3l^*znB;=1anRgQTXCMTdY#@`sH1F4&e}{?K*(m*drKGZhrzwZYxH#&gC~QeyrjIQycw@)WbY;e**pSqZR9ePyfiWO_Q1_jW3?wXC#a?$wypQD$itt)JB`gz@JEXu_A2S_3w}_@U>wU{|{(QoEs3dv!DdP;m&*`DuRk(0;eV z$0VRZw9@@41o+**X%X7P*y?-bjC!F9x<0_PtAj|4500}5VW|gcFs(rs#b+qD<(siT z<*vp9V}pa-tDl9dFpaM!p<4T=nk>LHD$b{fX>VF?wFka7G=ApWaE`m0Xo)dnSyo+b z`1}mSvPjAy{cGS%tk8@xy+u}8ZCJfL)La&p0*?b(Y&Yqzy+#29g$T!Ks^Hw`Sg8zo zyt91jL-FU}>_U)v02pJgP;EePAuS^w=8_3D@(#%>ruGhCORNfW6ZlhpAU7YNU8bdDJAJynjB18d@m zJr~pO69?cbT`(>P)ce1+x|dRWnp$HMu57isT=w;EWX2ETT7#8uFtkQaoH?yF!&-y5 zcgFU0_qSU88m^hv$JraboUWp~ zzKlJLA@7SJlNS*y%8nHmSDVWE&Z-qF!tU?5`$%7#aP=5H{mM9iIW>gW-j$=;v2E|w zzA(m}9}jOpIvsG#PSYn@A#*HFGqgLiXYU#Reh)ryR=Vkx zW^u6CGzFf5qgn0e7^P{>UG+KBulA!l0|L^QziVq#rIMS)G+}Y#^uV!5)3Bp*W&+DkPC>bIL``A;lp#DSe4@_VGulI0_?O`<8dFvUEIg%C?JSf)IZh2V8tWQF`4Q-)}u zIGr+&Km5BkbXh{ZqG-Nx`Aprihk94l`qfV}ElaT*lbmI*)dsw0w*8lHoPB8c3^bc) z!68FhR*il5_zZZnK*G^C%{(*vG|NfJ<~_`5i)MbOBka8?peql&?yt}Rmfw6&w@@K^ zw5}4;l`Qd{(JH~;SakZl@@Uf;2hf%(4fOUS{WNz~45~DC3!xJS(_w6M!+Quzy@phx zLab$}`um!`ChW>-B=0nRPuR27NJnCcifIHTu@kgn@sno_n`xpmvL-gtC6d@8*N7{z zVNyQ8(1*9PO#Ox27>92?n+v}?V+WX3n zisvI0S0}Hi=L1%QSmzU-d(2N(uP7a37nd!s*c}sK&baVCqBQ9FoeGH%O*B}Bof3&e zPM=bscM}c#eh;V$REQ2Oe7svU$-^FqM%09UkUN#QL6SS(hynO~Y=AXv`3Zt+EOyzz z@s(?IdUnA{l54pZTAdG(Ym!#E^0A_8omN4|9QW~^tM7VgLl;NMF@5sqtkMvD%5tL3V^3O%tj9{8|*(6SU3Rz zKZfs)HK$oi#SqWKts(cbBvcS$SuLHKm8|#@53l(mSF<8f7Dx(-Cej-^Ob&9h**Rh~z)CNh481Yz3The%b z$dPGt()pA)yeg&Hdj}4d>D2keTVi^C#F5!^60?39n32Zk;OXr0T#6k;jcRd+BdQ*Q zCUfPHIfpw9u!TWJyD|;-gq`N*^zw+4ljo-Qb4k{H@fT+Y1uXf2IcNvDv~3?6C`G6& zH~^kMaV?(TFaNARRJXcWmDXseD9|DPecju~wQNW&s3Re35uAaXp?f2aOL*)C38(R# z*8!(-z-n!{LEgqMs9T5{@vvRTn`VhROoeJ)&6_Eks18gjNTskIabaD9IzSqwhM;8Q zfI`{2gtsmTMp4Ol$>x~z(w4*W=A3cpj6dLrU!CS$WI>C=jf1)-s&u>H5rNHmL{h7%M~+zs=2E^Q$Z=eSo z<)KGo8vd&-at}sa<2;Fa#NSmyd-#rBvYUICRl?Tyz%4?z=rEsp1FU~Zb&9fp7i@h` z^|Q0)(tB$PJPS|sO|#FInnt#_6?iOJMAZ%{vvl<7LRXPZqS2Th! z3*B1wSu}Erb-%EDffPz|#@y&~a!8h1h;tB%4THBP^+4O(_H0##kx^JcfB&!>Zwtv3 zK^FXR<~PT99W97}Q`iY=N*Nq1BrC7v6sPzT^bg^F*W=(~p#=jiQ&B%LalezRB1Tz- z4x6DI5`fG!j1iiVwjUDfgg`B>?aeU{YbHsbF0KAywC$ne;Qb)=EeYp~6-dMY4Y(Iy9nSpk8Baf|=S$y^m2f~>E`F#WDQ#$Gz>Z|( zBn*jP9kNq1A%@H_&I9+mNmEjb=C3u$Lq6mxtA%srqkwhkMJmMqE|#NUn~kpUCv_vl z38ebfrLiPxPWh{w#$)kUEa&E_3mDtnO=+fMAG+3oEGv|L584b0m6Hvc;2i{e zQa*o(GXk{WcQHcwyl&c;BK{Q^6yKlgRb6fbedLgRh^pGJH}@~4)Sw@rRyGJ`PM^bM zwAf8B-=GzE8nk0rdM5IlMEsW1#SqTU&r338$>Wb| zjEuEQQl_rLh!}O^GTfV`uO3j^63a6(_w7s}Wn)rod7zoG=UUb&xF8RIip>Nl1eYvP zF~c+%J9uaMwQnmkJu87ltsU}M(AD>KRY@X->I|!tA)0ub2RkpX)-T)|l4@4UM7flt z^ag}l7@mh1M|0Dj>IbHRx>=z^a{i>%5%83G@_6oNoovcBj$xZ#n|PWrbHKF+S8kpO zx0R|+n_-)v1zrRa26~u7{tSNa=Els%VR2!dsma%{f#HBAOw3cSe(7vD7c~w_OkXY& zj9lO!4?$uned@Cuu*tEEqM&gbLZ>~PD|>S#^093Kk7u*WGRz-Hg-DzVfB_WsKHq{Gw#KHmfG-! za5z)Y&0-6OX5pJ48p9P;K^*&Z3T}cd%?A?s^7FMxgk%|ExYo_FB(izGRc|JFiC(ek^|; zX#qw~aGYO^=!`$Xv(4mBC4+2hCzxOzcEDM{#07_`ejOQWkW9GLOoff>x+^5N2 zHZ}N`iXQY|-a_0yy^{7=1wVRhR$*-6cipl3z+Z8t4XCMii3Yv2wi#Q~H@e{}A!oC% z@MZNwuDU5(3*X{1(+l}mLR$B7S%{MHTw-epq^*aes#T<-ZYl#zpK3L}kCn%&@6s-j zPK;FO(@Rl`IVeXIJ{=p>$<7r)=)>4e%@g#LFJRQRENf~W(z=$eLq7Eozm-Zh+%+IC zr`KUMXu=e2sz`b5LaaFj8S7NGEaKxUQZnb{U{aVyq>*g@>IXw!FiyPP(dY*Iz*8qd zC}V?=_x^35=gxSMW6vc~*Bm(rvsvqSjXss@LE=9NO|@lQCp$h99>}u%&8n8~6!nEA z;8-9(k#rvaFk?s39`61ft!$fBLr}db3GzxlwX4ov!#;k;h1Njr#BZC4Bf8=TVJ7%6 zKm3%F7DwdxB=`s0oD?VYM{R)8jzGhdQEQTAjiIK$Q_Q81rE}6=<%1FF=3PFBQC%m1 zfkPz8BlK8BI5{Q@Uh(GW+gloyv74?e>t*i28&{na(9%F@} zr_-hxc_j8X?Pv%ERQyNhLo(;KxOkJYIuz9i^}Sn={`Gyr_OS|31UDM|m~~;daISrW z&aKOf^@9dY5BRwm!B0#VO90tJXN|0@`4G!vp(gqiiL z5t5|nEc`W`*J(_lghV{#U>_}%LD-@wME71>nu2b;-{iX5=F^u-8*mRja;#kW-z?wQ zlfCcwX(mVypGY=6kVqN>ow5eND$;loDle?Daf_?8Pz=>zD-_|A#wQad>ycwApgr&s zNc756ZgE{I`8>&Yu~@ttOmI2O%3psxpLZd|_FTO}^v8Y4+L{f6FwLmRB>XTo^ST@Y z3#3JP))u@y7UO@^6`8_*G0u|W@SlF$!R03qH@L>}m23UoI*<5N*b&Ob6U-1-tq?|; zKuXam*4>R_bNiYrVBB`LJDSYhN zCv9xdbaoxc#uz22Xs<_Klc)1sh?){Dg;fei&aeH6Nv6UlX(DwqM@ilK;KDJ6dHDqP zV3+YobFu!=0ZyA-3~M==alOgh_M12jw-!ZRnlyK|iAhM3PLp*rETcIG%rnsR@szF6 z<(-o1JtMWwx@6N7Usn&P$RhG0V+re5?J)_dkqTQu^LUfTjI+B|4hp>93Do15ks9X*5|Y*C>Azwb->m$`^I|9TB%Ci z`EJ4u@W4I7Zv5c|TUi2NzH3}Kb2t(9r@0%u7=B;E{E}(5>@G!2)9WBH+1CY!8E5f6 z03An}_VG>d{odKE8Ie4_YHHJzbk;I4%GR@$(f0!q6b%fxHyW)|_*LEsFDNe#!Z60^ z>uY{3m^{WWXkp~AiYfKcWStmYsY|K{ODIP0Dh3n07;-f_i0Nr}-+4hX3MM{94=evB zhBeGWw^#bN88U?_Te>-;-0+6oy?eKOA*2;56`@t7N zC|!(`@6Ou~`1yXX6*hQ&^OOSgub_yoNw6wWVf%lwbq3`JUC*sdldvlgnk99-9`?ho zlG)UAj9e{|P?Jb#i@VNa$5MaMv!{@4lXoX~4-5zZwY1LXD>*h=`T#t*z}jz3WiUVY z3Et4rTRYQqaprRL>f>H=9DI*wAO{mNkZAUB)$zlLnvy9k!inZN55Z%kgC^$nXE-VD znfqes%AEPL$nxBMnrKa_%WmW27oNkmWhTchOy(NZX4`2DYsB|!@jIfaR)|TRD{{RtwpbBah79J6!PE8U>2Cp!x24Xi_=s-i8(EVxgAVGeAWAERU*oeTTryDs93wkWE=kE6J-6Vaf}LEMaL%eFS#6bP3#hW3 zC$!3_{g>J9U!DnEsn7VDAn(64AVoe-don3sFZ65^+l>XWg*AVhh%h~`}_>$I%u^aG$nz5|wn7@i`q8c2YG^oq*0hBqxZ(LFWRZ+k{C}w?ZirT7JLT+HtL3I0}Fz&-ek9`f7d;cw@h}-3#6(P$V?)< zqp?u3)PrB$LPv#q$}+GW7~+#^TY4KLiv zz!jZ$!dhuWcW|S`lu`J7e@7+jx@cfTKkhwWL7P!~=)0Mhf=<1M&-`*?Sbb`S>;CTx zR3r0!6ubT?pP7`e7oPy{Xc5a(HA=7c1 zpM$(zA@-NrQMe4sye-i)wn!xB_l3aOKTYjr_jsrT_5!;O0v;+@Q4D1h&G}LGS|>5; zf=}A|__-pp{m}?kFWPq*5ZCN#IAy|5%}jc#fkw<1&8Kb3nGxOKHC7z)jS+`ZhM-nKvOfV_miTt=8EJcUE$C`OEN3?C?m3?#sdbn!H7rrw z1M&f^bBCV`YaquaReU#^0IQu?Z2x;kOC*Lq`Td%S4pgJg&O;~Br49Jy*9};Au8@DEJPbsVks^4YU;Fzf#QVCm>&X}5TJ111M3v4Vr4^5c$*$m#4HaMSE9n7p+*!K!< zUJ|hthU4u+>2Xl(;&qXnyG>*4JZgj-fk^VYuR?5cEhEsKx}PF71qS{)L;fX1?R!44?cOYDLX}FSSMH&9b|2JQvfchpaX&!Hq9lp6Y=3g$^Fi`k z9K8n{qwigBQ?!sszL-fYpfZ6?z$3dl<{^SkY-kjrSD9!XDQEMs9&V41x;DUZc?%kV0#mT9`jwJg4Uk{0a5I?Qp zXwUe-`QU=BY^VOB{qxDwkBfxG9@)w^;V$3aKRt>{4Zt$tAXF=5f}bI5Qd}c7=>!Gr ze)gugVLb?PjZL6=9|AT(Dl4wqye7C!a2^oL`Jylo?kP?NgWHhm`H6KwVd3~P`s)wir%JB>gI4-2SMVn>cciS)_wdoE-_HZS$1Wb{@V0=zt( zElHM>GzC3A7C7zGchtB&T(P@3dUjkq+g`WaD;TaOYrJw@3gqbfAhwFSiNp^MG8xIwCd>hA#NEq3eG{tP9aAiJNZH}m8^sYb=4_u|=F zj|d&-U%S)Y14*;WA;g(X-!Ug@o~)Qp|3LcCH4PmNd&H9T0o50pucU%s%sL1P)Ang? zKK7p~gAX)2smwgETYkDF-rwDp^k;*p?duB5zcW?hsA*+$U=O}f0=6_eCs6byMns+~ z{F{n}n;Qc>>X|0qc6QXg;xC@Ec7FW&e$G&4JUn=8Tw6M~T8xuc=js+Q7Xz*&&o<&(jMJvTUgFWWZgt^8 zy*&YIi|3)J+To5p6jWBUWs97;iF+=}YN6Gi;fdrHJX8@d75_kr_>n)5h_zd;r*}>d z-a#Kw#ZLA>l7Rf2x(_fbKwDug0G99Nj74SO7HI7jif*15u|}Tw4o|%%&P(~QU@a1NXB92@ zV83WnnTWMr=LoWMsmDy5BT-R^vvih;meK%ceGlQDg z<*}>TZ!ixD9kz^>jl~Hd*-iu$@2nb`!gz$mzgPID)~}EWcS)_>%LzM3zpyr0DQ2hx z2a{DdF1FNvMMu%Vg$GDlYrZt>!ws#jOzUg49prB?1)!f;b5SNv*iNM6Ja7*8#fAj+ z8Eg@wM=t#?aZZn*>_$P@Fx&j5cRxF-G=srqxS}!c8P|0}=f}cL9}|D#`(_A6`!*~A ztsKT`Td^+{c-Z38?sTo;PZTTadUvusL z44+m?Ct-`#{RqbzAXb4NYQ`%R)#_4n@yJ$Pi$6FfLnyKN*> zPU*iz+Ng74efkWw$v4r3V`~QszZgiJM)^4HUf;qcjk!_=;B?Ea7l=+d)}KKnZLuhd zmQkRMen|UzzU#f3pJ-V#u+H!Eu-~9C6ub|u`l56DX@3L*#U2bRh%+bR!WnJ{-ja1N z%+yI`c( zbV)IDl7xJw2g5q({=OU;G3I8?t+DDoZt&a>eC60zOUJC*2tJr@w~!vNQ(sNBFiWfG z=z8ZWC~c>AT4{IYVrTZKkjm$Fx5$ zl98(6kP{a0vS);G!Pg4ij`zmT@C)#>$Z`_R@*<`e=$7FQ4)js_oZT03n*WLd;OOMy zh;MXBNzJzVdXb}yrhZdy8h&fpq*A2@s2Q6>V#N8GMme{o;?72x7pjeN7QJlEcE!*> zf{mof|72=E5RpqBs&DC7H!Dsaw`z>Gns8gNc|i%<||FYJ)?*B3Jg z97j#VlUJ>we_PcuLjZ)VIW4r+kmm1|SZCd#dsYqTj+j#^o#mQUYocsUSdV3tuGsK; zyW??0+0Ee>2>2a_km&R3iYW=u8#gHSgL5ZLo!MoVO+)5dJyw68cvW~_uJe%D|5H&@ zzHkMbM#McUw6ohg3b9@yr3A5pRw4yq@GQn%f_1-G1vnW{2}ozfEm=g$6V=>tP-tm) ziQ@D|G0x~|i%=U&W4*Al2w$%}NnawI@iE&w=|U)gN1A{6c^1`d9kB*BQ}&KQJ(H6% zREB9_+bB3pzjt_hlgFV>?wbvnmvLNUOzb9qhr!DBi;#e>T$=OnFxkFw_26zLH}^vv`VhhvT7&(|Bu`!`&-K{qo64x_Qg{IAG<@Rl0r{ zElhr0D1=ZB_P8tr(8g9evxoIiklTiucAq~}RlG3)SVOhh&$kaaXD2eJ3)7V^Clca& zmfk(2f`Xj1^b)xZGzrB%s&rL84ZpN}UX%1dH^!&|vn#umy?jbXyZLJF&Ai3w{GF)) zMgq|6Ljrt+$En5N0wtbyh8xwdTsTbr$$@=V_eH*qe|3H zx8YO|dzSAWZokQwOE~c$uud{q+o>bmL({pz>Ga{6>*Z;!G2@<{&d|bX_k${5^r>{c zg?)oweN4PkIfGT)s?iO4o`DQH1692P(^)t$zwgi`-y$a`$l;CsRS^)o!|IIjp5;a{ zhAopg(8VzXoZPiG;0zI4)X@qXXLh^$m7Bp3@N-U1vrO7P&LxPmky*`uO)x|CK0J5% z1a{mss^6)vuf5BUeMq|(G#%2Ud}6+)!SC^=bo8}wpFW`xt<~S=kF$;YW1>R-ioRN7 zdW!|M-n6!a?`jPoHEUl4YP($b1p;odnepSvnBirJsBTT&&bpmgLIbyX6}h*Py}2w`M7M#(|7#a((ZH04lJ z#|b+)&Z%w;P<#p-%Bxruu?#7rzcVoc ze&yCDUOQxQHM3`X4%#F@ad0_D2lGK6pyDd(Ov0A$)N;Y}yW%6op``~~{}*FHS`?O~ z!X7;!aH0s+pK73N$-lCS==}8OeS9y2>k5jH{#C>A$E4Mnb85^dPE9 zX`a!L3g?2TcBUH%mpaNcQh_v<+o^1+2fTI{^WlS=#VxG%N~IF^d>e9~&$360MT~mo znjyi>C2JB&RYLa2WU(<^2TuolALl+)k{t^e!cmAquCOA>+=uoxEc_L4EzoKxdfbCy z!j&rg*1Jy9b-M&ZD<`d3cz@}ejWhfxX2Cd#+;kot_%$Xs@dkcPOc>otm2w)J)q3O+ zxf_w4`=0O(hiCh7uUiJb6;33*;=sd75a(OR`iJ!!SyQhJ%j(i z(^=_70qK4PJmomM!#wy;(;qBGrb~`HC0A(F^jaU7H#K|wsT+u z&OfZ*6>RV>@boN{|R~~(%r)ol6T;bl%30r>0vKKgDZ#cHo5on;E&%9vfWmvksh)Twgk8wJ+pnUl?kUBW3Lwox9)p?sv^3nx997* zKNOK}I7FN84osl5+T1Ab6>1PViTeORJ#$E;uJLbbdxusoLkm6CwBjS4&Q8%k2i+82 z@N~W!$snj+o!#43FWorl4oF%v8MCioZp~M7KAvhQa~%k;cVf3l#t&%(H-L-RI;$^r z^x8R|`(>T6Ei7fymP$!oPB#tdXby^)#j1s#3-KG{h|y$PT(cDunBi2V_zIf1F7gSN zH9c zk!F_$2#fIhjHlnSKE+3YEzS%-Ry{2At*F-4I{yd=VBOo_Z?`>ho|woxjUb8$jXh1s z_4&TG4(1qvmaL6E%DN!AwYQg#LM`}m_uZ8fOm%`Fw+Ge&9U_f2IO6T0)!sAX%q)O+%EqV&<@{MVwO zbuG67$>FbRu#={8%?{M<6);CBx3L2ZZoW-3=I|9uJ+-;$fKb);aR+-%9^s~{`y2BQ zrsMX2m&8C#0hZ!>BgdHE-CQc?snO-9_1yNeZOdd4890_@PkZ|)715Z019|moOcgKK z^DW=K@f*$|hh^1N${J_q{&M2FWs7Hr-1#cg6O|%|(pff$y6`m;M@mLBlNrHRb=-3- z0sc?&T=IM3Btk+W?FeR7!HOd>aa~GLs4QC(N4}u&Ja#DNMRHw&wAmHPY2C=u!N}1} z18`6DZ2hj~O!ZuGoUFl#R0e9X-0dva+T_cMz3BZinZzHdQ3ZC+sS>0Jkc0wO~# z2E{bO8+ALGgq2^o75BW_^1vDcAvBmEZBVRjCBLYINH#+_sk|q?RgHQ)HP(HY5JVr+;CFb^0ARI z2I-Un{coDBp=sX0VTIlAr(EXj^?J{{E~nIEF1C30s8MTH_lGFV(uo6m?&hqF?1Y*fnBV$FQD#sjBqK%`lO(H30G4 zn9bj8P@?yo^KxM@nECpj%bf$)MK?wUzkCQL9Pf2(%5{4l3!EV&sOg*&%C*JJW~%xr z<$%~zEco);A*lsf3Ng-Lm1sM+FS>?_&Lpc-P^d`DeVubBDs|cKQRq#sB!Do#5{i0Tg_gD8E6rzmZQL z>ofl+dJppGrEsGLjX1#Le}nY^etrl z%zX=!kl8I5AAXA<=YErO_#45C*gkXcBB6@)1LB@a3#F_%<1b|D-esLiIuzk$jc0Qa zv6Q^AxMDwug#X6CXoAoDGY0<<48#|hLii^lWFH1*uQYzPD&0=TeKnn^4p3&colo{& zG&fQnX&En%<{w|jXHRQWt!HbIv$VS>K5}&Qvu6|c9KRQBrbWqZQMa>b^y)K4Z^nkr z!utI6YMuqTWh+j(d8NltiwTM0AHo^}4n2B`R3tAFhm&&DFs+AmJrRg$PTS8fl}olV z;>r5(@?Mo!@fyGr+VHfziipY(vLFvJ6(;aRMFx}O%H@eE<}tMi6xI$qQ%*DHf|4Cq zZ6~kW4wm7%9y0>1%t;jP%63{G#@Zjo+;*5_R40mCiNvw8?6NNSOR`Y2fF)UFk-2I> zIf2w1>)J?Rz72>sl&@O&ne^ESQ9^hZq^UK4OY}IQv2y0)6+&QKE_Jj$qbLqh(HwDF z7O%~85g}z)ZxH}HP`Xkw(w>7Bgk+|tZsCHK+9UMIz75VdALJ1u7qlAG7L^c|4m28c ziZ`*6$onD@EG+T_7djFxFqllliu#r3^jvN<`DYfz+y;Iw>BYe=IBkZF(J_|7oqhj2)$vX`3Z^g z8{ogv%J@OImC2HbjJ=)0z67`VZ+Y_R>bkvVJ@a}gH%n6|>ei<}!wsU`l+s*py~&v1 z3cC+0-M*Zv2MXRX-ovtYW9PerkHYJ$KL~z{SW^TZ1-V47=?bfo)r|$8{!RhRoCd== zMrazIut;vp3A7`({q*4XeMhXO#nhLszb=_NG`AUznAn-T;MG zat}5U8PYGcBND@&pW_UPSScb#k~wfaNgqZy#TurIYAGONE3--Qhzdo%$-2I#QOo!l=CQD!@p=6-DaqqV{3i`bQ(@~g zwM+lms0<;uOQCV_<@(GnOG`)$QQR+*Lx{*G*6I3D5M~MoeYz}{dQhD(!Xg@%+gpK~ z;AGe2DW+V?vWi_(&`Up4CDU4ays^Llc5%)cl?-uggW2Kv!9lRWJfR>&eP8{$FW3+Q zWurpj&tz{Q49pYgh;6|SKd+y~${CQ7n%>h%`);H@h*8U?p|v_lZTzH4+3|&Lq@&e^ za`OyXHo@0&kr1vbsKUCqzxE?7LO1wN<8@%825ikVk)!c_Iv})#9&l zGdtFXWY!~*JV6jagTHMm(3LmoYQkhQ-fyfP^a@_yTQeV{ilcdbrY z3!)AHfD?y1{v}AGnriV3;^L9|H&tXQ1K&`wR>@aW&DsnF&AYLPo=#XFeGj64JhqMy z_QjXY7RQb3;TKoS687#7`HV$Kc1h@?%Z=@8RAQMdgM#Rm)Yk32r6qiVG>El-jw+30 zz{rjLx8{k&N3sl5yG!_rTnjySXSBCqJuttX+e}kh8LO<~TPi}@w|2x9^8+0IoRDWb zkurgz7n`637cNYlit!qWYKu|kCEV@J+OmC}uS2G(Ms|;L<);9VqYED6<7wFCtI}0V zynwv$^@wstXZPR25F|go+t8aaoN|IuHy5A`zRcVAnLX&e{tsj#$~4ahZg+5b(!hu z{%-U?h2Z~pmzm*Tmzn7=T>RN3dYZpv^FKQCC&~P2D${>z&aD4B%?y8S=YOPQhQHA9 z|8$u(89%+_|3AyD$;kZo<$qLWrcb!}UqzXj=C75^{E0pPizhSxYe@e~GJo>PxXhm< zGaa4gKO{5FXKy}j=THCnFUd^*x3estB=aZ5{I~GaYyM}GfAUWtT9cLTUx=CE6P;%G zZ13|<%gCzE%=Bqge{#*V|9H)xtJ2eb_Kc2ElYxdoor&htjHdagr!1O`bd2iE44;qm z|3c2647NHWGt+;Cod43;R(hte930fVb^rrQ`%gogmgTRzZD9WoxXtkI8>?$AVqjuq zY>&%K%S8Q;uKmxdl=RF@)I#REMs~Oie-UjyJ}YN+N+vp%zeTuopB(q!`ZWKR3h7#y zn7fej+Un|=0B{v-buI0zb!|T@BKx=4#N6N$&}L-#x5RJVux$*Y$+8XTZeFMD(Du#dZ~Bi~)@ozu6kon3e!pgsV44;1-~3m044?0qnk2{ZD) z>Z!{uy7>3xeICGb)inCbZY4!Kz%6hB6@;%ao>R`(?4Os-)YMkHhqEDo z<=qFz z2}MEc1bo$rb$=F^Vi3FK@RQA!_xGP z)!s7zO`=^>Zt%h&6FhK6Y~DnJG5d&Q#vb8vdoV=!1<0)742n7Ept0lxBYK!4V{ffvF)PzOv0@Jw8~bX| zt*z1}y`;E3K>6LyH0OJfKFVV=$gJ99x6=J@s!9Ce?geUB5%3~04I1@nh!8xbh@1mx zongnL^?wz=KDq+56|(RzhMuJUXc9mm|~VX=I$}Km3n5)eMvGy!<@VL zIRrp3UyE=xVbOp;$J2SM;WT<(a@Y_WXWf4^Z=lYJ$tcKC41JG-w^I(u$XdtoAk?02 z%xe79nK8HDn)C8^=xXP7nsaI{aj0vkuBT5=W%OxKIO+zH#E_7AC7(e$g=On9p9lkS z@Wnm+qYtWx_zCo)LxfGGh-CotA>+nu1n82szYP44>=-vS zb1<*W+<vk52fLPO(yqFcNd>uUx#R z7qHC-C9aa7Q_VLMb)HeTFRADeyZ5fEA+hkwjues`AXXE`v_8SkvSa z5@FZ5T)zJeOCaUcAbK&^0{7iTIQxAQRO0FDF_}H1>Z7fu?zjcYpu(rN{SIdcnp>5> z0C`2hS_F+@MU|R7PE?d2GPZF98>COs*yu=FG+~vG=MD}cNATZ|O49gDaOzP}yESf8C$>tR?I zKlR4BZ`mE|O-T;_>dlLf5BAF0hcM0c%SZ`oZi9fyk6zKlH(H(thW3Mx@y1?V7ofx2 z8%2wAbN-L@S-GIow7jIp!*U z!u@IWha>269#v=e5lNBc^66Z*@6qXvX0z$s_TPbt3rs$j%@sZgtsON+zGKXlx!ZHE zI6aMbZ|Dl`9*6F$HJ(n$YDQ1UnfeQ;15kNhaVZWgPu=D$=nmQX!~LdX}`80yh<8o1AYT;yzOG4CoTJQ{)g;8AyR3 zm8z!#PBAg9W74Bkrb^a!o3U$}q*9Svt`uWcicvgV25}9~$Umu=RH@x4vr}QOq_6Z| z4!Tfm*1cAcFUPOIFV8L4=W@cu6YnWpQoPZ)((qFDUR)dg_r$=Cl#v!CcjJ3<0OdSC zrem|8Nttix!lWx;eXf?d>K4_`AdMyo)#f0%E{Hx2-=0Qo58J`Oi2hrdvMO5MP_`QK zgs+1Fu4|*3xdbeTZk`@RJtZnPCmLcrE<2zT|0n9_C29}l{(%^Cz?te2wfcywjV>J3E`I* zFf@+GKniq#kQ6G3AAjfz5;ADc4?yDzCP4ARKnQifFcborswxyA#6lY)KvNqc!~-@M z6mWwwrKlqYq{5L9K}uwV2x0`Gln6n#ln8^iGcllGN(eC=6N3vSM*l?sJb!7m2gVH5 zV~prwj%aa0vOPwWeMgxg5)@;m5(BCdLoPD|GBZQA69c*vLq4=TkYb8K_&TU#jo^P} zBbQ};NLPkm2CNx%==KKC8TIh|LveQ?J0hfdeQr&8VAgf`;CE=3bl{E* zoRPT)wx2N79HH)Wp>CfzxE|=eee{kvTNVMh=kS1#OBf zu)0v&Yr3=tJ%tcd2eBfDB1SGaiss>B7sQ#uZ6kI+Xl-K-b3nhD_;0RyE6UrMBFqsV?zCqs?!y@bey^1?5&VGwZ%X(T zH}=2+Z-S90I?S0SZZ836w9tbkZoi%<_E7T#gw2U4lOrN&eF`e>6f$d|+{Tc)^j@T; zK3mo8jzsQsJgZ;XgG&DJoF~ZqfhK3#*@JHO@U80)vnL__0gc|&FaIFzQN9-9W|XUS z$22nxyER0+HA4UEY_yT@JW;Zwq!LH}#`?9woifB0EfGoQfTRjsCI+~U7I8A7_}b|0 z7PJ}m00%5#R|@|;THr#!pR;`lxu4O!7vR4W0|DQ$5uKNx@lc&%>Yl15L(bJ=gbS*G zmWX4>#GON82;adKAjIT{_cOqV(})?6956r?GJ+X1!rjLw01zV?3LzyLh6diJ{Xr=j z0HR)CKxA9X9|(|?7&S35fGRPl-5Cb68T!pp1DO~RZq-BF5<*AS|0b&Y zk!XgQjv_Z%;i-Q+gFRSl^Sxim@f10?OTkqnA1!(=!O8?R>FI*ZpBmOHP?*Wx3PV4K zLbl8EwRzE>5jX@cm$tf_G+KM;V4&E7I~bI0gSJDqgZ)~dJE6NaLwG^xkpC@ERpg|o zOdzO^kx)6emDx6MaEhKK4f}+1jFK=iU#t))MhJ`8+R;0dAr#`(LTOiow_en<^^-mAO~G?c~Cx0~>`qNP_bTX{|wFqK|JN7j{fv}(?h zLKk%~S)kb#YgJWj(sD(ySy?w+SStj}K1wXMH=|yQT zZMIH^t%_)%j#{XzG~FPI)B-b}K|)!fE7h2eBrBG*ZP&a`x}Hce9=L1BA{`keRWDI7 zXq32sx=b@5D`YLZRKS`=6oo^ihZf~oqr51KrpgFuUkCuFE#^%rR#u%P!^TM}s$@&1 zDT|6Wy?b_s%xGlcQq=oR3O31>$?6;KAQNxvzjgPMdglK^iX>|hY(XCu>Bg+YQb#Xu zRM%6>wx3QXw>zGecUb@`hn7J3eib+R!%ox`Hd!b0^ukcZ?1I29OMQCQl91R|^QiRx zB5x6Cg^t_tlnh%+U8peG7=A6H+DbLr297PKkaO0kKKC^5U4ja7OOG?`>}|WjY_ayN zoqIg%K)>5!0C3x-tQpTjWwiSo8Kh>qHnYGC>NIv}+tAL1dL0AH=fX6#aJ(+&>2Y>b zJ9`D4bW{CfI637|>)n{@*}lD_rI4f)nK|O8gV@$nM9kJ|=h3{_g)5j~EstgochCL( zrSZ{?-E+JRHzb0~hmQYcv3e8aI1hhI{`vt&<%#ut^PvceztfJ?w#qF>#czix?*3Rc z?K|S(8Cen)ye3I-I!yj@1tu2LKQ*`<_4R z%S#mdeVRw5Wa{x@JNdeowRX2hIEEZN`C5OcM1A}(UIOt%k8Z}9vd2@RO-zCHM@iEi zrx(e*;znK`$55@uzp?DcQMB&WfA3|@?7m<880Rm0b%n~+ZOEs{WFk>Ddmi=*eMLP= z3EGuCJq_&vwVZri**mTf=}nvD)>-Z^VI<#Io^}#bn^`AxXdhWGymEk zO7#wzin#xE@O~HXKDM2%9kQQP{*+EIMf&fY`tG2UoKhJe+f9yXL?99}K~4~aJ6u$! zx0Vl@K|_KDavPZrCfc{R1Xjy}m`C~TgQshgNN<)go{DKZPp>yOt7Y;(3D=on{u8Eb zo#lM#_6Yg@!^Y>6^Wr({oZ~#>J>!|9jWY%MD2c72j|L^0N;!bGp(w}F=~_l)pJ@J` zE=fnIl_e+W6L`DHY4@NvTFE4z45lN-OY75{aQX1+^XJfp8&p_NGB}(^*gH$|Mzibe z$5Pguw(t5Sx%h;my z6Z9ZYKU(X47GDM5I^1bvM?xekE97NJe8!ekFW)wOXxk``^1xnxBAn;nmbCu}#|#mV z5o-dyH&Vk3-GQP}c;4n;+!;A@J^ZmpvJ^MbKyES0a34EO|pdcn{-@m5L@YXSK&t$VQ5z9g2!pBvX{I zA;XhgX*_!&a}4a#-J2fL+qWHMzR9I1lnHsu`Mxo&b|W^)6$%mkWS@aAjVTDb z;h*f`Xb27iwPZZaV-K=?VR}|N4fo4TYu_EgnZ-7*`MHqsK0Kktq(4<*82N!`S;*mh zMyvz#YyhxyE_b+T?C19F-NBTzcbh2|P2=w4nKz>@FHPL_$zWRdq8>lxxs3eBJ$dU6 zGkf{g?>yWKO`l%t2=D4^P?M+LcVBw+yo4h)-Dt{*=D;ML`cUmNV0E(f+g7a)>A|*_ zIg!0ae;V=Rvf_pQV(n->SHZb~$uEl=2CVya6l-F)Gok2W9b0m8(t5v}dVGYm@)&L| zR7WSmJ@nJ|TI|b5=A9?!)ya9hM1Gv=H1RI>{*H@iu6L6!i#|npJ`>k~*Bc7sk8rpQmMH=#Q{n z_DK8w2|j;nYp{K!$S0E}N^8q$ReF{DJo>nH;g&yrCC>c;&9bT=yX%6x!8L;seZMW= zWq-$K&GnTtM+pD(DDkw|2;V0>g`4Yi&Mo(%ouNK$K4)&~@ zs7-pTdNF#B3r`%@e;R`=UCP9vX2_?|U6tJtTlW=F_~)N>iBrfW)}cbw<2NVy&X0@o z^uaFX?26G>I!o>kdDZb$@a^MIFAxmCJmRd?|Gn~H{{KvR89CWmnCWFq?aW;)7zuvo z?JTT(e9pg9XhU0AD2a&R6i5{nb)?Wrz3tFds;KZip%?G%yA6va4y$M^7K(zlKxreL z0wgpawxWBVp2IPy1~ z|J;{-T`!+MW;$H~0sA0NfCU}q=Hxf{-7`_)=g0bDYtFI^*# zkY}#5ggm?AM)?vL?;)Xcp75p)mHwa|&)4S@p8XJD6MPcH-3|wn47DdGA{tnN&8Cuv z30B+nmX|LM0w|%c=Z?xk#x;ulZ9TY0`vW#8n1{5FQ6`{bTEX=P zEpzoVwrCQ8uq}crzlRtZM3A=5smvT$;_g)SipOA0z#r3vV6#GHcsZy($k=`B7Tlwt z3h57~3g`3oA4k-k(;)8CQC^#o>HL=P?lgkmA{qC)upt$=*n$qdFEF_ox*FJRtakS2 zJDY_jO=tQTwM17hd;Hx>4~IEu+!MX07}D4vWoh zyVKyh`HagyKl9rwceUO6bNU~HG|~Af)wmar-|+z@Ylb(;{dw!{6B-nq9u=+sn0lDB zSiQDCCR1#Y))|w5T%8;CyCQZD=A=M2J_K=q?xBPrve=R(HIX8HWs%ftI7=hGB0;K< ztJ15;t2|;sW+`js$l7MZb7Ogf=GyrhyS%PN6$$lZ%D)LY(_SYP&XOZ4P^o+;VNZiQ)>lj~tPxBhSj5nX(6trDYV)RlTNRrb zHmFtERXr;=D|jna>Jm(cI(q4q!iGps2Hd^D? z$8@eX1ss_uoZ5U!lOu(HrvaoojoGYm4JZ`wr~SEzb?_8+{DV z&F-6h?9PqOZO%>Jmh?(}3}eXt_O^Fd`-}{}Z}Ho-L*CVO7B}#e`@jiks;o~v6#hNi zB%e&xB@d^>oAd<3d+*kjjYv}YpCoX3#Ij%TWyd1QKocLcy#-Wa|xPSJh|v$+-8+DU9v z)in!0pEb4;ml>BCXYq18nANug@|KYF^UM1IW=0S-KVyhhFlG23=8!^{X z+1*Z?TW~LCf!@<0mss@sq>je#LM410Ux#<>&V*!t@xxQ6YQx7ML@8m{3PN z%JJ6yy;raf5y>!L0o3vi0Ii=%1IXHt+XhHo;$RQ0&&dO9){wF)a5(%k#~Vts4*2^I z`W+HmpBx9cXB~7;h=N1_!&sOD2lVN$JoX2u4Wd^VL?={;B5<1lFGqkXxet{hRKf!S z^$u91A0!Qe5dk)d0NcorX{aA14Qi19uVfIWP5@{sFr5MWq|e;}V`Y#hZPNZX;DyK& ziX$_qN&Jevc@Ui#6wjgTrkl6Rl zwgR;svF%22Uy*Nyblb3B_Vl*GKJLvuV2jg&rSAanhJ-yZ;_{HWjN$M^(Orf^&%lly zu(9)h=WU~Pj9vyz-;tyDAUQ+Iuh?D>^!D&q!zEpDhda9g5~ zrc3#Fvj?0!ac>@g(04?7LiSIBps*U@q8^yF28@6E6m0_V4W(^BU-tO^E=Y2T_Rd>Q z6f-A_o1f!km-=)FfVw2?qKcQrM;buumQbt6kg7`e;6TObA!s>mCC!uJxAKgZ-PMSlYCF8W!Zt|(f43f)OesW`(`O^N{& zCSx#)E>8n4R-pQln6w0~E@IIVJx>-)Z^~Gi)0!x7ol1z1nZ9TQ`{Z*rqnk=$HLag_YAP4(7V1{YF3~HN zQ#b!r_bQjIm;4uWcJDb&EphsCAG4fuykn6@AQ<~Gx}eP>Ul*=?zRHEYDY)0 zT_yKLO-JcXB{-EZuS%RsiC0xl8O`EG6Qivx_u`HV1FkIj;<^i?t}OfF_S4==SL!+K zSN z3(zk`JsD~CKQa2shQIZHTD+&{%J@^$Xj!H#oBhGG06RzK5+uJ!qDdg})ykbWcWvM+ zoxMbn+g0&Z)NkqCbamsEerO*q1W%0>4-ELBiV~JpnWU zC=dz3g2ZL9nbs*_IvL?fL+@t5KN+R31MrIg6-9j-5h|#-8yz?c61}5-8ZOX)i8@@h zDV0DIl2nuhF`!h$l{Utti8`+1QR|D;7>8jKo}zx-=&CotwXRyuor9+~My-nc)_?`B z<67y<(0~SR7VqSM8#Sho>x?o)qe&@zc&1a$i&TmDEMrp5 zuQ-Zhb|}%IXIEf_ipM@CtB_+giQb}V9n+|gY^I10JW^|qu~NpZkp5T3$C_vG{ufJ$ z_$_RU&V7vkSgt(^Ytj7|c%9gGirb=oQDnA~+f{~s$8IiCTguo_(6$o4E(dlkl3Pme zP*A@xV^@H`knApF|Cir`BE)}J1@HObH{)-;sF_sOEMXy9@#3Yq8Gpk+o^JsF-3&mh=INtpBL6ihpIq;G#;zsZyU3*_k8MG0TY#}4 zqfPO*rk;}Q?^`bqmZBRvB${vq-d)62g6T14X!xFYa#pB@Jx zlL7iwD99tg03jaH9VOo&&eX{12`5P;r%}y#pZY7-?w+AH0Uhveg@4@&Fq(1Qv-h2uFe)reM?;i2^lZxkAq; zTN_y)d=BTeid*;a-pZ-_<%x5eN^KISo8qpKGfm|;nV+jG> z6c2z?2cln|5FR=BrZ7TyxAO}_c$4YH+f<>q`Pt;fIdyc#Qak1W^S8oln?v$92XKUL zy7E&%_0QpG3DBc0imioyxx!td<$}L%N)}Ni6A6O9(E6RKKVMu>GR@<-hILKK)4+jYRJB# z2y2x5+n7dI&xKWwqvlwme~Qb#2zhUFx8<(gsk_aHH)v7f%Z&^H3U@Yv&2(CFZ%X zty7b#z4gx>yxm?v*fFOY0nH7hYL8KKw$hjyCkTYigDUC+YxVC;PunzVj}a-lN$I=8 zE0U5$m4?v($uF0N1_}za*C?*`jp0c~x#X7pC&V zXs$*0`3O&FEp+9O6`6&I#g!&TX3MN4nfmLd;SCTMvV`rN7d1$ilI>RK+qbP*&eI(4 z8&0an2HC18y}_@dVMgk}9&taT-@7czk{GA-+2i7_L_U1|VQWgXoI`esM(4z4?2ywC zUz7V>EzZS{fm~4pQ%2=1a`w7$bT%`B}VyhGRy09K=^f)*(qxNHiv=Qwc4{ zfrh9;wS(}SOko0^)OcZ0DwkD%ZjD)eu=Vqk{J`B4-BAZ2`GyW@!cox5+{%JKvaDu@ zWE<2#O|dHtX+N6pwC}fLx|5xGg76_{*OY?Y_b|Mp8b*tO5^Z0UR9*kz2bemf-tH5- zQ1_7H6l1^Xq8N~B0~#;+OYo5x6KaF7YRo#Sx1V8=DuKM;Mw z{T<{OG8E_n<40PBW=EEXL`Q~FeAXP{kiLwM^+>xM2pn3x2Va}iy-M90R68&i;=YW{ z9TlrGaDO%08q6t-wgHG53)(ThT%(#Y{*Be)ms(w-@f1nb>2r+4k?`%G9!y`MGstgA z{M+Jf5@ha-&Q&&bN2^IxU!y$fA*2b4^5Nb(b&=9JogiPL+N*r5`Nx4qMxRcM07eP>Cj|Qp7eV zvGYS)wx!w$aQOlsedH?oI4sRj6$iEUVN$RhMb$y&W|aqe@Spr>4+RQ9je@D6s4*bI503s-5sCGH~c5OOb=mrP5@)=RS~?vcni3cy}O?$5*a7uIMh8y#42BW{!;xCj#}IlN;C&sQ5x zJSAYoY`yCfHy=sZI+097!uya8O9`k(?=F=~!Dwd+gW#7d4@NRt^KD88f+@?KDkaoIJ-62DOGw^D=cc*=O%>u^+rc6%U)g33n^EzwsbBxKfj0 zMLbcBI5=BSt=hKiGgJ#YYZ@xVfmmJ+mZ0hulY~?DluId<(^~Zvoo%8Ji&m?45^cJ0 z#dn1RUcPjEJU%oDPLMtTNfnV6b;M-&ux{zGb7z1i{PPBPVkLFwDlOumGAF6Vly&C; zS}>CXZIOAP8N;#@g1sc4jwlha;%yJeccCJYlpqzQnl_Ur72%?`#P}MJXpEkAf!P*| z)Q=leCEL!j4-aXm5E%)G)y4ffb&>iYj;vO|14m}bHeTot|G{AE(lg!{TBIl8$0Zrp zu-%uIj?@pYw=d&14dG(KX9=;;YO6@LxpB)Z^`a;d1Sb@ecczG-o=ez;*D7bXVc0%` z;Xg6)-#&WcIgycDRdw2hPUZwO!FHQH+9f7A%;kTVQM2pNi4oleQ5hvO_(I$U(Zkm*71+kWl%zlcoF~LjV3EtgRk{3 zQR_BGdqYIf)W{La`{vIJJj{u(UNvB3BH05e8}mh$<<@Jn?nChouqa_AKIf!eBRZ23E^g zp}*rG{T4n%s*u4-vlj)ENVY%M$fc%|*l#x5*%$@CGdj1x{4)pmo+1&+HYefLImk zYQDQx1K6YIDdnNEpq_yW3Cbmqu}XFf$qr*=FGK{aPfpS{USp-WH(%pfW8+#|W0L~M zHZ99UH`C6hHE*fFZYVSbR0xO-S9wZLfR>)!mpR+ga8sL0zA+i%{|z}L|3UrX`Tlmj zdf%h-dN}ZR!rl5h5U20`UU*zh>pBzsl&%_#q`zCL9;#mF_125h=QM`t6;socEq!37 zvf$jp0AXb0+0)l094V`vPwcigZO~UrxRRc%($i+`EjlO*cWYvn^~qq3=xEdDq3xOc zp0HB}$|j4-98xYI+(8hP6&ZQM>7#KX>LA0pf?(<#xcJk^u z2d4wp1zUz3(_ae2VSHutq?x+fq_$bJ-RUg;Kql7J0I$Q^gQZ{FHuh2Q$%bkD;y+e{ zwntV&{eH8l+pRmkQbVGh+gt_zm)6*jhQuGiaULleGXD=U#U3Wh93^cq2`DBQj4U%4 zl7j_glO-XnU%_5jJ3`3B6KDR5T_N5ub={HL{i>8pdUY;Qxk1Vs6o0YTIS0%d>>iTVa}H{2<^Yi~5(H=f5#UkTgAkw` z^fl_>9wG@Mq?897HQxdVpxSsljuO>BD=NSn!jBb#m9^{Or-ld|m=z3r9Nn^zU^@gR ze?}V@lX_QW3=TTZ-KO0FZ%-}LR$s|-4liT&_8KW}L{P{B;e_Gphi+_xmFABDY*#2K zNm&CfZp!7hT`|wDNGH7^2DekCf|gZ;2cIQ+lM zWlO9&uwrB&3o*GyC?rXQU-+jN2TZ;*Ylc1Y+b(apRz-;yOW6-CC}Y8X>|Lm0<+3rZ zuM1+@=OJRnzTHt0Ar}(+whH57Ea8-+xuA{mP=-LB0_7v-wMavEA7oOIg0@x(^Ubn- z{rK~0Ui(i@#TSXsWltA~5&D_uclaEfQb&S>Zau<3Kn|a(r|R+%S;Yv4O_EL;HnZGP zFDU3vCm&We_z(D5B$4RTECkuVUNw4Dg5@%e_Ogyel!^!=l2oQUCpPzwyZ$-_!sp|H zuq+fc8bZKBC7&hk|0=-JOz9G|Dv7Pg&-&1%J}QcHBnn}5frTTCy!UPw3dJ}Ra)3ZFYI zWk5mgl^}BpDa5#%DYl=U@TVGJ=uovYQVTI!h(L?$bW#V&*oHh>x+kjJ)!R8+y>J}K zDd13w`<;N^140FZ$d*>$iN?h4FS5``7!PSwkhoy-Z2&GYr;#d!xDk7*@RGEFklxwe ziQRYr(kYpfla~k?JhX%;X#=j$p%IFu{pKHs7H)5M*>nfmjkHx6PgoYIy=F?a7Ktutq!dN%{W4zQ_ebM1Pin}m< zk9aJwR_R9T(zDaM3A6-cKV@WwM(`&C2(YWOuHcRquyuIp4BHCawEr8UQ(T=Gt147n zGmogwb2$n9Td|b!FJqH2N~`5(3~q zeyxoK{rSVzYFq?Yb2z8CL1kh!V?pL*OkNg(7ivr?bD6ZQN{8cUys#%l`?nD$JjLvD zhbv?iN|G|se^QqN-V#V0oF%1^5+&?p0jDvDJp#z`N2x2R(}bk0tqT#ie1Vx!&^SI# z1%vWUlr&{sZE?R%A&mgC7R_B}mR9;DyjXk=gL;zwT7)qBE)R_QH@~K{@3gP8kG|h^ zfldQhS?u*!gyE$%Gn$pUXU)31*g^6$ewNm<)8sHVI)2YTqwlt8X8j8&XFfbXoXQge z(vO;o@V{h?&aF;I%;%gW{$+1*X=t^Erf0N+hero*)Y!fII0iWjEj$gAN~a&WIF0y4 z#m36sq@9vFjak$&CZSt3*gal_;9dxGfzlpTk((ix5@yo_#u{c}K+S9d=Fw1OtmcNM znAAv)6xZPQf?(&sbimP^lLK%+3{6r1@JIzjM4uvziRk_GU}DF8IsJ;O7G*mO zyAEBkQ)#xo#+2iDzAi@I8u>n0fa&q`i(l+on|Qy^TiN+?@kEDO2oBi@4snLSfj8nd zs=d3G_CYVvuiYT~f9YZX37{ zdZBOH?LDsvr8`sT9&7G~mCqn&+YAaSQUx(*PI z9#CfU))?smM6&9rR#ghr*8mM~d}Sv1VnF}XC)K(jRL?YWAZXQ!_g`11PXEDS9wGUH z9Z5@bUe8Islx)x8+`MEuT`TA3)INT9-l{}?x+7#woBHH3mL@+Ne?`>~F}!T>4Zr*J zrq`F*-PnLkcnMo{H`H~0)I)#LDstuaL*jCQIO?Ai5B+hqyoSnjd_2+RRt)cn5-&G)>=2!U|#C>fjl)F_j~PrFaJ^)iA-XY7!g)W5X5K zp8M4AspM~oxQyNPzV$KR&A#2?gnNDy=QwTkWrPFdhLjoyv;vZ)v|K_WTM|-~E^dJd zY`QOW`6NrW(`<4h$hV4OVI?dhjTb^2sCW?9LO#O^W(xtzz>0_khzf6lst)A%*x@wM zWSxHKKJ`vCz2w`Sr9T?|O#-aly3|!k7e~h-WV;Fixe(5vX~W3|z@#v^c`WQ{!^C;S z)&)W@5Qy?VQGKC>qLY=RzCpq6p&BH-ok}22`VYhI`HTcS%2xl?9X$YvLTSk!PF`LI zM*!Ge(bxsxi|aKg$wm%-{@8SRV5=f%(tNaGw4zyVrld3H)SF(+z-sFgC$y2r`r{$$ z$`;EDig*cQl2VbYu>^i2-;1CxK*YIM_qQqV;@#s9d{GK{c>{HK`ut981Dw9Zr2V$b z9=mF7=Hr)2vCVz+D1H)pjV)I)1NOv^4#Vn)QBVr!)YRh@v4J0ABLZvM?K$Pr#b$0_ zdEn_5?Az?{nvuTcn~xcw5oQZ7iYBW;#MuZngIRrv8&T%%i$6tkH8Oq3ok-(x3eCPNG#;M}w7-eLlb7>v4TL%wm_GrV_dP`#2uX%&3d~#OiG=E!|ayX2(#! zj678|ct%JVS~!k>N9wkII{~V?+IU{e$L)St?`l&&9p;`G{KRf+*VUa(q=VCAna`+IoMLkG;4zmxVV1xFSU6*I&Osd604NK%rb zmN~CTPTMpt;j9r}tH43&o&{G*Unq4f+sUi%WzCWQqqHQ8q1z`^-{38R^At(1YK+A4fm0)Y-lQ4syBs^|pmHvnPRN>^!6N@dvRc|{@3a2RvnwfUeSm_j(vk-1@7 zG&%SHoKE2+RFhI_qL#XwP`?#NEfZm>1$wZJmh6k5JO9R^GP(fN;znMdv)=D^ey(Tg ztbeO`c`~&o)>?72?`Y6G)wgb&hvkiL=iZCMQjJD87pG}$1!NK*0Muwa)>Fitmi)Q~ zZdG+PI4Tp^7Mxmx5Q8ajaP}RS{1tDNlx@2B4bcuKE{SnPw-1d2sRkwWK%G0IowufN zOYxidhS)E<4UlJ`ZOqaqLuUwmT-7Iqrw=|HIcSR*=svL@4nzr@f7+32)}uPfZ9z~D zS%~KL!4g`MpOVQGt-r3X)dR2txM=d1VM9(XG%gts9riu&j}fQ~<+sg61)C7fsBga|V!+IT3x5-|jyd%>~93w~4=P zVBn>7YiHPa@#Htx{ql=3`j>t>Ah zyo2fB7}@di$>?*ltx$sU0R=Q_V0hlBFtPl^u#PvSU**ZNUa$OR3HI6jBtIL9fRJWL0LuUz~AcYU0KbSAb{&6=W^rX3reS5$PwnfUkok3x>%)b!d zP}nkAeC&m4fbwMw8X{B6-xWkxXzZp>;7i;YNGo#}P(ahNV}{Pk@AeMW3TAMIWnxK# zzWVujGn2a$^u3hK0D8bJR_)SHE9RSe?5-vGo8D^1=Y-<}E`@;ewNrWX#gnRcr>m3J zg@f5kLz-;YXP@%0dFqT%-&OQrIW!MtD-F{$>IKi=RrXLjtW~8&&TjDbrpOdpE9ZwR zDeT2Ic1TGTTMg}I=C!o>2}q_WbcbaYiYL$#-uB>s8qCtxpj4&JPUO_xi}cZn+2!Uj;H zd?UE=v5jts3U^^u^Sb4$|AZASD>E6VZOY$~4dT6~dA0Y_nn5y?`Y_2f4(6B-m%4~Nn3LgL^Bhpp z9nhFlC<6*cz?0;5hY&Z`Cy5WjKD~Lm-;&)_4ryLet#0#>6^xBByHz-AWWofk^K7mD z?7s*Ky*BW5ZjCr_zNB>Xx?}0}4#pZbL-k5zA+u!xxh+C=2X;CF7cqX&X>;MQ}@XlBli5&_CHJA_gpx)+OUUxX0lhYyq!`N5Q?9>;umoyY6n|wAE-Bl)> z#Vm^N`1_<(Z$E6r*|E3SZ8{`*)q5hmD|jc(#Yp)6fz%PH3$&-`V$ca zFkiGucYD;;4XhMapf2Cxy&&K=Ad9JA6gw@=SWo=!QUZC%ouFXb5IR90qkMz#dx<*v9w>{ts~RXpJ}x;?*U@7uW~kaWhI$ zVAxdy>X-pB4=E0mS`cTYa8{NXwVb(ZuW2t%n+bZb6J1_0H|S5FkdG;6%^pH`YHP*;$UvzOKIZj`O)_wn&25x%g}X1 zT9N!gB_m0a2ABP_k{J6IKJWXDI3FvX+w4mI@sY&}a*E)xti2f}N=s%X|1l}Wk=F*{ zRD36#c9rD|UgVizeyp^N`Fk}0TcKwW6Vv9Ebl`=AaaPE48p(qR@`#$IHli%xUIrxy zv}(=933@MMHu9t|HisWHMaEB$y2YG|RD`zY;?DAM>N3wl0cqvm3`akcakZiw#z*C~ z54p+cxbLXk&q7wn{URgCg}}F82JIV!QqE)l$=P_nH{k z7?>UoILXqOWbb-zCD!NuG%Bp>clKxJ!*AD`xiJXUcpJqF@TL11aEY$V9G7bl-a046 zkQTx-xW1}gW4^KgB;yi#k#$CUXPaP(5#T_oiS5yL)i7fHH}4WmW&otS=zbSHL)AhO zYYveBf#ib&st)Sy7o?zHeqK<%f@4tk$|gVv$N&W%W6mo4rfzI3PO?Vb zRUu&UYjJ%@*jw)Z2Is_Z{)G~8ultmcFr_168`y-52(64mBM&gn$;6HF)E(j0W#=uH zWZf2u4WWo%eYpb{yrubl62$S3FXfYvfKaMizkP8t*k+_E1#O<(E`2m9V@0xPFy}GU zIBbkgA&(Jmvs{&$a(7z0L9o#fMp1H-25pH zNBoZ8J7+Kw4TI{zM$YpXgUKME6xcg{C_RQ;vk>Cq-ru4iR^9~M%plwTqm6GKR5#m4v z3|-^#I{&7Z`ducd=-Z(FH9Q*wj}*`^V5%+qM>uJ-n8-wmdfu?mA=_QXM0ugztZkCt znQyCXS6Q_Y+Z$Dc%)j7Jx>Nn1yyJ;5oB4^7t58cN_GUh@YO;bdayCRO0|qkaFkg$u z9%j50vG~F53W9uC7R)Yb)oef*Ve%;5bm%S{xrWYRB?E@R(pmO9@-skAU}6{3x-y@)fuw zOf=Nab}Ku8j#ae&DpDJE_Lymsd!p#x(q#TV_#z%_Ls@`-KmzkrN(>}q;6#~WckRCR zledr(pcLSAE5qC9XLa>8DAE={*HkfX5T@m{%Qm9$syjIIr=b z<@D#HGrtDMm{u9l6aC{BXbapLxC(d*tP6w#_Ki6&uxBt$t`G1gM*kf{X;&aV0N#{{ z9rX=*QVHe-p+2r!NM&BR2Qw%BgnL32VmB4=z*JUyxX-On6Zm2@=h{vQsYsjDD)i}1 zksI_tyD#e$S{dUFaa^14gEe&2_q)d{~LEockT{vW{ZNeAZm zPaf8Y%789h8GYb?oHH||YDjBBcB5B;9@vj`$ZJjpTmCLrp?4egRo%2hMM%;>I?tb7rf>iwjhXd_VAAG3fQwt*c!g35V9q+vdP=bk}a@z%ZXWO8#s<#BqW(RGI= zh>f2y*L*!;Uc(ZQo5I($-v-^AejODx9RAPE&rR7f;c^fzKFv6R(Sbjf*htCZR76CC z;L*_$GO7a6e=;J%iI&7G(azODsVJzNDi9-9)(V~l1)V6$I8c1Tq>2SpSr~c{DdKjo zPq((=`2PiN+{fN#b(-BCZv9X6v}f!1Q(om(%D+&dosGD@VGY>RPl$5h$o|6%YOjCrJ~ExO9H37izoy@} zi@#3jwL?)OB_p9D5RP#3jQ~dMYXdOG7Tz9adWIgTDNqCm--OZr%01wh$NC-gXpLs( zbS)!kBeHn9p2}moD=wkea>iyoz>cg^DO(%2gf*$sZT3iyRBtD&GZQ%~RwI^OxJoa_ zXM3y%x#rPNcg^67(Q^)yPfMh;A!B?vz2*^FIp8N}X2%72Fmqhh>iBfsfN30`!m+_| zPW3Ps=i^36Eh+IO>zH9=MrQmI)nKMGp&4Kb{A28Wx~hGmp7_|X4xZKNM%@6zl&JFw z!vtq1`6ORlaJS38{L4R9HAxr8*u+0|Qf0#bdY1hsvr9KmnP{FpK+hi^)%o>?zTzbZ zYBtfue+-^s=P8wM{RhyH|B()V#1mh0HVZSMy@NZ9MchSJyEN-wYyg>V$~8&vR6WII z2y*r{1_kcmBrsKML>VvHRZ=`+fTOJH@PmtT+LF{nEhuLdcw(l8KQ^!LhEnTdDeYAg zCmlbS_{PH^ca05x|BiLPPK=vsQQj>2=n0U2wk7#o{VL;>b1XXHoCcQsZQA1BS^RLy zogAzk2fyFWfy3%+{^AblXr`GMYzc2}f#fWQ`jm|B6|xK{W@76SvxP~SRDbENFD{8` zkRN$|Dk@5;$SsSMCv#@eA`9*GYEh?idOxvy5LOefm%TJ&5O0C_KAPjGWjW_v;+DvD z)aiDI?{rI+DSeZGoJ*=QC`J_>JcSY(MGtT^t!QY!k$>mNR} zXLo7GJv^ys$!sJA#9V@IrBS|Aui?|}w)rOCe2SjJoD4z2;O36)uR_#vOi8M~0e?F- z1f9q=jvoW^igEr&uo>x==J8!fQ>vJ(d%?l)t|?OOx|qet?X`=37mxqd!$c_H!HAqWo0-5 zRf*0&J6J#4u{eFN7`?hK3wY_6w5bt@jE1We2rQ4|mk1J&zl@z5QSqZwp#a^I(xlJh zhmLi+V+}pL!_N7qIP&l7r%l?(x=Nl+F%MIZ4V|uUuFPttd6jkFhST*DMJew|Z$6=o z49pkh5mwXOc=vVbYdpvLq(CvzlB7>{8U#q?V(rz%_x|i3+fG%3i!0ylHV_W2zh%>o zFxEMQ-`|Ro-S?jAykP#64Zx!QPiIZKU zE&U^n`CjknsCRC4%tE80O;-pCvnjhdIIz-39ncEamfkU|9+Pz`-p`JPJfCaDBCCbf zlyP^TV42mLqpw~mH2XPFldDi;eDLO{JXYrTAj4jvxJ-FM@#MCys`hxVs|Q6IT!gtU zbx_xu_)*|5ou0z>wPRsG{fY3yEr;6WtD$^!l-$*VcEPPq?*N}L-dvWai+z36;zpj$ z^rjqLYAAHuWZa(Dhsp%SXga$z_J(&k_G)}8H)l;lB)e(#Avx;aQIM~>mX$7tx^2JO zC}|f5Px7N1B)^R^_w{K_k4TqM*~-=w**o!jJ??ku$n9A6Qr7TI^nDc%(&=d${@`ni z1iJEn^eLrJvR^WP=`Y?T+ha!j&Dm`&$J(!TnxPSh^sN%Xiimp?q)*D4q{xB7_1|Wt z`I1-w+H3V~&HVWLK?9WDfJIVaFu3;gH7D+Wj%B6HMK0wwTc(;bnu`fq?=b1AmO8up-~I1gyy@+yp@+=<|?e;PTHmuC9xjN38~F?2vXo)5)NBzp9U( zMXYsVd-UruB_Ke9Oc<9uj3JGg!ol<`yKp z0snVatxJO#@8cO>`=(ENcijE$M`Knsdm8K)1F=r{WQRTUy{7!tR|dC3?i6$mgx1l; z9{GR%=zST`VVeM5o+M6LOF_F}--M+MsD=%G9WwXZ#~Alv>R~->LC2xa z?sRDWq}e{Qogn$4GUdD5=`dH%(14k&d2KIyBBs!z8jr)c++nvSAYg9{YDdmWU$?`0 zi&*XJ*MvxC+}`Z1ObBoKaQ#!_T-Jl&6EDL(fiD)gk&3Hy2#;i)#jt1b5`SG24Xm@t zo}rkPk7iSGs}Ea?yxSMrwfT)Modtp2V}chrNzm^&JAH90A38};?{UE^3VBd(_YHWC zB?bkU*o;Uof3IawcV6TllYOTb`lfxS0-VQ(ve<6SE^NUV5cUPW9L~8@_Q2Tp9w-?w z6E8bZd_USfDvmYXCmRbjf80G$1>EQ6yI(Ie1>hPWnOuB?z0&uX`?tZk#eSMQo?Qgc zH;bRvdk?N3o4HWCw!DXM`sQ4S9&IbRM1y`e4X9Z+UL0%J_+Es`n55w+4N02|^PRfQ zrV!4gKKvCWe)e(vDPkp1@r<)w2r~n?$8cDMp8{vK)&C?9A6ZXxSSLFj^O<)yY{bXq zc?fp-9uH>#N|;o|MX|wb>TBqSyO29TwWf8?669L6QSm@QeUXhJV_!iEzLsf9z z?%S57US<<&9$QnQNQBVau3(>HJ>(vdoENp;gGKO7Ph(cu_DU&58SUsG!;F7?yh-}S075y-|$SirLZP0$py~^T8+ov9|69cnhMr)GoBZlmbwDo zH3Zj@(9IiMVUMvt&v3sjJQn6%t3Wx#GE&bI?%8OaS&H1XMeslc^ji1?^nizIjKy<9KG%SBT;Vh9LB9*SYaEuu zjL2WSpjZHu)hQcY-Ks`HINAC?>8qYt*vF;!Hy!E z2{kpP)YzjvWZ!MsHp|QGt=Y#Z``#yOmru>k?b+D}XO}Zo3%iv`Ce@M5OO^rOgjZ@a zg!8)^Ppu2}JDMNdBR>H~gY5=uR62Mwxwds##MK8aQoWB}v4L{mg5q_%j3=TMApADX znyw+w-_s1Y`J#C{pJ?EM)kcgl0Wl0}dE3wxv1jsv3Y#M>3|G>)ge;n17tf~@e=IlG z(88y-ZHKf2Uu*h374ermT#dRq=VP9IA=9Lf*jL1+Qg_{=j0{4n3g2ahCf#Ny%^UG= z*XeDT52rerV`sWJcG2+~AAOc@uWJgY%((L!o!|AmUB!*;V4TJst0a@WbB*SojZWML z+fo&x-LZUp<1M@X=4;sVHJ{LHzQTKj&sK+ugjo*RY6gEmS~I9!f9GMg;}q7o(=)l* zMY9Wtb|KWg&aRu!vuEPd?|}YNKco!Q2xrElR0A4p)+(85m@lrR!?vVi_h{}4coJrx z!(><7v#SC>=rYQm@D4)$Q~QJN#?N>_oer7u-A;j2pgFG?Fk`oy!!`-?24i^b@1y%y!(m`Q=N-u*<9IDBGywhuK29#WX5oQ`H|fX zsV^d;6J|d{FAn+a@%dxK9hCJ-L-PbOvK!z?BU_sfa*s(`_cPbJk9iBu9YYgz+>IRS zu93GouacCTFsmotO;)L4C#3sGwmjxMzWMi~L;#N@b@DKQ~>QR%LMfwhE%eol8ApX6#4RZ~zN`Y%=cGRbp{x9ie zZxN*8DYSYlfb1r^)X}_ilw!agOSsl=H{5AxGjWN6Y)($%41O^-WkyuZ{!pFqNrfTnmGjmV|MYqu-9`FROYk<6R;188NE&t{KT3-_wyI7wkKhvx)B@o;QTe z#gcACV2p3l>e`C&ir~zk2))qH@&l$7)sEWTxqR1m)o!8hn?Ea}ratjtJ>IO`M*VUJ z30{%AM~Cwewo!J&D|T^XjbCl?rCvg9Ge1)lzaqpZjn~JYTeFyHZS-%vBcI{#E;S3% zQRj8v;w6@4WOF7=bPpkA7K2%UOj%QmM~aq46?R_vYriYiK4dT0v0=_rx=?j|MU&Vi z`xW~gs_x}^o($vmfA#K93-ryKSR_vi#E&#MZ_1$>);`uRb;f%YD>my8v6xZCdsl67 zmz-`$_+^e^19G{~&Y8oNG4!X!j8gFJOlQibqdK#i&TsbW`0f#MZ;^P;4$JEjXab>O zCdulP7i;7)$U}!a(k9y?V8+nR?0J|`Gp7D557xt~abYBWZ<7fDmy(s#D?v<9_+{X+ zS<2{myiulxb*8dc^B1u*4zzjI7StTCwmi|*z^5djc@Omt@=g{Jp_j+vl$7?c-uJ*c z+HiRtJ@Us$UzSgqF$PI#*QO4zapov)S4xqaQ9D~Qejs|}Tk# zN!;U1Ji;%Z2<9YcIAA`^orjna7J;qgrHA;$clWBxkR=5HkD zd8k**uU&jjO56X_*qNR~}Xtza5CT$j5X7x$4WF#h^1^NXMW%M?FmfmBgsolbUbuvhhG`h{@lRbRmf zAWv5b@x_((&W6HR`g0>c)%et*mM&q$|0FQi?iO>qv$lX_tXbv7ORr$Bj=yg2o1 zN^|M`Q*>mkC#X1WB^RpDVfma3}D*xE&;uBy#v7DnG#HN~Fq_D@l@*iNX7NrjQ0 z#YiHLAuf^ILBUkiq0aiQ?4bdZQ?T`}?ML+!Hk;-X??aksW4E!hOz|UD(BWDDJAgILl^N$PkJ}YffzCGin#S(DfbBck&z9X0?)OU%j};{CeH{SO;|c0LE`&NgnvLVXHKaeH%RSpn%cjk zt&xmvc!8jV!bdE|ChzFCh@4GmIG{p1vI&6`78qPhE#c!W(Xp*ITLN8g@GAIFXo24q z?Sz@)BX571Z&87Hp!ayG?>a%RAV>Sa_4T!ZrNG|z9O~G-Uem0T2|?hXh~K<{pZono zY7~DQ(iMrYam@+^*+M3Ju`-McH?7xcItiI3@Vl2c&flG+#Y%SRVC55o3*mlEn=cFt zsS56*lr+(-TT{3?Z5>uR8)*5ZttgyaI+xF|B9n4;;;g?3P4N{BrJ=%+yb0n( z_OX!vN;XCN2hD}<>@0T-i-h$MNEwFpl%EIE?*;N$92NU8JHn*h?FG7iPP?8=v7hE} zyLy~How9wUS()`3Dj|HPOXzbmKz{+z0JQ=|A~w-6fV+hu-Z(qP*4;A9ny4*tFSjhY z#I|@g;jX&y%w-Xyr1fPrhB>7$xcsZBrV&A-aiIi^8#2dZM#_qj(X!!Swx~N6ao(|> zzJxxg=kcIX#FkE7s-=%coJxYH0n>p-3IsVdP9I_PNib`|Q_KP}z-EE`H{trpn$9Uz zYb~e*tpE3-sgA}&1D6^`77ZqGSc)2dxe&Ck?jbm*pB{grQTL1OFx5n(>fzPLFj4pB zHYKW3dZbl)1l42-oqiRbZ>jcuL|B7W;Pss$>2TVQ90U)J!)VQw&1R`-ehxzT67Ct} zg6#;yV@hE{VL%}>|DP}dmZpt)a(-DpneZeWD^&%jAkzQ|vCRL^6@V&5F`{)g@xZm? zUs4&;!|Ei;7J%UL{D@s4|0)UZ^EVAh%pZ0S2tf=TiBf19hUW>0P>1X%Tb5 zLbwy|nrVqJ7jzfG7G%|*xX-E&Z%;!XaShtoUstLC<_XPYUiiu8VgV7l9Ae^*er_eC z*3qe$w%5424r>jnw*&f~|5ds60P4()1hph+5v$t#MMCwF1<8r_4aAN8O?menY>}+~ zQvly6)F1w>TyBoqhYH?fUH;im_eq(vd8X$r=oIS=kH{L;p55UL^Nwzp(|W%(QMK2i{314*Xe>S`;a}W@T*iM zTXB8LcS`$k_3!E%d%5k8Gpf}37`C|o5;VnldyXN^FehXs2Y<`n>G_vh|u|GN& zu+Zg~iBQ4gog;l7hKy8pwWsyB_nvkvpZ$?^mP_mHW6F-_9QIzk;?EQK?()*y(%j{W zg_3Q{mLAP3+~l@NdnQfmCSWn;v+TI0c`KB*by3qs{2I+OxO+?2bkk)BeshZK<>KQY zz$X@VM9;bR++M7F%MbX)vo>~^^ z^YMlUk5oOf;nahjT;g#qotH1&TYuvmk9i`>o2gNr7u)QFY|<+L>%LnkIxfxhU#ZR5 z-d!a7b{_SPFgqved?S+uE=EM`Fk7wnp~T=%mO{bI9?#*M`o-aE@zZ+VnN^yebs<+U z9W`g2Zry^-2Cu(bCH7;a511sE2Hm;eCB)Z~19c!Ee%`u}h7CLEE+t#dnDrwQ9 z&18}is#X<}fLPO)J4+~szh;hb2DRZnMX958=^9ffvl0OCYaOTdzK`3~X#Uc%7>bddt3@64qs! z48HY1e|*)AysWi#jD*3zq7w^FqfokgPG3_@X|2W*3xk=w(n<9wio6@);P%jAj_dcN zV)5}tqw6#Q-6G2bicAtqFv!CJBEB|?BUVBnk3n4ylUx1_d}NY zIL0CHrRQr2pEImEKjMDCK;txX3jb&9+W$=Y_`e2hFtIbRvi>jZ8Y>$cC)59qUGq|f zQb}4x{kieH&Ba7RRa|f8k18-x?B9zBvzCeq>&NITW@f^$Cyhrm`dc1WEULV^MuPR1 zafJ6<5i6X!MBGZ-Fl+?F3U*XNo9MJ{$ufFsmpiSc0r1%3t5_<*|1w#F?G=+zK(ZyMwzV9D|xgH<;;chKmBHA8*;?kl}v!0(seAARJ-xo&gQ^R1*Flr_*JRms7>;Q*xm|)V@9BX)>m{lNL{?H_|AwKgu z3pF0xBheBoNI~IJ)(ru&iT-IK_I)tgoU)>wS$A;6HNBWw^o0`~_SR?Y5L+J}qE|+;wume7D zWgMn_`&iy@@}>i4rxA`SGG8Zgpd3Lm%9HRBWKE-#^i>8FY6YX45@<*2UHW09Ei*85 zR0E)fv|mh=gA8l2oOd+qvI7kG{zViZ@|lOONsNDnsPJ>tC*CU?n5Z=LNP~=9JjQ$? zC@Ezo8=STLMw~AR!!!($^KeLIX!9o;kJuta2-tPmjH1ecSmE|EqeNYT{SSX`bw@qz z6Km5*l$!&qDE}TZ!qDeqX*ooFQEG9QZnOB>==y_a&qXq#9%7Nsnb#}6XGos7%k+tJ zOuTrQ24>{3S^V7^oNAqpod@q6&wQCDq}BZtz65dXeh2o#l*jQTiF}sn7s44JZwuZm z*rFMgII24tTuOF|FpkYd?KkX6qn9sa*WwPJ?+J6&w-Eb*?|ZX(gt zPC=ejwF+)ta4BG|crL)cA!^;<8E&^al*t&)Q4htM`Q(b}XM8y;jcSAPJ*5az;CMFP z3Zw`Wn>Y7JAyXn$RY0xIV>gFeo`bXo-Xy4s;?;yj=NzDf7A-DAt_|nP@f;#QsNx{k z#Bk4Rnl#5GXEy1{A~36mD+csb8PC$CY8_L$YwE_UnZStCDmW|CSGZruHcKv-i(OON zkj-LU=dI+iS|T)uq8UKd2Wfn$muRqQ(P_}G{H$1C-d3AcxmuH=h$%*Cj~WSsIl{U8 z)e<#Rm7|q8lY+)wdoYg?hF2TLg-x`Lk@lSBHM%4UP$i$0mTq8r^QOEO1;G_-7nQh~ z*lKcF0G}`VAqqKw0VvdMkeFGFig2_zuxpaNgm)(eaK%%ML^dNY$z;w?z4M`0nsZI} zvsvc%KT*Nu0kV*QnL?@J%~$@2>Ly9D_y4IAXlKCW=Qc;A+sp9Gwk*}%|51ru#+ko< z3u)N{wm5;iu}$cVPwbpRo_Q6$bLy7VHapgi?cWkKRhP?;q4@&G)R$CxwEz1%#A<_J zrULD{Kz(D_Eaz=ZqmW5SWLr(jq+l-Ory=9hhoj%AMvToEDS)Wdv! zqRC|AU$q=}UUt(^eJgc+2=fQBStGlaf}fc7C~t#)L7bEff_FM6glS%9e=e| z{&p?xtW59a0&~5vlb1;QSG2XpAfK>b&>vWb%k|;*Y&GATTMyrkK6AN%lJXDZ`3r2Q ztq2z^ss%nL(~4sYclw@J)E|%6E%lBE!cSDOe_fBZ&BPcI#8HFtSSbixsritkCc?=7h z+T~%kr9ACZOtfHiD}tT3n;F=Kv?V1&_y!vhgSUOPUo~zZlhBpLxmX?VbYNc`??N^m zv8T1Iho-rko33?~U^(~;CBzk_Sm+rWT2V_mBTacovuw2PRXs%H^F~_81?jU-+CkWh z^SaJVczcM`iMx|ilxeqx*k0G9;4g23{qNFN#i*UIiL-}7{SD_oHMMiG!L_YXyFuPw zcXyh=-3UC)m&jQ6%ZoTT z8F9Y%I@SHM+G=k*ot+yx?&#Gt?$x2eBqT5ad2DDYw4=i?1MoGYz=4d&Bgz zC-w5tQ`w8fX`_DE8Kzjb5)X&jC*$fRsqNV>mMQW?krrY-mWUz~i%0%4hJ0=%N*gSx z1;)yhTM*(ngJnw|`o>05QEX(%Oc$kx%Gx~#k0iZnGK1ZJ!=UJX2(+Nqt0R-pKt8pp$X*Q9`Of-9 zFDt_%)(D!XS7Mri3^_#6EKCeY;~J90p5#P{gV^4AN4g@du$+{H4DJ~y%S!8-DFYY3 zQ0%e)nLpnwFaC}4B#)0cSX*6`svF%ejIX)Tn}m;GkYnB=ktc=+Q1tSM`S{;hjzWT;UJ`NZKE)?p`E2dAj4vsX+B!ic3$jjB28k3V^ zj-|rIWqd4;7dc2(LF)>P8z;{yCtJ(Z$j092oIX#mU=yr%v)`hmLE-n2T}0Yx@HB6?59KVn4V$_elT-+OMje}%u6D-iIsHDT zUq*-Lp5`x(6^ciMzzyUKwNbSi|L+DuNgO3fv))*?2Y}8}QjBOF~uQ2hT@C0H-nZg8W8Vq?Iy+8!2bQ_6?L<}pp8tHVZuX{(i z!ET>4?Jn)hxwGq?FJGuf@>WNg-m04SJNNhUUu<(S#W9gdW2NeK(}eU9v;{*R_^a;! zkQ?CO{pr&A8w3)UfGw(_zg4Stk4@@a7y*+$1u%I$^@8apAW zbhx+LDvuqytKTo*v8}%0dV}D;i>150KX%^gz7ovqHLr)vX(1urjurgXSjcmk%8jAKMjP&K5jatZ>ptMX$x)Ce_zDH+dO|U*lkO3?FxDMS(B9d-x5u0g|U{YOZj;R-!lZmPSTtt zO=-h6G@7-{wcCaV)29Gd2I_VLcgOHXLg5Oh3Bo_ zsthirtEJ%Y^Co`_0GQ|!#tNtPXWE_dH#)lFtNT+fF?fV#kH86x9}0>$XXuQ$7?JZ$ z=Av?!W~2X-K*OE1VY#xjX-!pIRu&G^ibxD0q74FA%h^;SQ~{-LW`JdT(JrE05`4@Z z^xf3yh-o9@mZvknl-%l>R_8wrn=1N5WNu)ix{&3yZe#UtB)ctVc#!M;{*Z?z)9|!kM+W9X4=@S${$ZpBJ z#03ITXO74}RqZpRlFjCzi@+00ir5`s=!ZxOC2|gRXdq-7YOL6H`Elpd;1||*rPRE@ z-VKHm#$idhb(@0f;k={xraD7#9VXDFb1RLkjZn zBTgdgJttIWDs~7wag+u}j;C-{3Jv}XsftQv5QSsAnAecFRw|Fkk!4WV_1|Y60G_P;(N;>kj!S0r`lvYH@8uXYakzyZK{) zu0qXMgf~H|TNtIDWu@3{s}Ub%akW}h%(QYe$P{lLTU8C~;T6sWbqIn?Rgj6Q8w~Mo`es#6 zWLK%x?6~=0AMLqWqyC%#r|}W?*v^0RGYLb>?gP;NeX6~^K)Ca<;?c^E@dY>*bT2yW8&C^g1N zsP|W0_i{<;67dncNt&I!9U~$GNq}XV#cVXjlT4r~y~oZ7G^mE{$_O8t_z(L{e8B21 z&;v1&>4t{9C*ReIP)`FZV1aXa43AwOj~ripSNbN+GsrFTTij{sSHLlQ=d*j$+RFdh z*!c<>YVUf`59Q%HL8%~VYt*a>m1jt5`8NNCz8Ri?`8!9EE)p(F#u76gSw^?Hc5W7O7h{hCb{0FKB? z=R#!((eD|d8!GE!ves8-cFER@l3XVePSM;!@ot<-j%Pot-4W^RT)^8Qt@8JWT$%e3*f?WnaKyjrFV2_}OZBOyI? zCMbXRpC~r00RJNJjjLRKwuIK?7Kns+w*)*>7MySat4*#2%)>IEbQ!MUB$?_cz6cFo zv{zo%upefQ+lehraR;=evSa5yGn+e`UN1~f%gXY@9f*$%hAI}m0!BN@dw}Tv0Ux;c ziP9%d<1CKS#;3x_4zxV!7^l{4?wnjT;48&)@~h5r+t@Pw%g$qQ}F&lZc#vd1jJ_MZ{@(2||^z-k_fcYfcD& zI~Z| zJ`-)6BYT2j`IXqMmes=A+37Oit!sk*V24wuV#e;osQJy{o5&v_T_^wbqfQ`8Ob29s zg?q{M&`1knj#mZWPby-H9|vg@HZt+nZ^;UyZFpiB4QYT?0#&;+SPI6~Tsm>r=p$V= z3O2RC=P3wfLwqn=Y*Yb8i{L%HUc4l9ZSjok6c(W?*LJJBez}-_OxhhYHp9p8Q?Zp{ zPoYo-EAfQ-b1r-P+aWHz1$_;&G%M)hu!Cd=Y#X%9n_IcK)96|TcglUPc!sl7`JF2q zPG#Ivs{du*R5?DJ8yA~(zD59+MbWqwE53fBD3bK#lXEEgfs%3(1Q{ps|0)K%sb1ky z_)1rN$(#F#MF6)nWn%b zp&t1RT_?1&(RrNd#Kr<1X4a3@bKpGDMww?`BN}=X%_3=O#CYVS5HJKwblKm+b7aZ~ zRT%e{@CfHFPl7F}&dKLQFK%S(c=_f|_FxnyuQ@Ej&Y{jf?ZA-sjip0F8+~Wcra_@& z5iVhgl0YuCJ5$Aiqo-Am);*PoMyx zA>{)Z`EywBi^jkp?uh=Om*}8>)EGw*(lgbDHb^<>3qG*1&ASsC@nw*xpAvBvUmo8v)*xY2#JPZs1QM?fCax_E zD$|D`5B32iri*V|2SK4>yvCe zqG>x1uaPh~2C9OW0PYKh$k{uik$EJ9V`D&iAo=6q?6j{=72nReUWJA8mXO$uD*t|+ zQ?f2?#m=U7tIat47HS~8|M&ADr0s7I!fG5}ue_E|x`SD7OSjY9&gW+S`7w5R z6KpD*?FFgcav>-;jAY%U3b}gu_)u@EupD6fE2{+Rm>t_*lxl~<-p=Ok!q9u=99dzP#%mE5tp+mcTGAh=|Q!=!I0(+_Q8u;<%g9~bbH z^c=P@c6o2k;xnh_5+O2cIDxG{@5%9KzkQkQObmmb(#?3gIzFrTHnA}<-b_l$U+L8n z=!7uNX|VOBJc>-#wHZa(q$r^cCW76UMbyTB^T+Bx^dX*7uc`_ z_aAB`4LY#FDv}UmvAVlrnj9KN{pKD&TGK_s4}c-iJRt%FFnI%?J5i(GUNNcZpHCkx zq_ff~Ly&JU8_^s~>J&es=m=E5RS3u(tu~QeS}$5meHe*X*O7Qgv2W0|Z??7X%sS6_ zcUAem8+YUeu%I-1?KUcV#e9vvfWD#_f`OmEUf^XTPG|*OFW^VN4LY=Sia2I&uBI-h z^{%I#kMFuK4pxEQE0}?tGsgzRb|4PfST{v@_EQbUFAYhn7r!A4jNF2dAhOZqg3 z|1uxPhCt~r>>;RdXyEs$r!Y+uOuVM zX$!H}#a3Nfrki=2Xmc+Kd3W(2PE$Xm28-txB(3xBX*4Es8CT+S6Q=j!=8bZUcKF+8 z-RRxz+<4mr*iD)>?$5F~x^RV*0>O{DUMB+{>2(t-PbMrUlb2IqQ%?24Kg5pQ-LmfJ zg&h`$5CMv!NK_Fd9>E#J2L(d!28Z}pS#n^Fl+mHYV|4trL+S#u&zMGdz%n?*qsj0eZh^G94o%vzqVgu zYhtsk-}Lz=ez}@dc&LY0rsf(a-&F-9!&fL1TCCT4_k&AANkLSH2G#Aon z>-n$;uIWA2mTQv1I7;Vs8-~|)Xn`9$_r2o+4*&GEt0*@2*R<4|$y$P7#xD@RSt_W; zEVpz8;rRh#G&ue*@8CoEyon6T2vEvCBpbrO@dxElin;G8`MIIk-f2$}A-XKmk57wOft~!$kQ0hK@OET6V*RBo7&7{_ z)(r1}WA*H1TJd&^wncloTs#A)IeMi&ipNx~cx2vw*Ld6RR2(AVAlpS>X|XX{xTlph z``@b41#L1fXl<0s$03L<|JQ@=E^(Zpz&9GS(tCF=`91do#i*6Q6~)O6?0+0?bE9G( z-(t~x3~V55%VmV9Hw3UX0&t9QzHnDZUcPc9+@3<~nEyDKX#gkp&f+}ntWy8P%4hyO z2<9YdmJRSfUxSm-D*L9lM_FE1zeo$LrmM|kr}OV@M!Y|V%Hx=T9H#XV2SbR{`SRl!oQ;q^V`?9(4Z}7M(mwq~yg}Qc(iP_( zzw|0y3qAuJf;YufomdTtHHFWCn=@+kp+}akFM74-88B`qiaAPVsD)!843CLA&4}Vf zBp{Y*tf@OlB<*EHE+pMJ6bs~dFd91l*ZYq4M9zVY87C7ZumOJJpER{y3>YaRu!{K% z;oBLO;cHidY-Nk$-RNS+fnWar17bj(zp*N-9Dc&oUgMS==lu$dT$#7}U*76y#G7f(G*?H%Ua$i2!23lrY~JfsUbfgC@CM^P zAh#Y6&&Gxi8>STf4bI15lrjY>0$>A3%_7#fTm3*4DpgO~qxMz%n*Dc>{$V_FrAcetg{FXG2#bagX}7@t|Y_Cu;pweAtIcj3@C_Uh7iRZ@&&oV zL`F4 zCUs_I8d)D}$lmiV^!jnA~FPxS}OJQ(U*G@9w4hDuO4I><)Q&ECep_%ka zWYOo)GRgxepFV*eqdoLp`e$?nji4L!8)PI%R1!?WGr73j?C40VP(qAuzOBt-N4(Lgku7p1x7ZXPM8IGE@Y zsv^9?%<3A;tcY2$##mFVJ=Po3&DhNtig^>gikbtmzQQ^4o&5!EAKw>0js|aVH27%E zs3gROX7F)oaA{KAWQ~TR2Bf$F`3*v6#r)LoS9kTR3)Jm)n|;bYVYjI>zC3*R+STL7 zhtY-M?xrJUbM2Sy9(%w23fPS`-`HbgWA|>~m3ffb`!-a8%tMP+tZOF%C&Kvqnz~xM zs3E_v*zNHs`Ch4Ba}*Z*KVK1y$129-(_-G72U))0VQX>US&YlrZ`}nP7+9xqgF1O~ z1VL!y{`A-C-&D_2Z3kEGd2-#^({J@{od2VRmA%?vu<&Z%j)Aqlyv!x?k=;0L86m-I<+u6ar+SidbDu^ojyN>5;4a9>Do^==8Zm>r_S)9LLDbmcQW#*3r*fmon0 zQv8$9W`%7~)D?6MKx|WV)1*!2&uN#hE81c1r9H-*zTeSf!85^&LEo(W<-WCat=Oz= z0y&~St~{r_sE{eaqC{d!kSbV5B?TaU69ee+qa{m<$~lr5%kibrfLd?m;0v6Wl*A(m zw74&+`p2{B&!Q!1B^#4X$@XM#Qa6(~lPDQa^t#j>SKL+M!Y+xH|8iaK(NSD=9KUzw z&g;%2yV>XLHaa^)9uOcYZbB9UjDkQ&L*eiYb$fyusCW>9#ex+GJuM)80D^%w9ErAt zVtSAgQbKqb=&=a@6e|tT76GN8^-#{KNKx2{znNVixSPFq?wp)t_V@e#e!uT$;Qy-y z;(8?m&KQlkdA-z^aX>d&-{Lk3h;b9RWcZ{D!w~_3TFl?$RpbYtE3C}vDC9!x@S@`3 zVeZj|%?roc+JTL~bM`o^aWk&Ls{@fM0t^?5iv|Fwei~cJVEqH{-74j3;HUx%Df4AOSR>`KT~;`v`qg=!8&Q3 zzNUbe!a+&N)23-lwdb`h+Upvp8LARgRV7aesgZDDz!$^~!L}e13?d`p3K=9FLLv;f z!*(%JeP9jG#EaYby?hUUnkW3)#TGWKa*MGNq5gU{`#VOjO0VBc-#3g8(9G-4(3{g5 zxJ2yt(%``gdY4Rpa$fZ( zA1$bUeByxp*SPM-Pd)eM*+;6@v^#&rW7_KzneFW40kgj~=?R5%ebS`9tK>^i|6qLD z9(B;)Rq$@f`-6!P5JHhaBor-eF#7{P~F=l*}Le zOu?FhmV}aTxnAmPUr)Nb-KfWsQ_ZQ?G4q&3n#?9^wYl25Y+knbQaVT-U=FZSYSOBu zwdy!?thGRGw3e$sHGi(IH+Rxq>RV<2jJ(R5yrolJ4V!{#QI+7x%&4s!$wg7TD7quc zM7xhs09?j#d`OT*6R|rmYGOvR9NTybZonIH8{Uh1u!z4Pb}Z#1m<$;tMQ`*) zaKsKol95`WxF|LhYR0$M$M|aT4SzO)`j8KE-Kcij?01k|RbS_3Z?f+e?4?T}jewOm z)1_Ck`;yWtP(azC;#qAI;t?+xZMl{?yZ>!pAZ0>$V1v`iefjR`w4IlqQjPo+^*(v- zy}#R<0{7Gujk=#esapa(FB;aay0IGvaYS&=1y-zBT~ju=3h(`3 z=}Ud+_s-`|FTU`|rgh7wCTrsPLr2bBzGv}!^S(T#Hhr(cOeG7;<}Ez(;>u5dh_S1$ z!7wcjLJbROiEWih<)oaOA}yAhrHzunV~!~#EF&OMibP^$mFtA?5S#LXfy>bJ1$6d)yi)s%HV5C&mJfiZ($RXmN)QD}@Ie!iCWsRxVU{%0*W%mi-xg{O@A4f8pACJ+ z-{NIeQ7~eJ!hod6hWdePcfquoc6>^_ALGw@J1knlrF!d_{E8}Vt3Wfr-4+l))(z=of#Y>wfQ^3~_|e0Y9k zumJ4I)gx7N7S3pb$aYGQq1zGr34E5kk7KY|~Y2GkcM} z1ULq@+6lh_K+uh4#4vMhsT^ah3s2_yW?e>y3QONir%R*P@B97h54-&bUbd&hmvyn7 zU|^>M=A=Cf>y0u5l#0@cES)lP<|h6o{xJ-o5t)B+-FMz2qy9VI)vJJz@S@9&xX;cn zWlMQO{)S8-kg^Sd;~|0_u(SR4eR>5r^J}&gD@alu7UkXvxhKx;hxOrtBr#wS0Ofck zhCtoYB=+-oXO|cJ&Rjzr!NM=%)b6bKEeQ24$H_ydW5&6cj~? z$cmD~MffMIf*4E`mZP#DiM%KXTuvk&IKohc$}a;h?84dwWk0KYnjTeishw`s?N4ElW8b95&_1z+IYL zsRTeO0Z%fx(fJK7yMPs#DEKlSwK#CLZbb*54#Z3bR$#|sw zYd?w8sbmf%?c7eGU3x?Q1fLQ37vybt3;PBEN;-V5Jxi2_?8lsK+yf7ufaO zdPz#+kpiPrgOt&6YpND^7rV1SO`z{|3MxF9?oqz#fNN|KDA-yS}|+ksG$ua)4sLyW0VjE4~eMFe-5 zTG=%{a&9ClZX$Fy6H#{vUWdzD*(+3*H8rq2T%CFF%8IlTCR}lnxIQ7fpE@A;Ik*TLc$QNIr&i~X)6HaGkqEO zcC#V&BKpHYXh28p(Q-u(#e^jsD-e#bT=v>vFvJ9VcMM)|F#;2T1$h$8GOR=pM@7g) z7c(FFnj0?&7!v6rCIWw(XCj?~AR372Vj>6deZx1eLvrKegWj(Du0(yA>;^OGe@s_v zY!v4eoo{w`_A&3-nc10r;l=B<%^HloV~k;g2W-s4fFS|bi@PzT!LUsgY|;vdM1z3X zL~Vdj+7x06s1Rt+Pd#Dp-(35Cg z{tGhaSd+g>Hc!5jKMGG?HuVqZEaw8El&D3$<`#F*6Lv+^;PTMY@UpVM3ZIGIIeLr! zokX|3InkFmtp6q*FY+kjj6|wXiZJ0UcB>?@E@pKn!8I$wWh=spDp+BfG99f+cq+XWY-SpBf+42?A2WzC zIn`i-Z3Z$nK&KtR!y;7~>k6RH0;ny}71$bZ1oTvHVbSeu18DY+WmgH=he`Wr#G1JP zHlVyb1gzgI6`r&)I#?Y+y_vDcBPBj{<5DPMtc^b#C$q^6jFTi3(7_3|!063lz?Oro z!V=vftAUv1mM9q9SZ&qMske<1!ah}zv0H+Zb!gL6^3KOshguz>vcgxi;C3uMojrZ6 z{f)yPu2{XbbuD^&&R6mJj^-7MQvywXKI_<#%qy1)LqC0aMYvw~w6qNFU;k=rxU3|+ zX7T*O9jR6;PtR|!P1MIXLCX694M(5{cp^+3A10)!3A2W7s1Gd(ky1O=?rT@uwM_VH zH&y4H&(8PPg%&$o*;fDJ&=L1huaDt?aETxkVbJB4aV`8b%@e*r%oFU1qNq?wIuiU4 zsx%qYL+r++5NWjY-J4!HmQR1VO6UcJjAzOiRyUTLMA@belkTRvecfuemJ7SG8JNvr zZA3_&1kMr?R<8I#{@8*QQr3_3J%cw3h5T^m`=(?p+nL?FZ}X-;*X8_!BZYf~M}-H4 zzjS5>$QftadQQB3@zilVz1P9FH$o@q#NW*|9ehU0s9X4)lv8(UJN4Je*O^;YNF4&4UWS3`gi9p>H^OI8jHqVu z4jXF&F&PZGW3nFXuv57b+l=hWvE=wz5`4yZb_5WcA5SJB+AIk9=>ABV23X+rKzaK5!N9x$kFUWt^KDG9>x2_FzZms^^O`udKa-*`d z1k`aY`cbb}w%|fz z)R-LY=6EQDFHp&`351ct^F;6<#!k$mM>^8qeqrxJ8!?vtz-TFYUcd^QqjJ8SWTS=3 zW@53r;s;8p+JG2C{|^=C#=J@J-?7;2xysrRwGvH}2oJ`Pu$~&I~FC@5dqi z2q{eVytU%_Gp8?|IsxCi5Wcq@up$#-^y^_lfIexV8;*L9vA+<`xz75oc(1TSL61j9 zOUWfvi?1zuj=e}-4BqyA#N74$iJ5SJ&9Y%WteC(MiphxvuUuC?Rve0jhG?S|xd24+ zRg>X4X*IWjBRNgN9`&LgGEhn)@R~@8Va4f{c1+H+qZYQJ$pf99#L7Z|*Y1)eNb`9o zEonI2aoSD9P_<%%s5;se-5Na+bw>G^$7Fc}5?*A(B+hsigK8X_a||r1DQjkh+^CsR z9yUP18s=(i5;x{83oF4(zzPY!3@nn0RE^`{l&%3A}LF%$oY9f z;QWXXNZIS*Y1Bne(Ikxv;iHhqt7u9^Dn5(~aNh@TYsxSCys1V{n`gDh;i(X+#V(N) zhmg}`Ie&tbyNHwO4V6?eCcKQH>VX}@WR9?F29!DpZgw&Y_EsMuG-#7-Z#oaZM%Xme zVsTZRL;e(=IM5t!ixrfVQVR51stq(8{n3sW5={%9sJnBgaBskwSlzepsrUy%!jYw&luFyTz&%W>d*GjYOc#jWYoj7mBY z)G+CIbv+n7Sc7VSf`&{Vk&4G8efGEPGhXB$VNA%6JRVm0@olyRcs&0J>*cJ64?=M) z_#&*^2y8O&59_t!i0id@i_giqvdxv<$y_ysr(D3L1|HA-I-sy3fCF_gQRc|f5)cZ0 z`F~ut6D{;aD%zi~FY~iMzHxWgGYDP(a5r)<=(%#R@bAwj_iosHVE>j)dt1uqD$$r) zQ~LB9zrAqq4~Ry=cYZ#(@;hV_@i?gwhuiD($%;=U2-=tMc+HZy@vaw5 zd65^=3?)&g$BjeUNsa8$9%$shG^7!7LRBo~ zJ-Fo_c;0gCavTZ`he##8wVoFrV?^3hskRmwZ#bH8#FH5<_lqu8- zWScM`oD(hzHv}dkGzmL|BXEMDSdIW?04Qb>7m3U8WCL&_mSH(sBssuLI~Sv|Bpu)< z?YeJA$}UfeQLsfhlDIYD#UBmZnWN56V$}# zgR(nC;di_en33cth4Qk7(9E6`KNLR}E{LCrVja~)kusMrWZ2dGc9uCST%xbjWAq)C zd6IjQZ)RVi_t6LGLrgE*%k}bNg!ZrrI>D5%C0q$#CNHKJGa_(OK@@nA5e15+9SqHa zm4w5=b0Q^*4tj|Eu^D8_`05CUxb-sW&`}$KBJjZhDfRLs#m06Al+o6Fs3@#)h+HJ$ zht&;MGM3^RK2Xh2YFklh|l#J35 zF%>l;KtB?-$v9QyV#Iv#O$OfeDnYP4&=n0dXPAh?(+%)0Kp`oQ^-D=A$tUxZg=um= zKR-WRC{3rz;&nn(ye-}re}_HJ9h1(mXQYAn#rWm8lZcnaYvIC&@k?q`KGR8eT#b|_;YIl!xBn( zqj^{#skTZ|{dOrE_e%MGRlka;z2}+_lxL2v-FCDXYP-N#HfO_3Yh+q#*4zynUx$4psFO&{e<1x2s8nU{J+*FI&{g2{VU7Ty$&a#n}Bi; zcR1Tqk2JYlVN9{y=tC{db`mr?I%|!@mQ^qOX#yGrv-htJy-OqHv=i%R*S4RsBD8+! z^t=u2FXO(p9QbQ5SSo|s={6T!MI-!O@7+B5Jb9HNJpm>lkXdDwca=Yz-%a+gJ$$z` zBz#K#gZZcMsWifjuvcZ}IDdis6Z;YWM~T_Scd+d|<+iiXp<);LXwFy71)D-!LS)Dp zB_5I|Igytm?NjI{v?$HM*<12ygrN^Lc#|G5i^%hVz>Dcc58&MLJ>!RNp``W6wKuH0 zd(iX)n>KZIZQ68z)b=5Ek9GdWE$gH9iT=0y`;Q#z@5lD;wO*jR!CnRQNv}C`ws(P- z^dzZjx!RiyrKyGTLT@_sbx6P(cS^U6a$kpdsGkS*tl!~KT+S&y>yA5}E?rS@8mB{e+w+rxv$k7BLz6xjX@*zP6@h{I-;r-n?* zNncHP5t)|LzC~evO9U10d1^AtWX09;DsNWJ23Hjv6OVl z>)>1pn-79)nkNp*RCl{wHf!oskP?jKXwv8d_TT=F`H9v^2edFPVzakJZ3#3NfCG@5 zwMkA+iDH(6lJ{jQwXo`)#xvXYAnM%n2Wt>z-F$srb4UBrPrqTkK>DAk+ues01Q=8Q z)ZuS3)ahUM9UVA%@IB1XcM$|tWk(EjV>wi`0ydVAzc+e&ulwU_kfb(NgfP8Z5;s8ijS|5nMnB?G0b8ugpe!ld5}N0Jdgx&)%9f{)e~ zbrz9DW`04kC|HX7qYAiFTZ+m{QCTS}ofa*J2XW9*o3t^FYv^n;|&Z zD5F_gM(dG(rTszso5n^pNv7!_F{x^Z3aoDi)fp)m2L=W42liYmO5)Kh0Q4tNIWkc# zqMJ|~x``+P^1c=|A=xVlLGM5lEYNT({BsRfs!qWZ<)r56b6Bbp?%BrMlCT7B} zC=NSV*QG|(Ms>4Ft1E*h;Fl|iYJ(F&a?<$L#O;P0nqNua>FvZMzF$e?oRPbj&1uS3 z_-kmGw-%^dY~mABaClO#V%UKnuAP;uC7)D{4^K(e;GzG#@2Dp5!w8-SMDP~*ZP`-^ zU~fRufjY$vfx(c+K|25)2xPzq%|b6nLC>aV|HpT=$5xS*@$;QI^EhYbzGv>MrM;!w z+tRz`w)VE=b}M&W1WHR`K@hIy?h*nTTybp?Au9;$9~RuW42kjG%@Pr&i9=&Gj}rI>-YV>y`?#8kALTDZ@%z++semlqxCgT z^{4v!zxs`9XRwND7v7~Wt3SZ5_#1awis0}nmIb=~eg0xVPdFs5L>&?fM{J0NsSR<3 z>q58U(%k_PI~6+sz(yUAO=FBWnl5qEZ<#zRvV>&eDMSf;!flEx=~#HH zQ?O6kuiMHF`>6erebt_}RbmJ2l-+7GJCQti>LH!#KhuRc@fhO7d15bITv6;9cz{f5 zrqmOhNl0ixghkB7N2icTh1vsnNQ?oeK4g17jY+4a(WRmKj`og*5PkNd*;w7!7vFhk z{j+(KKldCYS$eX(fY=ZVrG;G$F>F6B6iwwhy+M~)ZF-Rr%$hkMD6B6?@%)&PHx@#~Dtr{E$ERcNP)Oz;zErwLWAqc$b8Ib8}(3PTDUv2Ki^_QttXvOD)(2AX0ThOkVW zS!q~F@}yWwTFlF)g|$8HMwg^=f~clV5@rKwHglxg945R1N#g>1miI1`$8rn^2aO0) zDD+?$Gm;qRtK6_j1TzKal!At9p7+Ur#H*Wfrmxo5>T`X}7mFo>q98Wn7&bv$U6awg z$qH;EQ`iOCiWK#z8?ZVEq!2+oKl+Zh_ZYgQ=ixly zCwQfu!Ggrn>M?#y3|lwJO?F+q&ToqA)*W((O{r7-j+nCUllyE|ozrLeeKBjbEXK$HB>fU% zaSm1F_c*vPUAZ$gL}49MfXk@Ku|`6o1T-&n<3BfeuB$3zGQgI=NE4Cu7yQ(ZQ8hlo!B0}?w7tZ{(0aIA#TVybr=($de@GeWeU6;y00aJ#MdT{*a|qU^ zYso9q2-ZqP;cjx&l)K4?(CuNrWHT}=BJu}yiUP&*83x`4cGN)pK9ny7T21zF0#Vtg(4h+{klj) zM(>1feGT;KBj%hor}GAu)|*9+b&FMOgZKqA){8ByD0Z>E;vgIr-(n~93*tJvEglG; z!gQUBC`++s(as8D4dV_=h;Fe#JS|>j=hzi7%eao#j)mj0%5RJzLuRsxJ60$MOz2ET z4wXT;p-4~scv(wsK>@jR1JOrvN}6(;Qm$&Ixp;fp1i5r$c-u@9)h0yMR23Pf!MRD) z3A%SwYv-t3)7ZVychWcMQ+$fNOxsO)IXsQ-LMEFct%Q-?|E}Lbtk;>liGg5g)?1Nm z1rt#WtIIQ)!OZaCOT)|Kiz|=`N~EY{_gRs`M3x4Qq9$HW$hejU2Zun4cJSf~GO89F zDZdQc-uxx>l}F%s`PCb@XgyWRx8ad8pZ^`KE1#1U?=Np+n=z^p$c=}aRES8^<5nPN zM16srE`=tA8pUf=R#Yt_sj6wrXZp1uB@vB9D8nqmF)XqJ3E%|0jxZSTW&KSg<+M6G z9Az5e)?-NNoYZc(x+dpfy|BFE#uK?CG9b|Ca_a3UP`L|3p19SWIq5~5+3ZV;9X2yC zpFkA6Z3>Hc7k^i%~AOZ{hp*r2!6!&ev} zJ6v$vf}xxM6gn7!-h(+oyUev>t+gGt(><_<9tj(NW>>BE*`&opmc63?gnoe-M8Fng z*@#e(wO;XBd>|Mk;zf2631%w295V+p<6N`{1B(P*YPUf$^gu9>3bY2?z>$C!NTTmA z;@m{Ty3tOKS}(~_3)6z*J9H~1caRyyfd6-%Zz0bQ9sJTmo-c>1Qf4SPgOp%!XtpG; z%=FyN3`yLZ|N9imyHdkoCCkraIIg;W9?wC5h*s1>H)Jd`@+>ZnV+h-WUZ^PcZDHok zpoOQ;!?_}9?|{xOO;zt+V!p>~l6XoN|=gOaXaep<8{SmHwKC1wI?{fLk z@9Fn28Ve8LG<${~A_}QHE9pD(KYG~ZgeFyz_~vKPpsC4{FD1~5ALG6;`rI!ySu-HM}8C%^N^QFBp90T zZZtrUf(SJPNWx19r9g*J2Vx2Xc8Hcy358f*2>}A7Sgj_sMP*t_X#G)~@-o$;KkHCy zX(;lD)H)I$$uHS{&)wb3vH?L_|LJe$^E>x_&%O8DbI;yx#6&3tQ6ENGQCbwAYR4Fa zTYrz~pcJsDQosLeL+KKOXpQT72idHQ9!W`EyG1ATNRCfS8kICDX>O888WuG;&@b49 zL)uQAyC$pM3Mek2=L$P$vt!gqCL+}MPiAMfg=2LJsPyf@%%c?Fq|Sa!=aiEXeVi@ zD5kH0ab|a#p&zB0%6WPSb&J6mtvlT=_EH|&FGIckKn3_V*rMlCIqJ3=88nZ}G1q#G(Ff^mDBo#R(?+B# zQ9py*O3D56X#(m~k>0H5Tb5ZyCdvru7L> z(KlYE&vGBRR$k*;PGf&cP`(jN0qejl><{-(N57uPmm%E&dPPBY1f2!Z9cYuOj-Y*z z12F#>XWb;%jdirG3HnQ&u1y~6=LI_Px-Nn`@PKV?@@OyAT7%k$$kcLMjSb#x#0 zrIpvEpR+z?V0Z6eU9~_L^J!p!tZL{vO5abF$PWUUl44Rs6)n-O;0*TDqecb&6y>B2 z1fNh}^#e*Y`qFUh-*k*W4J^QzN2EQ>XC15$AbW~)h@6XQE-1v@$K8G6y+M9F&UHH4 zM)*EpU!MSrum_dk5!Mg$r}3WS+&@+FTVFuiGni*0gE@Ojuy*@gX&L$#VJtcB>}H!v4ua2w1YjrP&fUSTtAn`|F! z8~Ract5aEbdkyj~taI+n!{|Q?B!GU#UV6x(AKLQ%%wwD7`{Itxw#7E%N@>%JrQILn zb+S!)jGmTRwMdvhi43)>psN4^t|%<-%>)wv_7 z=-)%yI&9IE?q0OX*J(S(%r`c|P8%p&oThB;HOkgkLT7bV@QT`Hm9P|&|tyBgUD+kOx3K~RLz!H%~_vl$P zT!d(ph@~v-Q(u(l8TU)Sy8+`LRT`)a=k=5sOMSG9@Qs*DeH-rs;PD|J^w`l=Hs`k` zSGxASLMTT)R67V_&&LB zDen`%i|#wBC(}a5pKX_IF~59A^Q9jkVE|JLP#43b<;EYlk5wnj&f0Zc zrq86Uu)8#|mVTzcNYnYOcpd6c>ja-Y?tEh4aoTATY`C7bizci&gf$+Oa)-1h?)$RU z#OpKfrQ383>*F?n`{+BVm$M%gaxX%1kIJPDV=s72<1HC)kp2$Z|AbZrqG@~JF8HTL zN;Kh1Fk8nR4U}Jeys&}(Km-fHcva}}Lp$6P9MnPRHCFl*b19z!Z z?vE|k@%_;nD3)ym>&un#L<4O6S$f2%q4h=xb{EE55XQMRU@eR38%S>yHC8j)j>Q;n@Aw7E5i`&~MDxWDUM5(Y2VqeGk81K!C5~UR6 z03k|f7vuoQOCSuYz#;H2F_fOf*oPrs=I;VV{XKjaBx9FWs|`S>83e=K&da6TIt@y;$OY4dD0rztQhj!1+D~zAN{CH+@~&&;`WgrgcO) zM01ogRulZW!Pt39l>51hy13_r@={suJ3rXUFy3>2IntSooA;mVjq(_Gkr&qvT+Bg~ z#fbON9{BTk53(48Z7j8YzHQ#?kxN6cPvb-`bg6HjH-ePLs7sNrME(187t@x{r4)wS zGT7Tb4EFjUqt;VzMw^1VDYE@Y#y-8lh{fm-#C zwUiPyA0~x%0XvZX6M&U9AA#Hg*@*W0&~FALVt~kM$RWt*0N6w1&&ZDi zc=sdsfQtZnMi9nC($IewSkCdtHNHdtxAHpQA2%zZV7M5er2kvP zJ^vd?_gkW!boK!;-wDR^?em4-IIhHbe(rDud??mhEr=;i8Al_Ql`%KRkB*e_c38%f zii{~8iTz|fI&$pH@hfDN{LXtF`V`Z*pjW=s%Y8>YzEw@71t87=evihW%_->fZ}^@V zyswRjEjKV^|K`|OC-HX0z~{cA9I_fA>yYn`w=Bwi(_G)$&d0WY)4tODmwh8|;Z2?7 zu*ip7zR0ErIbd|gR?_6FaM;GSlFIrFXd_u{&Ql&)a;rDrm z>e93h=&Ih8&OOq4T6YxGHz}PwxAn9xN$P11d4A~Li}g%z&Rat2+#M;L(=q;a=GEl9 zCO)Lkar=35_3$=1A1+92=3yB`92pGt?%p+kGC9!Ptobc>P^xn4rHcBauqBQ;W=5R* zOnv4zXz5Qh*ALe7m`nZ@DEM~dq&DQ%K^)l*Z#Nvu@lT-%VWF_T0v8C8j;(HxjPRyt!0_Jzlz2mX&OmWjVg5WSTTa zUy??Dw%l7fLK?WgHBeuo`6|h)tbINyqutgOZpI$yY)$gbX-#1LJBzqF+^jB~ zH+e5t&Du=i`?pI2^(9?t8^$>shQfZ>o1RZ|v)9Kw7hCy>%kfvX=lp3ho^ifPd5B%x zRdTvN*v_}y=4x_w(2a4rS>Np%r+rE0VqMybX`w`$zy)^SG|ODwW7LO157$;>b-`%4 zi2rL6lm~Z8xxY}RWY?Of+xwuIbRYSptouTlWWNzj^SzU$;N$7xD-ZKglZpMa!%VqCUu&p28gYYL#Bc?&+v ze!$%Q89$3(|B8L`j%Tl?;GHWR9`wQeI)6HA^?9n(@UGf3($KE<%V}JD?=-Zl9g~Lk zF^BP^SifR^6l*^<4eh5M1wV?7J0K1113K|eaOe=eYhVccc62b zp7A@$Jmwn8E!c0ybLqSJC*rv+ZqED~=j&tGCkyK8J9FpXj_0#;<41mLtYgf5^!Y9I zk#63HV!!hzW54g_llU9$dk=MBIDUpW-lpF+wFC7%zmf6-v1ZCY?$&a3JZ~HKMAHj~ zy65vd;)3kpo_HnkuvBw(E-Ru|W37$3b<(3CSKVmiVYB>9<6Ve1{yEYoM8h#gspCN~R(JrYEFD0JFciCg2tEgXz?)afh3nQ76TP5|;D?ImPEcvQB zX;1F9;qAr*L*$sm=KdoyqGxHJCj)}sGC=2x&Xii#?CfOjL~9Xa%iRC2duLJ1!LPtY z)@S_1o`9{exmdN6uE|cBk3T~+f3j{T_!&7iJehNKPzFUM=!-2fza%RwqRZ&FT}Jvp zmtpO5qOH7r(ldNfh6F#Ao_4Jtl-c2Htn0P>ht858>Z6fza(crw>y$@hRFZjp1&)2QIbx>s+}sx|!U%UdDz`OLb6`Y|_?tpKHGwZh^Y^xjr+u z#pSjS(*hNF7LQMrR`F5 z+8a*Cs(v5Gx-a6)P3#QacS_i5TEf;sON<2X&*bRC5LVK!QY6}7TA~ddR_}_P5#G&v zcavZGnwDJOLMuHKe7+~fY=NiY4R{|m!!|EtTD%PNEHN!;^#V)oSYq!dQw~Si$h?ir zQ_Flr@n|Rh5(UV2b2$WPu4M6 z7ryOX`+p@qC-b{;zv%zSnDw1e{C_d6%F+7n&U_EHn-^e@mN`qWyL$=Nv??i|7hAvg z@{JHpkJ0l?-xfzJ>-rA-O=FbfDR=_@se8*~4=BvzV4n0oXmz-{o<$|xYhH=Qn>~6C zyvNOcMYYu7)+hR&d4WB+Ct8l(#XDEqJCbUz*Ey@D8s8Nc`}&tWNB$xfQ0}L>KDUv* zEySNc$gIz6J~P$D;MI&H#Eb6XW~uS=GCgeKZrhER^jEW2VXk?OosK23_A`HiKgR29 z+kah%ce+$6lt(ZhvzSJww^hf^j>*3pw|DrJ#9kjI%w1Rbw#)gy%dCD`fp06M0?kve zdco$1G8yO3k;Q1r<5YjBUa)831{sDfoZ{%uy43hf(T&YA)$$(wn#^a4Y9jkTHOu61 zpiK7P1mdfWi^NIq@6f`#@1r?uWj@cktM<_`R1c~y@|sykVv4t#J1)xE`z5wE#`mzd zNL6Mw8ubmz8=;c+Q?NI}O_Wc?-V8HgoooM*R0Ta4E1<0Or{9*?TCy?O=VQEn%t3qh z8T!qWVc8biE`(AT=g>aCL*wbQF}7b-_C4mfNJ_m5SFYySYmh^M=y*P~xMxY9F&%w^ z4`gg<1DfhYSYWmWqs`XLds6Aw$npHA#{&OkUhhMXIUU7mHIrZ!^?zrdlsd(mgAd9! zv(>vFoDFu!@L;J_g(u0}pqEVGE-*SQBM)CJgNQ#v(bBc?ohYw&yQ};g%t8LQdERdP zc+;}U*{$JUxC9&Biz-DS~?iBWxWK_L1#fmI=$9$q^Ez!Brw`EcE09x_^ zndxGYYAwwrCum-$JY4rqFj&Sg64}RK^XsvC9;Ol-^!(PjGhF8{kYDNEa#~RPuk%il zA7jtXHn0w(q|&{6A&i4t-5QQ(+*LspYXsRLQta-eZw7zBv}%2T=RolgrqHnL2=6nS-%oD;Jvpk(j$lE`VYs9czU! zgVEX!R&Dqph>Zo@wQXXc2BeO*S{PbdbXt0YcI zS0B#j<%oGWPoqpq>=EJ&EMhCpu4~1)l)pG~Qp7oNR+Q&Kod1MYf^$A%>G;SPeVj$8i4^FHVUV z#MeNx26_MVVwQ}VBDRTjh0<9fKfMBeHO8*-ko&ag$9H9{4A58PGZ5qac^8!D8u5K6z8$_l^2V5NzboHzVSjGT zz`K11`{1^5gLJFE-ycQYmwlcVh<1;Ajh+RlklSCdSdk*{&AM#W>ys>XvxSLtE#aCz_8nxd89K5dHJ{^+fe|5j9{Q zEJ8hvFAy!pSl^6(`oaXFThJFv6GY42Q+%2%pYh4K^&1L>LZMJ76bgkxp-?Ck3WY+U zP$(1%g+ifFC=?2XLZMJ76bgkxp-?Ck3Izp-a=%1>qlNS^1&NVH)wGHzw2+;kaYz&8 z(IH$piQirFD*O=LiBy2R-&}g#_c;{?0=}OGf0FM9;eXcmLsS!(kCK6`Y*A-mgYO5Z zB5>CC8RZ2&@O@4dEZg_9;8**85dJFPM|;>7dX{uD$)Fnib&At^YJpu&Noogax`$FS zrxB?R_~P1x{8m|FHtyKehQCfLk+%-|G)^+RC zo%+g_&X$giEzPsziT_ReyXpd4MV z%h7MbP9B9w3+(EV-guf(7jN{t#JVXDE8X*DWz6}41Hqi*1-eJUT%?)N&P&}dJbZ{> zrETYioV%vCC!0z&mhgQTatX`Oni)Z)gAT_l;~y2HlUIdZxywrQ4e#0NB%M3W7@70S z3^z8e(M{7H*KQUG*v*l|l@L*PKK9@qSPucILP+rEr8_~$eXqhjD_SVx@bAjXJ^T^Z zqvtIS{NNHmlK-9$^qv+BKCJ@5hduy|Ya=(SDi6{&UDZS)st9&9OpH zP|Ros=W0uT8FbH&57TkRuZ0TT^N4wG{%*BFn685qd?2(k(N*~Mjpb6g>fo8goU~~V zMdf31sQS0wxMfHv`wCv5t=0~t^ly)4Qfsy>INh^1;?7tL-9qS#u{v%X8&$PZ8B9i& zi(&k8y6ZY|;bt{U_c)Lsh!?-}WS9!TpAn70UJ7d?=k?3X^a--q;gFd##+OBrEuirUAbtcyy4Pkjj@X;hz5Re>Z~f;5*B|F^JQf$X1cQ7{jCPp z8LSxpgxwRC(kF1Fkt7io_R?B(uB=Q=U~ny8{fCot2Ct>jlmCifkCz#U_h`^-3*bqy zhVBi4?VlgpI4Vrs5T%VMQ2|N16eRIz_=LO@!@HqelCdL8-4pRgn9!TK8i40= zn&(n7!vy(i?g55h2%k?2`j_6V@m_3#L_s*84#Sc1Fdcr>gYwvu^1R7oJsXcXQPexd zJ9(x(9)(znJFRB)#nj${2riPCdqj2)xxi%n1+A3o&rl2rJ%v*e$c6bu(<#}LteXZL zCvQkoo#68&ZqH|kxn$^?rM+?;>wwvX$`hnoOXz3J+b%Q5kiG;~OhfCczXiBgBD@Od z1$R-V5u}l|k%3D|4-UQ|C#=73H`N740}q zRG&rzpMM;o-j_yVj*ts|_%HvdhFt71Vtx~8eR#V@!krzlS__k#JmW#qK8bhya z9%boTXSG;_2K{Ag@$)LD%5k2)Xs5z)uD(a7ZkgHz#M71$HxmB`<{v91=nBs~wi#lb z5aY&`=@NcD<6icV7xVduYwH6_TS<{*n^mDZqfBi8zZ5NyDE@O$bsnxaeprgW8{;a- z6{tZXx8*E22XRJGo|JHW;7>9LHI<6ePa!QXBhU>CB@O}rLxZM5QHl2_0sbk1)xw`B z@}#81;Q&}@%v8oI$U!6!KSi3_P+qt&av}`uf5_6%QT<1GUEU8x1OERJ2Lt*aqBL++ zKa|hr|3|S%*ngzL;QydU!$$QV<+FMJQ6!RhumB!R0xAvxfJ4JB|0@(9@KZ?8uqc`4 z{jHOq`Hp<3h_Kyf+!idqKJb)xIyJ5RTsk zOR!b?PVf&_Qv;5tQLmsue@4llr#Wbr!k0>xLLP-7Tu|jcTqgQ+&hEqNhEj1H*fE{S znRDI!YGzK+sISTWgqOlX_xsoQyh>;>oGf-qLsOCw^`J`4_8$#}&_G*Oa5+#`|C^e= zPLOMuF53A{l2%OwBz*+Pu%N;I;-9m(>)>$qbGX`E4)^{J#)pwI;(K!4Is6o|lKOU= zctm*iz0^B@ER%E=mv5@n%`8d}EgOhE0aempfoNoY3ddxA5_Ym*Nn&I>WWZymicTp^ z(L8i2nARi6Oi^D6^ot_{D}Pb zIlmRBx=xBE=S->riaCW`+J8Z{6bilJcZma8XsnfsF+IH;r=Ru~Dj&4rYadpK-$=w# zMO_5M2!k!EG`PjL?ur(q#rpfoZ7<>B>ez`;pb&OVJ9_JG5u8CJe?>5;mo2dL;|c+% zf!cv0=Rrb&LiCyHK>gV`FLP@L0}F5y!lagRX4Nmk!DX{nzMX5|cP1=H_Y8#G^Ew7Y z43bfBKzfKo%`&Xl?hp#x*F^RSO!k7yabM*b5Y#-S4C4poclyP6nt;j&*0BrbXTnU# zFPou1^Ro2ZQmCq1;)mKd-owkHc@e(b=(IG?+8B1CILPZ2=(uK38BYcSkHRt14gZn33sdOGiIwsDe$$8aJ)aG zdZtd(ye%WlwFE2*X;mH1uDmxVKcEN`0jRtKM@>-o@u7j%I1=#q(yLb^WPI%M3|pYk)*k z$^5bG1lekfe9j+Xf>x@n2L0M_6mXdWC3)gf=$&gB>hgFl`P<|PGWPuYUda#gb9|l| z>kr3qTval5oD<0@HR&z9V`SFhC05J}kmBbBi=**Wav6zBlr1!QcWuuDXt1$tuju$HjqmEX4!5gWv)o*v6ar9JSyPD(U@by-<)T|4<7l*T`}D(8ot_Gv~rry z#k;4Z3}F6Ril(LaHWOKaRpmyWZKq}8aC`;q-b&}(D^k293Fc#VXu3n!0vuWem5D0H zlsoIJ7B$)I)hC}@X*_hNi^jS0ouyx zw4Ul0@2qY=>b{4FNOT4ouddZWlj_Se59iNE;QD;b_YIvA?c5G%AF-!2%bpd&69J*% zfqDnI;2S>*k@s0$P55TH$JSPX;@FD(KWv;Y2d36m5lF=zJ4%4o*sRuJjlJcUE9(^G zRJhi-!zHk<8-Ch=nM|34wa4)*w2=%SZ+;wUlocq_ViiH-bfj#Swe zbSvw`;wf~nA7QhZIFB-Gc?5P-m&ZSqUiYqJ&#kR==CL79W#*}-^n9nDFWxm5>-knn4%JI`(#{z>dZ}W5 z)k`p1<*}TNhNB7!>`7_W;>7XROXHJ)RzEN-AbsO`k^i>_iv9mb1I5h2$;|zKG*B#T z>`ZL`w+6~48P-Pi1buYowa3fn^QqhIBRqRIZAjXZ%Cjv1yZz8jSV#oa1uc$41ZoH= zj~Kz;f<6IQRw-Y}@aLl;(H7}gOlbE7YmD)%Tb5Z0G08|a&r%m>XkX72W1rtl#mJ9x zSf)I_^6vO#Ie(qF#{dKOf!OvLO<%N=A5BFxmjdVDl`Oob*FnyMe0*#Sv=qFC#m$ldCiJodaqdKU#c7%}w?1 zf6u+@t|KN$QC#-j1XSZ9o>QINfk}~qv2gB1; z-dfMfLP}wrx-3dfVqB&k0XCh)M9N&O^rCNi>4eGew~hYKc+-V*TU2m+Sg~hOWj(o2 zJLgz&^cHN`ksNhYZ7F$bgt;UYO(;x&LKse&#qIufLSP7R;kPyrGZ3vc&bW`nsgE%Udl(;fCUHvl!>uN>V{|57o7_|k`%>=j~< zFU_#UYJO|^-VC(U7Q_bxcY8TKCR_-Y9W!n6Tnjd`p2IC!y0~c;y20u`w#5@*J~l`u zcIiNdjvbgFb=+FTRt81`0;ArCt#ni5hFp3*aJD{7cJ*(7U)wshh3E2H{1UNDL=em9 zO-njeC=tiTB$@_`d<(K`sx6**JJ{z&({L2n1dJ`nYT*t4+YP5&UinR*TOA>JyXe_B z%}O8H$?UACfST*faHXljG4^NJ^@+<1W5xd%IZr^#6tL4^W?U*ZWwbgO-~&n|N-!CK zbpftNs2jrT!d+b-x6CCiT`Z+5Dc+|QaBZ@`$^B=>=#Lh<`1Bt0(kM>@ zoIv=sgQlM!4+hpJNUiE6H(&mrG2Ia@jFTv0rwS;^fTi$X^kg?-=DE*k<}(Rlhn)a^ zJP5^(O?>0a;Qco5_Nv7Q;-L`S=ESvq%^fq~_8Oeez!~)Sa2iB9)vd(G8ZOMEwz++a zRI9EOx3cMN2PRYm zS^z;&ahgDSaoZO0hUk$UrctV33b(0dnRfvR=5g$j`sZ=?RdJ@ki|g}cs(THS?Jy&q?M?b4S-?E3tSAhTZ4#m*_+aNZM!Cn=H9<3Ysd}p1S*bB3>ABcw!hNP!7 zBMJg})`m%Cl;Y-raZV~pqk;vLP8{8YN>_ZyN)?gA2#-bDS8`k`cyXdh2JnYsiy^>! z7@z{)k77&@DYC`dqD!Mo$A=kl(k*Z~zV8w(V==j?LMO0V{pXQodZoIF&wTA{n=yjH zr$uKGnj)9|x2&OBbK1TevbVIUnNKHcfQ~xm;-R*e4d&BZ%=`Ak?Ql<8?bN6bv>D%M zg)@OhUX2}>YRH}oVJS)S*kYWqdl>6c9Zv(CM4>35xM)fQ*y#R~TiIYo9;=c?E*m&4 zfui*V*|z8rgE>Yb6`{V@w{y?Ih0sRJ@I)(N5~RLtmzSZTSM|wcIyzO>=V@c;>QxXU zPtEt$cD>&E=~N8)@1&E(xlV83BW{GRvSSXOl}6WTSh=(jj5>)C>j%#UZaXG4Pd#dP zkm?hB$J%h}`Ohw#<6Dx**T69~Ag6bsE5j=TCrM{;ovRDol*~%&YkDjyEV|vVz&8*L zCDn^_M}t0sGT#TIyY$7Cre%sj@@iLU8l^F$B6N>Dkv6iBaZqv^?+}g7JVM+ih)V%( zfJihwHH~SauFHTb3}ppe>Vk<->6MkEh@C5$2Omo8fYlc;dJB`KfK8VzUTGB)|=Kr{;d`);*!Cf#C6g8oG{uHx>vXbES@U7gs{0; z;F__t;jc%>fDU~oSltG)$Eb)v3$VrzXreR5d@vHM`a617ho3)qcGDZs&}SjWD3E8= zoMa_L`a1NdKH3`yESoriD#}7k2t%X?APtpxiP?e<@j>MD7o3>Y!SNsgs8UH>Z2bM; z{g{^{Ioa9WkvJ5mV_IF|l*BhkSUVoiJOAZ~$J)F5XKVc>1*U*cwq`(?^i2BjKK0*_ zShW-FCzIv)K}znD`d6`^sO?r>Jm{=~x2hJp{Z%VxEP9Q8EO#Cs06+BxSXYX~X&{oO z55_xuhkM6)%Q5vGeEHUO`E#BAjKBHpAV0gWRb8rDWASK4zWJoz9IMz%Q%%0!P$qq8 zs0GKLg$E`5B)5c;V*btiTqQa)isCS?ySA=^{@sLd3`Y7tt;~Q98)BHad@^__Bw`b$ z2SO&i2hI`7(D6&ex-uS{owrFq(7R5>a;%RLe`YCoj&AeWQGdUCjCYjnT}^f`kI%#8 zBn{@fPHT%%KF8a8Z1b05cpy|cyCAD9z)J8Z^vXQD zX;GBAE8x~D{JlUSpKj~6SYDu1S1tL+A^|9SODr)-r zlrMX7-dRiD#&#DDb5F(X`AWFx}Co=%{5{;7!~I7KD!hY3Emk-ew1 z3pzdA1fi`ne_qGkd8SM_uY0<`#6E_W-^`b5Gd15En6^H*h*5ccZMLebTlWcV+MCfR z`Voy7*>cXk{7%+Pc-=2{!n!%ZnIEItAk&?q_8b!QVFJml6>JI)qzcd(%EhlhUa8Wn z9x2BO*pE~boXT?-$Oi^USq&G_jy(q7%+D3qoKI4q5D{$J2pH?#T>8y#mJv)(YfUaI z>fL}*B)lK78&jxppp#MPd9k$Bwa+JX$Rq021vK3vB|`)&Ru*;6Mb(uUz0{i9j`B{L zPBOxdJdXdAl^R>rfscK{b@mAux2E?oykpZ^+$#RWZ_G0Pe&a_Y01cY+ZLpsB2h#$v z8>zRmrlfI7|IdtvL^0-{Ck+V+BbRYD$+1SHSw9ANNRuWhZ#$&&qzDGr zowxi;rj()qU{h?sd)cLPJP49)q%?Q(MtA^q1A~)T#$FSo2G$G)`%1X8NGEI$vslX7 zX|lac*K7889nJ3jYO_3_KT~AX)7NUZIjubYkk35H#MLURyKLvj+}Y{0kX0T_m*0B6 z?(IBclk;*zcfUf!yuOqO>=5$)x?!##a=IJYSY&kuJlC^+Oo7Cqyx z>>`=QNOI+fifK?kV+a`>xp|=lpa?k$g5-gHj&OS;@kP*4&fSY?1Yn>Q38_UDiNQ2^ zCqfBl;jHz@p*p#G!*!BS#LvgMH>fysT_5s==1Kw;@fcUY6A_=+0fSZCk^8Gi+(y0T zfZ=kH=Ir*}=4b4Wg@BE1a96J` zM}Ja#m{ zj=T(%PDlBv*v=n>x<-{bpBYaOYYui>@ch(*fZ${%umAGYR~y)y@q1)t0lldhbsbS> zYk6%D>!@`XvyE`9=I;$DoE}U@GPVSOjvhnl4Zu>aM4ndl;D;_KJ#J(9j_8#E+^Xx* zaQ*Bv&hj$WvxU90Elm*V8o-Rx3}y?O03$?30cBf|L<2x+C*Cq_7l|d-|E=k4iCD*u zQm#~om6ph8a-@964Cp!imZ%tj;sfHmht@+jm|?MuRCmW35q>qY7RX1W7YY8eD8}+M zoEz04f@0GAr_w9-z3n}a0ky09&^Q`RD}jSqSOpE+QU^(0UWr|Kj!b%vO$%5H{q!Hs ztnRF-Cj;<1$aLI2x zQkigwjo-Jw>WAB?B)Q|&q{pCS>$YwzmO49+?v}4Kx=xQg4Dst^2T#Wa=wgcx>n-FG zs6UJdH~Cunojy*HY;@Q;(5NsJ1=67GwNNeCZTOqL4`ijx4?QO%0=eg;DX7W(m`A47 z)Rr*sJu6{g5l0k8E$Adf($%q4+%%FE(AZ_IV4A`*!%M8$G+CRpZJU?#v**aFBU5lJ z#nZK#&gV_*`sAd z$oS7=j*m``RjEa-N5NofeZil%2IST6WW;Zp zDkMf?dtD}qBJ-$;3^B@f+AhTqv(6Kb70=cMw`*%`UXl0H?I=)UehJvEpsx5?DxP({ zD}3bj6Lnd%8PQMC9hvBUQGA0{aPw%XHyPn2#6NEFK@c6Q+HAR%`JmJOd3Nz4`ZYhS(@9au6GuX z8}@EI1II3ehaCJ5A`AzyZhccC5yuTd;6+eu4L*66spR)x={^9 zq0eeWxNTCY1Myu(q>_%{D3K{btQR)5Ha5A}U#8!}jSI$Rn_V_>+`OE4NeC^SH&D_12T(v+fCPz6Ktfg?1JOQUI7nY*M8IxO?p z^J2ryScm39Sqbi@O;bnB=o8eNNlpTrFXe%DYJPETdz`lU`03y2PE5Z1deim>?qL)(S)@@TS3o$-tO{by>~Nnf3m;+(`|jw+~408vdSFi zQPd^`rMwkRhGn&U73QxEov9dPZ30K^y26ZXU}RnIN&QG-|-aFz@8 z!8~uBAXZx-JWgXAfb55$zRFW0<%+bAYIN{YSx=zXBwKvFzS=e+>p+rb>1(v?D4G3w zm2bFu6;AP6w3?>;u4uxtg3y#doyMeIf!eAsAt@knU%Nn+<_I}}0GP1QFrqLX52u( z^$Y5c!v)RTdVVdQS4&T=dq0Nxhvqb4R^-IBFovlutzp&n@TyfOizOTGFACd?8q$&q zyj^ewP#4Tdj8mx!D4fa-1gSJqUoR8+{K{Ybj?YBsMU{0O&_AXPc#z~^d-5us#x~x} zDa3iy*VLOkT-ckr573(yBPt74G4UdFH`xTYIba^Ku(N*;aNTmXDpQiSoOE$YaxhAx z(r2z`Q7+gZ1p*%Z$ZbiTMa00qG?8yWU0`v#zl8C&?1%=n^8G;sMIJRe(z&dkKwt%q=`DEm`}0Z ziBF@i4Z7ZUc}Ezqpx5dujQ?&}ZJXhnnek%4!IT#tfCw^=!sv>lQ_+6Gf{bIN8bFT;YK=um8HaMxAl7G?hPLz(2WqqSfAGe`1szxaI9|Ov==~u|pv?RcIUC^|$}GNiT|YM~9`dM) zk7VJ;%(bsS{-9vwjmG6nb2&J#E*A#aI&n^9XwYZb-a^QIRTj>X*HB$hBZW!x_ela* zpaK%lT7;>j@?G2B-lA`IuMLvPml7pK!H4m$=P(fdEuXKQ<@+y1+{%8N#&-c6d z^KdyD{LbEPo7$i!J*&#ByAp=?5<`T~3bx(ACyTE*hm^3)DsE^|BSHB{qCv_B8x`QX zJPF;zIL3tj2kH>?kAw-08IHPXaS&9+ZBS-60H?&rZg2CwHP55eE$L*qM<=B896 zKgJaieu2E@H?E{CI1QWN(Xo;BAC!WY{Itaax}ZnopBmrH{$UyR~xzaY`-9|uft zuU)IN{)IE{BE|k&Ssk0Hrh=v`P_>a%eqEe3lZr;{6wfLUE(A@%W8DFs!YVWM#jD(1 zzx1MLqC5H;70c4G_`6!tKWW%xB4K6eDzJI|sdGtTkVr-Lck*78bH=yPKQu`jv>B+y zqCsUE>hgI410Zk#=|=};ODqMk`~Wjy{vit%j!G?Ed8?18U&ZGu6;%};>r$E(MkJNNB04oa69bF`TM*U=3Znh=%3mWc&3M`y z0@hyNsE}FzAfNfdW&`L7-dU$VJ5vQ;qZ9RNzwQs>i)AqweOkKRPB!gpUpBB^W=6|C zZm@xTfCo#(fBKpH!SA3ylOH_d;1fiR$gNnz!Bz`g%i_bss&N z$q}&0*I3ROvdv?_fCrg*su+sFgmvqq9`|{yz89o}jvx$sohNG)%VH~;N=wtU%mWWk z=TGbkChmdQ)nI&@Ti4sG<_b@?S?8DhE%(nJOP@KSM16r?l6DONW>QWdva&>v^0Vq9 zB!{A7#<;`;rJ{%Adj$+`Ef>Ht%DL@HaiNrvxwc_IyF^4V=%ud%L1qEUl;V!#X*dY;YY~7Elpmo_Zhby3F3@C9~}w+6#S}U!zUk216m2?E59@bii@NnwT73|tYwyysx!))5?T_%%o9RPjbJzvM$l>~%4m}ROza8| z?v8T(3HToB^WxH;Q64WNVdF@&-{0BO@(uqEq=hl)+c_YE6NvoR9IvC?*<_r<`G*Hk z$lY|_{L9{7s#VEu|FJM1;JN>jA;>jz660C;wAIwAv2nSf9PQ?RwzsitTn^Y40i zwTNl{6P^U;ukZI>NLBtQnbaj68Y^BwxEuPx8?m`Pz59o`jWy!aTdA0P3gRu}*3f!) zOEe4{oi-kVnUdiMRC_Z*&U*yfXJx*eCW_ykob6dRq#`|Y=^PmfWAg56WKGSs5o??b#JdW@bQ@QqK^rO$ZP+b(COR&ytU>yNh*w|Q&Z37f30=dY~y9Bek9S{sJP zJ2%uDIl7x2w{z^Q6$GA7rwis^FPmYVRV`Z^sI(M{zNSu&OChNS9V&uq{I$CKu_%`C`M^15c_WjnL$5d!}2hW@#PihFF?xCBscR8D+O?Fa=EP z$D8gyPsWcRXeZP|M1<&?Wo)5MXggxr$%yLMhf4PoN=r1`%nFY07kOeNUhLQmJ8km2 zt;Zg(KYYrZNB+%Z@lkbqoxJx>ejLzaf&U8*`MJ%7!WUat-vC|fH}tjyrDk`;shWJp zU%52u#!)=B;-Z_1JBqVeajn@T?g8(k3J=kgx%;suchh3b#Bc&qAXhaQ6##{HrD}0r zMZuUx`%LPey*Tspoay@-z}Eau2$`?tT^5?E7hg5zo1+Pl6lxIB zV}EP}vJuqgfQwPTl2Pyxp{S_T(Iy|-WEHjPtCOQ&QtR9%9@xVb?H%KYG5Ep-je8CM%p**S<-d#Od@4CRv|x+=Qc}{DZpM!s{^qaHwm0aq@_s{462sh_uWLV-xmKd0y38a7!V?Q4i0_dKwr)bH6 zZWFdB1pfYK&s;)D4F-1pmd!2<=U{Dn{h7yG5YV7&2trmHVPMJ0GwQu^Px*m$R#}eB z>`x>F$7RO_um+0Xl?x!rm(u|ImErll8UndFE@&XY?kv~#Vyt=m(JxnykJYc7-u^9r z1IsxdlJ56adf9Zt?~-$?8Zr9qPAAAH+qm$JdELxHg-Tg=5PhqmmB-h#Y{7veQXc0h z1cAH}j{Fx5Xm+31x5*YQ3wmZvU1H@FfY1USpQi?cuWw`di%~ zXS^yn_Kj7ObPn3>81+wBPpYSDp)%}Kn&9iDKHX*MU)Rr zvJ)v%m%kY?JQbfnc@!3Y=&#$*pZQ4@OKl*o$)ztWIS9;{4 zdWh)$PQE^+$Wx!TJ9BKs7~G%!#S}^A3KIKE&Dg^HR`5L>K6`E-JzM9w>c>SArCQzH zW8{@y6W1+eU)im-_q)L8^2U~g7b`1tf(w}h7=oE949#T^HY6Ynb>3g{>+E_D!=jF| z?MX)l2LH=aTsV#-8LNBxNVpBgq zFRWeZ#Jj>vaad37M@Vgdc(Bs@-r1jHYG9;evGswuuJ83VW@Z81`;6LTh!9NVvM<*3 z_4+LMdJ&{q2LfL<8Eec5@3bZB2B1!#UP!Sfgy}`;nYH_OXNR9SsInLCCWcw;v}0mi z8E&hAv{*3>Zk$PC;+q7nyh(8;rQT6<8x+8V{2O!)%Al-)3ViB+N}cp2U{x4;v_-Q5Bb3muo&P-(4_(kVO)7K<)n=`U9^5q^((AZ< z$0~>kyiXe^vOgPaiRRcJP`e6$S@))LOlQNDime;QcPq-3y_WICgWpJck2d-YAwq`F ztSn~d(Ohhtu3eC-oxIQ1EK=KaY{-{gJ<}|wv7c;1XGwcT*RDpAn2=7cHVYUb`^{;Y z(I6sh)}r?dD;fix_<^(#O#9IM7N}8`quI--+gyw^EkwRG&VJ%H$SB?jfC6a z88{$JY_U)pYz8E$=WV`X*+&*K2 zEQ?5|rS+`F=X(cv#`34B+|Fur;|$@CnfYhEL8;&%%`$1tcs+n&?IPNFNu^l@y&wk< zX;X+rhj8Je?`_A7CNKS@X# z1`zO=KpL`)sthDYOqO|fwQbAxdER`kGp?gKZJA@x<>zg?UP6A6O#ml5f2W&BJ@Y~Hy)^co-~9MTyWn%o zzjK%K{I9uw+#QJN+c+Lw1-TOkeV9v9s3zS7Gcc63%ePI%Rt7Z2;k~R~B@se}Jd6XS zh3wUiv!#S=h$syuT%lMRNGw$-ka{|*O8~gZ>O-Usnk*QM{O|g81Q1*tV9k_GiS7cZ zJ;me*YsmL=@5;VL^QyhR!*srFKSBDe(gJANv8;FdyU%aUAXeR$N6Tmbp0UE3>;n7C zg#x@DH=kVBWz|_HUP&?zgP)KA27(?HO#m+<7Z#^R9aKiY$kfCl!-^2zxk>yQH-ed3 zd%9WfZ(`yFsFv#va5Hexx@is=B}h;rIrWbYJ9jfdh|t9!4OcZ*Vh$H?#2SqG7ixyk zfX4@nG9w``ETJKqvM`;n}R zOv)*(yE)!-{5N)F$0S}x3;W}EhVR1qzdK3F+Q076zs?jjy)&-8ZI1`}zdC*0y9S@b z*Szl2Euow=i?ecdQZeZb{9wd)kWa_2nrno~ z)~_th%26++WrG>)^s?mGTJ*eiy=cd{EL8$!U@EcRMa5QU@v<)wApm$RY$e3{HK z?2hnkZrdhVfo{!I2#ZG%$-RETgve^1aX$%utkRgbCJBS5Q7x=hr0M7osRqtyyvS3y zT!g{awQWcQ?yx{+%e#y`W-X7SigE+-h2H}(FOCR_J;wiF`a-%sy1tR&+mk8ku&|0C z7{$Ia7>-a*2u>inaDETlPzhUxR^&UhU!dhh4139$gCj^ZiIwSM3*lM zRufG`vxB4p$IT{LRz3ik(9P4+%}x9@$s?7P0CJ>$Pf}$t zX+9o`X&}GQ6dlwh4g{jXvr%*dQMjZXA$uR<{l?pTmL0D#c@YgG@T2~-C_04RVnqt< zOm-wr#3{_JkT(r^5}5;8XCVhhP_sY#r0@mKm)=jD#i)QqPOJR9Dx;96#;x4F^54>9 z2Aq7lTm4hRbUAO8;`}=tW|YQ(aUxG2iUSkVIi<}+BgP`~rJO_Q?h&!=+;VUg^igg@ z$hUasYcDJ7_kjK??7JURoC`_3Co57rhB+A=B&0m&ZR+?8-+RA!sJcT}o?1D(cJ{U* z8#ovQcvx`8;DAn3l*RA*b&^6cuYVl28_m}@Dx(T>*_}f!(U;4gnEZd90RKLn2uEps z{MKsyK58N0@E*>sgTmnOpWbTy?l-_rZa5`A>`aYS?#hxljhd|G&R3fp&kiU}<-Dy` zjt{FYs8vhlXVRISmoK=To$rr7zb;>4F&MPF$o$S4j%c^3sdTlPD%wmRJFS;%bb5^r zO6D8x08=_Gb{k*YG0*AQoo?5!yMyC`-Ve8wUeBM7-?<3he{PhPa=-7^_DCgT@oQ^@ zyS?ugExGQG)|~x5H(p^ezptLW)pa1NjQOt~fB*&v`-Ti-`?4N-2i9^uFzpVpG$o0``Hx4ckybQbmTfYgdb8o^q*!;<4 zWspB(*^&svA25+Vy~TP1%MGC`O$^Kv*8;=4!o5;}WW$F^3a^dN=AwG`cV=UoFxUz? zN&Ym_7eM;}Y@?#K?^sX6*b5nvWQXymPOXtX!NqnOv3)9hK1kJJ9jz@?7Z}(ZKZ8JFID{4tLJrGiJKFxCHK@_stY+vIL1-0&#oixA8uUkhdc`S zZTUGA+bCy6H+MShJN|90Y^D~sZc1*2Z9;Cn5MGg9(O>ajeO>ijX>KapWp13uDB&f^ zTKJJNWGt~cV7drw-P&-^c|8aW3TT=L5%T$+q%Ub(D%me2p9u25Z)OtCDQ27`3%+B4 zqB9X<%!*J2{HsIK=u=q*y6!hqi+XKqZq0{Uy43rHP-(!RM1vP(#RW~d>-+-JBO&hwp^z`J4R3ivk2b*lf-*h8)dtLI1RWLT zk_LoYkLv|H{6Ll#)xZPWU_>JqV9A7kP7LX63^5tV&4l|Tf_*rkTea+Y1HUQ4t|OvR zm}U+oi*^G=kmx>!(~wdHO85dT=|Lg{s-?&g7j244P5xUYF*a98`HzCB%gi?H0D?S9Ab22gMc*1Waz&3?YY-Ry_X`kURA zn#h%|%9T7l=Xo{U{VM;({=C=ImGOM*E6@k|ibNTlD)tkw6ovJo!HnWc(*|M zf%}%xldpKHO3;^p&ll%6A^D2`h2J~Tdt&09#ZEA^mNj^N`~Cs>Me5f_X~BqiOw5<$ ze8zg%PI-prBWHd((0gpp6>ERn>YdrS8u20# z7=i>)3XxwGPYTCiCP}#$&kDzcCQ&AfFpVcs5oi3MMGqG!V8nEaKMnhvz`%lp-zesH z8;3fQdhV^E2bE`u>cN%|sO#0&2=g%#gy3Al zgb-y3&xxyoWw3$?1Nlk;*>sW~yfyeGRw%TztMI0rdVs;P2Y;~4u9Jn*B$78(i}>MB zuI!%!-PRgiR@s*sc5Ae$+jt~-8TMf8{g3#pz>*D{QdQ=6QkXLF-*=`4kR2o$B+e~7 zcEsnNxqbe>;oqr~z3nK??VyC)bIbB{qDmaDf>OHuNI7GH6u_ zZAqr>u6s^)tBC+TxKGyOvSjFqUF0@|F6vGqNvZBq1ue0PncXejNim#*Ey?pscru_I z21D=dTJGRcGgH2e7&|!MP51?Bx5($+Nmf~-JnxQ>guBW($r9%)jqC0LADk@67sENv zoxdf3dYAk4HypM6%W`_=b1S!yK-6_F<5ri;x^4LBo2Rpj9CB*HP-!E zE5ZC&B{H-Ic+OemHb=2cK&v@3qSfQp%={vke{=0;Nqv{V`@|tDs+@Qs5&JThO-yn3`1n?MpEhc=iAQ;9MG(lF}nB#F5jVv z9Sn}JM@8p<-HfYvtUu?EF|6(p2~OCOXs-SE7d%18jlV!}ApCR@&;$l8KhSD{1GA1N z!u#KsU`&I996BrMP2sh;wgDdREN9{4*XgIin4UZir)6(VxBP_zX`u3xTF%sj2NEW& z_f*EeK{HQ*vP~dF(C=*Qz+~)B8fB8^HMIaA4eGCA@~ZakdaNwb90zT;lw!Ytb1y>X z5qaPOe#0P}NGxFC#7gm_b8ZDSdf;`;gt^gkMG|VI_5Adoa>CU86l}SMqgU4`R>$bF zsl3kQc>+K7L`?}HB%Nt)IHE@#sb|E}r-*k)QNEaK4^IpuQ~i&;ln{UgX9gOS`&i}q zC}P6z_f^TY##BeB8t13IJomY5ASZBAJ9B6*eld>((w*t(xc~-QP zr=vV0cg<-n$XU{$#&J$RU{5=swWjT04`P558Honl;Va=K#*UP!>`t|((RBw|^R7Ww z@3anSbsT>JW8l8=J$w@Ffiq3~>$hIc(NIHl7^-k+?Dm z2_fR&9WdTDS3_evd0Poy_)lLYTPy578;#u+ptRj|1yESVts6r=Ellu zZ}{>qI&S`_Pi$H&oCWAzC+cdyQ9a_<*-(rbF$?`@bVoJYtcd<-WQPWIs4>wDUkTct zKGc%vs|>Bf7kh<9LlV`70ebEw;BQ?qZS}cy4&}Cbp;2rQUv$~aoMUW^kLl!TJZUH* zEe+jY_v0f3d=@TBuQ-lbVo1J4L0VQ){%V6Afo`wj5;971ilNcr5i!X%+X|AjEW7GO z+DE+Fnq!MZ%9BjnDag=kf>2FJoAcu5iPL%Oh_QF_pB>p}L9)nB^2lo$rv^O9gS+hc zYU$53*+hK4%6ZT??of8ZR_8Ml8{~pT{uX?MbfD@7>Wqn>@fw3FxZarC`}yNpGC?ct z!d}^w`?;=4>C~KbW*Oy?7KxfMec^`e1C=#4HdCu;rD^BAp{c7R%hc`h@QU?g&l-W= z?NWwy(cxJlTdKfWSZ?tVFRzDev}7#xnPJ7P%RHKirPrEDYUQ#nee+P`zW%Ko`Mv_I zR3mo8&lcQ>%uIJT!o7}8r1X8ZY9$|nMRmKTtTV1Ufi+}W(gI9=(HLv%TDMW}F$eed zt4iz~g%y1Kt3IBFgji_>c}zC8Du6fInQfS!l$~HIKXzu(%BQC4X&bFvyP>k`SIX8- z;Wa;^j8Uhjsva!Q-D@IT%XGgZ=RIEI1=9%y;K42Fi$G{SEzz+!X& zR*rV4IA~oiX9C|cAC!(;0|hDPjo|JQ!{>o-Ol_FSj6#nvg=NQtUaIIfzV^(-g0r){ zVgyb9oJiwn)zjeX^zfTnHMiEesu4@ZRe#=keF#(gpi$0UMT~JqP-sun{K8fW8>KTP z`gi2(U2Mdgz`@J?>sQ+~z7=;;p}XN5xH2z03{6eoGVcd47E&7OXgbNskO4a6P==#A z?XN1@uRfcFisk?_Y^`&R>4mc;Wh2{C>lO)I$;9HwbyL|A`AEl>RIZ83Pwy))I7h9g zlc-uRft{I|6HkGelY8&K>3fLOz;Grz#pH7=e0*`y4g!?9t2J>x=QrP`=Ig<~ zGhszYE_>PR!&?tt=qC6I&s5iGD@$vv1>>06XMJa5tEmT+ZR{Dxr|GN^n`$G6w0m;1 z&nE2zRkhc*+vwt$s8Rk2#v$L~KiFe9SXtO@;^srkRxH5{6Ead`SA6^BUXvWt@|+@a zgF21RUz?@+n#;Q$H$3?_?`TYYyriDEU!~vniX}D2cgg+_d*1=jRI>eTp(s*R1W~FK z>4ZS&y-Sy-fFgt>Kp?av^d?2RAgD-D5LAkV4l1Z9y-1U8qe&Bxjug@Fh9X7XeZJlA z|DOAdOZMKGIcMh1{N~J=bLQrxfSQkjJ_YmjWZX%JiEuKQ{esYX)8K7OdKm1sD*@*J zCD(Nt_MPaq;gV0f1_}sEAr@bEkF%|o+YNarb+RJm zsGsIk1HNX`2F#!vRp%6g@j%vK5#H6EA|~w7CN11}oKHi4T1U!hzhSsJvY4o!_@j$FH&%yL;g^00Y_0lv1kCVR=-k&B-#8wrlVP_)ye zBVuIz77n^$w%a9Cr!m;bqGv<|pFgEI61h1f^xqg&cm;MPpTToe?oe#HEE;PXC+oJ? zc#7AHygfj~O@yw&mZG)Z|LUEk^Dp{5u{unx3k=C*ED#v-2nEC~`~ z5+$OUqZ9cL-eD@TKz*YRbi-blg&DMhj&y)%tVYNs$)oNbh7MyTnYb>~UYOADC^(n`;!^HHuHfRmF?8Wk9IGm4yVBG=YiylJPlofYQk$Go_2nrNBK!QO>Zx4 z+GgYNdboUmXwIQLHAK5NfZmN4z11h3#X9$Y+1 z$}y+xidgH|r>XLd--ho*pWpvv;L`n4Owi{WeSs6y&|y}SUG@*nN;}Sww#rtJUAq5H z!pBW`_SPe^JA>>?MzJ?fCn_&cvwfkr&=N+a7_7x`YdbPE-A+rfbg^$d#_F=X(Ihm#1}BW}CQ#_sWPb+EuR{Kipat1><=|4%aCYEG_yW>78r1#RaYe7(jq)J^+s+?ZBc zLCE1tB+aSiovQdR7ux0Yq}!UtWrSYZbV+!B^q=MX)XF~GpBB(qbm|E^QDfUXsz#v~ zjPvo%WS={NX$t+$NWXEc-B~Cp*Lm-$X6FmZiuMw|fXT=As`8f5sCf69)2w0%2bbtX zX7u>#Ewu?fQ^pt0Sn4Eek@w8On0Dveq!5?B!Q&<8+L3b?^QrP$9r$?$@GFo7N_&t% z9Ks71$6fv2IP`F&S?KjM(^fYzq#=g?fi#>Q*ji{X+!GzVl@2awN#71Ga;4-927B)t@~SO>ns>L#q@MLWWGlIi(uv)HaAeQ=kzRTt%hJ&|2!$joL; zSjetcjV?(i5;%L30ZR3C!s*>l`aFETaCJ@Uy`^nG6W;3D++1>U=D`?d{MBw*X%_OL z(>i+!;BLv0J4kfK#nrr{*i$DAjiX75wm0lDymTNTEynfS+r*DUv1xi1w1x@EE;E2~ zV<{2KS9in-O}xiAafl(HDPpM7!D3#C#whHC|eqeA38M8r8|TEz}|-kbJmypVs?Rpja=) z*rL6)g$g;wkg)@`iwaX8{f5%U*^?_H=Ens9ZGR}9y%NqIFq`;hugfv>pF>*6!R z@9o(0(rD9&9$w|is2O;{))Mnxedd-WDSEef;pmu>yrRBP=NQGItRlwuO{IO~uWldO zccuQZbtSk{NhoANtn0p|Vc4pUy`|QhNMCF$av{Qd^%}Q=~=E^Xo@@5FXyJf1_jJ*7%dzepd zd90WVGVb9NJ_c56Yku>dQcX`z8B(|%HY2V-PB4EJ6il#i#eXU8xfl41V1_y# zlq#VE@+Ry2%M%7SvW|`h2R;i4q*RDYF9hPI3n1Tw3PPz#g0A1ZaDuJOl+60_b*{PX z6PGShYUbRt&?a-8V#NQlo$Smbw!oy;keO>8y8C>ENBXb1#CJX!8>v7=ZpWvWiy9+z z-Kj#K%`2mHy)C%E%w;ixh5NeL^;~Jt7p%tov5)>zYAsIg0SncO^R8X#SgF?ZM>lPY z!-tN~g|YN|yt+N#@ItQdw$LMSmSPskVD|1kSYzkXg~pUpkBO)UUJo#G0|WzyJW0kV z2@k?5-~*RO#4lV!c2rf>rH)*UxCV6*8e-X(9Wuf!cI*NhoO6hg7EZn!c%S_kC-TUC zZ@usi$^`ZpWsAi#?5{K;?GEx)Q^(It3IUa zOj0X2Q)01;E_KvhoZ8fgRolVOer%+6Z@5*C5W9lP^cdx*mXiK1IBoiwo*oLj~Xm~^t;7Z4y**Mm#CAZ(OsU{t-GZYdq03SM}+%m!O*>cd~(|N+!+p4=V4)0D^ zJ(kjZx7+dA5o)iUr0SE~Xxw2hugc|rIa_gU@&SZ~>~uU~SC`Q>%F_icHLPz2u8Lh0 z!U`oERFjtD_lenU;WI%$-QP-9@!m$1JJs6Oz9`z@Oa6W7BNu7+$8hbTva~N>GTq*7 zXzWbsIC)=!WkghiLG?hkoh(DW7t<774`({l6GjGS;<^zGUmn$15H*6fzu&Dw>4s^p zFtXyjk7fHpQI`9HS0-Z4x-I-Lvn9Is#)FtSry8Vc%w=>-r3_^~@0`t;arqu3i}Q8eq#?zbJH=KAn1R+F>VxyH)M^j$kkh4s2d~_m z71Wn-ObxJ9sc5&)x)e5xmm*igy$f`GmJP984@M0gX#MQHwDYx(m-6eEc$5A96jvo* zk{g!qA-S{jorZqR`90x$xAvoYdxz~!A0t#^EG~JuN_^-Jns|{weZN9gt6lYs=+Q&x zVh`{Vah=FFkZ&>IJSZsWcSa9%WLoF!_HDbeZaw|}&ol_G|4f5A0tV1xpVL5Kp^xMUE30bCKRl?0;S|4IdvjP=XudVuOV`bK78;R+y~ukw;))Xrvt$X=}rP z;|n#lb-+q6GU6)w`dN7{IP7aZb`EINaxHKe2!TRaBW$o35SRxH`pO)j6^Fw_&1})u zP^=`>&JKlyL2VU#BN*+feZLs2?l_Hx-S5h}~TyD{(%2!Hl=$vBrasn^$1DC16oL0GmL=gub?9L&@*)utn?I z+M*;^CV(;u<$%GWp;%iqgPe{44|wHamRYP8T;=h14uiV-9}k4JHRyY|$6&P)>r?Ez zLx@?HDB1{&tpgf{0E#nxpOJziFt=9c>c7B9!4a5P>k}4-d0<@C)kT$UFj%M!452J9 z>EM8bi$WnVI4{Bsh?xo(f^tLPU?Fa(kST;4!Y=~jHH8Wb^6{E3ckyQ0&Eo{*$=kvl zaFZF}3sl4d2UshD!2U^zuT5qSkL7%U^KC@;b*Bd;jJ3tR~*uCd)f`VCu6G!l@f zP}GJp%gq*$=i?O?;e&|q%PPvtg25tSh>Wm+2(LUpSb$epPI!&?cj&*VLmOBDkT?M& zhk{}-l6GiYTQkvRS+mk*Tk^l5mq(zHjtICS+SYn`M%zKr7zA!uB^cMnb)#^@jm0uB zM3Gy^0s%aRFv5(RpO=@H8w!R%xJ3{Mer`D2lwUwV2muxmM2LgFp3YmJ3||pARlTfTO`+fhj8r zh2elDiGczD!FBM4wDl&hP^=Sezy)qDA^)Yhw8DD>>5ut4Ss-k1tHTzV46F_-GI@p7 za;YCP!PuH%ouFuhj5#pte=M9=cx^8HW2P_*U^Pa-B|&R_wU)4zBRQEWbL;ca}gaz4||6qxfM_T_e?aC4f6hqixfXM{tq3b;XOqx~I+1gI& zkJ$kAZgGp?)-(iQP9$|pcF0evazBnbvo$mI`i zvaKYo^IwrhxKs;CVIF}^5Tz^pM`PFm;rwM#cNdIPJqt~`zc z^hOP+HP8X;w77cyL_SL%m(kGG)KFmH=M!Y$X3$oW{l1h~V}(L~rGW53{)l3Q&v)bs zZfL&S+_h)Xae`uD7D{Ma2fLqqntyRLfrr684}euAQFB~1xQ>&QU)jtstW?jiT>oH?cc(~+7^zGTn5iF%jMfYr^4cd_i=4aIRzb^)t+8X`g2M&u-@?r z2&@v}?*5#{9F4#&;a{m%?*E+56y*Ty3%`;r-~N#5hqGtB!*FBzw~d%A3NWFpcEf-D zi1GhB1IE81&~ft#H-10td7y(=X7us^@$;>A%752ztx4MrvUBSk{PPD~o+CQPHFbY( z&R}uNG?jJi1^K$B+*ZJ>FaNNWM^9lYN(-h1nv`Y0;!w%+OlfM6*tCI9z$E(05<1+8crn-!fEf(-`G zHHr7LhETv5^L^bO$N=_K{F^m7}0=5|NH(2;dTFmEEI!Kw6(#4bX8Ri_2f8Z z&`2nXThfACnpguU(0&|K_2-ni@|KsQ9 z2XaJ{ zCNqZ*Z}a{~B0Y_^m_6H1JwB6>SJC|D z?XzBjg~mOhNkR)0CflMUa}>Wjxdd>qz>V7}kPZUabgwvyR#g)wK3+gM0lv9`KR}~r zfIviWzuB%0IQZmvPXXIshSfhKkR13FFAqcn!Y=?};05-(V15XIcpd>T7y^bEf#hwi zp-7uk0D%!m(ZOcfs)w6E416FB921Z>&Ts{=SYf&4{{YmC*8PkEIB;(EGvW-s0>2%N zf7#IptZUz2D1PWM+vqs@o`*@0fejGHa9bw~`|?^Rx{+XA*TkvoD_LJXUJ!1afmK8o z%rD9(BFYa2;ysA2s&pGmZsDQ6?oV6x-)-d~@Ri4gl3RJKdb!tpZ{N0L1DB0u|8O0| zZAx(ay|u*$FvTs~DE`3j3v>#g8~pKz{C~F$Vz7!f|B~+vx3d1Nw#9$linnfs++-O1 zks)$Zq0NJj)5X@g{WY8B1~c8iXpQ=6Tij|gbXrsWR+P}yau(m(Apbwx7I9;|#X_|V zYFViH<`%A@{U@y(z=Hv30}e0PV3(~>%L<+b6pglZ!Wl(3<*g6gx@Nv!L=kF@M7c;V zGuTMFn*L|RFofi{)ha@P)o35e1&{H`NyQVeh1XIW$Uq|jJC3jng)-qF5qe2 z(64LRTe+<0`G8UQn~Z~qTzcJd|7Dx8K3|u@SWQKRamTT zDmS+Lr-Xw#6b77?K){hu2An*$HAh43ED*q6mIuruzyJn;`9WaF8u$N%)X>m0{)=K` zMVM?}RleFvwk|?z&Z6&nlGYZY&EBV#6=Qkn0wmU|<$Og>ZI)jv4cScmwIScFaIH1p zv*>K7b~DQj89%#XF59AhyRJ5PJme$*(>8o?=Ud#C55Pe12Z-@ZK{|#9B^B!B48o#B8 zlIU+K@>L{#y;v39xKk6qA@Um{UvaVF;x|Or4%#iRbK_Y=pt)>%S2c;8jqFtRAiVuLK34`2hP5-1q;`4&)nk@2B@5-*EUz z)nbcc@?QxJAprmxz}No}Fob~j5SBk{r(svmoBnWl2ZYBaiLsTzKM)qc8?^=!z}No} zECjYp*Pj*_TN(TVVZn!!7Qom45G?rqsnlaP0!J28&-!yV$z@|38MsKU}-uTZ6@~rd@0`0Q?`r;vcSE;FJn= z+(zV=bDw^*y#FLHw$AsT-i~b9&iupFPC%(pm*5xv^>DFudH+dR@C$wY8Rq4;NPfd& z(^(5ZQmErLsK1=J*gD;R5*AyRf#0y$1PcHWz>D@aH3q}g~%$b zfFE!By+!@@Cz}AhLR~`e7qnb#)ee3V7J{pmi?xHcKfQ`*@p zCt(4BtemP`J3#jv7Mr%HfL;L@w0=R$#a8X$Ct<<2YPncDf&Cj6n_%(v)Wt7$MC(6% z=Hj2dgnT`5@r!}tKYZZgpACwy=PiCQQ2d9FTl}*@@%6ODF9wSL@L`L8HYjjL@BhK~ zM79j-Pb-@L3%(E>EdIA#A%7JW{{x;794P*`93g)d6k83e|1cBQ+5+;wWLjfRwEbrz3vKul*mjm0#+rk{I zfyfl%pl`BPUmaZOz73)A)_yYJXG7+}VP6|;=YU4>*rLrra2N=ILf{&J0U8V5+*mja zh&G0{hGHe5c6KNvY$XCF5Z+cCpj*pYf5A~4NW>zss4YLWyWC6}6n3rI>qEa9w!+yO zC5i%KTuLxHbHfp4PzMy2QBq!qpN}8RfOWBBu(e}=A%S2q5Zs zqOSh67i};+P`Irrf(M8n23iXPX>Gl^6PHOuwShpsNGuS2YxUN}U^R1jurx?<#1 zR~Owt`wd@Z8w?g|14FFwI7Ao@7y8O~ z1MS}pRiJ0S-^)P8Pe)}21Hu&W4*{g>v77&}!7Fh2_ro#^;KCEHMqyQ74T1>-M_vxj zs=Xc>ctfmK85Y<+GX`o9;9cn&;0}ztTX{ZRFfI=Q#9_wK>*_8y1=p*%8(cXsE`%@c zW|{Ny4Zs-);0@D3U{8U7(A^+igfrF%q_1geiGbniQ?%w~5ME|434B1>wzyU^@PdHg zgAOq4O1EGb1VFkL4%Vg&KosDW8qi4VAND0q&V!Bv?}M)o{Te_{2`@kH*9gA2idTX2 z<51Cpf)L(~v7(=!w9=ujqF72dZlqU2G)*sR_1#k0HByGigt5c#t8v^qXCSL`3~%?V zPm8bV&O@5`B_z06=zU0B^E5gu9uB;96L*Q_ZYXrBxY8TKFP|pE%9>&hg9u+E4XYsc zu{jxd;7h}MN3ihcZMJVR2r8P`We%u+q1mezEz?9_X<~2{6RyorhJTdQc0qV9%82Y% zDc7mGwwy&8k89jrV`y)|u`tSv!J3jC9<>8GGbJBs?p+xynVLk{3w>Ig?(K3H6)wUH z38PMaS&$~?7Pc$7SkyOo%FZ2I=owR+GG9Ma_;FyYlf1Z2Q$yqUL`y+qDDv*j*7%`A zhc&+BJ(MaL*Lg%lU3#qHy2)88A$=X$rNXCfbOqFfs5Z2Sk078<5w=d`8HQFknh< zh2Nbg(Sf^vx*zSuq1JX(hy5ON@80CwkxBxjOH!FS9|NM;?n1JT?K@TVtiqlv*^JCl z`+QLD>kvCpvBGndfo{7LSSoxDNp%YZ@8Hec-tN_Q=iwtc%&C3t_V+zmRJ(XmjO>$- zWU*W>aIcY+>Z@;Pr2J?hdh?RmJZz%81{3D5bb&mM;u>#jpR@i;LsD%7xG&O$@)CGd znzZH=t*$*YcUq5wV0UPfGBM;X{3%7!;E~f=l#|IfZIy1Y(l3xtF>wWSzu!;8&z@_` z#Ga}L!8~@ME7V|l(T={W$3{i_VCh9K`mVJ?3}3}baC7FgbYvn4T_jwEIf^)0us-sO zXbt#aacnF2j`H2RmikW2mWKqhK_y8TVN}(w6r#BDPoE|b_Jy8jgC6oQ%LP8DzIwIv zfV?06^Q!wI%m%Ly6U$u+46FLm1P_uYxzrGO{zUct5t8SEk2{8FW(u-PoYe9~ zSru1xSUetTb8TdgPp7B5!13(*FtSzx)1*VOr=4zr?JRF`OSpO2lNs_8mC|Nx87;Lb z?v4Te3Uk!l&KDDC6tB0SJ+DZ~UGWSNwAIe`@n(xk&AeN{lS1|$Eo<>SbT+i}&P~&Z zVX6a#cT#LhsVh}&ZD#j2@hSVY)R@PeWzSp+opk+Q$94+zrbImGmc$aGC4tT^q<}q%~vMOe52@X3up?55O zcH$|Xgx!cAZT>m3$+4(oWb{7aig)xr&sBU9aBQM`!MM9DR;FT>NA}L6=&MPb=_;q0 zyzO^X;_aM_N^YXEd=TrfdytMo|GmH25r=c4!B9tCl!><1;Y=B=_mo~Wf=z+dp590n z#+chTJm0W55EA<)CM?bxpDE2Jq=-k$(&-1?J9@ihClyT(5B52m`a!m7G5x#nlAuOg zFsmqK{$7yxqQ1`_5~1p&v)R!DcPI>fS|z?X>-Sk?ogQ$SGutIGMiigE*Db{PQBhi{ zHsJ!=oY*(oX3&jx3M?)R8619h>g0u9{X(s4Eq4vo)iKW#!OjG7AG~CUr>uk^#nsLd zxw%w?>9EGeh@M$z1}cAv+7I0qK8& z4jd;5enA`AIQi6&OBniu&|c)lwK%m`grq7md95<^3-69O9aC)DUB~XvHRw;SCGvuH z$KIYJ0Y<)7D%2W}6mIReuGAZfaI?;ix|r zDNWmXLW4>GT70jN>M}=@hy&hXL9Q4-X)ePvkD=N6X+#Ol-jChHk64Ja+c51?FF$E= zPF||(A{lw`hm*cuzSbZ33u1)2j|SP$6Mnc?vAr*e*FiFx_;U|!SpzqIoBqjw?wte~ zapr041XBcjrOgCqYf4_5v~DxImqV<9ujYRyT~6>y#l`E;b5C=vAptF5yvGvp{@iN2 zq66#_&riTPne$|BK=xXM~~f0#|l1#~X4 z?68ITt}gx;UhN7DdwJWqWrH|(QWjH=j@$V5!#?UMGtTkUNxB+eyO~s|f|OI@U}+39 zs-v!O11?6m)wRP5?Q~GudRM6X?xuC~?Peb~4GN&qdn4b)E$4o**ImW$?LVl)?bF&RQg;K=#m(=2(arf1!DEIZR3L5d^M-3cGMwPLGI(Xqty6u+)dGRk&CX4M$U#@~T6GuPcpvgeHq zJW$DzX{3DJ#(CBcl|6-Fv0Ex;si~$g73qL z`rbsSdlrc=ePYiaA39@V)}2A|T#3%>iD+T;8TTi#r48z!0u$cM!-*c#H6eGX?v4gr zKDPCMTyMUJ7k;Y+l#62ZlR5!c3p%Jo$i|`a<}>jnz+!M_*ha9oUCre5(U<8swZOgQ<;>Q zM34(V&C)JcPmm^%bCo%CM5cksCy3I*HKdwV>O#ey9TMlQF6^mx`siMx5ujuUzk&3t z3T@~*ck{uWv~~)e_n+lsijR3IoKQY-%Z;?_Xh?u$=iTPPX(v}4D1NRg9 z=5eKcM7n&j+d~MV)C5^UM5rGtT(rN51ivtjv@?ShIO#M`+sP~>l}f85p0uywYzQ%i zr7q2|MPC#pQc;)wd_NV>KO%w6|F!WYjRg|l?X8hS!jwA?r&qZWX*zjHih;yN>ooeQwD2{^l4@vx@|kREpI=?QSr)`=gEDELh~La9STv6HB@nomlPO~ z`*@;l+rtaeK@wgqY93yCc_Z>#g~~o}49}98KJBJDZQV_GG}ul5OK{DBfW=gAw_{(z z;uJEx?GAGCh@Dek80dD|&+Id0_i+2Q^djUEW3m!;rC*^ zE@BtuXQreKnKH#NxpSq@dZ$sjj=WQhlY&P-=zZell4yJ%Y>HP(H$H)GA!}$7YM_`> zCL5g0>?R+a&OGbaL+WkKmT>kWM<+D)h3FE@fkgl z7h&|G>a*aXs*WJs&vvoN_INVo9se9u16DY#f*PATaQVcrGxGqR}G`4nS#=mIox}C-vS|Tmk z*pfOa@q9WrS@C=WG?{f8a@2kD8q2Zi#(w&Ia2faAe&fUjw zwYir>w0TNhbx*ctZtjE6Q0E;UG;{^-_?m3tPswZWtt{Wc41~G?WTI6&kH&1-G~p@X~oDtT$)B%Hp{x;<>~WSBoNU*T|6MMbhvIeFfile zh8M3l1#{7lIT8drP~|7O@6fpI9-gbUz%H1!j{{P&jV-p@o<`l0k0NH@ObJ|3=UHW_ z_yvZGu^u~*&OhR*f60FOJ>yB&HsTp_lgJsjs~t#qq+GKF!9F{PZ?ACdJCvoMoQq$r zJ`Y=5_s-pdRYO8{FX$WkphAdb)yoqT+`EQiy;tgl2w5lTFaf07S4f-TmV9y!e$Vwe z*kS-uzO)V@lgRp=SG2A^E3`#9_S_kvVA{#C`h& zVGpmP{K=TxnNvESDtm1keCN{7`h5B|!nFDr**kmTs!0+gbOC78K1+!2HsRQ3_6O9_ zd;&3vqOxXO6qlZlZ@;RBo)w6mo{H^r%#G^N7v1i4(cFb0Oir1?cGn(lO$Ozbj6mXd zS`5BTY~jsn95iyHC6MyTrzXVpy-j9KT-U2K0JT#wAv?IWwZ(MP61 z&*5dzFh1SwQ+_GS$A5qjU*e2}jpImPO>a%#yw%ZLW~{6kXFwezEv}BA9LqXJuXIef zl90sQld5$$*jA^)Km1&z4E}+C3{%niF(+=m@SJbBfRu-q>%7@g|58uqa8d2syXLk$ zGWImp({c)Ofv@4!K{BE9?<;CfwjB(a@ggO9b<>VLHIIe^l#y{JA)`Jc<3x0U>TN51 zflJ#Py%+lCDUJ>|Wt$vfDrFm*2_NI_5a*7o3FVZmo1HceyxXH}u=B~R8QVhmohgA? z>&sNtlYv~%`HmXJBkyoFHia)1W}M1*^>qLAiS?AEl!UdDo4-Ot6|d3s_B*o%N2#S)w_d)Rz;TIK@o=o&YgA+K z%w-Bg@U>aP77r8pN(H0z7SEi;z5ywvQNobO{L_sdmvU^Vb`ML6S{EcO3is)0MdRAA zkfl+W{z0;QFZp>l>kFv_C5GFj4sgPlIKYj$EnRwi877Wod`8&`2}PNOZ<1+_fTAOd zmS&3D2YHcGE%Y}}K8%|$nfg58QcvdyYJb1zmEE6^K)J)Qa-J2X$~P3DujFU;;)%Y% zw*9r8dx;I5_Rm?QH0sOyP~*A6m_1p-93PFtq98UW&L~nVS(?iiQQS21JEB%okZqT;|7Laa`B!=;sx%H{ zpk6*SLM)~woBOGs%DcAD1JRv%2%1KHyDKJ}%xHb1H{zY?q!|u;wH0P_|`UEn#GOI3^E;KULVhH2vuxKn>ykC&AX@?DA%K@(15KC5_eX)zgd)-+#f*4ncL3ga3R-3Aq}f zD*1go^Fu>DPo|Ka$Y`IZ=VHQ*dn^8ER(uaw8#)vfTSn7XijNIUHSHTI8>WE_?9zi5R=A)uBPED zmdCE=1&(X-C-^!tobEnY{Bjuiw&}1V9dt@#dSQoFZCYEUOnke~r3#~iMi-90i^)H2 zACi;ryy$tm%#p7xhVS9-?3a+Xs#mDV0kfU zS>m0vyVWjdW)xd1KD%s|8a#4I<+!^g|EbVh-h)mSw-1<~5UTgK=;=?xYq`3kb=YiC zqI2f)L&Neyn4eVyT`ay&=G;Rq`4Nhq55ymimF0uF0!~ct&{IE^$&{YJp>f((bF^#l zPLJJ9iT2{ggX+(Yh~!;C$1A`1oCtr3(FkYhC@iEElX_wkT{6AfjWbun2$C*COiXad zsq=wDF&;11$7@FmgpOVNT;MQ#b=+k)DZl4k5zEq%l27lUMTpsw9eXav2O9=Ix9kgO z3}dc1oXRW=YCDuU>|fBiTUXHfaVxV&%T487{hZ=Gi3aV{WwL2=sbW43&B%QJVY!(S zq1K9emGWn$C1N}YHa-3pHqX<_HN5^ds6M`_#{T{+Nju6JQRdquD}i*VSy=)#1&ex~r;x!RMzAaTT1lQy`vY<1!vPa2Zlq6iWHDXoSP=OoJ@K=U%W6e(D7%q8=aBXxo#xi zXqKdRf>_sSXT}#&g@@;)Y|VWJdRW#Od zR5~(AUD*$oFgkS&Six^u&4<<)pV@)gr$KPoNIYjp@2-Fgvqy~7PmoCQ%w0CF08apW zApOs@N_a9Cj2-0jCw=GC&Nq^I*K1MqzR*4U^nMbgj6zUVywz6WKIh@q-P{hE2ye3@ z1=i@7)8|n3Qp&tjq=rd#0gzWgo_9D->^5>l_u`?+ZoO(7NEr}la?`3dj8XvU4V!s+ zc)Ij-8@bkuh~nRANi4JqzA@OoKWJ{|G`fYv;QfFLWt?h^Fw-MfYCY`bddWMa9KFX# zAW_!$>@06EJaMy%xX7*2VrdXmw`=ee#=m)3o&@2Rm-=Bw-oe}bk>(r{@41GCvh6BfNhxoF&sizrqD6{gJc%O~HTG3KRP{>YZ6Bm0kX#C4 zCE;fFtU}Yb93}bWo-&DnLSN6_xSS|bvH-T>FRc}>f6b2`PA806WU-ohsJz$k31fkkvnl?%C^5&fM#1VH zlPemJB=GG+_P2!TmW7$VBA%(1xSxJwe-WJBopT<>=`RpmXPr?CMHS{0p4#0asKk+c z;_W?JZhxKDfP7}VZOkXoW5-Mb^dzWCtf3BeA=9k*0=sIp?r`@`aGeieqMO37o;%i3 zr%Y#}pR1G{=M%7o79&MPLH!j-F~KWTC?v(l*X=x-}6pc*w#e+P_xTlm$*<>52>gDdViSc_3N@@ zJT;Wnop}vq0*Y7tQ>;c_zX(#Kr0%GE`|y?VOYYsDL-zI#x8ZY2>qu2im1Y%}x(Ei` z*^cZt_1pn1!H+aJ-|)D6S7eC)jK4rDxky`4WhArso#UOpog9jnFCg5{8k>1LiRy|T z@!~TJlu^DY(=)+aaL4L5a7ky74PGp`v{kvj(_AM1cSdi30JyQ_a~i%II2H&1`0s< z`8PTPZ=QT*^Xk}skG7N3Oy`1Lc@%9^-ew?}O;=jS+~aD#myFUdJaQ(~7RCwAHZD>K zy3`KODU4<~dn>R^N6#olLyw+^F3Ra$UrkBQ{17-o@j_chc-R0Ot*b#gutzaHe%Gr{ zOqwU1E|v(5Hw~mzK@IqdmFJN?sc*&8CG!}y-7E#}-+XlKz?HJxPZ+eehDP1CiOgP= ze51=O;a!K`GxHxAR3Oq(09&6o@Ho8P>t?>&(|Pf8sW$>c`MQtItG(Gmb6w#pLGnsA+RrUuduBv2Zn^peVbm z=9)Wkz{-3{TqL0AOps@iPxYScC!NV@X&UD5Ws6WwxsL`K4-=7kRKPsqheq6AtB&Cr z_4z!!K%Ijpz*SN~*7s3mRs-ewl$&`xm{}*2=8BI=6uQhMy0~M=g=4q3hU_lIYZym{ z-E3~6dxDH$-ldp^NrJ8kJ-h4Of`afZ=gPDq-r&Hrf`&;M$qfChS-Z2 zB6U)IMwugY8HE_Of$3Bb?15rq%o9G%9JwrQXF_g&DNMR`3{iH{z}@Y^6T`R7{l-^> zj8D`S)>Kd!q&KM#_4K?DBjJ{ycpHjT5t2pZnqAt{*;sa)m3+>ZTHbh;vy39!;rVq- zv6hz>UJI9c79tI5Xb6XNkDEL@6)$9EV8%Y>s}0V)J^76x zALGhC(Ihpzi_vYPIb($R?B9Od+}$m2y9h6DNQMn-OZa_qQr*1qQM2smM`WQ$2a>Z2 ziukkR#D+>AM;>CD6~_}XfPKT`9-fwh>6WA z^WEsRtqkQpsjlgqtkghm_4Wdxm2s9#MUqg%Vph}LA@U0PWKA+DIeKwV^@M2O10D;r z{*zGA>%GXqv)s}w*5lG)7X-VB^=NHmMa}G{XUCgf`d8RysfXtrwJ#eSLH6ZXdOCJVP9voCd^Qy^hK=`0AkZ>_{_HDG9ZwoEa9V75$2OMA90&dq@f9n|$lX2}z8nSp5@vG&?2PZQ7SBE!r={OPBW$tU&VqL>6m( zYAwn6PR3W2waYa&_vf3}r|J>YYRKIOI_XWr_R)Dkvx9OKo#W(Rd|pzi`gRY*OC>Jt z?KDIU3RCrHst3H?gA|>9PB?V@iqNd=?MD`bi>?kMulgiTi*t=t)?5iNpK5|JK4#7^ zrl+m1dY5{Y=7|CCC+DM@b4&TAm;!J{GRe%CcPsFM<|#iy89(@_=#Z#S1~pS*9ZoykKs+^u*t zW>{WqQ2>89C)1FMLmF>KvsZjVR8Wat=(z`6K2}f$6=A4NA0^f?@5$!^Mx~k=*O|HV z&x^z*(?7H__xYQ@*>x0pc_*3oou&h+fj)F+ISdr4Ikml9j^@w8ggmbYSoislzINvd zOC~%)k%Nx1U?}qmoY<|gAVY(0EVsUg>vv>#m zcCfIeuKMc`U7}exA`mqC7%3xLqSj%l#cEcJomRj^f$Cm(ntL?q)!3VgM{_1Se6`>D z1RGMDo{Ez03naJ_=?X0_FT_i()qXG^W>a15olU28;Lo!SZl+&MTcdt&XC{ zPpCWarMYWzMvs)U)5&74aalbH5h~y|xfqdz>_Kxtw{xf4p7gqRf~?IcVexRhXu3P= zNk*PS2Of_KvG<6-tuZPSEZ3_VKf$MzGx}a9*=nRG_Dx%%rGovAlxFeHJ7Tv;?Fv<{ zjUSo3c8ZX6cwTZG!_Hyvso=by27KXuSL=tTCG45P@#=ZWmKU4wA9|@>mML`;>#3*m zD+;-p;e2Xf*5|fb)X8%?_jd7$GWJMFUT0#^p_@%~Oj2-*IvN~hyI_bp7hsebF?mTm z$XOF6aPllR=wLjSX>qN?7=S^SNPl>N?AVoscVk0$Xt;5 z1i4Q8C1u)P;mr!s3@4oG$eoBtsCp$K{XQ!7vQC{#R)L4U1W%hW-ATBo*GGq$Ijo0Q z8)`>YY^j^@X*{Zy{ggBLz3&(qdL^iNcKC>r-H?|SdQi#8tEo8J7&W=x z9&Ce8XI&#Tjlb<)GR6bmo}X{eatAsEa~1mdk}opOjYBFqu7F7WP;$EH+y2102VS`ww+iMSdJv~ba4GD*lb1AD^&a}vr`|qSmvLyGzeqEka**02 z`PIeuj7-=;c7X`&qbnsrrEHxvWUsZT8)oH2KVRU=mI=S<*bozOb6>BEP^94l`jFat z5h(a;?GAcs9Z>MWcdUttI}On7mZbzwZk!Mks?+AYT<4S@70jn^E8AXp9Q2k;c>WV) zp88D2+g`j~@Get=xZ0M{n+rpZ#oJST9>;JU9owlx#Km{qr77E=)yHSS>E6RTKJQIA zH9d$6^A%0^4Bbv}^FDbxG*M{wnDg$EW77>#-;?`L_*BW}#J6h$&PUikolDFovo?Kd zClMU&L#oJGwUm6x2y+mm0ShBObyDd0zJ5y>(Vc^l-Y=hJ?SkwSYey28W}ONp+ELHD zcaJfDrfS%GXJNx`g4r9#_%f~H%@_nnV!bUed0;&Yl4f3o)6&+@(r8Rg z4vLjXGdqT8S^5!}cHbu+Nh0IT6cqGFH+uK)Cg8YhVj3ZY8a+amBe1{pi%Z6nf&2*S zPw7Rb^nJ>5W15j-y_V%s_nL+5-OoG3yk))?cDpj&@YSU9^qYrX9x@S}ku@xo1p$(! zQLwq4lyl?TpSHi`56`?zna9ML#VfG$NN;%sof$*pOM5?y^jsnZQf6g2WSYVL9rW-Z z!t*(Pfv2-dl@#?~kTELV)(9!qyB7UkJE?lQkws;DScb=;Vlw5EY=^NSYLisnNr^)6 zNVvxixST;DDJl~FUMrFF!+gW8j|*DYiTdJ{4g^!>GjZO72y9n)Yryld-0^r~U~3q& zJSOG%nXY~3c8p)jrlD2IsB}9>QP2}ac7FUOJ$|xQDevhwK^^IP$VlG4S|q$2U^Y^% zk>jZMu{cau!cXF~0~vLOC`G~T0Q9SaA z?t{CwBOACSX)%xXHF7S@cMaO;GMd9@rV{P5wG%(WM`g$^3hc#KY>jvEyIYz`Yk<6! zwtLqVsO{L{*XL7lPd4SMvf$mTlZ{tjRTvR|e#(!?XreSg(fLLAj+n^i)d#cH^_n=$ zBi{IuBHrQy(U<}qyZG4wtoL~4M6pido#MrYW8I5dCg)iFyNNWPI~qCHlB?2*Kwu{w zWApA59CW<-{>Vu8ZMSykmb3=F77vS?@0ZvzZ7}p~o>qR!cPoQ=f7kAJR=Xl! zIPGV24AC3{tM1s|4N-+ihwUWWM9z6+M=ACpIyM2e*o7Z>ZU z%epk|+wtQ1Dfjn&w7v8skCPJ!!$4)l%vaMr=^z>>IIs#Q=no&Uk|Mfv_sNFds6-T3 z;oUqW7Tj?6P2hA=SZL+mSzcvv)KzBP!C+=dh-{?if%ZUV|L$N7RY&&Yr?DqSk9eb5 z#tg}jb&36Sa~DD%@Hy5_JbZVEOfTzph;3-|t?&@R4BNMWnY{2y8NZmA*yETp#>G6( zJK$)05yXv<`N8QDT640(#vymoA;i?8){}a1^FF6j*>YKzN@SluL`?B>dJX3?k7~sX&QWzPxnt}XC*YsQ{vUf^0aw+s z{ZB}jNOyO4H`3iH9J)cer9qICkdl&+MnY0R1f)T_8$?P#TJe7X^(uPbd%pYrzxVFF z`qAS#d(W(yJ^Q=Yti5K{Gz2wF5F7?p?ptzn6CBg}yBAh%g29mbk|m2RwWDb8HxUKyA8!SM9^Bnx5KHUXWxV z6s#`LI>-hux?8v4*e8p<-E{dFW*Oh}hBDO1IchFNM0&svK?;>)vrhWwLyJXMZK1uGIIG~NMFm+?e^B`%M_s@&LYh&trs z!5^CHmuD!bgMBp|${DN)}}yeD0WOw6HtHz$HO&RZuxp48iBXN$H&|c)1Zmk3UU*MofYeC)HfzwYu((ntP|E-7oVb3Or)-)cHX(h z3k&C8 zm+yORDDHuxLZgAgu_K8QHL@G@OfmvCDye~1uFk0yWQtg`S|e=EiKbh_}7YHV<3I!=i9>J{YD&K0?$5ID1Jib3U`JC z57x5SupugMKIXRGS#NuHMpI@Fp2BJ-807&CIsReU#IBca81P;dr)TA!$Lg)dqnh_py6hYJGK1WoMPN zoK_eci_;JXkM1cMBX$MkNoBOCXQv2dD<9dETC83(^`P2zpCIwKyIn0`6V&J4dE8of zhV?Ewp>a?jJgt=s9aIy3?zv1M!&?brG9eIn8BI7M$5Ma^pfq5`C3HqkOLV~4bKBWX z!rDOBzy7`=QEWg2|58SH4@zM!nHzILPm`|wB1K4az->?HYT(iE<~EN}h(b0`2heu$ z@O$!$(hny3iD1@fsy!K(YMWEE1or;)AaGSfWXsgpG_;zMlS(Af!oLd z$>oi5_Hl3PNj*71o`~F>Zkdee=hF$CWUF?xG{@=pwIoG#tan1nm87tFYD+SJ!oI1~ zk~h%iKVyXji@P=R(k+woC255zk7C8TXrKqTXKE8Z2=$Q1jhG?@)rHOCu2d3~>1SAt z>KZf9MTpY3sjZ)4wQVa59pxjaNZ3Qr=tHsP$WTu;JqUn~eq>`L`_#71VCQ9TR~N$V zEH~G1Xy`yWQ8gPkI*yrz%(8tIl!+Rn$S3K@Q|$6JtR0`ye8{T785hI2{bF88m-T=MRY>M(omNjFxK+x44+UR{f)mWGF-UlbmLXN&d zjb^b^JP!sh$1q-G8?By^N!{idwJ^FMS*#gNussErfv$3CQe1y>+S%Zq0@=lk9-4G) z?wMJ7Haqi3O3GcQb-102^AJBslZ8%J)B zg$;X_ll9l{JhcnAF}gC}-RYXEu}vN&E^L7bw$8E|p+?Lp=amFV2=bTL%PsaSxKuwV zP750br$sH;zUOocdw*q3SgQ`KleC|~Z4yJmua{grqi;16Y&I9Sus5M~ec|@AlLpRY z-5!%=N!CP}u`?v@lmT|%_{}2%b$J>-0u*1GT#rP>hJ^4ku5=!Iz6KPl8gPj!ZGMjZ zK-Likv?tzeXa2qx#?;ZzbM~Xjy9}*HaLu!&Hsj2-2&`*QydG;jd4HVAKOys>uA;zd zc^2#N4qK2UawYqj4kj#b;KR1#iFN`jv46gztB?5gr>MR3-NBR#E0pc`eAwIQiigFMF7lRu5`0Xutdyb{8jYH2rdcDJVTl?uRp0>v#w>x1=jTpTz#-K zXw)8AH|Mz)NwrA~9G1AYJr^+b4ucoojivjD@)wa-JT6M zX={__p{{&lXzE4VmAZFo3(9HDvi7~ABxgty-7?VKuf&+zNEMMCU*B|B*S{{I%G9+V zgUP;g%?_%q=SBUBOhHmGp1CsI3vm56({|hsCXQwzl{h%r-`2Jxfqvui*{fpcCV< zvG0ID;|r(kN^=AUE5MrK5FE`BslWgvRpIlgqBgr-Q9v^^YyD}2kQ&PtbI-K7%W&IR zo_<)8Bz=&+;(Eq%y${~a2rAqpJX;}hn^5}g#wI>5Vf7=?+=9+o(U>`I{^c2MAy!nM zcQ4-F-AU8fB70WzMsGnqS(+P4*OO+-Kcx=pSdVnWyZtcIuJ*cx?v%Gy4S|Z>F^Ko6Ju0X7E&FfK4uJ8gg^(|?&7ByDe2X{k8*X;Yb8y+P%zKp)vXs%yc;Q<-^ z+!-HLp2r_8Z`xsDQcmQittcqVGL2~zSR79#`75e)Mgl0o_iy0k9&ARCmoniIRXPz6 z66sf3W+h?YKJGM}^tA9$@vPd;aIM`btqSFqf9kDdjhSXkZjrrB?!1JGpmG-qyfRbk zl`}6ogXM79r9D5L1iA`oPK!4ba^_rOdz;%?gZ4bhS=DhhunY}DUNCkrfAX;m6 zckyb%AoFAGSo7Lw-RMsy63sv=gR7)ju~VM-BJacv2Bdf55u&W25zGBe15^K} zPB#NPjsD$mQ2sU?6~^ck*9x|>h!}zE+YgRBXTf)578R}0oF1BcX`}*sAT=wy@luyn zA-gS0=rKfJs~`^s!h?f;X9RI@s!_)8#$)lzco5fUW0U=BqMNgPN2MQzJ#(hVX57r! zgp7bxve6gyT!tq~w}*Nu%H0aJV)!DsISaIWx+Q=uhvd<#!sdVJCaRdvn?;3d?CQL$ zWElXlK(c;n#`;q1xzACpIX~kxV#Hm$P=xN*5Cph(Fr(TQXe-HfG2Jp)6Y#<VeS$RZ6Rs63 z$+4Byt|bBl8O{}K7)tD#9BLk)04&v;EWMG!3nm8fe za6J^<_eH+jej$G6fp8^HUd{qlz90>W!jj(uu%bi#jAaYGe7jQ4T=Hg};|Z=p#!X)o z2$4W4q*zK!Duo&n3*uBT*gYmN(Rofll}A#OMP-SKgiN?rvCkaVM4k^RmR44j)oXVa zI(arIRD#r}NvQ`}1$`Wct$VBD7|DBs!lU)BI}1yW%7t8GsrO;wJu_Ls z-jj(ZV%Te4RgKT&I+E(GNK&-$s`#a7N{Ysc7<%TU2m!N z7T&Vhv!`2wGA=u=uKQ4aG~ablQZjiu*?9jg+jzEUc4G8U!jAp&X~4&v(9j9Fp{<*i z`O5we9nut*+>%4#YdM=&0l ziESAzrU=s%wOyz8OOR~ls#=o<`8%CAXX2NG{>w7Y_~T1l}BG|5M;hZY`=PRZ9ry0i{bhUaY5 zdX|5vZ*ZHM(JeV-5xl$EC+NN)6ByB8g=o^*qA+lhP}gn^C>ec>u$r61LCWx2GB3_V zwNcKZmeYRRUTqZBN~^=4GIeQ9;NdI3na7W_=HD(CuzAs9u}0`T38+^JIzYp#&BOt-+7OV)XsEEux6~o4GOzU=P*U~WTzSX$BJ2PfaTQ_#LQ zV2w1q>?L^j<&uiiFqdksu$|}KmlfC^Ho?QPx3ym#K8{M{i=Et!O2vk3N(dp{Q0cSQ zrPSA1kM&NT71)SQ7POYssL)@j^eG^|7owz%*u|1l)sfOD>qO+2@Y9HzzRFYsTDk>CJug#93+0XIHB zc+23%D|72ACy=)b`Y_pO6QjiNga~SIEQQ@D4{zJ8a4=Y<9X@X&;f@~)&cRQ;Rxf@b&`=siAQx2m5f+xSh+lm!*Uj{5GQa|P5y_Zf=?T+4! zDRd*#IXe+j;n$wo(l+zJJpixSpAYR;vccz}U68P_yY{@o8RU(7za@OEXLJ5@lZER} znw|U9po5}C1d_dHZHzj8762vgj7&8@vsO%h*#b52jzTANJLAYxba1l5aWK+lb!ip- zz+z30rljyA;Q!LM%Bj`u+HpB=(E@tVneRuebAo6f7Gl!VRTULmM|a4L-XAw;o@kXy zWh^&5<>JRAhKO{n2Mj?i5IKclNKy|NY&1&h_Q(!xgf$2JWo z9(IVVgpoj3r7{Su-`{IRW}WO!NRNAbyZ}8}nat33P(9{(+q~mokBpX)Uk?|c>bys` zgu$(6|6I+g8bmlcc;YC*jd+8ttwoPH{l>{u`qL#KsRT?hFC+>X)Tq1;6@3p_0BU&A4j%s9DBDX z-leCSkLN?Svz-L4A8U>8xW$qjExe;fRGo8Z%PS~_8UKeLKkPbbv=90PRHi%zdoLDS zAb7IMDdcATkyxehP6Ud2uA`fYTi0qqkAd!!JAIA5NKgX&UP&KIbVdt?!fo(P&`zs; zH+`a}b^uNf-`<03x7ix=h8%Iix;GPV1za6)rypJ4#W_8>uZl#*qsMPe&7R1Rzl-Iu zTN-j~qtfx=4G5c*Lebos@#ayfy&=DE%l+pVD(}(W9vn!iObVKwKfU{ z_aPS4xH%Wu=-UFFSvuLx?iA3Ofr^9y2#%s6Q(b(ou=>Ba~36xo_tq6?d3Es-KFi;YVCo6;wk$ zAr);jIdUD{mPR$^2J8TLZ`b~`XW0W)Z!Yx$3U@^4KA1+lkM>Hx)ck|0mz!GMeg+#`TXr1+V7q_}YNR8S*bM2`5!Q2w6u9x(P; z*k?g^qV0ILyy$kp6r9VP6iwfEwu_nxobG+7_)y92Gwmt+V&tvm(_jR&j^JIJXE_Gz zQ;|8KZ!_%Ib&k`ARPIp*-8i(pvu|iHW2c4x%1xf?2=yLgoD$={<2863ArexUjN4)Z zQ68b9l8XVELWl4$87Q8_!W@nh?QO3`yC0cKyknE1(3+r24$)gbkrtvrvBDG~A4Fu8 zBgeLvM*{vvsLc&dzke5cI?9{?hXjS`{zC#k8FFBa#ETk|C8l z5p@_53m?9VGGbQDz+v7rG&CYMd3AA8rj7_@-*U52<=WzqlTLQ3!l>}gQg&Ts)Yby4 z55UZD^>svGYo?T?j}Q*$HD%GYpY>sw~1)m2q7V(}83HEVEUJk1?Q7#J=C_l=M% zkr#MY=jIZgN^Y@gf*V3aD3M`}YRLNG!{THYQV4}QGYl(oIF#~)z*+04g;O*+%aZdc`gY}U8FF(Q%NF_8+H zmqy=f+rN+#DFEIT3PT4dc|b?$ESo=H&QPyJXEuyp>dU&#)NTmR>h-Q!zf?!e%^Rz% z!>UZbow)DC>lF>I>z(h*-cy|3>k!>rf(uw`;;7sUDCj8KUf5*Xy+IelfGlP-;?5k* zW;z@SsE!#ECo}UJ3Y`(x+(4aw560jpM@~}{Kn$H$3XZ@&hX!d810!w2Ob^gJ`7q?` zjUP#YSu9IlkllIj6;B6sFO!Df+t^-K4CbJQxRFApIRNMui_lni%mYT+XF}xA(}tO$ z>@CDNN{&$!lqbMBQD}gkrYcnJ+RbFZKx^noV!!uLuzY&d_@g)q_#^Ul9i6>^%$`z^ z!&B%-EmchpZU{q}Yt>=CV=y zts!OVc+2OWqW0;`K(8)t^c|D%=&3D#*ej%NEOSjF&kA3@Gik>kYb}grJ;!~`1^>lK z9Ngv%ZorJ@yV~8i!)v;giF7ud0tI>$+vF%6HJ*Db2n8QSR4jA_A1@wdZ4P=ai7=bt zj6dtn1Fna#GP_%``m`%on~#EYjJR|?YgA}k$rfhs%linn4k=?5kI|G=8=zxxRF>l4 z`mmd86y<2=&hzbVJ!U8aWx`3U=TOf2O}qM)gwIe!;S1ZYWk5Y5$mv5Rhh%FR>PC#5 z?H+gqMlX-41;XQ-VxnT9PYc1z3{L{4-CQf#?uh&|-)vaw&Ij)~QYkW>H-*U`*a3mWlCq)kf zYeBgzSE2McpE3YMDxEB&sd1ZSM)rxvD&NZiDasn7zICj~v_v-(6ZfN)_lv4h~lH6ZsoBx%fPe9X~3}rz&nPcmjqzGh~hx!;bH_nU(NUskMg=X?x-u zj*@I}H(H#vsgH|?){j1{SM`ly@8EWFAAecU)fVkMzOa)yU+A!F;m0?FjQ;X|RaxV8 zyOi4+M4QU=G@9)x9v-v@0oPAxm@G%}=PAwB4c%_BHa59Kt)Ir5m>rBE%k-zDHkQX? znoepD@L*NRDH}ZBOfhM%CvHK*>V$cd^)iY)=ixm?l;rgOBAD@)Nh!vd6i5R|f$5Yh zz}=F*Pjr0onR_iUHk0l(8BsQK-*=P&xJHb^+%h+o5>2@^E&5`3tuCp7-z~LcnTHQ$ z2fSI5yL@cQ>nUUO&c4%LzN@%F7h&a4YH}q{S64Ga2`bG?0UTQzSWb? ziBN5cT|UKOhUE0Mt`2}lmr1Qo=+LezN+nf=p~~qJ@$0q@Yhq?>^ZfXP9{=^=uBQm_ zG+S)>UiKk@X1DbSz|pIxPpryfdkWl(!qcCzs+>BOBr*vFmM%X+PKlVOweL+Rf?TeTM(4|j zdPxSKm?z7mJ_>_jE=X?}F~JGMntb$~QClD#vqwPLttyl1&7bn~dG5@)9zMlDDu})Z z&4fioQ3SGquWqBbT%O*_ZyAvTcf$Pw{gCA~t*G@brEiwSIOHa7Ewmy|OeD@w3=x8D z#Szz&3K-ZW1SZc&D(|h)MyOK8n>WouMYWmDWS}4b3P^2Toz7Y( z=)?W!7U2tq*F~A>_w&P4Z1Xedyq?@NM@}^J%J*G_8mkW1x6QS^X4zhAoJ^Vh+!kt| zUFw-1YsSpYNbU$Dkd~zxx@NbLVAxl&Q765vq4&a-;+146;pSnnIPt>QDo;j?Ya*}- z#A619$noem)`Ja&1^H;bHTe20*8NBkLLM+Zco!(K0{ZrN!VXjl^23-C!4!xg7wln9 zHNKmbQv~Dz08wO+F{(oXshqzRwSYMaOvFG0SBCWYn2Nc4b8j2${u7f@joFVdMMHQ# z-VlPETwwrs(gBATzV~n54}mSq@sUK~XN5it>rJkCy1*Dq#_0)GMoZ59?lxrWvNNC+ z?kPn{4PGGMVD+H%Ne3zs!~_u2mT)AuKym*t{!lyaCPiS{q&$G){$#FQx+Go{MTi-QxAC&^0AS3q?q+f+@l#0>dvs4zMKL#q z1rX$f)H3Nti8^)3({J_9Crn~2)7U`IP))*bjW^T{fWk(b0j%eW4^n-D6kmO4-oTA9 zZFXY1L!5fdh)P)Ke^cA{eXPY;7!jjzesms7nWf=~EjK+07pR=`OY(P*iX#v|M!p^k z#aPa5F($j|&FJ4aotnq8fU_EtqyMZUl~N?g0s}9!uvwL+^W~i=sbq*C+>#1`g3h3t zTNV#0t<$1(8%ZXMH>cDx9v>h+f37=*)V0gUov~T*Zu*WLulgnd;J|D?WHMQvfKDP+ zj}8BpAUt6o7>&R%lT}6>0~2YX?jD+W^aFRN9s1nF7o zH2O1cw_x46+))B~`gqf{5*I`yL?mQsXZGaB#8HksV;y(;uwYnuKMHwNF64V?^k=w% zXNpS|&usV-_>fnHK3_Z@cosSU|5lh0sF!1hv_FE0S@bnajNi)QP3J+ty{%8bx3$gF z#yQnR;R%MF&gbcQ$mdMpv(TYW;=L1Ld*JNR>7GI~L{hPXTaa^#L^YH8q0E!l9Ybs9 z!-E0**N^HADln@ivHV!V!ZWm!pSIn0dF+98Tmk|PLNbG`_XF$ff&lf?d@N&ZW9n!| z$jQdS%%JRK0AyA+X3&t8VYr|t{Y+E)+qBFp;0ok;wKR4lG%z+bx8Wn+u4^PFG&kZS zR%erCl(iEvHZzxSw>MUHms2rxw>0E3A{O9><#pu-5*AwnNpA^(w9YmT+^&4Y7aYml z!0+dW8Hfolia1*G5euD@ClhMODiDg;+8YzH(X-GQG6KyQc6NFuRyKBaCR!lDDlq(m z5%`y#j)|3FW@dcsu1-mqms`pcKK#u4y#KA5uF7z%e7c|#QTwGk|Vwjof zfFmIB$q;fB7c@l8+esp8u}p*^cTtJ!hxl^MSxp&fu!LowzgLMmsc+N z8fk79ZvBtzI$S&@z+%QW4nP)fAaH&tN6g0YUy*ap zZTv$CK%9vGV*$V`_=-sV99Y2X=9W9B7k1#k%!2yWf!{j$xugWZLCxI3+`!6M#U5be zV0X^*Z0N=>2C#B4zCg@*?cXW%kEF>v8{6C2o7*`4uBhKid@n~9;Cg-q%I5CIgy%Hx zz-~DhpAR`7@n`DkuP^%?AQv}{+l8N#o{jPR4==;#Bfp9Nt(+M@6OfDln}TLvjpJ_x zoovh<`6X=(&4BM!pCn!!`c3GUQTnRWUy1rW(C7Eq;j824O`KnvFu?TNqn}S$ng5HI z4yrZy9sd2Q=i?5f=r+C_dnHLB8990t6|v7f z_~!IgDL+nSa6UTc0Qt}1;QWa*001*5{M&H&(T%+T%hgNz_SRn9{U5acucAW5-s$4$ z|GMWt1qxY!p}np3Im12S`EzJ%Y7ek8GqxvWrDvjNBV=M=VqstcW)i;~m;VM@4_&u3L`)AMF7o>tMz93zC6%@bax6%G#{+lnSfnWZe+2c$7^Jh|=`>Q)Iasi895Vk!2Um4b)v_P)Z{%Or|rT$OqjVrbPry&8#u z>u0kC16Vm(m^cA+%*F=HbgYc*06I=4W)nJgPA(HBmh*JM%m$z3{>m0C!2hENjq|9@ zPZ1iQgD?m$^Va^BE|%$YzF1&_+D~B|%&d$|9KYf?{<3i#9Ner-e>9HcQpO*RX> zuQ(1yhRb-6^JTVQaUAD!H_$jc4;=X_B;sFOqWcxcabntK&}6y_vo))-M$SC`Rc?^hBI8Qz5R;g z__atc&i#40->q+ctr-v)gA^AYhozG>L;D&-+}ahZn^E; zZT>6N=U1rDXBBy=A-|buKCis}$=2QeyXz}gTK`GCai#YEoYwdn5 z{67r})?c9b4hvrn{0Bk7!bK0<49&&G`DXwH^Dj_*!N7N4{)3=k`UDED|7B2|zodTN ztn|0R#dqf3|G9(fin;nfIf-zv0g(ax_)~?1UK!Y*j0ojm`?8I_^!}5;xca>Rw0&`99{P(}7eJ#zmXC$=&xebv z)B8`tf`#J?Yu~@;y!dOw0;nlu&tFjgbn4>j#TFfsqq7N1vtE*E{ifyEcw;@r&m8~L=ZDx06y7T*~+e=+Og92ghI#h=Z0abRp0ytVR2zx{MmdLe+(9X*tocgtG^g5 zF5X3eiKzZ=?;>2EUq4vCt<HK=BPIzC>L7$w2X64!F1is=t_B@g?5kPX>zr za=67`8x&unE&gPn_%8=r{Ix-G?)3f}?i0CkQ-9i~_&cnHoWtVpwG{G4QSmoe2{{MF z-)kY{kAmWFunuw#ioe$~$R7p8RsY$4^ZnzJ=KDvqbHFHyPwxER&&cC|sLWxT-hj^>V5S2E3B@Wp>i@_rdT^Dhy}+qwYrggUsG z12a?pBP4t)|8GGeZ(zd2$!P-2(az4oLC4Bu2%s}yVg%3uI9UwYxLCM2n7J-9NdF{> zJeLt0Bd|7rj?07{D3{aFn2yWXkOlZ*!pO;Fz`@1J`GuVR7m56jN#t36L?VAq8n5&f zi9G9Xg@o)Kg>7B6fl8n7{2x2e&CN*2!3F%(We~P9Ha7a*vm!vs>+^?~kcsVFJcEe6 zt=;bymOanF`@3fq&U24D5;8NhUr73#=-UBEa(|(nmF<8@q|bjSGZM10a(!w~nd$t; z`LzJAjp3%NqqwpoFsUuDocLuqjtgnxm*qG=l{;tZJ{QHT2b2ZG+eI1RFQ70s#*2Ys zP+>Yh$I8idaZW|$qEX=Z054KS<)YDZs{ZpI%!FJQT?V$VU~g-vZ0xAbpdc#7pknOm zsC$0M&e-139GF0ymqAv9k&x-4N5H}w@&*>hhUfhdvt}gZyyyf!@DGEM?fE4TGBN;j zT00p!UJeX!h*=p_%$%$Z2$>l#u7g3v-rV|khx0rGEyH;h^{;OE`Ivo5E&xnc?PzSz zAaqDDgicDCPsdK2S z2I|b&v$26#Qx`W^!5o3&nLMUB35Y-4KXshEyZOQfj6<06Zk_J%zRIw&eA-OW^VpQs zRB!y*TgZKjOYh(BTF8hc+#gswiipW0RQVW9EG3>RurN^HbmL?A4KecaXj>k4T`%q! ziOx+y#x$AutWy@L*4CQEF|gU{7YtAz;b+_TS0S=DLKoVPVGcLu3f*bF-Wy6TptZM9 zRPhMy*U!8$Ebvc@nAlR4Ml9r^f2Ox^zu=>T1&?VQLS?_ZI(KDqvio+cb0PpsjSA(c z9V3Y2X8*pYqMIU=GJ2B#@XR{{2dxhpL!ey4@gp%2C0uEBZZE1LmsVb~5zFx`-FBMk zsa3hIGdgdLdpvQ?`L!8GNF{DQnYCBFmk4M^cn7xS(|xsudf&e3Fu_xpT4dLv6sf?i z<2&RZF?Hp|M$;4>a(P-QCt5iZhakkQI~8xuzLZoa*v*KI^z6AR^R-fVYPX#I(0 zs_Mu-y;W^Ur&INV7kA=KIYv1`Vjx#nS4A89oj2fcG8|J;Hr0-e*bl)sQe?yrM{GJf9zuN=voiiy!f z({)r%Ot}rbYyR!l1HMAofVUn1eRd1Y;U$k9v$V|SmRdGEve$gDs_kzrFy64(S?N-XyJEsvP{`2>9yE^wD6no%Jm70CAYN{Hq%3GvgzQ#N{0V z%cb4G_da)p?tDBFFuZxXk*-q9DM=9*K^4dUu^4UInO_iO(J2CqUQ#J)mx_GeAtkGp z!#eFzfs%r*5+)TY(-1?_?G4i*U0l2> zym_>UV&ryj;t!45nO>o4(lQ{vYplAvhB%4BhwJDy(XZCHPuw|}u(qQJS+7q*MFE(% z##N>A_^?}heM*AYjQQ}Bh4N9Oy~ zrh7@-#rDKSy)*A-EFnC`Qu8Zr$`X~RiMI?S#S4tlgt`C^R9|R(R>c`_&r<%`vv+nz zU^QuH9+tW%X42y3(dhAq@u9bZYlq>WM=;}9a8;igrF#Xu&e?i_D%04xi2&&f*Q*r+ zwdTgDr0Ldue~ov9NGPkVoU|yoK6t5TxU?AjVFq<9b?C4&FF42wJ(tWYgC|Z-3i=x{ z-jJTQ2^Fb_G#+ftL}2%q-6f?4n-Ni~yL~Nvn;FbX_q}Yr>Q8(|b^`D;P{8vZsM%hx z<5vX_a(XTbnp@p~Mk+n9Nc}N{VZ`dShGg7Y()ApyM=7-fXvX*n{Vo>P(Ow0ZPN7@$ z;o`;7! zX_{h}Jc~sxDDWQ)9L*Ho`q<^Yk#?^VgMKMjv=yQugA|+^U!(j?%{_FE*#{O;Pr#a#>1KP>(`(@ghi-$O=NmIJywl;LM(+IR{FwPt(@OTLD8fD zEw+CpC~Q!*#i9y&wbGkDJ*|ATdg5&gUFUULM->=Sm!X$ zgv7Ne*)mo9PEdPda^Hn*Y@qr|(!KRQStmt&zoPia56;$u*3#;Y2R)Qu(G85~`)8<` z%(z6;d?0xWfYi~Luu%0ZY?Tz`aRwQTr2gSsJUb2iF2|su_aQ<(ha{%L%#(?!XnZL- zPLN5f6(3T!+fcOIaJ2WXb8W3k#evIPNaQordWclkhm#TtOwngHf`|qZESveLA&7wL=L`~l0jC<)o}VdvYuh| z6y>w8L84)<^Bab8OqA)|sbOIXcJ;r8dOa~^Yi#s}uC5_84|^}&K%XaHQv8I{%^uYq zYt`dQCfH+0A~<(dwK_#YzxD)G4MB+;apd<|R+|DiRmNhtZjBC)*4^zm^6^{W#10&K z=oLeou*1r9jM;DRaez0LYcu-(Zin4RzOuu&78_5}$#z?q#V~q?8{SbR3XCs>9BZMY zk;SW&a7vM5Ym;#@vZP26=Gu4{k<`av9S2DhtXj?TzZg)tnP5T&V@h4Ci5o}FsD8hx zh>F#1E<6g#mrj@M%r3y%XbN>y8xsU=6P9A^t|fc};y5>4*3kn`K7;<`5hG8XKuBWI z!m6hGdW{=q$H_*|+FFaby5dRX?%Zmcc(+=B?Ynj6SSg6f1%N^Zgp#gR4ZcWm3;-1NQXg% zrM)j-&tdMs^y>uVmiltvpt%XXty!I zY(9gppdj&0NnbRqd2Bbvdp=QQ?Y?Sqq}Wv-`BxqLvQ3|gKO%R#Ua73Bt9!^z_T0Xs z5IIu%wzo_JBz{tL1tcTlGh#ty9HD+Ig%~PC5^6QtjzcQdYw_N(yXcmrZBcgy^S7-_ zyf$`c2~-XZQyC$vUy)I|8RW7Bl$S>>CgXd*O`Q`!E3mYhsd7!>!~vw#HwSjgrtu$0 zC3febMTAPTSx9xfc+{|sz_fpahnwCt=Vf>@Pjnx7_Qf*o32H6*{_P-Vd2w{h)Ue_c z6KNXXG6j+PWKnX8R#M(a)FO^eAORw#WZ8!&FJgmrY}r(I?BBHDIU)`eo<1DMs(&$< zw>h_`kex1Ox#(90o^=?1TmZOTI*nK|vDAL;9-A)=G!dwz_KjBuBkK_2qM>vFAa~Ls z+g$_&+48TWqf$bx9LEgEM2EhO>PumkAP%4L@x4Ayy7D5-bp?*T96CnG1XjntBA@Z00*j71>emyCvsQ0Hi4!O1CWDq$h~P9wa85_ z_UqGPv(2||?kj`A2ciZ+$v$m_+V7nqu zKGehQ!|J3b#(cQ(F?Zifc)YZNNj9Hq0$y#vyq5Rtqn0bT~jD?PK8 zT#zU?!e~snYH93-9^)=%K%88|e$~CRI~!^Fp*TAdRO(5PW{OEfBVC*? z_>CL>*d@@&DKDx$S4`%y0{C1VnQnvF83?zqz{&;=)Ash!_C2f9)I#-OBvyJb+?*XR z^neaFa6NtKrLV%R`!Ehd*l*n!@?W*ac;787ePC-LiTTvbYGPGoNUQrXrMUj0y>MOP^1-AQ{Kk`j zhuuhXQ%YH`)GPqA046Y;g}~`$BVr-~gzy^%q65RC!qP^KeY!Z~IeT5fJFA{S3-=c1AEqF~ErAW=n!w(Mo+od|ZG#TN zd;*s3{ool5dX~zFr4d%6OMvK&yl`Yr_=ju(>PA@4%Gsrs$DxNIUPfWVnJfWvM2C`% zn68Hu%Yz}m1rVz+5OJYVM~^{)F$-c+dKOrby{_cLAY2l)=a1|b7DNMqH4U79O6ey4 zDkcq^$}bV`I2O%7XQ=#UG0ll>CL!ZYP&fDyY635~@xtw<<_RW5dY)xQWmXWsJuLTf zvvelMboRx=7wJq(Xu-U+icC-rj|g|s@5=l1Xd;%G)llzd+-2U=SGcS7_FnAW;L`+D z`D+zfEus>b*n~}1q{*3GF!+fmD4-|qvgi*MV60eU$dCp1c;sdYGz=mlM2zBMTkm=k zz=R;zrsR{2J&-p+#@^Fagr>9#kJy;^&(EQxPT$FVZaVvWSH z6jt!U&=d)@yRsY=E7DyQP}(Uujcl__K~t`E&)csB0mkJlv2^0uN_MoUe2TE02qS8| z*esfpNo4THpd_uQDasN{iGuI$1+oSuk@>S~@A)kB=Q@W#`iRTvsh`XU0v_puClq&h z_|OQO3Ajhj_bQ|zt<0=-&ahvPn$ajUBkBxZ0cn1`arQpEH#Bi+(^F0`)(NwhZ9)&S ztei^Y77Q4TvX6HF&P2C=2-%_q{!Q7MR%G9m7cYt^Spw;WPL^cl9cmHKdc;_i`1|?8 zDowpZeYyhPkUc+gcg$Y1XjkO=@lMR6UH6?n zk{(9RX6y3mYjb>Ii`J9j&pStLWQ)QLCb(}Sh!)VNPmt`JnhPpT$ayF^kzs8FGSAtO zzRsGup@ld@Yu<{R#)>JrOeC!>;YSFeT*-Zi z*)tm09Qx=SO5*5}A&S-l<=*t~;Br4GO@JIB8peuZpkbM<~Z4GaC^tZ#e#)U1$u$rsD(Ra^q6Yw0lc23BB6 z&ql2%~6!)AmGTWI^=g>Y-?^s{nSizqT*E){WLfu!$r zc_m52hfiviP+@?u5Jq=jpwybw6dw>icP;rrmrH%#yFBCxVf0C|$|7W9#qjA4z`W?k zJ1UbH{`2PdX%cj31|!q$XnLw7HDN-=~&O9At=MPiYtGmjx~(w2-$q2lGnoVCPbmR zZjF&ep=O(Lk)Jk)aY0I@69S#?BnPJA@~#iEU^_wWG%mR~o{S{ofSd zX?DPn7nuI|{%mX0>u{-~HF;|xxlX|Qc>h^ly{9t*+QZE-bX$Q)El=;$oehJ=;G*d> zzIQ~uvmZxVJz-x)%C?cvq9=)=_MF){pPg(SrXfuiL>_K?pE$aF+-fgA9X!)B?K||3 zL!!|?W8!bmeg8I=(?_ZqwP40QvjQ$bkIbu4{pL~YX5%)$-}>6*sopmK#_R|0k4r1Z zJ>iO-I=5~)AA>b%xiy$lNHwT-9rlHpa|P&SH0#g27De_j7_ZUe46jw1TQ5)_#fzRK z)=vT*6e(?sY6@2<}dBciXrGcXtT3ad+3??(RkBbubcE$MiAHx>@x;F8M-YzhY;- zNdB`Zu0QXCf4gY?EkG-PlZoYjM}5aUflVcTEDpy|J&TPpjV~-Twph#-QUOg2G!;k z23@>^?&Lu~eikNX06jAcJu{OEGb=Y6J2x}ye>n&&GqVaa2RAbdHxoN8)4vh~_AheS zI;hy%TK(2{l2%sEAin_6$<~2LSec!OO5NPX$kx@7`Y)dEUxNQe@CUE#Pn~`bW&F2V z{R4^qhXcyW%Kq~Kv9@L;`8V-Fu3}K2aT`Z&M<*rYe=zrcAIe`*V1IX9fvW#$Fjz+? zQ5UCwF2dh35_JLj#Yjp15WD>6S0Db?tqRIuFfupd7G>iU78T_Z7G&cP69q7XJhXzK z|LpASf+8%U!kof?8L7V|{Wo2IWBUiMs`%di*6t`2WJ6g_V`Vgo%lRo`adg zn4X#0n1$Ye%NRh<#Kg+P&c?}Z!T|*SF881C_`7zLjBSj}e?kR>t$>b>{B{nuwkF)5 zMgBXFe^>r@^diO%<}SuYVh*;}|9aF~&}eg;+c-J_Z48ZnSB!~`-Oz~5kmaXhCci0W zXvFgG6#L7>`XlYX3G@@6@~hbBztm@cNBd{2ZU2vkOZcUL(W5TG{_GypiG0&Dz%CKR0dprEDFIiG+a;M#eTk$Nx-+fe=oA-{SuS?#T$~ z1SA4&^)`+s#t#2X!v8mcPatgFUq{r&kpcMgK*s2JFOWXZjB|ZxM6re@^>r z&jnR8ws8a*2*-c0cZ=ElOMCbKhJydig#J8J{BJ1uUpv`hGviW+GSS z%)h}_RhWO4{IwR#Pk8L_uvHdN$?s`a(Dm2v*j4~2{TrlIg$b7I zI6&!Nm|GPUPEh(UkXsP?l?#;q4Z8X-!CzT^H7YC2OvL(2N?BnRBGzB3$O^L(vHogB zRv19U`sYyDpC7jTskoRmGZEKsRsJz-mi@1&+27Rt&!T33lKnO>|1oNo8FW?0^0%;A zOIwv8lqdd<{CBuPO(#`lK9Y2(kqC>k>CR_#Q#9XRv*;3S#_11A-Cc31dgrhjp7zj^ zvAP!9&R?ozIeML+suQjTycsafu$9-2`%b{`#s;G&*%TS1(iy_TN*5?U#|t3sS@xPpn^>xL2#>ChT_3qbpgyu<52g zz+JtF+=sX~JA7_B?)NzBZ;H@7&2K!rJQY8|yTN)q+tMv6csS9e%6e;k`egX9Dw~}D zqPi{|E0>>A^b%uZ|1Mb1`CVYRbScAS#fh?)tE7k9 zgOS;zlbyDp9m>-+uO~0I&$0o>+m|<=7(VIdR0@Sr;j^jXmGjhuy5@@JLiVSfQ|h8h6}dgwxjnSrS! z2z76DvJ%EAcLV2ok<0pS8Hdm>MpsFYX@SHsP7995RI$Q=ewXd1@%BihQP5R^MGs2g zD>0I*p@VO`3@!LQL?Ef07Ol(-X5Op^RlG~!&X^sb^X4xXe^$usyw{GEUqP5}%Qju# zp*wV})yhBSn-YO=)FN=ABX;Xm06<1MbaLAip_>qQXCdDR)8VuaMB)UbeIURih1X#? zt2LCivIM*xQmc%I*lf^3=}&NC+edpvgWI%cR5Wr+2&JXUhx`$KceP)(-y!H_jF1>s11)ny(P@PE!vr${u5b4&{gDQ2Hp z`G87YL`vU_C=+}Zd>ubXD#s)yDOPCaITVT>l__3m#%Ck~%iFN-Q3!8rAuyy`n9W(d?w}C!YBnkMo|JWpR1=EA;OQpSMxcbHgns8RqKoPz*~k6va|| zwN->Ev1F)DQsh&zfB0LWRnz-CK}e9wL#s%<4#>GrwkIAnjjq&w5(nn;xbsC8CMF)A zZHq4laKNoe4X$jNyvd)vez3FQYaK)}lf*8We68K&ySeN#$7^?VO*l?reVK2nI31}q z&fBzO0^gBZ0AIC#KpGooMo7Rma1CCXj}TVUN1M60*8l2IGyHVnX{$G@$=y@^hddO6 zL4Q7-;|~w?v|xAsD0vRelf%k$D=L##E`WNE1@Q#z~rc_m9}%>q20TOM;F&g=Gy=j zdYYxmUCpnS3ao~${coHbskg60?8 zzccEf?E~upa?lIKO?aD;p)1W%es%()dFt<-$07)`*X`<6jF)&^zq~@=QU(jG z6s;f1MVi+S(&Zw14c|fgAT@2-3y3Z}>ZrT&y^lQ5^L-*zLPlI*AgbNBw8V|=GkJ{B zj3JusjU~nS!5dO-=#8EI(G+j~`RzORo86;5+MA88^Tpj|v^P~JKewU$cUc|2&hA(D zkKe+2Y`YdyxUK#5Y6Ath@dPLrkNm+D`Ws>>tiiC9Rk86&peWE#i7If&--dZIl~BK+ z6;%|ra+Xbv6kF?)2hfzQUG#sOG;l)^;lNet`d+}|{gyUrq5Ma$!_BdqV)2Q@`qa80 zT;c*+Lqu=exgXau^F^E5*_--ktzzx+7Oi-HO|g?sl6oAjXYKUi_8Iq%tFCG(oWx5gMZZgVo9fD6|{s7 zqljHZVy7B0#K_E?oEK-e<^q;U#^OCii^|EopJ3tTn;55SYM~sH?1!z=Dltt7VFoEJ zae`4IDQ6Ro#H`e1SnrxboWC(xrX~ztj06~=6OrZUFIv=3P~jvs5>%CupP`K%s_^du z3|0_Frg{#*mG2QPwj~N#B8(t|W;ZsKrZUisxF7s&A;yRCvsSIEy}oeWPyNpq*eMdh zZWh*=7Ajph6{*N2U}EB3O=w&{Oi3b5qV1|2QpLxq-*XjUsHf?CO*3?-(uKoz9C>Xv zypZ}qZ>B6(vIAlh;v}MhY$W`|ZIo2lNMXK?_83znwui1dH$C~IK%IYu{S2>4^*Ld- zxm31Dkq{0Kcf_!CogmazyP~Rxq_k=iA0N*ZD{$;>FpjSUy1l*<3)S@U(Mz;p zlksF>;CkRz;(B^Yv$@^6Kx-A&J~k6*2Z|RyqFqzvSu2?@Gv6&k5C=m>m&sBI51oI| zV8P_X&@;)fFkdUkMA4~cny`hMv1grMw}m8C7z){-7c{rP6=QjBs2Qn`NGwdUbaavY&{AAjDHIn^ z&`?Jue}SPuGci0M-y)XeP7nN6Mtwpv_09m*3p*fXuk1&x#cLIlGHZGlWOVXW_~}zA zUb=74s|u(xicqD73@t)cHy&Q8xV;nn9jmjrfiPLs4qO4q!2M4V@$5yi&H6~wV;PrV zQ#(nCc9RIJ97`ho<_6mfZz~)TBE_8F%nrAB7{1|viR5##9-%zwLk`$hWj-}gl~2{YDkgTXqA8ffuMkJ% zi->!<)U~O!$CrHTJO7b0=DH{H!N(6dwy1`AA)F)z0jiBI`g2CCl~CrG&(qU<5n}-> zEAj{(l%>aRX4TKOJiu#_?4uVZ=kKQrWr#Q#7KldVB>M*yyZ z$H;__IMG6WJe9T=*kx3l=ei+}2XJbIyB1bO5ROQE9@p4XL!b|!a#Xr1WhAb|KcyRa z^QN+JaMFkmA-ju!<|zG&MpAt!?z6PVHzC7BssliD&?}h%B(8})j>I?C=I+f$(&>aN z+}yRiP9D`nHE!jOiz0YMT6_=vX3)!nee+*mB{p*wfGrI#q#*#DxM6rDV-b7?O^P9X zh}`)$!7o_*yCS+5nLqehGV!7U3^tnRwO`M z`rxi_-IhgincX><_WkIE?_L-Z!6B@&h(rwKiS zl`6||=_N4Oh6XfezJZ{%7E;(i0%>vuUu~Ef7fT4G_XwQLyhC_0kX*NPMWkN)QX$?4LLcktX1SsOG>%4#s&bUF>RdYlJ8#=QcHxN(fML+C0itWC zCS_HRL*K}rNz9~iP#FXVUOCb>GMTmcndbKSELH9`Yk&X|OBCsovdll^MQD-t6a&AZeY@zYJEB zH*6n(T#?@+0MU=N_Ynu?h+EiT8ruOJt!j(6DLEw7t|E(vA~Xk$0+sB0V3?$GC;dQu zaiqXn*s!TYr{uXBwV!@EDij4Bc_e=32QKEq+-fF=?=IZbvApDcY}A~$2x0WQ;syX7(BEp= z!Xjl+Hm)qmV<08BD|yuMq4ZwZsXk`o}Q9)BTA8st=c5kb#fboq~}Te0!GZ=>7A{N8cxdRx=#s?N#!>M^F4Ub~m`rGvZg z-Ti9x+J{v#7X+{{hhw98T=8PBQzX_;^|dw0?wY5lcvGOlbUo0$#nj zKeyE!E!28jj~crSMrd@N$(IY~irao0h~p+Oe~y@yYvG>5mm4BQ1a_wp;D;+6ADi7G zObAX}Ft8Ls05&Ex=BRn-=cn)BUMEN9&V20t zHj{b~2WyphEC#1y5C@G==cY9O9EUtA5PgaI%u8@At=Z~<;5fbb{c{xnQR_$AP?wqA zEVbaM$)IMgxm#nJ&i9BeaaQl=c_~=IWD{u7oZMIWY2XNwuh?U!>*!0SDqb%1&gqio565jmI_P2j<9XN< zNqLx|LO1UZVtvT0Ma&v8YR!`!M|lYEJ>-?~Xj;cH#v9n_E508V2dQSR)K!OZYjOr!*#6Ceg>fj+)=I((N&y$i4(cJQFyfeCDwzJUv=#VLYWgE^oozs7 zD%G!+>`sTkc@!cQUFplvG(4&*@jaUW z3a+=x6^zSLha6i0aLQp1*4^_|&|gfjp=#*KUzNSi>K!7aw>_*PP474| zf_PYjPhIM|QZGJKh>zHT2$G%-{%WHVclYOjsFVlF)6<-(%i|_l&q>^7>_*$cC%TD_ zc=1JkR}8|tNqCEG2LzjUZ^~_2`8pVticBms2wx1_WIVnNMo~SgA5vi}n?t!0c$rCC z3w&U!Zt;XdX5!G>)$egUdkN8FN*E35qA4z8P-5)!iPWb%-A3}gmwm88c-J=igP->9aLNSQ{l7QW!mW6#sn zZ)RB+a=z!fqGLp1MI}gQyU~Lo& z5>fVa42{7WtypnZezN~J>WQjrpk$S+sXIcCb)fO4r69^!MI;>7+GAW+7rsmjZ7LHP z>6}K0u)3hj#agO3B))cBw7Jc(9jOpH5(L^)HBm)^I?8)dd2V_W#nsTSX}ozpyhWnO ztgBQP5qxbJ`Hr89yi`z5hDw&$q1w2D*wPG5KSb5$XglDib<^6D5yr!S|fBc0#;z_=MxCnELwlq}31o*j)-Q&zFVe5c!mp2|_(zZ|f}1sn{VzHHX@> zRCVOg?hPp!i>#I(7DKuEoI~S144qVaEss}E4?B!lSJOLBm+R+!4=GrWEx6IMw)!|+ z3?A)p*L<%`3^`72-54L-cph$>UP}!1BQSC>SD2v{Lqd17cKhq_xBGaub#~}HUtix( z=;``CKW5qHZ!)f~HF~%DJPaHyj|?!{_SK^}tMMiiihXW_sDkGaZ{$ME0guS%cjZl( zOCO`V_>>~qyw;$L>bo8D?)md0Wb46BnIh?3ZAPQd!;j;m3E$`E`wI#l;@z&?>S9v0 z&q3;@MU6Lt8752`nUXjw$Q`xJtE&cW@Oep;wqG;euYQfz4zNe9my}lkV55LFt%!@av%X>}t1AVld{ z5-L`ywFKkDW#FG-KAF|Ho8?TGBNqekG;$MNkiJ{&4JV{bsI4UBU zfk5g!;-vb`OC>z}BH9(1UQv1#G`!}aOj zTk^ZZp0sY!mx}mTfZA)Acq;88=d_A1WbS>`PuuZln!|yyO>@CP(p4Pg19%P1&-Ri` zhAhCiR!zReG?2>qjIs_9-^MP&5Z{mU38M0lGzXdWDJqaFr_#Ocjgh{9I+3mT;L~UY3J}Bnh zEakA?;r$Nibo-{|>$qt$Lz7Z>=AzKf{jgJA8gDtX^qD|#ojam%?VgI&=hUFL+Ed&M zl;M%4cJO{LgGQYZ>Kg`UnkN3r%@R0dU?pH%9a~k+ z&`=w^dn15VoZl~e2^kR}s=<7miA>GD#BO3|e{)ZyAH9H=6;3IxM>UCottPiw>*cJV+sFnXhSmX}od@?2%$jE^<2zuWVJHpvnzlx{)N%oC z6@6b&)INQ9Ech^KDMd)C3RB8)4P+fv;n4kc7xEXhG8&?WPV!NkBpL3qG-?&BX1-)5 zZF)xKx?;oc5ko2!6i`N>@bCt1BaEWcI?twsWWgAOVX+&?lF^eb(5G}Y(ZtqmypJn_ zhR=nbkre=Id)|S}Ut5_|M`2(D1vcsvD+8naQ(3I}u?@g%IHS`-nD7MGt( zpwzx5j4rH6ElBuS${cp25FyNIs!Xs3)snXGLyGm?(NIgb23$_aaJ-t#T!K0p9!oBA z7@qZH0(+jHGD2Kbe6;`;pZPg%h{c)Y+PtRx+GT$YP?THCF?QBS9Qf9=gX}(5R3;oD znbM;!2F?nG}KWOJ$S)DRqBN+cP+y7gi%Lc{GczoE<+U)oJ% zQl(l+gPj$>91I^N8aP@RsUC2_5@Ypp<5HKhG;HOtfVjs!w(22 zOMFO+(iky&Nr}Wz%RBIVItK1Hc}hYVr(+;pdZDO?o+5x=;_ZRB&LPh!u zFiDU-{h~CWOmuiOQ5(Yrm^gpnHVsBkzbYt`vcdpVi||_nqqN zK^?>d6&uHe>cL^)Hc8S?qL8RED^4pFYFB?d@oK#lFPWdPYD7pTYdJy7b)-RaLV5Fv#NnIt8o` z(BiL%DwPvpzP}+StJZ%@&dU<{#EiCZBc)JI6|w_MXpjC{NhD8IEmPP?E2IGXxkN>g zcB)G%u$YmVERa$+Z`|<{0J86M9bW@j%ZGQC832RKj}7W-jS;dJpAFM06y)(bBdln( zID%-}`rJ^S$Jo?chX>Tg6ME?g(HNV$b@A|e*6I`DlWvz}OxnibRK8oT(~DE`-#|U3 z<7)O6PAzS$*N_y=wVt1Y4B?sjGul15p1jRxSU)A#i;|9E9UVypTqtb~b^Bly+(Zib zMldsJ!h z<_v<0xm5`g-|%BxC)!e=R&2;*fRi~4QPV`l%IMdVGSfWpA=LYxo(CoOn~AUL0d+0n(l9@QzUD;O5K46%;X>t zOyQLhHnB3x$exK-_VMmuV#($1lmQcb0yV;cJjYyiKMMG_(&9H*B4?@HNf`l>Q1k6; z^8E7SS{?k#hX>a{hpC2&xw0qTE-(wlY^QfP7nRp7vzW?cRxMd?*-k&PA3q*59_N@{ zW?Ba0h}F9$6mU>@daVox81uyOIh*?5XTh>MWeXa7K2^CZkV{HF^S2(0)r3t(%B&5L z9xzO)nyesXb^aJ{7?^Bd#=B3mRW<|FbfWB-euwU)JVN5vz-ZT>97)TjswbIlnalAl zxr!!joEyGGXcz2--x2CHNzx)8M;)(26hu{2AtD~D6Ai2&kEBk|eX|O>irR=92?`m8 zR2ps{K^z;r;Y$0pS3pi5C@*{Ypm>lQbcd%n86LGb-X~MWr9Jy4V zS2j%g5S`iH2HYx$_b}7m22>ybOGM;TiDjIS_EwO^%!O`oyJav`p)>5@eu#8AG?Jg! zu-n0#rNwM$*n;#BN=ZDWi{@_!E&VNkBnkAG#K5-X8lVNO|f4zk(zY4F_Z+J=^Tl)5ODw)-^ZG%?k zx2&uE&unYy>-;aCm+GD`Pj^oB+LiD!8Dl2HpGuHL*I3pub#hD}8e*E!?rxuBoLg+$ z-pw*t&F#K zGv^>j)ob}A&3UyYd5U&-)l+OP>uTcR#)$Fd#HO->I^^ovo$F!Y8B^#!=hf?1l6kZf zfAY#UD0s-fg7RYqurmGjp-d3Wl7k%p_#@^|B?&=6WgcT-;>qoF^``57t(LBu>bpOx zOk|6H=zDc{S#l|zlXN$+c2!H-#AZnjrFU2n24U$PuufeD~mL4xlXU;5vbKg{`p zG4*eh!&x4d8`{#}AHsh)W%#h7dAZ{8(y*Ys=;cfO>R5}QyfWvZ$Q+#aaPO3_z8p8@ zMqYB@v1Jd8cfCqOt?dWnW+Kk3?T6c?LJY62`p6(Kf6}{$eT=Ikjw?ETr?8IYS6Z`W zY;3ddx!I4wCGJQ+BnJmVLqxxhH8rrDtJJ$Ok=cD% zHF($HqG@%I&A^Xv$=VEt-4B*~L+*o(o_g6XvQ6cLZnT3AdoCOJ?Bb97)rdNp!U@$s zM+t+b7+PwvJIOqT&w4F#R8l0H{SB$(s0c(jX{&swjZjG^tcD@Dn*Q6RleZ%7<6JN& z0>nF5qF)DFXcEU?t`wK0k#*s5#R_MUh^zD7mI?SLn9(RWGA$7z3NxSfB4KJor6@K# z;sn0&bCJ?{oWu39R+sgoM$M+#qwS+Bq>taD&7o2M=1X-bei1Uf$AUw*^us{;NO*smg~ z6KVUwU_P^)bH^yEH0dSdpszWs9)6%H^*RpBxt5H#5gYZ<^B`I3eAf;#=V;~uiYGKD zbk7=_`n@TkjXgYt=P}1_d8Pxm0A?x^vf7^S2WiMc2$NJIufe(r(IqGXUAVs-z4eXS z&ixE+?AjWz%Q;Y3)iSn_(@2%*mHkj0{m}2P82jeoJ0;oc(keb9q}7xMhG(i9sjB=k zhF+G0)zJj)IIK~Tw6r-YS4i;h6J<>F{e?~(Mw$os@qlLPVp6_nVHYhm>p_|?Od7>I zUk1M-*OoY=9tYO!ZY%|x`I0PkkiV5V`m(agWV_2KvVJ7B5_&jnNCL&BXaW3GklOyE%gB! zVkZ$tZtZ_0tm_u7;`QU?wyyJZ02g4zaEFxo}@Y4!V_1euN4Lw@V&cA0pAkV_)Xbmn()2l~%uN`gLR z9IBfqgUR&M?_=MESv9FSA<5}1yKku5t~^hd&&D7XwqvgP=)a7pe%g){4baQE#h%TL zGTk0~cds+lkJVxSe5~EloG~D`%|r-wyP^6JRzBy7=@U#>jp!zsnlz;(c40;Ur@SSN zDiLX?x(_7{p0a5UJIs97EF(53*d)qNH|_8oZ7divp?bt2B-SL@$%OC{Ky0KSd=|$% z8nO@Z7HJ5Z1tb3kX!p^r8g2&y;>~pR*XOvEOfjd!&b&E7x)qm01k;PCaRg`iXwOy$ zk1n}V%WK7trwQxaDK`@Z9UbpEPZm!&*6+q>XeEtO$5#5uYzh#^u0_I1)2sYL&HSn3 z->ISJVnCN;bP7p^l3;vmbNf=Me(>HIb!ypZG%QCyhDKhqQ?L3=){k zY@{}09=i4&&BY$$A>x7S1W9Pa2d_W-V{c-o-LgyrS$0nZ+Jk+|jPo*=^N4w4-zi@T znukByVaS*7k2w7@r!s`p7npY@O!1cJwL$@IZMu34%a+;F?eUK}Mtw*ayq}_EYO3_? zNnJBP<4P=UkdSf=@($fiui($w5X{tbiddnNRu*PRkVR82*$g5YZ`T#GRBAt@iOC?C zgAcyq2whG5Ofh7j2|E27C3(=A8(QDvIQZ#o^u~~ZLz&=QK#%?jq)y9^QdO2_aPk9&WD)CWc+f`ap-D71A^E09RzY)1U4G9!`L5n0J?)G zSd3j9AwKj-rUYZjJXicDUbin6PzjXsm@9w^$hAfR94h@CjX=t~D6^gQi9FSmD!t=9 zHo7RUOC6Y}p)88YKo`a{PKK=|&|_1JK2;%Cxt06d}_?8{jAT}nYrl0KPz3L}hR zKF%3gkF>96Bu(S3LhhrMyc1xJ(bNR}=>1ue)M6TH=oq&Q1>Yi#MpR#ln!g9+bDnZO zKVC0>*}HaG^n^_G+~MuS;?!eG7h9x4p3D~!0evquUFNL>9v5A-Tx8KzlKoWd@GTU6 zrXnD1&@4(!^rKQjTJe*(Smb2*q$GX!BQ?QjuFx`=1)?3U?CgC|SOVe9MCXl;8kwHGS zOz9J8jHqh*!LZDY$;rh<3oB7U+(%Djs3_Q@LGTGN;j&nZLW;1{*v`&!kJdHDD~Yx# z`h)D=!s*Ou3F&3dQMTq+hFhUS;6^U3V0|#Owg&XkF_b-oF)dDeGed$uUd3a>?uzKx zIPT*s=SzHOvPOz2c$NHiF$-6QZ^`=`aN=~G%eAb&KBEPmM1?@AQntQWiy@oa9c2z4 zkssX0Y-8O`e7e4|dWvRMbW(bMxOnQn>! z_S-&WG15tZGX}4y<#?G)u_U0Jg`$Lh-S`fLm%ocepIInjN){`>i*xW>HVeV~7v_g6 z{3E#^u2!oJ2F2$W1bNG7&s$~#d(u$v_81E-@;{AId$L8kvRpyQVxPw%A29j1P*vB# zx5<>MT_1X~p6>5AI>qWG2^xnN7bt63M5?R2HFrjTy<5p(_u4*SSr!Cp!Hui`EQq1P z=2=JHRNNcQG9@v{3f@jM9*m>206 zwsF341lA<-VgB_QxSs%(5d{!ac_oq>9+F!)t!mWJF{y~I>bpKqB70GUGd0J8D_!Y+ zy%mq8;VJEW;-^JY(K59|^l0k5S4i15*z&EJrz$4fzSRe^%Is@{l(_+57@Zj*xMu#C z3rKul;l8}0e~f6H0nggcd4WL^5c`~Lj6T)>wn3jx4Gz=hmEgl#TEi&34Vd9L6;IAc)lFRh2TD|YyA9%Le>t=g9WoNxhnC zzaA@P_h6qL*Sp;e5oaB1sSqAd3%rSE>yNyu>S#XY+_#L@n%8=xdhGHe#~oE7YC6*~ z^C)v>==(6uY$sVq0sibQ_^5M;i*8FPf_c6P_|gHS4F-&d;TkL>f=8FZJVLlk@~6*6KntaZ1uAB{i5DB2pj3$!bweYiqE`5Sv3 z0pV{P-4SNAZINnzhjppX={Ch*O0f%-%@C>xdtF78cVEy0!7VAndF27A+}8KAk@+Zb z_r7EOKT_+MAn|Up;Dui4luE@CK`Cx1!3CX&6JD|sBpV=vD5VA@rM^zfWb?rb5Mt=Z z3TGbrfI7Y*Eh1biDd6V!QK*utNmOx*n(?a^;>z1}buUp7!A`)SNYxj%#pp#Q9#m*k zXO*p|Exx#zu;2x<>(I7*qP3)&bEnLWCp)BD>}dA{wngxjB2#9dwxF;>XBis-Xa}(N zrWpoWl5A^la`iM5AxbB1FJ!4@BHmK5y{Xj)xDoTI4$n?uy_vA@i)n$nF=%rPX)lhr zOCx*J&i2fJ+Q(3`Z1q0teQi2vn`Vl)_p`KLyS|=8mL;wF@bS)}m0qc@i@sVlSueWk zAoY)w(xP?)ZJMqkEQbc#4DXCbSwGn>*}U@byC>bFhc#yN>7;J`u#VyN4O?iZd-?m9 z;2+AhR+>`DeA3Pj+CF?6u+2gvRwIeV*T#n=dPN;13OE(;TsMnLNiLo(ONYw`wlLq} zPvEbX?~{ByJMO0uGJSstIZ`~*Ay|!KL1*qxMOFc^^-OcA#$ZiNZIZ_h7nZ*x^K{*7 zc69gGvRuQ7rJ;30d>WBA0-}kT!G~AM+FPf+%8KjLg18(^mLd zNdy^ujh$>G{|YKUCT90XuCeM*V#5ye0F7Kf7pP}Zha9UxR7t+3E}$Pfv)7#cq*r=l zUmJKjj@M4A?gu1G+%sW{P_(dMlruz9a6Ze|Q=D;jeB1T68E9i+-$dX(%GBTD;de1U z=M|cS6g27GEz@Fg>r5+s8L=GqzU?|6WLs}2RtopZGlo;;?l-E?N?Y=<(wr11e?iX|G4vXhKJB{=4YQXDqz_tBhylF4naozoN^|SkjP7Mp^Ohp=WcnpG# z*+trsh&zuwapH>wsvQ=2J;(-m6?nx|bR3oIwSsQ-Ma?(~K9MG&4cH+BPb!vD_O)^a z8d!UCD>!*QKR_U{zvLkDaFD0JvVsdHYLGGjr4gCF7nUzjhFEWbmI`u;N`FKjOVvCS zXXD`JOiVR&MoXd4zF?yaub{jfa51;n41F*!dr3S|q^KQvywp^NOD(lYgwYQ%V~K|x zkh(QkH5g`*<~a~YYgSfez1%NE%P0-JzgiFS^M$tA*6`|v|IWlicck{(#A5>O;tLhc z9#wY||1hU6Gp4Q`WfsqAyJUbp_L8>1B6sswp80a0DZ#*)r29< zlu@hjLN4z|Zt-|aL(C^V{Fe`%xy@Jp!iODmxj-}0Dy0xMOg7o{V2%j0H4+w@X5;eI zIqT<{2Av1QPp;p8i0v&j-Uf24A6{JZ_&$&5wYVH*FBF)5TJyfYet7=^=IiUak=rF4 zY_Zu^XtY|rNqvt(ick<)JTtiq7)kw6v)wQ6_7V`5z(V1BJ2{|S?89Px|B;o&bUdAp z((LK597Tfpx_^UV6IX z@Vq`*_RYQB$cyh0k0#*p+4@#GZMw1id2d{gs?F`7cGjh+Vnwd+JF3U@DpT6wb2JkS zs~rLNqGd%OK2Y5#!HCs})p)Ts!Jnh3+bXoKx;sZtB{1s z{J?zb6=2q|B4WqPh^Xv~&KJz2lSBC!!P<9c(9oz$haAznYEa`Q!%MD&w$TrV$Vr%mfxpRA9c*wkHy$CXf8&*p;22ZSvs~Zj&5lcbH({$3*);waF zyl$wBs7$B~sI084uPmxeqaU5?#56LT^PJrc~=97!KU?f?p`u^QmJC>2WnK17 z@RoC=UDg%GYE`h}tMI30N*W7*w1eaKTa1N~CPs4TL^4qbx&z%s|& zLXYUv^L}_ZW3328u!E4&CvANr84EdN2k&Hu9o&O5YrtI-Pk=s|W#CRwrehPFWa_l+xA|zZQHhO+qP}nwr9WJIVUs8OftWp z>PmIGQl0L)>bil9m#oGpGQOcy+91#lQ`z_D!h!XxdP42SKNX(%WEK4`Hc?vd;p0)Pp+b zVn3vO|M*qf!2mNrxE`)5=7u-kVQmRTU-53mg43f5=^kx|48mA+DwmDWb4Q8Yk>d^?x&g@@P*_C~TGT=2j4&<5WDh&K0B_v66>I%7^eB$f^6Q-SP7F<=&%t1;yS&sv0a}JB`RaNWz-qT$C@lR@jI!pRpWDx%TVdV zXJb#e06ptD%}8!D3lfjCn}hM1IV>_B$T=+n&0lUc1)NFQXhMoer;lohh_xPiD>`*$ zj+-?^$t~Z5Byubpt!O@x8WP7cp}Wz2-yut3-WE$OrY|~=$A5pg$ei)v6V6nvVs=Xsr* zP(2unh6(aSuw_5j8jCMZkEx3(T0GB@PWaBF`)&X^g9FA7XqqCfC7Hf)Vna$ByVv69 z)(0M!`WU3ZNB>(%SNhqT^s~xIM%`VW5;FX(k}!UvKwBL@#H0Z4^-f25ZQ*pSUcH)X z;*lY-8z*F2&{U)GoESY6?Qq?v?OEE^!%pT(Ki|sKakbD{L^K|0q;JKRZ4UQt;Qq&b z^vhz(qM!ccmcX<*DdGY`pvxQpm*u=bt`$T!^Ffl7@A53XIr%(o7BD3>RkHGd5W+tC zF9ZTJydcN-%HlpfyRC#}4DqS9%cQ$n58RhV`J=xVof{Y3oFl|$jb1VQkb+c25U{y|Mw3rSl+@PbJZBKiIc zC4`D}fFqRXburE$71gngN#?-CDUw>vOv{u=2&LpMl7&o)X5bqUq^q}E+tL0Q+nIE~ z?q8=_zs@(myZ8-D5Gx($o^GEy4LYKJUnp7bZqT1)U>rA{W4W{Vm)d!*6oDo4gjL_1 zj0c}jawl~Rv<^;LIbtW>0P85KX3d)0D;k%v%KV%vdmkbDXVFb~vj|-x#gF*>k$f zczhzR+!B0ZFb{Rd4iH)ZmtQZrbLIBIdJS+If}C-6#!9d=U?3$C4qW%ki!{&BMeJM& z<~;K*QI)}C4Q8|5UKU-gKKVksi>>A6E#sbK%v@m8AAr1`dA*|^kvnb1QaLa+S^|RY ze=u8Ou0>EkAh|-T+ydDiV9E+~_igWX?@SO) zQ9K-uLjSx?0&7UwPYb#YG(oLc4?3AS2@&ey>nXd{nM*da#`=o=cBhEUFg>gf3RB8}09=FQ3X7P*Md%gfZIMY7Zv@_~zlvK%cCb3L# z9%ughyz(OD=8jc!5Ta2nv?%S6Lb;$Y+?erc?&Uv^TMUjtw~>Kr?p6--?2I^VrVAoC1(*I>Fx;i&?8 z9{7H%G4LXsJmGq)ywIsQU2jT!CP!MAAQ_vzCz8bdY-S8SU6lILY03T;9e1X7$x_e> z4eq)d%U!ae+y@hRL-`@D4MO8wws0%Kb|sL~5GQy+AiNX{`)Xa*e{p>wD6no|#9HwR z#SzV3EjuDmrE5SXsn{BK*?R}IX@YjX1Qec7J5$>dQSLO8kXas>hgqFRAH4DJdln-Kc%@iQ=`##V}clOxcknW8= zNY^kk$tMx~7-5HArti!T3Q(~RUT7ppHk$($Kv)4*1nKYYf03>4qQLyq#*>q_OQyb! z*)0_3(>g}ctWh?@E6RQ;oZ%hSqEjK%+1z&9w9a={09)uJSh};mgNf3`LpqzLOnLF_ zSGN1Hqugs7hhrTc5!E3eN;8kNr1v~L=S0*-&l_uNWi2f&^8&9Oy7~HZL6F)4F5*f^N6M ztR(MPd%D&XvVpWBVgX@cBBlHqMqts#{lsNxDjyha1q2~}Q=HdRTN8_AF^pQ~z-Xn^0W2mg9iis3KU@BW`0(Fzj&%3%G9{%hbhIqet`$zA8If6t zqLD9_p(;X^D2r5q=DRP;v(}DFu(Uc4?7LsvtqYbh>J%Ol%ab5?-?6izJFI4&ljoJH zx7@NOEuW)j=cRr}k(~Cl=|QQjjf3&QWzDg?T28Le{+(xbXDl%r#r=73b|>zek^F;p zXF^~~qWk>z*Tbj@A$jLCZZTxBs@}Fnd6}herl2Lh$`na{;tU{Hp!$pa7(gY40IIUI z%>TL&;zSh)Wdb*2Ae0=!2lyK_uluTs1?tB`k4zqj8Zg2SqK=sZ4FpwhT3?Ut#VqL) z;I#~@+R0`9ZwV!0OOx}JcJw>AfKZ;|PA7d1-DcmQ zgm$dqS7ZasXVu^vY96c`L|7lMZr>Mn6_v2=L?&SSf&i00bx%@BU};u%p{<{Xy^n~Z zZNqa4XQ@-bmtx7Ue_WC+H$IY46D{zm-{YZCCraiBuqD+ycL&{hA$8v0_o}VMmQ|sP zxaaa9N4(+uRRMk#uJWz+Sx!ifQ?8grfDcE13&<+d3#Gb2mIr;C%0~ciyu+d-V5~Cn z^CFO8L7hpzWcE)8vk6(^>%e-EJDP(@Oo2?j z%~{~re%MWa@S>==eCZ2f?^!6Z(0)%y(`5ig(V|$W;vdM}1pnviwaE2TVz2nT5FB5) z5-VsgN@MZ6F=iV*zv%}#r|9ZL)DS!C^-xwM&2c* z6^IW&3Qs}sEtoeVcUuE>x?5x3JPr?vgdj1Q*6T&$L)D%1`fdbW7pqb;&MO(0E4&ns zjr(8SjmjQBcM4#q)O!|D``0?#(xSJNtLF1q0i;(4sfZ1i`1=qpid&I{mZ(K%)rXv^ z108vDK8!?)j3$wYhuAZQ&7Dg9M5iu88vrJ=@Q&;ha=baKXY>stZ3VSOz+-rC(reQu zloIt2xt+k)Il%BHf|bKJlI(xe;#A8Cp0!#nG%5W8-jO}$6Yf#vUwWVO@U1uACvJ_s z8ZccXxh+h-8G7(IyM)=oK42&Gc?*g@BwnQxfrvC0jvnF$(4z4#!#w@D&7gDzDNK=d zLG;X>TUr|gOjCBrauld@RW>ISlMI&_$BsZW>V zYT$xUqkk@y1fpFC9r4V+i3;b>2mA<~zzihU`00Oah8jpM7bzxj zD%fQpr+EKPdmelxJ$$Nd@4?0E=vJeJn>tC{sjD6%m#s8)sBs?ab&Gq-f#@D{ERk}# zrXh8oI!W?^GluhfVERLCE}moQGU5nG=hc-nMKm@Xe(KK4oz+G#OJ);>5$B0`YNdIg z)Xrv)dig@LQ+Z45h%uvd!F%i(z{TjCDk(x7H)UGYtCe-=`3TU{B0$+?X}i19~Z@3*Lzq9NXR_tr^(WiRLpS@RLy$ ze_-(KX2iE8b&)Y{UqrXT6oh?7wQT6zCaj}2u+&peI7)-QJ)WF3(4q;q=NT-H`68U zK9E^j->?msecbk9m*cu{m$wx$_s#3DpBHv@u-6E8(7588)a)x zU~fradpI3fKd(D+!1bP^e|jEdjV6(Lx{ghs3-#mD*l6E>{5YKLrch4&X@oT{v{eo0 z;NNxBN#W`)Yud9Osl+aRGJZ(RZ`387UF3(~E8hHsL?}o_+a-+Teq`J*P)jTj5~Mu@ zVggvFp!iS)FMK`(Jq$qTCl01C0|aCbtxFut&jk-i>Tli`l7mwh3BjchA`c6+=oh9+ z@Q5oWORXB zlC3UFKhPG>>vL~&^!2F4_z%7RxCq>gu?}TB=`fD8L#l@|JKwPJ4#^wca8IW~2*3&^ zh_oJTU2gmRRHxZ;QtPV)_(mo`aio@mHJlx+4a*w(^10+eCwK-fiw^E8(!&J%3OjKGT~ zKUAf1Ge6jQNKO&cGnS0Jxv`GF_-K=JV?qx>+$6JOt4Be9qRS#d4eBAH*@%!mXB?~I>0Iibo7dzaiz9bweVT_lbcF=$LAG#K?B zr52ACjUE{;FlcP#!<_{Ptvc(Az3{i}jjc2WGmEtdp)IvYYi5}n9Y|bFA#eO`S~G3~ zIdJHxv!zXd6cnWvBA=mW1WDMRg5Ov$JQuX@h^O7-v*Hv#cRQ;%!OgU}!I1hZCT#Y^ zbD2;udhR*momzoXO?tFoDQKyUdH-%Vuv)DW&ENsr%y&wdg%x>K@fGHiI>P97;jp7rd!7DNF;G(X&;5R37 zp?@CQABB}7H|H1c;!)^A)ur*v%svB#|62r@;rbwOEw|739cVXB$r&?ajwxPQpi7FG z9*|0pz+WdOROz*%W#x;0E$7)u3IAu;Pg!M0|GEr78;IE1G;!eoJQ26r( zmW;i7XYnsF`^Yk%C|wCdUr1x&HzrIK7i_esPuRXimEQ{`(^q$mH(t_otv(NCxWI5! z;oY{0^(t&vC;Ry6Jd2UHK%`#6LECfIi}qR4ZC`7MG+v!`YmLSA*~B;H>yymM_(Cph zD*HQbuLNhiS0J<13lkU)ezRTGqDSRp*bkGkW$>~QIPy;XMn1Jww~e#x8HsEMLTyrR zBBU8>-;w2!{!)Ydrf5rki%-!@Aa)(oZcTTS#AlU$QhRHY@6yOQ4_T`j8YlF#h4O+j&Jn2tn*0uHx7@Er;2YQ0WB4gSd$um@2dKD-U}jWBVI(UYix~8$>E5mH5&KZ z74LfKO3}aH-AV@BMMJ!b2EB>~&lA8G-xXTZs%&MKDybFa=NFvIWo(z%WLp^Ahm*-5h1;@MJ zj`aZ_RGqT=zm4Gux3!`yBb7DLCzC%HoR8Jf&nH4v32W-NY?~F^ofo3NO}9y%M)frDjBBCS3f{>5RP{lX#jE@`~g{L z%CL4hUD@{`v#lOHgVohpiE1JH5Q_53$Jbz!?+NuC!s zV5N^p4uiw>({uvPU#d|C2#HWk4m2DRu&9&r%i5CLL9iSc80T17q}%hQ}=%o zac%Q#+D6Up)uTlV*-FQi_!>G3+ka!~VX0%5x9S5LVNa^kqnkT@L7n-OZcPlI8|y#T z)jOlNy{JEI+f4!*wD^ZaVZlnpPthT|)59~N(>xK2P>8aJK%lPrG{!X*3S>Rdpn(se zz-+F^V7Mn_vE2O8iHk4GE+GAMHz4-Ng%94b$n+u+3M^NM`0Q2hb>8XSY0oFe3 zrq}x423QOOga*ysN|tpCTn>uC5d zJ>ox4EC-#CzMZ(Sxv7~GJ{too-G4IU|4KAW^lWsZR{Exn_)Ls+|7oiQ1#R85Xc+$4 zTK}v4^TGb}v;NnC_Wx8x^{vgV+{vW{R5irZsRSI%^{r&}9UN?3X#{Ppj41wB8*?jT zM*RO_u>WaY|8@DF@S09lMOsK*G^0~8wl){CwfUE%;Am|0KNYqA zJ!E=%IteFzD|15u8&fM|e0n-1Cu3{Xe*ZL9?v`o%;X2RTc&gUf%!X zBxd|is{8-%eq~@}WBPwk;Vg{w|4%C1NEP}Y6^`*Ud${9y(>>{M+dxeR)5#we4yTIy zkEL?O91^p@UtR;-0*r`IjfxasnnzDGi)vzmXKLZh24R|yVPTqon}|r43$o24iNqbn6%aGoH?)o+k%+K4t@LDtKRA|++%)XvCXz?*Om}ifjT97mM75!L0riKR`t6hOK-}4S66U_~Q}rF9nN4&?MI+igt;G zRt}OHUTT$C&UXcqQUjUBeFc+N!$?FbuLu`w|QjYS8f<=uAMW9eqyTH3>jEeIn`{9vSgrOR-l8=Q1(_-Lv$%wnTV0@4u2&#V| zRy#{60h8t)`Bu?HX7}0QwMJw7Ay0#Zq_gVgW?f(b8A^a0Uhj>-A7te1d~JJ~!PlEw zlome0{>;09+(q!*Yfkcez7lbJV&1Y#fCElxk4<*P$FMhcrqpq{eZ-56t^m}+zrSSO zie*!;M_5-<60?zWoVITKF=pS|zFtvLfIK`d*LMq6je!pg!C{L*QL9bo5uzSW1Hr)S zPbjt`k!_*-%uBaXLn<@uup2R@WA>ZUSqhkx5z0@#JLoV9O3Ac5q|s3--_TrYoO! zqs@ul!p+>wAh>SrH>gY{?$61RX;F?<7rgoR`RycIj|0z3?pk%GXT9LRn80;eI|0hB z^RK-U@7WSQliAHs?<#fbJ!p{3kD_X`U0*}Emb}qLlYT|9o*u_^uzj| z$KFV7uC}z_eS!Wcw2mG3-$YR^S;lTq$EZPU88hbxlzglb*ajPnW8rVK$`PcdG7!6AsHjTL}`f`l?#_aNBdtuwSjY{E$exTnY0tjp)&6@ir!TORp`P?aJ zjVrqASLD+uV-AkA01+Z8N1LlF@hWqWL(x_vG6owQ%xt45 zt?G$+4`xmbdWcD4&7ijIA?ytyaPr8~b0-|@La}p>iUo*iXYO6dbj>$qj0%Q+ex)+o z?xnOpj7z`1@z%y7^RkSHi^j^KH=?@?m7@(9X$39J^z&7O4J#EI)o0l6&C$lN%=oXja5UP4d(v(5Bl8W){1XH_; z6>ZWH%yy|2&GbafRh~=Vah~hmV5e@>G^t_1`S;8N>rm&(F;HQ{1XE{`S=#?wRp7b9 zDLEe#V)+mJxlO~&_Q{RiYg@f1WFVuGS&7u8v&CGVhq7u(f>UyyP%*nIjHThJI^3ag zR1wp_kx9nxGL^)K>Yn}{91%9#NRpKjIW9+?LNp6mxm8kU5+b9SXTnHOOz5o(6*(nk zLi2hPt{uLBIkBGU26f^()_6v*HgU8r+}717Zt_&kF4bx6_AY7Y(T%ZF-2emGTV$im zgR>tF%IF#g!mc5Dr5h8lzn zep;3xU}Z)~jUY?EM(sga^I;FIcaIQHsg8n}3d(#V4jn|dYeC=oAOr?tetj;hA-Lz% zCPIEaBtd##pxY503O`7_EM$rWX}xZbjLokhIVN*w zqL!>P$2Z>cF)r4ybMU?A$xgegiK%IBV`s~Kjp^zOCQv_C9gJ+E8=_SJ;HZrsA_R1a zfWVyUS_v@-dE34|yrfXy0fKbY5EU~%dF;?ynLUAG;!hy-k$^pP1$?!z_EwTA@t*x` zm4DI3YIY}AM)u=d&#wQtLo=sBsqsRhL%U?+0z|uXss1knOZnoJo(pkSH_w!dk-!S0 zsB6I<_2SaYUx?}!;p{TB>40YFI=f}R%kBcLr?ATc-Le89D0Q9Ni~N$}W;Z!R`zNq% z%I%<*sB~8lp6FR{phQ`4Z~umR()&-4*i4b}ynTqsUgB^{CimA?vbm4Sn5#PQD^IQ% zug&ku)>)sWjSUKIFxW-djoS6>dCqaIhOdtgc7ht^=qkCwQjnMCyhiOq_T= z7;&A}o5zCN4v7@-Vq^lT@<~8LXOWZNUDPQuMK?!eTYdD35;0wfU9@j$lLnmSDWj44 zvZw`i_T#Z*;B|0mQ7>s?FoHo~A_6VJP0&%7N435XKAE@iljBm4O}3^f-;s^4UR6}56KeC(1>ou7yY^8e;SSBh}&&svg2C&)@-b1H%zmbXRrusq8G&8 zr_+j;FPeYW4eHg;H1~8NsfI3VP*-v9EgjUI5||E{>_vi*@_{tTA*<+`>*z;|aPDG^ z(yoNS6!i&%_zn~W1c)gm28k-G`L*+S=dksz0srR#-0;ig@! z#zdc8h_Nr+hH$>>v<9gk5Qqp}XOAPY;4x<(Ozfs7F6-hqn77aV~K^+crvz3Dk*fj;GitqUmpg82mTW{ zgh0$KeD9RbdFJs`_h*R%KGo1&g8OMsZwh=X&JLbsaYr@?^AooLctzR0W0=XK=nFb` zaofm=RM>IRaWTEZAtE9YT{ys=Q*+=TApcP*LUcLN*OyF1JA6GPFS}hhkY;<38FtdMrTr*eO5Ep zF|KGGIZ~8i8ERRv{HtAEoL5d_FHS+yBISc*eQFOOIf7x<70kxSBcE;&5Q3)|_N9;1 zlkK`;bFID8^_J)G?AAeey1sVJ6bl{*mk#{P&29?YD8VX)jzJ1s*W!WZX$+g#eWG_$Cn zzLudd9itdB<{4`(H9an0{ew6s7aiv3Shuo=O((sl?Q9ptm)HAcfm?Yd({yB3m)rPT zh4Z1uTDQJ;ef0saY~=TL%KwdL|A@-6Ja&b^_*s5MIzKH%Fd}7wM_KiV`kg_sFPG4e zLk$H3Sr$*md&nNT)WnaQ5xQWBs;^C&*iB=HMmM>f^z(?~LDId^OX`Hgf#>FC)EmQ| z#Dt_|QPl$@I_txX-J6q*`PVi?} zfq`2#n!_bRDHqD#ukeK#J}!V*{3X)iwEOYO9E1WWkac8l6dgF&NuyU$z@q@qRj`j9MO_|7M?Sbwn@A_Uo}#_dZnkvIeK+0ae9GeT|X(X$~Wr3yPw7cbW^L;Jz=~vFw`@W!UyQ+oiu*pz{fs8x2L8)P`xf(Og zYI5aqhodtQX4LybfC)YCD2rI;VNRi3tZd}+u?$n`Fz{{1M$^CD^&QM@)Xjv}=`GY- z=Vy!V8=db?Xpom6eI?efK4@B&jMP;E_v*q5ld=jI6;PSYA_<#i`N!qq3#|)*=cJ9w z7mEu+i)K!#;))bBV?AL(^?G;!q_a#rC|*DhSlR*xV3^>uE(l?hkIT4U6I2VIeH=hd zGIr; z2rR97^xaC8XUwd5>U*BUPsk!3h&0L@GBQqF`FMgjlVOTP zHpbW}KF0~%biMN0RKO^EkVOL|NA+@5Vm$iLM`Z_82T4q!4!Z=%L*SwG6PDikNbc0N5+$}-X`m1Z!tYgBUmBwgye(BW1)T*PbjfPd3kRhg7aXUoXWAXr&W4~*) zH+5w-)IVIVCgcHO_)ukUCU?<Ll;W z!Kf>>cli-%4NW*i=D+bow3Y<)`EShe?w=3u6g$?XhuX$_O$SE5%i+sT+k`M!-X?&2Aw*fJFuD2h`@7V z#{qO*w}wzHb@1rJfr�mrO3MbZqh1{oe~DFpJi7%=1|KJ;_V#>41d5bS?(h{%ErvzRvgzE<#td z*{N}w+{`Sl6H&#PzO49mPY2WEq7&*Vow#mFE{ri9)76RlS=@~6OfiIYn#N1h$(EKD z)|QhN(iYK{kCu)W%@#c^l+0Wib>Ehq#vh~U#+?RBjaUsh^;fNToRz{Ak<3(Mn7QjjdK&0Wn)cm}p`u}7U$mQz5w$g{#kI++tbmV=1sE3eW5H;NVjMZbs z+`@G0p|I?MM(ZHG=iwR=0+r|w*kuo}We@+%9Ed%QzOu(ciw^>PMRBx6MYaX0&-C2r zQ-Tq~2GXP7i}yjL(IX4#i4unN2N3@ml|%PeKp#IfVCEg9tr}8~(znEk_1()I%L{%& zDR0}Ft7G$wA=DI(Jh#*gFd+YX)Dh3+pR&NHIpcgHsVQPS7RX&rU0uTR1|w%iQw(3a zpCoyCuC>9BWsX!lZ?YkH-jv+3Pf;V!C{udf6zH-*yCI{a9K&b^=k6GMF4WWJpQ-Hs zWkz@1sFuMT*i-oZcLKLa$SLiM0wA+K7^od~x`^m+tZFfSg{as$dQLukt6e5E9nCp; zTFfFOr$p%)b9R2CQ%psov`2-&={XRZ5!yMT_DNAEX|OyE)G*G`Jj!1Y+L}QqEC)N7 z^(Q}{e4vSf(5En;;@vYyo?=sFas8*Ph_W=~3L1QIhLhdC7Km-XL^z}YNAl|VpY;d_{4R+ za3+!Vp@A(1DbF}G{b`VETbq$I;|4#P26k`7dUJ47^Wdt=CtXO{pLdHdj?z9 zYji35@C1Q|G#G}Fey~UU@ulBpy`nad@d3+iqyy>^^zzDKJ%$DcL1~;s4aWNjjSExE z6g`Gf3?vL9tezl`Fg=U0zig~ZM6Wn&^hj+QYw)4$CYtwSQ(fOSd++R_!tAw8MoKZK zJF&nuVdu|5dIjh@r2P0X`J)|SQwb}EC5xz6izliB_9#-{gu{VXlE;!=PI~r zv-R3pcY@0udcN86E%$G0ZS(QTQv3soxid`qPVDWX_KD%;(B(R=@`0Jr8nklo2W!p5 z1t9%w^oo%2kY*mgr69par;Bj)=_MBG$ zMyO1mRG?*u35k(#RdB{)#n0+pW{K8RL8WV)mwzTFYD;Sd?E%FL#r6>E&EyBBU!)}s z296FsnXmxGf}mP^vr^)kn;Cz*m~J`q>F^^mMsZY4!E&3woAoACX+FfO+UGL6#3e=L zUc2o{H4oM^1jj_zkj5z;%L+i_0mtYWPcRX6{%v;68r(A@xq)%%S3{4mZ+tHZwEJFsYZELb zA_7!|$-L^$*@RnHe2f)(`eQ; zD-(mpeiHDdeW2%D8axqEBF7r_(?_yXZIF``f>*rJ* zLG8xZH)}1*Ml?0^mQ9!bTdDKgpK-zvU9c8c;co=Il}KZgr8E{SCP^vslz2#1q^v(_ z+6<`b6ILPMUn&f;Gt}ZFmomA!E9tx>0m!(%mYsjs(H}k^wr}jr!;M(5rdTCTycs*! zas*jkSQiwC7O$+MXe4nqOot@F3cu~qnnfbWnli7eF9j8wLY8q8BaExh6Pvqz(^WMQ zP02Ea7`sVl$~c@-E~kDn3`LIU{ zib?uQ;;=aCy&`U~ zTFvCtu#0CivM3>@J3}CtThZf7j3sR;mH${*6`h4hN)OUa>CMyB=@MU5D8|h3&@P7U z5Q7A`KY!ozRFC6^jyfkIR}L66AJOL=7~9uVQ!2(Mr~^>PWm>{+^re{HH+l+ZG6&=-s%YZOr zdey)u#|bU=+n}xF@P(t2AoGqlh=p{>&g(-|a-MTGA+K-gr0b(kynz>D3B(>TZ`Q{Q zG%w!7V)NXb9x@p<63}m!%9o%>VFPnBnY#012Og+!91z4}Br79+^k^4w_zv{fE*Znh zi(Wb1DPxKIt zVj})eOl_ip1qqmxHIKWL*U#Z?S2J(t2Pq0MW$P{KkZ=Ck-e#>e7Dmr@t_jC)RD1fE z#&{)euC!bXbKZg{I)^p;H0Jk>q>4816_5oM%d;R*S5!=xMw4t-kyV}~UIFJd_i|$( z^3WP)UchZ>xk1=h8lHeBf*D+=UbLPMO&vHnPzoR@KfHPl_9JlQ-Hg2+-in$0CBUm? z)(Si8yw{ZoKV2Q-**swru%X<=`%>5o>{T<2Dv*MianiEgD%h)}Bn2cJ#d%KX9Jpj^|u%rQ2S3QH|-` zugLHqZ|3|i%o0E>pupOxLe%V_=iZ4lk$1%& zyEwy{GxA)M3#BV|(~Q7O@CODazbCJJwcl&{%bzQ|KuP+QOLoCs0ls?&Jt~wtmkCF= zGN2c&m}eAK{b^GbZap2Qmv8tc{!T%rx)mrrm=|8aj0Pl%&%9fmH`IIMZ7{jIYcxM_ zz0mAFAxY6O`4T6^9@9m!5QbN%7fq-#bOqjwnkTup6hb#&oE3u?UPxVHv!R$?F~t^8 zUKPe7y-`g>3!17~_jG3@W~?#bp4tQ1VeC4fwdxZ+U$T?Z$}|=G!ULt@un4&8E@v$3 z+ytI{;2E&5cy2cRuG$%6TD>zKWa)uw4(m_miZ&Hf6DRATRGdwUi=giDnD0YLIj)~y z8PBFu`Whp@8_FJ0iW%G$Yc=HE1RmCJ1ha#`E~RDGXehSqi5Gu~%^%UVPl;#8vG)O0 zUX&Qp3na9P%GzXgTduc(GTH4%w}4FM8Li1^4)NyxUNgHj)R@ya1>qIW7Jnys=trQu z9r1X89%2EbkJQXh@vGKY7303d|>P$Q~Y z;+ZdWoij*=Q_ittR?K$8yJr;+eZzyF1$bM`(>6RX^P1YmkdnxVSmi4B&UO6!YmPaJ z(WBQ5*#-Cq_!ejtDM{1MmqF*5!CG7GYv*YC8K*V8&NsLnja=k+jam*aKo^M>cugRc`$J;uZ{?%3Qu$f@*&YK6Fw zZA1 zx$n?L6y7!K7<52hclQ>YvG{0Yxrgs}Mh_)kMD9vcBHeWE^93Y)@t=uk^tQhb-5)NT zsr%fwbDh^l=e?HF#MbuO z*gDkrB8U!NSd&M_ak*Nt-EGVMThkWQI|{s0L#1<`nO##3*$*19heeD8LyREm_=wpD zDW6dFca1Sp-2snh$i*Tl%KhCB21wVcvtcYDncZQQHD8i5U4rienx^$FTmSzBVvErZ z_4IvpUC97;(%QpXKGHbI^FraBisyKN-dC*s4i+i%hTGozJh)K)4~R`rYxK$W{U3-O zWehhSZ(D~BF9+k>xxUu6_uytv_9I(P5^4-hG14;$7U6gB)LCw3{J%kLS(`XAiMTPh zbWU*!0iSrxGcn;HRq1Zw#Ni{6hKX823DIDlApq3>Kx_wbh(f2m;Ddh!Oo2(e% zsDY%i)FKny&;ITY15xpbJ_ucguE15}Ve&~ml56)#hJf~b-(82=^64gWrP%iYn56Qs ztGbmRXZ&$xpYGl)9C*i|eWdO8C0W@HW(2C+q1OYD@+%&I)JAbqnNtx^1I>&IdPZ#@ z5*Q7GCNDI^%{;rHxV(RIdJ)e~WR?aqTPuVta?L~gWdOqjp=wx)DYmiDwv;LxTB@#k z=qf_$9K2~rT`$J5|E$}to836Qj(j2MG-y`JPFZlEyhbl5bPAc72ldD%rC@HLO`SMq zWPT{)CYl|GesJcX5F)xTC|9QyB#Mb(I>>6n*Fj4xFd$(Koi?UwlyDJIlT3C11>Oj; z4zXTgwbg2(Sx+J`R!&zqNdi6YNKi(W6SQ+5p$O+Ns8QFLLaK}wG03j>SLbdaMbwIb zq&T;-;%y15IpEZF@pD0{uAdp0oj62;nesQfmj%F$xz zb`(;#SN2(YzS2zN+?+`h=pYOuI*-#>wDuf@^@C_3rZh`dw^-o3^avR{r%2!tJcCt0 zt_Txy!%CDTEok~oRXN(^NyFrwIecPMUqdQT5Dv1R5g-s0jk=dV5cje@dgJ=c z8O!f063qO5U95>ur1A-_0LAi*Ip~quth(?&6gx1r(xlTxAE+zw#I*Spk(vFcUW;q? zLYKQJTZ+E){;xIEVwyM?541z_zg!^b2_{okv)X^BldemC67P`Je$f?!7=}U`^M9dW zYWQIO2gP>D6u34vdGpl%#3j$v?e}6v42{4T-TU-w*XH_|afq80V7Bm)#o~`UXnL=D z!8uE^9sCc)Zn9#lHP41e@lN*q0DCmL_$)+$?HQ>}w9)Am&0qyb4}^^eV_z{JyW%bW z{WA?l5P20az_vr55nH@EeS^_G@PG04PC=rDfqG@zw(UM`+qP}nHcs2NZQHhO+jh^n zshQ-alGG#*GgbR>@BiVi+HY%pOMvYl`N4@1cv^`59m@lz+PkSG-p1Sp!|tsQ)^$e< zHWj*AE|VczJ=~9nBDS@9S!(qqSmRT2Oi4Dun z8U{1ALDbQRLLaBh?b;%X-?QW5QFhL%3W`NG?5}gb+USvCJ{!mer7ZFF6P7v21l8Q# ziKQ9y0`0awbCquddI*O*O%;$FhvYyOx@wH$e}LE{w9TJgM%UL_O4?$y4l~97z)kkG zq`K#rzk^vS&cR?F`uzpO`tknvAg@cC(6VlHr*o$gbgxTNxu^YVyZOw;lx(S#Y#gMH zp$l;Z5X6`f^gvM8LsvfvyXGIz-#r3!2gtuuo-|!%qMP46aIJI)-@8pIcfUfJB&qb5 z%!ySG=}LSbdMuT{8&v1+kIBeBJ*;mopBw&R3~$qLr3`Zyj?vj|11PjYU(!X->2Rv8 zO_*w1HoAwwo0nKtH(Dawqf67aw;1P-pxC1y+%~$6>x)-Tt?ojHUY(k;+e|C-$Mu?> zstzl|SL{y_n|LxV6PKK@Lsdf}~LpzaM__u}v zc+ZJ*{6yY&Ik+01=XdXdk<%Zx@8|^!jqIIrYpTl12uuAB6!m`xUjGN?{GY&UDKRxUO_l%Q zlm9=#Yv%uUGolvO&L)okft)*=2%8w$8JqmytTn;EHEvdp{|a6+{==|Y|1)@Pt!ibD zyo$mTNJOOzlL^k%O3?iUe1x^deMFr#mLCb$HDJw_ z03i=@klJ_wWFvzl*bo}ol3eB-Cddc_B92u_JhW(0Vj0|pVzIzwb^>_C{DuYiVl}an0-7j+ z_rnG7)o@&oT3wGhyA6;QFYz)LQOZi^=W$v4GS`?31j4B*nyE>v>&6Las$1M_L2c1* zigfN>{P$`gx%!fgWt)pD^NJC`VqD2)T1IQ-=hVyaz%*0~m%3cuyh#E(ii|`43Sn zfjt>s)I&4khmeJNk+ZR-hWNM=kcZ@s@m9?@4*r5I#2tRwUhKjl!g9_K*8pl=AG~ts zBn~rEP@Z}pAVVYqlRB6N7>0UC2C>bfQ)io6duuzPY}rwZeO17pk?Z;iH?ECKrB=I1 z2@cTY_=&M4#;Q>QC1V&&66XoHD-AC!Xw^|P+3rf7_0ZS3P`y2JJxj{#0fXt<_b2uq zF$Zn&Q3fd&lSadlr~;rf`VrwU!iWV{S^)?3&|xW}#ZWCG@|=D{g5T$BBMA<{pG5dC zE~u-CLL{`m{PFAc%X%_82wKsgcJYa`cPj>2AzP`M@hOGh8cgRqdP_h4R8jsQvAHO) zkj#|;}*$azN4%7}9KX6wR`cWXmc_Up0ot?%p+@fd1E|iYB#HADYjO6J~ ze9~EJ!CC2G_2y)ssB*2YKYHv^n*gNoZmpk#NuRmqNO6(@|=$NoN`1VQ*G zf-tT@1{k#cG#e`n51b<;Nur7%GYaviReihq2Tv9qrvy5xBJoalVHXB81mC&h?{;EP z$>ZT~aCrEbfSmFyKjgMO^wL|M2JIOvgJ%&=fjFsEF|_rpqZ^)5ZQc4bmC@wY38 z(-Pk?71I~S&d`9vM`4B`>sX-Va*cmPnK~6>0;dIqO8QYn*cRjkd!OVNj^nQLAO4_| zmJ;N07^{_eXApryKftQ=e&^MCEWYc#e*Yxzu*~P|tfbhwf^Oco0|#wDU6a~S&kPG~ z^!x1$o3wq+=os#$g5*aRq~`4_*M?o;yaYhPxMP5P@v}&g0nQmFh_6C&ztBp?^+~Fj z`kRy)!n{j`sT<)9C1Zp#kJUbUuobKgS_l-2Yjl)7K%rnqpe8LKSZ$(TWPVSr>l6Q4 zlic`Keh3!kEGtrta7GLRb1mZ(Mn%F@R?ljBHNHdyPNrp(Vz@Vsrc8+ID>r8xx&m@b z7^4}bG6iTUqc3^d1YrVq@`&-=y0?kSO)Z}9b3>A4o3gaSGs^4nrOXVZ)x0PdF{XD^ zuQd*wJFnrSlSw#Y6q-*kBk!v(EQ3C)Y;6YcL`-1yDd z@NsOkH#-csd_SDcBAvcO+g3W|ve!esC*u38plr7Pii{@5-r}z3N9$ecvL@TlVEqPa zNwY`p$5ylsw+B?a!O{0*(3sLhRkD1TNNpKYO*j-cAempf4LN~GBi?>nrbVJ_&;Xk{ z5sv8;?qu%tdW?2^hrB}4J$l0G2>mVm%LdJ%XCOpKT}9&nYYMwZu*CfZ;Em-e=B2}^ zy`h_11d_Z1mK^|zHc(8dOqN!52VlZIahdWFXk;XQ{SVuS~)ik~h?3#kHOuYdq8 ztuQs2W*iPK0ht|HBffR-jtVo!d~CQ0rKT!x5a!|{@hy;3jPGXia3c2nr!i+!tu zWH>@9WKO2@k?S!8S)vq4^U*&nGNHhH?48pKAN6KGJFVrAFLc(rznVKnR;=BQk0)NCHAbafg|FX6p>p266T?6Ar8e3H(Z4qx ztPhao1cKHylCKFw7%D!MZPQzDHwdGjDpGk9<_{VN0sh3;be9~)Ls2p=Q1{mT=x*vV z?X*C=al6S1T3MNW7mGC+p*`FEqY39HqBh(rxwJR~IW?J%cKORT^bvrh=JuHdd#$Hp z4vMC>C{We!oW21SA}=ESmqqz~Xm6%ktI%MUXx2CIXc8wxlyQ9?rNMdWf@!a`;$%e^ zf#ESEV3ALbjP{<0h;pn&ul@zSDB5Y~o_(aRYr1ClZl`Y3pFOW zu^_N;kZy{j73IX?3?}&?VKos-5Yn>P&|-Zf3U*E_O$fc20*IihCOn6bKS%&ymIoR`*Wj$T8P zer+O*06$0QmbFui(REPB*gnbNvnj|MR$4}^14oXYcW1Pmyoku}7!IQm^_je1!tv6@ z5zAVa*Ph28)#xIRS*RnIh1yD1PJiVj4MC*<^mCACrQ9m5Xq4BPv! zpaD$99r9++z-TY#t_qqI}G*!WqUU4 z5P)qsAu^H3?ufaC<}w>JIu_lPx8w1!vbUPA)$;m%1pQ;2Sc|&S%|`2E39U>0TMZ{s zXuUoeL+=x6vUk4rvh_*oBR)pyDS#~o&eiUgV~F`2{Y~Zrh8&}D#7B&hU5&mj)=E}E zeL7(NK%|Ku?asU0u`r(0GMDp8CPR(drYH5o{8vb8^fkaR=F<@mn}N+L2x?2?FI_(WNfa);330n`BG z4}_T?IvH&7D8YvEka2>|ATYd4+61VFP;0tp23ovz(7x9ff1wQ}KL5I|Eg`)LEaepu zdgH^*6S#m`kWhtz{I-MpIeAywZa9P7+U8t4o!$exogTbCa})vfdRlHv(KUqI0v`zn zW|dLk9_P(AtxJ(;xC-OGsLRUgJxM1C7mlUk1;u?~GN4L0hRmJaK(G*rsEG5=Ct($< zoU4MN3Y?RJYFgTQio(jmVXz^lb{Px~!zDpSsDnBPY?etz!C>dGDKOH8tB@izra}rU z!m(gE6qghs1weZduZYuA$B6{n4^g&j8(H?B|Tuu%^kHVfgf)!TFovWErS03*Obfgn!E01M~h0zCJuObc`&Ic0R>2 zv~vIE=W=80xoz)xHOiim8q6X5ew$f1Siqog1mHE|5lEIQpKs7V&7+ zx8*}5{}?NE7K{QlQ0xIue9YS1E_|im&>^(5Aj9C9JqP9HzLSF zW)k7?HlzL9U2YSxqj4OM(d1^3$7IFt1ck!_xCCFHL)ao(gAZs+tC}hDyQE#X&D!DX z@?s;?x!uX0*KoD^-tV9e_4@`ST)g$}M6dn2*>zW#Elq{Giz4%R68diNeJ7#s1&vb& z7FUi#zg~R+;?@4+(FNn7DkUwD(8z!}1sg7e)L9)6di}55>tuC4X4RjP0l8N%Ba|f5 zKE$tw1>Iwrc25oX3G$M#Na~VFPqM6S59q8O&n#AQ{VIdBkH-Ap0!6je$@ zM+Z+*8euSTJv!wNnQw1DGNmD{QxNaH71+r+alx6hc7H74gHgz{{XQJMyb)RWVboAt}+#Gfu{Sego^*3f!_g*v(_YOlUhehQCEs1&T;NJ6op|`{dl6~ZWYl-pt;oP%Pt2#SmSK}3esNwduOz5-SjyE*)t<8sz)!uQ`!!;^4pLv_l z{di=f#5rMBTTjbAy|48fnXcwZPAKp_Ro5Opx8t)pR}kjxdLk1JaH4_kK8{sXkh2>@wSl-c zJW(T1&v;?3h3eEoA8~oe<_uyDzTS#{QQmJUy5@t`YZ z4c(_A)6tjLTLP@zvTUVx3CE!3$am_+17J)+$KhMg>&x%v_Gb658{|F^2_P{8VVr%? z0O!zMsk{>D9J|w&3C6q9FUfu^f{+kDArUYnaud+9vA;5ZnmT`*@ra;SbD%0h;nD(Y zlnG9@1y+g4T)@%7?j~2+jPcIR&W_3J>+R=v7kf1JwKw@SGwr<^C8|esg(x2%KRC?4 z8XBpQ2curD+0&x$b%pOT0!Nk99d0+!ETPjd)JIs!pAx>+kSc}9R1$nRiIU`4@`P|j zK$^kDVo7c_O`>zTh2#;iFPv?C2w^3cT(lK44F@aKn#PSP*~==I`32pf&0?FT+%J(f zstB*K+F_n1oy(TVKPbkvZR6%NfZ*UDbX`7$DwNHmQ)Wca6>Z?Zk1=o{`sjkAuhtKAzp z$00wtFSIvcXd6sAW}Fgc8t~iJVg0*70%SM})L7lC{x|->6x`N^`xwr$P?%A5dLxFg zu-a7yEXq)z6BCG+(s$aIsY2$`OKM?6dRH>I=2EywA2(m8leyQ#gRRu>u{Y9(wcFua z)nu6jf32FSA?A;u9+2Nb8ph6@YA{4ic`CN$R-q zj6@RZiy(;T$RMy8*zP9bJFahn%iYF}jps1S%ND2R%`1WRtlQY>WzZlp=^*wAcJ4O% zwfOSlrGOxxkFQdpYB~9<0j_i>MZ^$a%uw)=5cH6OZ zXx*8`cQGDSVZ7P9qaB%RU`(Jr0R0fw#Ku=21-oj|Je1Y{OshV_*Ei@d%faF`{Z`Gk< zY4HTX^W52fm)qTgHsQHsN#bHkKYzbh+R+wz_dU%TI3>T{P*=8=erRNA<*@>emVaw^ z^7tlH2`*l6o<1^F0#&wP*-#QTi|Z`Jk)Q|-Nn{zBIrv_Sjf2-xg%H-x-Wlxeq?y5R z8mYL+aE7{Tkk+4#yHTn#Js_z|ubZE271VMFQCLht7rpwm6Y_U8FfZ8%+`7@Ix8D!E zmd7f1j7l{gVk_Z8`>em`c760;#~XpzY_}L2Ar6fsbz&Pn4r=MvOHrRWu=U_GZ#uRg zfIVY@sU+pGVU*p!cj+2g2a8O-f77M{Ze?A&xnN7o;p@2?)vJ@PMA8t=h9l0SA>Um{ zIKzH%9>|ΠmP*GU}v#7QWeWin`k^Eu^q=RTA`q!s?77UlmqdTKk;!^V}0f1AVOE4zLS z-)VTCQ9+`q-P>X)C3PW^e_P);-TO}BbxyVpubpsMM2m7^f42*5WSdbZ^1A77Yb3E6HRHqy$x-x$h!ry*cU- zt*b(%x88d7a-PL3wnfe7d(`Ii@~vBQbY(?HsWgX|;dTAvl-Ckl+$XdqExJ1Py3DK1 z_2h|iQt#h1Mx~y2-L$z^JA;CfB4e@fz!Otu1|4rSb4klDV5mL(RQ4*8J-islETYD_)RB~$ z7!!<>4i6rt4QVxOf9_I-AK_9ZD+xQx<~)2p-_PEtJbo#u{b=sIUk@@ntddXMRZJ;* z9iwUu-URYN7=ictaR_85_I(Eo$^488QfYtLVP&ET*uQFP)*b?;*b6YpqGkP0`WypD zWVU!OX(<3{@Vc6l?co}e=Zt?aWwxhq0C1ude%Nsg%{U+?OyXl|Mr-ljU-eI?vgPo$ zsh?nfUmlm{uqm*sNn+u0-xkByIF8KTlLb`pLd}(LlsXZ@WCv9=1+7*+n*e3ZN$DhN z9eNc#;wcnK)5(1%euYp1^o6rI-L zh#J|AA%yM05Zh2Q>Xrt9?)_&Af0W1Ze^fYZHspG*Wkl|nj14Lt{<>IgD9qlC$HTc# z4HoXkoqtKMRpK=gyJ*PNlpw6{Zy*i;+Vooag+(PsZ-2;Gg;P0n65dN)uLqEFv*fI< z+RtX5**L@L2y%x?qX-O_;kxvLCxlxk@ju&--{=al#Ra;0s5OaS?-kh%wltw<@Me*L zLEMrRh9HtLtY-7Vb$kaNpm!~2X$1_EgH>?ETC0>+$3yao%lJz`v|zx!-JVC{dt&ys zb2Tvy?7K?1r_=vg->9eIF;yn=nWrN(hHH(QzuiaR1s-!#?t6JqKW-fet3FQP~Z5<;5a;KTk%6FOzEsCg=fw zp%NWZe+Y&jO<*M`9niENKPX)o3JM?AB^b^LSRD>h zjkf!V@OMWN20HKy;n7|sYgjZwmHPIfraZN$Mt`1;sovGC*m3pH7=Ke3COuqCRrJ#y z*0hqW9SvU>hJ1gI88wPjgw^>13fjDZZe_H_3o_O5CR@!fuB zX7oPP1OAt%Rv16^-}J{u0{+O^t@coi04<&O)A#oE?Gem8qE9q6A?SXMRig-|U?CBV z4h$k-KG;+kV)mG=QtRnwX|Sm=3M#tQH}Ujw@rP}p+G5k+;MqG`%{BQXeYnSI*letk zjkrmxE8-+&gpYaN0iX{4y$y&2Mg{R@vqkItlohDcGmd@6YV@0VuRwfMXKbm8Z1U>A0r;B6CP$d#MuEqAB?rezg-u6=!6m^s5P+&qj60 zhN=hy4^^4@90}=cMu}0(#5PWugs79~u*0;?K?RIR(Q6_)l#?4UXG@{MLRp!(>WAtC1m4LGVTLq>AdkD##N9BbK7PYkDJ8G3T)mJOJ6~i@ksxL!p2V)1pY1T;>_u6mQrz zlH+-d#f?9KytvAifqO8#-wyyv{0Nhvl2BR*kwQRid(H!l(?X`mO%$VtSDKreM(vxy zkmAc=C%zuW!qyM`Uhd8=E=NbHhmJ%Vn?ZDr2Z9@#Q{Px!5kWgQt0-IFJi(Nze0Fv?<7 z>6RffC^ING_^)Am&3hen(&!%iFg>4<>zgQTe^5e}#0qYH(MNQDjjp_~<+j95eMoTaap2 zJlo=oe->DAfKAGG5Bm5N9~Oa0?z_TEXYTBE6>sj92cZhGDEtb)yIYshN1 z-eL0@bCKQY+S_V&+w<+mV}CcdPweOQdyDU_?)0R7?LgALX!Zk$@AEZYn(Om3+j!bz z-_hf|3RtNg&cp-I-X{Qa6sKVfX;DOTuAnK^YwVcjZAz##lV!?sMQx45l|%!Rj{OjX zK@bwAI!_i7iD=k_LF|g|72Y_+a^UIEC}MEm3ZhwsmU+w}6;ZsVXi}^?Q_+Z34Y-1~ zqPo(u^0LCavRXYTWKqVuL=+%Q8eNnstyuRIg+k^MM^ubKDKwG%Q1reaST0VL$||+I z;Ct@>6yPk#Nt~TXyKZRhswJmYK{L%dzEow zdrfT}Wd$(-+fnHWJxF-Iv?p<`S;Oa;ZVA4gJ!2VLzIz>Op@?^xbE;JL3-#Gy`T|!m zy{TT~+ds4|OX zW^hJG_6z`*W;w#Zriair-XRb5BqZ{x%13x`ujo;cZ8qxs9#$Xg47;zCvaFEpi&>WN?>Gq zw0t^%=;$814kD%BTd?o%Rwowg0K7f2tpKQXh><+7)*h_5kUu`yr~y09Urlz{f4!ir zK)bkc-asbtXDtH~?I6K76y6XyeH85w>N)^XAkWQ0D-22M}{0 z=EPMKRE$|DNll8fD8eJk!b6nfB-?<0iLAyn8)B-+Rg8hx#aZL0jiJ{iTjH;c!OO|G z_G!IEA0zo2P6Yta{CDvPqj9n~3t>`C35HS?DbGW~na|h1fFdAM_0mXI&skE2HKA=VF5!bfDQijQ z?0R7%WL@k~tXLo$B3_ zo;iT+%7woZ;2jEmhiLe+_8vNW%a}S=dsCS?^v0VKdZYMF;4Pj#^ZD=(Z7?Q>Kh(Qt z@QwDHu6?w7W6#xx#k2-Qf584C`%Up1wY!(t7$tTmi9I0th@-vRbdK05WO@SUl=3TD ze4^Dmq4rMR-H(08(mR!VyZwUyN#Z*+>>1B}q`5IcKfs1W6QmGCWi>#DBvK#=_thp! z5Qz{+{T9iv4|9$u1lzl;Q8teFLwh)Hh(IJ2GbZ9Zg&s7Fz@RK%FTg%bk2h%acjR!$ zUV#8=7=l4D3Q43Ot;0Mu?B4wY^SW5=4d}Xr=ZUJiWUwj4_DY_)e-$(&g3 z81+j=xK8Ga-Q^KE#jm7~&Ms&P*crPHWrCktwlc5ub>4NZhnF*;2Wl2*qg=wACLnjj zqGX@DB^GTd_w;2R>P^O{3CdFk-v<0Uk(U$j#qUwf@#<{;xS^hd!fK#;|7@ZquL?$N zV<4WN8O4?+SxHON*vH(#sYz!Ad^0E>wZBBMZ-;nUDY8^lFd$`vp9IPG%a6NcUN~ePIUag&QmZgtzOEak zReM3*dpxGZQ9imYQDBLs?QgYvL7R&WS}9UBg!v;b0H3i$Qh^!OjScjL=N+1nHwxRT zHK+nOVK>k%nfOe8`xAsDizv!rI6tp{e6zcl{6O3JN!f7QD-GJMujp5=@y~E_*)%T5 zopALwt3ahm%9Y~XK$z)oXYrJVRyF3~;Yl8<;AB&D5tuw+C8}OK>K3CFn8ozAwT2>5 zPl!Rbs#I+psZK=GH4q>4u5^>nC@Y+952bFTu_g&4k`2+iZS1bo-3k)v4&-MvOL790 z_zp@-tLG&I=|u+215s>z=Sv;>H_TO#Jk3QyN~jA5$)A z%mbaJPl`eISQofGxSdfs3k6Mc`CUuBw?n?faQ)e59=$(IaBt0+FP`zHbKV3)WCVk( z7e|Zi(<;tcGF*1~*;%nuZoDLJSY8Mms)CI13FSd82U8b)y8-d0DRt?du*k0rBWsdc zQLIKh#;#c86!@ntNve^TNfI1MQnB-3;%<%^307w#0`9Acd)#t7BXEV-OfY>B(B+{u zltD>?#~6k(s60`N*2A_rgPMF`8P{wz3DFICpGHmLm59-?7_0QG;b4Q*m3tO?0c4#9 zp+aBGZV^N#sfd41%9rpTQx`Da4zcp?FlSE4-H8#7nDsh;d>zxAh6Fh6e88 zhBoozV#>Zk!ttEr&45E=%H^>1SS_PL=Z3PH!zAf#fm}P&3{_d{vf&muObL`RXHU`c zjv~EPCFC2DRL1DIl3tgspH**Jh-c53Wifiif>H0r0V#s=2F2A&U>Hn+3Z_tmFErZD zb+d*G=X@ZWtVT7ww+EhBRDbUORDJMV3{lz+&a@25nw|@+i_tAA9KqibeA2VWGx@i! zbB9;D(@uED0Pv|ku({*%0c&x?|JjXn#CY>SOOXM{u`q04r<#d`M+sG|l2$ySR9l8= zR{gH=q%v@^6S|GrS&b^q>$cd3nJ5IeC@8k$DF`cn6r`R=XRzb=vZkpP0z(#Bhsf@Q z*J&l5TsJbFrkGe0?VzAg05{qGuyK+;wYrUp(7>!|O+n<_9Y{`s-Y8WNSWGE9(Nq&tA?!S)e zE@kPKiCD_3WgVUqqO7geJ133Z#+`<@W^(nVo1>f9SJ>D1p=~S;BaH&Zbi>>G7>kbNoo46(lC=FM}KMFsoLhQUw9Y@Nk3zUT9ms?4L@zHBF#k~eDQDqx?~p2;gK z!zzjEM8e5thUhqqsG8@?8JmT(OIsyS9g2I9%;$}lU3hLei|5o1=i2S^T6u}AGIG={ z!etAZqOEEdkSmOg#X@QqU1 z+h2pCwK>Y`(@SU9`Ibg1t5Yhrt+U!Rg$tc8w)fnmC*yDujhKTcX{o8-QfTSBw-E4^uWHY&c8YWTU;p7ZMxH)f_EDv4a!Q=gPec z?bNLu1*7a@%XpYpPnNPik~t^PZI7K`idDYHH|eO3C*3@xHMC-$TMIhhiyvW0OP%3+ z*}RbrLo!gByR0mkSQbqCL><}``Qd7dA_DF2P$^2*1{_0oj|_jX0>8f)Mui^1bCXBc$ad%7*3z8^={Y1CUmsd{S0U z;y(VQMVWdrjIq_jkhyVAE9vg)2td!xsV#dP+DJe@xGIQf)XSm!HlEI1=S*>!&Q@&l zxP^SOv_0Zc56>(iL*ITa;%ESKPb0g`e9&$8yYtn=in*4z1g=@z84$Ga*3RL7l0P2* zmj=nb)2)}?F0G|D$`~!X2LWfeDT=Z+h~JeE?4G}Vb=hTbT{#$wVE}`yhM%xJ4D3&z zMWKQr7-KdgrVX%0bxjHgmXb}$wE0Lvx~%EaR1?$k(w=%^wx-D^kKL}~(9b;IH0zuiKaq1RWzvSh~7s3fDz{qOB#_NX`L=lAxFKa0sdJY!^Uuc^z&BMqQe?{x-8 zJ>Bgs_oCr%_*g{+^d_sRYE1SkP4Td<8XwyLenZ#Y-B5bJ5B%$;f*BjH80Qy$JGq+Xxhe}Ckz<7%Z)7p0nf_=1FyK+TFAT?L>f5t?HDR6%OLw}-ze z)%O$72j0-D^;oONpAR~qQUNq|n2}AF$3DfnxZdj@aE4K=oY91AuxHmY zo+g;CkOy6r1wfi;s=Mtl2V6-+nL}O-rP}W_*8*SijY)-8?1tdaeC|&U>(M{*G%bwtwoR)AU4WOrVVC{Z zS~LCJ%jUEFZ7|+;!W@{M;$!m!u*PGU%sN>mhjEDpehO2zeXfnu4w-qq)r*Hi&fZ0E zRt>QUL(6A6;x1{n#Ov&V+9qf${3$^s`V&Z3)4G#-u$Fw~QH9Af{XISsN6|DxB1 z&78?RQG#76@Zm)nZC0lZVc^BK=QJGt!?#GTMRJMnE_Vr#`(RcGV^IHzI+sJ-68yk; z^yxOh)`ymHurUBbVo!tzloR(841@LK_x<(xFT%HtwpE=rgSJ+DMrNjLBehMd63c;2 z3uG$8&wZzVjnF!9@G`LLhZ&GlCBcu&gWGb^9;ruXRt^0qel4k_{3`fOc(tPUccYvYf;SFvAg zkqmfp#0Q<>l_|(f85!<=&XHpx%us4D>=4=+z{4*(Qlk@yu_K+6zJq7@xBF7{=JCKx z5RPpaI6R3@MMo94MU7sHTZ{sY#(o*FrDa`XP8bU&(V$Hb}H_J8B?mChNB`Cj^7wXRScc**7< z&<%aYCJm0L^{>$N5ga&9*EM#7bV1%f*f z4Ghiy{Ds5!NcI%tWVOUQ@@9csQvyrE5m`63&MUi38cH*Hjhgv`88`p;!7QdCowDa^ zn$hU--eklhT^5l1ZkRm!W8ih$S&>yik0MxZcpY=szS)Qn)ybD~D4Ez`7%Tb2(tZrk`gos&s=#d^#ldNFG%+G-J3yhz}JTAN>A4@ZRrQ+GL zB0r_P+OoFdqls4LV~JKJ#zBLNBjRtmUEA2PYjJ0Tj^z!ZT4?3w@@dCG$9~7*f{u}k z(CmVbXS#0r>Y1l)=T7nKMe6hAhdO{>%64K5@pK;R$Nr{_(Tkq*b9TXaS>(^ZfXcmOiZF9s61%3ic zrD2izuc*X-Xmfn^)D%@iMb^u$OG&30DC7kI1%0;IOEJ-QzcaLduA%4Nx8>=93wThp z4W7b`2FN$-P>b{TK$cR113eI=1O<=_=ux`B6#9t#s>Vja>~}$x^M8e&^uM($5HO7k zj+jS5%&>s_pGVmGu?|&5)LXI{cwtSk(Xka^Fi(wGN(29nfD2TXRNiNu!qS{dPtet^ z+(*BNyiRIgIu0jL9KB zS|l@yugWupszQ<<=O5*nPTEGRj=!GCER|7I^L=_w;Qlg=Twk9m{)Rs*{p@(#J{hc= z{$$=iyju{39lTAYGyu~;nM*bswpQKi5B|jvJODNIy5e|+xhf;={|SeZE^AF zIp_Pve{TD29(nnetmNXq?mi8_=FKoWA$%Ud`vv}v@KgL`x(a6}vOzo*mJ^c;eaHME zm_gV0MrpipYu2p!E;7?F0gfmYF8i^EW>Rk zgp02UeTx!0UP=Q|`Er3O!vwq?8sfa_a)Rd)fjrPfEpr%;3=N`cA1{3Klpp?j`wj-( zm%??Ly+jxqhjoehkmB9qN-+n308df%Ub>;HKI6Otk%PP4hpISqf^~c@$aVikyUjh3 zkdBUzlMp&VvulWJ#qU)xg8a(Y8x?Y{->t1|LqH}&hHV3PLum(eE1yS(h3n%Xl|00W zbJ3|5LO=8ebb|yxDI>&rA7Q2dhdGU#-fn3p;mEb;u!IoHS(X?f-MseR(wfkS1y1qAJQa2P?%s`&pbqbUYOF#WFnH( zRfc)rRi}ODTjPAUK?jmSYI@rXs#Cc;8k1~Z5^b+AmLO6XPq5f~IP5~_n}!Hf*~ts^ z$4!l%QqI|MMzagkz)Tg2`8_zWT&nz9-tJFp7f+?)GouRd_JgC zHE0)UFv+Omw=$ukIf6=NYEu2XQZc8QZXdE7IKh0~dLR7PzbIB_qh+L>Q{ok)$Dh`t z!_2lp6${cG-TTr8paw`ye$-ZBK*w0o!rs|FN%BY!DOooD?!4qk2LtkLW#3Zif@~je zVR9N8UU8U+L$Vl{%ZpxdSeag2?;3S^&$`_GE6=eUgQU^CbTBKS*2$JMcF+VEx*%%P zBCiq?1L2qmzz-Ay08e(&_DgKTPfIxLjQ_cW^Y4KFmlDo@=VJbc z`S_n)Ochl%HA#X0>|*}!X_(Cai~bGU|4IIa^}k)m|JuT2p=V_IuM#E$0|U#yfR59@ zJdc45ER=ZoQcAd+%qre!@9r)S8zkd62~mxcons9l11Sh1qEnOvi7De)m@q9Qk`aOu z2t(|0nxu+?4XTO?%aS~bijx>;YlTiNjZYWN?1blS^EdF$PfhpB`}Yg)Y1J&Rm)|QO zU|T*2+0ZB{D}3b|AHBATZTSWr58brGT(OXkzl=ZD;UYQOg_|u-H^|afo}*SH&bmkA zVC*np4TEKuoU5(FN=~K?l5!SE8n+YUB4b3v;4Ki|zo8W(G19FO&Pp?{DkTSdSzQB# zhi`>VWp7~~CKfh69@v@nMt^|F2&RXH2prQ&w91eQoTqvVk904knOoI+(>{wt;@*Eb zr}=%5U}ukwTa4Uub_34SngeIlR-hwVYJITj%c+QnkzOq&(uN_aX#bF2+H6N?XawNz z_%u2`*}Tk2T}pWiz{(DvCF^o(u(FDs88f$eWtNlP$O~o*Q*yIZEGEnLj&?8im6wN? z`{&9-J+?eueIF^{ZA)k<)+<zf>#TFgo&oa1qTq91GoSkN^ zSG;;2ujiY^Ry}QR!QY`GKwxlKJVxiWJH&Cyatpt~JN#k-ot=g0G6T{0l+-=eC0^ z7OU0j&=W>=lbA}Cqu`pf8BFaFaz{zoNt;DyrS$Txrg3Lor{#mDea#5fJeuaI`mZXl zi=GaV)^peHzj!rb7Yd$DJ1V*PcFV1oomHllt;@5PjT_a|RpTqkE4Zxn9P8ZHx~zIy z{?!51^)y3hR#J^78+afRab|JL{)8cw`xb8)Kcabv*1=fSQ53|h6bzEl@1pJXTWiKw zj4zm>QG+86hgA;!ZMIvDV(Ug%+1KSS&R!`#Q$DGmIk89MiKUg0$q@(nS;{D@C?e$r z>ed?t3Qns?O~%vtD(aGd;8Fgz>WZyaQVcw~E~9Au2s2D+D#$2kDJ(T>fJ7kfTm=~)n(G`;vD;KwyXqA9# z=Bt(@TTM2hZirSZT2!{kZR!;RZ{%Cz{v^g&oL0E#o)@^Dr`MRP<(_ZoOQJ_6x;ha%1#<}cfG09yHEv+f*bBfe3DdMu&ANnwb1Pu$-l@hMK=UmH@LdoA zJR^jC)a3+b4`y?~u-k{&8Byp8G8!B1oRE^;Baz+bIoe~M+50oI z?~|oA;{=F)4@kSuShFXjJuKE1a`|uM^ zZpE0!_L#M&@7lQ-yZc;7ufqvk??|?1NXI+fw@T>$V(&}fp<4g{OWBE}MYbVA!fY60 zU$aIiB+D3M9mc*!*2pd`L}g1v3fb2dyHeI{DT+#@l*&^7Gh3pd+DYG*q-&d z)XC)z*|5D3m#B01Oxl=JzfGc1rt4}j?M_xq%WgpEgOi%iu{LXt#1!;3vb z*r&E(P5Fx~HupWXJ$_g7P($lyvFfKrPlnI))~kNJe=eCsEsrGp=CLD_dSB}XzielJ zzxCTw=`Z@FqCuQk{=JXx?LUa+c`(E&oSxAE7?-xWzoXa85-^Tn#J$^U@-7ZJB;?91 z^I8Ser{J=}fO$Dj8^VPnA^zsie`mgvZ(~CdER<&skaTznDS97VJ zrit-~Dw8$SGwr);UJ?;wt`e)O5*K?~km)p^!D#_8sH#%)uEw(xO#EMlVjQ8yROwoK z8x#__6t(xqVZzkUT0@O2luxysF@PGXVxn5k0_(MnrD6!L)O#o zxs!wM#eG}ZKks>)eNl=@$DB_bg|sl0I&nu={Vqwwy<_GmhNhBpV_@ono&>rMl^Fry zuPuW5cR%)nns08={7hYS_tWQ%()s-5dB7y&oMaC*9pC3o(UWI$?n!*S`;OncfQ~Kh zGx_Y0=-k14_TgQvo2M-~;}CwE#6knbg5<>_?urF8s-yO`BTm0+G2L4bf1f34$SMjY zIE6U(#l?Z8zQ8XyspeGDJ7MO*%P)fSXG2s&2cLkt$YnDpaIsZyuSXl=gOrb?3nN#U9*w+OO@> z%`;P?gU8(``n^XVT>N6wa3r6$wVTzPr>Ay&WV&Y0`!jPC(JADY{I*|9-d*#xM#S)8 z_<2+EBS{x;W;`|byjXP|wWFBh#of3#Cua6J>%pTbqc6P^j!nrM8okSjXpiiIU7>bP z#2IJtM|^92bcaFH%I1Fi<$0*9!JY7#JFkYNzl@!2&*F&wbi&8V=tBK)({;8vx&yB( zOjVjED|C(wd}YpizGpn9ukKnjdhf)1{)@EFq2VVI3x?iFYG}4uwr3po9Y~sL&(nPH zd{n|m8?FRiR@$>=RHjB^0v<^qS71X>_6S=9Tr9U zqR2O|!F1P&`6TWkcd=IAfPvp$eX?nmj7P*>U1R)9lQ!F% z`Bbm-;HT49nO$#m9`A=b*tZ25D)!meV@stnZq1il8y+P$vOP4yeeoqC@vK{m*GSzx zlP49-#))||<^HwR5859~86wQ|`y0i}GzMSmlyOQ3W_R07*&$=9Ca|CG?4!FMl335u z6YD#ZW7GL~qG&u#+n&YCbtqyYTX<^IZsb=3eI)5bk}>PEE*c$P2zM|#j#S^dC6~mn;kCj_-f~Utt?W4E-Y41I-jNLBB zbI`8Z&wX}Go99wSiiiDC^+yWX!jT<8)|_|l!ljHarFvyj-5BF+%?jZ5eXM0op%6qZ zA^kXHm@)?3lPq&fxt=CAl1jbHAnh@`f?{J)uz%Nph(KZFXRG5KGc|rS8%|X4f@W<# zQwV&8S6Ssr*(X8HWk%XCIQdNtUJw>~WI8K<^ktCadGXggn=LaG4oX>ObGD!7$>nZr zWebz)$K_y#vYz!`aqjNj!V|Gu%+CM5oS8_Zm#xBv;@S*tZq~V-379$@-7M)WYYNGH z)Ez_FSQRxIGMya3qgNSDN$ou{9YYJZ7S=PqhIN;$7!={jf5 zo_5S$De(4y#wOQx(JekOu=}m8QI{bi_DQnr^qEgPQchR1({3cYt;vxk?_ZWw*k}8p zUv0b2`w&I_j&eH7uH1dL<&XD_)8>CXV#go)#8+ZIG5v6nVKKHf=;dg_9ZDy=hvix2 zr*)cjJ`C@vtgpK#D0bqS%e%nv8!;UG;pez%*t5FzbH;WY+iPqVoS?Kp&zDz8#=khn zbJs>8p}68Ud9j*y`K+4$JudgRm$b!)Zj#_WUh`u5WwZ3|K$!zy6%{|4Skp~9(fOaZ zy%K42!elPGS<=)y9H-&&gjaogmOmCVd4c?musPLe_4Z{8C%&#%U}==YyNe>3xD ztQaCw&%pL!2U2hHCu*HOUk%&(zI)WH&!SH$c;I6S-#5p?&+|ub=QdsxeDgd*cE{j` zOF?~$pRn_cEjv2h#n%=&#>e-1vwW?-XTCgl~GmNxgo)|L%5y88UG+j^ev(CS%EY*A<_MqpS*>kDVLF-NJqvWx=JFf<+pTEc?UEJL% zh_=djYn}LDa8P>pw&|(@&7FmTug=^~k$hefi21gSzLY{b=u~q6N!4zJjr<(FodFNk zgk==An3_HuApg_-=^x#yobE}NP9=AOm zh|9F~B=046eA{iMSebY0{`)tGH#>nvrRB*RUPR{D`B9;y%$uDzQ_rTbd6s>SqQ3op zcdv=r=!L|T-o~hpwTT6R(@aL9 z=c>U^8jnkIA*Yj>+e`R3qH0SA#p|E=GhlCUy0b>O-)G36n|IBZD2|m%lU6f-;M#Nh zw$%qs4fLI}9O88XE_p2cJX2@2xQ_*}I5Ma|y(}lu#N}v`R$ahxDSPwT7%CN#$Ly#R z*HhWL(q`lG_D+n~-#XZ}udIRdoy4uFi(qEg35;!fZ7`LQ0%Nqy)^^eKs>5koD$G4NUR9zuW}%uCTHqXFb#=(xPY%A@^2}PZHjI_2zCPRwpK! zc_{8Am2-7}&V~-g^)!{xJUKJw0h^d|O2ScICQ~zrI@iN|sD8JBp747rOZ({;yt?@; zuC4d^r|X4Ym`ht&9%6QQkJ#QTJ726*tsnU|)met@`dI%~?Wbqnw%QMeKkBxn5Ff!v z^}0lf-+2wW#5^6MTO3cl=bm)HNpZT}d0YBe8=4)lS1Sh&9Y{ImK`vo1{~Q!Cb8

#t&tt5ir4OfM?^)VaRy$Lqas?HPOJYz;?PTgvHGR@6&{;uSKPt1|**TZu% zgk|}9$Lj~oEuT;+?2t6nf0M`ltkuqs>j;muVh_jUKIUS^il8aet=!6|ZL<|~6LX?3 zev1TO82_>@CU8op@*@SCtCA|+ZJlc&pYG9_GJf0M6hX$_WM}%-^6m}&Ef4c{w4fsb zKTs*pMVrboUAqw$*?3a#xxQ{${YJ6GTL0I_H{NL1r#Nx7j6Y-YVrn$j2S zJxb*Rh9XpUa?IJ$mMBh>Em!)1)$~`{LGbR;p6j0lAYsyB5UU#Z!5ZlCQGRSqJgcdX z(pR4|?nyg`4^3CU(W};Elx6hwE_UC13zV1Yq)HpK`Ig^3-kq{kB(GUH1SA+;_|+>FZLAaIs-SNr_3aavS6J~&SW?z2 z)qPp%rx$*5^*M9yJ$b}5kapNci=c z=#9>^VGOxVP9nH>Us>7eKb}w0a5x!$*m}hM=BNZa)O~k;_ESS}H{w&N6xx0#^0cYQ zM9XF0ElFYJsgS7BxMaT4_OI$#^0xs|CEKblGaZ;ka@YBWij8V!_V0gxCz4DxXSY~~ zr{=A4ql>(GH!E%-gpP9ZIbEFzyrT?bI5KiXALF9ua;CHL_`zEd=a{@zXwlVR)pU%> zNG~!ry{+LMM-B3#{xj_a>c>i{FR8|JcJ1msbSl3He59db57*~ruI)&zSI0`AdS;nM zVGg8rkKR3Evt$sX7dq5Z`hfQE#H|2#60Hl5DjuIKLue~mBseIkbcfW=^1P^6n{qp8@ z1$l&m_xLIlT-~PeMssM_g*c0C zvnC`o8!(9Z^7V|MqAOOv^^KqiVc6<$;3A9Rz#%Xo>Njw*5)ypD5Gt}55`3*8RMS99 z_n_Wt)25~y#>ouj^qoBvZ-ZQA5B6s4s*V*TA@-%uz0=6?>?Jw1)!RpD{EyhCyb8QL0z<$En3W+Q(B5j!UO4H=U@j&19{kC?VXVxlAI`WoEZiQ9OILP zI6;IWF1C`KviJ;;zJ?Y^9_NGs2@Apm&|nAzBqAaR0eUF{*$)I-2ZEr3f&WAVAaJCx z2ofp^TKwabq5_U2oXjnd+6qdG%>ieUoK`L_4oD#(cXxL|cbFi~$x;X+CMJd#1BD6z zB?O#3?OjkF0`|^(7KALxSqidljk5>g<)X}Rt}c?CocM;8{}!*y&SAMBduKtyH436} zc0wK~2O)?cSZG-?P*DQV2~r-gGrQoNba6PW)WVgkV6m=%`5B)IlGhOd@#5nTX-(X z5D@^w1i%no2m}ccLE_~hkl;lbt4gkwu?U<0NXqK6i*h7{@M>PAcEUZyYiv#We{ef= zwJd-8j<^UpSPHFoFP&W!tnGfguCp%waRG{9?41F00Ki$%1kl|DOgOtJ*#mG{gx|WT zSdfDsch;2v-1Y;%EdaQJB^@mS3%G9NK`oRe##stNxaX@9Ryv7?4L(B|<$T22+1dP%f??h;ie(or9>c5K``M@LTD*%rGb@_R#Kv3YfDjuEzV0K2}vtbmld_D->XC|=^B5VomZvfo515*4rTd$>QV;Q`aisSpaQ-Q z0dPnQpqYa}s2nL-Cu_{UJk1wfpo)RBcV5L6Hjj93d7{(Xs` zO9F;$2b{AtFpw@j0t?kwl7C(muXliJ#4PAuTSZp=prEd<(ozq;%U&yGVc^4B|DYfO zK>j=&2*}~E=Bs-|=jGM69zkj?~k6I38C2?2va8iZd1!mk?scTo$g3a+DuHB#+x<`^k?4Fk2s z%7pXZujYau+_khdhD*!1LhIH?Ec64OBuf} z!V`nV;@p3fu$cb)0?hEw(vS5Ql7Cu#{fBS)W&j67hyXfR0Rs^ttHH5wOoRv#BEXww zotfn_R{mUw{IS={0c}}>|J7^7(h21$1r-)vY>#mIe`YXNll<@B&Hr;HE_L+}sc^kn z@Frp>>6VLVHA?Zy8P^e1aDJAVo~;hQO`rbd|^V* zDW#wTgTf#n7aST4{VfQ5FPPA=@A~kkX%B#@hQ_i2*R}cC*?q4+LKUPo#@^f-uQ_=v z%Gp`U!3l@6KoXR=ZvHBLF61vEL`6Z$)z#V@X@(IKLtB`k1u()E76Nd%nYnX|it0<5E&_+k3YKT+~k*ZlV&#a;4R#A3Dss>M3{x!TEk*Znu>v)w(aXsqdzcC~& zm~V+x%_;_lNY$*RdWcjFkRmQJvS<5&sr2hNt@WSR0I2d6D6A;FT zhzY=)%S%SYRx`7!1^00PrCK6@Y_9AOZ+cG(^B$*i6(+1cQc(h%NX; z`9-S6TnqsN1fc~i%)kHzB#OoWTPDC}0sw;p6GI7GU{Ej=amxhgx3)~cU@I)l3RQ!r zW>!-*_y7cpe9j_OBPuKcCvKVe$8MQ`BEiuAhN@ZiZUt<103(G(|M-@PAEXee8X{Fg zq-ubP{r3)Q7Ur&hGF7waM{$X`SayK5!ji2_nO6v()lRO~b5})hf%SVL0H0RtwEmy^ zy3%!WCAc+P-SeONm09Td_pFQt3hjim!d&ui#o5&#cYAbD)5HYwe~!~x(#U^-l+{&b0)PWO5djPeg%TBk3X32hh$Xqi zoqFr<)LRwZeue%e#G7B`F>h7OccRC9V;{+U=}bDm@o~-U1H6fXzf90w^;$J|Yen@R$dKg9Q*MVH8G8 zR2YpA5m}N;w8O7&hZkMhVYOn1$J^akZ^ObzvRT}QCF|rOhx0H5;_HC${}Y09g9w4Q zjfCW|7>xN(bLD~M?D%+7AQ(Pcj<%4z6VBnMg*EUi;D4H{g%4`(0)j#b9Ti%NAnpvf z{UdzI=r{nOsqrT|U=SQGhUXf9_H-cllSPg}NYTUPfQ}0gOBX12V4)l#U=MIYD2G=J zpaUWNDtx>ss0koT7);oqhCc%e34`&Q*tCUob-^GAWT7v*x)9)ap+#L?C~!<@5GVt~ zw~lvEh_3+0pWp)m;hO*g8KHGBE`~xt2qPg~jE9R6KFI;&mp)AXdPjfw-|ki^L2JE-We~x*Kp-|91}*OEpPUpdg}-TfkRA0}t%A!R~R zO07W(MaW(YeDgq0*5){gtL7dremP~ozV_rjuAu?Smz=pMqceH77uTbdGp$mYX|pa! z3zO!CHPEqxw#Il*2s1>e3|zu)>eKec@qJmI)jVO&xGGoy^a9Ln23e#@h1m1&R=3+4MZZjMoGii-Ov z-1dX#tTaE|wA-Vk?WS*U9mO}UM6T_giM7R6tR5z%SBEhhiC^hB*pz zUuC1>fTX&K$)Dl(IzN;*Y}1rVCb^r>JUJ)U2eWBnHdT5?`m7vNH)@}fxJ}R{ zFoQ&IM64Xr;5qr;lxaaRnbCI}P2y+@xtN37)aEElPEiFFQ)DW7i|$~^^?!Be+0>x4 z`V-;#9Z^g#b?py!C0sHI3JMLXE_qFIHx=&V?kg>uqV)RfM$%1iwdb(9I819C6a%Br3CIkq*__kNzK?w-lCMfW52@L`g<4UdRpCkw@HZQJ;Yn(KaY%d>kN zd~nMUMamRaxmM}SYcl27iP=tnd&IGOsxwyoq(>((HHT-6-kgb|GLpaJonsc`FL*eX zf|l>jiTy9-G;95&bnOm5NJD?-VyG-v2udZZtV@P)_|Ya*`GWk6*&CDjZZl$DL)kQ* zyg7adrSnRaN@^yJ zN}S!^?Sh{RN$e(fd&N=CLUHi)sX7VCqv(eZ^j}a_N#v+R0GzD`4FhHhk7*>QJTg<*!~g?e-VBsZ|3~F+}L>=(Ly2 zn;%av(~Jldd+~}l_|pa`*_c8^8H1Vv#bfb(SutbppWkDm zcDut%`^1(q4A$yg#Rc8Hb2PQ! zii*nD4HGf|$Z2dbm2wB-c8hCfwqAZ#_3Z$tQ+Utjqx@KVB#3_BOVuk}w^DU&ydrCv z+L|2nRFqP6>K>^^2JpFh!AkAaq&(Y;BKm!kKIh7q$7zCI1)PdAi+^~_WN+)pr|d76 z6MOEaCbUkRrwi|yDW87Jt2s^*a_Yi#cVofF_b&!AjB-Wi?Dv&|68Xrkx7=VCZFY5@ z{XA3)r9|^IHS74!Hp2${zxE4?Rv)j&owq|(-oE2k%UJr#(NyhI*3hGSx|g=}70AxV zYK**%>LH)3u`Wov-F+09LJRd1I8k1PvlKdi-;1*HJl)ibshkQv8k7Avy=aflmbYC~ z_2tu^qki@rE$o%P{c|p}kBhR&P3_Lv&5&Vtu{{?iJ9fIdY`A_`uoZibffS0pVVlYU z?)ZZ@&F-17_0~{FoNnXr+=IAy|F-P}8~wSRFso2{x27*z9w&8P#0@&u}5gF_zx_Cp^V%vop8YhM@x*#ABMNDp~|j%aOwCL1*$B zgYM7yGV7T?h1(&%)S8`}3(k3_rE1qU?DpmLM<+>J_U=Yos@kFHiT?fUBH6B^51!JS zzX{^VaKE@GakjI${ENnp5mGny@bY5!a29R^613}$L;I=L3Cl6PLD9q=?zk!;x!jxm z@c~nW|clSU<0czufANE?RxFomawbSY&O$gBGqapIo$=9+j$&#Im4BP z3PiuAp4Lgs+Fo(CkXj-&O|^TsBu|}0PQWKgdj==Pl!tp#n0tpBpT)>AHn0Y&Z#y3O z>Frf6_47wroqETvj=Lh{+lyeEP0dsJPo0)G>(Yl%QJxBVanh1>XXn9_as8ID6Pc28 zI`2q%<6hH8yc)2naV)d%yV!Ge?}ZOR+T;RkhrjT^&&w!=2Q$pm^B?Jvjj3+qtKV`t zxt{$%l8NdjO`Dq@D%T#0ZGHagoV;6_qu0sgD240;kM4yU56K?fQy!r!%~O)Vm`M6{ zi@>Hd|AS!5VNUg1rq@k(DV=4$hVnhq2p$$T^D{PsK;#t=VVgJ3cNN}(?|N_{yfalU zz?qvim<-xwKAH7F1m5LMzrj|m7p=Sd;Gxoc-ut-&GUv3k>H}!+bwCry4&2nYNRPXA zzRsMqQ7ez!b?b5UeY;Xm*VA(zb-jIL^ER*o)x4AJiMVNfiv50!`Z8ras_o6&u3xKS zJJ-0=V7n%T;|@}j2??sr4|{txwx%E0BP15ym;l`d&xmi7dqv|7@h{XgVxP64xpl(f z+#{yw$NIG#qsK-{BffmC(IF4oH%Vp@p*pP6F6}$h3VGMRJ?U9G#mK9(-rNtl&+^~? zl1is{Sg>~=)7#waW3|pEW7+$5b_|?n9pVf2-riMOR%>H=#<{yw!1ifcX@kG!zG?v>42r6PEIGWCL-y;~)z_U4&3o>_LPaTPPwJL{zC`|Y`+g)Pwf6o-8NQN_ zh{TWA#r9wKW8D&Wg@YOK26M+6d(@t}{dm_+aQaM4?U(kOj^#;@PQPn*Q4#^&DTI$9 zxxdJgN^^kiqMnlxwLrL-s?*{1pWJT?Xa!J3Q!=j`c|^Qn?m%PJ3k`v|&7 zs-L84QXcxT1=iIi}n)o1+zxo7ji+@{F%e9M_dOZ8HY#EeS zS13RO6Ale}{I=kV@R7G(UppIb?I;}Gx^2|wOb?$RBbz#RipwMB!Ao~0wix%6G(N5j zhGVnb_}ecc9ve3~r}YZ6`g?n-7?xdelQlW=jigDYQ6n_D^ zS9xrSzWU*rG|mPkQ*hZa8`mjB4VOY8Gg#Y}(Tz4Z*1<+B<->U^dTmjJpe*wCTNQz! zqo)hgzOZKXNJcPUl!g~y?siS98-C<1>{a~L_ghD1XB5> z&uWmIgsN}a7JUkXO1Lu3JO#}4<7Mt{vjAh1 zEc1OM`h@XVOG^xom032$G4&f3*ESUlrx7MBWM`_0Nhwj`}_JlOz ziurPrGvKmT8?uZw`N^lN9xX|A*Gn5mwP@=>E@v zAcw;O+bdSP=lNgz#1Iw)0~;~^8ecgmCuw#Ev^C`wb#xYM5|VzuCUHgGvMRqn_;Xj(5hG|2BWSF_7h(J(hDRWV zNBABWtIih2b|OTG5LpF^?+=L(S)MroYp(!%v=lK8&-VyfoLCY^5#lJa1{f=+#8HGe ziu|gtF5(vr@r!0<1i>E>N0B8R5S>DPkBsFrB1DJ~`SlkK7^EQy1{nbVt4S{H&0jU2 z{e2_nUt?7Tw)qhL77ellOY48o*TwIcHMN(9l;0QNiNRuV?ow;&FQ)!}bu%mq@IAez z_Cn%MEB};&TY2#RWG@G+Apv~xEvlFZie-f_A1n;He+npm__Y2%4GRPj70V#_;owh1 z1!8fm0DNc?OxpjaK_Nl}#Sd6mPWTf+A+iVx=-&Ypa3Uy{G4R8|p9l(=n4lQ`Z^6gw z0p$PbkpfBt#Sd6mPWTf+0a*lv*#G5`0+{&#-yitjf6*7n57WJ0{s#F$!awjUL{u0+ z2JrJQ0){BCJaqM!?JwhEt$=?ZEQIj`2JrJQf`#xJqror3Vy%FGAS|Hx(E|AS7r_Gh zM@Ngb0{&sJKrGp^{&v%gwO{`KV+-d$eRd(T1dPAi>|*W5|Nj^l|M1xbupN1U4*9#y zF4lhj|Bqqu51(B?mtgUCn_a9m0Q`?(@eiL};3pLt_z#i49ew)4>-`sjvG#fY<-_F4jKozX*%9 zuK^+~zJmpT2n|UH?C%GQwfFTGVF7^>M~fxaXMyzj4i?LEi@%-iV$I9_7h$n>u=(ZD z;)lu2KWuh^2L@qs@prRb{4reo;mO6?$<03y7KF*g-_3UM$6&GcCG^X~#oF=a9|#M= ziu?^N z7i(t+zc}d>S+ra%Ewm-V;=6AV!Y3;HZ)mw#JBt4zEa32kRh3H%=!mfR4i>5l-$jG`QBbV4pZ%5Q?gdXM>-l~MR#7aP^9hlbQ9r~rAr$y| z^z-j!5=7wxr0BS#T+mhroN%rV%Uu!YPWYrt_oo<$!X={;(!zqvUe6lnd8hbff!i@|rm8R#tJ`_7u9 zkrp^7JCutQ%E19^jYi@1Cgfla#GqKoT2YY%kmzFVf?eaBouFV@tjj7jEUcbc@+J=G z6^TXJTS{_z2$*9mP_9@PPALT)7!(EpnPG8gTM!Vw+j4z>It!`aODFX1yN>*6Dg;(X zYG^EL@w?mZfpQ?+Zacg0l}M<9)W+DGTjSLyk3~5;f|$Y35MjiEM*$5DLK z8Gx2y->Vo%L;^9+&0WzLCn;I{g9rkGpM$X0W=<$4PY?tcOaXrAyHdZ|;RU#8D=0}( z0oRHI?tmQ-VGKBt_5fnR+hSZmz}=MObmEkjS}!ynKDhc~fP0O_$YdZwygVR0J1tNX zp9jZ>eqSsk>*Vs&5bAPR495JYx$-~^82qCPf-l65mj?p9|Fp0M26&8qn!6C;9R!6g zM4s2iIOANM(1eKbgt1u%xN>}Sd-;Xv_6u?77Fs!=gO6j6PX%h@BZ}Y~fSLdS>V?6JR|GYI;Lq^t0z%zG@Of|q zK8QR%@IC%Sj1bEnI04GR0b+bX7yt*f4#ve$2mpeRF2=*fXl<-}f{fpcbuY3U>wd9` zUyOB6xG9VG_~)_ig@xhJ)v@l+=-Ed16*0_DnB0zrmUX?q(yd4ja&3c?zPG~OB5mV* z*mUcIu|4R&@gA%wWZYkEQso_aoG$IW&iX*+$G&ATR`Zxoz3k|5(ty7%*xf@cD#}@ZO8iU0o$!idClV_STAx&qdwr68lHQ!C z{6v3V+>s}2)@wkkUWAh5mQ-qTkKDsIew*E7Nc1M2h8I4ehSwLND~%yd6k%##u384q zSo4J;)E|zDy?ax0ROsXRL{48uJ}-~cC(5oqR%LNI6m-}qw07?DM_ig=%J}tTpQlUP zYVxc@a)U?6f**t4kn}xfKD}{PM7o(CQzI#GR~7s4xGdHTGBe-(?P)>3sjkG??F}(! z4<&J-&Te-Oxf^8%=aIU%Z>uZ^9plW{o~H0OkS7#cZRsTJM{d_0_Y*6vMXy^iJ(-e3X0%CKp1J_wM33x5}YR=)7-4 zwog)Ob(UIYZk&8l*Y*aI!vU8R^x^$!Jhue$1HX0#pg)ruUnq1wP&gIx(ktiiy9<|X z=bww)a9>oYR!OC^KCGgPEeig8C@`ap=7Lk}>wP!)J+<%DgAeNNHQ&H;LG=Ldb&a`7 zNFE>S(A&0i{bk@OMCZMM_N{KSryd^Y5o2xT5*Kv34-=Oo(>>dN0L9rx`&=%gS-qEi z8!KJd#8b7}BlYT+!i=`EZBf0kPbyTg$OwCfhjA~;k=GSslB!;~TV0sm20b!evY)0w zitS^J>^6@5Rv0DwoVi0GPfyfO9s(Khvc`d5j=8h=YE(tpg?r?Vn<*dgb{!iCDYQo4 zy(C@%VW5wpzT{r)UOh9fX=Jc7Q0E0#vP*KZspRn2lk-fwwA_`CzAoG%P!i7@hP8f78JNIhuXe#LqqNE8t;@yj z{K4r4Pg3e=-nOKlG&0DD6qC;Z<4zT|zLpbAZlONd+dtgJ+FOI!*lcNC*zF#4Fctb7 zF4afY+^wNjc5>^+b2g~U^@GkJzNGB(;a^2{^1$n_Mx;(Cfx)Jnh_VNNqxCHNU& zt2(b#T=BPZ&Tf#IG8&8iG9J@6lg^#uRC_(f*I;}j1CooLK_Rl8H%rv9%+^MrDNIhG zY=)~)A*eK=J@exGY%)LDye|*EkVT_VO<3HQ(?-eEGX`_`2E}s7>{)8^=PGg(H7-O?7j=sd zIUR_S7f;MT_cBGWFuf1VP-LB#_uy<>hWnLtArPfRpCt8XRi15y$(&1h=|YUbJ>~sNjvEBNW z!&$e>bITy>r#sIpHnL5z$ps!dDkzSd2|r8qN(#kMPW>WObf$j;EUm}AD=@%cM;)nl z^s?T`gQ7L)C-NntT4M3Nx$TBBTlCIH(bm*fM;4B{mY&GW-UkaujwoBsGShc*oIi#e z(=BB1+8eeHl;V*zku1mdKuhnV+!5h`ZHY(7&eJ%wMk%Yg(CeS}FFr|)7LjuNlDU6t zLwrsB4F5<3oGPfs++S0eOKn8fRWK3J|H_x~q>S!FL0VC|Jg;Gb0*h(lm3|-B>?Wl? zez(pCqG!M~ES9P_^dg|CiKZD8VIIXd;P=~~Zo3^uM=~Ck^B@?TPTAt=V2yc5BInHA zZuK1D7e2>Qcy-UHv;IITEg0RJ(Jcf)oWmVxx@%o-tb$=LYz& zgJ)`zWJ5&!asv562gx(^@`4UAdj;@Yz3%m(m}Qiv9dMkp#O5E`oWt%tZu+{$2%O)X zV(P?tJXI1hch8%1dxDEP_VPjXvQ0i)Uk$&{V|DY7RJlxX{74$P&z@J?9x=bmP;w4+ zd1HFbt)KkN7OWrnz8kS=)YuMt&PjuCwhy%9ds9VwH6lm$oj%yLzwy9&Vw6mRvU~xNH9=p2f1Ma<FDHbHMr_?^zpOy*T z9$k5RWFHHoqu{%FgNQ=Qykaoyw)tilQ%zQa`UA8tEV|L%GY7>eFSrL(v8^GtW#+@g z-Q)9iF8#v;jyL@6)5xkKDILo**^MhtpaWccZ>r5~HyMUDVM|0j$Ul?TX`PAxGRZmF z6YNg+7`$n&~pa}*H)k9 zZ3D}CUgUD!GI-X}08`t)vFcpp*M4dW)w>}I*a@w|oxD1q844))H#BO)zgf=ry)+KU z^1f|vE5v!Skm`)(fzQ=BQxcvRygR1-+sdg}@9=y8X>k^XDTfUSs1{IlUU~d@uLz@N zE(!Ssi&oox7k1|>HjcC2F;|m(Qag7yNQE3yN!G3te~HzBtRS{kFuC~((uJ$p&TbNF=UKZkJhs>SEnV|t8+$`}vz>YOG-xMH zXocR?_9-_3LtLW%PM_#A-)hqK2s1ti4u@YmldiEnD=xtrc`;-r*mNk_%wZ!> z+?S7oy&-`cM?XLQ!q(3qIQwR-*Vahf?#1WaZlkM>NEPQ!|Aw9xV+}{FL~L=Sr5o%+ zlQ_@yCa9XB6Usm@kzpL&cv+&#dzT8C4`*>t($Hxf$=&#kWr3_|qQ!$)3p)8Y%}w=t zAi}d>CvKd;xeJNtQiIw`G_PsQ#hx<>Far0QSVqd*wRF4&obgFRnF68T-L+NmSxHiQi zx|4~;_ysph`H4dwy|?@hUG>sBk({Yl{J`f4(xcKR>9WOx!-tDp59<#<4MAzt-%d;E zFe%_Z9ZY$twL&v`3Kltik#4fEvEvwHCsdO)`k@J(Yca`gRg%u=isKo*+%N7lI)3w4 zJ#+R|^H`|B_1fr~M}nb_)xMSJ*Zns@G7W}k+B;^S|Kguz}y`xedQ%y;h0s$bJ_K7qBU9)9H(y1ABlc?JlEl z9E>VVBbTPh+5cf66y>+qf>Kg9yv5S9`;ifrH)!wH4vX^!7dH61i~H*4e978(UU=GQ zhqdWdpWcNr$eS1~^0ahkdK1Pbs-Jj%`9|wQ-)xig`8MBc zk2&o^=(=C`1Q(^vziHKaM`72>eEiVY*A?$ypBM={8$qFFY^GCn1D;iyQd!l|E>P6|elXKMzbG1fV@LFH zwoftiBoaHrl{Y`?vh${+EZ7SkRZxC46JM`#)FM8dGv(gH3edhj>yg@_ah0lw5FMqtx2!h5 zwt(%JL5SUM^;q|f)ao?gmSMW0D9ENL)msPHqMh&VdVXFePQwX}V}^Z*?>p(}5DbpD zFdvcNQhc-lM->rQSY{FG**U-ey%C$o5H0sPi=hghh+P6)8#v>@Pgpsv+eFh6@;pm+ zmIrkWZ+nxx_mkP(C=A)99bhrL=AI+*9lM?~bc}sbZ%VLubVTd4#d8l@)reBr4=10T zwupJWNri>_!62nA87khQCpB;SEIh4lY=c}fTl5#hnWh%xbPzqIsQK~Y>uexC!#<{S zTiMI$s76laRl^3zFT55Q7RcN5apUjZ*dx!*f5t1Grk`}Y0$A{D@B(5uQ{Fbpqlp=Fc;gtgd z$}?UD=;kb=`}bl!c2|&UGIfws%lg!vn)i3By1wDsNE9w`1hXTH6`@joX@w zC(d@-!sfjOuIr?ob?&4Mlj|E4)evW5)7Ea@4X(U-$*wL^C7(nz^zOrFPj@+*FkkMA zxbVpA0?*4}iWK!6->P{lnD-l9O}lF>k6SK~_r{ZKEivYGr3$*A>3RAp-(= zgN{(_QKOS2FVry1z^nRO7mKgPO;S`3w)#YS~l0x~NEOT_`MS=4cy5d?AuhJ}hBi;n~R_A$G+=K0;+x+mOf<&XSiMZk6sFT%@OD`=- z-kvls-l^otGo*Edbz`foMpe$Xe3Rtfy_;#70|wawmCDJFc3eZr#WOpJsnkUqus_e> zxNz0|g_Vxntswhk#`E@wkpi>}9GkUQpt$*z?&VjHQ96-Gx&Te%57l&9r5jab zOw=Tmk)ByEdX#5k_wRYNSMXNEwlr_lr%DR4#+U8iQoW;diy5F3PY$)7Ri87>(vvue z(X8#PAJ=prHK(s=c%e?#9#r0CUhU7wa0(^4=d?&#eT*Dus{&R1k+)LDk6YLx!;)nq zK5p_j-zld1vOZk{nLqh8S|b~=i9?2F^Fv$c+ev3xp?#sgCRsZ#lFRIsEX|A5$JRAa zf|M>b-<8Rf`?%!+7Rn{nB5;abd27?f%o|A=;o?FY8R%3lxV~!=d}4F)>}dGzr|po< zhNksHY~e2&HdY?n&8x#RyHo0ngGD7D)tkNj5117<=#v{sjU1NE^L>`JuQzJn83m{V zc|3>Fm-+qE$3(ozshiV{84Uup*mNCdG_SQC++_x1JotEHoS$6GksVVh2le!3se?C4 z)0|{5&_3#tl$oHIfBQ9xsW+O3I+)q69(MDnWWWcMRIt!|R!@1h(2??x+Y0Vfw09=_ zjJF@2DY!b7c7!$3;+pZRm+cUFl@f1{ePWw#Z`oC0W_Sauqhk1MQs3-Vh$AAh6MS}8 zG->eFBa#;?Jo(z|%Q!DR3(-DK7woENDlTEdQeV%;>L8aYS zD)=dt9DHrqD{Q2jkiFaD`^ImW&>WkB{Y*n(au_i4+rE7kZ{32?S zGS!DQ;1OVu6qvc)E)y^(AnWT!;&X;EGg(*M&ASVCN$3t8F8}LzqDXFjWU*k|x2$wH zglDsUW?xBZS?NY*xRRTj8DF|4Lf4NmlJlQKdawmz%|M6 zeZ1%oxp0gIzO4{K`6(-9YX`IG$*fZW38zXgk!FgJ88B|xA9GAQJT4qctqPr*in&fo z0p8HjOQErz)gN!hUNY#b=zuIxSZ9oooQBXrJcEW6l9vVH$v{mlkc*Qm2KZM41QF7a zT{zRxKsnn2CG9ancv5i1kS>Hq5vt)F2nAR12Y8$NQgeR-f3RSW|KITk1j+y)69`88 zB?e#}{$PnRAe37o6PEacKb1597z8N5G(i4y27$m({3e4Sy38Of@)y6#AmDGy?=lD? zVvz3`ge1MUxIU#Fa}(8O(%OC+Z|MX}X#zH!x@ha|mq@bX0{`i|{wcg6dSSXMO}XD@ z6S?_f&$#I|aR_p$3A&GrS9ng@vlpwVJgKVmDVcq73Eaf%`Dx-a zsmcRvy`RfpoVXz+ctc9+>Ek}M43^5Olx52mDO$${>QnQ6OL;4@O6a#cFhJr8k>e{KwzX;W+3u9BU9Jv)H0 zQ|HoY*TcAmp~2=8y^c=I2WwjprIVc-Evb{JGv*o$pUjWN4OZ&0dV#_!HfqFn&cAg% zmviB(UHP{}n{Ipe@kw8wIgDqVZ)>q7f`XYGl_fkJa@6}OW^Y+c`CeP)0OXMq6GiD7 zRohEq!*cRPvPC^9kLUK$?GN?fy&uXedClg+|0C`lgM10XHP5zf+qP|YpSEq=wr!r~ zY2)9vPusR_yL-=_J3BicCgR4#PV9cD$cn5tGpaHxvLf@B&r`N3Yz`?bQ|}u!jlJ$( zc{R1tfb$rt7q@{W!J{LUAC8)H9@&j9nvb1_d%33)j8c?OELom2$pgOU_eInAJ`veO=QO6!c?lvAM&2p6|Gw zg%5V0vmHLlVFsJpmz5-p&LvNsos@g(4UMvFRVcMsykWO=sWnZp!YpyDcyO6nDDkvv z0D8svep=V*g{)LUs*u7}61Usu<`hu8u(yj%3({QPi;2|CMZ>B}~yowONbV7%t0THJn z;#SJ0t(9_pgW;IF&Y{(OGb-JahXpYms8B)CXW-Kxs@wsr5(O(cvV@Vw>+4)aPMyX$ zsKFEy>>(GPJVK3ia+bvh^g$f=p#1^x6n5`@@(PW(n^3325nB=GbWk!>ft`X6ou}Y_ zx0M;DlY=RY%8$4gG7As%Ay3q}Vgh6BoAK?hTYnvmnfB`*@bQu^hdQKBu{}<0&rFrP z`z;y(7rD8QWpF7P(2WtlhIr2JoeSH%r7VV?p0JV>Kjn){;rT8F4=-3jsg5^%?;M!( zS|V3YhN`jq)lga(#G~`-xf+X@mnUz6rPv4vmP1c(CV{WmOgjeizBp|Ok;#S>kav3D zd##+zl+gON1!ibd)h5$hQMyp}tbBlB;c4)0E(F_qC|Shxf<{1m^n!r|jqWZ~yfAa| z{f1!fObbM>3JqVRZ((Sz(;FaxT3bEQt*V{7nbvkJo+ZUql-sJJ<{6cZo~MKN(c?uL zLxp<|Xn+pU1WmzUISi+sXAm0puM@s-d3>8IHsvz}HLsUu$5$xiu4Kc$;LsqBtAf|= zx)oW1<)e;-z$KaZGMkSyFSSHqGWlV=fQmnn64LDPQn=0)5gh? z{n3+OY!)1=qN{6zU&TT!r~jT?bLMy!w_~j)z0Vk%(s~bXC5n#u$fpb#P~nnpg`%}&Znrv-oJy(T|wOR(iM zU-A~1AnzM=%*X~^&bP}pbZ}NmKs-fYBtc-pM^*Ak{mJN8b}in;xD5W6@`_{c)CA+N ze|bsnude!}Qg#8jINyN`>de~YIpnbPyAenQ~%V3VCT(yigC+cZ8UNPq4!YWn} z;z2bpl?1(;SN@xFM%$w=!lU~zzPd4`0j#AL&c(VnlJ(Y(KNvSXko4PL`{q716HExI zK&4_aDSu{L-s)G;wz=KgMB^HJ7wB}@?I#c!H{GykU{&Cp#JC9doF0lHF#eFZm zd?k#aSjQJuO0VjA(@GpNPD(~KzTn}V`ur3z&2h?%3ns4fPe)UEqqO11rPwh1t1TVH z9&1lhtJRwcY%LQqcp}78!D!qc0A8pmWf;Q7xhdD-^lhX@mW_0vzq%2m3x{H_7xC)yu94O-7#Tt<%Anc~mJXEv z#EETgp=!mRE>b#%!?|T6NM^XWE1EVCp~8ko4W}we>Ryjp9ms{BBNcLt6=)Sp^G2=9 z$x4~XC#_24wXB)vEJ=4(IccY}d2X4SZIG{gOXLubT4`D~xJEqBv(7?~?duA_%SD3a zs8am*@4ySBhGd9iA#If7V}%^+LaZu}>vAVxa^lYgtI$N(s}+{4`StJxzP z5B8_t<>$G=`dk=CX?@#yd0?JxHeGH4M`tRscPv*8zcoyOYBR4U)yKfedZ%V&J2-5f zaywHtO_lhQ&&nCqGB*~ox6EbCi<{Hd)5Q>%gdTyn<*B`gAXQ_d+m|5=Dn^6~D{$cU zfP}^wE&x#!=Wuqrc*$)?j>l8aBH#+Z;zz#5`W(mVX}4{$+Q6n;T>~ln+bJCSlGn`0 zfB>8`54qn9k^D^+p=!=mMZ|i3Ih!JHLha&P(YxT)o1%;M!rH53g6m$54hnV&csdI~ z#e9dE^{6^#J!$58o+#TAf6$S%@ho3KdU*c$zHVELw7N zBIjz}GxVH!1i-{GqDMpiwRgBHdkG%qx-kLG|2K@VukM8G13UXVkwHdprsPU6Xw1E? zipPuE@BYn4|4~--$BHmx6Nvu%ERVpMp6h&P5=b%g5XHyJtYOqI|jtBO%+mIc% z=)^MbyB3waot0Krif)&&VOxK9f100f(0ii18dwNpQ>^K3BDXctev&`fIf^9Qxjx3$ z?CI(6QOytK+X&P67)Yt44dMnZ&d2rLLxII5Psou{9=IMC+9ut6^t8>OUOCAG>q_j@ zh`Q=opxnHHI||)@+)dLd4(pqeVT$Utsa8;ovy%9TJ?#?BFKeCL)+#!-UMmB#V~ap< zhs0#U7nF$L#T9ul9}fAEByq9wY?R!9q(p~zZIb(_t@sZ1QNq^IvpQIR+JL+uwKsey z6fvTMPnDWtn%n+cj+pO3LQ%*lh?QqqRrpwvTBx-Wp0ycu9N@1U3Vxv!{s2w@1 zMHmq2i;*G8llhw@uymXkT7VAKD|}-EEr*CbU0Z0_X~SK!TUE}!su^$TMh&{c(WTM1 zI$v;$*J=?n*+Xvy+s|!V@Qkzcx5K^hN3nL~oKToP(hN#(JTn4>T8WT*r9xv@@T9xC za3~d_hG&b-aAl9i_ABn9QM;r6OJ+z1JmNfxTW7^xWWvwM%+toR44z-%r8`jK&EHTYJ)u}h#)QNjeL-U0RN{|Lb zg=djb@!m$`47)thXOMP(J@^xfx+db9bGis;#RiRAXXO+2sm8nq?Zf68`$?guzh>Mp z6CHF}Nmv$Xe-yOg%phOa#`dJ06)}HYwEw)TR9KfNdiIiZAK~$CuH~W8>_QdBK}9=5 zTo&}MDKfL1szy>2MttFK9&Vvh8C}fVd=x%7Cs=NXaQT)4YZorvL8=Pi`zZw7a4PG+ zv*^)Q(kG@b52aj*hiWYizin!TuKGD&6~?zSzqTT~;K3mwU%>6V_I|$mnUsQ<6S2$X zl%n05`GJ04gJrvn9S9I4?lr6yqH;lk&Dygg^)d6Bja)L4?Dch9J}Q5;j>(wS1qLBb ziE>8T8=cDWoz8sl=lL?J!btv`Ckmq!tL^*@bz_GtTK>UM<##b~W zZI)BXhhd3XGlCURa*%BGDMRlN_WdTuV*62DoR+pzQ!72Y3PL%8ns zOKiy7Y}dlUO1+gGklr_%EEGj8hm5$w^czZ5J>rrlq-I*C9}jD?m_|cYV5M<{dH*jw zt~~i6*KlB~Kq!>?AJ{$0ofABB(!aEies|D}YhU>f0osr>xa6tp_9YNfeDA3 zB7svpbEUQKExm!50^?`1qjVjJQAxeXkDnjNu$z925KEVqQLV+&LU~Y#N?oLACBMAF zKiA!YkH)^<#|Ywu=@jUM>AF`(zGaK@eFXvl>Pu4g3v%EDxbJsdDlb;e1oK%>OsG-d zL0Lndc<|b*bEv=9g8*%bi}$Oeo!rsV32)MDJU-9`^FzN_$DlJ?#(+a-F2V9=_n~Zs zvFA#!WiE^iZM?DSFi|)Fnc{l)RCs-!t7{yQbN;7bFOIUh2XR|nRQ{IlP`gS^N)016 zhs{JRBe>DR&=b|?&@TlRN>#^d9h#N8rD-^W&GIk}$RBGVtfQPRhRH7~05YSJ+>ztc zv0PzYGUv}ja!s5HTZxVd!(*Ui`YQ&~8&|4(y(1yI*|24QEyUi8Hj-RS6c^&)PS%`1 zwag}O87BxR_tlE>*Oo)g!+0^V!bIE1_LlWYiAnt>$hr!MK!Sn5^IbH#W%C1EyjKAF zH%)kx*T8-GG{R@AbPv`@r>$m`0}= z$nT1rxTgk*Z7FkES`fK!CTqR*+~^Zac2z>h0f%QEEhr-}dqSHOapT;%e^3`2dw80S z6qucS|B#}P{cm#yLB|WJD_9=ZGFRc})mpY^XxIXdK@u>%e_jHno3hzD&1S18MA%xx zH41z9O9wBp2v)FCe1aa1Yzk2Jm~7~Oyo?&bT{06Fx%5DzL=pDDWP9Xunz`d2O&qv3 zb0nl2QWG>!Z$QGOl{xpS$TQV!olRxT8g(=t^C$ai^oN*Qk)~`!aMpQ4FfSoKkYp^< zIa!}zQi}<*r%wJgcin@_VxRh-VM6j~@$p*02Bt(wYkWnf_r7+v%lM*Vi?inJnr*(&6`1;DAfbsxm8RmZ+%B{f<&-RK1}bv98Z0kgXNKJzu_ zkK7Ya*5lv7O<}xkMXlv6j^NZ@L_)i&i|?FfE<8z>e$KR|>07^C2PGy0oyS1sP*IDe zw(2@K(p!eUFCR`~kSIXQLJp{6Hs5ViUhWU5H=;&SZv5KR0MVv$~cC@1wThvqH(R6r58%z`({&-oA3xOZ;mjwNA|l99Yf^8f&(pwM|Ew~mh}b%cvQ{U0&PI;O z^QAfgyRhkJt zpF|=hqKzJJvNN3BfSkkvn=Vo(k#zaxk_C=2lwnlIHqp;j#bzrG6}6|NV5K zt&z>(Pl`p+b}rP|WzO4d=z)^LaA58RhUGKHE_0c(-&D1XKOMhTQGx!=N2J?zHUFYE zgpA@>y|m74JcU2neAQvlRplx?NdAx-ZBUGV%tTE3VQfw*HEa^Yh6EOk)|pU_Ieb{+ zrlS;=$n)AP+~QMO0sEfD-}7&&Wq@m#@344w6Op4{(4K=p8^CwzX=y zqSmDYCLj8t*21(yyRLc9?lC#Are(BIBls(v);5@y4q;oI6m7aBtc)Hy6|_@AfKhkZ z{c9KUre2QXsDfzKSy#Wr3}A0^YJ`vQDG7Rv6|eC6ylVG=E*3%W>U0KMy+Vw-1Ge&%EHL8N5)>;m~QD zXK=&bbt$MB8jUUF;ZV}K5-s13z-bsmqFN17&5N~O#;tHMRma12M+A4!*w%fw!0DsN z$U?wKa ziM{QDVOKa97-Q`#H{*e%Nr$It1EeZbkH_4!Bk0qV)$i=_dT(S6f|)rk%84J^xri(Y zjVuLE*UA~a5E`Au1Q>g7R!-RyA6N%nn5T>kA2W!G_`nrP6@3kLa)fyYml{$bFATiC zQ7!}ja|sXI#CpxA7C&&PC!dPQzoNSErWhLwawMaMzu=%)x@Q`NGaKMZhlvom&cEPn*+hF1y zoP#E0-vevHtXc%BQbBlO5vSSzo~7{bEDD`Kh>U$CzTMZRS&^A4gpn#&C9ub0Yj{mT zhFn{0A(qLn;SUYi&3EEIAQ_;#VN<92disKUs=F|Wwsa*1Ufedi)+EvtD$4$=DKOyR z3%yeNFkysSy>+#uYu!1TKG~d?4&nfpEoUZ}G^o9~?Jh6*My7oweuDc`y;z*(8f^*5 z?r^@yO(?HZ_L4up>G6*WHBhZ>MBh%ALTy%PU*@W!TE*)&CF`!2&;`6}QeT$iHGGxo zAizhiG4#FB=>omITwBXCY}9hv0MJKnzyyqcVnO%9mqepj_`vOg&I`r<)mHUNH5Y25 zQFQyO=wEG71CmkKOKAc!)v2w+r-zVfmP(GQMZOs*d7V1%RC5O)e5q81osNV5%2J8# zL;b6YVv&PfhLAkl@k%CDK!)wEh3eP8!0wK5$tZy%HA2-Q;r?Gy=Xx@(*Y-!nL&vb5 zphB##9XCvX2)Y*&3G*CZH^$Sa^YGw!_g1Gg!+Yy&V?Epy`=u%Hg$Wdl(`y> z$FUMP{Y6}bE&0|?TjsF<2Z#594?hL!-tKPlVZlt!@)9^>wW*z@MW#l?V9^D_EON}r zj@WFq4}(BpAhXb_t^XC9{SS)xe-M`bCx`q$0ZacAZ~lKGw)#I0OPQJf^Y&F$|Eajx zng3G~rmD*JzeiF3YaJHX|DdSj4-=(Pk(O>^HMdf1U_@8xHxf*&28y(1gI|lud z*sDxrPkLa$$@0pZMK2gr9j%Dczbx%)YyPI|O2;?6tawl*Ek}r3x3qEI*7Etda^R*q5DM}qb|zeOwjw6P+FB1lS8xt*Z3qt5b>a}j1h9Ix z`S%3-f6@Vk5zTd3;w10H< ze0VUu5GoqIxk@J+J+dGVgapa}4`BErmp zFWJx6eFj*f?g@Ap6H|IJNybBlob;@RE}xPuQO;GUX;s_N=uRu3DA`5|ki{g#6NidZ{2vHL54_PK*=_}V z_3kpuw!Mc@Y4|+cNsWA5_%tf`jzWxq)|#JY(}HSYY6P_0H1aTWWC+aW${pHTbIQJZ zYBHwUo&T-0RV)$zYE>`pOAj(tK;Tn=)T-Mx6yt^;E4;0$E-x@s8*AxfQJ#>mV4E$K zxr#e&I{zcnIl%V%Fh~m^$Hoxk=oW($Pils{5+x}%J zi#f&#q|h>62@9UZeeDEtZHqg#AMy4E6XYi1o=Y~Z`CPYrl1ypzg1KMym1nU>8vOZ zJu`t;kzHaG$T+CfPGV)Y`Qsu9fuZrkRL zNX*Wpst^h_>bYTnhw*gh5bjb^A)#I5w^43hj3Dv#xXf z;RRgD2mq=;Q(%8ok5|Xa%9h3Qsp35=@1c%!pfi`!y(}*Tg|+Jxr&@(>FAX9jJ9QH) zC?C~f*)NVu<6O;SrqPMGgm*kK5K>fK`$L=B-D}PXkjW-M#aPOw>4~=cjB4w&p?Xj| zguk&5t;;e#f*J9iF&+&y6&-lQnTVQgs6r{(%<~Qs ze#|G?hBXbdq#xo|rq=Et+B>qXxesvkHFI*OV$VFC%&|{>u>;zxQMjgR@FjVl<6kXT z&l(6e(37lL1a*^i9YU);EzYne81O6`=(yxRp%m;oN#0p@Q9B8A1JV=c1um&vu8f3g zzt6fRrZA}B1o$f(g;X|*#{7K35Tp|#fY`ePrJZ^D81=l$>TjDnXA+9K&^nASB;e~5 z8JTu&a>wN8)jdpHjD%9`r*ID!+K)@sJ!0s=XHWx_1Uf}EIx8gz2){e-3x#uy?6?z0 z6baRhPN_F&3#_%i@UD>)@tOK!FCVtHAN$ek6}u7RI_s4$n#!oAP~wNB3UBvSvM?XM zt5mT(pY(BIUldLTg$)Y1=L)+ludMNT-`1BGvz{a#;#P_J?UeVNa-`B~eE=z8)rJms z8u=qnGzfwB5p}c#pkvM2C}DrnN9<~KlRM7DBg4uKSj{;|Lc}j-u&c=}oh+4i{!YBr3TEIr^)X zp;gm6vtF~$8B%EWXo{{Pu<=0F6TDG;O1~)>e|H(hf14OFh0`=rGc{@Ijzd(0^LDFx zRkdtX4{Ca)xN({Ih#b){eKeZ@a(*{|fc5kBbK#e?Hl*9k|LK`B+ID;W(=}R|!I|US znb{$IaA)_xD;ENd_2&lCZsM)R_OJlYZp0#`1yxSh35pQSeB;% z8R+ueO@I&qXC$e|xo2!9j=XM@ZV z5K9=uNrFY9JR1UzIt4Rm-n=D(w&cNYRHOlVHX$&VSsom4_%!wro?9!n3>(z=!FZAE zZIPLM_d+Vof<|mj!Q46o{5Vkr5}Zlh!aD!FpyfR-+cxwdi!JfuL{I{nNouy(*fTgl z)L&uBsLBv(*VO4ojyS4Zz=ZU{@;w1Sg~UkO_c{FI4>z1EejJ&PUr?$g<1$`FO3mi&u`pA?mA_x z5JqaqDEp=mc*v)6Zftr23=x94b!<^aDM)C$CZ=$ASV-s^H&*FL9gY;kR%?p>cqu%S znk;Ds3^LXzcUlNYzqCFoZ(+tZQvHhnupVhTd-kOe-*NJpG&bz+py z%4(-Jorp|UeKU3leI;TDEmiJZv1!<<6#n)@i`?EUtB zLk90zy6wetDZ!4|z(&}WgvBDPOU2(Lk(7}RM}27QNM7^J^?3I7~GN(3r1WVzRN>!8xLxGrG3YP*^olU#A^xy zsS_h8ubb1e2kH4OPUl(27^Gyvh}}R}9|Q#^!Md`JA%#seQy#{|zaNZLwr5XF)DKxo ze=8!C@1nG^kFG3Kgv)F=7{akASrz0&#odJUcNSJ#NW_L(?)R1-H1wC?^n^WU@ai)Yy(y}t2UbxLev4xv7T{Nar3cv(5`<~9HV}nkOtq^ zjV>n0?rgV`r@9{~jm2jKN{h#8=_s;JuvD-=@u|#{R+cz|$pg#2C<`gzZP%fu2C~N3xp-60L+| z10kwT>tkl(hApo5)f?726N9OBs3J~puT}9&O8d?GwkWL5NGNr}t7vz9errt4ZZ078 zg}EV(DvF_sEe3>K5muDnMK~%y`I5f=UsQ&O_===N-d9L` zF@dMeqK9P4(aeu!IlHU#n5=0{k)h$~{wJZtYibXoD54JQgot$i+M$gFNrTCq8Ma#B zeSd$GyqwYSX@2S7QLg#4sdVS2^T8BwXZw?9T;R|bPw!@EFx^T7wbP{5mxJ_~m(v3S zY6mqhOIURDt<>R_2Sd8evkv+=yjB>7j99etySZ`mKt zvS$P{>#*L&g6sZ8G|*!x=(O;I+zM`jsnus4P#)_^P_%Gnz<)C06MRwc#&4;TcM@Cnrxr~Yuj>1D7M?yh( z-T0bS(M8hSb#-yX&CGSQYmKt?0qr!;{=>7f_j%Lr+U{Tt!ft^b?5Q1LF%8; z6PDfiUQV z0a*hNNB_UYxBJ4a^q;AaduG!wjshfukHd`!(^SyGn3Nx10+dG$IA)A6FSjlTj7L;o z<$N9YChViN^R13XV!v;V{a`b~!K4^fK*98-ct zGK{z44$MHp>G?U#4Y&fW#oWNMg{EKaus5FwbY>>= z`^cXm%p!DYe2>%^7ULKcs&-(o5g1HkZLd8rNMq(M3n)3}WRgNcVI7l#lYEC31o;D^RrPs>lzn~y6220DHLO^@C zolinSLT5|P@DN}~UDmaCfq?*xh5weP=3#j>Vx8FiOaA~EIIM2@A}A1Oej-)qKZbx& z6=M@XQnSGn8{lu1mBgYmX*@7n6$_SdPIEK-th`MX&hG}B8{kuUR_ykN#e7&sq2030H?R%khQOim6(aI{Ar`spOfK}#WeHvtAI`V zpI19cc&FFx&kX$@p4o57x}_4)?W=(H*UR(g=g!WE2+ofm-OVe;>+7hm^D3v0rFV9} zSEV0hlUNv@8H1?t^g7*u<{kM<&a#vp%dtjq;wzG?0P-C{-NsEamyoiM(XF0I+ITwd zi9_2|ZfOL(HOnO13ImgS%F%ps+$_Fd8K){X?sRL>rWVNn$`xBJK+0GUn#-TjaB`ol zTnhAfo9hm(#zUaQ#(OrpZ1Zo_oyjufyT9MR4P3g5gO0i#p7(2(Ic($yu^2OlQ|{$_U)L%gy^f?*ZIq_(sG{$``tchpq{Q^*b! z-|Ws}{-Iu-j(t|x7tfH>l3ZHbL5@gxQ22RAEo(5Gp~^GIU>zFv(XW{qLWBI*xcoqf z!l6Sw>pLhNj5%JIQVKs1ofap%MDxZV2q!>lsW8Y|xAsi`si&-Xg{ zw6K=+U++k^t-anaM;{4Fr>v%)zvcF@^zCFBMmjqst>5rO)yj`{id7{MaX+F84>2baKJ>c?1;=KHb zB)mT+%u4qB2?oJI`3XJx_3?R5{<|o)?R4mEU}yL^3s`C`Gl$iaL~80Y-o>K{#Ff=~ zrRuW`9l+L!j69gZ+54tC=T`?ebQ&#j1gJFLyrbf_IR&ci!vy9tL&;`Kl?cSjKj~Lb z)d<2Zwo8S3097GCC8z>81CNZdz|pGmSy88-ub1)Ks(8jHTeB^4tgfqqmW^aTC~)J} zfoLQ5VE=(oUrDI#c`5R$eT-1wV#>VBu6-M);tV69VEwr`nR$A?&GMvj5N>U}VJ?l1 zq-4qH^rrF&=fcMcrhkgr+o_vK&ZTw>T>94o!-8W@L_;eLhbhN|q*m`c3ara6o)uhQ zpWF+6T;MaQ4adf`6xPd!X^mr_9TfZFv! zVl}WGO<~@5_bUJM` zP4o<#b1Gsj@WkirT zPalj78#2#uQoDfxdxBVxV7?~ota~;#nJ-7PWLIGoKP=fU8(iv$ayD)CDv~jZ1A#e~ zPC)AxWxL0dY^>Wpl4Pni+~~_WKPc(-$EwamsbZ3sw&nLq-%`0WhIY#z#YHm%r-kW@ zq#UP-QmYYp#JZrIIZAP>DxKS_myA}Qbh=JUw<6S%W!urix2uB*)QNOA*J)~8>f{eY zHHOBs=Omv?Pk1S*M(U}V=5QUhjMsZTEV+^lbNf5U5z2J9A+>DZu^D)ggtgrBcqkos zQ#Dz}RXI`saGef`FA#KPygES#A|A)ElLMH8Bla2RDNazG7WPzRtj=|snMoxlDYF}F zxbc5WV zxinMe=S4`aw88FCO76*>s?7dPBqR1;g!*}MQfB4VIJj|TD=D)Yt{EPI3cf2V!QVVt z33<1z*2^{*Pz{s8Qm2u4#E4_nognHz0NseV&fV^_KHGOUKot8IhbGY%&su-Bzn;uE z8QmAp8%*_C>t6a9@f|R9#^c8B(c^_Fyv@6tN+u)Yzsp+xB5`j!$Uh;wE{)`Sryv6# zFU+tr9xskzyKP&0OweC2m?SLHL?Y>5uX7@B)9DOi{w`pwvNi8sTto=|q>)c>WT&R( zIn;#vK1{6y81)09m%-B>9|NW*YW~;}MGoA&q5I1btX^()qC}fA6jl(GHycz|R+40w zlnZGy1wXHN1ghq3fK3I8{@k9_IQsMXdo)s;qedC zS@p0mT}^U_dGu%Y-7;=(ni^W~HIK%u?3+X6>Odfq+ zb560T1&?zguc_ViRmR|Qv0sfYi`d0lcVW*>Q1(U_;AYQ{b7CLivII1!b+8FM{TpHo zVk3$QlH`0y%f}E>HmXQ{$!%dQjrG8d*NZY0?TfxMrbVzz+-->ZSNM7M%F6ffE?Ii$ zO9~yf?}PvW=WxF%h6tj!ZFvY}36r8tWiY;@suvzA?SR1;sJ6Lbi+UkK17uIM!$=Pk zB%Y~}aruU0gnRs1hkK4&=eAocI((g}*H2TdJtimwp=Q7x%gk0f*FooLc~PXeS?I|C4vi(Sw-D6yd(U5{srbIcYR*xvD3ZGM4j5hm$Kbl=*FqYzDep zAsFy^#o;g$3d-T(r9zH6fg6IjbyPlJ_?uo5{TfeA4(k?)!C7w{y$XRa8S>VwB$T@e zG=Pj|!mRY&ak_cHb61~%cDoWoG8b3AJg~hvq!MzuFE9|kF{To7JR7B|vtoZrTT|S1 zq>um%*M_xu0A`quKX{(?&B*+qErX_Zf+5E6ciu%~5NVDxpGUr#)xvx1U&i;cFlJ^rq*Rfh}#dHkjiFQ2Zy z+ypK%Zok!||2IaH|Fuk%`+oq=|ISeVHv#8=>z4l# zaI&+pvH#D2GxeWL^q>v-E5A3G(2VGp)E9;$mthKCEp=k&#qep-DjKACnH@XeRK_{> z(qIQWIhk6rq*c?6#-H#M2V**f!4L@fB_`r-QXDx{f8d3aKALvTH|v~_>}{GF8y zAXWV))mziu`i9Bi*jl=BmWC#sctU@&N>4sYI`pS280>WtOUAkhb+qw#n$o!gtfRAQ zZ7K=A=y!E~L}Y+##kgxc3TV|&T5LN{j19VAg~|z}dy@V2;(^bU_NcFk-020(c_mZiT0BC>`dYM`8jzdR2$KtS`+XnO9)OhOdN9uo9X zh2)GW2Qrm$2Lh*vOXfyt%H$yQzem2kIlTBkY&?J7Iu|w-i^(z}UhfZmJ#G(XA7*DB z8dm}y?0-Cy@$d^prRX`-yh5bINNzU=Lz6kb3cuQb*s{UB;~7mL>|lVt3lQSE zQQ?)in%Jn&_Azqg>3tA@2_pVVn>G927P)!&%9+^sH*zDS_#WPpG3@8HUTEX-0_=z5 zg+!l&mCku#X&b;54%+*i4kfiRshtzw)b5-}mpLV;cSgmImFuj>({G4a@u|H5RqF6) zcr@)~X9Y2A_n>vLGZCJ-sx>2Xna*L~i~o56l2dd3WXAGOoB6U)uGzD5tSaZd z_q7DNA%PbLt3&n|4c^PgE!4|8fzY3H4(X*dl1LlSVWXXgCc(MvAJLAEBG@3BS&B#X zHCB7f?MqsRxreExSv2AWEgq@RHYc6Z$$%5N1ni2AGb7OQ1uL!k{;i4$K* zL}@Y+gI-AytIC}jZ?|;dL03%;D{A1nl6W#NA1r=4Lk#}Ah)u4nuM77isD?-WWSt5G zfATM?33^~pkLN1MZ?C$DW)@SM_;rd25%yUbzYv6!>Pww(1T`Vg4H!>lnd00M1&re~ zZJghx2sSctm#XlNTd@+(rUiJ?*g77!^C%!ZnWDvcFw zVmO@%Wt%NU6+Jfi<9s!me;T=g*H!&6^iy#T^Hx#dXYYjbGpH7mUXB#;TH9NPx*+pQ ztS67lKYfUi^#d)?U3qf0m^j{0-L|Zh9rp{<15Rp`+=)^|rH=vDi|0ThOmHO%=6XMx z2+}}1dgCpkyC><{RFQB3g?nx{RDNvp0h{XVoW3GjG57H1=&?Z(2;$`BAQ?Fe-!4X8 zKu;|-oM*NY zYYjjQWc2m#AHLI~5wl5WP?okmGPKMJMfoOEh5|j6;bAt3DJ_;A!T=*&I$5T55n2G+ z-F*%6$U6Koi&Qo1c<4p`ul}yv5Jz#BVO)yBB&?qG+s17Q#2F)?aM_pn8~KX!`&2=- zW15&^nYILnhx7i+BHX{W$+YFkHr-<|Q*WK+>M*}M0#PVS|LOdw!3MWs-EkacQkdmv zIaRAVXO2^8W6On47CRFAE6(?mV-82L7tCtKxRUq>zMsE9cs8&M-!^k$LMDj-pa&cG zIVN}0M^Km%{sE_@Cdcx3+Advf9qQ-?dq}5H3|$>4#xfom_k499ElX6PAqAtf%g!(| zl%|nDj@q&EZILFY6BqrIQ7_iuA~6Xuwbkbxr(x$-lrmNA1?Y2$fB&e{Suc(u<}zxk zD)hrq8vFfBO05Y~&8JN*UDe&XEf{&4TLQ~rpzHWUY3*B(0XHTcwWHiIy3JklSg3Nu z$uQHT{e+LIO6F%rCMyXVcZ{a^mIxI?89l*0Jd&NtP#)ffAzVlWpaDi4Tf@Fi)Hy_n z@7`m;&<69;W~~p9v0$^{HIZJ=pyck0$3rRv$;Fb2zQ>osoQ}Kv%W(%WjH%?TGkM<9 z%5!)Ba zPB5gL@^K#-MhYn(Dg|u9O{N@UwsD>0#0Kb&JE4Wy%9#`Km_(5RjE8>o3@ z&2P&ODDETkyo?M znlE;m?MfP2M3w(C($uW6amHs~Nb_l+~#Z^r6%A1et9=X9FlCu`)TqLGXrEu=F3et|zI@f?gPD*_+yyG=t_84gLDX zSKW9X(up5CSHiI=R{>y^f9MDZNgt^!V8ag!Nc>Q)l%jMbz$Rg|n9IlH??=BD)oHc) z^IJ?^9MLuy%#^o@NjI;1HK&X!oIC2$xTpTw0UnUXrYiSevue|2npJ*Kvb zVLE@b1G%Jy``?zFC|;~ht_EVAm!f}Gg`zrC4qK7=iWZ1r;=Ti*^Bi~?xdtxT2iWqC7u_%Jr zN2c|R)&*eXkaRWr%L?_2derA)7xCM|sO(!*&kl6(fmQIvG5!DiSoct^&mK?^cj<3uspKKAn6g28YO+X>SQD7%9o%Y-dA3W05 z;tWE<5T|Q7jeXbO67qq-Qv+o0gl9(^8yxXNdoSN;zGpx+0|7;3L`=Ip3Ui_hqPUV{5`%s6zCi4QpydQmK}lNva6$N@ z+mCQd7Ps{De_T4}sv@599Ef&G&|I9rIlan+?6q6XKa^Ssmv;uxhROm!!Y-qLBh?tR z*Fwr$p<1pw0&vrI{zl-FT^v2V^`&>ZIsauHvuZlXuhdR*OM0)j6(2@%ja@lYGvjT} zE4q-^YFwCJ_4cswc-~vEz8ee2@bE3CpAdoLVwh0Z?N1|WVz?8IDYtn0@Md{5h(3@6 zjnBv#{4#Ls6ev+3GB;GeQWrnnL%R_+3kbPcWB;_BXAfH~suYFS$h5amnVoHidF)k~ zaS-?!@hf_M@#t)ZJrCinwc-WuTn3pFw7mk}9eXPKvt|B)L2=h=$rN)}lX3DVvEu;S z$M63l?wx~diP}BQvh7p0ZQHhO+qP|=vTd8EY}>X^Rds#$`{qvf%$=UTF*kbt*qM>J zBUWa_UXeT3vwrJ+Y)PrT9{rU{L+G##=2EpmXgnNOM``IQ-yyx3BiIB^Jn_Gjp zqF=GUSe{jA{(MpazZCm{68U_lJwZXct1Q-<2~481Wz*PJHD=dm4U>i2P5ah+nl)^% z{jQ_xMD0u>oY`e>^T=L|!zB}4>~(ymZ_n_ClV-|bg0yMp{ z;TecWJxeU^TuNxSdm6iUjy-(aepN&b^Iwtu@nx}OJOAQL;D)DDDN@ye7&vS4n0rYS zTLhS31F#w@E;yU5CQ1y!T z8kzF0$co_h&}b_y45JiEuc5dR3YBG}^;8*p%=7|(Bp>rvn3mANUts7&NvDI5%$zC0 z7VsoXnp#q_wuJ?gOd@uL_3V+PD(}JAWGxB}O$SV=BZyb(2gyi3K}uKGh{SbRHYlpO z6f}QtVXWdmY(t7u%`C-i^FYR@eWCN@wZePIfs3Y+D9?4F1CXjjM%XHuc(CPjU=H#J z^o7~;f6WcpN~-6Fu*4&l*EOL$wL&n?5p7tIZbl!4lf<7TW)zLH{3%*+3n*^fK*)+3 zhkfrKwPnl}7z!{dy|qmQ|nWs0~NWsSM-f#lq= zp}BYRT^jya%vtT`k<6KCms6LTbX8swhbC>8#u)P`iY?%-!5wuoka_MiL<}Qm5Bb6e z>`#^Vu-9}Ds6mhmUxlJ*-e1rHrABUw5YNDTS|Lal>I9QpSKu0;l+H4XAWy-v^xhR`+0%!xe? z9iT^%y+(b?0*F5iBd$iU#p)a98poeX0~0K1YbnWu)XmqaFb3u`isCD*DD!lX`{r8& z@@Asvc8hZa=pHi4;?BX3>R=mVcg}K(;7lRTV9%+qgl29&OMawAY7@s0h@rBo#Le*p7sYxkUa?2te>wP`$=z9lOBd) z&9b_e4x+EvI>+C<+?`v))b72y$IeGV9U6r_NSI-?B``fA6)u5+Cva7$? zG-^mEtY&Yjs@AD$hur2;=wwPnP-*GAwQ~#_I9KIsR|F@jDkMo`#)Ze@e68AQHg%5d z9c=v|uCAY$GzM70pr1=&v_rg9dmC9dzj?Znq+Xay+jYR5-+*E?aEGjy zkQrgAB`5~ceR8JxrhBy;=^h$^^mA%b&HSpqSh}JmXo&z=X_A9Ylu1*f_MB;JSTeH- zX|#_sC?{&_1Vbi@>XcPVq7`urBB0bP_9UOYsOk3-!v=WLQyGy-!NXW)ibkE^^^}!~ zMF352mrvzU-Be0c4EPm9qZL&oRLUo$%N=^oiz@aVn`%8YRn8`Z6Cc8!CWyS&ZCWlp zJ_epQrWUTzbVg<~;z5H$hlCjdBU&hN6C6R1otG>@kd2opbu7t9*DJ79WL7fG3TV#K zU!R;hAGj~q4&LkDB5IOrdWq103}r1*RXYC4syC_J$(K&t1cU0Z==hRRuUEJOEiAbX z1lDBIvc-vLHG~Wwd|p4*Z8bh!yMhp&IdT&Y>`lm=W2eH3(rAM z8g{j5*wGzBub8Qg?z&IRRWaF%RQG@uCQvc^7_XXV87p^_sGLDJ9}0IcYpC4RIJC1j z@Ce;r-hW}kwPg>2ekk42D2I5JU}9Z=<8F@^dyzWX>9w6KpX$7B)&O^S2aHhrWG)qq z6`>eR0>sBX(5+lfbcq(G*QA;slgQC3p|_$*GUiL0#>PnLT2XT-5{DGWe-1#QrdsSN zzCcSUvu|-__DhRH(3sFOCDNM!XV!SMsLZ8OLn^hP6sj!j*lU!}RV-3)#XIX$6Kp8MRS0&TLu3(0FHY6hayVUOpv=frPGrpp8KYEu zIz=S;X+ns(fcUI{NHURDl4d;Ba9?~@#=;BtuhYO9j`j^%;3tsZ_6~$=>+ftm9!J+V z<~MGR-@Vw^epPH?>l1#B4{;Yeazb%OOP9zM<&>$WE<0 z{{!OA@(*PEUr5~lSvc^2A94RDf&Tv}cKT1OHTrkP{tw{(zf0}JNdND|{hu=o|Jfx) zEdQOp>wltlqGw?EABnq_-NqQ|kJ^a=&!Vs3q<=5o-wIzfkri}#tvW;|sz`lyQQS}{ z2}(lb;_H3eL!OXidMt!MoHQ)k=_cFjy1i9yqe{@tt+jWOuUm{b7RlM~`_?zM1{Y1O z^?@w<9t|;gy{n_+lj?7$?H=PN@Zj+9dE{(75scqEcpO5UhxmeW-tfc{&It8LI#YBZ z2=6Ud<{(+%j94^e=!bpWAkXv^r|ceb))U5;*(UGDGq+G@Wm+J2x|Y zYn$k5kvHR;xvu2Dqm^=lD!f)1xXX zU3>|ql;Ws>b8uZU)5fRg;+Px55%ybLNad(IlhEkIPcwr<#rHj#(^TEQLdU z>l{r%nAQkio`9IOfHme8Ym(4}pOQrMA2gFHR=2>)fZj^YaJ~oaaZ~<318)h;0%o|3 zIsnHw`=B!Z9&5dVaiQLDKezyhFol0P!^B`8mrDziQT&%=M`d#Qorj>P!tGS?3)u&|&j_)fLOT3L zB`qPyhs66W>)&H-9HP0FUn6SL{WIgqVBv2U-^+|-W)UATAD&1q4cA$LRK3wfK2cSP zrQ9Uxmnlmt1fI)|&vtr9!Pm8zg2@OeS0|vzoa@<{BGm-W4(7kTT~XaZ80f0!{3hB0 zb$+AEeAnbjS&fdUc{uj9S~#??xWi0nfD$w>90)VZqdg;x(xvt4<{VzJMQvjCcbCwZ zfoohi91Uv>tSKJEbIfV(tr55LF_E7rd(2ZBtjOQzUeOfRnbhePBU$GN&*q|gky-;) zW+UIalLmSi)dfZ(?^hw*D11zNUVWoBxj8ocJU#of`re|yKTat4Ol?{$pCjAMUO-ZM z2!lbLS28w>>9tCFdM^(xp?i8!dXBK0u7*#xM*EDema-bUBUWdV{`;y1BfG0Tu|^}c z$;P_#GF1#X3ScHz!}r?@I@!An_I0p7)EzM{L0j2gz0%oqVPWvkIA+`(93;djWvWx* zeT1GI+!JAT?VAd2ug*Tnfmpxbmp0yLeohcy}usif4IkX0Q~619N#Y zM&z^nRM>NMY0UIAq38@`U}(F*Z(Q^TF{e+uV`wX(>lOJsQP^T>3-Ue#3&%be0ih5n zAu_^}gn4=SEr(6gc&-N*0;Dj_ToIrxe8gAcL_I!FA_tCva&ci4DA6+sVm8y7OXr=J zk-hn0$QMX%0|`%R4jU5VV#*#C%i2!pqp5#EDQH>QKXwK zx&f{oT(!MV=f>;%&%=~H!>xiTBa|~ORYQhpztym z4G3of!M}pu*!!6tde+ZyJ-AWHWn%wAIV+2R0@6ge%$XFc^mpk>UeO-@X54X^he7tt z)S3K#`!#voVcVC|wfb~U?+qfJgG~FIQ7n2W?6eoGlV(-JqF57fywN5VSd(a~LbtJG zTz9EGTCBkeH$-|oOrWML$qc=uVUgqRzPvozfOpJHC#BXLxB$R0pM|JV+5#FF#Z(YL zVF{CcGP4)JiP#3W^LCP6N69271US_Q)06@FY&5m zJ4Gtl&iHF9UTmvj@T|EYx?(S^)GwouA@oQ?a7zb6Qz>md>A^vIPv&&jU0DMQG2vXh zql8wf{Nh)<0E<~!qF1;Wf6@v!+aujMQ~?NWaet>39FeX)VBv!7ND>FC`L|oqc~YS^qMk0sspnXiM}SG zR{oonuH;Q!Mu&1nky3?pA;e?HjP&mhhfryqnEOSmRRXQu9e?AA?W5rU+P;*%T!}0) zty#F7nkW&ax?gSSg(Cw7*bz16@XW$_h%%pMcR&RG#uzq*bS;^LIx-J4alIE$G2Y{m zfB4iOF3*93<)%=R69p_AbH$ILG4+*tR}Grzwrku2bPdo0!>{rIo$(zyE2#eT6un35 zr3ZqzvVhc~DVaNPj-5im^=^$F#XKGO`}R`YZ?{_I&A7BO{8F}=JbZD7y3j=Bfpe)Q z6YcD>-|E4VGfDGb<1~G-kJm(n1x`EFpd_Vp`CUisTOP19t1eIwyEPqY+70A!ALQ%| zDW;G_jC=Sf>?$iYW@Qh0Y{%9n98d3vp*0_e!?kRW#^AxH>A% zw#_dUcGLRCaEZqxMZ>yA1nebuV)ku+UnZGG<>uu zLlb*;^aFajF4SL}r({ZLqi$vInlIr}OL%X&bX?XZ8>N+xiUdB_hCg~VI{pQjb$qTG zL}ovK$xVN>ZaS`Nm}1A`CYRD6I3~gwBOv$dAqs;@#Hv{~h`K*XgA4>JbXfQ`OlIiU z&l*-ldVzrDZ)Ca=Pib;G`~VA_>e!tc&)C^>=cM%V&)9!(w$~Qq+7@mBb)v zBvFgu(H){O2#Cyh{s0ACdrEKNUUrQ<#gP@O49bFR&KD_KEOo3)^7y4Zb&MHc@zI`m zJIXpnL9d(ruG`fD2+Wzk@%y-GwGzs+=?0$xgEduQwkgH5(CEwXv<}06jCnT(5Ka%3 z_??a%F@FSCqBwz!HwLGzgd}nzis`wwx9PiR=lTU#Q51&zd(Nsnp~M%b*|BJQN*@RL znB(aKmQg4hS;kRxjXYKW)SP)*Ag>)E3sRDWu@WfsXGRK-jpQEut|YgZ*!XkJ&r{o7 zZi%DgmWgTHUkQZL`Sq)||7yWbFWSg9$|WU(Ry*`GYCi)C7GV<4WeDLl{OR>raFf<% zbbpe5bykFP%-!^W<_LQhLGqp zo(9OJ8bi=}EuqOJQEzAOpr=U6u}KG|uFA^8nVlOumm}%$(IY5t2mdTh5&`^#ce20} zV2xtmJx-B}oZ6{w!X2PTq^pVzczePtQ*~E=lqr$02?yKjOL;BL_YIbvhW=Qe{JVR- zx8+JG?0SF7gn=t}Zm)0}>Y)f#GuDyoyJCoKM$0>Ot#ux_#ZRYN_g%MDho{Po{?8R> z7z`{@CP4T_Sq>du+D7T4!gtQ=%l)9Qjw#W>!-F*pV@+K`5=(^>8;rH)=%ZiX3F#*# zO<*dWy@YnZHEY+`mf|Jc;?w%pToY7zM~Xg)sh5BQamB}p288o!fr(@yM@)G6@|}N)@Vpx ziIHaRo^QATqKIrSup1BQ6zAR0bQYtQ=|U@b8EWn~Lr8dehG8Wn4TGD|$b+DU#9LJ} zuq`T%rnIH!aj$1xNcS$cn;E)SRQI2&HUeiiR zl<#d@*RQEiA{r3j<-)Akafn1c7K_x!l%6R_z>|oE`c<_Umg!r%N*HIyZG%U9N7z(T z3>db4b&c-5CC*k+Mf{%jVk$<-ew#XKinGaWmDrCxtWMXjk)yY0e3M|qpWrg5`%59R z?`<#==UuS3_vO(XC6{&bIOo~8UE6IsI=|NRTTsP@ucs(a{{*ivGAnQYXzCD4!k1~_ z`NdBB=F<~hlV-C?`0ks&9m=c1*JDSuECIY2!s z7YX|uAlEhclM-56lk+n55JDL(3@U9oD9=!A&w1OPc2!CIGkLtuN=6{%> zwIe<_WM$H+GwB6OTP#o?x7xo~c!Ydw)44y?aeY)veE8?giaODwmb~3MAM-u*{vxwi z!J9!d+krP~79Dk*zN;T8FalLKb*>t`k&*nnjWT>AL-BVT1GKb4kiBX)gi5BZw@z&D z>olEEo&(0u-RO`)9WOl8W~Lnq3+S${ocO$s7XfxdRSuOEWZyt3f5~8rLTq{2_~)>| zVB>yxQ9XQ^s8R`zXp!y+L>W%2_w-cW=G9zJ_-BvxYVP)jc2J_AaPVxmaEYSRdRSa> zA4V|x?;ZB=u`>YbDLg1L#xyYMX&ewThAbd51{}bIJR!<8I8F|K!hFPOV4I;}l0KoJ z7UEDasew>%i(v?a%@X*y8X<;cwgYoN@*GECLcWAFLVk6kzF<-`zMvMYKyV9?;{L@L zW@A1}4_GB+DAJF?lV1ZV4ElSzjUaQNDIYWlF|vbRudBP=O4>qJ-kZB$nm{W-U|Gai zLF9PABwhtkV>uz`5B>yULj@u9FymN3cvaMS(b|a(1x6Tc`sJ;TYO8|9y4zMo+g(`NM$~M6WiA}?93zgv%6iyT+ z%V;krFScG9!VAuZ)FE{r{yWg#c50w3%DR1LsB{(o3%42iy0ji}s)D>?_r;s-Px&6) z8r>nFWF>Qs0UBLXp^LoO94O6RTkT9GfeSVnc?THHDov$c6T$YMV>Ri9h#<-!!s|4S zx4d&Tsk?Il9lEwwR$%xM=K5_WkF2$JlJbajM(at0^CUK7W}AT7i35G}&`XG4kAGwc z!t}B0jE^BwB}7Xu>*9v<2Z+yb2p#PLSS)tga#;{~OG0NCge;|oF*+x)kT@F{z-Sr6 zhHTmgujv~~KU3=t1F#OwuSdpA&h7gWVi2tp*s(1qupFHdSVj6(@;#6ZK&c(TS51!N zXA|NW>=U?%ZKZHs!xDH5<`(;>(9MrS+f3H&>mneDP1q+>84gDX4G^RekCv}umM=@NK-Sg4EP&R-aDEyF)HL`Hx_mB>R>KO_y%aW0utb}AAXls0Zd{~ zim!-|Ldx~=b>}OayZ2X-Q5SFaQn`njiSE4_mMmg@zfJm|zMG?eOM(8qeWfwjC3!ca zH2v=Q5M$MnLR_;13knFa4v1sb`W=3Oe3|$>6HoJkl`#Xwz19JZ>dS0IUnXe-f#2C- z(g1;F+0UrqgJiiCCMgBE6dI$jw)0KBPgv3&3rqruO-;Jdfqun-QGj-_Zg|XnyC3=o zSQ&`qMe7!nRqRDoFnid8ipaOE7Usv}pPfAXWYqhv76QAcj7NS|zwBUvr zo?uT_XHBVrL}tlndzyCSNv5tyo-6S{7YHtQ2Hy^E?yc`W-^b_o=TL{aGp3EC-UiHw zl8~l;U0)uXnR;)@FzdiquE(*iuG2&D&EHV;0QhX-ME?=L{YPB)zmVVli>Er;*$dja zYthsGB&dHrtPG4lTQ-iLtqz@xiLr&j|Kqpv2974S&iIUs|7*3P{{Kr%EB{|Z(*JVl z(EXcOhneHQP^`nq@bCQgpW>qbG{61F&+`ACn5JiA|L^(jq?(1@;ZI`vTCYFOvX3Sz zEw+z-_s*Ra%h(N}EdiDuncAGCvl^#)an2y_;X+A7ax0Oh;%W*E!aJOh;(qC=;(Xt> z7Ru4X<8EOp4i7R0Nw%hj*Sm|r3{TYzoy`qU!U`!JPqB1(Z5W=ux!NG&6B9vFHH%4d zijlhrpHccRaXzBP)iiC8f0zJ%AwI$YuL+DFJ-YpC3O)SIKIWJ0W!GAiSJ4)-Hv|xr zTxuV8bWm31lAT#=W#h(gfc;^qTSJg9fBL)PfN;4Xa-rW&QFqY(#tpy-d&O#jZ`h_3 zeFbjpkjZX1xxKG0D0)e5Aj7`KCW_G)Q5Z!=&2{^Ix?JYntPn{1pxDL;LcQs;Nu-jtq@(o53}6;O=^;3 z>QR%~KnOjnaC!z)LIq^FEYrSo!4gnR=Hi``#_1}6JdVOV4Q{#9C*#t-U|d^SrA?H( zN>rhql;cVIlG((vxs!a@>e{^oX1AY@5Jx$)tnpx7LRh<*4UoakuZSiSVChPwQ>K9TEoyOoBNLpb7le3k>$52QieeYbqa=J}Jri=kTZ{W%bq)v1{O(X$P zN=gwqNu$8dp`>rQo1Jm{ji7m%FDa0=3!b;cH#KOGWUpHg-j4T#DAr3$e>^E+KkKsI zM5%XnGj&wDA;&K(;OOEaGMUi_kCEff@C3^EWeIDT2gjzN!ls%uGW~Hs4wkfj^B`UO z>9M*wt|Kj5&Jg`shwlGL0K}c@QP`sIfaUWcxzb_IX-ghuYp=%&e|YoI0KxV>oP$xH zzl%Yh&V)e94dvv-B;@a~d;GfMX^4QE80Y*n+z@FJg={Fjz^;o5xK>hx6&+&8eNPg# zpCGT-1s`QX+;y?^HwMmibOIYm@){Z~ZmWODPId9hR5b~%$JMla4#!D@5>A_%O90Z~ z@Bu`dUzC@pB#3%y_67g=!nK#K`a*@Y>o8!^pY=E>vST$Fk{4A8h~x_7u$QWYI%~Qt zGs-+bW*b?O70l)1&6bt(l=)4DGFS0>g1w{=3!gjQDkd|aBeR9F4rW!qB5%7y zi+rrx5>tnV?ndPumi6*aGp|9Ug)gviUIL_2-K?Bv`LLgiUF!~@O}=Azq|Ej?;gveA zfbO7llIn~L@P%IY6XKO~EcyL6Z?GaJZ?>az<@bU#8Mg9om%SNGm(2TY zNLGwxkg&+2c`ndw{fIdJiYw@!pm9Ssx5_W|CU#Zoen_v-1)dSAd_csw{8U#qOesu> z1=88uS<7?|Yd#EDfhH>i;}z~re2e%X@L`z4ZsoQmE2)mx_6XmvxJfys_^XeF@1FKu0c>1+Xy$scY7`m%(6Mm)1`)~VxyT* zk#iN3R>)ci>M~a6;@$_B8^6D|j`Ye3eC{WJ+qTNoTb{sq*gnic%%&YZB6mE-z#?1t z0f3gxJLF&5uP=bA5dO5RwZbaf`LD(ew29$(KJ{vf*FCghv(#^p1DijH8puY%mvHja z1_dbOE)uO0Z2+Odq1b7K&hvJj^5L-{Ip$T{M93JoYUR|;NULyxrjh{z^B8Z0nY09r zC;=J--BUQLpGvQ{(B@0DXaIf!)3;Kzrd@5fWwmTChs<&dgL??su&KB?p}{^vqB}Et z+Aam>GCg8kP)!7E*W=7qRLJEyI`AGPm6@~4W5<6m%+DHI0-wR#=f`#^MlPCY1*pb- zMkvsW@Ui2#E~L~`5#8})XI00!VaFR5G<(mYI~AX$RJ4%i0rMmvYA|PcW8mn_>>sL! z%a!0=kEW&G#GQ>!@98Gd~vA^(SvIU`6ATTE18p&#ows|&9L+F znTA)Xn^C-WnQS!sPFCsMY`nh(eB{^yH{rUd6Q+ciUKVbjCdAwB0ke=YNmdA5Z3G(;hb^}~6 z*ROzL#Cg z>#Q;lAZT>4b!yCwVVO2Fk^wQ2CuDy{QmRK=mz2X6j1fSM#S&55=vOkF1wDX2{BbOe zZtZ(E85bz7(%Eld6(n*g0l?}>N%W(q3;SXHfl{C-c7y%)KE~PXUTFWL(o7U>?bytd zlkm#?Qb=`cu6aTIY;pr7#CggnkaWeoR!-^zuz~?p@yJ?9z@}fP$(ic{N_G|IjESDy z5Vrl4`RQ);b{@|xqr6+q_tn+$)~<)W6zGG>4sNCGynu|0IaXM+ySpLm-7vE462xucG?HyTpt34Q ziAxf-Hdo~jfOuwb40y%sN^!4nR2|yG<|99hc1eE%6xb8?WsdQ-5BoT}BjvZh^+>iY z?KiNdFgd>?zRWg@=R@=f={B>Q#|PC)dQ-~xF8hu=&CDR;r=B<}EV>9EpAQ2(|BVO? z^=1losV{-?QYRaqmu~8V4(TZS38G=|KB}c2|0RotGS{hIr{o_F@A~#lDyTL}U+qnW z4Ij7sNz`utv-a?sT*8VyX3@gI^$xhp_CgIsLSqFwQy?r3>bKjg=XK|Gc;a(3STD?v zrg-p6N*@nRX9^&>A4A_C0Qg}c2nJ@%U|cRKjm9dB5g>?x956bnDxS5)yv8voR-hYJ zw4A|Gj;Kgshrc?qX?K0XM}^na%Kiwn&G1q~q)=)yLrd0;bV5Mt8L8C&I3CBo;t7*3 z;JVQECQGsyc1`)H=AwQ1eHOgPvUa{o0n`~BEx&@&rNAKhT6qruT?Ci}?$s5r*ubzU zvW))TC7|%hP=Y7uuKe#Gj6t7z@Gkl5=l1Qh0uC}cjR$+66K6O9D=vFORj%DjLLE;9 zyIr5+{Bou}%P|b}`fs6;XPaa{+K#v0ZJ)ZJK{FN#2M4q}XUAU+t5lPUFwR;x%DHZ- zm)6j-`aAsu*VQRPwP05n!r`@$MH9BTtZ6pD+n?)PrVes!RKUKTpjx;2y_ls+I>b26 zS*kO$na9td^P)Cq>X>m1NelfLctLZK^zhqrvt1yx0t>UB;fStw9HS|YoKyz&yYtY* z(}f(>=QI}jf3%5`U3N zENcMj)$`!@c+(Tjtub1VgFBy8uX7`iEnQ9;AYj-_uE5!=7Aa^a0DzG(T`lM-Bx2}X z*R?nCoyo2=3E02N2u!#<*|{F3Lu{v)qAZ(r53x7=avZ$$sw^$mpfjuxpR6^iGvBIg z=tm|<@)7a6W!wk5wnLfDYGXGQ_Rr7SvsB}@`M~o0QW7jks@5nQRRpv&*)Bq;k|QUN zq0JG4oTZN&$xcTQYTb(3Woptr{Z8CIB}5yDB$v>gwb~H2dVqZ|)NPBbTTEv;e`mgV za@fziG#N`8`tWJG42aM8dgaA%(hgSI%T%=$>)A_ei_WR* zM~+fgT8bTAPi}`|0EfqdE@ReFNofu_=mKPRn4F7u3*q3Y^lX=8nd8mM-Mi@0Jf zxmJ@4Plrys#}U9_2i6a?3Nw(ygoIC%^wU9j>*a-*2+Q8Y|22a z2UA9?8^)XcNZ3F`Us8GrX!&`kj5B=uKR?_2I+{!fqCI^)srpDRvus`N8D@qUd+sa5 zsbj8=K5P|uw%sZ@tSx5@6zJmEpzHMfbOhSTHl%Xt$n6~q4Ka=~64VLPHm4PAS|n~N zsWM&#R&efmJD4yYoL}V=%{9=L8RdNC%4d@)fw^+6`BWhNZlj@I<@%joTe*XJ6+SjM zII))2XW^3>UxOiKNxVx77m~xk_6U$Gb`1{*nzpLJt3I#04{oh+#W>W%H#ufVG6^5O}hKc2zHS8(G-J)cR zdjT`Inzu#0jJ02%rGaeDTpQ9)-Iqd?4>O zCswb~C{ZjZC=pTLE)v{LbHqP~0uy^N8o*15yKR99%o<)oSDqQ@Qf6)!-#mN2izT-j zPC_!C@2|f@G?PGaZ8dVoV7jZMU^`C=YF&+H@3r6SYe@QGu3eZ~gwLU(@EE5^DE{%7_9}!1$vCxK z3#DMH1mjF%h#_Kwc%n!uwFPw$w?wK(L##lf1XG$jxKSNai$p`CjY0Xib0(QW!f=6rM5w%vcPb^a%!oh zhP=g7yr(b8>rU@ilJNtz)de#ML<%IOyejm#`M z_CbBY&i+a$Pzs0HnU&sB%K^oll^XdRT+dBqyE+sF^Q^#Afd$M7wY3)9*;(%dn+ZT@ zlW=f3m??+A(2uXI&IfKNT7$TAv)rGQy)1Bz8K#@Pu5w~xtb)ic#!v0aJ`q|hN< z@>h;TQIu%stSTt~=oxhj`+3s{kS-f^Q0K7q@=^)%QF6%}WeW0k;lUkSSe2A^QAd>C zP=Rgz*drLSo5`q>M8n;KF%ejhu$fbW-2`as7Oe0;{my*W8ttcnS=mO9vSBhxb5`i5 zpOXmGqW@<{Yv*^pZlGpvak$YZS{G#uGHjGK3pa5MoMP%n7>DtkV$2~(T^nbaX*$IQ zZ8puA{y8Z*JT|^&SPzBsDZ8LgL;u;4^1IJjDp`jrsY)m9y-O!+`e$EIrGx(9Ztm(j z(qDY$D7|&v`kiEA>h^XmWFaZkVWL@%26=iAZoktYJ3(&+b6_&+g!uK>|HY zXq-U2L9H>b4(1U#X^~rH^hHOhqE1ND$wYS}~pb~6JbC8P!C_55<-ZAQZW zD{;7urU>;Yp4;}?RHAM&NJ>S1dJ5sMRC7h5ehR45_%_h8M;(hDU>3DH`5l^l258X0 zHc*R4ov+kA$W$PzpVYl@&m+vF2S#%$D(T|Z$;D365`p^<;>RqVb?lQ_Zs1SG?t=?H zo`{ihB4^gK42%@Ye`7@cg9|m4mTnAA2Bn~TyeoVpC3UA=&@;&B4DOdE7R`;^dP?7W zs?9BbKe2fwViu+1q$Q(zeQ0&R;8&UU=GpvW47H@1NH5rV8T*P-j{-PpUF=npcW=|&98v?u_A)B44+xBHTY-+h5CWqy4&V2u+9r({y$@pKAsk1P${12JkCN-U(DjBFgGqt;g(IZe25e3RcU`R7X zIfm$st8E)$5(?xENR?Jnp~P~g?-yQl5M;R7T`0>&bhwXYNxn2)#a#D_7UJnoV zgIoiN@rlFxUt5XVTeUT|sd351um-`*8XhhWX0LDSeT?5^A;U`(s0TgxC|`>)5E2+- zJtz`CRWB>$T9d&X35589JLyZ5n+x7k7S$L5?-EnSz9X+pAyHQiS@dF#Qm6b6aC1qmHsOX)xE}7J= zj$T@X@9Ser#5h1U>V)ym#MtOwie@-I>h#eqy~>}Kn2Z1Q6ry(W!D)BjFttK#BQA-N z!-q~YUg09U9q9@z)hN;D4dWgRg>4js%AogHw|i>oWgb;Q+{p2eq1KIX?sPXq)In^~ zE}CtTdL*O7ZRhl$xSnW}QhdyGPKKK$pq(+wdY)y`6#z`f-LQx1d?-4?#+y-(F|BFi zIFHN4OxJG(L4MztB_grjdLH4#Yc!vx3(UK9)%pgP?E`3d>S!@!gAn9?IGu5 z1C-)MSTS`jK|Mh&52LJAiaETB%sm|i=@bj#F+d{_e@w6=pw{I8Y1OLvs@H7cWyar# zzX4G-Fh2LKJoxKWF9d2$D}TyezBHL_blLvs{79FAGTZ*duH%Eovl6+fV9=cvzc)E1sNXDx=@a(NpztAlc~)VUQ5Tp_$B~#owR#_R@G#s{H-fc&vx( z7)3U6BGlEuc$Fr`o=y?kqDxcaAiP|(W{ZH_3lkWMg?WHTo(vmj!rt@@)nn8P#coLx z8oYl!Ty~Xo?n_I+6CHQZ?kcu$#rWJIooR3)&Lzo@F&Wy##u^4b6T_ay1V2i0|i1L7$i&p%)0m#6Df zva{&6b=Kpq?GBhM$=Nk#utnw)z=+w5X7*UERU&CdKpbDN7J`$}or#)P=2Ir&#akVC zwZc?8G^9csX4MX;YqP4^Ds~soy-Rsj_$}gEFyLxCJQ9Tbn*e9J((wazL+|o!q4drU zmVhv{Ya7H_SRz@S3A;7NIN=+eo&SIak!|_lwus~UXbs|5&ikDN;M?Yvv3rJ`TmC)GO0~s%4lAAkS}|{kmn0T zfLRGRYhDbc^&CZ6vx~w>8@5-D>;j!n`ZRD@ICr5i9I^;Mk$>`IJgx9p@n7l|$61B? z`0<2c{)d?`QB>AC0ZxhFgd_-~N~8Sh+wFRroYbirbCkHti;C(7OXwq*Y9)6!_dQ** za$aqM4?KU|CRw2D#if>VmKINNUqE6NaSlvGk56(-x%z+lmn8^uH4F#@Aj?6s|U zTCZTkTV1bOI^j`T00-N8FtR-J4(5ml6)yF?d8TpJ&uq319}D+%?M|VE#1kX=Jny{+ z3v0N&Q!Sq`xU&S8Y0f{9D_ujP746h32B27$R{d6mqIpCT`apN`FJBQPk1NMOUuB9Te5EZ%V3a9(C| zm5>%E?+%?v33#B8wwSP4vaVET$yNK(^gywceW51nS@5dV`C$yV)MNy;AKqyr# zt2$XsU3Gr!7-^sATt6>Ctay^Va9{VO8VCmXQI3A*A22Bx3ywWC3xYC@@#t|kbyFUP zgHvJ+p!*|3@*KA>1zTmUBNTkFYt=^8Z&1_N-m8}nRqhlG%5OL8{{GQcfZQ;oU}H5v zWV{~H^E==7c18=dpNf}oTm^6Xm<3UL<=jy$f-p%5YV{borX|fi00xLbq}a22qpjGQxu3c73rs^{-fu1-q`LBXI0>w$n^U2e8>#xb2}A!8TS~o|2^vi(z|pv zYqoH!VyIXT-2!Ryl0px;W@6PE=7c;8p&XP7SN0v0$DZsU>alRu`Q|X<4I%YtU7HT zzH>_fC8@-nW`U+Dr93Iy23?7O2<2QR7iXNmKc0Tycee9`cA~-)*2nY%RfXlDMkOz9 zV+P+bA22|B7>;?N!F7Hi7Oe_^vk!8$M; zhE-XQt#0WJ4z$)V@(d*%L(`XD6dS->EPDSYe9qh|S^?k-?hq(UCK@B&1>b%+m{itz zqJbG9b=D4nHEsVCyD)KqK9u|USK(egTZNrdoy$MlOu_`K|2b+#bHMW>(}{g!PS3}1|;CIAokBn;Hr%!?#}B?8PglhK6M8Wl>PzCV>ka8ogfHrr|W6P2H?NF zI-=k9RECqD$Od48zC~Y9w3`;$RaSnb{<4z-l5J|_dEy{nYRvQ}GAc_U;&PT5>LA6p zr@T=%I83*w*I-!6Pn1my*9_%USeY0m)aF3%aFS(o_g1Cu(0^(d+Tt&NG%2*=w<^J_ zL@*moFGEO(?($S7s37M33S8OUkUH?&rU<0RPG0hJr%T&vb0C4a`9#t5^j8-n7D>SV zp~$0fK+=gEMmN*@{MV}32JeYysR!d5a;PG%Qnm@y9t=@^v7JA9>l!~qO{0+#i~``+ zs}OsvE%uz7Sjfv*<&-d%$1@-`dpL@b5!(KX0r&Zn7dkR;x?LL)9O2$j7dS%C9qSIC z=R)1)3HRo@Swek6ZJI!M=AI{@+5|R@PgG)W^E$Z7lbDC6TQu-*R9qT|xFD>)>`3Dq z2D2b{K?FQi@+h}e$4>>ru)%u^wL-5ob7pMTjTh4u+cY}9gKm^P3~741jfHM=HEXFfm;S*^F&w^>as70 z87PkX{j|r~6BpXs|8aTg-w3#6`*$L1NU!rR%$gulgDGJ%gtShcl8OCfgEQ z#7&&-Yz3YTZbI-k99x-2XzLOZp_dX}cKD6!lj%3Acc^(YmN{8EgwJu>niI5Q?>D@_ zHgN&gI+1ipp%!Y86Uq!M2E>IcFfHxYc_XbTTNI6h7Sh_W#V3Fr<_lAumHub$Sa{Iy zVqTEHekZhdYlAazExB{}4q2KitCu;4R0 z&hl7UHsD4ETOG4hvwNq+-VN68Rm|H*VZR~cO5HHL(M9aXOlZLwM#`!#c&@`t9tw4s zTOMo@H(;grUHIHL9R4y8p)0Jo-^TClT{b!*OrD^b0*IFMqiwK6m3#(hS>K(2(PCh= zt2BBC|FJLbv|zhMCKc5NzU#~N{7m7v|CWX*$Dk6AqAQH4hj2Wl2pkt-E$QqLQxbk=D}`?auLMIq2-U z85hIih_kck>xhU1^DQGs1SzZ*2kxrTcr7=*Jh116k35*rFyU{t#Zd*hQn|3%+m>83 zLxeGhTYcI9Lvvc!sXwWQc_;Um6DwbYn%2KvSA#xEyxw!|>D-yiBAj5lnYy4I*a z+v5YAF!nwxzUKOrn>!|ATH1^P?r^hJfm`>(m#NkVAXB1;O9%2uiWof+%U`~Ljv(;JP? z`%d?sd(LyuJ?DF$^PK0L`+WT(q3nlNrQQ__$S+C$CV{@m?(9?8V}G+iSYwgsv(ERC z+!;3yE&vaFf3+@M_Qt`wZ(03GuhQZ&KfY8BZCLsBo+9yfQI+i1Q;$kJmjM4Kf8btw zWv}1Og3F!nYs+m_mcGix;l363zRsK#qq3LSx9;-GzR$;Tk6e44eZ_NfzHuB|n`YD3 zbZcdmK(Ea{Tn7$4_skB>+wi+irzIZR^tE)KW!>*pd<~f+|E2R@*iy6NS8>Z97ru12 zxMNTkcdlMXsXAb8^LZ0ZJv)sM6X^XrlNUZo3hybB`Q~<*2RhY_@o|Ixgvgv zTgu$%Vep;PpO*AB%ptqYyqbmH!=8onY2LGG;b|G(wl-;>YlkTb@y`&;7L=J<=4d8J zUBsAoUrMpoxwCs&oTI-Zw9_6uJMNz1kb>Cb?u@XKSCZyx|9I zauRmt<8w6yiNT^f5yA)dNG;BPcxs_b_m&x zcgMNEmSx?EFWjh8Z#6S_SG&=Nj>bKgqCsY&_?on>DPDi=8$Q>HfO zHty8jAna;0FY#!=aT%g2azH^#vbIU=l+rTcav`%+y*Yj&*R=xIKko9KcgB@(PQa{v zd7mjC?Up2z)LX@E-D1HPRoax(h-;|E#ZI7##cPnZCl*<}ZuaYtP#j5Wg9$L`S7-4E{IcI8dUQa#PCBi^yt;YyZ|o@JWm6{(Ax z%quQM*=ij8d`^4Q)zwQ|cS$dh`lQlNJ6qJs9;`nCrH|go>(^OCmH*!Y$ZBVwB`)N} zI|g!?=L#*@v*6+abBmzEC=*TPRbL$s>Zmlm@&D{ts>h*YsmM``MT6M5VTM`c*5{>P zwy5HEeU7l&#(LZ6)DGdY9cNg~)XK!PrC}Q-FJQxk*vn>O7YT<7T^HZP+XPJ&tD*OJ z8m-4Kl%8zRB*mc5LuUk4^y$8UGGB_8)KN0^pB^1^Z7 z-R!#Vczzd)iDsNg5g|^?Am*(01I=A!O@ug2gQcOHAE*Y3^|uq=QZDR|FO+;N7Lr%7 z_xlI+bY6t+qlEYSS9&*Aa&Nnv{Nz4m-^w~K9c`IMDGS~hZX&OII_f00|A`j$g7^KK z8)qpN-sH3q_pH==xy1P8vqZo4fo0A29wge`eCW4u)qwDqDq?rlI|G^iH#60eDmxj}$su6V0rjypaexk@NbyYpz*+npm&6S!&qQ z1U^)oeSwymK$CrXje*=Dz-xf%sQJ zEpGL2cQ-isgLMnxV&%6*J6T*`+xAT4pr$%Nf1!i=ck|i)gHL+M4Ndb&)9N*eSQ7sp zK7zg%d1PZU90Y~a_9X)s5J0?Vnim>4mI99Htzu{>4IB@8oDF4wJM+RKn+RDJ5Z~Be2m7ySMdQ=Z>Wf(}B=B)=FlEEMTuTi66@L_-WU`;3M z52qIU*FDe(S?HKO&_%!=X#TQA1C^H5t3-FowSJk)IxF?~A?Z9p?k+aZMS4vdR>6WR z15+P)R_rGedX??_FTB zxy#AYbufYNk6kkO!oK;&9C=qyfxX(2TsGjfZbwQPJG+lhWOY)vkM*{?B9G?BP5Ae? zEK@~@?YYWtrdKV4-gspFvOGBBb$Z_|b@psibFX$d4f7GXdQRd|+J+jr?Hg|Sd%6@ZmKWNdQU10UftFdn z4Q9HH@Pv@9y${_i>FIGecc7%qxKS=X}3y? zZ(&k$t>5nQ$P~|b^Kl)^IfvyL0yRe2Z)J?GHD(cGIJmnqv^O8Q5L9+EN3|`HOY`MI z?|^Gu+L%oj2?}zHP$zGlhsb4iC(*$3$_=y-ZuB7Z%B+nAL}!*xr1DC&^XGcsYO6{c1u#PVRnFN0pQhNR0rOJ z2f3{yuMD0Yv%l8JqQl}Lp|_DAw%Ak(?v$?*atl|KkQ8RR2Z9_(M1xkwe$wc>qeTK89jeZdm7fhYS~Dz0i>kuP_-urahvVadZw zG6FfbdwWjAsw^wTifYO@s~0roJ4?#Cveqd&ukI6g?(4Ag+GdJbXecFEGVg-+@&zvM znho#t^gJ_a^)l#k;`V3vY+as`#jm6HCZ+fVSo*$BY)COL$gcR*16{x8$3;%(Wq;X< zX!>gUqVe<9cY-_63$0mWk~s*ix5~NNEv^l`-F-ZmW!V?6)SbO;&7^^uJvQ+zm*q|M zmtcu+ufacEu|FlYVAov<)H$^1IXPQ_I~L;Se&lo;q3Bh|INZ%T91ol)Xy{; ziZ?llNrqkZ`*zdONq$vL#(-tl`;!9qLgF^9Y;NFwQgZ$i94r2`rOmBe_U^VVk0n!` zlr4jf*~|0qyJ{=5Camww$JL~jr^8Q@E1wP+yQT+JiaGe33>ZCA-050lx97%Z*u}jE zj1A1Ab^LkNvQ-gcg~i|E4mp1r=r~(hH;~A=cVMq;ZH9tvc}%16!w(>7j`t>>uH}ir zMkoBtkLF4~+pzhmbI#}I!QmH=rKFeJt$BJYoXzDqpXVY>iBf~PWW?TCA}0MTE3<2=U7<_$Z@%c;8MM(gDVvP~J(gD1#ER@r z&f2_(b=E%1i{OFVXN{XZy)?@>Sq%DBKDnnoj#D^Z z8?Q%Rw+^?<)4u#s3o6XxvGI^n*bTBgA6Jvru1lv9Tt4IF!ec(_hCJd3RS4ax11sSXZz(n6ivrmeZHO}0j5zLp^6J^+wfkZrjYxZ z$ppFlq#56u<24fmTS5+W)vuaWwijcmVzsx0P}y1&dxP~(n_jlGPe}Bse9gjdPU;!6 zE5z}xV)u3G+>)Ye>v?Px`IlZY3!i&A-Zjv|=e}V6)%tDNO}vt`FPtk~IACu`ellyf zK3>&((^1{zStk6SZ*TTwU2tgiZPw~mPNbyR6`lpfIB$(u;L%_?mN+V>*@(*v)g0uM{#mX@qB)y5e~xCyPTy5oLOUev9w1w3=jNpAB8LZZ93 z9dY@tn7rZjzAd|a?h}K&5H6*@o8$~FO8bRmw{P1hiG6j~Qd@tKsUk>2enH916Sgh( z2RP-rI9{I>QSyRduSl?vi_@Oop>%nR8ogT|w@P#u`{ArxJVoB@x&`8e_haPsj>Wh2 z=D)CMy|*+VM}4!Z`|ae!3c04El&Dp9yc8>_#5K&Cp7UF^u^&__zi9aQzfe}|Q^Xq1 z-g3pu$$14K+TL7eqk8=-5x$z}rH=QN*7K>YQc{*>+bh`6x88Qi6D7wtIw5pi?aEq#LwOd0QX;CWvQux}Of}2Q-)|wPf_8=XD}9J~bYY&MyHSW`UsSl-tGsAR zot{$qx*cU6E5a|e-(7Q;L#gcP%&*Ryt~qWzU^>Ixd=}|`dG2A$4fATC_qjA!RpXJo z=TS}RySM~H&o;2@Ej|RjDg{vx(id#G&{BDD&k@xQryg-xZurjqCMQmKy3D`w`i8mC z+^K0gdQT>{xTbdm&bCf<_J(?6(qT5qZ8X;#R)xyr(Eht{&sEzQ}2$b2B5 zBv2mSF0|4R+S6N65Iy&ERHfo+PrmhswD;JzE;OjPqaw0n?ZRsfg8b_SW=6CNTuJo= z*#z;t{=ggBqDyHz#9@8t;z^U@FK_xjgms)1LHY0A$$Bn)EjhvR#sz0C{q`kC?7Zg@@7F(m!C%a%luV#jkEe zCGI6)-S&PF(_X1tNnGc|xrEar`gZX#zZ0t@iECv5b~TZ01+MAPF!lV@1FJTqyjC~N zPF`VZvG8!FNn~Q>I+Kuu8n32h_1v3ou=G6d->}A;i=#y1bfl%l3yWi=>5Y&QIb*`J zh#Eet__W6hH?(xRC!ExH(JUEh`88bnr1--lulZ`8Ke2lEVK?_JN#{zg{v(&KqrH(@ z==>v_yi1$R&lw$EE*h$F(OZ@OgHy{upF~dH^_A+ZtXcPY78U9&YO{K4o+0Fz>3k$o z^wHP$T`;cu;>+tQjc3I`!S1JoF1HEI^x<%|UC51nyP)o(h}fywC#1M^4X?c8Il?L| zcI3$S4;EK*?Hf%$dG4LPK@9k)`Lc>6$1EKoHj5%B5PuLyV6mVr{K-OO_!DVWo9_bx zC?SK?rlM<^b6T%F%oXD7Y+HfTOq;Pi`9ZY`1+~TxXB7C+@?nhMNh6=Nz5*|<#=q^} zWo&F@b}%j2kG?Iim07Hn2~cA~m?Cy%wO(q$!rm-c1eO!D&R z`F%=_efPRW_9@=;5+sAa1|6KUIK$m>g)OTiW~N??k0knk zec0;!B1tass7JVE;XJ>GyBu~rI`KX6%T93gRj>6$k|uXn!?a*WZ28`UEHuP-^Y_LK z?7CZaI$?isl)blQ(LF)6#$#XJvu-Heuzm9i?;}sk3bFiE=6!@CWotvj73B+;Y-YFl zdco=zsczTCE4@Aosy40mRH*Nq86)LY%HR8H-d-J+Yr(RtCz88g7LX&8YyH=rX*^X^ zyD#y3$}D~{6Yn)rDc-Q!11TR$a#KF+y}vo%)<-!R{rLQhP?rSb983(PfwZzQWjkkm zSII251m*n25>9g??p6*+3S=+lG&!$qSh{C_T2vmFKilV-Hrkd)gJmy*o6gMGl6M_E zcaCu3_IGv5v^E6qRJg~JVEKle6671&&A+I-Y+*#Gn$r`= z#(QGw6{W|#?jUE1CG3sda&*9-7-FQPBm6M&!E$#Elw#QDN8wdjXRLN#7Bs69MJb*Z z!<8-k63pXj(T5obLr{U(5EXS+=+uX;fmqe+zl`oF>!v zR@vJ^mO44vlm&)GTtD)_=t@%L=2d%24A={7J{gG|j4aQLyn znEm-hO<&~OwL*y7Bqyb>Au(NgiR&(uFBPt`)LC+e#f&9t=aJ*ldYb2UcEsH^|I&&@ ze0l%1`{QEX6Y73`?n<)C_Bv}@W-sG^zI*rX-cR2yomq6Fsc7Kws-5kn?R)*VKRup- zmvc=jiHa?pVYaS6VY|bJr(5?#KJ&S}(kM16G^jp!f1Y#TRn{`Lb8-(HXIccO7-||` zwttO3*Kz3?Y%}kjn{xSyT}{W#R((5AQp+NkC~}Fr_h@>IcvqJFwM7?WPA!2M^u&NNJ2n&l3XO|Kex#OuzzZKvk;I6ufyIHne8ym`|6o<0B&ry4{df+ z?bFJbWYX#R_IE1_`R=9OJt$pwf4Q?_vdMQj3`!64FzM{auXB@G+3d@U+O&1ΜUo zO{rD}g{7>p^>~=%a||_S?!10M+aARfs6qv9y|j4UlSMb!I?HqFpCJk`6jt;H!{!g& zESl*H%a-G{W1?8xF-ScHK&)2jT&_1tVlnzJ7lF<;UVNH9>;5J2@Q&AtpLTi`t=(v~ zir~Q#Y8{Y>Y~#GgB4S^&#o3nY^2NrlY@avV@ve#%li+bag?R0^s{fUTDCF|$a zFC~n^+ZB$hJ!kcrbI0=F_7~?J`MN!LFRFIBJPI9%c8=R>DndBYs;yqNy1*KZ`RI+6|x+a>L4mlDRBYbTkc zrN-S6)K|Wzw@pT?1?8^M0rKFL`;IeD1l||KMiu{4Cr_@Cy!=)E`nkkbc`@%x9}3t{ zrj`fx``!&UF)6Q0&F6f$!~I}(N?OR-yuvI}xc+vVO_%R3Y}>fdQz}s=BduzC=j#yS zqpW^AzL2vV29Q#yaH&vPrm$qv>0Ks=TzENVwlCxd+fu!4Ov7o#8Jdg&H5VS#N5na$EnL`+n2qBefa4yrh}UaP6Lg z>SYDMx0*)igvQ&holmp;D$%bzcy{bL7WGLyQ}q8$evY%7%qyN5;+XcjXV%S$lMY2vs;dm@5O$|X-rD3(7x8ItAOB% zR@C82G?2M{cUkH|T-VhBU4S&NP1!1TBKIoQ3pnT)+k$hjq1Xb^7v!MQU;}3>in}8Y zY^uElY)C!O#!=8%GzC2pBtT(sEo74 zYj}`w1|B+w7!Ny)99D1xYQBOS(#_t{9*_odvnM!^k#35DXe`kRhXjtP$zVYcEepj? zQBa9`0c5JJ2T~@Ia3Cp3xC90WGz*fJmV_dtq@|(aAQ%J+14DrSq$QvTq?9xga9|Gp z38Ll$M+zjYHBw(iZSZ!$nWCUAh2n?=gI!%+C0*f?M3M~{DkmpL6$67w068Sc?hX{R zn}h>-4PD5P92FcHL&7^!@I(g?RW90!=uA-*6r|p0_;2vJ>>Y=1VV6R~(}_aDoU8kR9C zC!>r(*!)jYM&})rqX4Eh^QdO0^(nQ*7}EcT?l9D|`R5*?fE{hX6ZIvTqJp>o=dxtl zTLNUmIgkN#0Kge(381=kOpqyR4gg#R;Wr^F=yKGE4wMNc0Nt+s&jNra7;2+IU;)pK z)X_uR;K(Q_t=rVLlelj;nF|I^iR@s zU2r5v65fIGLs26+#>>%0yHTIO0PlgL^)yf|GLG8hih}w$GSQiY!Hs@w>XXwt4e5%K zm6U=|{}jMODI?-X^4X%Gz)LwMqwT169LebHfTy4|9Wb`QYR8~NTGEKn;Z_<|=~1GF z8k*Y2C|35xDP=H#7hBl-%a2p-8Jr1Qr!lMZ~XcCF&O0776 z#F1+wm8X{-TU-rok0-d(1&l5_nEr2tF*wxt+p3{~FqX>{Ntk3b_e36}N7B$xZKwugE;CA@Ydr#fTm#Vn^6PLwedDaqq|tSvRhO|oKZe6Z9ZLhtzli{P ze}cRJuc$C2In&<$(KVkGD74WS649O-jS5744~aG;w4*JK1VTtcC4m)DFcc1kB8H^@ z7iiJZwfsrA&_Og-n+&Ta>O+rKK0``Lj{ukqR1A#1NbP>AW-`Dz{Rok$O$5LeoEW$C z)Ukz!D>C5?hiYTsil$&})k#EW$4S;_a+;Dlsv>Qux1qsb1!s+RCQv~1+kx`j1Z=!fv66;dV=h`?|=rcZ`d@jtcyaHju{Y8WX@s|1?rpMrE9!85pG66R|jyvbNdg!NRoje=kO%YJWX_U1e1RgTa!tq<=4o zaYs9#fXWUJ=d_2TrT%+id%(&7Z2$4)hc5iP2zMNTKy)21VKDvQ1z1tvrSawI$v-SU z@yj>d8Nk7>h6oiP5Re)0|7OK01dX2q21Dsd!-ApwBtgMY`j3Xhl+qUr4LeC~!BF}) zgJPVn`=enY%TyJkmGanQs^z1O98?MnsG6jzkR2>epYy9D|7cK1GeI#H2&2z_At-^yss3nCAef*S4TG`AzYr8~IZ43%Ehi^C6+i)Ff?_NXMxXscP(TMkA@?VP0_~5g91}8Nkn91PmFeu`Z8E ze-SLC7+%*&!-7%3G=v54d6StU@beeJ0`{}of>FRUgN5vndvnT}7mPFi-|Wnq?$!nH zbtB#HJ=LrW#>xM0#>F(ZE)YYom}=Gq` zHp)~@p-r8jPC0eK__|LL7L3CH6Bc7&0U!d{qy?XPuwd-hNx}jk6&>2u&D0jO78nDI zVcTNL`7Rg+_esKnQQ1sdTZ}VqrkQm?1qRKym}+zzX?4MAwEXvW1<^IiNLEPmR!V8qolg9U9a0+5{lZF3R1L0ADF1~HA!@kS?L zS7<9rPeIQGqjfMzqf>g&b1}5hcG9B=gSMJx+JZJkMNC1@1)~<9BrFgJ`mV~M4RlOc zjG3YWb_L+jnu4ASM(bdbuz(GEE{1ksGhs0X7Q?$Prq~v(|9H>E^d3TncU(*{Q2fUm zE~YmqhWA@cF;M)++byOyD28`iOfgXW$D1vtHz=r1@4xUn5r$5kw4wPotc6fv@pmnS z{46T|f|U>|DE_X6ke>y`U$72B1;yXB4Dz#}VDz8;mwwHOVo(i#I6LUh|8Weai9_p5 zB$FnJ8b;Azg3wj;0>2=bC4M9>=)}PwM`aivYjo5_BrPhH3K8QB#I2+#fX7`Lj5hSk zYL3MWSJ=^+M4-i9#bUrX0*+b%GEiCQ*!Y!L3=pM|WRIqx(2kA-JO)jTy$W{3S}TA@ zE{()QQUDSucnX0bwjeF?krILOqwrL!7uhyM~psTjava45(cZvz3m zb<_xGj3N2xsbdAxDmJDLzpV9uH5YB|;Rc*AeyF|u*!H6pLF(fiuy|_ADHG6SGRl!e zBw8bBjX2@;QSC{;K7|b@T2?|<3L+-~k%q(MAXac0 zX*k`TuC0w6RrXh-6lj@=TN$_jKomZtc$@PyFro#mYSqwx=1`mfz22O?pE(5)(C`barDNhj6nZ~^~7}9wtXfsHP#`cCn zEdWYVuSrA4#eIfDp)e>j?(_7F`wU0IfVj_ypNjiDEaRu*K2Ict8TT0iX2yL6B14ZI zAE;*3s1VBkV@OYC+-HW^&dj*a%(%}C@xLdCyv&UI%#8cYjQh-t`%DX_#fc4BG~rWP3ojiCf4M5YLn4bxm@nyW)E zE%jgKjAp0}hTI?HEPZ0qWm>A6c2U2-6lBQX1p_ z{mZn-(8sk??1O8DkDDeL#D$^sq26&Nha{eA(E}ML|Gya*)7-ik4oW=L;s-KL|9>+qrnz-7 z9F};hSr?2BfZq&@X>MImjS40S^#AKCdj{{rG&4H^qk>5S{r?HRFuh?xBVMD;Qm2@& zlW};T8KH1}OlVL>x4rkd~K=hVed8yAfFW}3}KsIXv?Ku=yk z9v2MSYMQ}6x-nc8CXC+x~pyMjpq&GcL_dM<`{T}&}I z=YPECVtQK^!#ggf7%2YZ4HwfJ6vO*1rWh#x_ErI8&7%mUN5ZR7*9pcFIn&6c;Z|@dSvUeAVU3ms z$fc!$J6OS_m77LJ2JrHAA)NuVbtfrgFnI}ejU(@3B7N0C6oM>1`}IoMEa zL2!ta41!4lJ#9lE=i&yqmXW&A7&^f)O@5@;p~GzrfnfwmcEoGOs8)<^>Wf8@WW zhl2rFu+084Jd$yu|a90F(jfr4vR;F)PNU+XhT9f+Tutc zgd~(n0?j0WW|BZNNuYn$?e(*tkc=z;$A#CTepJLHfo76G4}~9`VACfPB1~4jA$U;# zWkO`A4Tct6$Eo?D3nNa_(G5sHrZ?l@cLU=jO!9kzapjo<&2Wp;8=A@R%VhW+h6LjQ z6CzB8Uo38%%WgDGm=Iw?gb9(+Z^Y_jtK6PZyXqYpak#~pTh&j+O2O8!;!|+lJpE3s;=0HPq{U7H0%oz=lsYQm> z2E)PB(jr4&PEN6}X&ILAfAbe|40oU8stiNvKW=1bp?~*cN`Q(5`G~l7-P=-(V$>5{ElE?+|e%t z1!K&aKN=KFhTm~e7)khrpkRzS^GAXLuzY|I@2S6k(U+qrnz-79CLQ6 zSr?2BfZq&@X>MImjS42iFO%Vy+5%$;QJ4(BOr9LN8+QyWXslYaS?Uz?butd`lN?4E zhk;4YQW>V1(`+sRAcD#8%jC&n97Kj~iz(;3V0c|8sVx|l&7`%(boX6Qfx%?>Wv+}c z7@bsD(2R?z=DYYgb@9{21*5*1X4VB27EFfU$!iNnTun1r(B>jchTqBKf+4m|~##kGES)Z%_>HwwPj|_>VVROm9$7-B^F&cOnd(%3KSX!0Ane#Z=qI$Dr1`~~YER80Z6vi_p)41X3Bf6^)lli_#xBVh$Rf#6K0 zkkAw&32Er=h(ir=uqrSaet*R93-Hy_$@a#6HAhcXB2Y#pD}b>WBn3|)3`hT_=TgCu zF(kYr1y6Js%1tBi8!9I$xmos|h_G8yGaA`-2Uj#%pf`3-;>&H84ri7K*o0Ob3AJf`eonyKZ`+M-o_6m!6(8icEP#@vecGnuYuSgUGDmQS zuv@yvjE9!*avr5WYu-l*$y`Mw%C(ePr=eSo_$}(;mW6e5&fPN3`Tq98p*D8Y^m3NY zg$>SLZp{nIKXewOY;@gE%iu#W8fz}&@MdvWGTaR=#`sd&+si$^AIrTFZ2v-1<^CGM zIHI%Ic?FjP(DMQ23VRRDd(mNhvh#E0gO<}rpA|j1s={%|i*wC2QhZ)l*!OSN8=gEe zGN!1SU(2Q(B*(1R*wAq$8bQJ;szOy}<2c zwquXUk6ALnt(PpHHi6}Y)^5+|)st1-_{x9Q`Ub-Q&z;#b#X{`;=C>9XUi)Ny%}YUO z`$qlSuWo1_tWCXYl&jFBdr0zCoLJmC>#Qj6yn+O_JeaA_EoC(!e z+pb_#j7ia5ztM!!{-Qs9!11Ql3DsJc#D>MKO-)T@oqc7qTDMqjj4j-6NJ;A!a)gB4 zZsk!`PPlU4epOp)!b?aHGXDAY1&eNf=!r~Q{l5P#*H>+|Zm+GF1COl?KYa2D+fkmh zuHhcri>Lh^fn;#gGdA(OD))eV8;-0S71xhuh30<*-Fw*|)qg|a(d>dbc5g_#*A&Xs zS8Xixwaw;7HLj8kIf*i=*WHO4*t_!RoDL_PPD$;HcZ(yCvmW|{RuB&Bu&;V=B=mwO zmZN~H&!<+$})#S~V z*>j^zP`J~X#bY-5c=MI34_f^C-L$VM?H2YCf1TLbwR2{5 zKg{!cZ4>JG(jth@rO+2i%Nn#Clgc%F7WojJE4WM+DiOTqy*w9P-p$o=jKqV?-X5S} zba|E;F4$;CJAX}?!HbPWHCo?eUzcR>h*yc%x*yAvu=kyXMb1O+26rs$zMxjztWc9A zFDpw?(e6z=vTI9a=N8{sI_oC9VzbOs_i|GD9dci}+&s~eX4$Z?!#qNb&)13Ne~Vhr zwq1K&F~q!6Yg@wA>@w?exr=X32YGPLB&a5+*FMPJWyE#w!5djoshQtYz?atDPu!E4 zJR|75*UkH?*F=(6KRxWvj(8`~KLXK%K7|nu?rxv-R>gGhTAU zxMs{CrF+>`Lq!!Y`dY@X&TLnIai8N&qn^y>$0DFY&vqIHQmH#U&;MLLM`lk z@d3tjjTG)@Ntvz*>Qcj;ct^2Is%f_Gl;^2GX$U?cg!d}FzVyz8>sZH)xk^dAEb>d1 zp0Ck5;aYu1>V*B__6OTcJ+5_H)RIEJSDU}sdn30wY+Y!@;n>Wzk7s{^er(8Jz(G#@?>$CZO_u@tKzT<1HpLJ;;`1*ajne(cm-$u+(puhgx>94*Q2_Iasu3^#-`8dy)9BFecaTgGXfy0n| zkU4G;%i}4^mKGa{oyXE@FX%lLU81-ymw)|Yb=BpP_ry}qsk&{muROV4^Lp2fBHwyx zqHp?rj@re-8WwE44EjZPF^vq$lk4?*!j5PTg@)CMqa$&aa zpJDtaai4RA>D$;8n80DJgu9|ug&`kJMV}PBI$k(`h1_A%c_;g=F+tK76gt{2$Mr>h zW)+9@%(Hkm1Dh7-A$(+hEDS!osjp7lLhH!Ei(Q57QYFh2O0-VLyyjkPUB04V>AjOj zDo>|`zvhcG_B_!aC<8HD`9V1AAYy;Fu#d*th@}=+3R=?3UO?iWs_pE($#HD)t3zt9 z-(F2!)LrbTf6M>9rim!pknfgU1~ff4c{BOPr z@kK5R{1}w>f{Sf=k9hR{BK-Ey6~b4gx?^f)@Vu*uZe?dburz#4b#Id_|11)v>&@=| zlc&K4=FU0LGINLIlH>UY=dvZtayudAnNZHn3Tc!3vaVQiEn7%Hhwk$3&vV7D=D4CP z@4m*zu{EqWnq|SIq*32gw#d@DcWwWdqS=L93Bf#DGH#MPOk7M?2QFB$JLs~=Exl7G z$x`=px^maqr`(l*%E4Gz#WHF#QzK?{S-UmlbJu<@y0fG4KoPI;8IJ@@`G9hl8LP`G z1;Y2dGD|t|uA~|vBX)V^L5KB9hh<(5}x zTz*$`srGG=_GB`LV3=U zJNN1j5O#lT4bi(_fk|~&-N_krDR`67=1ZSW&!VKCs1CeRgW>CYAE&5wpMP#}tAAW{ zp(O|ZUA9AKINzK%P3H05R5l}|i6Hvb>AmxkV@l7X7s-f0JYUEk=lOi&@rnbH`>Vcc zWczgXBil2JYuBf%)Ti<{MS<^$_ZQnFT=!HTDA>#IDNlNcRF}tU?{L1E9RhnQw)&p) zm+MutcS@rwAkPsCUhiZd$p8MmC3l-AU#9mP*YCbxpy`FziIyf?FM6#!IR9XY@skIF zMvIau>vz^YPjLCt>Dh5BCH(Q6JEPK1z2@5w#Rt7ey&Xw!2!Z+Tf)x<@*`*|Y> zI)@(deZAlw_tb`~;{)%fgsKdmg^?nAj^1m&Ebcz*URLF)oXl@Nt676I7VK~ox9>^Z zrndz9GLWFXvN$8u{;jXN&vQ}Dvu0;?xwgG->E|Hre2I&2UzxlpDF&Ht?WwQC66eX{ zfjqbJn@2{LY+2fc6<)V8%X3$TllQUiTdVM)y5ZTp)v{Y>oA}(C<)E>$M?T&)!_oJB zU2}JW%l2p4X%Z-7PO8vUA`&IT0+VoS@vCM#HkojlO!SaioASmI;!mGdtb zSe4bt&Tu;oyE1>L;Kt=R&Mn@_2^Upot+l8sxQJ`ug*;Kxm|qi}{LD770fEkgY715FH zS&`LS@E+j->Pyyfc@Ta+d_A6}+}ZH74PW*ySNG){w$S_AT3g-BJ~xyU6ol0rTWWQ+ z;hEyGTkNj=93BsC>WbL8R$uhNo%^~$1ypwI0RLgQPv!Am1(Dv!VE$vZTFQuR9(Tm? zH#oJeEK5aFW<7nQ-8auBJt(MO#nCr6D)C$W^G~Va5B43)DdScDCfj*jVymXJ-x>R6 zf0$Nm&g`(_z}CFX0iJ>k#Iu%l$I?Jww>1(j>xx>Ncwa^GNb?*Gj^&aldHCL3SMKgx z>!Upg#nm3KBDfa3Jop&W6>Uto$M;T=cv!=A^?F0^MJb2Osu!zpbrdIDfv(R}@4csB z%)Teoh~(^}Y1_qTbx}-qylp?e~yRbbHX~*wyOWp04kYt@Pp(j=dmuoGnt&q(9IwVR6xcvTEs= z$9y~`rpq~spRMrH>AP0=ydZ9+uFEU?*}M(tVD#*BD+Ie=xw494`QQb1g}(Ma8T?yx zJ*9TKo&n9;;%c{;oi9n%H78S$U?o1oOn+O^rlZDwyDskULcCrsXN0&ds2!Mxesw5k z<~)!6F;ZJ@U`^|T=kJPoa1?#C`MgAJI`4d&OChgiUq$F8Sj>$e^?i})y3BBvte z97G4bzc^3k&X+l8t`4Ph57;&A&bVnBB7I_@AD{tUD*Nv!ra*LpzaZpW3BSNzO8mi0X^w&FGy^>f&l6qKl_u!5v8om*>AFEb4VP~JwC%W|IQ=J z2oEzm!lqk>TjmRdV&nJwMa>aFc(C_|_s1+bpJur3>iMdbD`!DZv8?Y~f?ByoFi2-U zMb0DZS!iHHWbncwMb`tzakv4G+{E)GY|o@lo7LJ}{Who7K?`Fz|6Sm#NY-FM3Zgks zDMnXqMQKz~#jB#~#b*wm{~+#SaB-Lgx_uDx;d}WKpQ}3SM7M#{;>xV0Jv3tJza(CnFV~^5(3zb?FcfY=Tq-Y<@?Xca# ziP!v3%-3?*pg#ZEG8^f_=g50!&RmdL3#xkBbxrUyTWNN2=c4liOLrUzL)u$kEB)xH zm3rbmEMm{LeQr0iw*{49Z-5$u9^71YGog&)5l{fHXTMvs5eeDkFDy{fTnJJItx)5G zAf6#lXCuQC^Y&z|E9Gnpt<#O~u8>+CMUXsI`h4Yw4WZ4Q%Py@%Xl`8io}PO#;(m3ncdiks+JWYPRMZX%R8-_b4!CgwK$Gtd_T!q@!&1* zy18!=p|@+oZ>x#pt>0~!F^8ps+rVPMe89^$=z9TNlC&b zFc2sd@D55s5mM69P;n3p0)>Ggz<<&bPy|v+8VUIO2LI?@Ynn$KaD5Km4)D1TJ7Qg3 zT_s)Nl0=dX7%C?xM->BuNdP${$nHQmJ2wdj@|t1S_y5DQK76AI{p!P#2c7CjWxy*2 zte_YYiG)F?uja_Q3P{;N8YE(Z2l~yGEK2iOk0~(L5l+8^Qvn=sD4>N95>S`~M9vTj zN5Z6#QnKqI(nvTxl>X?P3^KHdSiH6Sk7Y;=%NUiDQ3lYXSTqIwKS>##cTmnRbHD>W zZ$mwsf9??q*wF?&(JBdo=dxtlTLNUmIgo)>5db(NEdg{e9qVL@ngg&9^G~ZS zbU9S-@`MtA$58)g0l*V5tkwX}jntufYsn~J)qvg*LkT05q^`?Qb84W;#&|N`ihwgD zp&iJMR5v=t9i@gQka5GMe~{^)r0KfgNRA}D1LcRJMskdoqm6c>K7j$=14moZ0;)yE zQJY**aLBVi`mw366s^;ct|%x}5=#4101qXN$REjQi;|Uu)Bc9?*^X+*k$lb$cnV6> z0b>g|-3JBIl15|>x6-Iej}kT1(9}LAk4mTBg1REO32ierb?5>C|35xDP=H#7hBl-% za2p-8Jr1Qr!lMZ~XcCF&O0776#F1+wm8X{-TU-rok0-d(1&l5_nEr2tF*wxt+o}Pp zFXJ9_>}{#ti_&$(Ie@4~qi;;R^5feANTa@kzzPT6!3JeaKLf!e;W9%)#^(63Bw!uX zkx0e^T67Tq^mZFb{&7)iy8{a$IC|UbYbtHgku)?^8>+#W%ZyU!T91Gq*FZFY{5l*^ z-#9BYX>^@v)n%;D*nSxehlx6t29|#l0rdU^cmH2eVMubOz5SzWJ}FRWqcJ3+J#_&Q zM12p5HYBv8Esg|2NJ1s0Ku|Cg4u%3N^z`ojFVLc+Yx$FKp@V3wHW^k;)Q29ee1?>g z9(B!*ihdLpV+#*gWWpN`)yBXTO~KfzlZeialdR9= zG$nOZMcPnrLxaBx&Km7Zpn&MN2hr|NtMKSd69Ifg4h@V$WJNT|KZGZ(5N$~sJ+@DP zW*`3hVf&BGF-g@hQkYhWG2x-;;pC{lL*ej&7CExx_FY`?~g0bgvjtKMSqnjR2x!H zUsqYxz+mt-q9y&i+M;cOAJ@Q>+!{ZwJQE`0!czimL=6hV9B78dM_`^iDKdp|AY*Eg zVJ$FxKvxG$TVxPqOo$94WB7mxkul~b6C%UN7(QS^gb5MAmHO}2BXgi(4m87BgYkf= zMVMNIsYS-V74&yv(B@#yXfU=vT8J5LHl`L~Y7wRu8GapT2TU!(T%(x`4=Hn?VGcB7 zH3;?WFViAJ0cxgLfHQ{G(Mhh%FqEG3x(q}4Njl3IO8;@ocB3Hy=*Ypj{1jOBXK2_d z1&VQl(H{*9StcsRj~qiOV@41LOiof&FopsCqd_6f1jP^p#{c^ZLBSXX_>Tq!f(eT8 zU>HjIg`i*z1N=vW0>%Wz5Cq2m`wKzA7zX%{1O;IE4DT}iYj82n+?(_qWSoRanidR( z$sY*~Ae8Rk7EhNk9`2-Z!6;xF!U71UYo_>jMbu@Ch&yRmFbbH4u%Izc{#`M38Drv3 z8WxNKrWq`T>3^t3#*~V+%sBJ^&CaapZe0vh08O=M{fv|U-;9fCZe0wM08KUPf^qu) zn_)4{t&3qAps8kEFggH!Gc2aLbwM>Mw5byjGk_0hN?8}i0 zGleo05x^&~@Tmt2#(te7EC6N*rnVSd|DiAXjDf|lZ87D17Ytf_lCWS@Hj~yC55{L~B{4uq~;D=FTU;)?_fJ18vdM+5P zgGs^yHt4y4F<~(gEQWVoOtDpw|9H>E^tLR9cU(*{Q2fUmE~YmqhWA@cF;M)++byOy zD28`iOfgXW$D1vtHz=r1@4xUn5r$5k^tAMESPP-T;_q4t`B_x_1uG#`Q2bpBAwLU> zzhE7N3W~pL8RTa{!RSBxFWub}QOOK{K0D}%`7xEs|AU^!U;+jjx)>E48AHN5QUIc< zp?I(~l9o~FG!h!L710^se4B_44nIQgH!-K%s0?7JBxEeJ+o+338o7)L5#tQd&ruY> z<1P&bto>y*$6|&n?C4A)&?pqK7%+~2qgH?nR2Dk6vRDj2Hb$~XQ&4C}M*<#$rnVc{ z5o@gg9=S9UR#yQ?q~Iw8hETh-238_ah8ldN>#6ia0Qn6-vxg+09RQv`Hwi4A#YYgO zVgQH1p&)C#4MggnATU-itzu*9@XJ~cSas6Y9&W%1$sz6S$F?7>2vQ%Q?Zi`CPMLrv zlTnT&BGDR2Ys3k!k7`f)^&y04s-T>m@mM4bVl6EPmxfAM%gUf75OA!Vgq1Z`TEZGD zi-1Zaq@gez{c~(>ZRDu3HJqj!hjmh*fXPr<{ir@+YuH%EXg3r7I~y{RZj0$5QeVrG>cVP+lT zUIo$UTJ=$=1w-_$awD0P92|%gGDrpjBIM{mpA0CXPA@`jBz@q7R)l^RbpxP? zG&LP4LZvyQ-T-C^+(8NgrwfHy0{NvNFxr`+A?@b$hcz^$UV+lv(9jS@t;x^{90UcH zMydBRG(-T$^xGL40(5ckq5A>&!5H8u+ra>Gz=k+Cia8iaa>S7+cz`Za0j#YI^a8CG zK;=wzt#;us)cUE}LqM{F$-o7DBK0Xj5HP@C=!~Jzn~@BHNr4S*o$alF1|6&u32*wdHT_1?HSmR2bhV*x6f9p-72*$7fct!3URZpu$Lxk^9^7;G;S;ak^@iYJ?yL8-^4nWK zxTbs-{9Yd)Z^SA2O}^hz`drvCRKbmpaj*2YN`>*?GW$lfQ>Z!?xn+JGFOI`SXWj*0 z%m)?kLSCg;?6Fq@4uQkUlvOehL$dx;OUjBjydR)}=V^b!7 z!oC;v++oWOJm2JrNtH3%?NM0g`4faecbzWAFgiO*OtgF z%WqcV^N2GWUwbRd&T@c=?)UGvuDm6_V|hlt)*R_45s_*v1@l-AzqMm=b2Ob%@7j`D zx~H$I9u%)_b^FNjDvwHJo^GLBii4UPmwBqDb+s6qaq`p6;uVW}tiO|8=0|Zk&o$S1 zzOv9;sMAC=y-rS6$cF>+GGzsSk}LSqNx5B546r&+gHL3x;Fy`}cg$Azo*1rm?$svA zZlCzBnvh~8krgs0f{q48JT#OK`6zcide*Lcwk;)^`rcXrDz)vG)PpYxh)cKK)l*5~ zSaS{O{w{@l3MU#$u4MH{6xOIKOFpE<&C3HoW28vmJHhy~4u_mVey;WW7rp85(q)q%02zcYW_&T{%U-{IZo*f1=)sI)<}!ro&9!> zJh`(FADp;1fcJ0;{9JVdB3D_U@||YmZMLEf+wCu9Y}_QN@eYdk;gu?aov`gV5&YH4ZW@znOqX%%9b35(k2p)SdKTr*vO?^v1R z+u?Qb^3vS~D`LUb;j7nfKG&JV*0M_NR<+meHz(HJLM`_9QVlZ8+C++pU*@>3?}p^r zJ6YQTVqQN3ImQb|KRHu_9H-geQcp zRn_h@!)Lx}&FwBX^5D6!L=W~kZ;QQWkb~5L$d)d_NnAwM43kACkxZ#)*~^9z-I z(ui7gb7NB{m*@`d^guE7PtjXDX6*kkYv$G4%Vc8;gO+yPl)ojS30F3s*B_Y2-+TG3 zmD~yC6-OKVALpN0%X#S=$O#rsw6068xR89P@le7cQ_Qtbw#nB%mt4(uz=y*8I~EA5 zeZWPWal_p>Y?vEPT={W{4QG>d@u~yy`}h;?TG+EM&mY*UwJi(_@( zfD$Tnuk<#e=Y4@2?NOG6oS!TB54IE^bJ9HX)y?Cm@%FyiW;SnAXQ$UKMX-y!+;4F( zUL7WX+Wk_5#*xQ&rR>GrzIzNBF8jgL z@?>iTPM^73Rbul!kS>sFGeo4$2eo4owD`J6a7k=p?dKDtCMi*gO2XPhU+@d-O2LhT? zQZfPS;}1q8e(7OW!UfJ>`p291G;zpcbn;?uV+0{a`Mhf>WMsjIxHM8^lxp;a4J5A3vkla#YI+bc*4RX38sd z8SmlV|7anz_qaGEo)zphu1$0Ba^&)oq%J4xZP`l(Wd>{7*0l?WyQ27p2t_W4*PG7g zlS$863vX;ZG8v>%p|ccAw9J-X@kq(KitF8%tgcqa^O?ZZz?2>{h%#*A-g;PU42}3r za_4p9bH<=#k9e9dn(_YUJ`mL-eEzksi=_V=DG@} z`OV6F_HjZF%odq2gYsH>e?#BF{eEhDR}xi_e=#?CD5Pq|-Zd-j&wqkO8SJ$buJ4bl%qu-8+>-qKWZI;WclLgZOj(r)V+*soFzI6x>SDjQ?P>pFL z2t=I==a#_~TfTm;XM3G-2Gk~^0ZH0+GVNAw0$dEdZSOT%OlU6UA;tf0<(?cy0BNb#&Wz(Qn(Vr$2rYFJQpM?6l(d` zB0>UoeYtw;He|Z}J$j{@ceS2-yqwk`cbj?mfs4$;_xUm^x7Phl&DT7Qkj;pJ4?JM5 zwnlfnF*_|)-PPiX4CBsbOU`=oMoPEWvW*3==n8gJ2Eyza3NCN4bz>CVRmy20)v&(} zX3THx{Mhy0Wyk(GQ>`G5Zr1&<7x}|ewfjm&!jBWoml-Gvv?g_zr&p7eY3vdPu4H0% z8M`+*zFNx*I;f~!@#wtU*?LR8)35Ul$CiEfR_e+{1(k_UdNH3f2dwPq-1)n>5BxT^ zJZ|59O6%#q|3&M-xr(P#WTpC3*=FYJXV33oyQ@t-y)?H7C{aF}8n5lEzy7Tjn zo6dehqPN(B!qnt~0$X4h))O(y5UPj}Fi4^){M&i(_%x-~H1Tdc;WUX4YVFFUKJ zgXs=<9UbI%>Ty(1-k~mPg;ovvdA=WBl!{GmAG)!&1`ZjwN5a~ZE>;(8{NCHp6nmEy zW9-&gBQcIJ`3rI!02AxpdqK;?iQ1O*-e^) zsWN`Me0$;jGCiBNljvqxF|PqLseOgIrt408y_jl8`@4ib(^8#nH0|-}OPRkrjy6T5jg~I|J!3}nnYdW`8zeH(5fvWH z0P@+FyXK;_N*et_rf6=1cfhDKG$TT~B3+pkc&{PC0&gOf`mMhL4FRJ{6~r0x2UKqr z?fD5V84~g$W3M6c#H#w7LnlZ>i$K38Y5&Xxs3F+MN`)-L&w$C}(i1!(=>Ht?o*+Vm zb%mk^d;Q0_qmC)XiiEF_FLyE;!iB^o!1?o?TOK-aJ_zm&GeL)S{EZ;a5HgNEJcJFihLaYrL3{1jr51PoT ze5^%4kyykAoiDt^hU3m%93_L9$sURf6#!s9Vw%6zdO10whIfw z1toE^9{o8X@HL`mmK&`vIO3`UaYs|kzEVCloKpU}XTzWB?lb5n$jv4Hj4@WcCY!W) zDfw}ws)zr1!}iKi-ETL{u`y7M!tkP6E;Lffc}9x9x{N#W>f+sZsFXcAtmo*N=LXa- z0VOAMD)rY6q@0PcuKC_8mj(=(fk}w0`PLqp4q>-#qo5(v6PKohU`(f}QQB|U92y)o_uqniVEWQ!l)sR-&^c&R_~e#HDyNUtm5TQm zyM*me>4%?g@h<>x*E-$QRFp=G$b~rc)}AjW0q<;DZfJYhUZv=(mimD0`G8(q^G?#k z9LDzE3Imrh*Qeh#3L98cyDy+7@9XT=c^BDSrK^N2emrkaFlflD-SO~Y-yOsFhqc6H zadV*sR);@m6C(6gz4N=q zNzr4XizGFM@otmYhQ(r;takzN;&pG&u94lxuZFH%c%4m5PJ;5O=hkcYyww;bO=FDu z!OJdJ7B2`yd5mlF6kcPfT@~Et*l)Qte8;>Fp^7|x7MJ0A4&vwpUr{38B1@Qpf4ae* zlj@!8vbk#O%TyK#0`2|t66jBcA%J=J0!F(`8D1m7Ep&yLj%uvV`{j>%+j1Hp?`B!= zaHr4Yd$inaXKJ+ykZf_(a!*fs)41F)Vt9fyrBJzZn@gitunDc2H zS9W(ji2?an(ZMZ$~tfM;2T~IOBSa#PrgA_eo zd5pM@Of`;EuRcp4+%|qF*qJ|M<-K;lOrXJR&d}dIe61w0IpA*b23UTCj z6S@X6v%3N2hkmyOJAnY#DBx9`tc9+L!3rpJ6jdlB>>R)pT&x@{rffhU1vfV z8^}z-&IV)$utERlW&v{Yb8+)S-8x7AU4uJh;oe!Ozw2l?s7v;T_s!MSmDQC4?j{C8 zLup~%u(Pv3Yp^)FL(e*OV}UqQ9d-ik@$b6Pp?<_41D)wi{n0b5b7*aT2?sF9$<9H; z&d%n@(lJ=cb_7wuG43xjHhy-IPBy_}Tk;SOk`YI!A<|5aMJ3va_)9X#hF+ z*}3_-IGNbE`8f`KsHbcG(ubm*ndMFQ-|vI#M<1tZ{@Mo=qh=r{&|m81blpF6V*YFB z&`^PT;4Pe-?D+wJGcn=>ur~*swI$-{Bxz~;$88PNhHUP1yzPVDDfFar)h^PHOzNA4QNG z>f9pNfC&WbzfTCTVNVbG&yxWcD;w|e z4E|{{0Kr)Bh8e4q6Lg39gav<)$v;mAhl~kZd2fImPS5FYQo~sc4qr5WeWagR9FG1o zM*pj1q2b^Rmjb6}{@C0!6r8L;R_GQQ0LTFVLf^DlDI!i9!zbYg4=;j)Ktc$X z;6 zB0tue-+Y0|5E*_am@T2ArYs?)u71RZ@LPYrY{B2a{SAQs=6kunY5!jmfnnv8{P?e+ z`E{B9FNyp@BL7YzTNyPm-6Ot->mh&6_y78e0kt9Ag^yJv_>bQm?QBK)^cwcBSo}ZQ zAFh3;xJ(|V$oB(IRdPcS2JsXvkUh#eOjthH?&7`D}A}fh3XKXn!uBZ55Srd|5?g!&%??0bQn^7@T z^{XYYa}{#_@-=KlvUDXNUjYYgN7hG!r`V&1+6i;n^=z0_MboOf-Z`9 z5a~1}1Zr>kzaBRx>)oo2OT=(4B~;LbD23-0JjSzCU(Qjk=b{U3dz@xro!e9;Q(u)Y zm-@od_1Xe5z$2c-H04R<1gb&!t(c(O9tHuEFRspAZ;cRAu4Br;z|?#S8X@SE3@RAu zS+x3;O?kb#EIUQQK43~r)!$TNoRVPUGsv})qbxg=r?h^^&BBJhMq{drddR|}phwZ- z)eP4qJNFp9%jRLeHW24s-t**F>P8XXUwry0Hf^ks7dwW2MErirfCj0k>|@+ujWv`T zF9cD$EsI~>OAh^XF{Fn@@}avT4L6FzwEAO`fD0?6FN9at(C}`NQmWQT$#U@|6lQJe zGQ_cFOGx^S6N+?M6n?$^(QnjJO#i#&_m6I|*FTkIGgN0&>S>EAl*%zYXI4*ZmZ^lq z3izhWEz(0guX!aJ=R~YhG4kvd^mE~sD9w#~-la($Kvo~+^UH#~CgUb(!@ZQJAEdFC z63SAOpSf;!I7i$*mfE)bNnXhuCyW>?BXuAv!)?-Q?;CuR**e9Lon<;(BuVee#zQF8 z+N~Lh`FC;5ey{L4H5UF|SuQ&Y+INl@#YTELfVgdx&>^AFNC{njK!)#oc425J>pM$S zA)FYSQBj3ta|-EoQP`k?vI!81Sm>av)U8nw!5VYKpzDHnFSdj^?F;f;%OBzuOL4%6 zwlKs4GRo=3U+u1&Nb2fX@h>@l4+@C2T!!l1U??EI#0iiPWj@1=Z71=&uteGY!EN%zjduvyKu|N&8_ULr{=%L!PCXMO^i>6F#ujir$XQ!3=w(_IrfPlO z$orQP67djI39h^2Xd{-?ZuT08^$LM|?t_L43N{6kSo6>jS7Oaq9f}-yDUu{uSekVg zus$?MPfGOUcdhu^7`bNPyXCJ*I!kpdX`BGG!$B;w>D3HYOMpA}wE}Ag+EbV)W3=4l zg^~>t5R~DM_BACVB;s(CT|2} zN|pCWn>0x1WYr-TEx=8xpR(Q$~I^E7FL>dF{(>jzxgm2gX-}} z<9_w#k`0TI0=YTU#_o1&>PowOK7LvQz{ub!X?fFoCwpK98uysi4ZpGlPp%p_p%|Mo zG6RJNw|u`0AO>q2x0zFD5K-RiJ%^&9U;3rlWNTulw|#16WVrjAi2vM|@g1z-Oy;7f zq=olEw!_zas+h5d>_i%C>-K#ZQy)FSFSWJov`NkW%3Z=L1P~0LYa@9^*4RMmPVYbQ z&EwnB7m-fD_!EqR7b_-@O_i=Br==`BYsd%}`Ph&-^Zfgx#z0+VfkFW{$lWCwa`szp z+dCe9w=eQv$@5y$7w3gIv5`r42C86_YcI2jTU_W&T1H!52C!v@7AvnhiQ&9DN1cwB z$V6#nb`EJ;N=t*WfVoIhThjAJyS@qD{gt$xSihx`VB`EYEme8K!BEwQ!r z*Tk6<4+@HD{i}?cvPY)!C8e;)oMbeoMfDji^yz0`<;ZHfjREo*=8%qoj^JTl3N?0R*J-q>R8tquU94Z+cI`o)Rh5%lwY2edJu+ zE=iN!c!TKcfc@*2Z&8jhPZcFUk3r?ak-xX6)-2x_<5|Xpi&{_gH4EoUO%mR>HU)AB`f{-7aIHvvgUtjlNGfx-XyVhZOs(?t#d+#lCwryGjqH5_ z8(w@v%wHG4B%!S-ro9((MI+VIMZab(`Kslkz#N`_(}kk%>$^C-MK{CR=MfEkR60?V z>?{pj&!@TUe-q~HHhu5&a3*TerHhU2zBrl$nYae0_s2!lh{%U;F^SLb)kJ_NZ3~K) zR~NBk&jqpWtqjBjg}A*Ej$d29QMqgEj(Rn95NMoGKGK{2asS=T1U?0C*Um=Bn^>Wa z8Si(5(~0d`u55(Dj}9=5l^^;}t&NR8h{9x9$az-r-R1GF*Ff{#4>LGb26qgbuL@>| z*@(BTAr(K#uYXbBZderIhJ&xKz6xO0A4hFHdcQ+Tj7$;;sulB$P&u>544ejBIWKJJD%d337I(I z0hWB^+bA;`dmW?C#KCJqZO<)<@2eT!y+S&;&Lt|=xup@oH^?8rtzpWQJy_x(pz(1~ zIoAytljB3Qoy>O|w!rBeXXLpIJ*S~ooxRBUVg1FF8Tao7Xy+Bjwq|g@nj?a(CqE}G z6JdbBd;DJ5N#vl=%RM4doy()|pOv>SP2MgdVbMO=|Ejq*)aR0r|Ij(l(|7ev6eX&W zOfgoOKyu=q;f1HDL=S4l#*&5OH_HLjcbxHjHVEvp5Hm(2u*khXjQL5{%{CS0g71<$ zGmcs4X)84Cd9jt~y|5|Q^)?^2n_DW|Nh)f4K&D?ZXcya~o!5~;dr@|=LB2n~Lq>0g z?h013EvRC?*ry%(tNslocH6^2&-*k_?>;xc?cw9h0J~1RH0lcXqmreSyLBe)3FSG` zt+5F(@E(kdiZJt$=RVoKLQOt0$~droKgE5SY*X9$k%--(Q%B0>FADB$ux1t!p~`&uobg_G+XUj4Q;^p5W0qydM1 zOFx-)2}eUh*fe43;u5_bt#(3pNgUH|f!f~snEHJN2PRVz;hWdGL0aq8{`-?Q(Q_}F zZA%r?*KZTnbwcN5GVtV=VgqK~%(jgx)j`6wJWQNb>1H6L&I$=41SW`2NCsgZdm#-&&|P zijt5J*^tLsjB0pbIlm~YavmMzPvSUec~Z(i3{h;-AJS!6e99%PYsispD*l*>?dwp% zC`OUz<4_lks#2@LMmjAp&l^3Rgz(|c0%8UW$ond*Okl}9&0*TRuff92(W!-w;>$8j zy(<)*ihitFv!m7|?;00bd@4c~9EpUflB#qpF$g3uS!EJ^u()&FM_&S~viRa#t@TY- zL7!cXQlCqz_&RjmjkTZts5(U>>ym~}%E1Iqqkk>xHA(p}iQ*pmz(ZNgJcsK3Ksg4)<~D?D<8rj;Ep?7UE}Xnm~TkM>q~yS5=`9HHEc|@Mz0R+ecqxpkGI5m zi=6eIdW|&^I^BHI`8xWZOW&k+)aI@2B1?TZVvRXyaO8Q;y(HP$nyI^XRSTo}J#Y4B z%>8B?*V zON+;FFgJ+HDO`5?lyh0@jqQ_f6Zo7KK`vju>hC0L-psKJ?W}%KH0fUby4QBZd;0tx zpj4T!QNq;tZiAP20E>kyLp*KSyq||=7FupXEq*rM7ipR;OnEyqzIASk7pAn>kYZZy^OCj%yOJ6+1!fEm?ZK~ zZq%pzJ}Ka!=kq3{tH*M}+6gG2DVQ?m{#lKD%>-d5*o@7oY@haSlX0(sr@_-Sq6?JxH~?*xQ10R>`>Z^mVDY z;yK^E;wYb_wdQLdxGQB_Vy0ZIddnx};n$G%aM_GSDlf9u@Z|+zAKaoleOcCMcFtO# z@!oAlG{*QVeXWeP$+XN|wE!q&9f9B_K8LbeK?`3R8bSRd z1^<4fr@qtaTSh|~Ap9?^eVCSj*cluggaGdcV59jWL@cppGZCxuU=E#}7KP$SU8ko7 z7x~00)=8N+luFR81G2kSRb#YGAAZ?EV7@ntU9wPaZH0>YJzBGfeXMe%IPB8K&hY1h z^ev#Kq8J&$TjU8i%!3?+Uv+qH+>muoAw z@9$x`So6oT+!6eqMy0IKXck|x9if~;4YIFjQ#Ns_`xGS|j{IarxFklWLpZAIK4AFXe)eLYHe zjv@k~8wFuD|4a1icPgb!1jstlI?O;F0y?=~q&lOLqDLFF$yuJ%kzypXpA2j6>xoPg zuuIIeU#Zd@p_T=jy%QrT>ESPW$vH-6P-)QPWjS@9Z^&kN_O7&Uj?3-tz12HL-w6>Y zmas6gd^~r~kB^pu+`-s7@0KJN z0oq>s@QrW#r3t7TNuMs9yMTezJ0xc^RWb>TGIC*CBXJth)900c-pp?JUiY51bK}EZ z8A3wk@QGwUdHXtvC~)2Fhr9CZQvA*XKJ?7}980zcQA~5IuPmpon!FQAx+GPb>=q{e5_^X9VPllcNU@QgOI~e3)X{&P=-X zrJ!@@u5vU9sD;>3Lu$)9+aG$L)}BW~pQII^+w14QV5bP8xFYNClay*k!^_9Y6=_ID z3ta3XBb;RP{+NVNL?;>nzF@0g;}In1^>8Sg0n3rcCS)5=FR7K|1rbr-D;Zv5g~X*{ zS0j3gHqc91Kx_91`iINKyzCDsgS!hqy|{42da5*j3iE;jo{+ z|0=T^FwBo6%Sks|EXwwId@sczubqZBB-@s+V;vW-xMK`|Z?R)2bVho-aQortgVg$Y znZ1>-t6$OI;R%U|$h706m46oOf6;!m^6|ZQc7<6auNupzG|h448a{nudp(($rFmXV zwQ^{K2ZG(RfzF?8d*7XsH`bt)A2IJE>9w0L^jcf=Iy?OIm@FUBJSA$hW@f7FcK|l} z9AK~^Ja8E(J?o_=SmKlaplkaur^-KDwGHI@k#q*;vOZ2a^FQS0hQ6x>MTiNWEV+tV>~pNvbbbv2JZso4!~wCNIRSUM5Evc&W8fC`oYBd9l?bxZ5Pyegy{$ zm4}QJ;~SDQtMGH4&f$)+VEi#$YTj7VHnr?8Ii|vTPRNmkKGp8#gWERuQaWjHf%y?! z(i$zh3Baa2XN*+3TMn4@+&IWQGVX!xyhy`TgL%$GoXQ%^#$xiMbTZLQpV-VOzX1%o zTLPDL0^82V>FC!4y!^`9&0Pgx^&KbZ<*uS*O@66UnN`dCx-wz`TYe}uX-aWX_{DDnAUG&NEB~lRIpyj-gT~`Eh(?0W$SCWQQBG^B_?XVuy6k)cz7Iw zj+AYUEzypl<(8v=W$yY5mATLtgrCUKv3POPm2GY4{C!^$_-6OhQ0cW)v~PT&#^eTE zu~}O%z1ZcxOl|33&wv?F%!kPZ&{eI_+<;t?XlJ^lpY~lA*$LO+wXHo*h;J8HNcId3 z)3p$()P%TIy1u%c($<=&7pCJ67;Jpu%^5JcJ_mjQjX8xWb|mLTjmV3V3{!DSG;Nxd zYk6c6ruueaePHITZH}IMj;y!#A{MnR@Rr4SLddxd)*N>?1M#L!g|+b-WN{viwXPcE zYudLiRL%tEp+{jfvut}bT*OJ%dUi2c<(tp|qaeHQAl5J=FQ;#pvyFH=B`;@Lm87zL zF#6DUt1A8R#_@ohB&X`q;;dwx&F3i4aC@sB}>x6leL$PFA{RdB};WmI1Ok+>*QJ zbSbYPE8w|ux-3b;IL4#&S3^5BkxvHx$?>< z0=rEHQtRZ0++5!1q#n23%xOEIaPG*OXuOC0Nwf7S%^=TR&DXU_(YbV@#=IIUox zRi*qKS8FT43d6U#GH^Oe#8~lJw)|`sTWA{j?ubuHAT1)LwYz;R%dYcS^qt_Z_zo|O zH@H4T)8%M=RlLRDR4eibDw{ZcV)7eKIH4s`sWp;%nkKxzDvM1B~q~S&T-;0giZ<{#5Z#w%T1( zMN!oj>jA6ZG8>3uMoYJ4gfL}!&$kTlpU)lJ-?~bSwSQ+WU~_D#6c|&0G8^!zxLl6O z8_9&thOOL=P>b)4NY8oS7cF%J#NKL!CPFw1lv-QCA8TDEuL3-7bDskcV`j&b;Pi!j zEpfkIfSdT(5l1D1MWL?aIwg2=SNL{NmmMxXC4h$lxLEfIS7qkA8)+TgrOAo-%s0kU zU4E58H__$eKKNG{_c9)s(3Kj}_^Fa47}ne_S=Dw*7cyvj81z*6a-b~R2c+rNpk@Eu z2klm>p7AC97Qhdl!vMu%ye;`m+*!R8`tO+4saGDcMPC?@SE0cu;q9S3-*Karx121& zG4~bI7`VdwW3v*0d=Kq6>|}>?`kKO7-hAiI4?TQ&Ck*%qT}Q5fVUd3 z08N%!0!nEMxrE4+j;+GrTvDcz@L*uphgK_(ZC>>Dpvrdcp0x~>H#R8|R<_%_+{`%} z{(A$dgq(?DRreO3d}JW0@FvN=DMOGm{S9kYtv)2OE&!nfm$Os3OE4^v->}^^4BV@m zZZ22)>^qtTzuFKc=i|OsyGx~SG$xv#G-1zo$oYiJ#S$?pf7r4U4^nusTPvpdPLY@8 z+vq34Ytaub#&|zzh4?Op^$qf;Z_lLz(VQr6;-m@9w^9cu?>QhT3-cWe&iR!RstN^; zQ@Jv32}lqyCkD^dT}4G9eZh5i{-a-X2J-`oN8!Dx1f`EYe#>0Rww1I&5da9Mp?O$74WAG0s8U_^;O5D=jTbcVZ- zp4+H+DUB6Pl`pLjl}uK41AnZr;t7RVO&?)O0>SN+Hb;lz@P-R0R~hVrsKhT&33p+c zmdE?i;5FU6T(+&QXJEzisII_CjuBnZfMBRJf+SM>L2v3sF6qyP^9O7KK|LQ>CfE!B z?`bgn>KI)KV(s)Z3u<|v6o?_rg}L??x%)w_29tGsR2wLl{-yg{id&ykIsKuA3CE9czyol>ZteI<(o^>5J>h^mo1T5MPzen=>arZV5H@=}5 zy!u#;a@*|n<2iY~S5cphW;H_i^Vu3wPnTX*k(5ZL=!&ZL()_-hqPo2Q{D`b%%uV-ioVfBCxHF&BrqW0pVh z)P8AUf`sQ~)PpRr(QsP7A|0+_vXFY0zxO#zg!hfHYG+yR$2n&8fn4you9N(qrxMHl zLHi;_X}ub zSQu8PUnN~Lj`WN&{}@XdX8mHJ(punSA9ORvy|TaZLsb8!>w-jT8p zCFJCIxsFn`o=V$lc$~Ktnt=HPZ@dCJhRVWHx0FO_=;)M=z`{C0 zifY{IB9=zF=3*Q|hODxZI?}9a)HZSoqSx%NGfAn?)3Bl6FysbV*(&Kl7%7G5lvVY> zsz$nu%tD4D60G7(2AVeJd}bDsjMpqB^aU7&I85wRfcli`MhqfA201-WGiQ4fbSa<% zC4iZcO`nmE6(lXE#!h>KMS{vvP{)Q#T2@qFP>7O>TZ_S2Qd|cj=fEm0Y^SEjBWXjU zsGw`WDq<$A2tntjQDwK&y{V)oO-;?DtR%=}sHS<{R)S4V(8@-E)lSFKQBOc!S5qIr zLnTPd!Q)_Rqbh$3d>u^1tSQZ-W_|;RuBD_cEq_xOBB=*;0XVX$Q#yiVgk@ypHEmQG zRYf?t_$B0MIj_?hvs(#*ovzDCSn+^)AS#MCgp|3}c{G(}1<_TMc^nMnSY@4Qq3OwJ zr36{&7;Z|bIRI>!7`2%hZW&23f-JcNot+hQSb59=!qhtQ4yL;HY!D_L8)JwjqcT0Z zjXpKE5`;<5Sm_p6AHsq+XJSW~M)32-%SESbh0sHlm=VHKxY5 z8##Pjx@ts7Nmw83L%IDZjNGYFNEM~0510NFg2-7FoSZDKhq!>EVd?v-l8J>$@=@ON=Z%!;+|JcimPzPD z&cm*^S$#tmvxn~^NTKSmu{6!RN^POUxo0o!98|B~?+#vw1C}LXVsn z`jz8jInPKxIMFfL9p4MbFKasW>(*Sp=%+#|b4^XjM|fxY z>EocwlrsL}>hCl*59BgvlL?%wY$Bd+NQWG#fC6f#a50?%Q13Ed^~|@Q(v^7RUPqPx zQPcU!m#euA!SBg5tJ7a_X~l*KJ+>q^Mt>xjMmMb4$UoTf<+c~LZTd4;nbmZvfD+(}Y;UvRqT}9{N&YuaU$1f{te9WZ|gK&I6O1 zXa8|f<^C^x$XV-__<%Xwq=V51uMvk)|{es>9c^sajQIG3zL$h-o_IcR; z=l)@%vQa=I`A?01c#j=A2E2FZl@~hO)ANJP9@Yjn@?mY*Xz*Ux*bGlC3^2(2BzDeD z56*L%s|;e_Lj2h-N^N=uCbeJlL#V>LK&k02tNSgx#|yz%E>t6YI{Li#*cC|p^-5&n zD)Skm4|sM_^|^D>1kdhf7g}h1?x^%FZf4=UujKT_pyImbFxRI$TnDL*8>;VKzjq=l z6scRgNDvq*vbD?~Bqt{#_4;-0K>;4--2KX7e^m zoRt|fdK23cJL_-hIdmAee4diaTk|;2(cabbegG?Q$dI1#5_ujYw&0s!gYQd%Z)05e zdB&WBLpA*30_bMQ+~^ummjZE2Sar;b?z!JkYAUox=}2~LnYt8bDw4VBXwUknNzV;w z6itHZGE2mc-dF3sZZ(kT4V4h2M7wxD=MF)b5%S@Osaij&4S=^Yy z1%M88D6TiAlrTMsS1c!bLzOWMnd%7Zw47L+9?$B{>UCEx+qFImM9r9*SOicjze>+1 z-|PM;KHi@^d~5N-m!OXBT+_XA0`u3G_t4o8$PXGO&tkUI`!{mxN3ug)5ugM+tiuK% zAqHEm0m>>APSE@x(5oUWE4|pUj8OzRT0^Tsz=xUZekAAzn1bN#?Cjy~P7%)WhJijn z9Slvcba)JiikOmyvXT@PI~zMU8wU$a*MTxCocmzO``O^q112?~A47ly5PGZuCkH!p z>a0L+_=Ss$oyQO$X=e+vgy=)7L4}et#1wW=28?+r*a1rL>8L?Z1_8s*L^u{fr>;=) zK2fWV6=Ue|Via%@s|FBPhyGHB{=pXnaXSYyXjXh}3O1-D!4hJ9I1Kz$hg0x7 zrI-Ip5}A|zAC}06$0tBxbhbo3N`CmO1il~JY5jr?-)Cu?X|zm;^I6IuzGN4@#J#x3 ze3&n>X^L`mz_xjH&%ETo{K`l&vPN!{+p9w4SG3wa?hT{t+bP1FF|H{+8=Jgjla8yE-m|{v1sKL&#)x<$QkU=h1ZUfyNX)spr4{&iu)j0 zK0{nNug10TgZ!&YX(-ZoxyF|zwI9^IuHHmBt`!6~!FXs=ba-!n?%4J;8xp8swKs7z!Y086j_3P>&sUWK>EqADi zA5QqM%#@SuH`+KCTn|+PoM_`f?!PcqcIYdYu+Pux?w|dFJsgy=;3znHP-VTFyoWy<=d5z*7^i`Hrg`(fnF>zn`aj6_U!wwU?*9}OoW|!_Fh40j zjqS5){CoE6~r zf3V3h*bZ5O2mT84Ujx;zwSEEJu>kJt>c*9rKwy#k zVlQ#uYL@g9HRLnHc-NqpncBI7tSS6m%rH73Bm37|sROeSPhU*V4YK-H^L+NQ7bVH^ zsY8hny7JU%v6AGXTkKznMCbEPBV=J0nqjACB-zs{fT@@qd=$Gx`-m%pIv!?Y;dFKcWvjNmLB%sU3$W! z4WZs_e3&=81AM#NlT$A4IZ;i8Y%Ap;nxC7h70WBF`;TXL*YgSbv4Rpsm1JMJXKY4K zKD7Z=UaJNVi>g$2OW?*nZddP8Yw~z1$C4Ex(L#v-kbJfxt3*$-cu%vJYLfIk$|8ZP zeS)`h=BIw7+(yr+&)CVy!aLW_)2Em;RUlW(i0LgSmv=qQewS@CcWVm3aEH;ES_WU8 zL1qw=`OAb!e{m9b7v9>4C2Q`@_*DI1|CNW|DAOY=D$5&caHtKhwInx zt%v`t@A+^RFc0+cig|eFw|-~16%6w{pIS8!L2`thKUg-oPwj5Xh8V~1$F zTUfCx_jArsKg3(U)=N2tj5c;N-);6%ve#9^o7&mi+4_n}a&s=OphA=!by|AM$pEDx zlhr1a-xe7&8Xw-Inw**0!@(TC858^jpOBx^!*b7Bz$bX1)YkUav&|ny2l^qvX_o#hm=D;d^w%>NiaP!%jVBjOLg_E6D3?17U9rh~x#&N37ZOMW#G z4(}edfD0#B+v7ftOHZzx@Pl+uexH0hJ(uHAPD=mzZ(KawKP@z8*}Phs3KBZHrzrrQ z+W%i9gF}Dk2^j!SY=;vi_|M7UG^qY6Ab(hdrz}J0E+qVLly6Z@^u_;*+k z_VlM$;(wS04;kzjc`&pevfwe|;dhR_lE0!J+7?>>xGfAd$L#p`&~%J===CQyJj?q3 z-!1{+5)sZcf5wEz<%h8PGf8;NZpV0mwf>bQk8pX!zJHFF-%7@lJFxzbv3NY@(M*3= zo*vi#PhO!6`C}fx%Ewdwiyz*h|EJ{RAwqx4R;QWrxbzs^$1HgWw*M$Y!V&+gUHZSB zA&;fWF}wZQ^$>1<#*T-WIcAJQW`SYgaPd4Wg~1+%jbjX*Tu(?E_U(k}j_;q``QO5Z z$1{UI!_P`8JpSufn)uf?X8svn35Ho1-VXI8*tb8Uh|@SYh65b8F#MjPc}w=A8)6h;1DOjy4Ox* z)``V@Oqqvwj@tdO+TqZEJ^vZ9>Udc?92Zst*4JN=Sg^XV-FSGf(C>$%{?Wf@%dwLd z(DI+~bC%sLsUfd%9Q4BiKX>l0uoc&Df_{L&!_C|uZZBw+8hBFdYW3CN3b7 z>c7Sev&H`$U7b0fh)r7>xb+P$lNzw6epLc-Tj@2HjtP1 zUe$xl)XmL}ga$jjG$71ZID`Y@0;J@3h*#*RNZjvVH0Sj+45E4kdb^E!Is81n6Pa6@ zTUS_niHle?mcV+(y2=I~hc{W~ZRxJZ!p7}2Q@7gtDL?S$vW4a%t-b5M5Wb&TT++u| zYbXCg&|*GocJaU5t z$j1pUqX2UA^1?fS#$7|-);K)7lpg-F1_hj27!L14&uC?*0J6hq51OI|S`&8g9pDV& zf|WsE)&V=2S{z5B!)|FG&W(?a4It+PvavK3gP7ZZVG-$0U|TKd0p)Bbq;m4&2SDfO znYe&_Cy~^L)A-ZPBrY~?;L&SyKngxC_McvO4A;D%p{`B5zn_F0P3A`|x=b@6g?ka5 zmBpDEUCeew3d5P|3DGrqWXb1P1d>RMRz-ukERbByVHDdDnJaT@n;RB}kryuLC9!ho zrQdpa%?xr5+*io4mbo_eeQDEcbAMClA_7jlSU`8~ODSn%csr1KKLw1WT%P5swFzfFH}#>F}wc%arYHqbu8PO5C{_7 z-2%aF;}YCGNN|D$cXti$?gV!T0fM``yAvQlaCezaawI1w_ujd8=Dm5}e9+LldUtho z_o`K^*8109n3{@*B5@Cb$r)nJ+xrL5jto54Mv1KlJ}w+9lfGYx=2F^pzTOc?({rI) zY9yt`=gvABthu&4uocB8WKm2>*aw7zENUpfOe^Z`73J&E&Ak8@Y~U_U|CmmGK*kH0 z*q`1s*t)-_66J?z)hLFF7i`)d;a>!L0p4yr^p0(k~lW@dRuEd zn~THKKz;(9-t#ndCgQ`lWjY+;BD;r16Q#i?S|MqpHL>O+yn0}+eq z?bceIRC2ZH;(7NTC--cv$wgk8n|bka#YHgP#U794;mBuV4-V(z&%@nTtLp{hnrZAV z`?tQq@l99g@ujW~XLDybHAW1qs>M=>5~#37U^}E__Rc3ppe3X@7(J;QLzeoZu918S zG;<_%Xr<3SK)DY%Y`k-NTDqEbiOC&D(1Ngza;f49X!cz0v)FX#e_>tF z5Uft5)a%lp*TWNW@XGQTnQwfX(x?hj`ql@i*FF?}luSXeFY-+8^x4N!x`t!{ala5Ee^ue#>w-e2*SW^R zj0P36oX_lFTT4?yI6D#54WRn4ywNggbdX(wx&!h8OuYNO36A8NsD?Qa1y3wK5tFYQ zFoLVygS84eNkKj#@N3aTS_mV29l6ij()^@#3m%-AKlNh;SgVTP3WosVyceNBjtOb< zpgqpVD?-~zpiy{zi)D(3vkwz{f<=*rAM^IFwl zFE#NUfPP8kwes?(bMtN8Q5Eqd@8V|VbLDO9X%=(y;wRYE^NcjdU5TOciRgM*Mp$nH zS;!K*6PH;K0AvY}iI7P*$yoy#`o6RMrvs-GryZv=rz7w6>U8R~I4n4z7pNKc8VeXh z8ADk@8HAEEB_ne!djZ`x9CKByAM!&z(%5L?2VZD3lONAR8hVqXoaAO$)Dug098w(g<_JcpoId6xS8$ zlhTu@KH{w?o_WONwd5Pho7xk*5I~+Nx5bqt8g0l>8x83cg&~zua7p%Fj?%~wJ4cp7 z?-i*O8I4|KnEor4FRy67d{$B?wm2r@8q9X3Oy@s-K{1&5{5Wf9!nbY}-8?$v)`$g7 z9^|PUD`kdUl7Nj7{$L*AGe4xWiB!k)5(;8=3Q;klPZ8)DSi^^0`w_g9+k9L`fLQsb zG#TQm;{83m856;6&4kST4J}eQ+pARiC@^ zK&tn*vkjW6>=z@k6P3(^Q0)~2^W)P=Hk-FF>7td?w~jKaC`p{Bc;=k(3VMgyh16xU z_zh_PP@byGi>Vh>1$2$W>u@1@AqS%@;@-ZW$n|zK(yM3i#HY*l;=3*RB!1yFwuwC(NuGPcYPih=uSgf?jY!>Tsrce zOMyQ?j%c$U64DL^_AuUVZ){d(duMI$%h%8Q8*{y#vtrNDt$ZvQ`c|WN?o!*Ie6e;C z7p%uW%rr-ZUJ73qzJ%zDN96(xE5KWU{Nh_awCDf=ud;e>n?I4N0Ep}D6a{zcHORsx`F=w8!Q&s|+ z1FY9TTTx{LpAipyB};5*A9&nZy}kZEYMMHQ1r*bDxr*5-G$Xt=l7M(K0xH%-IrY*9 zY}nX_GIPn}lQ*?)l44?!WpFqX;))Sy@;eZW-Q!t+YYHv&`WV=$7Qwi2IZJaupfQmnKN zbrB0Q3LJ}mZnU%-F?@w;a)$d>1Pd1DINwPp$K41*Y83&;s8QZKJhInS%{CWJaB|~w zl00=59xg*%7w>O29fr9a(h_#W0Fa}f>QY&ASA8CunL|1Y!9Hwz@_Yoa^XfHZ@`T`8=Dx&*tC$=iRjqs$D!BK2G#mjqX2L1k zZnR7^U(&QFI_JcNZc&*i4(E=2mDH&^Jfdf~f~aUd)H~o;UgikV4b+GfJ8LY(DG%&0 zG?c|9crXD*wiy`I%lhr?%J#R>L+UyqOGi5@QHE+R-paqs%F$4PyJm-KIBT*t;M0#* zSWo@b*$Zmwm|qGn38L|;>7?BZ z4A?dls!PMD!X94XNltZdDqI&!OUTPru$2@K+OB;p4c)BC@L0raCw1KpGN@To&9NA$ zt?KRR5kz0vo8Ks$uWOH2S3V9E?A$?IQZ*IqXMkaV0sbmDYbkf*D;U-=z}bZ?D=20z zf-TTpOZxuL6t_m{CFVA28*gtK zyqXtk?xtHW%<<fRh(;Jd+A+QJL@5-i(9z?LlR4bb8R$1 z|4m&1oP>I|dxI#t3G*k#d1)$ubTUoakV;=Zl7kNGN1?rp{(PQ3Gp`)}xJd7FxE7fi zgnZEVV3b~aE&b*0(Wg5i1gR}Z=@NIulg19nX*+svL6<;pTb%YdQoV*F+#~Hl1u!3; z^wCZc4bMrB8f|TQ>VI-e&N-b2cYL+c#KidmIYM!t;9O{HvnGf7h&>x}jX;qC;KhD~ zZS2+Fa$*g~Y#2?n=RZQKUz@;2cgA;U<8wyg&O9Y=i@HPMMJ-f|Q1|rY6Mx!M4KNSi zEk=x#I}irQwd!6w#@hyc8>yEbvlnX-Nm+sGx1z1M58#s0iSxFnQtcEbfe{OvR4ou4 zt*_lu!fYCw{1q8Zm-7rp9}t9=nJ1pTDb)$1wM*Erl>7*{HBuE^rYS@aI``Uo#~@!6 zCmlJM^9XSb6@>ko<{JO9XyfYezCVF26GAWrm4R+MjRND^e1&lC+$JQq<_XV7vnNxB z_u8~5m=?Tzi$-b;TjN2|j|5 zKb`8~GM>U2eX}1AjYZXf;E;Zj;Myfj1XivN4r_&T7`x&erWS@5CL4wlh6K2`FVyYb zSz*H{i(9{;uUT)j0f7M=T#z(e(>L~S`dy)I3ZK*Hz}}dOhv6VSv`<*rjU%(a*+X@D zLy(n(ihye(H`l)vwUNcg9qfv)?A@GwI!4$H=++Xyf3Fx&L3#6~LZ^?yK+*>eW6Xpd=9;z15DeD_Jk49$w}xHf=d=2NNF2Xv<$ zrw`R(Eph7=d{vx2*O2%MKGIgaY# z+s*QqcZHMsBEH0ozdyb%{s1=T^R))g+ArE~moj#SuB8fgM(7J;A>nkS@ex7^(i0`bkDZL~lhql;hc3`PwF*jd?K&boL@2^%itO&0C&?xJ{>J z`t=1ecX%$h_uMjRdBfudb6G3P`!}Z!suFb3!k6Jf_^MCmcc$##eo=My8+z88hQ=#b zEr%{Hrs3Npw#{)$S}>oV$We=72O)m5H7%#KrJ`3mK@;=AG`7V%p_*=fw} z00^+Is(c=79~<%&Xps}YEgzEiw=s6MWdZKzK&Z6oS@ zbC7eOZ12z7mxxqRGxWjiJ_GXcs~Qi(<-*CI61_8Y18D~RRLp;1=NWI@3s(v?ck{V6 zhGlhH#%zY-d`_Y<6W8f^_=8e1T`>enX$yj2r!iQdZz8uCKY{Wqn}{7ShH{p z$15Fk*g1$nI`Q5}fsf9D2!31j8uUh5x!NC5kWaP|OM($&SEC72a=Kk9(WxCLBbPUO zI9DvsIjpn7uDHUIGm^FYlQXfUs`+e`ADS_sr2!@js8YjqNIJy%(%%JW^(alV$~bs zO6T%A{koS+F5389M*p8x-TF&4P9h?5e$nm+eoiBa#viap5A4GH|pR{y_ybt z7tGOP#6gosQx#14=@rV|Cy!Y#3<=7YA{#m?zR${GWXUrVpQ!Xv9l|E+-J*`PhuJ5; zFbCL23t7-7>6iDmO{;8Sqm(jyepYXrm>bgL8+^KO)st9pI`Oq z7EAHp%(c=Y!5Q41mi<+)}v5&Ekt}SD<|3tx)u;Oc>>;Fc}Iba4S!Dp z;FD6~e=`u5*IE3*K-r$F!JpB_n|5A>hJS%9EVzz-}mfZy_D|3*Xe7(ja5 zentO64-67KI>jG<9*+abVt#a(f2;Ce`aT(0{+fUEO3I{z5V7%wYNN(Q*>+r%j_6et z_4)`x(m5mvhnTN$XM(`Jn}|l$E51#*lcf-g%N&>e;=q>Wck*1q2_ci9O4Uz&=~qpu zIhE2wgQ}^Ujj>*?k?dbEua3?3mgR!p^K~-&;lazyg*v{yr7?u<8nV2sI~7^E1Nz!% z4T+%))kfH4v*KHu;bEQ(m&@lBn6J7&A0$|_xqx~-H2j6J&q)0)ogUU+cnXSxhDnKo zMxf|nk|5YPW#R%+S)K<`3F?{8j`xlaki+t`N$W&uq%R$cCVG>kD-mTR<1?e}5|1Pq z6YqNVN?+clW7>+0x1GujrSqH!+2Zk!3@Uj;ZV|tfmLHG4I?Ydqk9`jZ33+N?_T!b zgz--|J0noU9s{I5xY_@a0RMAeJL^C2wF4Mg{;98>9RQRaI6d>Xk5cx%E&o#90Q5hG zgC4tqz>oQFK9L@huk|pyhdhi#3}AdiIEvu-?A3kgK8ZxM1| zSd_SU(-JqB*;(GtgsjRFN+EI7h0vP9PO1AY395%5rVCjpS&e;Ol{@|f#O_07&fWO= z?&1yi!O6>m%{zA^aV)`6COoqNnqKOjaMn8G;&aKoMuz6m4a(}eCk_xiA~(7*?#O+J z(DBPJkWitoggPDMHa3)&AI5j?954#K;w&9G%j3x}z7`NBnR6@L$~BhsscNJ6*LSWO zCnxOKvT<2U4_Mc2r_vVXb$vzL*+YX~txyaL>o#gUvpV15x4TSXa^S-{Mv@LcG{c#QBpM=b9h@AP;h zA6yWVuWsJ7NX(`i)2Ewkoiy)!a`uE+d+(Tu4R#x7i_6I8g5FRJl!M6@2K1aBnwdG>Jj@pgP!$#(IRT< zUG2vhc@l})t_v&3U;b2_Ey2fH8DSje3H*KWSm2vOalH}ob`<(g`ChV}?_#LfJ3RbA zoinmdGA-{eCt8#=rNcbjN*+E`-CRR93t+-@(`a>BYF#!2cU2_UeG;j9@hK}zjYEhe zdd`BRPaC3bLp4bTCf!oT46lQJkIV_LH(#f$0G7BK?bzNxXzjz@+(w}{I+#CQ9~;0p zb>K_*Q@R20nN_VV9(9TEs7`$SD>aYZOvr|Q`~;O#zMZ~{_GV8O81 zWFte`J==}3f!@85@`}o@6b>6|Sp;s{<{)Oh*;s(y5?lI@wFIY1?yIG5YVX*$*hUs< zStViO;qEX4hd(Bmx4%}CyNn63Q-s~1cU(-ovL(7pf;)}q+E%xGW&958R4?~$dV<{Dm8<_Swb%LYr zJatP?#%;#!n0%R&>F9_V{{1}wVXYn<)4B9%%~(CO4ZsGv2SEqkA1*3H$?37_IBIvZ;N#k&B{l@nLwF}5wgvGFJd>B+ZbaMx zaByd!&~U_sn~F$h!W(p;5FjC7c&xuv%Kz8V5-iL=58}Xdf}clm)?Y-kf6n1}jNJbj zeg9W`z*zrZ2JZi6^u!OGRDZ_jf4-xChMxG2=KLL+{rJsCq{HvqU+?~VJ>Spx9R>P3 z&f#aY-**hsKgUmeN27eJ;SVh6zlWXp-acS!|MCugg^>6^LgKLf%|QGQW$R~Y`2iR4 z2Tc3F5Fz?sC@p`Ll;7UVw-mPj$&&IbnEd}pNnw0^qQ4nv{~eO@qoD^R7W_81`P&5N z?|S90Qt+F;_#s{5SJ;I9Y{m&Th z7=MLm{;$+v|2u41f0xTY(SrYZ`zEwyz`v<>uZe&Cn} zF#Z7K{il^$zSXzUv$m)G6^XT}w)tC4OKn?QJ!^9tI(B**dH_8u?JGgyzg+1Dqwqhz z(r>q*`PRX}hK>P1Lr>4d@V5*7%1Zk`>W%+zu)2)DvUL7`z*zsqIrbL`|8KUK{zG)~ zk7LNAHhSECx2gZt{~yo&K8Af88Gp#8`JM~@XmS6p=^pF+ZcTqfE(2#U-|g?e+tz=r z{U3e$H!;bKKiE+~LgH^~=s)7)Wn-dc1wvIBANhD$fcdkGOtfr3UnnDxkCz$fA^j^K zFTEa01p zB02LCKv=nGOUDy?-&Tkx`uv5z=Sw8`f>taUi5?0qYtNMow`KkC)qR=>gM2nMg-q|= z9<|dM7xjk8sY<4e;t>7xlrOTnL+8e~7&IGvceJr4BR5@_yzO=J2b|1vOM{l|ILw$^ zOQGW7Get7<+PaO<{Go_eDse~8OIA%Ib1K%r453gUC`~2jO5X;Yc?vb1Bk<;jRj=JCTf`B^oyC(hP@AuC? zpAQV*d<)V1&hh_yJ>Qw;{{HP>mHd9qAJ+hQtmpd`|IsP{-;e&t#{_IMFz)c!p5N91 z_{}!_)ir-z2E5jfW9+xb`P-ZQ$JrW;?2JD;|J9@BAG0-pBxa~h>U82`6S0a=zN7Xd z!FbBmkfJD+FvZfA#9t;(&VpMH=TQ|?Ut5lvr-&B<S^a?IM;5OTD!k3V-$7)|XFSkgh8vas0g z%wu(R&jG7x9Y(J{GjIIZ)>3{fff1Xd@hDYpL= zEAozIR57J(iTYW-NvxRR!{RW8B`0q3Fo*tYqb{M0J zP_7vql!TCj){8EQL%G@ZrQ}BcMphRDoHWz))?^6&H{}gJ4R4){;NRmAld4FndSY}1 z$e^cT4s`Vh#Rb3ORN3=sh&j%*FQ5C0R2*7@>887bBY=>M?}yLA1ZL(J*+RhrrN%6HEq{=+L1azn=g5Bg0z?zmgD5ZYiIhMVCiHS5sTkp_PD$;e-^zNba5jT4y?Y6-o3e;;vi4+HIM2Hw%hrA z-MiTF&8B`s+)$y^#_5YQB9n4Cd#T9wcvFv#qO+4S#cKMc!A1GeHkKU*!?f&%<>n&muS2DN*iso|_=Vfr3d|KFXk5mwI#~3(DBfMzM@7<+uhqaQ zG^-=r8jF}0KJ-?V4xIEWDoTr zwXE0X&Qc=NUQd>T&X}8y2()Tw<42Y{c1u`v+rw5Vysz&&$klS*pkWtwKm>!|`Cqtd+E!LYeMKhUtH0Wze0$&fDw z@@O|B;={^ghxieF-yWEzq@{X*V}d|C>9PG%x&3k~|Nmk&BEYw}(JzuA|1=JM9)2H> z{BDMP|JENy!C#!FKcDxnNg*HU8^2>r{`~H5I1^y`p+^UYN~nTsVM3fKkQ3@g0{?|sWH=v6!8)vWRs@gY(d%&PB^w_`?li--^PifEYDMhrVy z>eHcPes|E5mrpk-iiKVUAAZ}Bc%}4~2B}i8PN+uc_l-l4O^{>8Q{tgUL%rFq;AXev zJg%a0a-q+LCnNegJ8h90KC9yOC7K72#}|Ov)BDRF`70NVjF6I)pww@P|KD>%|KnxC zm;v8s%6}v&|BGe9fRG%Zy#HGr^RZj}<8J%cPV@7pKhJyYBHut@z%KFgxBmY5kFF2w zV88D_*7e8!w;9LZJKyief1DG1D+AW^>n`|%x8@&rK}P1kW@ASUKC-lW9ykTz&I>%v zVcIA0VH#Cj{YVhH2Wm(UWN9TI0kh!Y1hTY7wrHZ_G<5_99V^$FPmR_SnEFd;?%#qf8-E~d#t=`*_6;e>A84q#$I>)D%7{+aAm2{V>G(2e8S{bzD`mV`F zsqvb!urjQ0p6y;M+{q}imj+$VZ1;mMKMrC9AE zw$rQuQ!sf#X&;o0)Wa}#H0i{PHWz(j(*!=u1cWw7{UgAx?z7L{DhsgnSXPX?`W=HG zaeDB*E}&MSccFi|LF_`T3VR{kx|h42+6tUzm7Z^KRWhk(jY#b4Y#v&TcWF;3bcR3j zvL1kxGXJV4eKUf8o??rMiYfiha!KNC`z~pWqlFNaYta54YpPQLjnK~Mm z`&l1H2cyoOGyo;HUjI$6M{*PXyAj_ay`%y_gQEK1MHd$`#9hxU8H7_OI{>$+&oPBhe-x$Ne%$OElqL`L8hJkQ^+VxI0&M80=? zHs=Kn#U7@P?Ilh4Ma)tr#U%WPdZI?au`n&HmxudB^H-glsrK}O2^vteknx1yYl|FhA;r=&iunS`bSV%UP4tu zROn|-{j+?1(`f&mczpu{{Gf$@l&&8_On)@w*Mcq}CMfS@1EdoWGuO8Oiuw1sA+S`z zLPSguxV$Re?~+a@s08FZ0Ky}G#`XeN>3!@Pzk8H`=RSVpQR*4~giO*YsWCn-B&h)a zs6A>{;HCj!{Z_)rs`h9+0X4e@6aBZ{NAxZe)3<|6Y-&KYuffc$_E^ZmruMsf{=ur! zU}gHF_|f;m%C7daMFl)dgN^yym47s<9`}Fn^k@Ls0cwv1){hp}x7+)ztNw;}WoKuj zdwg(uKpF|=M*~dmC-m$0*ZasM!2pC&ePfbf`M&VUcZ@4D;1S~rq(%mMso4pE)%_jf z`X{y(NFnjfdi??0`s;W3&ylS_dc(i4NYDU)OflbhB-np=Pme9PHnIdRjr$L1B)&D} zUurG@3jnwluB4v1fvq8ckdcL+{x2Nmwu)|+DAP#G7fX#dBeR?J(Qo^YA(0uXLO^iT zdRUOQuptBz%NW5`b$Cng`ut%aQb3;8`}kn#M78jm_*IR6CQe07f;NJI7sMQUVwJIn zN{ZJ8JC2Z!2RX(A>x-ELy0gHAh1nxXvbaCrIPP*#eKYR{2@ay*h=!@a&6=0FJrx@2 z5BmNhz1nHCj@gT1x}Dju6m(@!LXpla`R1kyv!y|1wCSU5r{4>__|2x^;rHN}C%xsJ zG|M;hE)wRTB+Go7rdS-Gux5it?jh%1^v_KdTinUcI(r_ZtJs@$77Ee4&3W_eRUO>k zeO269QH8|JQ%{=dL$8*;xT;Qv_l>n+=~m1jE-q&h!Aip^(eKu#kE$F&@++LeG#47d zE8yj=y(K}ANK|dWYa*VbaBHnZrL@XExhn5Ty+OrHoXVoUOr^_Q4$fN(z1C_V!t)0Y zJ0n6xp?W7gg89zd6GQ;i-neJ=5adZ41;~?w`3e={W+?2A;uNmA8|+wH`U>oV+nku^ z4leoUFS2`9WRudyr#=U-oWi{W{VIcTL;XqTenY!Rb2FaZ>4e<=jqx2- z6_rqSThx;Dkn1zlL>tH4ia?iMKTrdlul~uR$m55v#A5gX^kiQ{c9-gnP8y=;rxC68 z`foGus9Y{E>`$&r;x&m3dPk|=q8st;?$Co!eSX=+`GA=SPs@?I62;ZFzJocy2hK)c zR$iB@s*1tRvw!PEMkke!LD7IAN$x|hUalVlVN1MiC%Cw!cu?DBBjnAgu7F8di z+=se$Um>AR-U#oGHF&>vJv~BHR0uH}<@8RW){oHEOUmp*4r;S=%H&QTvTN5Tku$PM zglJVdg`N?mqoPv^38jj|D`-_^G^rDDtWOS~3q6ly3$$h0et6Lo^fo)|GmEk9Ye8EP z+bsuNk2z4}@NA;@0a^{Kekw{x)8j|9+(hNF2VkE9wKpckj%rYPMYEH@i;jZ|risOC zYsotiIdq~kb8<2WVH77NbJ*aYCW|t&-?U!_YgAM<9KEx%aI0FpXQpVj)v@m1IVXS= zU5kV6Wgc#_pIGX0(deqKOJ>QY>_7ixUQ_NhxKQV`eR~YK7_@ZQcbd}=Kxk}dz0`Xv z0J^&~OM~KIe5ooEhIE!*#WWbOE$tf0TZMGS{vogs3C$IsfaZ+k)Vb;nhV5YV&fxT5 z3ddeIWx;%)jki{qlFGd!pO$Xx7$+RValZ+m&D=KyR1RJ2w-8`5t zR}L@U#=JZsKpwdHxU~g;6AZ>#ihK2yixPF_9I=2b_AQP!>%Jz_`ChGE>;3LB>oA91 z4Pv4lW?~M18uJVO{@J(2Vsvu*Ix&Unis0;=60c(X6_A}?#l3(|K!la&*AS;K_NGn+ zjSQf;UPNHWK(+Ui!&MUs^7K&P0PQ_Z5L6*1QN4eStQRnz&Z95qzqUV&XiPu*5Fm@(ISc|dvpZy#!lpnlaScT@!9hOXV@dz#FwD-VudqDuT;&nshMKj z`&(J=wVWxQtHJQb6Ei^6Qwwbh5Me4Q~AzTw9*i*;&{?VAw@V3H&kP>-Pu z*#purP&3AWSiWbz&C22!YEtT1w>*$}-=od2O0r+1g3E{M6jK-&d+87BV_Y7m;9;t{ z(s;3B)pg|vzu0|uZTK#BW|It{NIXdnaPwmlD41?GVkvvN@ob9pQ(u4VRPogAYyBdZ zSdVwLy$=xcIt@lmCU00uuVK!wO0U0aM@lE(v_aAyZW6Z*yOfvXWv3~-7aK?^!DPLG zd3s?C8xSP*iWK$T`+)A$H|wZsq;pTZi3~31kZ1_s<8nX6r2{2K)OoV05c4+CK+hy8 zDGSGMi{$>ut;wlWY158Idpx$0QF_5>ktjJY*lmcjUb9Ry>0tg@-86z!Fo znuM`Q@<)ZaXgZ?Ng<7XF>~*ysJey!-=&~^eS)CKH$vq9Bz8JiirAoS#``K)vz_-_Z zB8&JhmM;JYcu97vBOjRN?VI1PjQuajr z31Wm!h-_|;;9DkY@v(O2RVH$JO6`3?*+`N+l(6U0vg}`>!@~6YD2qO_se;BHs!=Ps zo?NyA*yZ1Fh7kh@UeELdZe#WifZo`8brP_)2edE3dNDRW7xiYIp@6!g>MaxT^%*4M ze7n4{{|@p1K`Yqvsyz(`piLRmO2TF~_=f&{h*hYpfQoLHR2M5+8UKgq&8P7YzFReA zg@+#HMNBn;C$JvN`^HN3WB95ipQ31-^mcd&pL&^5n?qZAT6W-8BEhxc0y;9lP5BAU zq2S}84MZidlEh5)$4E#}qCq)lGA6R&URA57_GU-l6Q)wYG2&s)ohHC{#V1i>lrdgYOx7BSvGPNS^PuA^auUC&nS|q@AX_04xK?dtYV|hjc1p@V zaXTnf+(Bn1E?k=mYBIh7!X*_xDv5ce`)u9&4_~4!&!~rc2Kqz&gJi<*3@)zV*dKg6 zFFw7bs4|@7oX?%2d-&|qZ$FTBXVt$tjZr8~!DeDhDyJH+v~;EQ_4I6V=jP$Y`1RK@ z>)VpzLphzVHSAQzJS3P(Pr5qv7RW=IhCfh3dBLMag2}6+EpE-OaqMr~m$hpY7?N_d z%de??(M}Emlo-E9W_1;dg%>oOvXocAB!m*+E_WXOyGIR^IH}iGdm2+qZx&HIqJ2y&`F*}<3 z4{9c6#u~bG`{c83T4b~{pLX&@mX@GWYe7GrKS_fbZ7QY@`!KDDfv=6lSpPaYn|jwy zR^r)CtkPwCY?eJvkyGX8Tc(sOYkS2oQ5ZZnhG#Sa77@~K71~>`;JUo5i2|fify;!! zS(SOF2t+-X9ot=*nSF->s!av^^h(5g0j}cdiK1ggLV?*NHqlSA)R7xBQ%QKD0yLTE$LMQ8G`%&9>K( zOY?KQ^Oq}tr-l0>FSgWKDIstjK{^OOFG{@XMN*h??0vKRhG*?$m^__r;w#TVp04d! zSwVV^C*Qh?g0iO}TKzg<5V<2(51r!SOUKp~UrBAgu`|E9T)DzNA6T+HFvbbm?LpHF z^z!bu)`*lzfiI$p>u1NTo)hb$rsYE6KAi|K_|drO)8d*~I_8?t|ybvBighk<$DZo&FF*VE=AgQdkp^5MBYq2 zq3ZO&7XpDY@GDUy$i(v{))Uod(GIewXw1z=ro!GeTHPL-;zhPi`(E60^ z0T^6#{!v~*)Yewsa%y5w(T!TT+udjhjfY`C!^P{GqYKXD`n1}wKwVmU8C~f=CF!Oo z<(z18{5HPYSzTtZbzU>Atrr+=JXqv$Kd&uN!?-N)H5s?rv}oPU-Ln{EJLP03Rq!U4 zBBa1FnU!M1#9jONnMp9HTlPlYvCa)?QKxxzRnbQ3oYXRw@tl!1&Wav6hn;DQeo;i0 zTXRO7v|$_z9Zd=qjg<}h2o-4oJeN6-k?a@}t(e?Zd>}xrv*>wNz-yh78tJ!!Wx;b% zZkZq&7}0FW)WKDxLb!{hHmf|{^tL-WV=tAaH8GY-dMY&kDPwIz zrnAM`OxoFAUe!TxjEsicw$6hx;QsOC)Sedc8l!=*R%BIBT zu5pO8RkbS=R|JnVgUC>Adbn55CA%rYU>KE&l@yUpRdD({u|Qv~)nH)00m#Z@`MIVe zaDPm!?Rq6SMHJ77BX+F|;fVu6Gs>GwWw|6x%IWa7%M~XrP%mM3J>SXR*nXgnQi{T_ zNEH{JzACZWJFA#EqFm8!MfMGGID~(q0oh$=Vn;hq@I$FEm~1qz1y2kM`+P@WiVj*P z(WvLR9<>{@q$>FFGZNW=a|WZjRH-PsH!mo^oE{>fGV6fzA^XQz z(eWb-vMDQ|vhb@0s6%PDL-iJ7+ndZi%zU_>Asu48Z#r0QxHh_7(t-fSO=KY{M; z@sFmZ@77|%Vq>lH|_31pJ45nAy+9T6JBg~iC0F=|hs-=nfKgWK*!a&-tSJ0eD&@GHA_K(@NpV3zKd z^;6<)&op(r^P#uDGA2F@z$4(%Xg?zr6AQPZpIUjzipUVV7Hq9Cy&PJ3L#qVCD zOv8c`lkP?Zc>Yh+KEqW4`(>1`eRe| z+&h|`WNZfq*F3Icx8r&(MX@ir0NIOBoZKtjBykmMjRkcL@sOdTn}V%P#BQ8qr36}=IapJ~Cf0wLDbQv0L0{O4 zDIL*Uid~V02-G$*s)RmB1fqlpOB}=yhbMD)IYMJ41PY&Eg5MD}9CD(2zw0a@`>Wn7 z6v!OBpw?N`lfJ&&t60%^G7Si@ZlpY1T!EBpH{&y1qFtiZ4HAe-Nw@43dia@z=jx#?1vONi$ux~|? z;iP7tn2TCSkde~%YRl+N%}uj=7x2jxWwq)St{$?~jxX)GQ=B2Uzi?4zRCbg-&TL6( zLtd8{Z|tE?o*xLg>a^87(OtsBP5L~Te_Z|U{VU?!zI$byj*q(T#;xy35blh}ds>u~ z_VI(4a4tWe(X^L-;vOw4nET9LZa0{5F1HYV$4V=?j2YPE@wxUQEalQkTi_z9>sm>A zI`tZA>!5Yf5T+jWTK*OGHAlpoJ?QeC9J??YDLb{>^~B0ij98#G2Uo#r}V{5c`^*+a9W zd>foVYLJN?H9BPk7=8|a0x~9Yy9ysQ6yxRRe8i;i^2D0Nq%%2qb=M`7eN8uOot?yr z)_xid475hws{*Pb8ar$vW;k#~2@BHV#y zMDhr15{9HBH1sz^vTn8grb zI@w(1Bz;H%JV2Axy}>C3cun&I{Dcl0;PTaui6O}|*hoak+egWy&N$hb9~ztH%%7MTv_egkGFK?T=UaBoMd*>-FmH|1iJ)#d!1?>XlfX&)Z_#GJ5x4l@%v3oELvuUFhj|k zHt{7?PR`wZ(Pw98BP+oOO@tz~Fg>C0;Ky-d$vM(S&9-CbjAspp_Q$d7oRp%^^do39 z1j~5Kyg3*%nMyq2Vf*HhyRA?QNQ`>$k&ClPjOewKFKNZRwWaub=^&5c zdT_-XSzk?;GyEXCsI}c`PD52%{*WM-B%fNA{0X;h;ltLxK;&nZJXXBx9k1H$w{GeD zqb>HS)4pq~oEr|*)p%*O15fCnc&heo@K_0|Z&MC{hbtw-R)Jq^5fJ7J8Wh z^X^#ig4cQYPzJ>$?xkJ!i^0S(de@!X*k=4C^)rbvT$TFVkLAX*`{@s4mmHWzA#cF^ z%TVYm)0M#bQ7W)X?aE$gY3Okoauc2^Yj$r^6Du=WrF8b{tMws4j($kk+AImdHN-#o+dSX2;k z&RgBcffa}JDv5>{vQ({CCgTY@XbbTK3$$;}Hs;TwDzf2O--M-TyG2I&CGUy!;%B9e zuejfhgyY;dA28xc^m@pU)?OpK`PYSiMY>S&$lkX*tAX_4nYVy(pmtDDD@QUP6E}oY z2(%8Qo;4NsevN7dpO-tUQ8!-#-7x#M+>%;=S7)Io*Z5pe2uY}9R3{^~s7kng(ahME zIG95^DI%3Aye67?Fcnj->j)EBYXl00YlmUi?w$E&!qX<#3#0G%*3{niEY~x+qN~aZ9AD*6Wg|JYoB?4dUyBR{q}!d z|J0p4=Q&+n>FTboI$fvhJ_|P9xv6{a$?x_E(Pgc>PmeAWEsqxfVm7v6(&e9v*1$x- z+fliYtf+S1!%%dF1PE&w)G3a?5H}_^!3uC0|IAYhm4gmw7l^&Vq--K5gp`Vq z1HwYIpi*w&2Cr-GYEuX2YQ#IAi}qRbxM?|bk)2p~n0U;%C%LMl#Mh=fKUOZ!#&;!J z)tDD~FS{QyDxJ}^U!OqcAIvL^-j#chOYuk+=)Fx-1{J-|t<@D=7*SY{8QXK5=^nt8AGH^s=pR)&o? z8I~-Gv81!W>6tAb$%)9ysw=1u%vz62-F!1iNxh)W`WS8WbNh z!whCyK4pDlT<)h@IcIfB)(oCuzq#@V`)t3sCOt>wq6+qC@ z_8jS7mtVtLuA*q{_+90Jo6{sO<$&_bLdQ0&~u zb9XdTRy}C$y*~-f$E?0S?QGW%&2b^}JRkyJ<(ig5YCgDBe@H9^W?T=vm+Y6L^i25; zmA@=!3@)gT1MlYcrfxPsT^)!vC@CX>I)1J5yNU`Cb96&PhV3tUI z)gckLYn`5K#^KhCUwO1CN=@t#laR&}ujiOVVQDf6HKR& z9_55DrIJ=1VQhIhgTQ6?jC|7UVAG|gpO;W^pNm@OPrjRsU3~gk=;BTa5t{M+YzMl! z0QXE@5m$#RaP#XNe2Yq|xzYl)E z>!NYu+1dX0KTjvXbgWm}y`4qBFPp5k5u4+2AcOvIzEWPV;Inl(s7nN68(q9kk1>#1gYcYtrn$<|QY*lYO8)YwZ~`8j1$ z7t;rNo+XG zit9R)y~tB6wDPBuA{RQ2s8?j0nFE&TWNLZ4TSNn%771v-n@Yw!pkW|_Rz!?>IOCVs z(=LBT2v<1l;4a!oPkEpJ#;5K^uQ+Ic@>bwJ`tg=BtJ5AspihE6tCFy0`)&-3pc2rFRo#-XM=JTxtWmPdF_UQ4cdH@UR#azqEsw7EPYcM z2CMa|E$8NoQ-peKMFnwvLs2UiWEZDM5Y8-Ng3F&)5~Z7h(+{l4yeY1ZzePxF$jA2Z%a5&Dp zDc+!be(X!hctgvPIu&r01iDXUD)E0c1D;n%NHfEyDGw+GcMX)?ivXUMP6)l&0g|W6LXY_EK27Ot&q*8|fwGhEi;x$2(KsB|mhz{teM-Bm zOac;zBI_uJJ>IF?_blb`!^z@sWw!eSclnM2`0}oj(=<`dl6NJ|GVLkaqp9~7!VZD# zichJ{sxD(O!vcB3F@`Mq1FTgoTeQ{%L#E4UY3>8l z5yGO8?Ai2{_orM;a#n?wp`Qe^uxWmdElIpRWJ{z8?EKpE*zQ1FZe%owiv3di`F7@& z=J_R@Wd-ap^E(P}?C>QlYb&tMptDETP3FsJJaoY9gc`2s_m$;$i2$2ihM}&@H$8LTKjDCl(LaI$8 z+<>$D0irQG(Lk{v#kG(#D`70+m;)Lc?U*rR`WBqpy2o-0<_VNbv;w{Ht^7eyy+u$dl*^nsKMX zr05L{^W7nhxhRR_EbzXI(lC7fOPd@1_+k1H$-cI8=E|~+Q`C$vd9!`uxd=^0u7gBa zn!s&|wpp=m-D0BT6SSM;`Uq>?*Q90}lJ(k-qAca!6-TGY`;QLUWwQs-Q|>Nlri#Zz z6m!xOd$KYYCdLGTN=zSMwgHPh-=qL{ZphA}ZEHz^#8+DfnjGb`%aWA!p&3Wk zEc9!;hAck)MXUU)<6Fo^wY)gCnf$ZKlha=W6K&b#E|ZzJPiN}FOfV)=uNQL^@nq7T z6@+{?z4^NY!{csCn1?e2FB3})%j&6E$N94&QJ%Je4o@I`3W{;@xX$UpipB|-ES~)9 zV{mEaRq_f7gexLwu*x8?{3w^L$2e<)a{+bfyuXTZcuqs^97R1^QFu}nv!&}{Zk(!f z)7Sfh@%7P+y^kQmqkOG55?%yK%UkLgVBwNszB<~72-aHHlbyG9r z+#U@2M*5(Xp-h_bAhqw-2;*kRSSo$6Vbu7p(SWN#76Cv9`yiU3gf}Kx$zonk`Cg=e zhG-Ev)Ysbo|o>s?yvBWJM7B!^8C%8hB^Bo7Pve0n@P`5D4pd&h>*mxDg(@X4Qu zAZdfHE`{h6o-^n9~PIYz>q(uWOrH zlTtr*6Ye1%av7nhzuwiL6BFHJ20i~(??n$k@c>61PbHy`WS}e?b|zpYnW*eD1GOA8 z5{FC6@6wBiU!~Y^^(|urR;Nmt>mnCnZb0!6LB&iL;+mw~<94zJu2WyUR`(AT(~gIv z-{4)M@sF*@8JCYfx2hsuB$KfVjnTZqk`*~*no*Y2DuxOBg`rc=tpC;@Uuv!n^ z2oaQwt$a_)u za#u;SkT@xo6Xr8EGe@ccSJ4<#NNdHBY2C?2whz$Zh7 z^%&F0Q*dC54?V&c!#0F*L0g^F5s~j7sJ0*uu54Cv7+Y_=#bk|irlr0CYT!3YemomW z7J$eY6>La{>XmrAQ!+VW67whtWuAgTS60n6YH;KS{#$gl8Zo&18`PBh#D{|Dq^d&FT}A9eA!gIfA`9$J!;d z4c5ZTNK=ZXX;UtMF}TbMO|3fFzo|4K%F8FwRZ&&FqxR8J;*AE)&A*H7BicNEi0HB& z!8EAdhBpWJIhvUD+IvMtG24oH+0f7?j5C4d3D&KjqvYOEiN{iVkXJ`#L!?t*Rdotx zMwD6edF4c5J%t^Tg`Xa2hyaH||#1XKtbF(7Z!&Z47ZD6tE&}ldl z9kG#@kkXU}^|!*$eWNL&?Up`yf{@8=ar4-_p}!6@aA(uR&^EH#+a+Jegh?ytA3Me+{{U*DSnM#q{l?Yp zk}l1vBTLrVm^?k9f3d*sfnoFbBjsME5Ou(Asq|odoS8)jiyQrDg=Y8BjZekKR`l&~ z+N6vO|LZ_=EZLihaoK0Ilv7t+sSeFKmn7XG;pNbNTlF*Z&8in}_6wbH;KrrgXrMdk zuZ6j%h%-;G3b-;w(qZPqpRnT9u{uw2{HexkiRs2*UG8BigWrWAQ-KM>ZuIo}A^ z{65(@rT3XSt0i6H?2$}RJ~y_;2HKbk3N;OQ?Pw2mn7>Zg#Kz6ZV;y;lsCq4_OL|o&_PO#Q0m1U|YXU*R{Im@6sC@2z7!7D-5$Qc}^f9~CB3qx+ z>4d;Fp=&xg%ZQRuz&WjzU9=i3%x<#77(zRnrM0yiTIP52T%gcX#n;UlOXV%M>**rM zZpPbWgB_pIDq=b~Bz9W#Wof{H{tRiw_jK?sqS}-$+0Cdg-=6KkJTWueD5zTEiD^cD zDSa(z%lu57bC__#A&>8$Q;zU-_>zI2R|WP)P7cxq=H(aYdGzBVps0NCkH);Lx`Q@(I6XB_4;~d3tym{h&uwHS$oqZwE<;jeZG?Q`g&<$B; zpz7&OcEAmrxo4aA_vuhXVbs7_3Ra#W@71{KcD{R=uF7V~$I?rFXeB)4`wnju(G~UE z*5OISJi$)HW-q~nFPSK|BOW(NQv};XRnCRGi}?4!Oj3?^Fo7i0K{brmkT5F2rDE*H zp0nobLZOjkCLu#wJ1>y5gReW|v#c@SE)ZSHEu*i?ENeeFiesV%3S~Um3=ukiM0-qG zzh_`6d|$`o>^wl3`0{oH-6AD-+qaF-SFb1rPCSP?lE{s z@O2FkGVjp)HaTN~I>?O*eHx$4D(r9vlauX^beFC7(bB_)beV@n1rIb$#3?*XCrC;j z&$zK0XeuM4D1CaZtiE5(QhXHoF(*NuHeztc8_mCbhD(Vp&83@Y#7~nJZyI{{E2Vhk(|X7fKc zJsw0Mm+v~)IN&VJa0YE=|59Eo|xM_?-)(>lQL z%tklgJYz`VI61iLplcBR92`1Xs;I8|d9$B`_X~+WP~0!-jm(9?RAm-9ga0{T3Ou0l zz{-|qe>_*0-ovdJWF2^83-kE+T^Sy{STeQlzU{r;*#~Ij^s7Dfbvs@K6 zyCkDNPl`!i@=}awO+3Pv`@C=@7ES18a4xP=o;qAt2N?|qP#R2}C8_%B&(X!K#nKO@KmlF{fRPj$|>{c`pi-6-9#XNec_v2p*2?AIN1gjo2 z#Pr9?*bKB!TE^T;8}3KOyj_Y)^(#JpS2fl0jz`tPj?0NiX_9iqw~{W%yQTG-&u2VD zErM9QjaBmPpxh1MQaHiq^=Z^u&mV|KTS8C#n9ykRc~|lQg(=ML!u2Lhyq+XmIF~j~ zX=W^8cztJ+mIl1_xNC51e2>krvPwc)FB{I@#l~J-3M(x`it=<&X{-xBIo1v{bPjvJ zj8Uo?BycS7EHko89$!72=;?#|9Fy!CKoHC;unCC!#W1>xypeIF*~WGUArPIW5u42P zgyaozvCzt0$x1yJ4>d7+-}(W%nMR(RVNP3YlfuQwT^wS_;|840d2t^5D{|sAzHGu9 z>2z65lq|I=fJId5N|?yx9zOYmN5)=8m93j>l*Zwb`e662kt1Wvy0()SOZ3G<;kWj7 z)LDP_cH&iFpmp|w9<926HW5Z7M@l^M2TeFfGR^_}%zn!oMbCrO*}EF*@uR}{?wZ@4 zN7VPr%wD==i5iv|3pAC@MUbwq181_GK^#B6WN!y!w<0<8fHLllitYm{ zoPhW^`0GsaaS0OmjVY?lb+|bE?*z43$jl>MY{2iu*(_8&#^67&{@Mh z_Thz#eBGdr>I2=9)HVu3A9*$gU3GWv_bsCjV+P)RCZ{t)Cv8BUDz)JkGugE+%v;`gf%51nd7vI#%`vay-79sGOk!h$$9khq}cG* zg^s+Sqm8xAeFnC;LEPaW5zjYuzC)#iysDz#1v^zw#1W1RAJ}b_ksOr8#CYn;#{)l` z(Tmd7dpD?jh^=|a-YU6dMuy#OK;|%%r`)5K>DaHPn#^swbw)}irwPyn$S&y}xbnpg zL!VioZ3jc*^+3PrqPN3+jJnPbh}dOpyEs2uKYBWQYCW-^q;NP8`L*3bkpA|>ys*6R zJs@OFk;@i@CMnZcK~2UahDIhR)LRMNE2A{y&qIVAsPwD2q^x(AvMm>(0d7Q>Fip=3 zM}5f;4lYqZnL)S7PUXQ-P|ox4K3GqEOhuS%=eiwp9vfDVG|L8Ym-=2=vk4Bq^~utd z^xUm@gz@6BhY_ukSTdSy$Bbec?_Pu61pWC*mJ%UKPuDh2tKKC40(iHppIsBZFKI@&MaBx% zu_KrZsbb9})61^)2#Cf7>{XDZ+lTD!noY_eryTHzjUg_HplcVd?m zPtiwmb?MM7-5&Zmz*k_kL1hW1TX;*+HvHJ*#JW8jH1Ce={)^Kue`eUsHY8VVHw=#@ z4$i!@9Jec%@_0P*sL*~9jbfQXo}ag~30_yL%(BbCTzDUw(?Cn{-(^~)ch!TVb1PNn zfzG_@&C2Z)X-i+t@->8K6Jw6N8W9bbr6J}8j&N5$m@Jy5uV8vKb5)j2dY?(j&GJ=`!bppdx%8T6pAMRG}6EO0>iRz=uN_WT+^B1e7u`;3~d&$2H`DiQOo-( z=i=wQ{=u=L4Z&TMNw_wd61S*t#=MWl5Mra`DJUwO-fK=XHD_(-f|@pl-U^6zAQcnd z_LH41NT)ZIC$J5A0&W!;pFffS4bg(QkRJa3=k8^WqtDC2E7F<$hfVYo$7L<{$ zQkrFMo3ok48BSS8S4{5edYP2xW2Ixs(;6+v~$z%aiCM$U9Sw zG8}76mX$Tvz_W`dRGqD<+esdeJd-NHq|XaKkhKW-+)uRHNsx6wWk6ViU`Myfh=t2& zz?771q?1U> z)kFc^93I^P-EAV~8eC^$S2r7Y;b{(IpwBvnob`<@H zS{?ku&Hefj<9T!W#^lL8x*KTPwg}GkaoYh_?G&94cFuH45Ahq5aBktOv@vC#*+LV} z`!RSP#bT3#(^RtiF-soBLKDh+DWOTJS*F4l*FKx4$dE{Z{BC;K=3K0B{sGs~PV|s3 zM$CYR!-2{$rT9x;;ewmFeuzF;u(&Z#HED{{BKIUli0tCImz-xi#UTSQDh(;slC0DZ z)Iybch%t`Xgu+#-@ieiKIh<^(3;nZ1W(jHIu6|SH`hL=gybh8gA9)7?6{njG5R%>% z4}^kQ=IcT=!UJ7)>x-S=pJEI9vqK$Zh|gp3=5HzlDlHtvw_u!g(nCLlSijc!Dcs&G zDb_#F&NQgg=$y?sj=b?J+DbbRaP9+y3gX26{}Gr zUeDJ_xa1y-#KJ>9yw!C_$9YGoB^zPn{UX1ltfs0)p%tpO}zdS)&ERGg`kHdaJ^-ZXVFz2S_% zxCS_=F;7l;Fx1c(Up6ar`N%4?4t&C#KG3lMPLN;padPW`HFXE%J?0C@moy3u`~Q-9 z;{S>3iIMg1R8MJy+uhxB(?i`|x337`pn9N?^j~BgK`Z{t^8dy(Bx`SEY;R_5LjEuM z2MRg`V-qs~hm;#RKOo4JF$I9|!PeH&*b2adLP-C2@`=CE;RxC|{n`2t8VFe@M@utn zV~4-xW&J~`^M5825HYiN08lK@1GxGBK_x)Q#sG*NBJ+ob;-6(2m@7J3{injF8)xad zHn@Ye{aqux8?RfIs>+{Wus}_Qo8OX1_!Y8X19FTt7!PFgi8PuDU$3W%~&RFWi+g-(wvchroNMjP&EE=W%sonu`t>d)~iDD?@OGL)8{ zDRR-rZX3gymK&XG_d!DuS1fOhE#dTU`T(O5BhZ9b<9#o1p(l3FgW`YYFnwjnvJo(xqKNTfT<}~Y% zM^$m^4kayc>+UyBj%Xe@<~iLXX7ft&n+xLmj%cJ6HAQXIiwc?CM|pBrL~KYLLs;FZ zyjmNhHYCz$ZjdU3n7DvB%$a>+E zQF!rdP7!(hsBqaRG}o;pf?qb7aZjM7*&Dz6{Pvnx-ziACJZP?ehi+w$+0maR7eB2z zb3vHjJcr>sd_?m|s>n*VtXlp@tTtr?90FfzeDVuyMaRSloo?M7!eBYd=Y^pWyr|0YZ z=q#H}CzltC42J%#>+3nYzSPO|@oD|-XmR!A#6an8*>TTu7i|}h$0Adsm*@43B?b|E zaq*>2rd769r}wL+D{tqoU+pf{F84u!6R&g=lOK)`JI|Z6Z2JgiueKhackBJD7t=AL zG=t|S*R3v|uMG%CF(#9=I@vm%zt{;3pH81;O{#UBKc|0bTTyQ#Y)d%u;CpgfvJph9 zA`pPfhHRdlY7d$gpm|=IiKJPp~KhW81Y5|rHuG4mJL+)&_Em_>&Kg)9YwCERQYh`tI zzBy#C-kOmwI&x57T&efD{Ia^%Vmfh^)FGik_BdaBDT~=VyRQ=O%pIP{$9-@=l8fQe zikIv#yLnLHI56UKh}Xy9SDg@ng&-pBqy5eQAp8K)VE|>W)dgPdN!8{Q1o={vm^$N_ zLg6`%fV+3?%T}2b5Zc$Z#;hp$=)NKjy3xiqak6Qhck#i##>eCH!Dn)q{eD0E zX-#n71+K4_ZTabXeRj_Gx`=&&u<>y_{;03z_K=T*m7EnnV|UI$fwPzuAHKWdpkSuu zAwwBsF)5x1P?vI6@sQ0AG#-ZH4)h=XY%8B6a?{UKq4!4)X;>WIwA48L!Zf{pTv+<&zi&mT5 zo=1Y4$sIBA5E#j? zpPn}lgYm_5w1df<&+%ujH)lI@+1Ctc&kD*9KgZ+7*NK5 z)~9{5s>Fn$F8tmQRCvPz=T8XvFMM!aM2xYq+6ELH^%A$w|0(zF{VASbzA} ze)e;5)PJ)7d%yox*g^R^fcrcHem%UH7}mw%m}%;QhX*aAs=KGl4Pl7&I z)Y#gesBPbC-%z=PYkSd7)s3@AgSxepa0LcH!;rs8{3NGHq5Jc}p9lIJ8wut+2gOJV zH6Dx3ukmdnWC~gwu@9N0ff1A$c5JFG*QG$_CwY%G@;61m4)9>3vcPGho{*I_Z@>@2 z-JGyP4&A-*OLjmwG=#T65v+O&fS335Xa)GY{e6vj1676`hJgK4ptQfivgl(%0oB5T zEa->c5(y1xfeL<4M<62nL8eCwTtsn1J(aA-t(FILSnE5hiYPSTM*UQvb{pv8cl}i0 zDCs|h2u?&xx$~r+2h%`lsysD9kk<@YK&!YwN9r>+n_~=|9&w z)Q76!#jBDQ)S&4|S(4hj8RSu+d~>w7!=!83eKr%b-z!;tNf{>CxLsA?zi*1xatgT0 z_x+=1l9nHrTc5Nasc*CIcv|>Y4Y$q#+$u-$n^S0S%ELh131!q*OO~cZDXJN zZ?D{kTZ4VH-saTk2F&)j6$pL@3{Z}Uler{5CoZ>eZRk@9V7+n`&_ z#oMH=r7{0?_W|xAZ^=+Qm*mrs%Y5T5Is>dn;kW1ok5M?{f!2*@k=$$*p)OgM^W1iJ zBM9P`^G*x+b@^|g86Kh--o;k3zd6dcOX%5O;r3{!f;QdHfW5GQU)DQmr`9fEoMX{} zzbgHfzoQLB*~0xfrh*^@izz!5RAU%Yp}&JotU4x~-hXBZ1G7-SDRu#DQPEpG7Sfwr zm^16IEjMK*9+Cu0u(u4m+oP2-&O0QV2F59UC2pEHr7G}!t&4fBt0DB@);-IG7QM@7 z!=ANf9r|VacCvZXd-M8gu*=4|dAB(x%SOay^{)}0!23CQ@bTiFhcEcK??K+CtxdMW ztFGg7=Z)a;;+mH7Pqzb$nKcf0>8Pe4$Qa#Cp8`gbr{O7Joz_TyI>>mLl9t*`e;8(z zdZbqYfhJs^oEn&b->8QkbOPAKM_`>UpHe6w6cLJ85Hz%@)%sn_KGTi_h+v5YzJ67kp9089O6)j`g)p(bU15c>Ypp_TytSQuLP|8rCnN)_yEBC*YaiLsEN!@9h&^Gut1+5`UuH%TD z;=E7sgu#cRKl_5(`#*2;Hd)Y?0*{vP$cm%z0vkCwbC94V)YVo^SO#F20a=-F+>dx1(US~hgbrjO<2cgbP704 zIKeISYp0BR5V8+1WbUGQur7+Q&kS#SjlLUpi#@ghzinT8{sRB?s%k8OFe|DHDyo_M zTazLHYw+>_ygev?lZZv{UkC0-R<4lh?^QVi?oX2669wq3K>DSD`!5aqX@L4|bo(a_ zguPMCra7RV&Z*S+{0VyegT7fk$U_pu5IQML6UZ6uZW$8tQjRA%nbu=U8H#;xg7(l&= z0|%kRw7a8W)SLi=;IBvYnk8U#&F>n(*3w`Fw0f%GmIJ=CX5BN;>`)LHeIOHtK+QV9 zO*-9Hk-j{bfMH2RyW6VR*Fov~0I-Y-&??hY)tSjObS%+<;B6{;@jm1sq)FfN^41ww zAAC*3vR`tbN*Nnyyx|8xA)tMTj+94AQ>B35th2tpK$#Pp>yu*dcZP^Sy=~o@ z^8Mp7{a$jJHn9VN8!nRmY^nb?20}L>@;2=Ra^qCGwhMIL`?81rZKnLb#L&1NYu`zI z&KiP|hZk<@&uv9KclN_Be@wze7l0Sm!5x9gE(rk!gY-yhvTYKKotYNA^a5B0(aqr@ z#5B|lc;Tqg1aU#a80ebJlTh#%_t zHbq^Q2Pr0>mrqWLJof=f>1D(l$fXX%3p5VkOU?**RMrTlhm27y``+EsTRg!F1#D;T zSAqx^3j#`v(IDWaKygJ;kKis^slqR;%-^EOzpT`ALX&dgFHSM!$)5#N)TWmNljPo$ zN2A6E)@TVvVhP4#2Uchc*60M*?+!Mv0szTZMxAsjtXL-E{G93>{F)rs8gkDXF=%vg z`AAV)$nwIm*(fkMU|@q-RO&e7DekfH>C!RnEh-pdLp=0~K4N^1W?&$g1(AW3AvGK< zi?3{!SQTShCO`z#=~{?bRFoKw8dIwL(itinCnSG6frO!e70%(0%_qC=v73CLza+~a zAMXzZUB5B%t*M1ZJ~lj3JL#K=rVrNC4E5#>rmyxQVn(H5 z!PB6lqjM~HPKw45)M=()atsEM&``Sxe_yj%XsFo(8QsXWKby+x9=DHzND4JUsvr7A z;Ip3V`X=|6RT#~(d0R^5xpL0i+AvgE`Hgr?1g-L1L>FT=!P-}5)7Y|Vop z=IU%WtFc+0$(wfBLuuyfXE)lK8aM<@04m2rh=l-#8d$GKGbcT2XTvpbX6=$;W}XDpKKWHaTkYy&(Q=vV+aSVmt)K_5bNOVJgO=J%_`xlt zQzK$~aSI(cO>pue>}4a?h9lFR@lcum2HYen+$0@bOaojHaIgWeAXG$d+`v`Fx79bsHy9Njcb_+IXgXf5)-!)D|facHEUnKbpMMywPF96X}V?) z)@3&32A?uuOM8CVP%d#uI4-4q$-f2id$~Qi>k?)8*nF`f;?!^>%D7Uoq8+5to#WD- zp0XXkk~~I1`K4S&u6#Of;zZU6P<_M=i5dv7>c@xsL*QyD=#3)O0-2gfu$TdoNp$8x zY?L_=DClBPgkq8j^hk(O6eFoCP>r9Q{3oELD5#iW6BC%=66T<%a(}6~WDe%Gd+N9R zYPW!Vy379bkQUTi^K-tciXa=Ta7&{=9vrCbhP6T5nuu8Cw0gB*Ml5>sU}tN-s_7u% zUw|d*fGIbC840e=_y|LQuwaJNzN>)X&qGj8`;uVS2!SN&b_YEBnmqI87NwouV%9P~usHlF>=3@P_y3}Gs|Ed1p z&PnLzS7vu82@L-gOs<+UC{*>1$Cd!G%iXoDTY2rXFjvAEt>U{4g&2-afJDKpxp8Q_ zOo@k5T7bs;tdh+W%O{o)gK{4q%ACB{(fm6mVvV=fbQ=RbPOb)3eku{U%r`C>ws46M zTFBp*i82`(0O(falZ3w`u3LR8QlmO>q# zh2CCb2y|2|ZK@lA9F59(kk}izkdsuf{Yk^=xr3JXCdHv+%rKTon4emWO}^E zRE#)$jVh$j`28vSsG)2DvAYdnc`B36$Tm61Y9S^%y)$A#z<|}#%kedbZkHB0kl*z> zlY~8gZbHu7q@<=kl$N;lyMAVF&4iqq3AjlB(+Dh_ad3DzpKyP6-i~aCN;3>WE;>5~ zAernx{=UQTG;E=$ms_6Fw|D&G^1T$Th|Wp{ zg|b?qj#_(dq4pT^e6gkk#LS+dVs-4_2#E7AG@zjkK~6-#=BxcrRQd1A69CrFmKGpI z{`EEIMOp5h^215!;97`X6p-@&Qj~b3DRqS#a6_8})psweMt~}Hf&YbI14Q0l-;vFK z;$+eHUqGBT3uL170r>~YbV2??fC0DvHDm@Dpd-*PrT)Lp)^uU7sja0YHP*V58}PUv zVe1o+7^vfhH%I(xCTJnp`3rDKq@$@1UQZA`_))VV>q-NxNv zmJ7Jm=^&oMLxE?XNym|qNkcIj<20{Il=;xx% z&nNgZlYIV&hv4(}D&l;+#OvNU%yoaaUS5CRNB4R2w83-t*7tzKxy-w~;bZp^4VM2A4zAM%E%2+| z{w}Q0W(93!?_{53A7oGS#rypDr2bHUpWSt1Y5fPn22oKgeyJ_bsK@Ee0yJd;8IK-e3eiom>0fb=;sNK;ZU?>W&+{U4)?qi09pPgQNI# z9_?q>Z!BQ9@A{p5V~-)vAM~392d{meJ}H+7|NkgO@7|HTe1tX$rXT;miY~8)?xVZq z=NMM6=OQepcN@R0zs$m*gI(HlyE(b@>h!r@n)fwU+C}v>R@h0OYTw$9TWF2iMpx;v$H8q{H|7`GE)FclhfB@@f2*w8jy38nR#U3lDXae!d%8kLxY#!0zQ~~2Qe}r% zpJ>(Zf38|j<;i2IahbN*c2Oj`_$&HYqP&i!h^lfpDe_ptEb26+3iU5--2kBUf%_`M zCIz)!&L31sET_UF(7FhkmxxW3@a6g)rzzDbfSk|a&1YE4&ntjUIE!u3P!Sfa{?SnN zhB(`w5MO5Lmxcc;PbVN2>c9Pt!mf^hNDqJz4}Uo$0VL~YmVl_re^}ccjfIR2ZH$Zo zsy}{6b~eU;xgi+RZpeg`=`uVvSYaRIk1e$%qhUngT)Lokt+YX83GzZz2}J>& zm&f&mGfncl4N3%l-a(6n$c}w+8KprMTICig`GZ6lVY*bDdD^hBlSNcf?ZrExD9Ml< z1Iu7&*6U0-wX2RE^rwx3ef!SE(M57+xV3>djo{ap63$0EBFcl;kXm6xE$FOp`8pGn)Jov&I*RN0+CVt3Pb5OZf-Kc`VQxXy;9+(L6%T%S>>H;KolOes zIc7I9-fe)cqA%6)SC^^R2XlTHnua1R#;M6~JPVSknf(O=wW=<@#p|rWI0fbGPF-Wo zg%^JmIKmqnFkX-BS*Kne^t{(v3&h$(qOnQJ`|V-*XQ~xP^6tp_p}kZ#It%VsKAM+< z@!ht;ws%(_#_++}M0V!gm+kAj)1YzAe1sSTAMcml^HrZ*byMdl?GiRQydVvTM1jJ9 z3Er}xXn1po{sC4wn;c@cwwINo{Qtq(R{+)3Wa|beI0SchcX#)LySoPq4#C|exWfSs z?(Xhx0RjZK-~@eS=Ds_B)%)wteN$`i?$vA8+1+)z>f7C`m#j*C!E20!S6C9JW4y70 z$o5rx0^R~H3M=9yi8|-!ki1G4kL;@(id@V(=Moi>J&Gx^34h7T@iKw`5h8WY;by0$ zYU&g=Ld;6l$DMPwNfK2yNK#d*TgNPCDi2NC1QjoJiJbVJJwn^xG_Fcr^zaVTDH_$* zU)PWzrmn3@HO?p!1!JuJj^gZ?nHs0o$S-V!nl&n$6l`0!iWSuPY<_@|grVtf5LSkm z+@7+u>_w#S%l>FJn3BEhqoKFT6XAUWI4Z1<+x+Zi0M$MhIhDS#DWypiBbedq`UUVj zK+Dc+RgAGDLRUG1q+>mJn)gL#?!D2-dw5(pD@9kiN6;{*lT8pmD^(o#{tx*sJRW9i zP-&Glbj+`*SpTl5e7Ujyei||Uy1Vr(X)x@5L~dTIO4dFLj@aZ6u2c;Xj*G3J6HUGf{fZGJZ$;ZsJt_*=mHzgbK8`I-Jd z0Pnx;E?BtU6U+XOb{EN7+cx-82!1KPft?AGbJ4`u8Od{rsoz%KM41(BM6J-$jKr?* zUR=4HO_w)YpSdNQD}EOxuopUN*l3TDrljhy{F*}$(+6@(YHRIQGJfA}WJuZZ)h!=* z>ROn>CP`u>ok@v(-~3&EGH4ilYr`J&*G3RR)dz7zH#HEN>hcopyN3`M-6QeUoEd9= zd<`}>hc(vj?`N*8LkY20hc(ti6xCX8Lisdd9rgjQ04cveJ|%<}Y&Ae{I}|(K7NQPJ z8+HWx=Pxyc5wvk;Vp~vu0H_uPqHahQVMJ2cL6{Syi5ssASq;`YNQ)cq9Tov?X8Fx3 z+_o&=6kauo%HEif8P0nmyt;>~Y8(1_U+ar_Dh3@2C>OB{cBU0fofmCMjvuUU7#vmd zPK$VFf)M^xTBjZbf0d5;7z*5(K9=@SD~X$F5nkgM1AI(ak2shi%z&om`~w4kJ+>68 z7Ik=HM>v)!Kb8Fk_Hw?5v}3tu7|6-+Z8W?VGq0Zw7B@wcSUiFR8JDh%aGtE1BKf|x zS%PdSv?kie$m=KQ)}t{F)lT9(dsiKVIJyiZ8KzC?BkUJH#6Zk?vE>&b*+I@>zTvhb zQ6Gbi8Vbf$#i<%VQVumZ%ZKe;VZyy5WgGi8(@(vN)#`C)ch73mW!m4lnJtNbH@A9z zy8n36oRp;OK?ZR2z|X+!TQ5};6XUG$>$~`Ued51Kd_^ZQbRr8tDYsW2sJbq%99iF} z*tkgBs&aI6FU@7#GW6|wbguV)J$igGq@rq1`|x{r&{4=#Y4!X@>~uEh&5|qEROnp) zd$#}66P!&o%eJ?n-|vU*yOYK2X4s*jF|qtfbh{E_HmDq$lz9j%H<^qX&Oy{N4_2vK5=F>8`XRnht*2AYjB8+k@!U!c!Zrh@u_ zDVDE_i7K9{=*MIoKgE8?f3nQro*zi3oMNw?N)%06iy7hp%%p?c)8(a2pi_RO+>#XD zNR8+#;?8Fl`+)98{b!~a-%4EeEPHij3Q6W(rpjMy#nYmtkHoHVKQE`$Vm2WR;!6rl zVAt=pbe|!bl(W&vLyD^j2Y%Pk8;d;Is;b_GrK&F(y%~?5tiU)o(EJ8dm5;Pzs03{| zC4fg6BGQKsF6sE#jO~{cIB_DM@fq@_4u3AQbE5gi5pFfS5g+&C)62GuTCTIXvqOdh zn^Ua!p`R3D71n)KB6x8R%>p_(ltQnH6%BbEWgW%;OU`mXQ%4vQbV-}V9U{J?wNm^; zAduiqdM|z+@%>XPm9x^%oRL3*|CgSGV=E3(sG$_|ONeQ#;8I29@=N1KlK+OXM*d&o zNU$X(;(n044zFgD66VHE;H?}CS$`4Ja&Yk!>czGVV}m0Ygm@!p zsr%Gd8WjTyI0PFemm>Y{jVfOcbJH4u@d%Jj2@0bD!&attruZJasU^FKCA+aDyOAYi47`k1yyup* z5K7RSM(MoLB}lPlK_D?zk}V4Y91|z=J`@oi{#^HkW;H%YyEq5G2(tNYq$3!fO60L*Y(mI!P(2J13^yR+ zuSU*T)+frnt$zP*8q?uz{q1-2FDbqLKfaqR|Jo?9{hN(Kw5D9F85K$g92Ye!2}Hak znf9Fnc?HMW#z>Tb=WedgTL_t__TpSLZKi^lNC)v1ynSV=4oIeUN@QFGsZ#oS(Eu{3 z5JTNi3h?kq-R9$)I>cdH(a<~X&7dECqSA)C+;}6S=Qx<$qKJTR3AOl{`Y;v`YJAxe zXXCOWT85ve#CfAg9>p=!yQ7m=;qjF^n1I%#J(Vbyu~M&q*Y}0c$~gU5sMbzpoxB#pot)VyLe9x z-gJk=qb>ro9CUMb;Sz7_c+b{ok$n#Qjz|r|?Oq*Ub6LP=IqL|x%7#^LZNVdiL~j?b z20dDRpu*A9s0Rn{v`2K)5x?1aRr;N+<+EKvD{^l9D*sy4L{s!9*8Z1CBDgo71Wyk$ zj~b-5fp18>TqNmK5HQfPR6N4UQ_EP@J>*YZ;l;W0b$g0zC7XpuPJ3be+0#Cduzd?aVGUyZ8t-|y6Ffmk0Gm$r zRhd<(1R;4M%1cD5VehxBP!or5MVf&&lk#rxC8TOQytc?^{cnbOEmaL@{3Uer&-110 zX^u%63s;Tul83mcyT2~)*Wt&_lpL#@x4QgZ@eLP+WkPX#IaC;Ryz_NJMGwUf#hu9Z zBoD>Q=L5%87~!*1kIkxAsPCc>FqT~90Qp^|$GSkrvPaBg-Esr5&XQw}1>151jXayk zM0kpPC7o!2r*i1>&_rBqK!Oj426Z`ygub5Xo*o+Yq_QT)<^DICawyt*&u9#w+6(M0 znR?tz2#%>!Tryt%r!qx&#v(mTUWUb0QA7ACV0ePYK=?C0t|hg}1g+TucZEf3+(>@H zJ_;#cyah~w5b0T5i;1Y31zW*9$(d_7VQ52GzP_KBE2ds{ah|#MspgTSggvRvCyo;| z%=;LL;uUq8Cysa@j4z@3Uxxhp^h}+X{9+&G#{Ccn_nG1p6gY<`I4|w457x&sSB9ct zhQQxrD89Yi-dXM`vAvK5$Pa`Ei$BEFD+gVDCXJQ+wFcb|^`Uf!?AcrqQ?A(4p z?D8NJ3#wlHa!>BtJY7q2VtRkWGo62e-FD2QHkI#%WPdQ@ht%jojg&_}9wUIf%=>;J zr7e0PrMLid#MXHla>dT@Gr~^%!Jt2sP8zBIEzJETVc>rTbN@~s60J2J`<)Zz8RNCL zCeuvlgDDtUD`Gso-Butzr*M1}0ZiA!y{ZBrG3hHe{{&|~BjyvJXdop~RZ)d{5xjr2 zFIk+vh9e=^KBB%~cE_Y9LkoQg&HF(B!$HPIQKB!90YZ~Uy`Nv1OIfb6%=+Q7@Rw;7 z3W#zNV=2*uelZExZX6b7mP5A0n1_d?OCvEzS4W}h+m=p&JPQiKFSQKHg4Y4&LnVBO z;ex~jeA4KYh#(DY2&t^V4!H<0G^S9DctrIX_%Y!|B^Z~J`QGmc6W|uc!SIZcLV@3; z1C@J0(b{kpDcAw4q|6InL4zMA_J07F`N#yI>d7<#%{XP1fR*&?iq2CtWu zhp8tC9;wsEi-g99{tw|Ks~ z^Zda%W`l-ew}Ua}rF<1#=Qif7;CX@Px@$9YxBq%`eGcMunH$i49`h^#+!ybnXA}YW zernh5xxX>Q(mgzWZd~QH!_x>|tm*z@k`1cFjORTYL%KBw?zvG{ig^;~SEReH3zSE0AmJH`P#AiGkn_}v8?Wu(fV|S zRjkLfmiiP*OUaDnW$=w?`eAFl3u*Zoxgr-YpUf_#ysc8NQm*Y%2`A^Y>x-fcure0A zL*2)$ncA@3a&ohoiXXq_>ht)ITyQtIx_8KJiQCYbu&;?*?qZn!^AZDb4%qyo=+d4J zvvPCKYa-0tgPLq;`%v6ryv|z*5_2Q4IZ-wYl{E;P<4yk4agx`vsV7QB8r=bnhLGTa z&z-xK05%hy$t{T}5vePm_(46Qq4(f@^#0`NiLw`T=RMw_0nMvF&Mvs~HvbsVQ|NwY zlT~JxHyCpaJ1vvi@Vh-HU4Kq)+WTwbDK^C{JJ*4rKQE&^Hd}K?w-q0 zDA!EtOQ%w7qC03!@_2KI@E4a?TcaD$++uixWBve#=RWkefcGb7>3=z%{C@!6zZAf% z9RGG**X!`E0Di`J>HQ=TSrpJCJhO?=Ad;9l8w8KuS)?#4=ieF-1dVD!XVTTY&%OOlqtp^Ak>2mByF@!@ee!JM4C#v8QPO@r0a;xWs5b5IuO%3y?8%C zwD?fq<@w*i`#zzD&Hup0pNx4t-n%z(}o*w3x+h3f;)O> zSC$Yhh{>@1L7O8>5VjU>L_-(^#-n44IHH(}AEbs)b&4|*>ns4#3gC|ZJqj-MV?nwq z;-l1w_O?+Dzv&RHfcs5`91@Hw^x@ADQ^su+e8kco5gJ9|SX9?6m|+CoJ5v$c>;SzW z?AMl|67=2mKCn6yAVEqEw0d4Wlvwg4OS3`1DN1Oe)G4N7Oc|3?z<1*OZ|V-1u~efR zqKHV`{tr%1+jmDPH+RoyHsePwY3m!#D~1laiC}Q&XX9t37yfUTk7q|^OwTJ0)7&LH z33C`vzweH|$Ztx&UM-*GzRB4mmpc0iVNB2^ie(AFi=RXS-K0HX=O~Ln#EoLd9OSsV zQl_@6xH=?H-kZxr7uqj0E?bxEz_i{pj~q9jZMo-8=JZZ9UOKz|QC>$|;WL`&k!?98 zhPVbpizkX|_k)~*3$d<&`6oX(&sdIT3{E#Y|Dw7pzhe#U_gK@0x7}B~j_YmXMX4}a zDp*^-*i06UhVtuqzm4H4^r5I6QPw;(JAq1MacdKNv!^cIuT7JuJ>o~SEo}Lf$t@ok z(>q`{_Q(eM$7xBS4B469t6J18`wB7n`HnJL?A=H<0m&3}G5M0lxZVp~c z)ZW2ZyXd?a)COP3^)gw0rIeBFxoi3NXA7JkrsYx&u|){TfToac z(Rs1gO`kXKhxf9*yiZ%tUr^83rPNMe4j#3V*B1*8UVH0d*VLUH6UXf4uAYyr0%Iu; zvAqbys$C8RmIooS589(Gwf9#i_!ise4IZ}*zSOBJYxa6!`1IRDI3=b$+hluofZPbd zQ_KmcJJ@)-2e7QNBz*!LhzOipE_Q?5=xPm8xd&BKcM|d~aYz$MNdFWCmj%;LQC+IK zcd-_grJ8C!!j9@Awc?#{=M-b77G)J^p2>ZM=!C^1@qq|5BOMPfr`PBp569>2oi9_R zz5YKZaIsX%Q<>dMAZ8Ph?bMs5q)VHPJLU^Hjg=%fGTScS)s_>{Ry&g>N zNTOFqksQ)M^CYtFzg5^uIL*#>=Rg#gOm}i9ooW{+e$kPHt;Z^&h)&vu0?FDM4@cfk zOj%FHs*V3S6kVz>{+Lt*oHP~E+6pyMk97oHpO!Mo6x`2b7~wgY0FTkctY2X#5+>$7 zBoy!6`;Alulrdu7VXlGt%*})N`BCAF%SnYpTkn@y6ck)X2u7snC}Ej|DmeNxRXV-4 z`1nW$y`AJ*QP1=UUtsdjXi<+37R05a1S8hYFsyh-;AV{B1_4#8Fb=;AV6nbYfj$8et7&i4HPH1GKyqL9i%((kO8$X**gNsOvsI6sRZj zHF`*i5E?I+VfR6+b2um;iLY6KG{TB@aPdhQ;AWio=z%MddBPSm=upm_CU8Hq3*8?JzM@v3v^K-)Ok)6;>SjMaCzsIZ;y%k7O$`1o!#pk{x<`EMho|?$s$%TUN;08=2eH_t4fEmI0jDBe{gh>s?gXejJ za%?8sFvSTqA|Ve}m#2%qDtQ7f0VB0r0BJUeKs^Bf9DKPzN(;*@?Fpm%HHO`?-XFu} zIDd}R-QoB6aBaxzRadQ zxh8gvcg^zMwseIxA?NcTc>}DuT9)dOU9;|I8~rU6*;MPF|N->1@g@^#Hc&KaKChI4L@|UcR?=-|j?4+(gq++IO`eq&LuP zzm!Z@9+5eIGkYJc{60gYw{wktmqQYhx@^i?6T=1joA%oC!HGO63tDNKEp0|PKJb?hS>~u?VzYE>)FRd%L9v=c`LzszS+If}K?_hNSMQh+! zPWpHi9YQVU>9H*`okcmHF^mO^PBG?{Ha6u_Noh3Gb<55D^~UPx)@>`Kr&|h^pzEUD zLyA~o75??3h#lcfumohw0RMu z8BO=Q1uW{5E_n16s>i+pyoQQlD@nv9hlv_DQE-p|)|GVqB(!G|luu#{B?;EvfM(S1 z9H|K`_tA6?XFmaiOGWx78KJFBlJnep%T=TsnVT?`RGo}e0`vRUd48k*!{6G6U<3$K z=9@*3{RiF8|XBd?oBU$aoo6hYol0SzXO_Nz&m$zckyrfzBqNf zJ?!nZ5^!K)Rr~L5XilBd)jY5b>LzJVokEPCq(A%$-#siX^*8ADt5rP|Il%*nwVSXv zJdMXzwuUJx{W=OdC0By!U^GNzQ{vv7GRXG|IaW8EmW8N3@~%18TH$|9-Wic5Gd;x7 zoSd7n{;noROaPj{(+0@>a^LXI<|W&wG5hWsx`FLTqAL`}8u;^L;!}K&to=&={^yF? z=xFQZhd&z2R6DVSzlGkvIEnwSSGGLNT>lNd>?~~m^GV-?rm-X5ALxCAsHc!EBF}@3 zcgJok)(Ya>3hZ%$=VDu;kU05p*1bh`;p)Dt)#|$*rZsVNbeWJm(2m=AT{ZLrXhNI@ zA9@H56+H?igS`p}#~wt5A3|v8i;9xDTpWb+xneX{Q0OfN_aPmI^ofjxdPvTK>>FW( z@1XoV_-i$#V7gcR#?!6$MD&iC)q=YP1-vBP=z}2+u9yabc%mgX0$CXeb7WvWe8K`X zGb=jlH`M^JdlF-74CYKNFt!R_FgB{efb=L_BTNzMpJ~WkQ3Us#9i@p1D4Eb z0an{g=!hS|f)l@v8dZrPGFJp=BxqkO3fl<0M_?tZ_ELt0%Lvvpwt9Te;HVC(ApT1= zfxYfSZGZ__3wap+;V0&L%^J)Y320K zAhOtUjgRx{X_b`FM@%+rAbCDI0w?1aia!^iXOg*UXLXY&2%R+)3ZEmXXXrdfXTA z;dv|D;N7#n*Ms%FzDEm7*6fUibfP*jv z@)utmJOIv<908xy#(i3!5_{2)@c@KJLO$Gu=#}UN1cy*|GOof?fzjPfbpGa)?;6g! zJbECTh1vKLnG8zn4087k<=uiI3_MLcz1q)%n1*(jgC$o#B<^Uqx<3CN+QUn8)O%+z zYaLOaO6Hbh@m{WQBe92BVs;;z^v&^y6_npE-S^~?McsDZrv7}dhD7=ZTMD}hS}&jK z%lPCrw6`)5i}}{oG1|&SHPyMxY>tucBKQK%jnixJ0yU0nDf?$SY-U9oP2xC^K-c9G zFpC9*bcp}t-~^VKYuw9=UHLovQ@nfvx%qjX{s2EKVtLQs0{>s4cl_^x|1WE97FOne z2mBj0SyBkTDW2dL(zuhzkYb4j=z6%Ho){tPzbeDY=*fbgt!vKttbNV~-L=aTZ<@;S zO0h|?5sH;$IS0zej|j4;KNYc`){_fEWCb8DKyC=j!9sh9N05YRK6_qW{#50K{FcQq5z?z7Wf@e3GL5j7}4aVESyK9i+2Ft2T1mRMn#eid` z2(w(Kx{+~|)7a4~u(#-{byW_{i5GH4!HKY?QsPdo*(>NXW6mB97N8vt6}%$MS*0=c z`^@{|uSqTqMpuoeP4;G0212bO-P4Xd1jE^vX(!H(ITP8mowrBBh|WfW1%_%M$V=}> z+EHQFSj`^IAdy2=AbxF$Mr;fs!OaGVvbV*|qQq3`lIDEG4HY*HAeqHBlV*p;LyKXd z6E~fRr2Qm7mqEN?X>Azq0179cGee=44%2!4sB&!v4S7WWbwvT__W@mmlh`B#yApyF zo_x+490k!vgANz!{pFEJF*(F0s$#Q03IOPcoR~P=`XzyEM=6{jU8b2 zFiC>F&F(G=D=gDf(b>zpC8ag@@Z9Nb{I*d_7kiIc))>8jIz)eVwaS3VA+Cq5%u&W2 zY!b9Z^!DoW++f~?pGYN^VL3JQJ4}y3_in>*m}y#D&H#j8)5PL3B&eYWqA)p2-Sz$w z(MYcmskLra>gL$yr@J$>KV!;B42p$~hPjWe90 zzGtuPVGzK6c`}`UJX(3C*6FwUScom@f?jE?$#Ghqb9OvBq#Pd&enqc#qb5x-ri<<#c<*-!Ki+`~H644Pf@oJxR<)Y=-wVS|mq-}kq{n9Uz zpQqhBgy&lwel1UT+uN_x)wzN?y>h?bju&@@E?V^V27L8K`661!xl)d7emwPO_B#&y z6LtG`b_ss(sK+X*nS}WX9SPxXbl$;*6`C9Hv>T?NW|imII#Us}pT~!d_YEJe2aGq5 zO2seuVk^hBJWYeC(m-ca&b;oiq)$7CDWfCf~|4W7>zu}^qdA4v5-0G6dsm2vk zvk|fod?tU`LOXtv1r|=fPL7}V9M#WTmQE|?W(z(sP8h$`PMuk+290xLvfTmCjeEXtvs**^0p7KXX1_iQh@vqO|1eu`rl(37xRBFW?9%+{tc$3>DuZ^Aq;nq`MiUgOPJBfUjC1{ zZ!3-@*f6p*MW1OtHf(kI%Tx$tmeA!6^RHBB$N05PWz~yQlxBs)73=IJ&7WRrCjyCo ztSgc>h(}2rMzn@Aqj7O$L|A?@E*3R0aG`Yz7cPsY0WZx+gQZxc6n?0X1KG$`9`5I? zsVz$D>o25xX)el&P3kHZM!-eq?<106si&|n;)^h7sLRPs;suTs@zU3Wp=cPC>tpf( z(vnN9C@iOFNvu;@m4_4C1Nc%q$W^s5F=dDAV3P;T)5rSj>V)}HQ}27~7)g-n8Lq*I z?865G`kaYWJa0LFP1h0qVu=Q48#f%|iwR`V_?lj52+}5@fqF5m z0DGL)NQ{7{LsyfQD-JnNlBOHS2a3lpfJ5|wFs0+Q88Cg&>jUCQCB(%e=tkKYa7a_j z86FNLx`X1rvWP+aFg5u`+&i3zx;If~h7j6R`m;(Ugk9YRyBDMqHb9FgZNXwLteyx^ zhwlT`=@<#aRX~Z-WuV%CTgyN~5`~!4!wSkK)%Mh+kzNP#8t=&LkUapuStqh?qe~NF zf1N{tCV#L}A3-2e*cVp(G)9VvK;#R=6b$t;eZbal{KXOjPE=opDM(4pWPoo#WKh)~ z)6hiZ0FVSadwpqnVc5nGcm2}xbbWb!+j!pTTNJwC_0tVcJbB{oB2@zW*gtVZ+odW)Z)_1z7djiUX-~D1UK0KN|zDITx#4MeWqa+d~uH zb{)u5fVr-E4;YTn(GWK;yz^aX1Xzkw6i(?cNaDGPFMzy#lyeFlHfU#Of#blZw#6og z6ih%V;NGnw+pR)!!Fa)U!^U!h7Im>I3)59jN%HsWkBK^htT$CvAR(PboYsbk8~$3j z*h!igU&Sx(4ecu#Oa_7HbLrXVdzu8Ug%EN1UX0l0BU&rt!mwPNJ8m_3qB zAwT{{NXboc`wLR6m5?1#xBS)_eQd@FHch|ei>>TuSFSUMwT%WPEdHV;l|Sc>_VlCz7y){t@G!%+A=JQ$Kl~k_dADN}X`YOi!%> zF=JdXKf+$mC3Sq-4&un(i2iGS_i-wAXSk7Kc4r=(t=8=sgb;kO^!?U{E^K_Ev+`Od z#`Y`&o*Vez=QUe$&v&5-ASF&9S@{GsExZd~i&?t|EKAy>HsZJ$UjH*;&K< z9w2?0td*0vWZfzXd?87-mk?5z1%Mw&9c$5VyGtu~nG8eeVl zZMchCY)Zk=kM||CtQ0>1nKSr4Z*R&UhLnCzNJhd0iO@p7tnl|ZHxLlszwvX!b1rSfv6;|(WF=txm3Cy8*ejfZZyqme5M+GjhJGd_ju?s_AdExni8w^>Wr<*}ksmK@Y4#zW1Y9Mmtyv%-JsWj#DVzIQmIpn^oQOgl{c zem6wd)EUS&l^nkGTU1Ig5J+B^Z>q_4Yr|Q6Seq$F%hHWH96?lzbT5=W&rc(wd4E-3 z;riqk8J-cHPz9bA9Y_TpYz7Nuq^3s_Y8cycS{f;sD5dfp!WocUJ@_mdF7t2&*2T!L4pr7;d?C2n@+pqi0!}lz8x^z{6 zy!nzMZ@>FkJg0K&)$?t5)jfYlbdZ1+nigMdF9eQH4OWdelor16Oj$SYZXC$P@@Uyo z(#(e7;IDPuq<;Oq!n}IF(~AiALafl&%9W>qYH&$EZLp+^&K(htXF;?IK7|X&+;2fB z7825ihluTvwD*Kb%doIv6#z*~D0T+##)UfrkrJ0Lc(mle9sqHx)%=*`ut;D7!WUes z>}m^f3B#o;B|6bC+~}(ni%FU1+PE6UHbkDnwsm?{+PJq_t1zyv(rr8yOY*gHe(xS5 zwQ<3m54qNFZ$%~TpR8pOky!S7N5~9!@~IbiKvRK0^FNIV?mJk*6V&ftu4hc9qfO&} z)V3}D7r%j9p{wr>thF4fb%t-w@jHaFU5&97TABh9czC= z)mR*{W2syaWZK<0t4RCeDCFPL{&F@yZ1{b<^|jOE^4C3INrjHb1f6fCIpJeIn}LFd zzn9zN(Q9tlbZ%9(64%AEx!&xK{xiKYTvlN7QD<>b+-dVY(NqyM+r0bTyPa)9AHrAg z{@_&bq5vhJbE@MO|JBGUMsaccM`=pp^Z+yEL=hQi489+%$Q0QBm&`+5r%-tMqnqQ# z`5Ah30vjQ1KSFWliZ=#7^R($(4zB*Vh1NykBlnQ{i=krXfpz+1xZ-LM(r0;V z)oOOPK*;bn(<1l1&1!{b_0XSbJ{1e{wQ}NVXskM0w$V#V{*zb zh6qkGgY1hV2|gBear~XEOy0}gi`<&FqqHVpoNtS+C;7|I1o}z&GLF(L*-8qQ6Q<(X z+vc5I8Ygw>kW}Eeaw;1!C>f4So1)`Qw#9tfsffw!UJP}XVvBP<)svE)1q(oavEtZv zj@Nt-eHrpKu8gdzF{QDaBTqy)97PPM7Pl$72gL?g>}$+C`K=AZq{EQYEIg5Dn0-daoX(*(e(aoV4QxE@dMF z5z~HK{d(wEx!0Pk%`kC8T4dPNiE3WjscanA<&(Q(vAln6`ItEAAl5FsvQ`jU3D^j| z2keRO)o_fI`4YJ?+N|ITIyYtL=ik)|D&Dp^Y6vbw5ueAT0L#cvbl z@6{`E)uYJD{~>$<>2MT0jvR?Jg4mAlW7sqk-&FNQZ@<;*n7BnPUM@*?aI|(b)+5Am z)SHRp++ET=g+6M{OOqEoX5l;$_L{~Be|+jam{_@oCA2-4T|pMK9O{z#rPXiH=l6?; z?M@)@K)dmuth#NEdKCEayY&7KTOqEN%T{l2r2lw_@U~TLO$mG?ICkCn?aPwmSCqn` zq3b((54!xmc6oHUv0fThots1G|8(^m%f%z6o6FJQ^)-O27O$ga_e{rM2an5R+9BPc z$d|u^@A>9=dVHCwxjC#I`EKz;ol>Az28xCC8?;9)LXwt=?xVuh3kBmbO+Ed(Ml|wa$d9EPIZGRwLJU20*7t7 zfP!0BwBQmEzW`qX4de#O`TxPTCD|^xeJ{As!r9^61-~_XHo6&U}eJO-cL-U-ToFsN!*iiCL>K1m&JAK&RuPSiX`hOH`_h}6l)f*WM)#Jja zFMM-8bJ8wr#m!mHw4Euz{tuvt3cn`yOusud+_Ii(7ImlcMLnrih2|5Xi30$_PTTnTm_!wd80kzsc*R1Hi=cU zWfbY}ITD?@J{9v!K-x4Fz2|@BL<2{c%Ve%J@npLy(@rvZUX9eL#OKrq1E|+n^r%ycsvKkZY)0a2*74-0fx|^f;!uSW zc$0XE%y5w+4fM`L0Hui&7oADXdmU|~F@P=k)g{G5V*sU;I8?r#bVv;@AH$7Qh8i>t zLhtkHv0=0A&jx2iXh}PS)rI>L-nuCbO9>cq>@!o#a2M! zEHQzu>*Vi!yN*c zz;v$myAmDUI5{Zo_Va(P^X$qw<_g}h40_FY4{y=+c6}LVskT{0QIPmF!%}{_fDdSY ziXLCk70OqHN<6qd5#U^o+OJQ3+6*SdENE2{Pz&$+(iIj0JfDvP-0v+}h%+eewRE^@ zY+iQwEttBrS{cyz*PMV;b~6$SW(Y}8;LQ8VswHyF`t;nZ3G&*?s&$bl3M`?oWzJC) zAeB1HS59`wSe6XmzGVx&Xx$^UnfG{(3nM>Ho@{TdE8TAi`g=W`ounDs_1HdlcwXP! z!yIe!BuvoZ7l;Q6eOp@u5mj&Lzui1c&o@_daV_yN>RxzoB&?(Pe&n@1IYRM%?tp>{ zwYsLL?uIikp(l}>PVH_TX zPsO5V(lV&&F!G#auEyCfiiIs*|~%_Uu31LsOgn9!Y$XgLAk{CM#p0Y;B{SHFC0A~7EX~Zv<A3OYg-5R(K5!PCqLUzC{_-XrHq$lW3*?*R-cu$tDSBOhHW}MHaY=Z z8aTdXuf+m9OC@!KM$25IY56$TaKC5sA^~hS%eI%S6tjnc-p$S}9H%2Zl~ZXz%Z+2y zM(+2O`LT9$fo)j5YMfg|jvHN@yA?0T;nK#@WXD|#pX%?KR%wy?RJme{!s?<}7S^z?g!D&#U>?a5gkuqoH zoF|_c*w|~nwLe-lSyg1GZfP~R<<-kAN`1lXG?Gk-Zvc&dPg#O%*F3It*G%LPa9J}$siTvcd>?XJM_bP9FMLnnhdB7YImGYsQa8f;rF zF-ecIX3PnbyqKT>xPvm|-RYA_Icx{C|j z>NYQ~s~d}MY*PgN#HXtQ@tdaf!aZquniu2HA#1D+>u73Z)y^5E_=2X(q1ZI=K~Lp_ z)8*h=#wIy&HlYDfoK12%k&R77%G~G$Ilz!7yiKMMP!=-py_5O->#P(*jU)dM zIx(hT$tkDy$9c383VFGoAaU9;e#<#5Rc~l5VZ<45aa!k`B#C)x_89R$MFX0V#s@Zm z>}ICNNC9GiTLPQhp7PJ|*n>{$1~oZY2<3ngyvYjlI0iA)K00wlX6HcjDFA6Kb*K0d zg)EafA&itZ&)671V-!^?XSkPvQabA6oJrt7(;nq~owH%w;oao7Mj4Om8!IUZ@NCa< zY8(rXbnVs=(5*uKt_Q~o+S5Z?{-Q?ZXi(COhZEe~w6!Oz$8YL$PxC#T6A!1zlq}Pb zloSilZZFN8S8R&L`#i?Nlmah#mfV=xzy0BFL9aQt+?xT4uAX0_R>l`3ES%GE>@x_4 zb+=PJ?4`X;^%_#MyHdEZd234X@1{IWCfSx)U9E^t+6KLHYxjF;pR|^J1%`e=)7|y1 zx!0z;jok2>-riTK_SZ!9M7zBkenTa zemb!eEkMZbio?)YS`8M@jW2D;F)dDU<0MIdraL!H`NN^YoTPtjedVt0Ks>cgxRr`} zv!G$<-U5gvmgoYdgGhQ;wsSsw)T{ng>XFe7& zyD@sOLmxdie!*Nho{RMc3C-lBH$x}RLcS~4g%&jT!q}miC{sNz`xySK878>DXo#8; z6ypG@mws9M8a%?Q}`# zMutG9?Ba?d3kNXPy|0Ycca5jy75@kD5xakf(Ngjc(FPL0R}hg6Mdhdv|AV0N}DaWCB|8EJ90Or<7Xc85AGwwILF zI!DN-#b=>giD}yK&FiuL$6o1pbE#pjzqdyV{}B1|)!vo1uG-V!Ky{j<5I;X~)KvZz z=lSUB_eTq>Mok7?oc!C}@hyLT0YeUJ1A$^^w!d)!w0YwW`1`WL!$9otjAyct2bgFeRwE&RDwFdNQ%cu|-~L8s^2Kvj8z@ z4fEJ8L0s1)@B5v|cT9tFG6-S?m+52e9F0y}w42d*m-q3HHp2jz$d`$=bpZQX54}-TTO4KDYXbIoX?m|r$9GBo$kab<|t&7qaGkF ziNSeU75a%DeZh_4_wm)vP{a;Xw)9p#ZF5mTBLZ3d3je-&ToVb(@eQJ%CTo&t+}9!* zx}Pj;I?mZ6?$=sD))`;;#)P+S@-pV$smn(buIm+3{R{pn!8Brbwu{bdv&m29w#X)D zmz(^f8C0^R>`OVP?^5-sIhvS8Dux%my6RWms2`a~by0mdSq+sNJm;)Rq0RLdUPsSj2eRjVg}f@ooE*G=`=$hBeA;g)KL+bpE(kjmM#nk_~c6S*+ zplvAe8Sz$Hb5h6f8kX&v2nxuXE!d^Dgg`CG%`r3{%hov;2Td39-U3Kae*5CP|7W9} zxZg1Tx5)ONIOp(i{mbc^o&Dda4zo08ZS;5$UNGKzEbK;`?5MwTj(54Prjk462cye= zG|QZ`U)|_7lhdKBnyvr zmwm0nju)OoXz2M#FW|(HfiHIV3)C?vpDucC79eKKwWrL_pQb#jz_EbJC{mD_k@|l~ zdkd&Kf@NJ83liMjg1fsz@Zh#_cXx;2?iSqL-66O;8+UgN5Fo(YIrrZGp10On|5@ui zhAE1kre_*h)zwq=eYL+UAjOsR4jiSWr)e_c4-r*RH{6}A^iLAIRyR}{k&-aUQHm}v zBc&)+7Q@33AdfVwei2!XtQS*Zvjz&SYF4;~3{}g-XBS(=>TFa@o7P#x?!8r6QE$yv z@Kihvj+bqz)FazhBzb9sTn_yH3YYN&gw1f5SZqhea=qHZcfQ)vJ`E_~Y84lso=-eG zy0kUJsWlp1XBR%t1vllV{SgdqN|vvYRsIZ4J4M8i4FwlV z1yXPrYJ?LP>Pm|n6@}xg>8|Rlw9N-u)h{K+gA{nq^8|bJ(#|e-*X)wZUobHV?Q3O* zCdQy_wX{l5*F}#`mTJmMNsXsD*~SbvC6>N z_3rWK@pyA!FXDadCgJj(#~L?fB&B&0aDH?83a~TqqN=XA=9(&Y zn=kZce*S&0#vg~2E(LU^~L(G&@#C9XibsGuj8YTnsg0zdS*7G|mTz`2d zx^8@Fo-FOoj&^?a4Afdx|R@U?nStLA*&B;OxCe|4*@ z?F5?jW}0kx_%>*lEEUY{-rZj3uPILCKuhwRwTwP|d|9V6#%f7tunVo0Y#l*}-7>GF z_0uGjK1OVIA4qWJRrai#zpvtY&r{y_P@wtE{JV5OJVGJ{|XO7ELAFk@!>fjilS zlqxWsR*c(e;eLjA>#+s(3F;>pZXTE_7`O$PFZh01W`Ii~!N-ukJ`o%G9m;ygY;stx0;8QX~_~Rym(X6XNfI8xSqrqQmfcHYxw)Xs0;YJP7->AKe?8 z8Vpky3{N;WheosvHs7f79(ELsR5q9%wc%?7*u6F->nM&10xPs8G%n*n_yn_AP76D$ z(B}LH6b@LEmL&MUTpRuqWDyVd|E>)z?Ei+yDmrZ<78F(z_ZRnP_EPi#3k@Jy#f61- za}&X89H(SD_`q&Y&d?3P8LFB3-9kgy;GwDPzMv$_ASX#T{%+4?OV1*cdloo8+ia4m z*$L0MF&2W6-wcQfZ>uW+x+^ifgF_hHUM@xfkBl(x*=1Ct!=f}N2~O(PO6g|LTsXls zfV)t7=SbOLoVV5JgIhi$Pz^Jf;s#Z!=(8epkwV5w1Tx0rw+Wn|c+9kwz>W%-og5yh zjaisJlfj%vej$nKA0=%lcsE!2LA;)PI|u3(t&Rbvjlo{BysKoX7^{4$ctoANr0t%J zlAKm4wV3G@79x9Xs{}Q!T~MHS<~bxoJk^PC{X#MmAWXc+gTYhAqnQx&M@cQg__cDB zC9M_*4k5r??3|Zr`KJE9gd~hT4$o<{0LRJV0mLfBs*1?t1Bc;cbA9`L(?q=eXO%cA zwVo0~p>3qdGc2H5QT~<&&x2fpaGYjqkL4xONG~id1PB)*86t{gHGt`aQr$f&a+Rre z@B>JL$aCZ{f#)=7ikrb*(ryZdK$KHR3e(veI)}gaj<*(ZRMS05+TlhL)+?5Ya zIaQi3c9cJD>?LV4_ntM=%$O&E2Aak{hJR1@p~ z5F+QQ-IS`eAxii$!1QUOAxV2xoaFUqgSXx4SoOu1cwd86hSon^D@hr;Pz}iRjoyo( z9-S2Dn_fiD8Y4U0zLo{oTkapv>7mwmR~cbE$j8MGT}?S@OLy~HdRm>Jhg9<>YK|lR zqM;tseYA9GC5L3iTjg83>#fRHc3Rg0YI7(3n0qe*%>${b)V#KAhVSf_>?Ux$rTmTP z!J*O&8~nUv%ej)+4tVj!;P0>NVW1lHFq4^HhfDqt2w-%j5pe&~()~w3o_`8AF?0S~ zFL=UE2L$8+LDZ#uq%cr$U=y2iy^RH(|VJmCeg~hfokzo3X6a&FJ6(6`m-$=s6QHF&P_2tmk0vh8B zJQY3r3IeST1Gb~#p>iSi)$q5%8+NnA`7t>2QzIpv2{7j+eq)?Lt%gzq`hFxORAh6; zRD~ElvtT;CJ{CFEAmI<&so9z^3;8+B?^YJe#@~}%t|JP)P+U@L47mw_g^G1$@b!fm zK|$glrl7YsNJMUSvRjq6nn8SPV=)z(_XJD7t#q615ZSTd@zrMu@Hk5j3GpZmvO&Uz z=j*_rD+atXsVN*%%rRF?& zRCZKns3bek)l|VSY_+dliDX4cl%KTrbGD?gVv4JB6$gJ!xY~}#r4D61Jd_maBN`s< z#ay@gG}jUd+I)^+a%sh^wJ%od!O38mFF*C@#0WKy2O4x|iPkeUiDc05DCSDo$)}*( zJZs20dl=g$m!BPUc{+Q#KmP`$u@Senrz)hySy_oq{_%OfJgvP(j&pavdUneoc6B4( z20wc*-I}a?I?hEFs_BWs#HKT?!l+)eHG zI#%Ikin*WvhoEMPu}gDHlxe*sP0<_wN(-A?&jF@`ZOvQ7;B!PoN5A9(sC*^3^o~iv zvgWMf55oMrX%C533ru+eC|ET|yF#7kXuTDwPXRzExpH8d7B|}x0N@L99T!E%0LF$7 z0?jYV@RGxL@RiqpFaOwNi0uSQwm`of`t;1+T)zrZgn1*WAc-Lz7fb799Q>CUyPTI- z9`n+bue_ z`4E(jRUQa?!XuC3z~|4}WorRw>sGDq^pV!7r^c%eQ z*5!l}HiEapSw!s|I)HB=bjA?};cgsI`e4}z!Y?&+$CCMgfX_`s8JDgXSCFo8nAp}W z%Lzf4j{whlvt@W10GHdL#z#!G!Jk3(eeIi4Y{WpJ&5ST6yWfJjD9gn*OiYJDAp7*e zY!&t|?bCmRH{tqUN>LD}=)ZaY2$-@1d18K;-F@K&Un7JKNTlqcwaBKYM*BWR>ScAD zP}JteElw+-$Ej}(Ogo9+keC+|rl!i>19lvau9pTMX^)Z%~YbvyBHCj+) zIyDBh#C{4CEg!m`B*zd$YecrTu$mYH8a2hSx*|{sZ;$+#mYRi;XmLPzCppT|Fj2;Z z(I)vqXNCHz_Gc*hy|958fu=#>ODLR;>XW!ZA?GJG!$QwlOir!lsSrF%_O8$PXQ-qe z${hUIju^-#yx5uYLwsdIq8Fie4k7U?wODp3PYYKJuGB=qSh`s1Yd_$ zN61YJ>xIl1FB>_$-9Gley3%>vP5)ffeXWZ?&Y3sy_WrWndYl$@5Q(Q7dP?xnvuqhw z=;pZN_-Sol7rYg%- zjwztlc`bHAx#)Cvv;&LWmauYAJ-mZ zL|sC&g>`&@)k?P{n%5|WL6>u8bezxRJoo}jGhd*AJ(9k2GNa16tgA5AOTbZ5&biE+ z?U-9sj?mQzCg+db=6QZoHxWScx36fmFXuG0+^AiTRM+n>E;q%9KKXi%;#5AQ+3}lh z+K&WIgr2kKaz{?oVFC1Hi+Uh7d;0*lA3P7sN-KLbuiOhB*{o>^s_V&G@0xO<(~JCO zxnWK#y&dMovAj_)F6GXe<=*ONWsPMD0lZw=R-1~ZiwcXS!YMqen@VBXbEQ8sCg{p+ zbAwo`9=B#}?T}gov_Yz%k`^AZ(DY{oOD00kLU@#RC;#Z}{LsTnbT^X+Imy2ILwmRv8H!I>hSj{V;R@Syf&S2>fzsuV$rkzXN zwri!u9zE;4`!AN7DZfc3eR;P6@DA`+%H{|xw9L~MP&o6aTC0gS)GqO248(gZ;q~L1ePQ0TSfHiQRwEjy&_@9AP z{+CM#RN(sG7($JSSbRx@KPm5!4?%Jb;D5=}7j}Vm87Ps$Tv47hF>&Nn!ehMk>Dgps|3tyNxVi?Vu*cUw< znoMST+yO@4vQ=o3L<~Ugm&^m-2_zQo=R8&O-9R*UvG#r^ED6mr?ns;i`e+;hzDOM3 zB{&vdK4K$>=3rbFzy+5G!dNQnRZ)1_Cl1DfVXE+aJ)B_rGx|WB0a?gE)(%sOaF)>mcct3ydR-*)?iQW4$Z4_bchN17;KoVL}NP?q&oPlbb_gc5Zf6-E9%8+KH z9%L$7X(2ozq2{bGMGhBbSf3lBdd?4Ctv(8YHK_FlB{Q$ZCe+q+G(;0F0#q6#F{cTi ziz*DC%l?#T`Rp#Y8>W2-ZIOqM3lw9n`*H1Q9pjSy6H#UZK9 z_aQM&@r8dOEIITfj7l62giV0lvi&=RdZhL)zz1LG>+-}zE*!e)((%Nxzut$Rm(zCI z^8D_l*tMNof$p22@9R_Ta%<&rOv(mKr%@EhpNati>ukNNx&X2uVBNXIr=AyRNNz5i z=xs{>*x&aDY2hD7+MGUdI%PV}`5jI)WTWChUuye<0^@wKvF?+Qpj&t%$qG6Q5jpPCf`L zOGk2!@9m%}bNZh?;YkLt+Z^eHbIrq;5}VeCPG!M6Uc9}z}Hxb#!aus&s7NGUpX7xXz>ut+3#H`TT+GxYbp5nMTH^q8d1!_5+Jj034vXP^Z+qPNi9f#_i4Zj3nQou%gNa)q(cNM zWHYOdndfWBrp_2I@1pFrNno;IwmC)sDE!=SHq<eE*s+-rMRh1QQH7vk~}jj`X#_r zK%+1ApBS~MoEGy`e8Ay1rnsm|J}mE=b96@#s0B*LRv^#7s^M0ufmd=`G&j`+w$O4% z(z;5PlK?Ok@xiJoRL^KND*!_;3(D0?i_7DtK?BxDD|QlwfF^_XH?p0dCm7oJ{pH7$q8xZ>qs3U6dbqM;v*s*;>X}|n zO`}P6>>32G+Pf_V#JOv5d1B6Nr+eD#k9{pcJwc013j#{N4xjhuEBW1AMq(oTFf4v+ zk;z_55X^3783Y#2xGp{8IKbtdDe}i6oSc3C^|Y_g1UNMSmZ2@7R<(hGiRYsL3V>&v zE4}&{Xlpo*MF$*OV^c?3Y9HyS_>@(jcs{Gwd{Uy7TyIoI3G9fgc4N=^(|dbmj9TI_ zV>oU&x_dvnrCkE5s+JU(rq7g1?Ei5$vYDOfNW^+fdha>PC2k-X`SS-RxM*05%tQl# z)Y$fKsQUPCKp8Q+erRpoXi6P*h_++tjzgUvK%*^=QF*c^Tw7mbrPLBht**3Psjd$! zu)$QSD(KMw1v{(Id7)e947dX4c>2~GaPLQ^i2i)3=x{_<+ikr@ z)8X6gt1X#%al#x1&N8)uLUV;N!x>u%ElL+_3G&yCjKk`9ZTYefFfQw%R|%^+%drWz zX3@4>k2QqOx_lBX=`1aq8=Y>GnTFGxY{M;?_*6GL*AXXSG?m2U>vK5xR(J9#o?`Ap ztYlc;T~&aFsn|J3=ZnEW{Ep>?mezU4wN-uaUw(XUtJYOq9Fy;#4G@<=oMj3H#-6iL zY8JI+Y~1ZVhDi);FH6z)2FzhID(yVIZmL5>xyQ#aY@lfId~C(aKBpmJU!-@HZ@t+) z6k1i+2nevvi8~wQdj4!$dlAHO?zZzcQJ_)_q}{|gx!h~E?#uD*Paui%gf!YoZn3_$ ztfSr=Xnh{=q~$setE6Qjy7>zw?);kb@UOfy?*CUj3M<>cNl{D&tXmQApuA!F21rt< zokH}*Ph_UWM7)^=;)=n-uW|=NxqbL4q-ZTntraQ>0cz5Dc}t6E)hek5PqN)fC7dQ- zgxV5+`MY3D^~E|Vis_Iz8^#w%xXoo&wyl_HU`KVV@U@&GY*jHL$#<2ZX4l;rgdS9d zwjJ22JHcTvH^{D*V5bR`E9u5oP;4C%07*2uSm8yNb_%{~L@TZU^BTYE$U)1;c5&&4 z4$%g3#MeSs#*R`ahNS>AN0pcpF$^f~*Gi~m`)e$q>Y&usVxSOXk3yiiuq>pQnb-#b zb<8q)-MDyTfVzR?3eWt67>e_0eA!S5mQ;k8#7X#=H9(%yP}lDh2C`3?l32c^a+$ti zq#i?<2z_Yk%)td6oKRCyhx)38D~hQBnrzB>eY_0nKFN8gCzO=yy`N)DSk6-q47d?^ zXrdVF;w2RGmA(}km0>x5D$+7lMNy?Ny>1>1)Pj=L7z2}>S2m)jOgu_df=y5j-xZeg zZbqYTxmGM=aHvhei}_SMu9+r2i?Tps5q`yp&W>gY1&hriZzV#8c`r(qG!-JTBx4#V zL8=x6*;#G{6;r`;{QZ81Lp!>NL}Ew;O^F&_8Qv}CGk)lE1?u3gcg$yLLz_G;+)|mw z@%*1?_v!$tlT5C#r?A!shae|}TZhWkD(riSrwAeVZ&F#{bPGN7DuiGOI^&oqW? zX&wNx+F>c#JExRi2X-aI{uJbj;}nt)H$$n877B}EDn}&)U&5mCu=Mzp%*R<&L8yHSs5%2 z`dAyiW$7DCW!l7K{IHj!pE0Ggf8;%q|`O_08Ab`Ak3x9R^a(*WbUM zZ$OpQLHqyh-kREKS*%`{G11MFxKOmtzV3jv2S>|*ky3Fi_Q?qwyY(Ie@2aO<`JZm) z7Ve3p@mQ|iQZe7X-mHbMM}B_s6|e-e(E#QQ^fM8|QslZmiF(xW-4v*6G_zX3w-Itp zu6;dBDHvqoa>xS94J;REZ>DN}JW#)q*v?ra&f;)4PO4-*5s~UOtjN`bm_YC#mG=(6 zN7`!$Ynw)o+7_XALG@YK(w0xb*2gI6`IY5d+nmSrb;6TJ_w8ksCk;5u%-$^N2+;*i zCh%i1W{bq}a){Rj_L-YW3* zX~M58g{B;TK5P$!KxsMYs->F$(t`d+_!lnD|4BGx<@t9>-dP!&v4pLN-$D2n5;|pn zP(;EZDXK_*o#a-xj(Ni@3C|&$WE1RRJ&jn>X|K`SXZm8uio^NqZEo`DT;f71Cxd2- z^6%PA#P?%43DZ4$=dN3-C<@yNt8Xu<-eyMH3DYJv#pMbzYgSg@Oe)oWoTxMSjccRl z5$IRuQM+10VienU5AOB2M&;TxBGj;QvcXncCMAm;tw&f^s{Yj>uYQh(SPwG z=|c~%`7A-S*w250sN^XODBy)FzllX8H^>ALF-;1SS6TO{$;PjK{sOKo#!Z@igpUyA z%9yigY^IHc7{1#;ceA#T`ets{aESNn`k-0XHzUhJT?X~_NfQxv zSp<~Pp&=@#cnIOF_Zz6wUHyH^bqB{d)fYNw2#$#?U@8QlGP<~?UK}{}qRuhGw{C^B z$x{%yl8wFW!qA0ZbB48uyzB~(8{GvYV#OJH$X%V8s)(K4vCx(^q%3@`61!*SLXKHo z$*6FKVq+-%5iwIYk>O%pcgV(7RuPh}t?EqrGAUOvz@)zNZ9jV-XY2=0tP-S~jqa>f z$^jd8)r`qG&rRe@)d+p3X}@Vo!$U=V%?m2L58 zb1`ios@nIsvb-o*zFr-z=Wfy(J-$sn_4fEZU99$~&-ZZa*Z*E$R<_ssgEH6Wzfm}l zwa8&FI5LkDnJs{!zH*-3Ii&JGI~585(^zS3NdM~4E)v+kNyZ|bcff@^c(MgRJ}3O zcwVgOOkk3qzFK<(j)pM1b^HcSEPwcTKb;+BzP7p(e~&MIz#w^p9NoJGYTS)HUSS?N z>PTCxw0VMYA#W}X1iZ~;r*f~HT@jPB-QSoJ2~U!-j19c4f803hUwqAw)O>RJxOXK| zA@q2n!9RQ?Q1gF29C@nM+j_fxH{J_RC!(P8I3}G5>l?Tl8Q4F+8I@_nBa#!CBoIDe zIS2`AYJ^ybv%n*2|3ZY$3)wlcvpeS=PBcU-E&rf8llM^E?`1lQ5CZ<3EFk=>V$K}8 z8{~8}t7xA=$G)92;GX)GK_ltJaXW1IdyN_W1648yWCd{$2@O*LPXk4)bu~ThSgY+5 zaZdaLql`Z-^N?lbCF2-6Rpw@{=jVjQhhQn0i`gSO>`-C!jN( zsRVJKC69slC>Gslp}GE3Eiq@P2E1OH6igd*+c2sQjYG`OW&qyh1vHi8>sEj$bz&6JyYm-DcxEFsWq=iLQ1Ch(Vq#r;U-4szJ_H8-~vnD#tuV6hIOZlZ4SxS zwC8XZS>g^@aOO(7LZQsq@VFbA$eq;(KGo|o9=Y_&Y~=0dFJNrAW;eVv_eXn>qV&v4 z1_i;eAaA7%0wawm;#FS9we1iVn_$-tz0^gBO`*(6a!Q$&8;`rca~^HgZ$b0(NGH^F zBD4bHLrL+=O-++(oIBhWg-2Sjd$B1T;R%vGVHdO>eAfge{SBim^9`N{qST+S2&3Q! z@%wm$Ud<9P-w=L;BV?r7eF-U{aGuGxD~S-n`BnBA!~SlaO3c&(A)9oNUvAvKcx3N; z(BeYX!VFK(@PpAe0UCD`3J5yXw%Bq)E)M7`0pltSlvrQt$NZJB78th`reJ#80CT(q zxAyLgyS87eU&7$M31!U)6JbwXN4-LNsHqlN)XIWgIGPN=vzNW|Sonu-76S)Q(eR#H zl$OzFS0ZD*qTPkpfRkvsuEL0JY4x31gK2**qiTCM_>G!Y40^}AeLl{!KW=m{>al*w z!e7h>-kyH)Gwp2>W@MO^g}*EQbT}XQauNvH0Ux4hj4}={YAQla>rv;oQ@%gXsp?W8 z$5nVhqKY3Z8uU+>8M$Kz*h&|v!&ZJdS0IY!in8-=@-y$`B1_607E7&LU)8kFos4_l|xzsc76*rHux@)o@q+JctE~hE0YGP452dJ|vKB;ekjB zO^$4i{a`U(2HjEvjm0u7#I>YA-UKp2@UnKOGVJ%d%8Z%LM!h@qB( zqQxaM=>hKNQbCdkLAiWKR0|!bHCWnpFXWs6@=-Y36~kzgczNj4ES-*A3!hPh!xO#i zBv~pfHzUJ;h~tax!#X`)_h0e^7lVEB1Vt81i?zN6{%|~U`^{uKy4M z^rba73A;gUzzFT^|4#^jGAq#!d6jC=4=uj`{`vm3O+NViuP7|J3?g)!5tQF#%XOZa zMlF#{=vGKO|Dka_I>&-DU_dXRXz>n(`H7bPR6JfozxVa_YI7uBh?_igyQEVyxz}4u zf#9m6s?_mSl^mzSQMwt1q6{+AWM{(B0}fRj_f@8RJhl!z6k!cPfB5!i8+VfUqejjz z-|w6{{SI&oZr&KUNG1fx^jhS$p^;uDRuJZ2x2cir+<1yKX5R^%Swk1$>g2* zcn`**-az=w1v!L-!MFF$pNantMSg}?W}R1an@6A}r27l3NC&wdX1Q<7il1K-NEgJm zsgW;m4T;3X_k}f-VB0B;aioDImRU%ebP7q{!I(dr810^f1^I5tlviD zx0>KnIC67$xiPf<4aPV*uiISqTbsVFPe;f8+xGj7UiWdC_IkY^hfm&kDIXo)?+b66 z_S*0Cn?C*@FRibHE=hY#E9cMaTtdB_&*#@4-TwCrujVsu%7!WShy}>WzeR(H;w6yF zt~3VK&S%*RNE%0)B_m0D{+by{Y>UlIBY!Obk?wDHnEOkV58*$J^+j`8QaJoEaKuD7 zKD|4>NQGcW&-rl%r*pmG*E9w0O6JzGhawEe32(%$RJ=rZgvfnsr*0P6gq$(4k|4P$ zB$htK5mOU(5>}BTgY@Yt?EAb|^!YEqvMaal1-%ij)X;Fq+%5Il?! zIf?yT>MnBZJx>MJ1uk;QH4zivN+*Bsgw;gMb0~FC$}E39&7jsrFaCR#y@OI&+Pd+h z{FjL8-agYfyXbHXQMki{b`bOG^n4KZlCdW(TZgYT%?m3Bjzb?!TEhe70vAdmR1(o-G=^F zBbqY#(~cF>aWZ}%*al!UTu{9Ka$oQtfj_zbIsWx;5|xTHbU{oXD1Yc)KCP-(Y7;vU z=v)wh<2wy{!uJu3?czjdhp`QQ2o~F2hC&@l6#BO1yVX`ymS|_pHBf%W0H`1&$iNU7 zNfYiQUgE<=AX-QPg^|8M%P499^W^PF@inPU$Yc?QM*H*eG?mLFu;8Y~@G_FvjJP|4 zPa=vSczQ!dSs-Y@F~wkW5=qGwrW8LbEyja!TuO;uV3T-QSQGYkE_ z!@0-=MB(Rm=DM5wL^OnXQ?|H(?V);kN;mF(^f``lvwDws>I{g1=J;?IQ9+8ptA%Ve zd{Ip_oWzY^K}w;)PNKs8Sz6hB04x!JJlj#Uv5CiDCAYSdP)!t?*l;M;q*_4gfpM8+ zcT+Zp!cPnRGks@n1%+RWV-Q@9EjjQjE4lFe`q$6F@xp+58#+8$nKrJf5n&S*_B_EZ z0yw(N4v2|w#URT3>+k13DSS z<{nLJl@9%>4PH2160AkSoF3C6^;x|AOZyvi#uzI@>h0i$o7)>%df7xo`=?X2lV5vW zY5qh%NM5|U`=D(P?yEdz^9usE<&mYVJ_H1OU@ashujQ|MLGNx`pL+S<-h8}Y_35CD zo8oPgoDK#s_dM=ApnhScZ7}VNu=iXGeoF#g`}x1W{4V$JYX8`M&k4V>Q?U2K6#@6& zd+Y7*>?IPqwm9!dEwHu}&#aj}!(4OH@qSuss(*QN>b7vzTA#<%(p{Euv(=on(p?@- z(45v{xLj_|oq}t(b!cZ+&{4%;`}-~s zv=@BX)*JL>V6t|`@98K=(Y^GfY)7b>bhzhly#v1rK=AxUG38*I*10N1D0th3)oUTA z&G@Qrh8!}Ry1&*~i?H30cX*(sJ3G8fx4w4o9XfH--bGz(GZhy_w6o+{L!9%}meYF1 z?|!kG)}}3RFw7?C_kCwiqMZTfISQq>g38XqrX{T{Q_ycz6U5W0;nZVMij3pPf6-WD zabEM-6~4D}z%%7=2-Z5~{1(hRHg&(@wX=2h-fjE1?(Zvg1V>DBuakYOjQ>^=(J=_q z)-kbp0iOaX2y-{$@?CrBJ`VCA8M&0s=d8nxphkaj@A`6NMnOo>_s`8S8~gU@Y3aiH zh`oM~;P%$b*)5HACctuEt>8hNk*{^qVdQg(=hX_X7G>N1Y46yZBq#!A{CG?FFYVZW z2B>8JpZ6OqtQ`L)j-L$BjryB#2`XBFJCVu&*WXWh+Ec_%y4s48DhF2_&slsS`f^P- zrojfE>0Ns*MrnRQb_z%oGLx<4IaKuu3AXr18?^aYhKj^AfH;HJq6G%{)vYU548?E* zuhbJ!CO1%q?p-b7a0}MBw}(2-WsgQXZ*_K)5f}Ht~u03`wv-p97%JeBJK~m#=lrfSS+G7 z&4zyr?|@W~XiEfKV?43pA4Q42(Z9%EaRRjzue7T-e7Rpmc9cEE31=F@F zCS}qH>1%J~u|hKa7M8N|)R1{)!Byjr3amjzm4lZeI<$#40GXN!)0*^9BWa>lv(1k@ zEe8B#k%Z#lhG7d}!mvdu>^NQ_Hgge66@Mf^~f|Pg<6H>hhOTgB21-EOK?T& zuLGxV#8%fQ`=|RtPyYJ4h<3O-S-XsWo?A?Pj8895VI!~ht@{4_U0u(IN0i6sTp9x{ zGuB^nj-7IjQ-|zxcb0syE6iUK(YiEeDvlQW7cj56)BDrS z)8*HX9w9-U@;!z3CPn{!)B5jddC)(>7=)39!MVT7zS&eZwoamWdw-bDm9gNOIJ6&t z-3&_gpA3LDm7jt?*=Wbp_e*hqx6xJvC<10<#dTFtkHGjUtWfBnsMoP5!i%BGGO+}( zHYg4byKMWE^kZLQ8MRyUPVgdY@~d5=&9_c=PEa!aoYSW>7gRM$ebavB(=LhBBSi|v z>^)N3`D^J!c0a8@`G0hLVBxF%`7!D0aCTGzjboBU$`rc}ZkLOe0F#cyeFRry))vFs z*N#+pXU%iZ_DcsSP@8rZJFIp8dEk7w`rfT;Z)U20##`FKIm6QRMY__l303x@Wd#jQ zTXc^kg^KUd$4^KtXF-aa>_m1r4%Hes_2iaC|^#`JVXuqZsB3j_VTcVS)Yx63jE4^uzp_#AbC&_$C}^ zYsj2*O~gj2&^6i*hIIf2krNwydz2zz1)T4b6%?W_S~Va8eACKI^KP;MM-|eXB^-2) z(n(eh8GIIu>htrd#uA&=tlG%%NTCKG+!j`(Sf2ZFeu~obMp2tWP%WOk z4B+3JiS~Fu{ql4(W9Sv|tsm4h?Rb7TT_1V6PRo3{ayxxLJe`0a{W>T5%w}BR_jcUd ztN-3XDugf)6N~P&|7Sto5z_zd>F`y0JV{kvc_qF-*dbO`VZMX38hyT2T4;uoe=&b~ z@4@ZTFLosF&0Y|C>i1je&*D30eoD%o0oEJ9iNbO&!7<=lQ{XMD39c`0N3MT&!6o_h9|QzT3mS@g zQVZ2Vjx8MBQ#|`f3+lVbLYTc^v8_n2qsr#<8|t4RTX0$gaZh%8UGk&>O<53G@%v_$2p- z=4Pd}WIG}Ds9&S|7`saL$$4Wz6tlM^Ti@>X?DH;HYx5IJP4C$B`WBHVpQ-8w!ykOw zRyeuC_3Nb8q_Ont`U$RYUNWjQMi8B7S82;)k-GioxzKaG^oKrlOqBK?!u0>q3z@R2_+qicjy5whW3?1lWK z+!}uAZT}8SBGbPkkoiKRTbgPdPTGu+AMmaKjz&8QPD@8k8X(XgpR~FZAAzAr{nB=b z#f-P}T`fiyfuqtpK(U=xDqyr{EFV~u2SrIik3)hb#sOxa{uK=t*9x4qOwUlH$OH!x z10GsLQO!1amW5Lsjjuq)0M+D<8V|^>$Zo}kJeOxeR3HL6=Y$0+EKZ0;3i>#OBJkU+ zR9~nhVq}KM&WbAO34Xhaf?-*v7&e?#!cwSm=q}s1@xB>Zkwf8dKp;Y9uATm(E3bJc zjSBf11OP@0ro%iJeL`$4k-cQ$a@?=-uHc)*)3T;Hu&@R;kf@qzCK6~qXI!wa40Enp zWHdQOe6z=ejOmg&Q~6ls3wP11)}qWo}=!l zq_@;YNfw9wY$jF^U?IgG?>doau~>C0ErK-xDPJIx!Ni*`YT;8b_mlst*u>6iBQOg1 zjCXf-nSzy=;*LNZq#h4!Lqrj*o(>6dY2*E%J+(VAa`k=Q9eNmwn^{iVZsE#YP$A$)%H^7 z)%yN)HR9%4uj{}4?)N%!=Ir04d4YXEv;O+^@~5+4FMl! z&v-9Qi}GB8qk|vYen^np%&@o@<;l$+6Q$7IhnS!qAh#yp$QZSvS>YokI2DKYidK-z zDJXR}CTS5N2l-27D*Xf}l`{6iysTad`_353J4xz|{XyiRaR^4zL^!FYi123(4$t$P zosCofNpXQ@E|ah90d0P3_|bAe562;m_JX&<^pdxX??L0afVqB)&=S`gZBDn}!wbmM zWKx()LF%YL0PqEiw_qvXxd9v^Z2bZm1p87`*F{~|8-8*=;@qKW>)FBAt)#Zymr$_$ zG}7Md!M-_A{W2U>!~i-Tu^uhCqHMU#i$Uolg%_AzFgfK5#%8WLpFhj%17UWCzcy&F_ZfBbU!wd0Z6asJDu5p`gBEuF}i= zCu0+Nf0*r}dsUs=rX!PJdm@&VA#Up@kDOD_gZm<(rdP7nOCaBa$2^75m}w$3rRC#T zVeRo|MQdzCvxQv)`PjpQAGLRB#t!-MBz&TzKhX(d?Bmz~+gQ-ag$K819TDflL3@M# z7cXmKLP812Fh{4K+&p;*-=7L{#@gqX+X-VkZXi_jBinvp7_wMu*`$Lqp2bQs^!G<1 zHyL&e_o)6caRsOJqOm0Qr*X2&@xpCzO69%@G6o*VUmB%-aBRmix8D@niVo)62FbT5 z?0fgV)xBO(XvKGNTfgLj>i5J@(k=hXCF4KBdvg8nlEJ~o{{O#ZBm*w32>(&PCs0S4 zEdv=uFUkO_-;?w^7jBp)A7j2G8EUPywrF)fld`=rlh`q~dp^O;rD&B9Hyw=vH+{k4 zy_bA?Ktz6HNJRR}Pyl7Qp^8dLoh1z&-w91z9d3E}YK&;Ph3n69mnYi9A+P##V0}wiJ>Xq%wo#bOAzeMto5GU8J>?Voh75V618=;WNGEiEWiqp?{$v-;zA@& zhkuiZ?uq^TuP1}PXq+D~L zMr32rrgI@_m+)4HBhb)#IjP4bmht)UrmVa!SdtAxjd)WL8F?8s9X|nX?7=)-SNlu~ z!86fBmO4GN&}ekrV$=wOjnjlBQmrq3h+4phAymc#A+5~2Zm4XJsH9)H5~Nuiu-Nd) zvJv7n;7no5QRdE=7wdZpG^TEXz8&U2QcyWSg@*N@%3^R|% z!?}ZH@^)n5e1VDh{e6G;4X6g?!70DIm1u}Iun#;vYK2-~_80gACtJdN3G3|3 z3AN?!K&lhJ&lX#EP7o!LHW}v{h%cU7Xz5|U%WraGgp)BXZuu`gWyqk5mKxxhy0qium3%!ZydAH&J-eZ=66-+d!Z zK_FY?BkKOS_vY5+)9(9za`Ap~MX=TNrq^bfVL4N~wz9hFFjs5maff`D-z3rIlk_O> zwHb<a%&Hs4~q+?L{D6sI?ekfghXnpgZeV8~o06OaX@_W7h zaQQ|dG!UD!#<3;K&dAQ#=G~cU4KgI&cQa$}#fnRSF#cbGH~xPH`1t=0;VEeCf$3K7 zA8i+B4?0ax(KT${AYieeaDHI(Q=y72Yico-5Z}RQ{FAz+^vP;w)7k8-IR4sWsR{uf z0beT!;VTGaDTvL4W{=`u;kKx>t+=g->@w*Nji+y|kN7lJiG}-DI1%c)nqV>q2x4Q+ z4#$cGv)(2S27JT)f(Qj&Elpnf%er;4?qt;uOaezj8AdDwxH*|kYWBC@B?o~*_WPm$@~FNOj@4_k0Tl^lDvBS@^VJxRnKHnI zA_i;;Y^g2*njByhU;o$jfW|uON~dB0FZK)C;ln)9JzW%{Un!?BrWuSdjLg}LASH9& za3C>SxXiJy(C3`oC)#Vy^k?7)#XW!CC+xdC_q#miEkqPDtI#xzAPGcNY!$pL7Ctam z%#34h1ThH`m--T>+X6Sq60;RNgic_OU`8CFcO8vAb$YC(x~-7<1(3l7zlc;Yu~)NR zZ}Q!b#dQ8gYPo+t-l{rM9b*o!A~=eOA?Om=tuxa!nA!ROi(`^S^r9LtnISpajgWg~ zoe|D_A8&ciPhgjQ>@vODt+P~~GZUYhAAxkuI)BSyl|Ah|X*WoJGK?wG)cz(3D;Ii| z;YPM-c4T+2*qI#E@L<&O{G2cS;e*k6e5)}bif=_rXu0#Nh<&}KM0X=iImI%V)b)`a)lJwoeL2fhPRJ69tjso%bR#NV1fisvHM7I* zJa~MYHJAuoCW7cGE)fAMFR^~WDR|&88d{Ovm%hg9(;DlBj8RF+t0yuuF;qY)d{qoo zDrp_Nrd(^g)cOZl^eGLBJ!@Sg45uasqIGsUc8Fhr7J9v30g^C^z5N4>ea#ODPGSNu zL=>$tkRif7%x%n#CU_9qO=1DLQxoAl$qrj^+*9{p__8a<+*Uwa(5waJV27gI$zo4H-{kQHLajo&x7)flKPV0P#jm57dfiCezkgLl2w~vIpL5~zcCn6NzrjzX^O&IRcbWm` zylN3hNk0e$U3}PK8e|9yPj#>Y62|hqsnr(gkfAfze01pEsTLqM(!(xTeg*{nqE4*VC-1@TSnSRSd^HukRPI-IHx6i@v};Kxx?@1k!a zyK?OGbba0**DmIse64wsN+>8SkSt<9ZSB5&H^Dxf^XAnlnM)b`_@)nH^Y-xfe1rc? zZ~N~SQ2%5+pf(bX!vR!seE1I&TY*P{LQTpFSTA>C0c89LF&G5tU*6}5U7C4qU4=tt z66dRRzgfAXdY(1T&uZC0&U^|hK7MvIRM|$(7Pk09=C%n!Qr19Kf+o*0b!&>bT$h?e z?ugL{4>>!mzfN;u&2Og#Yx9jA>g75RzDphs`xF&*47o( ztVv0|Qx~cZ6EiJ#KmZXbLXeJ!7AkK@KcZ*B2(ysMm zRn9Wz`$GBm;LFlMv73F5@IN1Sg~&a0sbyYC{QSGIs~KsAgd4h4my(N;0_Om#!9xbv&RoE4&J5vM0yYwlreE1-kyBBI=xzm zau)1KGh=a%wt{TckzT@`XfwOfL=@U%Gvw~Y#_LC?xwu}{ z0oLV=iuQ6hgj|dCmqXJ$>qpNv31RkHXjoj5q;4;riS@1xB>QZ>=yXPWKD7#a4?;|r zt=7e>5|5U5RdqL zWK@loyD`sjk3yy>dr(wtj#w)x>Fy_Pp6FcNSGl#$aHk>2S5@Pm;YFbhPjmMg?KAJU zo@UA1M=QnM|7$Pjg1-)zN}ECR5Wm3mSmN$d5h3>B)j-_|+cdbW10H#ba+{8kZZf{J zaQlpBes!}lwK($VIsYrk=V6tfgd$KbGGwa{eR2eogiHi~M!-)NYt;hb#&acmjjh_T zen{4hAm`mWS$j|CRd#Ik_S>G@!uzeE&~sq-i;3$_W>k+vXf_tOUy>6$RGz8yK?yae zyXR=zy*J=Jx@&4PzJs6wqL;~;cfFOvhFD2GA)y#&i({+(;bERm?Kubklc5`=2;ArD z??z~V|45Yk?;cQ`9RK%s0-66kLSvOw%m*>@4|2jG)L8C>)+Q zl=8wR_rP@JF?^s^kxmQg>hOkD5GfH>Au0?V3L;Swf|6^ zLI$9kg}+&1h12%F@YQ)p{LaON{rWsTQ3OXojZcv)k+G-xOu5_ijZf-Yd8YtM@L7@# zv;5jXP%#JzKAPG~{aK)VK7h<#p4aKF6-u6x!cH(z(h#ngRv4}vq3Z;5k(dO^JSs9X)ZEA6`Pk=Xkd^fisK=$4S}J+zJJ>szvqM7qS^tz$Vm3S0 zDBq&T>)v!x{O}f&vz6Vo$x488FJ+q-uz|elTjDtP{ycT}1YYkf!^>zBV1dVi#6)oO zvUhv(GPcx3PvGwa`9q~H)6IiL z{`i-U=pk8~K{B4Qsf_|xaaDt06S&hN)GE{22IptwLCjd^A0n^IW0wGWybjrE0t@&B zFFhA|xtNwXW{0V~{JoZzNB-1~%g5HUQTBHHv{D3FIn|{miaZv?vWjIXif>$uE&(k; zqtcd6bz_1}hfKxyWU9}y@B?z9rDrT!Yt!eepG750TRc`IceNp8hw>;|pX zml)&j;`2s&Nh7v#ozl3a<5>MUG+Ob*(ON#{mr zGYAbbTiddTlGh3Izf5y{<9== z)sd{}arKL^Uym~Qra5I106{BD3qcJ+2SMM>3qi%0*yx;#tc@ZpFtq;_w^<5C0T~?H zQxG1vUx?R!JwQcxyEj$7um*zJGA^C-J1JEux+I*XsMT*d1y=78U6sD@8qB~ukpa%w zFzE`q#sM-Z7rI{y^BgIRUw*e1?vOXng7nElrLeB=N=SM1WG8`KpqQLtqtrP?s;>Z$ zl^hZG`<)Oi{V65|zy8?8H3lXL2!K^Z!_y{dO3A3xk*I_%+c=yN{2-uF(Z*z|O9 zbgJ|mx8Ln$+TptjE$TA{>ijC&qN zhj4eiHB&!#=x*7ayO=kJEc_gASNWY~2e&D2vPs?&l@_P!dVlYseMoXdnN&l*x2dU= zsp>AD_lw(`x2>D@?m6Z7Ya)b;t({-v62+!VwazI+-X30$E3+-^LHvo4`qB&wq8@Jw z7DyBp>s)R!+Kr%J#(jzrLfytyaT@$3&B(Lw*>8;B`bj2c&Xy^j>KG_G`M%@zc#qJy za`xKWLtsQlF7#EvwpyH$Oq~5rXx1&W<4!X9tA{*Z^=748>cQGA^~r{idAxcC#-T$4 z&!yyPUIgnSXhjE0S3EnCu{|zczBlWG%;T>g3e2^19d)V4T*=pXP90>OSTDss#j!Esm{N}v;U#$m5BxLPk5$&W@-GF%^knsAjU|Y0ql8kP;a8G z#4ST0qgJw#M21pbgZJC1BdeK&Baf|bpckL`E2##?n~XoI@E1raxYz??V#KbZ!NpkjKG1MX9zp1+u0YG6G75)_rhg*mFN~QvJYk z|433=88(6Vjc$=hAkhiiO+YbfQX;Lz{P#>?8b>mYRH~C{A$BI^C61`IuqW&a0?0#R z2Fg6qKJxG40b5fX$_&b|qgLykzL1TDOQWp|@TS(bL%LlKruFkGUs%ejXjCPAiievuNtP6HXXC8x){u*B#YLbSi(2J zTEW**Sw-0X{Em@my||jsQ**@(rq}ZE{64poqla(T!Jf(Rtb1GY*8X;LJ$2WdGA3<{%8OIT^AM;f z;53b)Q1Ek~kXzxCfYtI|@Q2%T-E%*>rM9sZEn+t)S1IDKAUBa1MW@5}LQ&*0fcp9X zIvy$Xil76C(d+5`!b!!PGHwNWv*N$@&Ftj#cJSa7&fzUR7c}8W9TFTl=&f7q0p+@8 zKGucW7|U%lb2!I(J`{3#J-q)Fty*EFvQ&B*YX-)Hww>l@Kz@#T6e9Hi{&3m0XJwgD zU6fLlol|Hfe3-03y*h8NZ1cFXX0n%NG>l?Js^3Gn-Z6^WOTMO7! z#A@)e+JHlXf&S*Di4C`d=i6`2_yf0cyWN$|POT<$xzU1OI&)&M4GA?fGVad&`mF?v zywxujYbgeufoT#s!}6KPY+G{x$uy)fmE{edPZOu!!=GNAxXnx*cr+Q74_y@-XV7V~ zN6wlvC$g?gyX2qe^&Q?kA34W{t14Pn@O!m1$$h3ICley3gII$unGZdj;LMY04#7S; zf?ZYHCoaHJ?qJ>H+bOe-|6+Q%$nm zB*Q9_(QWMVZjB(9kj=SE@{8@OMVR2o&GDt~4U~_0dNm*vWnnxRLEOErtV1i;srwi5 zafvbJe5rb#WDT_|5iFKX>UhVle|RkvzH_)Wz`w|Q5FSjcnzA{-wTWyr$_5nGJiCeB z&{JArY-i*M{q8tRUGEx|<2Ml4l*9HBQQ2*TQhGFz$5ehSrys9XkUGI#M57m9%LAeR?k`kx*Ti8bU-tuP`=r zq>wcJTeWFiWv?oYwP+HA^e?t(YIbI9IcsC3HdX7yQug%a>0D7%oUaSYu*f5+8OyoF z*zxN7`7M@)KQk;sqta!BtyS(+!ei*l7~(~&QGN0$;ZfAdh@zAz;~>CZB@~YZ*(l*K z=lPYENS%|MD@^B*!BlV#jlt%Uf(qNRommRY7oCYn%1GhU5+PY3f}UOJiWPZi+uD=f$XIk;qEoJv!&S9S(!cns$!GMk1LOA||Gg!U7+h$TfY zXrf9j zI+}Z*OF618nPT`ne)Bu3r_@SGO*d|A5WV>bsm1>AZG_H13;>pt7Se=tdQ0J;V&L!7bm&w9U!ow3LpO(-D8azg=oBIr>Cr;DX>X*#z7TrmZqjuph}N zXEr!3BAU5O&K{9gI95r^I%!4VH1D2KqZI2$@CXZF^ z>6OuifE#BC@hxLGO5*(ji^0|GeHc-^6+xVXn3ud`oi)>zG%CD$4-h7OzNBFkYhs#x z`uLfJove9OF@Lx>A8-Zeb+GgwnzqrJRz)k0cE3X8vh9t?QEqxs*}_ajyQ24j;L2~o zCTNcVTt)(}FZvkg0y0zTu2;cjJiSA?O}(xh(o2#4>t1D52W2NTvEKd>FTQ!3pUqnx zhzS)Rs|TLr)houS5w~3DqO|o%=%O(nDMr(j&(VdTt#M9oCPX~zRiWWQcxI7Bw$D3d zQI16M%1lu`%__mN7}b-CE`PJ>0g%Mgm$?1+Bz%RYxo2C~YZ zx=W;2dB0OPSI?fA>WdFQAE&2~N@21&nu3^|?5>a3_nXTrhM1==-zEAsZ2T}DAKV;X z)ES-zSz)ZhJc}d=Tiu1o^QtXWXuRJC1 zpA~5{k*vsHi0Hn4iITjXg4ip}gINEa7})7UHj&+CyPMVVvNN`#bAlUH_~K|0gsv$? zV<8!Zw@;S9Nhw`*6PB_b@v}8?7I!(u8M@AX6480*JKlX!Jhr~3r3;RnG>+YE{)WwO zTAc)NUDPlZM;#^Vn_4<5j3pDMLcu&~>eCqqJ=A(=qr9T@cdLi#gtx_ZQX;def!J5Z za*NWy5Q}@5GIku2kPuox()Bdi(N}!$5we$3Y%J8z)!FzK_ri%=<@z^vc?A)ZurXO4 z(=owhi9jr~iJJvh72W_-?5v+Fy}9Qa4jec&Bxq8ndILVRwBnBm(u4)0ibPDWxUJb- zo+lFRtUYG@nLOy#$ZAK*o|TF!@}4#~lfI7aG)B+CKgi52v4yf}9yGuLMX#1gl+D!{>_>NIzKE)9;Dq<~aZxW_9|xb$faM3- z*hj|`A!$=Gj01Yv5L}U%zz$(jWC}b6gJcS%ex!BS>e5LT=h5-V6et7tytDPtdj5@&34Txp7pDlv7njJeU$Dw}dXiQq7EB@Y&Mw{`N8ER_sw*HhWO~;;7uY zet&CV(Y4%AVlGANy69%}3gefS}9k{m;P~5VYyTvNqMu8J}Rm-`uM8yw$j^ zX!T|bPCE;${XnS&z>z^9k7-IFVnMpKd;UGvyZ_Ii?fJte)zffzvhg0`bDZxkw- zVgpYacj-i{(U1V6th5D zy&>eWIw;q7zvyqdMt`NRl>2;V?o-@XZjfAG@PZM)4^2YXk^?Voh)enlD+nb8WeK0j zLg%Q}5Y$#}i<|X1UWNw-NLD@E4rVM!F3B{?F^qHJghm{X=D{14-Lb_GG_NcM1Cihl#1W+a&_xVWfyD!|q>lMGQ)TbT;U6N4_t+ zgrTdjmK3E~FwXmggy*{fI@&c6@U9L$^z_REkZr%`!9APmi z_{Qc@!Hee1YsN!l&Y3t4oVQb5{YOWeIx%hMQ@{I(8WE?O+>;khpN4!tT7A0>THZiY zhipK(xXljcp-OBsEqbZ?L|g%St6OIdm^>Zsf0niwUQVg27(~qC9Fo_AIUKBXd^RuG z={F00yi4A6QvAkme&qe&#kk- ziaw@w_=AFo*2cAf9xlPWqz!dPDY!BeyD?BjdP<0%;ccBR6+u|AVwLa{kF8p_H~i6x|?uOiaxGB*{?g`Kw;RU-eYNQAHL1Rj&ZPK`=gJ<|q8u4o;UDY2U7x zeGgNuEAss#Vk_BC-(XvOWu!wg$$(4^q5z+B&k$lqz5u;S1vREA6q(gn# zqBj2_W7bH$i65y-c!S`sRfBzOwV0WJ+SVB*8YnZ#sEy;YvODwmT^^D!Oe6?T_H3~- zX*O`81pYF~HvS#fx;#zkz8%@wZX`_JsLNpT(S`n1zXe%YM`GeTjDQ6hdALf0dqb+5 zYX|@pehwkk!Z&1G)ffd2;z#5-4#O#AbQwAvF!%hy;Nxj?OPJ6E1rB)Z-r$SmryUq` z=PApO-~%LdR>KE8Y;R5i)&}exA>p=KO4i^b^qpB`qnUK6$NSt_vU(D1T9-i8rMrAe zhF)g)2Qn9{LZvzllD_gm4w&y8e1TfjSX+K#HiIG4v8;PI)7z)&=JrnT*y|fgR^&%N zgUuvBX|joejN|f61%)eDScMBxOwn1bXKHfuCTgb@9-5~ySLn6wJ+)(3wrKi`-ATa1 zq+g)0fz`_9qZgLGX>d)5O2?S`6=6uyiG?@4U+)0vKQCdcC%74a5{8ngU=@z8Y#*PO zx3{^wzMq~xxYt%iZ4vSyKR)B0ci$eWOZXYGw-dK3xl3d?$vDS|jsSywLF4Q$oL+Au zdRrc@(O7r*!!GQD=TJH1eD)I-FDW4vh`2Miv(~?CP74Eu&CkXIA?> z5$(Ydv?fMVj47~Yuqk_AeEYlf-6D?6y3G^rImNUBn5U*3D$k8VEwlI5=f_e^R8>~7?&jB978o* z15n^eVrRBDJbE9orrQsyB)xGT%}hc5z#0O>?1J*lt{F;<*Jz~AcAUDy75Dp=kC z-MH5YpER|5eO5H}?7!ED^9R1cG=kp@Oxq-P8gLCMen^-!XVUZy(hCF8htd>LPWPE|HHZ>U<9WecOZ1}7P1qFr zNbO4pwh02RT9XZ1{e_|t+Y^5;AjB-k-7q_ihVD0%g~t6p%3JDw6%Ue*J;JQR7K_K_ z48yM!>SNV!(J}5k`ER3A!;V`nXA!Tf7!H6=h}%!Q%fD9JYG5R*{ub2!Bc0j5l}cq| z|3^?8u?30-fVBsHF416gBkOZELz4zvWW@digXrXRh?Vx?7geg~oV|~9ip4ieuQYVZ zxFn}5$xLsE_h{ToFI=;eF8YGzK|K)^H)`*%gu?8v{L3()a5Or0B=v$zm)On{$ME*cPGiiIj{~g_PUKu`eI>m zNpP6`jhxr${EbRQ;rD}ZvGS<*hrb}Y>S5xZW9i%1U^D*|4wv4pQ5U$~Zi7Hn+%vH^ zcl&Bzx*QFn=foyoBtR@2mu`7-2(My^A}m;EWn)SMj@m{?*6zq_{2KwNPxNHUi7Q(T zaWCIK#%F^Zy1u963_cR?5wy+QCVWB~P2$0RR%>a6nTSH1AfWPVcs>&%OVS>dLAKY3q@EH+ zl7j_U>RKoeBAVV7J_!Zgn(PWS5n2ffcGTFm)Fzl#$dXMOov1P1&~qLRu?hS8Pt>&l zkK0x)g+RP`Ue{*Ekbb(acu+}hSGEMUR}Zf8I%^jwo0H@F_WE|Q*X(=lU5HQNIO>!DVg*~eFr+*r>icmPP}m1R(vDh z#~I3G;uY6|snBF#$30-3tW$Y<_PftZQssRbqj}Uc?yNaJjPA{Eq|oj$-+#@toZhA_ zE~W(FL;@?yD5HsGR(E#Sdm zTTHUTABO@D%9bq67LyIZ#a@`}+}wXb*5@oNR8~t_-B4*&qJM=s_RAP5PAPP)`e8u+ zS7sz*p(1R^_0k`PV2H;o@VH4)YOShM1%3r42~^wW6V5_VjRu{=afPYk&>=rg6f{qD zgAk)HO^&w}7&M_n*p=ZJPZ+)Na7lQ&4X6_H01l56iU9|01z*MhH7GJGUX8tVHuV8a z663v4EE#;~aP>dFHg33WfsVoRcPqBvKKCt11Cus)LP1+&d`iUTL;P)IMCejMA9sb#>yFmLGYo9$4#=FVjNZs95H<)v z`g?K)o59oY_jCHgp6%m4&dRt3O=GB#aII|xmofGjp3%^<=j)x@liNR1jxC+f0!Q}% z@>kQZEFbMW83k)83@KZ_?{|9-Kqh+@fArWltUBR8`p;hOAKAyj@3&89QwWkPc?S@z z0gLq#_|YQYhwfh>SdUL&+@n}}Q)>A+1;MT#n!Q_OQuwi#syQN(pKpf_&d&7gfNauU zI)(zn5VJAml*UQTlCe!fIt3fyl`x(Qs71ed(Ws|X@0gK@R9-?y>ceHDLs1#n&?=)r z`vx0M>?;<vJMNjz3rwt*j%Qw%xfy)tVXeV(zJ+UrOaVxpx2#A4uZv9uM)1Wqb0j>4nkQ( zDpOy+nW5{d5xr@uVenfS-_^mQZpY;XS%gJ#YQD{ynzx+emngoN>Jqw^CQP?*hplwS z+4_R3x5=wD!}*ij(^ky(==7Aa@-q_+hAA?B=g>=z5PDn0aBvCv4cu1wkg8VtNq$~7 zJYYIYY>KPkVaYYfhu3<##fdM@HpXT7ji4bPLbg?I`fcdQ9hC^=rgpAg83}ympnmS>&`m+F>3wBs zuJ6*>tF1##acT9kGxB|d7|jv8qoV``FkyeVwhkqV%DBSd#SBJtb>v%=+77-;kLdZb zVB?dR%dHava{Pi_xx_Zbrni1_jJy)2VZ?iiqzI4j++%t$5FceA7ef;@AkPxN?zDx6 z;1c~}ITao|e4^8Ob#@1i9Aq{oKMoDdsiKE~clxQ>&4y?EQ)}555)-7GT#4sz;q5lnLmu_YWQdW43tzHDUcm6^Hs4mFg169wg;1fXAS0bvVn<_~Y~Z`;KyVrc@V# zFIED-^NNx!(HLqLjw6iU^xN70OK17~2v~iXA~rbb*x>jMA{D-f`Y=2DmZp zv_SL|5(dEFQ9-+>R^rZ&?-k>o`%?oLGk7N`Sy_?N9a-6t(hoN8RuT&mtSCc%e55YF#IDK9AC4$p5WA;#rD1Hr)hdfpLkk`VPh(q9uRoBI z4r)v<$;Vxwi*Q6v4U zv)qw((^WyN<(sBgG4@9Od7Ru)G|c9K`D=4@Rqh0+%aLyuZ=p-BSxbOBm6gk}llLXJ zzdm?8$VurUz}LP#fs`=u-q#uZx~g-T((L=`;oc#=X@ibI#n?2`e6Z3StUI{)8=U=G zYkD437BQVU*FEq&pOFY#>_(IM_U3%;{IU*LoBoLY34d{|aZIO5w3+n#Z*C231Er!saQv zEyJQ?YK>hZV`-x|2k@-&o1yt_6`L@Iy85$~dNRI8zFlpG63dY2(>L1to*3nbp!u=! z+vD~%T-r%=cnbu(^&;>0qAN$}_$PXsp9b5@#NN9UIVM7^UQ$xctd}Nf6RA_S_rX5-ZmJwC9glWH?aNofw8SQ0;Eg-$QL@$bl$jo#MgMML0H5T zCkT&xZ0D73a@ci)a@(~I!@(5dpu||c^ zh@6&6c#4*9pi)H&J%%uvht1l7mN)-d{dg|lGK^`9_g>&nwi#^pXf~Dn!_|kBD^|Ht z*lvgY+v77Y16RoKc-vQGw~U{3Vx8$t*MsljF0x#|^nFuS-##*-ewyy;NCAIZfpOWEfLWrFwozJD9&+aWj;xNzX0V-u4`|ER5ep z9@-Sq2ml@W{0P4_e5PWr`AYd23P~!o!Db@&1g6)-!^Tspp=svN${dHa_I!J{KgcH- zfE2B!FSXz(S3;#}h09d`QZlbHA`wwYEmmF{P_>?*qI{T%y^Jbsxhv1;a!--y!RAU| z#(}{pI7zRXWS!8Ruf)P-3kxz~cS#*~QpQV&S-=H9ET+H&v@W4;wTb%aUfOv~z99q% zAF84jx3JB_jT}@=`Z>VK8X9hlE>+lyE~tn&5==WvG!QEa=%;gbo36W%Ni2AIWRsh2 z)uI%4LsSjE)U_DT<)~k{Fd{dy%8gI;ML+|?lp;x5wqDRtK}(?R8lYqCSzZ@HMQs&T zE^8H)FE>R`x1hB~*8vchz52&3RN=-gR^jdrpK?moXSp4iUwW%WAAT#g)qh%cJ2*~n z55P7&KO``nwyam`jilDdbO{M#l_dX`)Su%(%&Mngl_0VHou*MmZ$armU`F%T_gxr3 z97tLru9A%W1|f>@B4qq!yyNdFZ0@9R>Cu^f#eU1JWdQ(}C$u{MZ-(UO@j(NdIJ_zN zwNtz}ViW45Ik4BJb+ZCeMs}x^WzI@#=_YUW6AYX36b7WF5Vd#vg0k4YUtr~~a&}0~ zU8MFq8#@~WLtE8VLTKHpYlsUV7oKbYJF886e|LV3C$o6hUoQUhY@*8L3HDj3j|f z5+O$>=$vrhRwD;HVs<5@ajEQsGoz~=sR1G^odn^1dwI<$BDbNzgC{dmIQ znSFga3Qp<{OX7_`n5L0r$FiqdpYfx*lJ3ge6|K6`&LMi~$yrgc{T^)TK}9(dV$=5i zM}0PvdjJ-=g|(e-(Kq=44GS2PGNo)*@PV&bBdMxX3wY(A)NAQS?#gGVF(d7HsT@g9 zU*=ZDFkeN1GHTw}^3iC<>84Y6C$KSz^l`XwP{vm$lhntE=pF{{yoUvov%s^Gp<}-p zv=TXUX7Pg;=82Re`mxqA47|DI=s0#*!!Oz=o~<=I0aRzHm{}Y87>BnXaU%`g$LC^g zj479~f2F?%foU1JNdVUSrmJkDPaH|Q{@`zmHph7eM^-EY%!E9<{ZMCS^`%$9daenGvdT4+5ynwP8KC3PLgh7jme z#Ty2hrIae~+#JV*v~etbj-(xLc9RAXaJEyJ2oB|eeiC!9-^Nc3e=m%(qUP z1*?=S%}jj`!16Qkq;ebkbxsU z6zo0TlC=3+`Ch*ze?cY(2Y^u+Vf^tk^{?r9dRSxT-=H-!w6^I-kiSxYOpoVT8>pC#ts(@H7>0|UKs`tHPogi3}EA<1Z@<(hoZbA z{+3H3N)%u)Dpa#W{muW^_((scI#GskQcG%o@A#rI4HQcnZ7VK!LVaq_=2r?+d&VN> zdMZiSW$R!1jFC-(-;5O`w}0!$e0Rm6D9P!g>L zut;3vEqmevVEy54N^JSk{CE-c9p_{bVowEHRDd5fb#1a^ zcy#E*GP<_~{zc}L*eE$F{QA&N|m7?bEVM#JSMG0V22exo2V{qLh%G^2$WXGgTiP(98udu&2 zq_+j#_c}BMKoghG^ER!%|HN^>Ok%*A(tQ9W>8;K6iwhX;HQ-D56O8a$`5V#jI~nfB zN`A$&cqQsCS1I&28cs?kI@t6=quB8q#5FjWskP>cJI!y4UfMc-{klQz+B)yfjqC;ic1o-%$o0|`rXJCBLml5Du9Kjx&W4=vK zTgQJ2ZD1oB=^g@cU>N$ZRIxa&OY0J$Hq$HXS|KY{%k;*v4go_Kw>2%C$S3+KJ{~FT zG-Jqb!GcY++vo2FM;hX5(ss;i;0a|0j+Lu-?L_Po^}Vs`G^0`O<~Y}ENFlGDf=BMW zSdV2nx|GGv+H`RgqLXK7^V-FS%qb%WKb0a~rv_Sf0@5>haxxxNHqK-avKpC*>1F{< z)V2*@w9Xu_*CQu6Ppumuwbpu(D_w1e){k6ijco6n0ZL_}=yL(Hb7Xh>w}qj0R)vR-)E5V=EndjIthUqx!3kDV(iW1M`?aK~ z9L|e!3Ft{8_|n8d!IP`UxB2nIMv{f!0~Rim-sA5l`Yhs+E*e8wrivMo7^hn8 zCfvuPjd89UH=S%7P2Gvsk7ki)D(W2ojVUc1=WAp0n!we;!`lW6=rn~vYRX^KrBRi% zWnk{STW8j)f)|oSw6lQkf)*-*i^;#AfWNO6PO1RTv5M=RIBTy*L0j0YoXb6K@+T(S zDb-N9lVapCp;#30h_=gQ|8N1gLW7G)JiS0)q6&dfmg=eS{9?EG<$8PDn)fAp%G6Ru z#oDFqlMQ@mx<7N|gloicO8qU**}!*o?|Emu%{RnxzJhnq*N;aZx2Cp^mkO4fr~&s^ zpSOpd7A>8NPUo|>wT!m~hADZV3$EUQ{`7+qdO+ug{^&&OSo8XA+h7qN8U)bq^NCV6ZhKJIwDBjD$Z9Et9iC=R-oOIHVK& zNMRWdQK7UQznka)-rjEPu1_YedN>kGatT?xA)c8{o?Wi z&hn|Qe&~XuhTlBhe8B-X9(t|uOAp?7>!$M_{IBOHKi_8Ba>oO@Bab=ywP#{jcm6>s_y$@!}h2jDwRuxYL=>|J>gfEWTRN@ufeBcM1CcyGOp>IT1$u zZ;wcTPp(?rSwH5(|7D(D@RNP^df$WJu)Oz>@80?1`IV2}e^cPSd;Q>%;_**BqZ6cF z{`&n}F8%u{w#%L89pH(a5&P^zM}DO$_{x|5{_#WUS54YqXuojim8aJay5IvJJ}CR| z7itea>CXH%?M1btaKWX->N{ z?|bdjg;?~n(|H~pG~TA)_G$gT$Z^6GIO)BUcMtE4{G0ZNH^_ea zwckeK$jvwYg17D86=&V~-qSCtNDlnyOZn>~=bR#c_~PPqpS)1?wfCIvykKwMC2VES z1Frna@WY3m#~MC-=(a=2ADJ&oekyTODWKX5naY3q@y~3#koS)}uZ^dFanheozU6|$ zBm1W}Dct*Ba_fUm)gLeV2!riA+|zaHPw%`wLL9s4(8OaWs6YK5clIB?Vt#$1<#_Uh z3){p;58Xyy|8V)G_r7xHmu}kogbR=hI1jtep)MlN`1WCz&!(?POD_7xk+x?XKRV#F zNItsXtw*N=%E`qS%09vz7=7Qqhn{^-`r%tHK)B2;)dTiD^yX`izwneN{`jrzg{Ob- zp6_n`WPTg+nY}-7I(FjqHza;@k>Z#yT>Yt!A1*y{@2fAmcCXZ@4m^JE(dNkJJuNSJ ztb5+}S@kn`y1q}~#~J&_6x>i}pD*6Nhv4bsd*95@K4juNC1JdGMMfXG_!Dm&^+IEM zrSe?7_Sa|cxc$=~yu5nsZ}M**x8>ODj{VJX$NlD^Ph9{=jhRU{cM}-`X5CvyX)$Qde3bsJ@?vqu>)?t z_3tM?_K!{Ho_xhYx&76V#9v>0@84cK%y56;sV~Q9>)cP zPYrGo7b@o*{f{4=^6k5)XJ3D{@q}-^8T`{LcRcd@EvNJUa?g)HbodG1`M@0~Kf?L* zf86bVsrKhP-uy?y-G1nWZ@!`a`kP0+@|5fIM@FyO`;D9be#Zyv4^w;G_tls7W6wEt z6LO03g>NRV{K3A8n=Y-sut)mlmp}B!3}@R_UqAJ8i}H*9bm&Ppo%Eh7KJ(1uXEq;a z4|(+LwCUs718zI(H~IHnh3#MY?tfi;%0YWlL)E9g^+j@=IrL-yd0X)Gv)ed%@?#%= z()fX69u$o}=T4pc#xH(%*_%Ipb@;>Q4nJtq)s=^>pUjv_+q zB$xKT|Lfz<+56|G9)A4YmpS)!e&_R(A3yqU^U2e%YtDb+FVCFpKjDxg$sb3tZ+*r8 z$gLM2d-Qz|nY&y6``oMkbguPh70HaItb(7lGZFfb_3WOh-oQ58(-)s2Bi@m4R zfB(>%zq;`nU;mg|YGxIx@$RQ6r z?z-N8^cDQqb?(1zJNTY2s{Zh2`^DqE9(dms=X|f&QvUKR_iaMaHOD=D8FKU$HlO~D zAHDvQH$>8--+1|lKOFwoE8h|GZvWkN-|F-azVFzlZ@K!eNB2mcrhVlC)qe^R?Mv+K zFCVw{bj?-8P0h={N?rQV2R0pj2z|~OhadCxz5nphSDvQMexdlp=k~wxjz8~%|Ncwj z!;Th*FMRcuU%cm@ZRQ7_zxa34KYj5(6}8MW&j?W%uWif+e)xv% zyC;8bG36om*f)Ruy{FDne>~Iv@u&B@_P*KUh~o3-v9~_;Bwv2sZ=c=v$)x7C4>pUv zee}PdUgv$1`%v}T_L*Nff9u`V?`rOF-N$@seE49mH}_TVarqv9*}TiO8T(2%LQxe`AcNM6erU&FVg?E1ZD?w?6#fZv?;mYW-s|G$$N%_Rtn`6f+V45WD*eLg+Q)8r>f+{UpZ?Xa{^Rpk@3}3L+?TrH zlB@sYiSxI7>D1G|^z`Y9!A&Oe;w`Uy!XSV4+H;P2&xI#^|K{Kgm!5q4?>`wk`f0}p zUq9#9haG(PRhhd#*ZFqxgGZh7lV?x=Z0ofzlQ(|#+b1XQ{(9#hH#~iO>iExp;)wUu z?lSuBAA0EDocFO}dhfL4M(xkEH~;uAjbD7H^m6Fj-yik*bN}cafu5V`e&>TPJI+6L zY=8gWhrIcI%JzuP`kB2id-C4uo>#th%M;&uVgKg>9LqOGdpvvH^ABD0#A~lsZu`RH z^)p^La`QQb!grCgl!-_G%74Ci+x};`zTo<@>kQY|X#EjSln%ej_PcX_uD0vGE8Kr} zvG%uzzqXY+(E8|uqTh$zr`>9jbiehpYtDZ3_$24*gZDZ5;h%i@vE&xx6NhClI`8uT zyvKLj4VQYKz2ecWUoqbBlPveiOHO?3y+S&?`Jj{b{m|@^J8wH{{F^J1_dfo{V?Vro zaOIH?KBylY{*%jIzT=wy2ixHLDb(}n9j`syzSt&t`FCG7z3{*_&s_e%1Lt0TwDHE{ zesFJs{Db}vrf1|&J@nMGFF$eFYtKA&{u|Hy;abftLEgz<6(sJs;>1sW?wcRHrjv0JiGcQKl%F`cVF|X>T_2JKO=r!o7P=_@=J#t! zseU8PaE`dgb=panT-1`9e|yngTYr1ty^j3kv16|pUHjU9UvTfqR}%OCoijS-cwBsb z@SEp9`|ALGJTkuR;Qhs#*V;e0_Q&}Wa@@gw!yadb4??%xw#UQXBOm`-^-tUWEINI7 z=n+?a$o2BXtk>{dCR7$t}&=Ow>R zW@dZ=Tho9Tl2zjfi%+83xyjh-pt-g_m-AcWv24X5sLVB4d3nrF1RQ2vE5Y}0ssqv^ ziqs2wd9E9))iN%nY+ldLn`J>!$K|8+#69$Ml1$nVvD<`&Sa3Sc*0gim&>2O`3AMMC z=UAd>Gl$^$c-=7Y&vT`+r8q8CQ`Sy4J4knHzI-^;BpP)|Dc>4t39WU;ZzN$Rn&*zOYHmwg$|5unAK@K|+Df|}4vo@OE>(;98Q0XyNF3_1z=OGa zNTWN6b*F747C+9oOS{_X(V2glU6Gh(*LIbs>=awq3KfPxu$B~sgDw-=8!Mw4>p-M3 zqV9@RF=_fIEuNHW^8FD>NfIl>jaVQe5-U3dCofG3#A&@WukFW*#ae(blv4fql$Kf> zr3w*<)y(EPmb6KwQM-<3;Fkvck+)h8*A-clK9xk$6OKNwkQS;PByNn){D_9h5SbdH zO-1KX#^?@pjr^jY6i*xGd{)Dv)}&&H`s%foL9Hizk zg<7g_1v;CE{CVW!c`)TVNJgm|)YvD?_4I%^egS7{)9GybR&HTC#J3)geg(u$r@ z3-l4J#A)P{35_@?mKFv*X09tWltqzoQfM13PC1gW4!ABADH|uNRCj{Pgb9&86{J%+ z)*?r(TDE1*cy?o-I~|e#m)R$Qfq>ED^42(4i(-jbhAQLAJ@?xT$XB*Ew0r^_ItTpLzwgW z+vRF3M~LiXtz`GavPntQA}`6)ZjxgY%B>wD7Zz8o3jU~^%5|KP@DPt0O?rcN>YIQQ zsOnL}E_FxZ9$O*fsFdx&@Z2s|34EqPLfM>h##1_x^cb*gGw)>F$}w)>bqay8N0ImY z5uGubH>xBFZl@OWuqDelACDG0NNbo2P#L?xl@{3ooO~tESC}SNMV2ZzeEmjP)w5|L z{vgW|VwJl-?RZMLSbrc>1^DJ-x+_=DNq5+YC2YftmaiBaq#S*umT)jqk2Pd07qIHc zo-GJRE}yiKh|j~tfx^Z^2V#45OphIvs<9Mt#QQRZj!foF(?Q4YYDqZNP^cm-PO+M) zkdAc#yiFpmF{!g6eJ`!;SLzuDwHy1{=``}c&VE3Ezsq$qPZ^T66d@G)=CukF#BM-HxCMWuXz1kU8IYBoj_5K8`^$whmJ6Vnkn6b#3L8 zU8XduvmKEcXGqn6kl`UJATc@h{Ej9u4hWDYDa-q_7$NfMmE74xW8uUy{#*ksSP7RY zX_VXOs#eQ2k2+J&FkS7Zlo0mNq%>;V$jl%up%pWZ ztDeL?e8-?^Agle7-BD`#xKUxPJFbpvb>6ttle%&ZkuDqSC9`Cmq8kd8C8S1d1vx)1 zS7#6_rw$&>FofhjL9?K$8$>zoJR4;~8Ht+WCtFlx(#`jW7@E+aGi^39qFolWpqmUl zHF+pcsu7Lt>&62|BhSGGY3|>|mJj+l_L3&U5kAIVZoCP}NzkSJ$N46&)i1 z9~?*W3Vvz0LuP$`PGBZL2BBJHG8ne>Y&Pi4%D^Y>)I_R6&otq6$S@OXQFdBoa zLY}}-L~(zo(6vWOB8+ycX6e4z#Bv5**3UKOMq?GiE5>EIfwf(6vZ8tsv(h9lZW7YP zl62zfl=Jpvq$w9!BFcVSg%udIGqid>wrGusn7*45G=@Tal)0os4Oebf;wvel6>F(VRr0 zTTq59rA9;Rb(q{Jtu5%{NkvOK8V)P{ARjNwuxOwpE%v=Wi=?l#G#S!>j~mTcYmzHR z0(_3y>ee!0GbUa{BTE^CxMpCl zF(o_M5BHmTNwwmwaw^tgxIHeA$vIh(&)s7gqeOvlZ zxsIndyE~dxHrjBwcVkyOoeKJw*%hhY4J`6B#h-R+Lb*Ew?20Ij`;)ZGB~n@QA-z** z?sjAtKD9M6_*h-iE2j3A%|LRiaUC1rih6TSPsERyX(2bI)pxZXg{d`7_f6@5oiSk^ zrWWjl-ECJCRm6odSw_Ndsf5LtrbAeo35i!)XY^i_qiZN~W3klLMa*4)bJQ>O3}%H> zltY?1NskTtl(H_SV_9X!7p8^I9-`G`sCGDJ?G1g|xFDmca3X%Ee!EZ|NTDYIW{>HDcRa0$QC*Aoo|txm^TojZCQ zd_0tubOJYJ&5m@+oM9QY5ij#slnvn@X*@6EvPLHTiR^SKIam6jVMlR=XV0 zT!^aJqnw5+*yr+-iIhNksMkM5E`prmboR0EicFJH4YIu|(KudJ4Y05Fo zF#>08Y}aEMZgXTDP5W6+W~dVwB@QYc@b~#WsYf^J8AlO37A4wlV`wmOizB>7MA9Tp zhKabB)QGJ?o(5?oQwdq5)6RtToK(P3=Er=(wvk0Uk!ifAL_8H`i9)nGNvwuN+K5Bj znD&E8ZdvQ9@&nm=i9|r4EA5bEK1BN!9KvdB_KZAnPgiqhr;Vg)?yr@-X$Ma=Dj4V8 zszFpVW~DZvMuMu-Mi16>6KKrPkLbB=TU?u|M>&~rCoe)XIO_MNgW(oauqTzGaWORq zF6S!bOTv-P?`P)nLYZkdY|}=XO)ENnb0TMOwve)?p5Rc5Lc}2B56Ak&Kk7*;Wuk&H z#ctMBbf?>||1z_~$=zlZDv7BoE11-WdSjN?^r$E0Vn>mz+v*kfY#MLNx%@QOrQxOV zd&pqQJxa~kvO!_karYdPFvDWZ#O^A%+ni82vKH6T!6nxMJJw4&T*qDse;6{ocY zl1UjwE+pu+Tf?ZmY;6=QNsY=-#@d`Lp4OIw^~QLd8VFcA>=>1TypYyN7<36*rETgc znWCnsi{pb_lql%ixmLxBX~YGIa8mRpJlZbEa_X5GHX#O91?o#U1R zweZ+!6Ptv?tf5>^vQyHonFfS4ot@4gnVe$C8sjC7xtgz;Q!JQOw5Owj9ZD`PR&!L4bFC42npz^V^nftk5ll+OzRFT&5xbY&0SmW?_h zgIwcrs}MI5>mr4sDc1!LZJIGsl$OspHF;GZ4oVax7aiymnyOUGGnWHcN6+$gMGI@0 z2oe9#lQs0q!D1|tm(p0?F^H%W!A8jK6%0%r4o2|p&7mD*9XV3Ui->4ds+ny%*-mJd z5jI(6A}4ASnP}QmjFpus4I=DD$h!JV6DLJknoE%0--BF>FhmHjTT4U?yx@xpk zHxE_)C`f{qHAz+}(Z=H~9ib_wX5x&sn21zcqk7w>?F$5`mXo*iEW@5oX>b&aI-@S) zD-?CEXh4bx=Hqyq?@#g5{CmSSw8mUX8+t)v_3 zb~q|RS{e~U!S-TghgY8y0fQ-~*qG}b%sw0J{gQ&;GlAf=Z==pi|;&H`0O zLTe^)4=>vcOCr)bYEzqyEYGV(BXV3p6D=g!X&65-V%{X;O-2CPRV7_H%fjta;X^js)$|YQWv@Sh~C7{aGgA_Y|LhDlkAL6 zv90t#5)Nr=?fXs*dj#j!DkMh7foo-cBk+Mp+yQ>m3GE z*KyJy$rYe_lVN5o2b(VqKUXtqg@R1JTQ2Fjt)RZ?C2f37R6>EfERk3>V$c>`5oKE_ zO@&FmSz0$GCS6ZL~1=4A$T3$tT^WN;=;N}&r)(u5FL0dsZhIB zlg!Nmc4*K~B0a}Y$2Si~lqx+%O$bP)PN$YE_*tLoXzIj3W+BP#fFNQwT6#C~jU;&9c9$NMrv=4` zy&;t4XrG)mnlfph)GQB8=486*mIe);tf?kYv(=W?A&Lw|!L%=+Xgc(=V5=-2YB^*t zl}1N`AtE;kS+mjE30s9#%cR?l@XSdECZoNCD`ZzVjNMQQu|&Dth`81mc$+1UMP(gX z(jrdP8BLFBWQk#DZ(XKOb@`HBQ0LF4n#PD;gBL>qTSE)(cjm-lHYhf0s&Ona$dxse zr#iw+SYE}cg=z&PG!qw%iC7|0k@hNLThD4Y`tW9m->DY_ouWaNh-Mwbx?68^$_#~U zK`I!rtsGWl{V^xa;YIwo$>0-~lO|h8N!P}uf)sPd>r699N3-56MT&=Gn@j2MH|Rt| z%nG$~S#f~-X7)l{=ms+3j2-rf&Ym1Hlq?&HianV`KIN_TCn9AdD+w{(a&r*G1Z>}t z8r5uI^Jo%{a+W~TY$t5Kd`i|DbIKOK&#nvfOdbm@Oi?x)V?YuWo)%4{LY;C=D&eU} zaYE0QgPKHNoetGO;wM@Vw1fe{WW<)M8jiDKB!+2 zwgdH+2=z3|rCa34vAZq)yOH9=cW2549)HkP%=ziLUSep8Lyqn=#X5NItPl%harx9D zP(%_!<8Uy?75PC0d|SO=)sn;~Xa)E4>YPkjq9p{0N?Ga)4MUjLm>84lp0(Q^$+^IA zM$xFNtISkz@X`O2v|@BNH1V;l6KDvO6<^LF&p0`*q|#CJhLQA`on@&~O*caLw=iBj>H+reu6 z(xSWE;rSJVS(<4AO`~J^P+xR6{I+DdT2%SkV*`8!*D~u$z;h+@|GE8tB4~y|$D_V+II7PCo87i!)aVQbe zlp7IQ(9PtW%CM?vQyBUguf2&E&>qFsL?x4Y=&qZieh2VsPgrJXDoZhmh!ZbrL1x;9 zBZ(X{OJEIikkQ+vLRB!{@(+6&E-yCIxyYEm-)bA|tg_e=iUQe$ic+@@vfx(G`mR!6nJLTGbDt3S+qLQ$OXl+QYg@gLVeXhLYkwfB|3JorsB|>sAth*n{-_ zW(k1~MQ+m&Lkc6QS~xpLx{T7?o@b=eM?dDX4KJ1tCwLpg1Ao+ z_IR{%wz#^tXLAS;e;w#QWMLA|aGP3r8VJ!o$B3>w2pzcOnN2TFTPtD$I2nxhs`N)$3`RlBu6>VwucZ|(O6Q|(p*uL2B%)q>v_#RzZn_A0ZkfoEB~u{j+GZ?+95>}FDh zD{E?Svx}lsC_z#bssOfwVu%|2M=4Q4OHx{3GjF|kMh^H*KdCpgQM>c4?!aFLUTZcR zX%S@0mMy9+xN10QBB%x&%LdJ0m=e@bPLCYSDqEFsHVWt!${f+Rm`vZXEefwen8Ql{U7| zXE=!uhdtvCO?n1EfbHgBvn@t#mZShshFhl6o#ymb?YA1zBMTYtB=hYGU5IO?{GZT8 zAn-qHqu)n%rN!wi%D!VTyVT<}zHpQ%I~~p^H5OFo@46w!5x`R3vb=wyA;%E_QSX@F zTSgKHXg!1JtTL#9qe{m4yj|DUH5HQ8Flr@TXEaIzV{1wVud>R92Bk4|1+Sw7vt7g+ zuidCD@Lp)r25wyG*@v}xAM_2{2eziFgDxx#X|by@g0|a9yAwqNtE%t=%9a_~D{xz=hFtS;?^TiSY+ z>C`xy42KQvsNPs!yYl|p^>(nR9l_R}lVN|mF^wvdDZ5atfw$D`&i=a4#&*-tw%^gl zLR#&`e3<`jK5Li0GoE)^uCMEXw$T`F)8LGQb~*?YwGd89Lj&R2>}sctvgwf>E$?*c zu38@czi4_#54&h$S3QAcc4xKJ*+ymFn=OaA^6ongEVZ_iR+g^+jvu(&)7fxxx<#Wu%y>@MT$b@WF0{ZQM;cU3!o$Xe;qlcXu*nKb4VPm!h1T>Z=aN7R` zv^$#Jc*X8puC*$ICR;Zm%NZ{Vc7Ms17CZQ#R<9ic*j{V3ksY+}wKuA6N9jh5F3n@b z0{*`(7xmiPpdQU9z3l-)y@s$ocEQCdSiRAWt9QMo-4P5McUJLsMZWXaTl4+@HcHU^ z+r!+jo`5&N5b=#e1clo0WLTfq*vVT)5(Yt$zg?YFCP!{Y)he|L)gv3Ky`v%hqC7qU zvjgVftn{Yrj;hO||8Z_RW(-0w5d9%bv>*+tNiUq&5ysT2te>$_-ZdyurFp{2myYH3|y zQsgZOAlrrV&hBLEgl%l%s5gVX2nl|^{n|{CBu;K#ya!$w!4P0?wf)`AgSX27;MdD2 zu)|oq-mXWIV9T{yMxg}QCv2!kft3{O2sV~ssCum)qh`PcVq-l9EX*rqv>JS8=xUh; zC3jJ#S?L2;;}`?fxpN<&3>?E>D`OUW!HxAaxO%l7N}$Yo8HTO)3ql|U?3gyRhmojy zWo|eQ_7to2aEj5aj+p?%ThS2?G);gE?S?)mOru$y2L-0PI%bN&7rVBN*D};%d$*yC zCc#E!tqj9guVv768Gtfsb$kr?9Iq9f;|$HL+9a+a33^qg8WIR_<5&na3Z5reDCu;6;nw{DykKF98Ww$|!o({s`dZtG-HrFmO!I zpS;os&CrXy%7(EpI2g^wGH~2|&G#2&#ERXMIL+*;j9KZIq!@CQYl|{^t)51`>Z>G; zQy`VJVax#hYx7u?Q7d)@zH1I7uvV`n@KxWU)C{)B8|^F?3MIiVXT5Bd#S6r)$^Zlar8FI;I42Z~#j0geLEt=2=q zjMvIY&00MWRjld=SkRhX;TZVpjn($R`nGm0PH9%O2VG=xb$QO<5fuK{Bg61pyMerb~h%)?`o6Lyi@@6WKqRnc<0OhLa@HH<0+vhlstiaFI`&q1JtY0Yh1<2W)qB zZW?rL-3NxYzIJPHa*Z2c)mme=2Iy_A4}k2|v1k|&Rjw3?<@rNSj+a$*4^2+XcU~+U8_gXniWRSV9UH3 z1B0NGTD1cj)nKc!JcvXA5jM(-#=ylZ{tKX{S!Fg2%xcx1fm70JG61W>D#t-+yQU)$ zpleorkjB9tXGOo@)-52>%9sI(*ZKwP>MGlTyV0xb2XG^Nbq@H^|K=`*dU!dW&erXV(u3pOk39gj^!(T1a5UXn$O=vW0IwC=iVzoW6ZtbFMZNEj6 zKu4=GAk`Z6sy=}CF7Enn&?VRmt=ixA3hIq{A6T|S0w6};kPm}$fpS?&0NTbv63{=O z44@tCU;^|7=nK#npfBu@0Q3du3(yy!FDxYhc>s9;d9d^b7%M{_KpsFIKpre50C@m; z0C}+V1{fbe9zY&I9zY%}B>;HsB^^adCoKpsFIKpsFIEF}PW0C@m;u=EBPA3z>J z9zY&I9xNpQc>s9;d9d^b7#~0$KpsFIKpre50C@m;0C}+V1{fbe9zY&I9zY%}B>;H< zc>sB^^adCoKpsFIKpsFIEF}PW0C@m;u=EBPA3z>J9zY&I9xNpQc>s9;d9d^b7#~0$ zKpsFIKpre50C@m;0C}+V1{fbe9zY&I9zY%}B>;HsB^^adCoKpsFIKpsFIEF}PW z0C@m;u=EBPA3z>J9zY&I9xNpQc>s9;d9d^b7#~0$KpsFIKpre50C@m;0C}+V1{fbe z9zY&I9zY%}B>;HsB^^adCoKpsFIKpsFIEF}PW0C@m;u=EBPA3z>J9zY&I9xNpQ zc>s9;d9d^b7#~0$KpsFIKpre50C@m;0C}+V1{fbe9zY&I9zY%}B>;HsB^^adCo zKpsFIKpsFIEF}PW0C@m;u=EBPA3z>J9zY&I9xNpQc>s9;d9d^b7#~0$KpsFIKpre5 z0C@m;0C}+V1{fbe9zY&I9zY%}B>;HsB^^adCoKpsFIKpsFIEF}PW0C@m;u=EBP zA3z>J9zY&I9xNpQc>s9;d9d^b7#~0$KpsFIKpre50C@m;0C}+V1{fbe9zY&I9zY%} zB>;HsB^^adCoKpsFIKpsFIEF}PW0C@m;u=EBPA3z>J9zY&I9xNpQc>s9;d9d^b z7#~0$KpsFIKpre50C@m;0C}+V1{fbe9zY&I9zY%}B>;HsB^^adCoKpsFIKpsFI zEF}PW0C@m;u=EBPA3z>J9zY&I9xNpQc>s9;d9d^b7#~0$KpsFIKpre50C@m;0C}+V z1{fbe9zY&I9zY%}B>;HsB^^adCoKpsFIKpsFIEF}PW0C@m;u=EBPA3z>J9zY&I z9xNpQc>s9;d9d^b7#~0$KpsFIKpre50C@m;0C}+V1{fbe9zY&I9zY%}B>;HsB^ z^adCoKpsFIKpsFIEG6*2@nANow0rDi6GyK{V(p{Y%>==ah{vAOYfzg7r7&E>P$Z@% ztc-;uY>bn!V>C@_te8=ck))l#P=^zB;tm^uS#S-Z!6+N9q3x7~WQ+x)aT8}ct4wBF zCv0OA2Suq(9HGz=a&F>m;wZPjQf$@No;in;zI8Ys)GL!CElhBWdrQq)X?C`ZNXtm6 H+{F1mAb*eo literal 0 HcmV?d00001 diff --git a/Document/Datasheet/DS_A31G12x_Eng.pdf b/Document/Datasheet/DS_A31G12x_Eng.pdf new file mode 100644 index 0000000000000000000000000000000000000000..203329dc875f6443b551011596fb89deb3f58218 GIT binary patch literal 2547469 zcmdqJby$^6x4;buD2;$1z3Fzd>5`Bx>F(Hcxe00MZZ_RWgMu_lr-F2Mmw=Qs=(maI zeV^yVbq5?yWr7 z$;cE|3&6|DdG|ow68shnQG|faz!0#V39KkDE7$ECK|u^uu$}2$d$;6&Gs*9IW?0x6;Dy?xX^6JiOz$e|Xn6fa9(q00;N|!#fE99K3gT zoc9#YdkW`0h4Y@mc~9ZIr*Pg=IPWQ(_Y}^13fDb_>z=}OPvN?!aNSe5?kQaN6s~&; z*FA;np2B@k;l8JE-&45nDctuI?t2RNJ%#(8!hKKSzNhfqQ+VzvJoglydkW7zh3B5a zb5G&9r|{fUctb_cRdeJr2bBzym$-Ko3070}u4T13mCS_p*UN_rifd4?NHV z5A?ued*HD>@Yo)BY!5uP2Oir4kL`iS_P}F%;ITdM*dKW84?Ok<9{U52{ej2+z+->l zu|M$crw;(c{=j=MauCM@@4?JL4|WdXc;In7@E%MZ^kC~CjtBW3tR3`V?w|*I2R#@( z=-%S>0Dqs2w<7=e zz`a_45*&bgg#aZu0rx`-l;8r~4<1m08*o27f`UMCS0_moCnF~?j3}wX4Y(T~*cA`p zu0yaAnhM5NV3XSxrEOtu38uh%9l~BILhMacz)m_qm}3K~f?b{Ni@du35xxJBzU?ef z#Lmv%3FcBB^nE|7c6`&Z{#nJ?Vm_^=uE;>Qtn^M@r*9K zru_!^r~BE_D7la~E70q_1*OIC^Ex=ymSFAOrDM!x(&B5N(4U*t;NR<;zsd=Jp8&~) zu6qiT_J1F*7rwhWUk)BxXvr56L8_9QH&jF-)+WIywoWsgaCQY9`xi_A=T{60EVm0l z#i<fB|a%{twW zBZC*51;1}jxV&U%CgJO&Ui8X*5A^zFeJ)cac5c$vGV~nNgwpBlp-x1SV6D{6k6w_5NPg~c0g@V9c z2kOb|kWPjhgwSL*JnptvT-Vqy9eKSq>zg)Nf;zuqEnU^mbS_8Vum=G24P`=vWV1vn zzSJFf`5Fq5uBktPD0>*^Z}Stp)31G9kY+Z=7ns$L@+-QfWTJ~Y*1P)3O>=T-^UXZR zsS+gv8**evvo)$^Q{P75?wQE0y;8B@Z~M$bi$nuY^WY?2=Jq~er{&5MUv^mnKT~v~ z1mE8=M9{`ouRlDeD@R<14Q*OY5(J|NGC<>{OysoB-(n42+)j2l(q3W{obcH95Yi|N zf8!M?7YIzN&*#u!+V02ZM%1BEX|^het9bn;gE!Fj$*zF13nrzyA6K4iHEO&;vReDT z^ZPHai{JdT>6Ph#8vE67*{pccJQE5(zc|*uJd1sN(VzA1?3lcL>CcIQ!3cEO;5WB7 zu_z`!^okV;_<0~`m^>g}=cV^SVKlinaU>em;`x~C)P7L9ak9TJ&?r^w#~b^`$YpFn zE>Y~1FK%uq{U`yx=`sT^>_V_RQ zAA76GC``_&hL^u~7+#*n&?;!z_%btoeCG1e+u7Bh`P&)_180k{3*vDSIpp~Fc(kRS z-y%k21hUJhiVRs?Iw`@)^->c*l-q!@z?k8^~IB6wc zr|R9zfUokl(>MA?PWpKJ-#m-3eq}J>FFRgMK}EL9z!@(}0gpM*sQxkyr$t477pTr4 zw|VT}Q|K?Tz|?`g4`4fDhOMzxEC2J4)7TonDqfO};wf zLp+=rjk2*fD~@={K$sb9+=FiLhvPvmgKN!_6teVq$4-6pPCO=G9Wv$RyN5b5S>N$( zIxrFtb-W0G7qBD)O(cHJI@-W67!62H>;=B5iy@e-_mr$;V$S;b^I+XbzLOemVu;lj zV?55o>&Tv#oZF#{CGbOU*prCyZ!bvP$v036(9QzJrK^Iyf6le1W?Ym&L&SS~MbAF$ zpxBI@d9G^#&ojq&n%@w;^VR13NnAv|;zgCf&RS(|DIkG>0a+>yPX2aftsY82x{xo% zm|2gG{f66Oh3!MDC8Xomis|fRr05JQo(xT7Ez<7{Zso7uGwt5(@S+B!T)!BmJ*I^B zR7R^zI80x($Zel+ zW_c~WnO5Pe_w%p`Th}ZH%kC%I3s|M46?Bs3!14>{>*j)`oM=&;%~Fi=I;_susnH7) z$7SE=I<6608p?Fmso7qky{O}ATa4vJP=5v=W13-?(uItxb*Xb{w01>-zl|;?4=%t`^kXH9>s-Nu6TAZLO zPi(7Vs7KI`h#Km$uZtbhhJty6@^XRby6Y6e^F&#^>W-Q{9h0BJj!3ey=3f$uomyWv z8RfEtfctwq%4og@v!!6wSVr%0>Hg@BEqG18>&}{ytR}^GS$^@QzlBZCp&*jwb;CFr zvI`4-t?$hV)fCG-2Pm__w)mhb5^SWP%V^O#n}|9ge6>D)!oyQw<@npVUr$BTOJs&5 z^qut%XeOzh(hot1e><`{t;a)`wo~lfF01#~P{>&qqPZdOxG&+!(Kq|;xvw3Itn=ND z6TXsi5%}p|qA8P)(rR8_yQllSQBap}2g^R@#Tl5buNR2GFB zKeth2GNTz`4zAV)ibm8_xQJB>*h^pUz;(`!_qyULtZRZ%MFq@lH_GIDANzCp`%(~C z%ar(cRd)mQt|t~no;{8|4HrlT#g;-KO z*Y3_RjF?gV4DlkuleHye`5CUuJ;-t6rn5E@QYZexdgLB87 z?CMq5#ZYf@K4Q|K_u^SmZQJT+($*XMlK=(1yfX(xp>}io3NRtJM@chOEy3^6NRo!R zh$A^UXA_NaAO-K`z;q#|G|UfBd?QS@D($W6pBy|gDbqC`p5KtRQi-y(FDm6cM8#H5 z;QwJ|k^r#3s--vUmhL%q?F|du<)-H@L^IRe`+maYtqCT4+ZhfgA*FINsiS-h4&}UR zY}l-ITpLB()(WNTp2b#>rX?Fra%^e9YZbsVTYX73882frTy>hst1ty{CAQab-9Yvg zYqa-oCFEJMe4%<{RGdRT*~i#!rEhF>wPmljALbmei5Zwum`Xbnv^SB^(6sw2018}R zYOHsg+ou3BO^I$nO;DpRO_p%2=Ei4dUd;28f}x%A|GBJ zn(BS_iwJl>FbY&a{eZXiv0{xz$*lsyZ7qO*UNJ&6qGFwd7-SKpn!K8X?S^4IC=?E2KFxtKOV{&f0!&Ch)&>xFf7 zi`T#A&9Al#`>1NUE%D;XKH~N({Bd&I{~S|Rs;IJuN*wnGEYiHb*bT@RWWnkh zikh9H45^@eJ@e@t{Y

cIRNaK*jBz`W{cSqL`4gKvZ*peo|r`){cpH$EphLXs?WJ zOGh;K?&&K!VIvvT*ST!q4@eiOZy8{=7Nd;vgw5dC zLBjJtTt}8y_QV(IH#_d?k=MmuYYK(o*#WVCs9^+iFT^kC$N8x=XXUTISgb9ASOa4B zJsWMWQmC?EieGa?&TKr0dG)KA_=8WqLi+sKTY)(A38=Z?Qf6ruUgI4U2NX+CE=ZQVK~5xYytA?7NSPopiJbBm`?c_dMp4xZn0%EZzE1%vLgH%*8Bi( z&JjPCLa(CL?L10rxM0%z$jjXeZ(8+jH>KUaNH;;z*q3nP#P@=4$Q7Kf=Qh9S^Yj?B zkaWp<1$%6KSnfg}Gsa62cGrpBe_QSPsOi)Cd^E3W}3Hi z5Xl^%8xSgiNtN?S@;D~dWQI>Bx+&7~q$xT-gPrk_!5>kHptLEf_?r=Tp!d^&!;B_}udlQRjzuRSg<2(9yT#2Zi^Gqe? zt`(JoPLP}K9qe9$#y9=Sl47AE`H?d%b}lHR#^-O6FE)pprn>Sq64C~uK{EZxfMxNO zO2rAZpig>7SL%NLtKXKE7G^PBX1@31HibS4N~H^Xf1H;R#Ebf0NEqZIyvhZpuC-G!Mv$~zIM3d#Q?or=iAnXNPzOe7da=sl5zlS>L456O=8}QTdn4>xn_G z+?!}bQ$E3E!ax!F*1U=V*s~A+Gy}|a2bQEIZ))sQ21eD^>-!L&}~N}44*FydfvvV^(*CiNR+NY zu73Ru$*5#P1!oy1ML4k?vcglHU!MK&oCu=Yu;3lsEPFmv8BE>(2aI6T2$Ba9MiM?%bPx) zX~}EYF-cM~dkQpJ$WwS@b2%EL^*zfCe_ToeGooOftlTbZwj^Vfm1XdmEArB2K7$8o zV$wC-f-Zj6hpSoVoJOI~QsU`n-XB%8tHNTRxM@9U6pDVs-}su&Wy+%^hjihJFxxqC zU|(sPzex+Jef#ixU!zH)ixEZI1pGuHwcDniIO7gtbnj{a4sOCtz{nWRj-gLB>GjrP z-F5DpFF-}lU{t98>JyX8WG4q|1DS5F>8R8SFonbZ)LR-?$=MXIgIbP`E3O*g-Zz&h zfyZ=aojWSt2NF3}LQnSB_&;Kff9S);N=yAx6HNN{GfBC@%1MCN=EkoqY`1)k88Kr- zzpu%a=^r9ceD~MQ43Cj0-HvPGQD%dyXPNqcjh|^-A^FR9tJCX$+NV>ZlH&f90k!*S z`sxSXeo+FaA7ZIRHG$@ajE^m?IW7Qcx>KG0qnp=<;c8}&ruR?-PNL%1;kXT2V~Iyj zEvK{flMJu5CM;OmI)gUWLv88sYNy{5A+RP2Ge+lsh=>O93e`HPq6VQv%;U*N$5W%j zi2#CKXs~DBL4A|giG3}g#NTpgdxg!w=wG#TE8qZH)zKkpFr_7Rkv9Sov_zV{$gAs!s=PWp(XwG*X%}#ZC{GzPX zMm-f{y_`zt(YqSRFLoy8_L5B>?84|AhJGzb0ZV`uoi$Z5_#7HReF4QM%S$9hr9XXt^l8*;k@-!bbGELL+JF?Yx~X| z8%!0tb^UI$Y%{h2nf~2fyI2!j$;7bAjKXlDiYHgLLXup$!`^EWt%FomnzbQ^6ORn? zZ!-1R^qA?C*J$d*$X7)RjbCXxhU6D zcd0xB66cA%*v_HJr0IQxaY6jt+}Yw70lT^sE`}xnU4m-%Nq5TB6U10*|6wK5nE7IG z_96}8u96b+XPJ@5{5gqlh*QE0L+!Jd8HLy&ol|D>q^~b>2uH zN=ijQHs&jMc;~PCdtVXY$2VNRW5b0r+gahMpwRd33&7`#q9CfiKa3g6elOj}Ntoc1 z`+Wn29!V0AO=KH{&b-Q6Bk}>l2roW8fUAh12_u*Z9q})jSMn4p$kK&DtqRzi}tkA@o`$21UX6h63(2!4Wg5>V$q^U|xTMgB z^K*H&v=clf!8*_>0SKWcjEJJ78pD!in|hy%?v#*+v3!BwA57<3pidJ6?_a(Ij!+zUq4_E#p~TrLVnwl zPdHV+pQm1tQ0a!vm}aW~9>SV9D{}j;ZX{P?y$pq825res#|}B#Hf~I2THr~7JTF(^ zudlCr%ZVaY3llzknZr3cow8rmFRW}M&|yq}%T=z(75(b#qmWF-2(vgwXurRl5n4&& zsWK0ofB?b({_(-xG&T6%!R7acmJ30I`kSE>9$`+hWLz8BGjMh(wO0kM73{tz>Wx@Q zmjFzE)AdG813&Pq%zqyM3KSYkx5z9epRqBf<;sMRk%>2U<#N)x76$&W^xzfO{lcoJ#u08t+cEn zCwzKPr9Mm*S`3Y3o9}~0MlmaLMK=5F*2x_}#G|PTl(|%?N%C!+#1VT7`Z<#aM5Rj9 zxL}4d&9R0g03L;7fffJh!y?_STxvrNimbH!7Aw}f@%&t@kjWMriOHbI^@VH+rt1QD zuxNB^cus-c)Mmr?xVNaiXF+}IFSvSxL;a=JUlY?f=wSF)&dgxR@G9fS_QeL6mBi1A zFiC2s#rDQ#(=?Ds4;Ar^6IVm1wch{8w!!X;g_=#U7mBb*GRlXpOJ&DuM&(sklR2Pk z^Ty37JM*v>txHuFGX8iw)EoOF@f?x|o1+lw`#IVd`_DNFrTXU_g;HS@5EJ#rQiBp^ zQHf5Hpj28NIIn~8ILuH#Niotp=Hr|5&kD#a%eN!f9!vIrSlC9~tPL_aD;ok%WG{KPlEtf-Y<=hqT_X(W}+uASMH z+<0s95Kv}vE!^b zObuirE6>MS76jq$yP%`e*m=(3O-1}N>2zBfXAF|-^whVDiz9{P0#K*_3Ry)a- z!nVUy2gXHmwn~cEqL#tgJ~QrqN>a9ZwoqYPPW0Z&s8;fGZ^vE(Yu5rgz&urGP2@agNU{ z-`bgrsqd_nqd)Xx6(slV@! z2?CQe*~mgw8a_zO&VGWG>-Wx!Y8}*u$z1@^>2(4+>hM*z+HzhZR4%f$^?`Nbl6!5H zVwdy_-TI{#MC`UxW7ZNC2;I}{S+jzZRd;G(Gk8v!l15)!v{AH_R2xu7G!O3)7m+^! zlZU8bd?~z~vcVbMGxs=w3nCkg_w|Dw*1_5YXw+v;nS_|U>dJ4iyataqEl86|XF^c_ z6AOe(OKig?z^r%J7AKZB4fa{A@=Y`ykQgc=*;#iH8<3bNN{9MNFq^;U@DF}1EwgMR zqe5x^h$u8Yas(&S!LS7-J#tQ&m-Oq#xAe%};#Zcm3@KH`IxDcfq4NXx%W1;L`~t9ydmif zX{1N|^l8Y3nU$7%0gYP}Q1vM4J_abpGhYF)BOap)SI_lA)OQuG$f#gu_)pOL+EOi5 zQ29rA3e(j@S-5E{7C9uLNyGGM>Q%E2uDu208|i`YQQ9z$B~-q2Z%-5E>@0*>DYwk? zZ$+dhw*5@NkcMmuYw|?=wf7{7KC>iF7e}weaaWp?{i(=WmvXbKWi$5|_(annIa4E| zFK{jx&o8y7qWMZt0&m?V=5ts9yJE_T zNbNoE9yP061U(pE_Z4q9oLpECCGB z2)z`h6Zm>iBhbOoWvW%W{RE{Lft|8rM#S6NY>xg$(N`*~qmFmURVa3&xwYXfoZBj0O zculjLLVns8G&vdip3b>jxcZf3D~Z+S6~*|da&w{yN+C(OID8U2^CW22lz1R8Dvx$ z+6gw*oM;#LN$NsnYn_&saBco47;{9!>-}@uk1B;fa=IWgZ}_O4=Xoh`pjiDP;+%1H z-=oJrunzY8gK6PwXzeIyCJYzkG%;`{4`J+*xX3J=BC0@)`Y`PMb#`dF`miLXx3Z@H z@PjZy|AYnCS_+{>e)VYqH%f^ZF<4Ncy2b}ZPA3g5<|~3hv~K$_bs@yI_ZsMEi!p>S zJ+Xx;b<`IjGy)HMK4PmXK+^cDL>$*Q-RcLOepjBzl-FLGHljt$ETE};e<+E^G@x&5} zm0nt}h`wS~6l6+cn&dG#UiH_o3(fHeMU)_x&;-LciS@6bIx00k2BHKNfuWF6#L?8Y zN1s3~xe_IaI%#o?ZHiRIIC9n~(To*~=Q6DM`LB-6k2_^pyHo-@<&}+TrbJ~uX*9_! zzmhKtmzwCr_*Cf8_y&ZdH z$E2$C%r0!SNE?qfwAe{)h#!5TZ>vP~GeAdt3-VHxwvEA==H-?v?5HVFFaHo z<5_hp@mCa9AwBZouWVJW;U!}Sw2t()!j@<6bFT;VyBFYS6jfn+n~|WX#NJle-X_~B zZG!j>qIb!#*;iKfD1Btw-r`+VlcYKmlr`0~o3GbCSv72|u=PO!Y&%*|0NakH+-^rt z!G1}7x7egy%4K?BJ`?4TvhByV3{=>DhMlIjI1h?LzXIN68A6~N-@=@-1vA%}$>5AW zFGe;9N%};Nn6>4U9~m=3fh1@(`AC4=`8!AUdu=nSlZH;wL`vduY-=#P9bXZ|$hx31 zM3SEF$lTNgmh4#w!E88}t)89tez za^S$8Bpx^*T*()u#OW}Wd2=azOo@A`HZ?;{GbuODa>%LTmW{%x(g=sR$Jjb4_bJja zJv34nTbk`Tskj8Jj%kIYIN=tfH2H3THtfEV>$7rGxeRRYQ53`cnm}ESbOeck{uRt) zvmw>^Qqt4s82)$;nF`jPSw=w07mYnp4ho24C_>NIZ>0$>#=~1bHADUJoJ~JI>(qT-!@R#dc&>ZyKQQH@p)8)QG2?o<@{ps+eb zSSdt&snxpiN(@D{mQ7D3vv1E5s@Ybz2pmEoj7Z~C>wB6ANU)OxHi0GcJ%kr9)!m*X z6x^O9_`y_XdJOg}1irI+s=`3eh!dr(_?Z$`e1m8%Q&_5mz~q*Zj~FH=0$cOqslwL0 z;R&C{!au?GD}Gi7fpQ|GkM30G2U8vDt?H0&RhPZ>)D@)aPHwmg+pRFRU>y)jv|Ygg zxn-7q5Fvxb)imieqF^gth#c(88;VH@S)ZDTP~}Bt`IcbfCo#?dwvj9&iRUcA{2eD@ zvz`q5`Uc_WL3=-qKs9-hi9!=hWMp@I<$rSLK;-O-`F2A#VD(@d7Dj$4*oCvK=ej1D z#n)VnfL3gsRS7A7DKpBr5P=X)wJ^A76|PxnF)}dI!0(^slq%VIu}>_Hq)hu65gN6? z+)2KjIgBZ4reamSw5Z>WgR7ndva#X))QW?$t)Y=PgGhP-={zhx;FW4XZcao*P$(Dj zdsb&D3vmfCrcfJ~e1RsZml~#>nM_PhadCw(J$U%*#}*F-B#ITs@B|nU|KT1~`HpQ$`xc1f&tVLI3KB!I+BpxJI0dxp z-|(UF-bB|V_65{8h-9txt{$AVW=O)^E#|SXP54G*eezR2J#u;ne-xyRmd1%7`%C1j zwH>X5L_0!mj+r5r#M*c7gdInIy9(`!@n4m8Od0%WJ)LF}$~)YpAiA`lCZ>ro;%fcY z&YON+>W5j3`%O#eFwrFWeWQK(rEZ{-gQsBb9`4KpIMl$7Kh=Xc?bWb<64!d(ED`BHe( z>dI${!J_VT1okV6C0YU{7sJ^-?op9^I{CS#K`-}e%C%|PrjbA7iE-r5?veri3UB=@ zFW@$y_^;^LyCB=!SYx1yv$4}{c7iIz8GQHjwUI3t7Jm$UW#kCHDZVQ>jsTwf(EPv0@7~t@PZIu{d>0&jC*EHo!J>Q39d8?uhNV_mnuyq$+kgSAKoLii z+vsXo)&wkI`2L!O4a5q|z;KWPTbf%q0l3+CfFdsDccK6|I6y#oBiH*IHZCsCyM{FG zOLDNX0yQ6Mv2n7(f{2Z72LZs&4peah+p5EA-xYs#7w60Zs|~nq3&6$-i`s`Z^UrAT z+X(D`^zdIH+Q3)xz}NN=TO%8wi4g$w_hGpW*8U$WiHiKQ(rwiEKPvrG+c1^0{s$Ga zyt213{kxu}O<|*G>Ey;D^)QU4Ms`lO7)MwPH4Lu|RJB*Lv%HP1hH3LIHvjKp-<7!& zK?jz0a$8;x@b4^@yC;7M_)lB=OOU@zQ&d{)w$)qfWV;U!zv~O^v8uhKw3xh+1Mn|V zfnpjk*HHvVAR-2bC#bKe#J%d}x>8vntx?_R?q?ZL3H{`=gTfAswC@%aCv z$6^1U%<+G4JNL;d|DE4qWBa$?xpPqe5cI!vjsO2p(Leh6Upm--e**psr|`e^2luHZ zfAa_T*;xN*{a?-x<`3=?Q2yZ${_f@e=?`Es{2#i4hjf~M%t4s9{+BDb^Hl$k`|qyw z|CKAaYvnJe@~;W;-`W|h-~UHm;Q!kX+&{d)-9Y|*`0f&l{?X}&q@#Z+^)B`3etH7f zxLJV@Ut1mySc;I1Jw(O9$OQcF%pc$@OD9J~Fhtbe*1_KH*4^>|rD2&w5C{9)Tpxg$ zk&Po5s3LFVXbreeM1%Q+|1KAZgB8ScE9_ruCD-&lJrw(Alh3@XE-&0VkQ+@}2uzGC zFuGm2xoWd)(VzRDVc0+aK0=+V^&}=H06Sh7KU<4YtwgmrHdWnrOuYmuqZ*1++){ch z@Y6MUeSr7v&+lnT-wT;c7v^}+_{|5n-@Z>3_80=z-!UOouQ1`W9 zV!Xn7+otSMF~A$IK<5J=AZs_n8Bzqn$;l zOy$$KdaS>D+W2ncJ0Co<|4Qp|KrsPA zp6I?Ok^l>q%QJTw38eJFgBybjf@%N*&AOo-QUBpyqEoxuZ2 z3NzC)?y+iOc>`_o(oN*`{NL64O}d$Xdd_yI`w%(^*8O%1dPB*J@E)ed7s@dX^no?H z;BwdV$~2PI(j0%g7x7v)Ga+j|EG&)}YS&LS^m)h_^3P1cVF+hg&d4RAgqjP%+ltMo z)yg>M!S)y9`fJIkZd;CgtG!XNPmGO&(#3Qcw!@*Ga<%B(G}UuHxIu84iQIpjB5X%r zT+DfJJ$X6guaJCrtj(ZvAi)q)nzYG$42_PXPoo;ZjSp@yB*LY)^B%=1!p!H1#n_~R zau-rqG}8yJ*tHMm37n4+!{ZQ>8qd=MJNBLgBuT_ zwxX2}=u96wru%T#J9l-#;U#&Z>Z!*Xt+Yojl4Ga_<1k?_c^V@XZ*#or2pbgXQ_Ppj zum>e(CN+nw(P?-PEfv%^NzNVjWVSXTlajj*<&ohlU_L6wYj%mqse#JLXfDJ<@+GH# zj46D}`b-3~E~SRx(MPIZrv=g#`nHX2C5x~07X1=m0TNcu4a016-S=LH36~`sMwSzb zCquX!ZZ#LYNM5+%FQs>;a(JU8Qx;%kEIa>JY2rLp1zL?V<$>5GvRf}+yBU4J^aHYF zsWq!EJdaJ{Ac%Gr0gMgxBEP_{wnf}$0A2hhu#OZ7@ywy%Ft$_T^^I~I;)9<39$${a zGS2g1vVPk&Zjyg6F0IOk@_3WP_BV5a9~=G2%RGv7f7i}2PA!RHM0^K9;Gd7*M*IY} zCVij;GRx~yWc@>pQ&lLS=qk7C%+m9zNBq9i!`V~6KNmi}X*-+u{h>HPHc_?ILFH%0 z?uZi5`aK4ZNZl8zWOm`z^s9}+PjsQA!WqK@8QT;yGjX&|;N38r-?rkyCI5Ci3K@sn zIhyXp@=eV|N`*=}anr0iF$I=( zW*lGeIJxK!LEFibjjeHODlr6D7Ox%AYz44=j(zC~VAzma9qD-G0F!bt#8evE0MT&5 z61>B&G#gyL0{hn(pMw0uU4@5TY7qzMkTo$Rc&dF+Qad`-tn-=_id*Gd`*ib#3x9mO z2-!;T&wZ@W*Ka=mkrLt2ET(wirdF(7{%*`5LZl=3qjJDTl8;#e6Jii%rWY@~f~?Z1 z=gaXC0&Lt};@86VOr2czStQ7k48jC#XM#wsCkcMgV22^gZ7Wx8!SkFxTQRtUTu~)> ztkf|tf_{EO8EH~?P;hG!lSpG1EC^DsA>t!8GEWeZCE^wLa{V=w z{J@#>*kvB2*$*OywGc4nF4{sRz(S{AZiM}muJxi`<0G9p>$?O>N)50n4yqVO>p`TC z(=}S#NEeo=(?F?pR$%gKOX1($C7V$~y_eiv6S%7=T>bJZoA58C@#mnX}F zDz7H9BIff?L$-cPyA}hPWtk|YJi=+(z%~mj<|78Acb{uX^n4H+(N#acUUGr+-dLa# zWUgv|oDZ$-6*W*^OFk~VESjj93PoQNS7MMP%e$r)>qT<0)_9dV+wxmbfF<8%a8vZ> z$B6a|x#or}Uiie#YT?tc7`R1vu+`(Vkh2S@L+~DxLSsi(X2?5J zDHFNy`iLGEib^ZP5M}PsqbLgZqN%oRV+!`Ee3}AT-l}Fl)24lT1>Cjy&59jUt$Mg4 zL@8*7*Z+p!s|&s>WOAk24O0S9j^TwUrAu~;aA!qG)0y5;aO<4i!q(3p zAKo+cI967fr(b?npoHnh;Q#&=j!Q{#BE-gVb5PhUoDa?|h8qZetKT0EulT-u0?iLe zm_bIeRe;UYc#8YCUH8p)wtbVu3P^w@ctUS*6W-7e>2utVx%o$e2TByD!jJJNAo9({|LZf_zV>C&eCIW!sPe^%}_f4z*=gR*|JpB|LZ z1V`8VjqYg3{z+iDLG5gs{pTN+eBVT~`Z^50iYh1@+|cv>FgN6INNB=aysmK!mW{~k zLVNV_o%0&ZdB;>Qu^HIEm$BCXqL`2J(kn|H{04L|w-g7G&XKTYst<6V=|pVlbWS{#z1HB=01##Cg;(9@$T9DE)j*RSdMCiE4*--!H%62gp?VHeI%af!D_0>toUy|m=5amw|kOijvT(4on!I4!`J z!92+5u-Qp;$~13>`nq3$HUmAI)^L$}!)LyaHy`t|POmNw-*o7kB4E*PGt)VN3`lM_z@67yKuaCObzR0I4o0)CQF^OmS($M6)pff<(Sb4Ud!yoIr$b4EY zH}txxoTsf+PE8#-zQht$RTG*lwUgbbt}*@2N#XWUBh1~ga54NfgZV(Zct+V1)t=#P*vfsss^3iW-AwfMdR-cG6={V1Zh1*}IVL0{ zD@#=kD@azL64vm0Z46zJ!Ng?s$KoX#7hgWT8@MiX-eZ<|He*&K4>^_GYR|ps4-4Lq13$_bRX+Y}Pbyf|_CveE8-^ATJ(_}W2G|_yE zgYTqJ>$Y&-d@;alYqkJF*l5~?<_Zc>_w;&-fSVPDe}AzflIausT4{4G?ieGWzGb0R zT71f5KA8FC)H8~(x)f&N+4xeD2{LwyM1caLcX@pq4_t1Am`$fD_7Qg^ss0BqF5;KKB1QIX*f<7fNl2O^Az6vtD9tsmN|H{8;|Z?meD= zRpOWA1Y=ADmo+7Ziwpcxt^_C)XY0d9-UyA5plvb}yJ}biQ4a4HkUNd+-nnK}1JfkB%L&V#4kLJN%#vH?+W?pTTgzkv zO|ju{?8l=?i5e_#edz;9nEK$~%I53pE;=|-s0@vaScQZhEtWCw*lW_K?aD|>Ch4t* zh3z#nGIsxLpKkJhqbVcMH^I@&yzkla}!I23UYi7b6MC0*F zziH9Kot#`!Ow{!CMR=P3y=nG-eNO(}hSmJP{I8C?egFT}nS&(6$PIRg>f{8z|2rnE zu)kgMzdD2X?~fhWIM~?#!?8oUrOh)nJfA{-ys#Qnf$XP<2(L6bihN`c{c}aAD?_M* zLUM(KpNgYA34O}i31A5YgcW^Nj;IV3Qwo6>M`E#1QB2Hbeop}1o-j*@}jdN|AH<7JOnlXI2)$Avx0 z6jRM1II(;jBfiVM+HUVg$UidBQ~1gLgq6z^w$z>w zg>oP=P)7%O;S#S{zlSqW%3Ksx!+qb)U}QsJ%BsF=EaNY~qS67kPC-0{JA=<)xy4N( z5a~$Y%3lD#i-oWm{@JoJQl*{#DeVxu8;2s%UgVAKmyG=k_-B$dk)j2XP>$*}K*6W2 zTH(uRgAO#LFVb@}6DN_@9v{?99o5<8`%1=Yf0?@DSg@972_9*ll<}p)BjjmR(yIC5 zGuDRbJjTGHx0EuR;xw{!XjkTYJ;@!75;H)AbTfclgw6FcyMQ#-Zpgbj^Gm9PBuXS7 zVTtgI(Eil+Bbr=+oM~Pf3|(@88!8Trjg2Q9TAI(Ejp1|!wGC-MCy^>nR0H(qcsW`( zsQUz1>^>{S1NqhUU>7|vSTE0Oo9XZ~`IZZkoa-KL-<1e!*yg~Cw3sP1P3uz=2j z%wQ?(QGV>9>tA$8%%xHU`k|@P&6GnayImUaCe=LJ{ZaH0i5ds(d%1-ntLd3;^< z8>0S&P>$@IDA#SUb1fT@yvHhSt2t?UIVeHoFS<+cj`Q9VY~H)`fAf_+ z)TN8=Rr{>H&)Ms&Qpml~Qq29y{K&e$eJW1qX08>JDgT0G2UZUOB|)#4FK1ty(pGUa zdd0S?(%V~1ID8Z^tsW7xh<82hN0WK;+se&@W|SXMoVw>*vUMD*n<-muTD?JIQY$@^ zTH*Lv_Q0Gn`cy~#XuR;q6;dN@=`icELdUM}I_Zg8WvZPA z&nNdAzcOiAGbBnGtJ^~%8|RFJyLiB*C||4U9EkR~55zBMSX?6!WDL+}#$h77v93F+T z<@PFlZ{dlz^&ut@Sq^!sVRc5Gu2QQq9-NTG^;{ekqFG9IU5J@vyclCjS*@m4P9aBz zB@K(^vxQ;_%b6olFSg_#&^NkpWiBSZ(%`Bk@VjYulxxfPBHr3U_U0;Sdkk6nV&Z!% zuJci_SM2Clu#+B~wIc4LDd)*n&OO0KB$>b>eg$IxqJUu1vgmXCEv}j}$w)bk^L92# zHlGN4-iArlQn{sPH`zR=B%1pQmF3`4c&RLqc1|*zKOA~t#ftQMz0X+|@+Yw|3JyjO zE@mW{Jj@8!3?eLUbyBOR9K7uta^wcLii`hjm-=Oo+1l}E{0*L@ni%YI7v7^w(%xI; z&NX3scQWbdw;w$A zYI%p2WPo{cOXXTZIBT1te|I}Ot9vW!3zqqrySGL{E5Dn!3_P7#+y0Jg`nbOR)tXWGAx_C}50Lm_P?8ySP5;JDLF zn|^NZbqjXZ(bFRr9M#vG(W#C9hF2?^o6-JakU#cYV?YYpxnnu1wq7M(YR}SrpX)wW zpe(H~x!0IwIS_>IVrI#Ac1_)~(8%Xomv$P3#g#XeC+p}XtF}+;Frk&6*>v{6uB^3t zT2M8TnDzd7_=GO`yr&ahhH_`l`-*(Z#Y>ijm&%hUMd`k4oaV>040^p;X_o80h>5qY z*d_YHb>1b%KdX#H|Kw=doqJ^^9qR^B>F@8o5n4X>OUi_NUaFIgoy;x=Yl))2#Lx!j_Tie-5)h|4qQ8(c4SUD%X0j2gre5lp4hLqFP#ZT z{SpCwokeFIw4M23r0mE`Z4$H-sIiFJYX(zrYI@7dv3D2zc7@ zLLobap(Ep}8Y&TN28!PUmtX-~ZKLÐ zL>&lw#=nU=;BDQ%eVpA^Ie-Mbk^XZN7k=hP-M!ny{VzY%4|M-r;DKozg8k322WaVb0t^%vaRcVRY*4_k7BEwVQStI{|2_T? zKD>X!Zoj-J4aA?kv5keRowU7+rIpF=1tDA*_O~GXMdt>0q5TnrzfdCFoA$?@`I!=b z`8-IBL^y5#5{d9J43ETL_{DpzKW>>NbB+lP* z6@~!b-#Z5!vV-^vLqNN52zZx`qQPXTb8C+Ji$tfAF2y-1%HPfr@xV{9Pz$ z5DomP&sK4px3_+N_BgNBs z=PMl`#&;uoFW7e@`~M_}p`fce6m(UGg0AXN&{Z7@5CZ(>fUfFL&{Z9v48(b0wgLa| zpvyKCbXA9fuIfnpsP9* zbXA9fuIfwNC1jp5?~$%1~EtuQ1D>_Q1EF2P>?L3AXz{`vVbDU;`f6Y3hKn+ zp5#B~bMPPbZ&1Di(E);aFNSx+`u{kXL52l(OCW9<$goh5VWA+y0&-5oe+L;B3NkDd zWLPN3uuza;p&-LTL578b3=0Jr778*f6l7Q^$goh5VWHr&8KB_98KB_P8KB_f8KB_v z8K58&LqR5nf=mnrnHUN(F%)ECD1wRi5j2QYgcJt+c|l?yS$;QE_M+$CJWSyKIF7)K z1_d)36wGKaFr&f1M_$0dj0OWU8Vt;6FfgORz(-!dz>Ee1Ga3xcXfQCN!N80LLu52y z(g-i-fma0i!9a3`f#eJW$r%QcGYlkW7)Z`Akep#4Im3QD0s$mv7)Z`Akep#4Im1A5 zhJoY^1IZZ%k~0h>XBbG%Fp!*KAUVT8a)yEA3kep#4Im1A5 z2DU`PuM2FR0AJyi-#+3LQKBIwPIu~$1H|cGPTY;yy(uu5CjVEb3BNhB;zA@qq(Jxc z3izMZ6~92Yw_xz|LyG?7(L@JcMEGrB;TaJr!0t@s{+TfeOyFINxqu>R=KyAVK!_jU zIZs@OYU}q0JQt!&LkhUR^c{Y0&1-j}3GTQ?!nz2&Fg^g3dt-SQ)L*8!$boXF z#SdSfJ0vI(9UEjoiM-W z60x{}6ey85okTX2`-ASsqb|7+3q1QMfe8kpGQj6A#Yam9|9fnax(JfEdL?U!Am7%L>+vo4mmmaKLk3Yl`rts%>zKUTT1U$ z*S|mq7{oz<$Hc?e{{YVa-;;#=Zpr}FgGfjj@WtT+z_^FrE}*}ZE_-ujj-SOgKy!)< z(eU|aVhit?A!T$Q;$-C~ME{v6B5$B0uaWl4K>tTVhiGmhB><5(FZmCF4o=xffev}I zkY9N5e>LiMr*w$k_&%m|h^9JHz(n4>1leFB))E1o02e|l0R9Xth#}hDz`fc>3*mS3 zH$tC)6ljq*Z#f)jfyH<(gi_#;phRAxLEb#(7by2iq5svv|GV*skZ>R)9^qmE0j_im%qzFaY$`4!tbO7jf0o%jymk9ksp5%bru5tkjEIS9F1^96Sn0z7x zQ9n*_0Vfb$Q}8W7UlAdR`tch;F@VrSfhPct<3fm{ew+Xl}HIyU>n+1!Uh`2U$qsyf&;g*^1#Az)U!X&BF~kjQ(A+t8*CDupCiu?r(+ObwBerRRgaBxpxe@y2 zA1C;L6NpJXe5HaL(3$QWd)ENelH3TzB={CU#>b72^8GjgTokcc^T!F`qM(r!;-Y}G zfE$z-fG2>9g62Qq3E-l;{ri11NA{Qs{v`mqgV)9TVJxJrT)`)o2Z)`$klBr*e-k@< z>JeZ$gBw5#{zd;ZPwZ4L+`x|5onz;oBYp<%A7Xdx;S5*APF$oU3FOTTe}ViDq1_*J zyK#(YknSUX5!;oK0_M&TLQeetXJlMN_ZlhCA#ZN?9|9fH*2Ul}AIOQiUxqO9GA^7K zfxY6~i2dUG8{EG;H38KENKoLx*P;#p>TUws%Snd~D$pk8Mzo6e2kI_kB7^|@VN7`D zM8>d(FAg05<~?+G0Y5yL_Xq3m#wzk!((o0 z(cFl>@;)LIp(Q{{gzoV40l?kEbQk7dz};h4WH;Ej0UM8<1E@&%7rlF=0550v13A*B zknm;C13mmEygx91n+lM}r-vwzJj7@PwheG2j8P5;U{Gv;jJn|em-*7~ z#wIAsKn8Tkn;rc!toLH`A34AgCO=3?N64Ee{TmSXmPq~(Mnv8Ryo|UJeny8htdTZ{ z0!*h5FU|iUmWPBL($X~q-fTLgeEokkuy@NKgv4wgWe~!z3mHQl0&fK!0N1_pXSX8y zWvu^UOd`fN;628T@E_Y>jP9a~(Ch67U8Ge?5O`641L(r}?4anv=lA03Hyg7BDM$OFWCLF>oOkXiBTYM z$=?A`+yieH&wqm=a#vY-071phad0Nx@ADXVkY|(tf!9w5KzbM7J;?tJ(*Njek9dLu zaZ_g2Mm&IYYv(w;F^|0J2fnrU0NCz9x{LBJ z*zT>`{=?m=c@U4bL`o?RZ-*WL&|Mh!ko|7}{YR#C&;t({A`kcm^8=u`2i`88|8XcH zI{!#P5qUlwzo7Vs5r`;`0K-Tg#A9Q@p8+C8JSO&V5hgevkT>u?pq0Z`oo za~I!VP(=!sz;<#TgqhwUVTrtHCtLz@04#SA+ynI&ERnqVnm!vT{Q z*r~U393GD%FF(O`T?YVj55rwp4+~5v0OsK(C03?zynwWR=Qykc#0&01K?<1vTx}bCsfibmEAJfp#`4DwLtcbi=kM#(dBH6` zNI~}yr%8WEqWeogduZ-+za}r}jfxaBkvBOyr2G!-&wJ!}fu8x!v2Pmr-C_as z^F>CO!!@Y~z<(F@y&Hja{C8HUd4c7Go#XJZgac4O z;NOiy2_Ut4QQ2K03rZVsh_Tp}PTn)0HWOQ_jcFa@koErT`{`Sl4*SVEm`}c{8qFLMP zOHKBal;4-0*H$Evk@!fgIdTZpXKp>~UC}ed7X30&l0*4@ZEb-+Xlriq{phAq$mO{6 zG7PVo{;CQ4SkT+w-ZMTP$IUf%-6Ac^BA=f91dHZCOJ2++Yis7Ujmqz`STpDPq&V$# zp-rtsQ}Omeg2DkDM4hQVYnz6Rr%vft;BZtDY*9Yo${Ebul-HPwyZlo9qd|&)SW+5{ z$TFFUM(NS*V2*1YYU$qh!dF-Vg&V@vExf21pZeRHk9lwXykbzi;oSnzmLlI44(LG%1Wqu1~IvuElcGT)OHY zbhYzl{aZJD)-7Kj+Yp&Y6P!+ zf96=99pEK#r3KAC_<@J%=2VbCFsBD;#f%O_Q_?B>=&bW(n84OX?*z*=S);deI%n`s zQJ$HXzV(e|AlPbn?QpspnHx@l@A`L z9o=LH1g~`o8)V&nB6tRRI;@2&Jm_Mm5$5At?b+{024>q!C&-MCesDJ-r`2=%ns8?W zD^Vrm2{gSI{p41@jiJZzjf!gFr#=3Z=ZqcEs;xYZoIr~-WV(HVkIvTCKKqh=xp$s9 z*{0>y(W~}BYiH2TNw1aGw68HpXElw7`#(2L z{X&=utCN1zZ)g5RV(kH1wgGH0XYI7~s6Ey)?Knoe z^JR6(+?FWM-BkRVRBQKn#6MiasO$x~yJ3B${C4}sy``qu#F4GdXlLGO%7g6$#FweuqC85^#>J)HotDC02YaOlR+WKC+ zI3Dx$kir{xy1T5W=x;o=yPjSu&!F|_ZjEJ<6I3t$@fHM@wC2U02WfTdyVd9ID;H!% zYhUx)L&!KXkU*f&|BJ`tbC`2F+WGD&AUy3p)?_Ed{uV{Z|J^f;JW*L zd#c{=Wj3_2Nq3%7PNgnQLPRLJ8y?$7+Yh$9`)cb=U3aQ#>^60LR2tW_;a3KsPvWty z;_$v6rG?UXuiYEC)keGmrOtf-`~kMF_JIquuC4xJMwqskOzdZc0#a=_$EPm3(!0}| zs)*X2@PFFG^Q`1U+l2*ns+j}}N$&;%D$Vjj@&cCF*R&_rbyZz#JM4KY!)nbVMNYT0 zy;KxlAP5$ubwkZR{Twra5hJ8GtbpwP7qRk~#nQ*u+p$O7baVskbz8bZ9ULnq&GbIH zZ_a#8mZ&*bbA<4GV?gHV2MshmGle`dYL%ym0(@fF77LxGGuo=VmM2wM7|5UU`SqN* zuj++PLDbG%Aw*u<(b0m!k~wU#by~sh#CZ-`slL-OQ2~SVN4?I<-h7DX1ZA?L9;+CM zT@}(Z@0aK_G?+QtOIC0j)vzv!L8^psj5+rlAQcU{op66_VJvg;Rv-A!R(lXrQ||_Q z(x^%u(Z>(gp&c8>@$;)KjAwf=O7WaT=xi4gFFnjNmkJl-DqJ z-Hm%w>;Zqxz7N-EdF~Zw4@JF_5<5cVS4iTnDp z7oPRo#9HIxPn4sf9-Xjdy+{*(DUFZlnbjNBnTI$5!MD&QvWr60w<*s_ncVYSRIXB% zZxAG5HnmkQQWIL4cGF>AfxYXKJ!gbjM8H&hM8 z>;(l=iML{mZ#mwIUB>jhamzPP4TH+xN>TB0Rh~xF`S6-u+ZJLcc;+;qyvHp0bSGi--rUK*%uL8b#1%q1^J&C?jlHBGwnc-&RwuRvU zV*L2m307XXP@3$y3K|ww-qrcmS2Y{=pp`wP{aCO{^_O(ggX#{xWLncfq^I1gSh3yN zTU!Y5@!z8++?_*v-}X*w=!=Qr3fo|j$HT?dLQBuisP!d6_p%#IWZ@fMbWq2d+j|F@ zW1Xb3lGf!c)K^>u<-Rk2xEN?*d2&0z^>Q$S>E?;e&A*m%VKcNe&nyObX=uw$k}(U0 z%Cd_aW{8U$bKNd3Z3&+IW-?>;1jR2MX393=+@Lo$KtTOqW?MJhr}uRyqtZyGHFNh= zMU0iB{cWN?De+|J;%&oeeBZ8gcgpgvWOc~$rgH0D@NT?PBck6#p#0%$JG480g*0U# z`k4Z6cFWnDab!2rSy)^AUA71`>V+F$PMl4sUMe*#nUZoe%>U|iW#co}5O%R&v1VN@ z^vOM~2k1r*_#4$I9==UglCC^bM@bTH()H{J!IQMxD3*fgK&}-)PnjR>dCz-}DFOxa zW+%k*BYTtS&FD(3dn}u^)p`Y|`4nrWme({-+^!8EALS?2-KM#qcp({wqSf;JSeTK@ z!?B1tMHs|HN+R1#mSZ$LEGSj5sHNlO3+3t7mq4^F-LKr_wOiZ5#umL{G`&W0s{ejc zO*+S5ThVHvuC$SA#`o&w%R2dH{7o850tKoH?HlS14pzc>njC|*zT@Axl`Zr6zF1Tb z7S2NxDSQ$+h?w4f?^#%KWtP-ZKbxw_az|X0ZX{lAG~o-HU?=BzBR8d(lqt3V+qyM& z75f#a>h%JSj)6OZLzT)nniSrg9Tc;r66JzJiP(3^pXj-(Val>UVWmjbZQ_kQK{&=` z?TW3ehH>rbn}JZ$+-H|dIu%BX64PJQEC{9t2R{v-bi8hy?{fVpfqSX=%bWpsX9-jO zC{e2N==RYYVeNNZF5R}4O~5vcJGFx5%1vLhF~(`zn5rBYzF24e+96-Jbm>?)73Kpn zQe#KK!s8ofXdOsiP+g~g<`=As`<>G#+We9yDSmv2#gSJ*?G@!^C*F&gO0bSvscYUH zePo)+8VSVbGdZ$1*KAC#cX4YtqU8hliiaF5NbQWUsD=!O1a&#*AH(#bxM zmZH-494pkpwzJQ>3R7u~{X%uMk_ED!=)E4YqEl<`!`>1Q*Wv3F}bG?0x#=4LilO(Ek`Y~~z9#^I0>?f}ly?38NYxR{? z&+66+^SEfZP*8H`cAJ; zV~?gc^D&#b;?0J;Sl_puep#Qs&}~&-!AOj+&ACy$7!;v!o4Lxl-BKvpfH2pC?!~I7 zg#_N6=>Z&Bn&m6EqzU?_78&fUs@8?nsCDqr(qHzzis!&^=uBKodvlid!$q`Jg8=WT zChxP~Y8r9uT^*OR6Xwl0A`Dk3!ctQ$Qzmdee4V>5^n48`sF1j6@z&`N)fmK4i=QPV z`_^pJ4aFVDvJx2dyp`o||Mk2+R=X%0tLdpYL>>?Gd@ovvm(N$7SHyYYHiljW@8@m( z`yB&RPDqRETwcLd=;l8yM~xwoT^NKj4J6}Lf=Jb?#3cBA?m9y;pQ^j!(`({$RD_Eg z`6^;@Nti!W&!3;BDP8YG#XB)VO{+B=$V7P-RwAv38S=<1qFVWcrr`SPl(W)|qv#SE z(e?mQKdpYz9&}MGC(A(oAz=b7Hwq9V2}g?DJvL2I@-CrLar!f)<<)8Aw`DvZ!7-*f zl7~*NQ9X2~I)BA-Fv*q4n!lm;lN2xIxSswaUPhCJ_$LK4ouT?gpsYjPHKDvFtkCLZ( zn+K&TAE1)`nA{%JUfoMmFkTm9)ZR{{X{fdoe$Cb`BF}^YkNEu3vDW0%b4ittntJaa ziC?Ks?29w#Zg+1DG>28jQbii230Sg3@RM% z6r?G?DmZ`AR3bb3uPimy`!Nc6b}`bgiF#kP2hV?9UJP|A&qHbMiYL|5 zRWPTYnjhIT98CPZsIwY%nw7j>LK-GC%cDP==lG70w(=t>zP4R2H&uC0_GMfs>FfE* z2gg6#oAaD~4O}>8HTg|b^TWtTM6UxM;+2aq*?B{uDRHTt=RZTn?ASe@Ze(@KZM>2s z*;aQxZ4fyjK6-CH(cfrJrIlsy0(v#Iof9v^Yu`K-l9D0{ym$>ELbtb}VL?TLMI9Zl zbxDHrM+nOsb8T$ZXZ-I+7A=x-=YMTKcASem2<6Wf8c4vWuI6QTW|%ITPAeUM$>E-8{vOOeU%i)GoA; zymG@GjbfM27xOh0&e!=A!|CzhUi0$$5c<|Pg|GQN#UaZR=-9OtrO=7&%r%|H%lD*4 zKle>)YF__qSc667=Iu*KZ{iJPhPsvGCOOF|{4ZR2yLdf@Yo6Um;!8TNwAyl)%Gx6V zrDVU>KEgm@=dBF2FWxN|G&OI3raXt|vKm>+H(+Y1`hm%C(sN1Ya$_UDOkFKyx^}%& z*D7OsYc>JhQ>H5Cve|fN7j`!>Q+guc7AJ*{_=dGpxr|-6#;;m9lXu}^A5kBJ%xZCE zX2BO`29$?lmiScRn$Atc0Y*nlCoZ?370%$8sM`BI==LG1Gd#a0cG2UM&}pRvoZCgh zxVW@(A6ALh)G z;XX)AkcFb4ipO0%(ke;2oHzW8Vt(rTRkttd18j-6)Hkl@uu0br)2^D)@?Fhx&F@Ml z7EwwH_CEPWb&Bu1dV(W24U{5CjQdP?SvxkRyN+kft?I4_`ua{(ZNrPQ5qACNO{q0Q zl#k9!x2IKjcIB_s)YkAbb5pEEZ!NfbXKVGD+$|O;kkjl>K4D$=+C#+<>~VMd_l&Zi+WH7%Y8!^*J}`CWri0!qf+J*CzCgL@DbNN``113bN8k+N*R&QY577`i|1D z*sTI{NtnBie@sF(9v(CAhfkrhop~6qMeMTk9Ro}8RA6+r!C9YmE4)Uoh-hdQ%MFQY z8RT_}I5IR5HrFjhIaS1_6+%Z_29roI+M;^7lYljZYKJ)tDTxyA*UD!7I43S)y%89* z4vo(zV5nhtqpek0b-*~+MbBdF_;USm(-%2U&aF)mIaK;78xO|t&NMC(MVzYCWye}E z+BgDQ8dd8{ZDBzd<%s5^o5)D;^W1fw#Pn4=5vfm+n0hOFo3rt5K7X|}M9u0i0(?@} zPNuaNZW9>{v9Al~q*A=KvFBp-+ImDo@KLfpdXVPw6Gdcv&*MLV0c)DFfgVNeZN8zl z>4?90HT9Ujl@D!9RGCnIRW2RJ*2$@4f%llc)R|Y5^jyXa4Y^95O57)xc(8n4xU^~D zPR|84x~a)bbd3f1kVs)OnVXaZybun%iw#G^WgiF{iDjBwsY2i50LYi<9*25&04KvXTvS!}vlelszBfenEdFQ`AiKIb|luyDteju=NN z!APods@eDSIRBC;c`4~SpZZ}aF1)YTa)0&WY&5%Vq3+e>e>@@3RkB72^mxPS?kC%}Vg`fT?LDU*#Loa)l z%&&kg8w)F?^@}%}<0;ff=Pk~QS;>(ldFJP7NN&`6lrOhYvl6k%w4vW&_)wNPS;!Zm z&TrvEim&yYHV?)3<3Qv>8!Cox_bu<70R46!mMrBc;o_?I4cizL5}K_z*k*R7KNhEf z{|j#=?Ckgc7eg2=#Y@&^CN3PRu9sY{IG7~pX(gpC|Cmb z9d+<2jtfE$H&4aL1k(>jn@C5SQQS3cy=yiY?5sX9F!D;n*4DO)$C2L5e#Z1#zerm1 zdn3+eb57!(e1gVLm+)`Q`IOW(`D|^FDyb1_n?^|zZa+_3CL6ddcXdI4vYr~f_{xnA z(MA1&FP_v-UZ^LzymqU(e50dTLrsBSPX3lDTbeV=$9rGG{Hmke?!t<-(q^78-GrQj zpeAHFg;43fZlaP9sf@T*%%~+LI-$TWUQ1=miXmL;HT~%N`EG1olhx;f7g_KnCkU~# zRy*$+D_SpbPYsPEF{@}rG%j6v7P!ruMo1{4`;31X`%_3!{BxP;ThH7ZvhG{Cp!-ZS z_gA#76EU&hPskz3z7%&^@AM-|QVsMTzwk@>>31#lNQnkirp8$aPf*w@e0XrYh}Y*$ z@#}KMMy!BIxr_J}Tgrc(r^fu!n@VqO^$y?6m5flL?kv=b7Uu@%myja<%UZm~6(4 zVz=d3zVK){vj;~go39R{E&cFb4TXp7jcfOC&(BBVM)jDKtaW+!mF00>XUq|f=UMzj zE80nusG3TY6A);)O0DwR2Vb2@-yj)yYs)?2z7|SrvWst-{pTorp?FM}8~sqjs7`oa zkGVG+VqmC`#cAUC*--FSo4!w%5uY>j29c~o@ud8Wd4 zZp%ybEw>+aN!?ffAamBC_|mdS#&im^&zG-0>P;K=={L{N+vP+CUYnIZyAOu=J?6i&J*EcoGD|RG#YNcgP&ycf=={XRLY5u zLdU98`=P-@r|qGip^Bte0LeSN8ajrd~#_qnYVITEy-O@w<^b{)*dg|Dq4ozwo zy>Q-z+K9_>P)=1D=h@s3&mqHj{7dUAvN@>3cnx23*n^J36+#hMqrP^;kod<;iM6v*W8zCN_T8CYA}C?HVm#p~4KoXmh3rtyG|^HrZA8Xj}M&*0g%EN5@xP$`k@5%mrF#5S7Cjhy}yf9ZxA z-3g?tk1;Ma=|EqJl`(i&uOoj~(jxHW$k;fBFaNm)Gg~Dqr-t)BWq}37 z8v)U8I6NIf-}5Vnpd!RXL02RTjj zO6x>7^OlnKO?5I0}+<}w+A>;rv@LR%MX31 zKCz9)oR)&M)IIZYImb@rEJk02_AAd;qpdkwaYB>@T4%j?<>yd7v{gVC|!Angsw-3F|F5Ys_t55x4cqZ&C&Bjl^>SJ zwCuuJ%C*V#ofU3KZq2I}O6HuzF0Ez}^N6W&ND*q|YLWBj4}+gk}4ZN8{8{xAEz zeS@l=JW;5> zLu!}+t3OH;cwbGdLFpq-z({Ny^@GJXZmM4l#E;V}HmXm2>a`c=wtdk5?TVlsO3gza zr^08ZguVhrUZnDOSw<&&>*tzZza(iKf20;+Js?1Au{v9@Bpf$LL=*f}Cqmc6#M|6;=?~pp+GKf9zazEsVggKcs35+J zGkq(AiI+YcsajUObCopGaYk^HFFphl#kstxb`|oruM7o zNK#+g*UmeuCaa=rTHWMko={WKQrG(l7CA+W&Yjl9B8{d|uV^-usR&+5c34a$+*RDSA_SZK4&bfom@vv7`IXbgfjEq7is=8cx>X2ZpK zsOIvH+Fbn-M%V;jhi*88;+tH4G3$$uB>mA3q{jcEcEMG_YH;su=zr-x%Jc2+iAteG zWpkUlM{#^4sI&vezJ2}vO10OxR1fXyXLbWu0>;trg0h5IWd%V#64!>__?JA5BhJ-J zT@2||9)N0nAH?pY`7jpkD_6TAN!9X=TXP^zcKzyjyB2}hF{Kcf02%^UtGQrP;!9tL zlH!wbOxVg7t1Z8`RB!KK-RspDMmJ~jT|u~$MlnxakciFU#z2}m#r8$Q?Vz_Lr+gw( zCuRL!WLVTbOk+s*`e;z4;y)vBZGM(Fb<0Glk9I@Oek-s3d4LnD^Y+Vur%!pevuK@} z9WS^IiyK9M#lhWrJo64ih_B3Mh{E5hfpulHI?UTVg&{Kj#Hb)+Kr7n{qd=keSlJVN$lH6y=oRc6Z@TD{!>YkG_(PH^;v(~ALHAXT$4H)co>Uv(k=_FmBb@s}p3 zqo~c9>L{$vFY)N87Jr8>4M z%*T!$W5zG+!KBh5Y<}-Bmm^$bk)C~n3)AmvekZ4mfx(%pW-p%(z8pU1L>S5(^;xE8 z`1Y-n5Y@|y*(V;xB&uy+?1=mz!=kOxSAr#$H8IiGz%oiCuI^g7qWe*kPV90Bz7A^9 z*mAq&SPX|!L8zSaVy)Wnf(%;930!GY*S_IlD7P?6OnF%?Tey;{s+W(o4ym!HIlHLn zN4_y7kGQLqb#;uBsrfB3rfM4ZU=|EpK1~(wH6vG!hsrIeYr2n3FTFD&7O}IP_Y}H7 zNe5U{RTM&rLjDHJxs?j>&GnmoTIQakAB?xaDy5 zs$K_8(24llnC!8~b?#o(8y&b~&R&lBXjpG7eAt>bq0XVKE{Bm+|F7HR93mchg$jh~ z8XCb*y+u+->?kQk*~&3R{4g;;lL=-T-io}mG&Cfjvb{h=Q;mj7R}f*;qg#&|Iyps@ zzQkMYCX*CD$Y04L?1>jcNSMLTkImR1N!ZuVvVD)-xVz)i#mi*G-b zN@gV`OAXRo(ol|C!g{NeOGz^`Ge;qKmk;I5+wKd{sr4JjS^XuuXz-k`nBlZv%)6gk z&AV9jwx_3OBX$)2g+!yw#4LR zaYT&q<1U?@V=J_`xovP=M(ENl&m)!TJ?0i1cVZcnqyEyaAke>Qe*w?`hDol!kiHU#RhSh7qjwJfZ<;7R|oF+!O6guc{OX zqbze?=AOx-V#UUdl+h=Owsu*0x8>z8nf~3^s5G>Kj}O;V>s3Edhri^A@WAn5CRf7b zi2ItayCNH)D<&VoNUGoZ8c5JRN|DOJJ*D=GCrvJ!Jhn;q^&!8@dXgX z(?mVrS;84ilH7JcI*0q?0#KxQdA>?`S& zOq5lfkK^OOB$m)NXdNpuLvf)^OqCL&{2Ki{7f`UCHlJ1NC)az_!{5uudX}Yx3jZOS zZ)D}>@U0M4Q~U*6^GUzB?WHAbEylr%qC(`tYC_)Yb3|fzt1=xT z^jTS#7e!>aFrJ7J0;GVV9U9vGYUAZo$LqA%qjQOiu7fnhr|0wWjFzUUf%;|t&>yToNjn)|;gC0WaWT^c*0q5D0g}<*T9Nv%*Px(W zy#KlemEh%~)=Kfj!d?6n=A$T!>vRlwpHLnjA-iWO$tQ%CIdmpBoJmPnY^dkVSzKo{ z+hgY@)chpno}!?csOdxq={&PKR!QeC?LiyqALuKFsnq`LR%zmh;r#RAu=-Nfisg|6YKmd2DUT8wRM%Ww6qqwO|}~t1aL|CJ1V3x^5~gy&GKi0 zT}rAID~jK0Y}FTdQ|W%#px(MXJ3UhS`@Bsw@tj_5;q!7nb+Z%u3#UybM>6PDp7cO$*Yk%vXYI^ zn~+`&uPcFqRM#(ivk@iP=uij|d0H^sTXr=2Dss;Ep2>5&!2(K0Ml>Zh;RkEc<`cJ` zXW6p&PxWeqyme(JoJiz4vP|x}IUjVyfZ$V{-(;VIUz1F6z$0hdpnG0TcqQ@kUZj5Z zNiijsAyiYwy(tE&7PX&pm2`8^bFU14aH=0V>v*@~K3{8GU6?*+yr`@rxRJT?-b!QCM9T)E89}m=8OCn8cu{f{Hk}cX~a?uJ? zCTYbj#^t@pSE;<%&H3urs!TByQ;jLpgVWQLG#GtH#!=E26b0!b-2LUm9tfm12OZfc zuCCH_p*U`@_@R-*e@cod@f%}qL_gll!-A(CcieGfuk&FUoYQou&uGrc3@nXn;=a*Z zK&)kXTKa=p!nN3pv*tZ)Tny9b_3rOa(HR}vq`0_{{bVqcOVQhwy`>;vDsELFQeqaB z`+A)WQ%G1Z^;qFt)BG66a@~^-!KE1PTLc!j-xJO{y>A*8m*+&u>0xfO z%6ZxP(aD_;4eQB5^0n71TPFmy%&(E;Wu=@yr#QF7h5hoFHfcbmMFEOl30CVN3OUQGUE84H05i ztG%siJ}3?J9U9KcN<>S>3*Qw9P8PYO4asBMzBoB^PLU2xH#19yX6+t7YIl2Nz>`>J zf4;o+z`zEi#egsP|T zlH95sr3vy+7NE5ArRS1_zvT${YAS)Iw0-Z!I|($jwH}NIkSFA7R(DPOo&^bE<-8B* zxlD&&KXyJ*lWjFSwM2`+}_a4$4U@=BZ}a>JgkNUD|^>Ky-Xk zM)_J6so2Saxyg{bb+uk0hZH4H0HwsLMhj&r^IlY6$+W!4DN=T9ZjH@ zX$!Px2);|vA^w!mHSvYY;4$4V3vt9MuCW2rnbyanv`|AxBF^&?-W+2UMq%HgNl9j} zeK*5-L1-~Z&-BGHOsl%TlB!g2-mNDpeJcpR?{SxjP0<<8$i-HxipwUQy0iX!X%=pl zNxc>G;?o=TZ?QwS(=tZ-laEw$)#c&p;YUk`Ok7fmXY%Q@W$b#B(Dl%!;fY3%Qu%y^ zx8_vZ*s8q zPAR22hv)wCamgF%vsHqZZa3titl`m*DzkLhx~E_9`;^0adS&DC?N4KN9p+i`YUY(D zeIu7|jPPd}&jiuB*z%#B-XxdXe!V=zfjLoPl|Ua%#P+C&bkL#9#7jh-Hn=&tMYMOh zLQZ~F^xEW&5CKnl4$XYUmooh*cu;K(bf`iF7% z%DG)@hO;7AiY@zwJt<3Y2eD@v+wA)uh=3{E_$8$Fj0_+*SARX@%E=`pUi0<(oHBe9im|13arvZbu)xisOHRv!D0V)%eP$ zn^V2MO4F_SnrOU zBJa#bY2_4bMr&E$@-IR^7dbPdliQg+%FWP!L)5V`KG?K5@43JhPe$93PWlAJqoPBd zfgxk>Fw3!g?5GD&W722KM6ZuxE)tTe3oy|r%ka>`wry=Nq3WUnL{$=)NG zsg#tJ5wb@zD`aNxJ?s139~Jtpd;7;1ANP5mGv4!_bDs0QuYTGtygqt|xQmCuYU81% zp+~qbliO#l^84d<+A=sTqR9zFn^d}mx4VDXI8+aQLvy~NkV|;C^eu6aPAmQyd!aTv zYM0X=#&ZlF_IR`~%?iqz=a_mr3vBha8{&za+(OgpxK^pv_Y$L5UoL2HI*wr89M@T~ z@mR+x$Dc1p=g`(~zZPiLrMdjsU=__Q;T)ak2hdFrO2OMv}pR_JO z_q;G~?s2Pp1k?J9QxN-bCges|bH(VFUbI!r*99BJ?@tm$yTsmH2;g%m@N#52&DlL# z$`=2#l-4vX_ys1##R78n6^@x`{f2s#^_&+mYo2UlRZ~xwgK6G;&b#>&a~2!ofgsx4 z{lNOy6{n1;#Z5K^;L=kANc+Od4Lnwa@UjBW6FH%rtU%fUZWxr6n;RZUDhdd&sZg^6 zkDMf_Ie<$~8{jXtEBUags;L8iOwA3y)6}AdUt0dTWBu#dv81KNp9@wcYda$)_)V*v z-Yp|GNgg&)JsTtNH$=t6FH1-;%j%h%*jZe%w7p?!z$ReB1$ggd{@B%1U{>f~Z|weObnvpX!V@!a z0>k0=-~fjT!odN)aXn~ofJv^AK>~Tmc8^EU0ECd+&ovJik6^xLq>$V^tlT`mSZ*7D z5ORB{9R#^MrRM)MEKri36(~#!q*i7HQb6y_J1)=!6hYy2srJn~FqiTHJb!_5LUuC+ zO+XEl6P~SjKj>~j#Q{uVJiD2KCZGn&0r;oCKzHw<4*-R7>}Cp@fFdaT_4az%nSv&u2nv64x*v46J3IiChhrDhZ4*!fg~E&S z?gItW0wW*2oZRfYm~w(9pa=?oWV;^}o<$c0P)_dMOhFS6f$r2@I>0%A>19y><$&#G z3Yvf#C>LDiw4W(z9*Gmi&I&xP-|w{LOUR1k^yG|KkS1GV}*bne8x^g98X-|6qa^AjI6xpMAhI z1v3>NfC&ai955g_`U43XfFdM3tXdAAawMejD=3d5TGi!%^Y(LfQWC0Di1w zc}YrKR?mvb z-4%oj*j)iL7x-XcFv9=euiX`#7EmgDH&Y~G)b=pvfv^HO8F$vc?Lm)ln8WAIfwLLR zi~Va9{Teg7w)@+VT->ZcgzyUzGyowaI8qOCAYfYO10Z+8Vi+d}{A}SDC};wTp#Kx0 zf_bV@0Oerc%@i~NA?WtBIKXXziIxul-ELec_imn`0SF<%F>_$!?si-M)1SW@7XsVO z6Ep!u(En>()B-3NJNs^?pa}>;L6>qMQ`7<|AUN2~6f^-fP%d~frhTgd?{2q-xOt)6 zuw6{IO+W<7jWn(R6D=PwjCT4I%E`Nn>9z@|fpWmJ3hif##20NZ^H2`1-9$kP5Mm;8 zM(~rU0|RkzzCeM)M&Jx_$1iLffEpxN1Yj>sD45RofVSO+1dgzP%&os5K?4v%!YANC zE*A=BCq4l3S9b!3Si5+FCLjde6SZ-{v+?cci6nyB0fhkPXuFt#CZGliG|u0ks5v7D zaE$eb>9z@|fkNN~mi9A6%^5*}W2`?+w@p9@ifm1Tf_Z%p=u>bQL4ZT7T|7Yp5JDpJ z^iVKE?E#R#dK5Us+Qkzz0X0xg4xsz?H!j>P6hMJPtX)h&6A*&#_4M!x82dqyxT0T7 zfkUiaOhFS6f$kKQ*;%`xf5-n&Fdyy#Ou-%n4$(M)Vs|@^0f_Se0}w)jNy!gb(V$== z*8?DTcmgL_>|DRS(Y6Vwfx_Us;(d(^rkh0$3Y=hZ0;TA7m~NYZA}G9M;eOEF-UzX> zh!e`q4G)liJ<4;4>(P?6gyj*HoSJoShA{R`&Bq=&ivGHb`-Y{&;YGs5Ye!63W&Pjy zERRH1oKFoG&{XVb2x-J4cVnrKG07iVu)3dZrdf(=JY~o;7$yx`c+q(Vc zP{q|T`b78jVIWP~%Rrrpmtm{xY(6va6mc7?Pu-ndR=-=E_*D3oj3H#seBqRtO_^+= z@ihBY{Rp2Kx78oaG*9vUzg$)B*xY0*;7uCH?`WW$hNeSo?zC{uB#fvPI(9fEJal%% z{kZmX`MXrvPo2R?_fH?kG}hnxS|+yREk&tvH_8~?jS9V;rIyomzFAu~GdHO3_-CFh zsGF}X1?zo{3u06+vFFIipN=mKv>FH>W@%0I-ArZ-ZVct!#41rc+gCx=E#U3detX+g+Jpfgyb+Oe1LZ`wKVfIDyY(KP$0j$WzkaBqY_Li$$vA5^U#BEM z`W*C`cbzwekDwP+l1S-5^{O^3IQu0lV8?IAn z#rl~M%xFqy0_01PFf6G%Kknbq0QJ3247DB%9*&}8eckksF!Y`0;Azg6p17E@Q*5=L zkG%aL!PKPqrRL`f5k=KTj_jb9XJ6k|O{_(|$qS`rH3`;EOZzqdL(P2Cu~zo2$Lzj5 zy&H7H-j7V!KIGfbOw~}(081_5WdiZjZc8BomX57H-rv1+4a^mq=g##JhsnOINK=Dk zD0SOnCiweDw?baoog&LimR@6=4gu0k7zj_aKd8|i!S8A%HI$)!OUy_TY<7O&{dt8q zKSBazrM}ft^k%d&huDOE;`Co6yZC|H{F~Larz9dKtewF{BQn#xA3Qq0LrN@^-4{rI zGLPk4IesF*z=5elU-)6nME%v42U31S!A}|9rCIy(J$q;yYQJ*UU|=IY!li#>oI!JL zE7*U=bn866wDC$d>H6ug8(EK!pqG({Js!S%jv51RY(^&Vc*ziho-d=qg}IIMxjf!f zmTPvDrGZx#b?4L54IZvZ2-*y_r22dtV>y9A%uHJN&K^b~g_uWF6Aj}hsi5r*SygF)C8OM1lG}AzvKI;QJ@P1xO+LtC6BjcW_MAcam zXL+#*KRUi6PaTXxaP{4#Qp-O+Ki_h_}UgjXoB-vhh7pl<5#T zVf4J2pF1x#6o1UnnIB3=^3@mH5BDuQOGdM+Pr@lC@d>u?Yj@w~T9*jBeGd6ZX60`_ zf_ELO{WynkS!m(Q^+PY3-d-cF>1Q%)tjH{XZkINGffk*%o)${=nwEBuhMCry8A?a= z#rKlm1Eu1UuUVlcc76|<*RPzcBVWpXk2x%ccjAbFt!IpLICZ%Z30dTT9I`k%td1Xs<{qv&x$o3iT}6qC@F8KbtO* zao*4qQ09ER6v>ftE$O&I&>8ITpu=x#$P{#kBWTqbuMkZIpMM^O-e7mk{?jY{`_^lP z?|M`(-6Nk>!hB+**>CWH7?^2s(QkmnI=M`@$LWJ0zO`~L3LjF&SHq)%=!gBI;Fhaj z-pFpIDiFgvdc@#{XAHj&DWNj;9}Y?aa@r zf|Sajnt_t4XrkaUmKpA26rr?}AJ8O-)Kwo{C%OTQShjo5?~x5j964Vk%rfsy#))-y z=Gl_`LYzgY;CQh$mSJj+tj4vu_<8_V=eP4c;0=n*rE2eIcc#Um_3 z$O+X-qy{VuWsbih#yf$N5m+96#Hy!2c9PBLny%=oDwR&O*WnXKbgp^CZro!EQVA{7 z!aKFvaGl@@GyY+#xs$1V;u)#BJU`6K$dayJtVqPpacP-X__~!6X>`o162|H=qi(gQdke@J){1x2XKq&TsJkUB%ibs=ErgcF>%z^2c)5hW*= zToZ1zYrrW^5vb>%D`aCalryE77kJogWlvUrU97P{JPYt(k2FWf)9%v*d#8pyCZQni zoq3am*PCY*Gm`I|IV%WI9!t)D5L1DXl`Fx3UA0JL7APo_&sOvG@|oKK-z3*F_zViu z9|6Oy^%5|>$CA5(AY)~66Q!dfcq5L1)+7JZx)w0}ZzyousQI4~N{Tsy{cEcNVa_A)^X57-iNEgK# zC>+KYSewG;P4c?icV)D1_%qjZL;=+Uqs4KY`1OFX_+|>CFConoglcl5-46n!2=1u= zlps*&Ve2l|=U(*a&}rE!y*{nzDNyrDZ-aU)qQ(UXvIFv_^o=r*~cDsc`S+A8;VcpJkoDXPy!6X>< z>$qmX!+%)ee=$+M!V*WyHcSLDZ>yNl7HVG%vdrepDXzag+|ik#A$TB2|MM`F-dD z%aGUzXY-M2nWw6F8nDz;T36B)s5z;iMwN!ZD*fh|DQrc}P$ndgc!H$%re5)Td0Vw} zj{x?tqfZ|P&n$l~%Kn}?Pl1VfckXC%r3n>D8<*_mZXG9*01X!TQ{T8Kj^KnX#~Ep; z+x9M8yV)P>tU4#dPb5#2MoNo&+NW9~3K+B` zX}mwGIB|(pa_~7^7Td>$b}?du6>(1gwN;`8p2%=l^0X5c9BLTo+(dQK4N5!L(s!BCN%YG zL-lP6=HY<%jdpbEdh{%J~-sxwp46&ySdOi%hba`dzB8>K5#B zw2_0g978v z_|ALv@Y;$L9OqBM9rxB>>6ji?@u35vP|mV3_4yyt#N>fD$Yh0yW=%yK``x~rStI=} zAygWga(+S?_trTn(qmJFgpbP@>+Le4S`Tq|s$G2JH4t+sSfuon-n4kthwuC>z2)EA zX+Hbc10i(7kM|30_|9Yr>-afQt|dbRX86m?I;6*0iibUl8(SjjIctvvoA)qgi}eOw zmihMjD2AD=tnpy^%9Z{PFY*b{4=Y;VH=z!|k`eQgfYH9vO&?~iO@V9>UI~uEJSq51 z>w8fWd&;*EOgugee|+2uml0Ondk|yc#-8V#Yl6H!hSha6jt+6h>hn8J6}OKlR-aZd zTjZjC-90)5giJB zO(?Tg?YJ%)c`IK=!HBm+JCjHPS9x@konB`RK(+HFz1hX~iJ>XGr1eF@Q}eEGW*?Uc z7%02v3cCg_UO{8|ND4%JZn^J);4241=wW~qHhd++QC~fl;_>V}9ejFheBqp$WU*hz zC@ag)seYb~b60fSRg?32>ofYmMLlc3@1jc29TgMZDC-xu&Xs$-w>?_eP<8jkVS|BL zS5ot&S=bRqv!s`{D!pc|cHOz$Hjygj<=A+9A;Qk!{zVOiAHcy%Z0ntG#id%$7j+(!5hg$G zJzUMB@*G1YQH$~>?0d?r-l$d#uNdE$oUV*Z@yCG+wmrg9&&_4WSs2wcy7H$?ghC`d z*;_cxrX8ia!eoDreYUMF))eq?bA+OWv@VwU1qh}P(T#UHs6|-<{S|>4di|1RHlnUk(SS>$(o^h{VfG?1W z@q>4VM$JUc=aG=lItu!ax>7an^beW5@$Mu#9q=Yljen+`Ex{_bm7VctR`12vcjA}E zV$y=^S>N3e+_*i^^3Y8{w}4{H<9&qo;?(;nRj0)BYL^Q=9|Q(WF`5>iPrX56(d8VP z{tfSvfiSt$NltrKAf@`(vt75YaTzr+3AJ8~>?xFL*D7J{;Nacl33pv@9W-T=dvMV? z%PN{mUx|fVEEvDOAu|dVt~agKJ(k4f1}t;$r0RgB_Gzn}q0-P(?|V8F&bdSzSD3D~ zd^;f))G0{fDr&`EM{LZ}S%0Ze4maF}GgYAEm4j*EeFrm3F&FxHFrDa_gV73 ziLKF$72zT;aPqO9^PS;WHm{2O01U)1O5c}p1fGz&bs_)!W+!yJV(7AZ@=`=tn|Be* zIQ2_!rssi&^iu5FPUE~3W7Q6CRgQFDDG_35C#dx|UX6S!s!Gd*u4?1_(eQ-8yDzhv zg%8m^$HS)FsM)DrTT@#BQD;l9=|i#(%l=%IDpCPpm7aIQ4qfJM7FtttNOL`kb!H;D z!(&m0SYzB}7FT0hamrYAs?CnKVQGZlx^mpA?^ZiSQe2Ine@KGpQo7BzdTDtKB?8yQ z)JBsEDUTu1Noy7wHTjY-%lbJ9|5pJT_cEW~U&O9X5q`mYLs?l1mojg{c>Mw^)$ljd zXyXA3nsk+_1e`bD6k2k*XMf!JN_TO*>CMCbzT{hNUl&>^dGp@ubhe+9W>t#Efqoi= zgx=Jv^^eV(mlF&(pt6~23OTFO`L)5fwjCqS_)0yCc7Z`T<}Kn!!M4Y~Ds@zicG3}k zlC6MljUSfRvW>?Wu*r8gqE9bcbNG{}*UJDc@*BkuXSU8fQNk7j@9}?~RQ{5-L&0)V zC>*Z>x;fzFd*^r+(8#m$Ak@=?0-WDBOi)0B{MYd+k}DAK>>4PE1AzR71PwrVqKouW z3y?V+crozn*#OsWnxF*;G56eQ{m-)jK=FPs<~B`s=x&;TY7d6EW%oeBPxk+6StR!# zAS4%{+1u5bpaBS3BE4Dy>vJ72g0>;KIavWc&d&JRHUJ?cGTj0cEDm)53nf>pl`z}%(@1;qY;S{5__HAqhGzwhjzNN!aX(N%F$E~yccKyyA4N#m-@0Sq0r$ZpcH8|xfhT`} z*Z74AT7Vc7scYX;*!O=1E$D!tz`?;To}dLNVsgO`4fajVJq2(PVge8TcJTx)K#YlW zIoVS<_J1&U8W%V^*hLgH0Wm1j1qFDigxm)~f%ks9h=LX%#zeZJ?8#-1kSGK=zSu<+ zGyyRvQU?zYkB~ZB2=LT~@#p7DzFH^MhSHK?@LLB3)KcN&x`@9{d5(#EvI|fEFOe zMC#*F$^il7&0qzd`TW8JEkKC5Q~w_PC>8t3 zE0WeZEYw(MecoHy`VxQUJ$L3}v2|<~{d_S~NHzv`OOesIj_mSFr)L9fj|c=O2G5LK zm|2%|ZcG`C8))#K?o6ZtN-+COCq5#WxhN>KIhQ;xu#mQ~xKi!2+FvplPx72BGl#Ww z!DjU{cW>A9LTQENv`~7Y=Gs_nwa=M^4eh9sPTI}y{V$6%W<#ta>3;&Xo823~zwAlB zcu~j&+ql%#Wz{HF=7%!f$lbZ8b>~=bI(52wo69=X2c$td^D(7)=xA(`)SP{L;-sbN z1c&Q)=lj|O; z`du5rH`nLS;Y|;8y>{#QzT)7tu=IrfsLV{Mc7x3iX-7Qgqse0EH)4z}WAFsabP9UP zEt}>dT>A-fp%mwM9VLyVPgZ$ed81B|o56nn(VT1gw@h9WPqAkVBz%B}r*8O@K?`Z4`Ebc@wUT&DLa zx=Nat>?_^EbbY?8(hM0gS7+Pxh%x2#$hl~XXm$@mz6>$9E1|WgaF`b!Yt$bZU+nFa zX=8k8=Xv|yTgKJSB31@N>fT2CA!R~7nJC_F+}6wU;sS!~bWHa;F)5GXlrBR+`_uN(F*sXQ*2~2f$Z%k0*9evzonc6EP(l*5j3d;tCf#qVCcRECx6V$W*4JvJMsX zc5~SKZ4DDMF>f@kFrIy~Ca0Cxa@zbZM&qXy1?I-vTN0@S5ncD!N1f-rhk-G~;nPjJ z+<0h7e9p&M9c0S|9Za=7bDOa}cZz2_!@mBonMH%51=x6k8w!wh?dZ9t4#r{(Ny6OGDSw zb}_!r&sNi2KA5#3x1WDK&|btz5sR{gCJ!s6ey;5XMV5`cWP^o};iGgZpH9huk;+YI zKxBoXE)UNl-x+`UmW8!Tvsk^-=gky3?zF}kSHXwcl!M2q8xOpmQs!taQG}D+nQ4<} zephx!_0=g?tnAmPI(3xpj4XC8P10R{FFR=gkm4S?<6)j+{)M5@;CrDP?QrU0N$in| zStD_6tYPo3xoA3%l(=ua%f0#m-PRx~jH44%$h()rei2PDzWid+Yirz}$Be`u^gg|) z^1zb^x`DSV6Zc1AxWw{acpaU4+!bobYS(*M^V#vR2pPVbPI_A%^r)=0 z7}2Zompu+K|I9FZ6C;tzEM=zYCI2itlY`&*1B?9okhwmB+V~ERbadUX!-2_mnPCcE>JtMJ+UQ*t7pM zAmQl46pH%!wX)$yR~S*Sd%A^oEkR)k^~LK|?7(Ci%In8-LtpcS-tXcYtsy8VuGdVR zV*a9uF~4-bK-xv4yv3XGJ==<&(u6Oxf_UPa=8B8_Wu4=6N6&5qv5g!{SFX0=XaV`0S zI<9p25Qkx+ya`&iTw@Hw_ekvbhA3(?nUp zC}Y#BJ~^KLA>?j6-!hx6%qqr=-3Sd{a7lXpfw?q5^kJp5AKJMh|LfG3S+C{jUi)}j zcY3tj;Nv}klzBBEtzS5gx9OGaOJo$ zGtlC7D^@1vCrgPZn~h8I?h(!xn@%g2{G{qIxy;&3QsTuu-0yNR@pat_OI^lliQ>g9 z7oB=Gsi@3=Nx<%h-CS<;3=?r{-;RsctfUoato?9SO{6IhR#`pvEy`zM%cYK~H;>^D z-*bBUY_C6NxO8si&hd*RjWn!85Q>&?H%ge?l76Jxxbn6S2ra*jrC-IWDJbCRcEk{31~P#1>F zR-h3suji6Jtqv(6RSaPlA^Bnww#|lN$g+$zASQPrqkooaba@ynBj!_)`D);DC+Y?5hJF)*~;#&$2%r* zw6s%R7*C_L^nV3`eQ9P<#n+Ug*CGlB8;cVk}G3q#{A07~W+U?M+dbpi3`IDL5s#(H7+!Ak!H9_8n|Y${z?5pPI(tHZHYZd(>W=I z%o8ACQZ-XxUaYnnsiM+#$85GKKKd0N{ zFxNCaxlcl`+{E!9=S_?#c7=3nw_z30P1UNqyka6bx1V|3ofU#aKEaQvm!e$~nf-bu zKOyW+2rv>S&%ynURLOM;l0N(KkA;t}BtKqIZemDdz^n?N&!3+v|};8`9~HwW6nEIS@( zi^@CxP^Rgbwu*`ORO9_Qo`TocFbqxPgI_M?0{>oDRs^c$#11F;%>RHIXn$DXe?pc2 zv8&nf`AeVih})la9$}=iQ`fOp*%_b&$lmaeWaB2b;v0Ze99W zUAOtbdCTSx#A;hUZ$w}(E~^J#dT1xvGbz)tNEFLK|1e!=!JN4wLguR7Om0nijl2`?PK2OU{e5M@*-i zX1nt~^`4x-Jo5%!lZITKxt62Z8jIisdSKkeWGLf$l$xEWQKr4jgd)?zTv!>Oo>Exa zsDcUue%TF4(@a`?pqn&(q)q#)&|0dolov%HGj3Z&4*mQmhptl;KdR5YR8QE{`|0FQ zbef(Qy#KF}g6nLMo)B^WgF*@dsw@t?7KEQ&Bggy)i4+8sSsaXs%Bd7Ls8IT~yWbXR zA>Q31J$-{GU_{RIA2d=BDAJQK_@jKJnExV@f`BrM1KSo^GC=U;gzFzvQV>vOaWEz- z7j*xkl7fITi-R$dT+waw{0Es71XNiZjETyr6xTnozFK_>+PRTc+h zqT+|R{y`@Nf#+2@Sf0R*iXZwHp%etvSRBj~6+iSZIw|0M7b#Cv{1A{~0A-mJ1fFRS zN!z00hyFz;1%W50I2aS99DtnvAd`ZC0*eFt6Il|#?fWYrnZhm&#_mP(W^VU_i7zLANzZzaqbF6A({O zq@D;_X1^WKe^5z*N{a&<7r16TkSG|P{DVkpFXI2@??RT>ZyOPHkrb%yIG7_UPUs&b zQb^N3LwQkgLH{6-0(Bh+b422Rw#N<(b%hit>o^#4xBo$W4ao_JclM?PYS&V`d9Ieo zjcoMd;^1?Uu_6LO{19`N<=A3$H}Xy0h&kQauanbWDKO#^m%mAZJqiYmtL7*&hd~1Zd+?n ziDJ>AW8A^5g4PkHmkTd7r4DM|Yv+eXL z2gUiufUd>%BS{|VtOy`ruyDUh42nGocpqnp8x#YZ^be=fFOEO8;NI{jm|S4>Z( zI(2-CrX>WcB02|)D5}GsS$mOYwaPj)L4c%m{No#wrZ@I@=ZQGS4@p1USX}m|A)x(+ z-NTuz4(W`*G*S0nbvJ42k7S!r`N1a`^1Z;B@ncvv@oac$dUeairkA-`u%ADasOrYP zwDCMj8`n!WHa>rzCW`wmK#G z)WYM74`!&!vDUim3C1h>I~U7Gbbc)HmaH)sLYC+7UQJuHiAFv)DYxu?Q3%ypXoNz4 zd^P`h#-CA|?viA$@PkU(_61x^?&~T)Jq&s;o1O^8EDT;P5jjVunb_S=qt)%4C@8-i z?*2KBkrF?UJQ()kN%)bDrBgf=#>{G``{a(JB@^A{?US#UCS;v>ob>J1$HJMl8zC6j z(Y>E8b+MlmD6x6h$R66*-0rGes7bALIpqq2i}Mr5yVD6r&QLW^-D7nY7uFfzeR)PJ z{!tOj%ePTfCz+{K9A!daht@<`^JDC2TW|JQl1Hoo|lU>n{)+@>l%lAmz@ z^$8EN3>k^YZo*uB;ik-N@}L|umaM^mD$Sq^hM%?HKY4ld{#ht_&HNMfqii2x~;?`T-pC*dX4w4AFRcVA;BR70<7RR*c0e=Daf=fSU5&5^Bu zbjY-F1`w&y-Q_IOi=r-5xl^n7<-C%qe4~QqYw}YR?)vm6-0As~6pUZ7p?jy+*x^2| zd;K*32K_=1Y%HNkj$!yj3fW;Xy}{5n`n2NpT8)582MO8#M`PYZkQhkqty_} z4&)BNU|6eDKf-j=lbJ2EfF1L!;Bh&760Wgw*wy(6mf^nEtrxYbXXdTX}vYr~n#wkUs4%;eX3Ccbcn9=e? z-+;+Y*eW#<^T;KQ=9f-6^Qo^+4bR8<`B!FFVpZM4KGe)E;VG+ok~+`c^Pz$E!_| zGvO+Q3aRqCJeZ{6S#0Im`@S9@v{kXMsCxJ^vt)V_VpjUP@!CDnG2`K?*6C@3c9g_u zci1iH;5Ft9#))?N4=mUXswGFvwMX_Q>q!N^QrF%xl25m&3)dd85-p8$?axw~SXOFK zwrlsbHWOTW6s&aWQBK75cYZKh@(Cd!TOLfmlEY9j48#2SC$tn~;~C5e;aYjO z4tY8z3u(QY{^44Qrz5DRCTMV-&va`uyw#)Z6CGWOwgk4z{U@zM5idNO=Xrs1Y_a1o zvehz$+I!>AqN&djK26nsaO`cdS87}|JGFuOT0j>!yX3v#Bh1F=v@~lf*izTU9x}7g z37?#4{Wf&xo&aA@&}-3@*fK_%)XXya4kR-x)Xs2=pT9W5 zUN}$uoi~_IHih>oV;6~BsMamxLm$$F<)`y`u;WZ+DW`&A zETZ#1SVIj~k)NKFI%K?x(tm293&~g}AysUbqpA1zt5oh=edn0>s%qf0mjLA_4zHU_ zIyxGYx5wIt2}RG-lO=iK4&#T{TRnVvwEq?JP}VAe1l^)iE_9z(l|XYp zj!D?H*$d;A%EApd;ipj!}-QT?B?%xI)N1ZXP{6!oQ&AH0L zFT$6vVKLuJ$+Q)XAS0m(mt0uhEXYfjqs&cL@3+-Rc+sW*JsRyE&FCxWrvWupdkTjQ zMQ?SdE79u-QRoC}oK98K!_$7}i;~40Pn2U_wp+cHb-ML^{8x&)RK2EvnrJz6-kt|> z6s(HR`i> z=jh!U6DXWh$0b$r98mNjsnQQV^{QL`X|+U6*hhIChzjEcT=F_2r`8}TKXML}@+Fe| zJhJg!OTnH(C79Zz@x&%^kkl?y1#qNlNa8 z`tZ{%!Rk>hCxUy1Txch0-*>gWOfBjg{L4zTjR&m{b)NWRo#i<7NPHDI| zk2!C>r_0RJqve8u$Eg6z3*$AxJFIq0 zpH2qBrbwKV>mCq>>j#@tGcn9L(=Y)p`T^5|&Aq!B@sgQ`?H$i1u%>m(`(dis;VyO& zy=hBm!IT~33qRC+tddT9So7MW?r*UU2~3ukh8nQw+8@M?aY(h4hQnwxQdP@NUBjy@ z@=(93isKFRV_TI^_o>qvf-?)5*SaWj+@JYN-)Z5G96OR#qRwz!kx$GC_$*(>XgZps zfP0F=fYF-p#ZTPEFc4?Bl6{~18tDmV@7GGH*MTwiu4#w5Wp5@HhVk2fW^YVWn= z1gc*QU%#4NP1@ejwTL^weLj~<_nkLsN0`I(xyv&+T1Ik-&fj0C(Uh2fH+brk!DjN& z8S*}@NHZ&__w@v`AzjOG=F0r7N~3tr$C`n(cW6{;7bfzT zdSrr)rnnmMHrLIrc1p`OR-=>^2sAi?x{rM3c>g3z2E84P4VYoFUfeg1IXDosQp;4X z1vIp$mevSq9=EGn*1t9VX^J^o|G{&qR!X2F5@?@AL4ip%NdMxUFN`)QMU~?eH4c+5 zMu<}ATfh-x)UFcOySq8*1hw@ZyL=~H1}j9++Q4JTA&xVs$aC)>sKEeakroGztMhAo zLE;yGNT(0J)GcG8jSST(!K__AwW#qfq*cWFQ8#&z0F?=Th-F^@b_gSBBj!0TTvcJ~ znos9xFIR<=k%YVzS7t*_hdn{+74V1Vj>9B7^nOUg-G>}W@1X>oJj1wLqKzdrSdhMcNnkqQvUM@jkx?(I(sKMVr?bQ?wTRu zddDlBjJ=sFxVeLH&Zo9p{MnHC*PEvh`tIT zNXyV^zn*+#seyH+U}c6+M9_v;Gxv(cjI_T?;Us4qksfU&%a`}!*JerR6gbo1E0S+{ zGEYx#R}|@78d_sFPPfPTVwd`UgPtkw>k;Hp%%Y9!lT(pK}4?59f{9=Bvr?gM(r9o;5gWa zPBw}NSjxSt5s}FcQGfqo-0I6sebKYhN}vAX`OEm0LE%M7`rv_8(xL(AWuEVMPV zQ=7zWw51Brnv}h+x1=LFqWHWU9~;wKOL&$z{nYfs3v6^p>ZzMIKP_0~cI)1hW;M5- z4w8F+YkKgBw90drYU$hAw}r}93&lEGF&&TH7Xx4HWEeAp|P{wLR0hm|ozq9w3RWez{z)Mt1vGHB}yjVziK(lPXX4LGvm z**Jz%K{+woU)}}4Xe&gst+-{PByaBO)&;-H2T55{30D>YLOGu9>-4)H!S zNhGLI6WrL8Yn6>H4ylm$I{-z@j~t_so@pRb;Mep-p8fZloTie^OYWJ;__m`DtiF zO{?^P@{F<$Tch#Y)&RDF@487gg6TZ1Rts^DmF}lo=C${{(GY5Q&L*fJFi&E* zwmQ^1{#EzgtJ94+@kB4Fu6AVcwnLTDbgsHxkaJ?AC+qL@k5;Pg=wwtY2HY0ml0FcO zOjKeHJ@4?>s-hrbRlLxRuZbz4)emh~)dsL`f5GIKDYD7v>WB_uA(Ver(0DQm{Y1|? z+a%AM&as-#5>o8)Z^4>qHB+aT16gyAp0!qv4FMt)uJby8Kgd^j{vF>6Bh{VFsuFOv znh&SQ6C7@(+FgHmltMpvMwYK827U3O)`Q`A(xUp}$TBO#SjZpnKb&AM8IgRQdR3u_2EkY)b8_R zW@E~)^C0D&wtpiL)HXu>h4%dfE?)k7$C${d7=-JJq3}t!t*Hn2Y^QuIoE#f zGSHwj3gjF=VJ@+RYRsK&3vIEYhr$!24&8`7%w&^u8|e6J(XkJK_TxCEQ%g=Hr*I(> zKkf)Hr_Wt?KMjm_p4#(@q*(NkTer`5L{EGQh{2?a3!u$V#YocQIoUq@HbP#OsC~Vl zTqL^m@fDm8n*EXrcB$uxaj%_}2={D~&=$0IR-qUocEuW!sW#E}rQyY+St8b|p&*)9 z0G#X)hLIKx+x4@QuZyB;CX$)Gyxxu6vdt{?uZ7%_Gn*I6=~C6a{PxI|yjcOVoRc}R z8`jb;kfbycl6TRwwawM@3dc%Hn$UV)8`ckUSn`*ke=fiDczP_#9KDw&H}7gp-b}^Z zb?zCJId7eHBZVHmGSRUl+B_NcYqJ=1<9z(FndgsP*OpPT#cZUU;s|uT`HJV(bfY3m ztyY|O1g7uXP>OMRo=i#daRI;eam}1(X+aVteJ*lz>x}rODG$ebW$%ie43Ldi5j1l? z8*lto8}Nyr<-1A9r-b|YtuD1=crf4Iocwu6A4BK#|4U$y)fL%3aQ&yMyL%q;KzM-@ z-F=Uwkd+nL!2~V{P%Y-V_h1?FKe5Nu=qOEuTMC(BTF0AMdqpy~`tm|!i{ zU$-v@mvaRl4E{dNhW=fI0*0)t$PQ279W$!cT*3E(e=y;9zWaG1xslz$1YR=lDq9O$ zfasP9Ncg|&c9aADQfNOWlFN`COyHtm7f;Xv)G)zfDf=;zT!-vn0xy|&@dPbEh`D#J z{GHGN1{9(~W!wT!nRoF7EkJ|`3YLENCr~=#@3!52BZBa?Cg(pCa@|{Mhyy-#_O~sP z8`_xo3XD-~x($ZHug= z$POl0K=pUqf)*ge+&fp`7w-EpQSw8afX?wxfynJDvWPJERcnF2dfktSk{{v(%cuV4 z30i;<6MmKbyFa=9E*lF&mfCN-ZU3c!>)yorExX@#{nX!>palpq_so_5hgTK1p4~bG71Ow!ae@Mdd5ku}-s)3{_e?y|=et;EV7fU!kV#qyR34?2X_OnFE z`@l;IsoDg_?vL6&B4+I6$ukB(Ant&K| z&%lNK&k6?0%@0U>veUfmKz6-9OhFS6gYNNs9Pp{JpD9Xi2wuH%7gNv#6hVPvcYlMT z|I=76DlwDuEEZfaV{j+a{n03Weu$+26eI4nW$c5KbWH z@E@k235Y@W%u^1yjB+0+YFYgN3I1-Tpb02~fXY-Ls-` zz~k%vpeRK{@Cuf|B>$Dp1~dUN=$kmdH?4e!%l5anH61h(Y&wr2omd4nvmTZyS^wh)Vus?%6g0G3Z{81dRH>`gFHP zLM)ku@Nn#t%zoF@xOtZQgd6wj#fD@p^6Qbwr;d0{(IvTdSv)4Va;7fizG$9N4na?% zj@DE*OC#N~Jk(U|E@k75CW)4n_~d$*gpUkKKdQ+a`Mydq`}V~jlDsfOH8YZG~GU4nGC-_iTV^?u&>Du4Jx zIGowD_N+OxXU#J+b-v$b5fTWP-TvHH(Rbx{W9(s6om~vTx@n;oBGwfh1 zMrQF;C5x;to*ylO(3U}g1z!RO-$rMEF&D3rq`Z59i{0cdK@E9|;ULzjX%^y(fz?_D zRZs-Uxzy}JBZ{x+l!DS>xyMoUnU&Dk)`0Rd$sZ<&iQBFB?rh2i;YM=sIH;}3S-bV{ z`3z5-zI;nkK}Dv)e9-CGYyZGL5#~8%Y!yN&MI!*1Mz8?>o$VBz1Q2);=TTWB9@YD@ zGC~a2t8DhOE#j96*VD=6&k%z*qXMUxL zvf*TOLL)$$@7YusEUk#%zLTBE0~Qi1f>a^w%{Tr0CPD_O_cO700y5PFV&VE?cxYUw z9bzA!M7w~*(~FvE8$_zGRqLQQV!J8cp?$NsiF3{o^-QBMcn04%r7aj!*M*cmNcGKc zf0vTg6L*eEbp&*m<`=gKWlFw^ua4fb0#7BendcKXHAR`dPGnTATRL>V&G232;8gEA6ai8vqnDUG zp$tg|SC^Kl4hO*bv(Qu;jtNLLCD=N=LvJbmxaN=iFveC`R8hVQv~71^lgR=qqH;bT zp_>*y_Qq#@niG~dU3wrXz!H^qG~)G8s8-fp$Z89JXEbvSqH3+~LtHjepi2&>Zrbq5 zm-sr(&^W}RqN>pj;i#$}#;NJBVa*Uatb9nDx{+q+75Yt-H>G>^r?0*%0|~j^2aPCa z`Pd0V@-+n#sFZd-AAs%0P~`9PE;XYE?R7^xdoN_s4-!81$8Hn^4FIR+aOL?~Bhq9m zgk>~Z6LHr!58m7t~8d?qAxl&`JlC0$Z>^tE7klL{Gz z?rwvedKlSN5{qEXmf-*s@1qggNaN->xejmLtNHc=^O1-O7+Gs1_o5LjaF`k=P0o!M z3f%xE`17mnCpsSwSl(U#*Xi7Y$1;HOHi>cEsFXF47!3o?MRGU(8r4Sl*>5d)q1oTrW#XhCp{?|K5$vp1{>sE%OnyZcI0><~A|(RvVqW2@*l8WVZ2E?M1$SSk)($ zUR(3v*bmdgZ}ig(R4G8rCb-@}`Im--IkaQbd)KhT`dU)Hai)!oVVmEaXmDDVQ*6R@ zR&1$}rh&rjyt&s!fa7(PTMyehV!eH)3naU;f3D;l-r$_zx$}fJ^C6wG_-u0b1mlx> zZY-HpL=rg!Q8Hyd5`Anx_~N0k3YYL8rPb(p$wcL{YQYzp;BLHS83gWjj89T%*o ziZcsB5(|Cn)PW-0^LGR4xQar|=t8x_hP_JBVoV2gVeAoVH@CKmrw(E}-oR_)rKUeU zxMg7cr2zf6niu@YL4Ie#{~FBwQh@$D177|DLehQ9Oa4{pF9qno)xO|I5|Zv)0`@OL zf35E=%kT6r_z{KtsnA~v(0{9e!H+B?-M69Zf7SGt0`%YNVDKXhN%w7B&R>Q8Qp(`B zS{VFDL(+XqHvd)VFQp8af2W7R_g(nkJjJ&Y|Gx_T_Js704_&|2#NbC%kntyvBLCF- z_K&B6-|AxUBQ41I|C^gLd`oBkf~LRI#^6VxKRc8Er{=eRX!=`y41O#oVf;zT;D_$F zfB*agfV1g;L~<|L^&KL zxVzg>$ePaSwN{Qu5JMNWyea4@LcXe0r9}5`Y9S!B`-+vl&kN2r@V>eOl3m*J_HyHT zcdQNP+0Omq^7(rAhQ=|WR}AjttCRZ{H3=v#BMuabAM=KeS8aUDgB$`L&k_d$-jfc= z^VRY7ZhljryItS@lTP4`cXP|#>gttDJxd#tgr0_qIdOmuXWboqV}n>!*6cE`u=xDx z^IrNfnV)q6GQ%6?sf)Gs5jpE4bqi}#bLrS5h3o>e8(zpMe@MRI>Ak5-L~oTn6z4Xc zg|CU#E~h+?JQD6~8z!d7WL(HZ$*4&Nb>-xDGp~Fq7AupSj**&GAGp)61S*RS`;O5?^3tEf7j~XP~A(Gk%js=!}_|;+{NCvMy{gJjULW)LK4AY<%Lj}s9a$Ssa zCVchuW*F=u+7nyNx)L7*4WxIevK>ZU&Wsboz7&x>DXqZMhOe zBBiw8Nk#l=trNjJu7ncHEEL=wUMGxpv~Bd`*Ca=k52x>m()yl(`)~2nIz&VXseA?p zyAYzMKg-2y;7A{@_F|qyMCjZicx=y_6IF=}e8Pow*@42PNQ@qC$(WM>r;4tjCO2SB zZ2*E7tKCzCd#T9SQj)h#S7}$2c|hSN$$?6It%HsK#w6HRrZ;b*qRPW8jpA_oLhxfU zG&Bbf$3WA@#go{w=k4VX#KVBeDS0ezTj`cUwOTe(D4abHVJRc)82DrkP4?c0WYb{Aj`cGe=Tb)jo$Ceqr(_#)WfXXCl_lRce=3l1MzwI?P8sn} zS6oo#mnf%a(G2ue_7)J;sR3dkSbA?xD6B5Poxw3>ukiaY zjPSfa#Fy;?_htt}*l@A$hS0$CaC?39p=LW`sN7U>X@5V!t^Zyg)dO+LEr*E^-{p&& z{Yv@#a>sFF)dl2AwxMRx`^i_tDE#64wW8n%3iQgaUPDXn7mP$cE4p7zX+9%Cm9^jd zPR>-2oM@E`;ExSRWYhWAT;J`c_cjH-c0PRRbLSX0u!3qHBIp_<+iM|euNKe-#b^SF z6+pQJrg!&lT<%=o0%B`+9zYqwLQE+qzn8{^CW*y1x(isufDNgH#C3tFWGZFoYz%4b zcuiSQm+?+XA^E|4_Lu@j#qD(!2%p%g@KiS^$h_y0PPI!LDH_|6)>{1S$IoM<7D4OJ zhuvH%p~`%xCP)1erVS0*z!bux?y=!ZX~m9FF;GHB9B)$%MPqwD_i-eYnzwEoqM)1T zU4em>#!96fUNXzXQJ=}Xv!wDvQl}-=SBUu}&OM7lHslHMgdL7#t&xKXHH69q&Fk)B z=lApsd@a)N_dTGD*MCZq$+yCQnU5g`UTt{v@S3HU62coyi#0NnpaBI9qc;e2uKK z*xlnVlQAfroB=9R<5D<@-erbTPOzM9T9^cBOa zw2FfbiNe$}frwUOu!LLywA~{hDrwCKHq09+^mv0puYGXJ^kBbMuGtD^gQIR7Vt6XC zp$HSNtvQWtP$|}Mc_nWlQqClX$Mjj6mbyU({aBh-uiTN&?+cg!KRLh5DuJ2Q6P)P8 zY$9(h*bdo8tFm1N(KuCdzEH`s^yzH?EO0WF)I&U?k_Y{&*n#RQOSo?AwFl*1FrRoM z^b$i{c##sd;&7fl=sWTCe7aMdHt|u;4+MdpnTccqM75@5FY0HR^IaPn{IDnw*JbzV zAOsQ|;#ro-u#ki4?nmH5ikbUmOXx6ibg#gS=rX0aONU}1pDoqnlLlcVbi^@@NGuiI zlQ5Fysm%qo{mpJqiI-VnLh|Z7WC?n4X2o*NaEBylsVI!;q2gYHyt&`IR&lZK9nSS# zh`J^b*pzqn&27#t1{2U%-S6oTP?dUs>mZ@@^)ZKmqBR>PbAjm?RVRuus=g4B0Ikgk z=W6QTk__c+OgNP%QLY1Jr_Ps&Z)!vDv-eS{GpJuz>6E#_ilANBW7^I(A z|8@aIAS-5ob#>0M9KBeA1e#Z>AuF%&{-?LE=ag3Pu_(mwRCQ!YEH>hJmzu>B-9N7P zP&5l@$6vHfdFOJ@C+y*%_Q$-5t};%w!08%ja)qmwNSR&jSBN7P=9lbzN|OTZmxz0} z3$B8NN9Ic4u&RFjZmLh=UiBl->`s&vIuTOX&Sf5h)W*{KQhq?*vlgWj(Ji0CCk)At z$xApu(ZOMvSMYYl>L%Sx!BZ}}o_rz2rr8*rZLVwWoL=dE<9;DS?)H%mM@W>ur31w} zz}o3;5NRLVvGDSfx-pwH{RFhk%MgMZc?Mzxp56>ZpGHn_Ih|@Nz4dc00b>BX-5IrG zlR)WLmebYsUAM)$+~=e5x?9*YQw_kMEnbtVWgQh031m?T-^^!PDb2uHO>|;Z09;O7 zn3KukV|txVR%z#@RoEC}R9)`weTJ2H4Dn*CI6cv#zGD>bqO#J6DaTy`CbAV^SLad@ z9g%00t%)cj-#wI?&JH} zss8aS8H?;w$itd^tGXolt`(?A$hLZT?8zbzF?C-+dqscWEX=#u5iAzHC+X3-TN4nS zq4y7|T1E{>c_4aW8kG&yb)RY_0=reiA!@qji?Eo(dy0~QN@$1-0*d=555jpo-NQ%L z2lYAqC%T(+IJ$ygRfmL!E5Cvb>>CtTGCMYn`uM3`Iw%H81!4!f!`3u(QsIEHv^aI* zvXX65C7-;aHG`2UXT`wt+1T`8@H0{0m{8apmQhH}c8PHXa=LUJamU0te3+iaS+&l>Gx#D#b;NxIZrjXf0 z-b)~Ph+j>pZ9yR5vvCpqaal-&2Vfwy>5HlAuKUyC^ePUi5^j4Cd`TBYla{(?g9C4u zK6o~$so-E@dwRdf&jUNuY2s1|msU`|yDozz*B!u{+L!kzY2dUoNd3ov7{0LxDz^p;Fb zGSscwP_(4ya~DTRsdQ&T*2&oreUSJLAIf2 zP2Xo0j+*jC{Ol(bV}9V0*65nOsp(@ionuJ@njzmA1EMuYbVDeRaz7w__*dIP77CDE zz>$MYWIY1Ad1HG_tvyntcZJNNDX_IzVZz5*tOh|bJqO`%F&n(ak9iq<#bsZ?)m0&e z05=|*if~D5dI(ONcHI6g?uDff=4mr4dvQ$~o-4DKUv>HJLx8UN9aaXILfFV#B*`P$ zDon{1X?h|zeaCoQH)W-@aTJOWXn^Z@I!X=o0*OZ=)5ryqO zqxeMOlCDW#?I3W=4sahag+Akq~3e4Bti5M2;_X6jU+%G4ehYNcft6bf)w@^VQ| z>F4(8@~7Y}-po@nc}j2D2@O9Im@kMkq_`+|3wg-kwuI(DpA@A%J>F&&3|0-NpYYuu zIObG8omX4I3uR;GmT)@4G6pU<6*go~U>YyYNdyWChm%$d^cFqi(CZt8fP8@w7baMG z>KWn^v93!cEo&-d_8d`kZ@8$EMxIKlTF%iH?RsH1OM!#5)vUaf>H-Lc#w>CPr?|vP zJ~Iv$iVQ5b9v5DNuj*xDWEUT2)SF+!xm7~Pe%pcC52K81xkaDW%*5V?O5-yuacti@ zsv5;-_KbMt#(c#vzSELVY><*Ldf1d}nAXHoJeH{v+<7LFP^5N#Mft|SaR&E|%1CP_ z{p_7C!0hD{lbC~4jh6Dz-}DtpT*y@%e^zRRwkiY34)wS@oeh4t(>#?QKL05D>{ci= z{h`6sAbk4uL1-pwGDp(z2L!oG(nQ_XaeIKlXLNsN$VNtFV;weKWxL49Mn znTZ0Gm3MO0S{lrD{Qky(FsTkzttF~U)x^NTqi55N0%|mhX{x=M-3+EVTc@+vGW{qX{K5nj zc^&gOLLvi0Be-x&16ND%#9ND>KvZ$Xcg3Ch2itX`iE_uf<@b={InDZa&y*mDnDLZ-oVwyyS*MlV%IaL{`DNYDzE#*BOh2 zib~iN5a1vw26$!|mDXcL!2WDhDE|NpRgSr008y@_#NyJkDD+JgZDKnmf~8aSd7<2R z)QMP-ipAkVgF}3sk~ipn>aFalM#?<0Je1Bi&gZo+W^?nau-}QT*0iF_s|a*rM!^a4 z--FBNQM-up%amzKEF7BRf=%+aL0Ge*7PL{T!C3CoCuBq&v$X2N)wh0Wp z#-Y0(g~us&ny)~zct8a#oTXv&O5*YYcTYTScBC}pA(uT)e4PGdm<*{@+zT|)5e5ha zX(eYfk%VE!A#{ngjAkJOx9MbC~C~S=0 zJc2cF5jlJ8AU{Bs7n(X@FaPbcwdg)&8lE_et#BlUpaVI3@36hfJOGZevQ81O5Kt2A zh0G#K@lnz0q$e=9Re8ssK80RFDoHiiFLf68#@GR^!-4XK%T%;(p`e8s^pJ)E4Ac`Z z{WHKte*5R0XKbrNpVtaJ&Y{840>I8u9|G&|`Wu@~U=~HvRNCXJR06Jw$t*tI?53T8 zujNWL2DpzQMXoqP+!h2)63%=S@!_48^{AcK#OP7sh^374GmC}|$-(qA4$4t_Vj=2T zoc^Y{*v#}XFGghXy*Rw1oEs-ZqjAZXm!H+W{MpMvUoU{VXs;cjWp|lxFOXA!M_Q!M zYPj-3W`p7+QnEN-e&*HO@Pj8tS^m@=K{62C3lXke&A_clRe^<9;gk(n19ooJy}X4f z?IU5oSC9oy{8FRelQ$KJ_4qqO>%x;L+%6WysGdJ{xbVvovA!V&j8@yhH*c+Snl+A&$$NmuHzN z=O}%H%@oXYLc3ak9WmTwLF6|U6GzCz+LFtdgIHVc%A5m<;V!)F0#S0YB(!yV3H%%Q+4pz+k4(T^rvI-z?-mdj4e28^d=f?w?N*egi-I zHsbpqWd72DAMAdfE@H5tLR{39#Z9{)t!Qbtbzpx2E!3n=x*+0wt4gBmI0P!b1f8rBZe(9`_={NAR z@6hsp*Y;;Jf5{L10e}5xZT}~J_SZ4kKNI?GDgJqJe}g}x`!<~Z&#U|YJ<&h)@&1?P z{sw?XNBcv4CBsh$(QgIA|E%fn5NLoIihtIW{@X|DUp_+taN@5B1q}2A6jA>xRQTf( z{%*g}{e>I&$)P5I6#NyTfO(q#WeWeG;Qn6dkDmS~-sqotdH-=we+NRN`{sZCYe-Dr z*77gQ3n;1n6-@!%_Wz_QV5TSi-=b1<-vPcq?bH8nz2CvZf7~*Rzk#8BuQvFvraueD z{;8Yyzn1qq2KFCA`kx%y_hOEJ5c*3V={G>M@1-*TAoG_T(yxw;kFW~qo?MP ztN=y64xNMz>auCYqQ|Sp8U6ItpcDxdFIE9|TUU;jG)QJ_X+&lX*4vV$FaKzFN#x~A z2RE1dw@qiMclh9hSn;fwIO!f4*5zwS8#@f1t}al{%quOc)~hQH)X`qPf}OASm9a-B z?8`2_E^kCtgj8~`32z^QJU@ZmCq9{mku>0?wuL^8bxk2GjZny+k2qbNeE}yPg&+n> zh~3HPRNX{$>e4c>o5adf!n31L2%MxZ2x&qw1g_O5 z*Yly#Q>b3@^CARGF7mMksurA|xXm1WPRMfRyM316SY+VPCLDZ2;vzMzKiIk|qKjzA zsYwh1Ih+#K48N+f!)E;DyiCKoW1Av9J9HsZK@JT2O z`7%uJ?vp_RT7^!-v<%-jZx}=i#RGi+=2nV% z?cpc>MEmAN;xJN1%ICQ46lU>oINtOZ+T7zIEP->1n~~bLl}vAZCWnKQY$8fmpcp;d zHF@>#>)s`ZUZ}Wx(zm(3`XC2cnElb10*`)8np+>F&pIs=#DVF#bRlc7iDeJqQ(;abmWwBxeB!pjy(V>nCXtAV-H-LQ|yEefVIVHiTf%$`rj#(qcrm=g{ zr{TptF?AFnH+8L; zvVWBFFNHdiWua?zsefaQFZJnAl?h2{%c(GFdSo%zlK)H2YwCEZv=iI8T=UMip8J=D zNxWL?sK=p(6@JLd4{pa8FWDTLzd&9u`g3ft7`#DQZH^;o(4Ew(Xh;&5O>E6jhbi%Z z*Y%nG9BaUXP6H*yGqb2Kk0ioWU!4%g4ce$%i6ZY5F{A#$^*Eu0aZYtq3F8DD#KP@D z*#QiwResK>jK8lP8X*%Qt=WN6YGE~els@0uSa{ou;?@@{KO2Y+jU^GtA|B-Kx5{L**@ z!C#Wf@A>lz7VDn$_%mq|YF%4HpC1)RJRf$8gV|9*%qj8(9)$)uEw!?Ojy07iuRTo! zLp;pXK1cAX$DB#VO@fCa;IRbj4k})|n2Pej)u-2zE9UR1kB)gF4vcN>9^dUQR(Y<{ z$ZTt%3N55ihV(NJd2Wh9$Qsq$l#-~hUNGB}lp@@@bPte+kRRl^2qEz~gL)P|f(x%~ zvH*LAZb|Y9g+SgrXE&XvY$Rz~2tes;Sv#!9OEYLA&E37juKNd~i=}UF_2iggR)9GRuCdudIpE zVo~A&OJ(`!*lsEf-4W>dr$py7Z{Y~DzIs;zOaTIyx3@MZEBvUytU>>!>_rIZLQuOw_W-1C-bDCZ4X=LU2Qzv9uqcC*SDub zJ94Iu1fg=Owo!LaE4Im+STE``&8XsGLC(1!G%{CQGv8>c+sbB zt8X-Zn1=WonV=VO^Zf4OdiyJN8jr%ojmlGY+QNsYF7Dl=mlJzUuCKR4NzQVBMQTc* zp7kW!U#N+hKvfvC^~RM`mIT`u>#CK1-4483E+1T~ejAi+GBhmY1BCn|%G5M9|(TmQFo@`B5h@dXjBXtPO!ZA2U4FXgefwh~_GX z@b+662TbcGBte1bHlQt}T_h*fHyjdJqrKkdPM`;1_ZZK4JdP(SC z4m-A~+BFjV@c?YHpsI)AO}fyjPxzPg^2i_zTx|;?91W}>5#PI~;CmGSZSBEKqavON z`GuT4+d`t{rg3q)0xqFCQjf>Lo+;ES)BK?bUs@+zU&9u4X*_FScPVQgotCh;bDXnW zs8WixRvx-TYib$&Wgi8w$<0y}JMjG#$Nhd=4PvGY&$Cng2EKS3kgs==9)9ra;uq61 z`1DpOm9<|6=P685bL-a@BT-EH_7y^jb6M_~JLj-R4R^r{7{0Q8T~7Y`RD6R!*pu;E zwZELs(5MqE{nNX?=P_DJyLur#Lk*2J~jlcv`n2mJus{p#TU9d;HLou%^BX_hvLy`5QD z%oD|h>)u?dqvjS4iCd2nn+TD!Lbw@4>N3+Z{}@ZgvWhK_%u$G+)0$m;idCpm;HSv?m7mB(V}HMheNkcIc(Mr zDWi-LQ!qnVWOHW5pnsT~eo`9wO+xU$qBaziPI1?F@Jsp4B>;Mnj>qmy6%0PZQ_0 z1$}Nm2xl?T7wC{yHA9`2PX>ncwE^_Cz()f6MQ-1C~79LgA9B<|a zhB95k&2;Ay$lE|#n46b%g!^C_si!FwQR3xj;K0Z(;kV2Bymlecn+cuH`+?9jN(3j# z9~^LlPPMRJG6L-hSGan`5tpox_4*sYYPe3&WXY}sDK4|!7QYDcu9FWyirNIR%51D zTzDr=K{TlzCjHJ8tT4>$7=AYj-qz3Qp1F6p8B`SQRvmBK4+?aeZNLCmfc9<`(FzMs z)dz3|(5%vqB|v{%0owSN+!j*VeO7Un8jut;pRsQqE?|v;eo-u^{6(PWP6eoxK`BYE zs7+z8DYv$byiMV{ftcW|5w8|f`%xWh`qSLMyW7b>*Y`PgzwpdDfn(WS^O?YTHfHW~aTzTMes; z4da;DQMdNIAmrIQZ1a=dB*0 z1J~dQ3*`o&Nidpv)7m-kanwg*-*Rx3wn`~ssqIidWE6(<6%Y+JI)-B=ds`si#j2@2 zPQtpB(fKCe8mAysZ3TcGx$E6D?*(MCbgTNpH`{su9|qtOuG14se!H_?E$<74(;fBC zs8_~e6t*$)Ry|2=)QN(nCJCaPff{DU3qKgg5hA=y6Ts?g2i#2b+zC}BpqX`|Py`>7 zY}=_>r7XviYc*6OCu(ujCGh^I>*9z7?PZ!07U2%RJXYT``rsv%`Zc=2z*srSQhKLc zN`-wy78W>!q59{fKnOPhV=e{cDSFP5d4E&vSAD_Kw+P?%L^ICwg_Mi~Om*&ZolZUF z*gIQOw~E0R!Gk7AB8UBh{wJWIu;UBBmi9dbuXqW;T@WOzvy)2%4Qcn7ff@8mMW;U;_%&8_AXqZi+2dq6sRzU5!009PDL7OH^kq&^DjRs8$mS@;)^iyr7cs zO)$f3Y79?Acea9)Bh&zGAz(=G)DV~+pJi2kYYF$=5bH|M46cekW(}s6vI(=snh}X> z_{muUEjSnI^lbNEvc@G;=%)l_IyJyxZaGOoe1wInePh2+G7`X(n?|869{>_2<|B!K7_bM&1jxjn6ZObFimi{fIKus35`L%JwfQqT%-WTrM7FLXEa}W$x4ALo7 zII~9D;sI1#Ici||yNtSR%HsF8gRu6cZ^h7UO_y8UeQIlY(G=Q8ZmK0ST^Q1f6OfB# z%NIDMnD#7AO-83&cFmkkd)AKeS+8Zs=w22Dx853B2>Q&uDJLYrAYCG4um|T!gqJ^^ zMXRz2D1~?mVY$-s_Umb1)1^CS@ya4Ffu9-{p2)N%3&GEsVUt21FM-9yiOOYa>IV zjFqTEE1!^-NTWXm>VYu!!`Kc$-~T5~i<_OIkUvj}mt zXD+06`>{nYmD(h|qRQ*nohIaQp`61mdPs z&{TMkk<8M=OG?9%EVVdz!Ef-~$6Q8vH`#F6oh|Jsw~GASuePb}{XXv9#?s)K$K8N# z-hfPJ!9%5L`P0_naI?mM2{^=!iDxK1m(I*<;*HBHiS#XQn|Vzqvj_(k`YWuxhCN=A@J0-g?TI-Y>Ikkn8Er2NLTz`ttheU1wfyz%NfYq~}FK z5bp4KL86e-HNsFGmI{GRne(=n zl(uDGyq2bj?wD`gD$~i6Vuv?Z+wjGQ4retGchq??U23+8=mol8x|d?cC0#b2t+KJ{ zE?y5=89rr2O~fga@Z>F2I0Qx#IRvuFISQS+XBpHJB}xp3`1V1UhiF$~zsIdHfNeel zL2*gAZEhQo*r)58&&6RE9)#&5^T4T7iK$SNOKhl7lVhfO3vB=)yl~jND(SAwJ=n_d zme#;z5%Ain7&BZGH{@Q9DQIx`2ZVOV6thvydE@ldP=~x7MUO>t33sh9o!NZkZTP*W zezT#ap;sto65Fe0vel>b>Amt-`aSR0nnjIGA^_@#95fqkP4y%}TU!7-hrWgBU2apd zEwN5XCVVZA+3f8fY&nR|V-gA}@TtARABVB-jBxxQH6sM%eY6N*g9BODEhlOXOo+O_s0nw^cn{w`}V}Vf5 zE&;jw0g4s9{LJ861LHU_!n3q4MUK!^)b6<$mm=JbR3j1A3H#FE#iOV0km6J$FZ?d5 zHtv;*Ky_+dO#v>DslrTST3fg)Na6fIb!jkap_D*74KHHYL*>ygxrGD}q*=^uG2?&pSw_HX9TWBICTQB3J^BBCTv06Wz_Ty{3 zmGK>JSn`ODh<(N;q^%Uup%6Z$Os0p(!6_}r(5vm?%L)vzd1iylCLCEgJlwGRc%Q2} z%iF$M^<4Dy-Y*QM=#cnBkFV1d7X$;P;my8qz+osyUP@OhchEEkZQskgC=(>vIKC}U zG!{mTg)mOf-H~>MSilrc&XelI``dSk6P99A9kbQ7X*o6)?k6E-T``VUR&G*rv+TX? zU<3*6UEO<6zR`w#mR5l9%p#Ypdl*N_y*vt<9+_o?XKy9vDsXC-N`Z9?Tq7Tp(0ZSo zaM#6^d$To-J)ttqX+O|Soqn8y;$HsPGN|s#wz2;rUg$cmmM*2WfcQAy@bD;|kc~dw zIQiX*8D<5`8(=*1GD0j<>piXs#IwrX-Z@96Il8>;27I5Okg=vD3-wV&&U15*yIF2f zPW+b#Wx4^MjpphUk2{`^iE(v7EQ*4Jr#Xo`;EcGl%B@6Qt~Fme+sz^*u(b%qqvA#1RJEh{`IgN!oebhdb3Bs>(fbo6bAgosl`4 zr1LmV4;#oQnJ)n+AD$v#8yFo^CzbbOjdBW6lU`JYYU+8fFAGu)#$cj>s-ZkKo4&fTxB1&1@>K_uHJYxmu za=}WBFhVDKcoKK!oo*;*Qm$2zgEl%E^IT0_enU=W>GQdFWG_!6aLEe$WV_F32y_xUJxHyo264bi ztsc9rz;z0KQIJ?Y;!c}v1co{D%j~inumZgZZxn4E7F$jBT8&bn9OtDsM8S_xQ*XMD z5!tQtgUk186KcAA)N>DCP)|aMHbT;Dmj1MHlP4H%oiGh~?-Zj^YfYq@;{o zrAC=s&(fWkaM4VXpFRM&mcMJAFMfCE#W64M9Fx9?IvqprmySw^LoKyS+Ozx;wNTH4 zQBKQ8tOc~Jj3tVjrD=X#ryTQ`(Qa1m!PKE5DwlgIGK@RFZ+DrqWlGs`_*ET5NnUab ztZ~x95S?*ii~res<8sv;SL5>705juqf3t*^WIa!IFdE#ZM#qsDq@sXxvrqzz*-NQ7 zL<7B>>V~ywlp677KcA`UYT98(aV6UAk)WZCFE&*cc3~WXYnwM8l9C_pb)p=c{M8Bf zN7?suT6;Voo{vU@tgjJ8$Mn4^=&1q?)J+_6-*8dv%Gk+LI-{@b{}`=S7|*>=Z$P=!-$(QhUy~|3iG#(b`1)y zxlDWri*D!?#SYoT(IHnu?fT;Mxk9AhWkici`f>b$hOdDe*7vA>MCTQ_vgOQ&P8TK} z)kXkgya6kROU}x>BP@=+e;Fv%qon^}Rd8iT-r560p?2%E-Gaa#E`yWu`a0Rh{xPuS zmZ#hfsON9;j(;vN`1`ygptnSa#yo$cJR)xOptFR#}Ox?h*|xdmC-S{eIyHhvpb>e`J$;CBWW! z$=Rknc2cx034a8AAH7)~Gq%c_`Qrw@+y0@^`WuNr#2m8@t=s@LHr^bMq_MlS4Q1<^=d8gtt~1( zWPG3wAoM(^OqHQjl)hsOBD7t){R&=3M^+u8&4^kx5=o_VQ8=Wwq zhOVl=q?~_hPxrhbY2Y_w0>s05lcY;C4sE7uvZno#*#*oBEz15Co2sv3yvRwrVnXkKO`wosa55VC$be$80C3Z{G zR42&g=trv^21A3z?ON-BlW9e4J}* zs3WN?wdBc*udEK}BXf82BDBP-2c1e5roL3KDM+IY=0Xuad4kJYnB(vjb9V2>R^YRzW-=oIcpR~n zlX}YtlemPZuy1NMI)s=D>wp#!m(ZdL1eO(8ggB6Lm@f(ZvrJ8w$csq%ZC2cgdMjbE z)lbotFGmVsKffR&Egj-Nt6$MMk-f`8$;UJaV78I>-2=zxlj7&NyRM|n#@DAxO>!FH zOud@42Tx)Xau92rosb5VP`N?F>KzD?!oXCs7>9I8k`2j-&?X3-n0nIVmzLM6LD5AvZj%R=FSE(V*ew|C+^BQ6sGmmtDG2RDb{BKo21DHrGBX* ziG_}d8qh%rP?AgyDB}mzhEUV~E#k!ZL%G*aMr<+C{<$Vn(bd+7MpZ`N+{nO@=HIZ2 zu#LSHjl7YA&0Bi|BL_}S8X*@)5d}v*M%$uR2?eEpRBrPW+n1}BHPL% z)?DvUbC_^bZ*F_sO}^3gcn~>hNgyq!X>&j6fkUn1aw+`Hl78wcEvW< zc1G%1587VnpW3tva+zV8;mp*u57ply`|o3vuX$fs#KtdZFhTHoTp;m&e9&kYy@xNftqqm5OfZ5A(qe!&CrRl?Qk*;$B`CrpA#V z#|U~U++GYPlSWaB#4@w?TK>(5 zOoJ5E-6Hmaxbvk(3r+0#{KIS;$hu?!t;YN19CTrwLL>XU8j-?i?Y;bj)sM4R@0OCh zEVSfa3gtxTQE}X5d4zYy{gM2eaJ*k;r1p_IE?jw*rQ8LlfhM zIKP(ip&k^`$oTr2-YAb^Pgk(v=la(H-st3-Fw-6B zCl+0<^*rn(?<%M)9qDyx+3{CqeQ3MMw>$3SU#7I7)P@XqtJD_K#JQ^Ta_WJwgYoFH z^7^Q;Wb2Q11|I1hNRo31Me8KgoC;8}XAWqGM0}-qKbBr^jK0d|_t8AzeMa!dr0|gD z#0aZ`Dz0@u>dC_Qc%c!GFqK?179$iz+A@Q04ZYa}YZYX1iL>#SEb|Bz00JAFGk`Pq2b2$%&5A zU5}cvxf7HV>jZjI9g;(7zPIWr?&q9OSz-m?7Q46eW)+$#MvJP94H~>g?$jM=C z0w>C@uW}3-ZGKR1L!gbYze_HFGvav6HVtay$s}we8!t%JxUN0aK)T_PzQt@aQD@#K zgP9d<93`L%H*|4V zek>Mrij?*7ht~c1_+S?M-vmH@TVAxm{!&;5l5PlECJZyVyJSv{B z?r9cz*9C-P_`-m6{k4(Evq~GLZdQBDqtY~zlbwr$(C)v=Sl>EAy4+;i^u_88wEYmIjm=bTkFRpp%T5F6o-3>N8eA?`(({>i*BF%1CTx-hzN@r$2}{3 z7m?cdC-s4RN2h#72`%u5xnwd}t#T=WN9b)GwS}OF00wAfe~tq~;_4y;_!kUPJ1vf) z2{8`VhU1S1&w|oEOpPP>VC>PC*z6nWiCznD3 zPTIEOskSH2g&dhL5mewQ*oWr1%imUK+t1TBfa7yh93ER!CrWukM>BjbG(B7N>%v%&cq z{Z6Hw(uUDt-KZV&iRy$at%0?QJBmWp6V}3^DnD@+WsTj^Sw48gge~lFQ|*T4_$%mO zhR?)4X-_&yqNwhPbO`pm{kxLOuB2-QB70ag(?a%OTo^!oyS1S2d;Sx*qN)6~+sK4G zSjymTlaFRVl5#4k7-Y(yVc>?uVXA*#wA^-I&Xj@GyNSJ2pkF0bgo$S9fs5(KwVdaA z5f&9wSe`nuEM0$_X7GsmTizA)6vINkz(&hkQrZe6H%3-@okE|aGpxFLDZ!yD;?*Z0 zmLw**Wnn~dtg(C~0m#L;P)bx??hn4nF!3L9Gk`%{$T)@UPHznkwTsj6HrUC_dJJQ* zo(MCp{8VE!eV7uFI0Xr2Ob}{lCtbn=nPkmT zteG$;xJ?#H=zZjk5@LQ^WlF_b~N@5RsCJk$LxL81#k9F?G106w;&EB9oI8gSFhVoVkQzo&cSAt{MXAnP{t# zP!59i@1i>lKal!Slqh9QOu?NljKo8Qats{5b2HH!+}2ZEM8y77bD^Aw==!qlN=!(f zHC_!tMo;chDVKf%$pD~Fa_##zRJnL-a7~!Rcr&4#y!vWWsdzPvgAbr+9f3+^HsF&%*MsNqRWv6A2Tm-jx z9B}5AwYae{e7VM8zbE*+gl@lQNVP=@g2$CxO0^0>6mi0XfD%LfcnyLWDQfh&)1hf> zW2eOD4l)Y>qC)jd$3D!P^<3>PyE-gd(t~{S$@BpBKz((-J?og64Bxi2tk!5BMRQ7= zReHmq;j=dAx{;yftuqi+YsX(YZ-6iGuMe;QuwRrrx7lN zmQ_4XkmzxDx$Ai+n?w{^)w(w`vi zl|uRNxC;djA^xBf#S+%gWh%pP!E)8H%7uM?6Q_{x z!l+U}6c}(&8E{~&&X$ND*@8)zJ(OUq*7dcmXZO=jO#hGu!&W4w!Cv`g3EJ&5vo&;Y z(?;Z)2h^HjIP4PBY{o1r8zp$`EDGq``!{|XK@ad$QX#uTZBZIT(q*+e@CHI1q4O*O zIM6(%<#M6ElA#T33FNm= z_Kcfb->>eSwFI>IY35k!qwabaN!2s9tpumpUJQ^qQNqc_7>sEpd^}}(eh=y=B6w$G z@@r^+>I8f$@71lAs!(cIK)Z_Dk?AY*mh(vF`H3t>n49D%B(AMTyKN-KzP)t|;UKu1 zono;vLxc^4a zUh!p;vlorOqRU&x#H9P}kT9_VYGe8OwndYm$Xbl}>Cet|2$R}nC74*=k3vL66?;2w- za4(|V8s?*3MIAgv`Yw}B3k&XPx!=B*Tvco%Q?ud4I@NV~Y0g;#g#a@KlbQ2-Q-q-> zK#18QQCd8~+i5A;*0)VDYcKVc9eZ7IghvsWZil{U9Uk^mWcAhksBico$CR^g?#GbT z=O6>{1ddQ|X#sOj#Y6L)WgpA7wVXo|(&0Q!t!fbt$6VkQL+1~F-3f`elITiuJGeYZ z&hsdFgQ9OARmDZcxMCt9?zjO5lIk)ScsmH1Y?1pGG+(&)U{?(a2uVOhn=a8gx91CBx?U5ymat@L1eOK*&< z@7d*o?k}&2&W}ratzj4}^i-pM>k&GQ(JC0>bBub1jF}M@u?#g&Zf{{Noz{5k8jWNr z3F0K9&mPKoQqIlhaF|kJVW9-%t=dS@)9X~zm`@rarh482INRjO)#lMkV1_$v@E*H_ zbL~FZK*p%-RG5B+ztbTJ93OI#Gpx#G+>{gfMd$%rhsd|DlM!lu6{u}TtlXB&D*;jV zgRN`k7v3pL`@Iew#ubU2QZ7sp12V;63=9w{BH+i@KoVEoFD1+&I-oHK?8L-cZ46o> z(v}p~dhU#=V$)wWPVVx9RYy?`oez$QCOYGc&AoAcG<-@f$@<=+gZQVjWvSxymgd-G z%4`o()$*rLM-rCx{trEIIp>r>xT2v}_y-6RlsOCR2PE~2Cah^68^8da9m&DC#057zO;eB;VtPxdnPfq=TJEEaV`u zx+9Ml`51@n%CZ5s1FD}Yy@&h^-f^QE)0SE!8{cSQm&Wh87os5$79gD@YiGaU_ylQD zX#Tao5<|po7Fg4HcVp0AlSYvrM|haMJuCTX%?sFLeSS|;5=-t_at|3JKS*GGGtn;WBEXnsf^1D zR4g9cJnNZM-2Eq0~8X9 zy4(GFKX@Lmpw*PYWnR~vZFZNRoFy$Uq{{?#F$ZG0xjogPB}Pkgl}kj{QeN|YH^WPq zSiWi>jLI4tL9|G9Cb{WY8@b1UfYdX1fHe~yF8hda^WRMbi6Q!K|7%wJ^~rY;!6OgB1N&T)<*Bh zAl^f3Be{!}qw=o1Dr_K7GtvAaW!xF%fJ7+~>5>XLUN;LU%mFz7QOsBG`M89v89My6 zs#tf;y{MdBf||JN_z3m)jre1h?;>E_#RPK88`<%QcC|utWL#vl$#r$rgO6E8wNLgyqg8bB+cR;+J z!^--(UABU3Z+*U$O3fsOXQk1u3yi}e`#4+RvEfylvDe!1)@v0MH%S`RKN~y}lD1>sZ%Wovl zG*9}vd`Lu`z!NR{S9O(G#30IZ%NNN=IWeP5(awocUdjnt2Zv%}w^J=f6vKDYRJTvx zeyzIpq56F<%Y}F2c`k7Hfo+;;UOTkvs?$+T{i$4^I|?>A3!Z&S$uuFLDDFOfJd054 zzR7$0E=Dfr&njz#mdX)4X@36A4~rv-*rlv^r|2^iPM>ASETzdVx(-KjO0+9JGO8Y^ z;c92*GS!2i-8iAF`awtQBoQsfoV$!4ilg6`T-jsXra};t({y3aRu&pzyqaiqZkGQp zIv`#KFV1amc^f*2h#hZXmzzV|(B5MQ?W;)mryIkRThZ!a)Sd&>Kv}BHJJ!1$;6PJx zmXUUvioAPRHm5>HDJaBd%hyb~*VZ36fM0pl3g#??pc5WeskIehw_JX{MF2lnP5&J$ z_)eH`Ah*x!JMhiY^A6)3E-Y6ufgb2c47Bwh;^(*a0GABqqkogwG zwdF0o4gvdc@F}#VtOqvqhG72Ylbf}PES?S>(c}83H*e{5WziZshPoGfY#TNrZHfD^ z9B|07BYq3C3@$HqfT2M+DuuByf<3c5!>3}j)w8*yo@1%IDoLH-p|`3SL2y{lcG$LD z_X_=tn$d;^`mXMHX_udet+W4+*T#T)r?$43bUX6t)Ixk~_&xM?t_Cp2dJ#8?(}Z%)c)P| z%7BuSvYMtupo$dJEVPKXl&td(I3H9A3r1vP5j{?~u6Sr@hKaEMT6R9M%HB{7Nej&w z7UMw+W^FUf3|`A{>B-QblW~4|vEVu_*9bsucpiRj0vTVAb!47{cVUZ{0soeK0?XQ^ zEpwvr%5{CDE$h0MjR=!<;h6zQg;HhsRCG7pkTZM6W&@^uWT~+faW!Mi&|TAvdgRs@ z?yh#I_s;z;PIQnXU|T9re}{|q(82unin9BCKl@9e>?*7L(|`!J=gh+<9m?q$T&Ztt z>|nr{=~f4N8+HgsXvEbfXt5Ds8u**un~HUZ4{$W08T<+Sn{v)o2dKMTT%qZ@5?JrG zINB*8Jm|}1NW7_%V0MdyhDrsI;@MTES_i!F^{1>H>67nK2UGYzV2*$Bxqlz&{s;g2 z3*-Ga`1d!2o=#BT(fFTWK_OuY5fQ4h#)k8v4muGVLt7(r8&f(7BV!vUb0;?%aXMjR zM?+&9BYhjEzZo3A0KmUI0E%?VwkkH}U&)Q}+5dI_`(M!RE8#y?|0~fyk6K^g;1}kH zuc(9nKjQxh`nQz-L7IQ#l|mB2f2;m0IV0Ww)#xi&*;Y(KSVrIOzvZP9R>S|I>!PRo zid1rQbTYP_is)A*!XY#f05j;vcAw3v`Tx+1artn1)^_Ilv=kH`W@2<|5lkL~!^>kgi>OLX1B25vXD0*)UxT#fp*2U^=BHf?_bG2?210`^N_NiunCw^iMNy z$=kKqv*z1yswYMyvLQMv6d9ad-+bDv8W)K103m#ok4J(d?seH*XvGZ*$k|6WmVEV% zau!}6pD}ksq9^fn%<~E8oZw^W3Bb^5WKLe)g06C5B(YXR_Y=Y!V{+G+Es5sJAc0I7 zaHH4oJ$&KR-+O!O9b?OOaBx5DEjmKCtOK&E;$ZMbNZsu@kNbm3{Dtr1HkvNGbtxI) zPv^iPrCeEgtE>86-m1Z5V7my#?GPK!0-t)3?_I&4(>ByLz^95sq#~eNXA0mzJcN0bYh4#vvD%cjlFs<~=ro@^3q)$9(|7n=-1A~M!yumd^a)MH%rQ^E zBbc*7g!wWP;yj>DZ4f(xW7=mS5w)q;9uYx8b{OOM-q=0se=d*W-kVGIRus|j?3s(z z;?Hnl*^SANCHiyQRv#QtGeKHHs&D;}Z6c0<27!fZ~@zK+vD?v?Fwg{4ysAlyriYjYgcfGN`OO6eHn z8?n|fHI^nfNLZ~CuTCoC@juZQ(J9@e^;Zm^>HNg<)Sk0eK{{o6&+hLnW19-?aIMhq1PtLeKt^tA%Gvb z^QaKn!P~2*Cm4f4fD>&x;8y|xnkK=E#8|II$Q5&wnd5!LSlk$HLgO)g254(B+?MX1 zYk^%aureM6Jc%Mnls}kBNPwm?Nhrj$Dbn}Ek%={Q63Q`S{)W+e-i_L8=+(7PQJzh> z;FH2r6$E5yDKZ53dPQ8|Cnj$8=9PRAy_UYB{G?~V!ql|zp-QY3+B>txjqc;#iP*JL zVxqB;hL50mCFvT9e6>M+ph>*Jv?VlUh9=SK_m*sd_PH0bO<+mnFy$zN0-XarQC^#Y zva&?jmpt~B&)i;<+Buig*&)|*bpi1)>}yZPQwLYrLsS@V1hRBc@P5>)`d(Jvz4){^ z)6`!n)Gc{Al4UC+gH27ca1XP@Cp6f@oHfJoIfmi%ADN`J37&CA=Ycax1!Z++^Ii4# zIr+IPyql(I#+>BoM*|+)3Jr6%bD|k~O^)>Ui!`1*KD=3&8P`>Bz`~98Ap%K`zKkBI z3DEKYGyqa*pnd@pPq#f&Jw9sKmWNP}ez~DYslH4`D)4gl$F3i_#V1-Q6+i{aCQ^xd zw$#N}4lLU|w6FWSY87qSG}_JNZbaMfZ$ zXAep;M&^4$j;Y+>Q9$h&)N|33Q{X%#yYQ?9{l32vAYv0n;PM`bVUGf&;&X zAlrWW0>o7(9E-!yni!r)X30RtLyHei3VZ4X<&(=YW1iy+VBEw&jOra*rmo==&U4ND zrfSX!Ux1gb1UXl~Re|EfTKVgAiP{HCG)UqItH{!8VxJM_|Hx3mzlg67^;S_ni0hyM z?ky~mbGDYxXn051Tj9x&jeo$(>!?$)z0c^(X}BDqZX41#YDOx7q-{AElvRFkbjA>F zgUI}FqZBt^pkF~DhZT~ptnJY&vM9)Fl#L14_63qNc2t+hFkVIHUQC7q zI-O2YFBFkv$dQzcN3xT8uG4pzEy027nH(0@aGrWI6T(@;#&sZEa&P-&t zBK;Pq$bo3XC?=yF>Km^~pE)5G=Z1Ni;^sezYX$J5I?47LP$>Y)zrYk#|y#KUp)&Eh_ra#e+UkdG$C1(U~J8I}Sf!PI9Q( z9(cYiIX2DW^C&fzK69Ly(A=v+*`_)zLF$_~Hu(*lM2a#(knT9cf0nW%h)`&`fA*Qu zu@}AYja_kYst1eifQPzuIn^{jP3~~DV0={XnkzSAdAdMtXZh^gpYd0PEcC+2NU5rv zwC?tsuFQCuj9xN26+5FMyJ6qDq$-+oFx;Mb(#t#r&~iy>bfG}uE>?;FgN!C??6}4m z;U_Y)h9#?HTh?|U&1Xza!~x!N2cv7(=N#F#B}8xLV=kj>LABhGFt$&As8pEA;ujrpsM~$3XCWTDIs8+J&oaTr*Y!$L)I05X@7Y@c;HSTZ>(Nb+)>c*(+ zU8#_yD?-}*b@`EnZh|pxcje}U4e>s;D7YMKJJEHtte)>xw`vs4+#1lAKT!NwUfQq7 zEmRSv#i2?3&a4Qn1z}OJ;xBtKYuOsbMcSsF&n`JT;;hLl1)QPOUYj;wyhIf}|<98b;EMm`9W(_bWR!`?8^D|{< zF?eXo=wuw%M;@6;;Jz(g?r5t?ED|(uK57`ZJyel%{fafL;qaNWIUUDQ8q}VBAt}pi zOW@})ORA8NQyC{NmHiW%1ED;eH40kB+Bko{S%h2i%?5eBB2j$XlP+k-F7+5EPvvn` zUr;R{x=Rh65KZtPsCe&980C=~gj-It^-0~?<6=!5c}nIqkffpfwDre3vsz-OtW61) zBH6U7@LoeYE#a)(`8|RR5>@#X7>)U)c{-jy!Kb>G26Xug{kM84*jQKq>`)R>0KoRvws{KR;nU{VliPC-6*=~jCB!32xnDnZ7w+U%J*A=CTTGzzlpCdc#kkG= z^%PlkhF+4~vG$N!3>PM|FgnBFK-#Q?Exy+ZL>DHsP?=ocu36SZBRWzNsiEdRqN*c` z!4+1#wiQ>uiR&ceZ#240xp{de|K<&)<4<5B8wS&_5AUR9KIf316 zR1(P_cFFcXiSFYwm%cx7S4w#_UcT+EUzx+;!ciSj@kOuc|0tB8abCDm~(<#uFAU z4>TNV0N?Z~7UYJMt=)p=C#0Z|yCf4y4ieqpc34WAWO(fyvw=kl`R98-(eY|DW_U5? zbck>zK%#@b`-{38723yu}pV+36-SI~-bEqUkUpM47{C4*y(N|~ApELGbqoqXp zm6L;Otq_8|2Ab*rdC3Yj0b^?i=dY{_Wm}@L8;N!wx*JK_@;0COQznFUMqiRh!&heJi&vJTIgnA8z7C-hg;u*9@DZQ%ify5WY;1V$vLbpq(c)MNKW-Y!}tv>~&f=eb{r^7Xew7X-e!oEF*=*A zrIetv0-h3X`}Z_@lZQ|gT2rolZ*_y$5RIwGG7+9^76ewDTuQM=lg2mg{4K3H27Ke^@ zUOlxjx)w?8z#!Nw1Mdjsltr^bz&H$9UGi^`0!D4E4V_tFexRF8K}r$)|pifi%MRR;Fhw$vKud|+`5aqmvIzX zCv^s5(u>>z|KQjzVI7fElvrKYnm2#gYGM`u9JtwTO^O0#3fgqgJvLla8nX^V++kl;+DpAcLM0ht*QI&o? z5NjJ#E3H^}ly(rrMnpi5AmaOzda;dV)zuF)=Ot;0#$2YjpvW`Y1Utpdag#J603wJ`C?azf1EHgj!GY#M7|2m9ipzyd0WEwjr9|2 zS7GZwi@+n%<5bH&t>AU@4K7FPOyjD?969FYw4EzMCn^-aPT{#8@d2~+$)FBb)A{{K z))bD#ZO5#`c#}6hX89!(OjtQW?7rHgOQS{pV^K|d!Kwfp0%!VO_Y?ES-VXjis1scs@`DT*LIcGo9!o2xb{kUfF(@pe(^M z%FDC0geS$+hR$~9iU@Fppd<~S2naobn`!M!u|hu@XKW80RaUrlpEbuQNC&z^ejuu~ zx(f6h7TsDv04JxrM0H;O#KqzT-rUOI$_o%vwa^&fZob*mY+Us#9of^{6d+&!e zTzWuroYR_#?1_a0oba1Qn~Stw+VxMS?$9<6L;-@?kL})^0R9e+^Lvh?3B_1{tnI0x z0FPCPlfa&u?CcxZ(8h~O-`)5rG@DzEa0{6Urt%ZE!0#4j2tQozhN~QJF`hI0QvBnS zU)LD(pzOCspIAc}2}z;}+9D5o57SDxvt0UO7`L?6JL-cZa+eQpNeA3aH`np)+_2%b zj=Rp@MqrZHPqleL879qzff?+uA*ow*^dhgvm+8_GJ~>ShW2{Zz7YIKQP=7gj|9?XY z4F8is`ae5ync10`{~ssr7s(rOGh7z}{h!?Ec3{m}e|KPiV3XmWM6Db@@gazUd+Z{B z>_OIp501qa+RFylG@6wKSmUqCzDR3CZuZN)+&p(8_PsOe_xkA8EQ<~Xef56+{DZ9PHrwm@Gc#shBK;bbW%iFu zv5Bu6yPSHZaZ-{Brm|m52{OF3m&WV>$o?!-3Fo9f}<-+S^PT8W^pIMMJoCHFk~<%|MTA!b=N$F)q#pI2y0mm*O;A0I>`Q^t4BpF+81hfPTqqA7fPCx~ zXw4zVBgc4}r08x-0Q?bEgn?h$mGi4pqyVR(Ly4LHD%!)1W!_sodL@Y9%UXh zJgARLC@2T0Y&rqfw7RdZ=;sg}F#>z`;|d)o!MMeR#u!0z@;9<@^jJ}_{fH2^t;;~> z0cEDN&&-mQ^U2_9(i_c=Vl8tLN90qJ=$fanYby7GrQ`zg%q~Zgu^CNX+y)+t;f~WZ zD!Xnu{SPRFeY?gfIv}r{#@LPYguHLfgr-}+^OOS*X+Wp@|07q zSDR>AEUPN)G&M^67&U?|C`8wiVaCxbgWQlPrTIy!=A21OOSMp9!IYiMuCZdahoI7h zj+592Ho&xH+hQ69n7m*WS)qL_ogmiIBfTtOU+pO0Gc-z5dK@JH4eN&Y4zi5!tPAA8 zQaGpD*wx>d331VB(*Tb}CPhr${i&)(|@%=pg+Z6bmGlwE7!P+UMT@(f?J8kvrdW@uFk3&k18 zxq0!Xi733h@--ye&121`*D1Bsl5f(&gvf~nOhpzU%-uF2?D38}PwRxm8(C;YEeUDe zxt(2dbp%HD88cR-*R!F5FQxQzz$E60MAK3=1yvVrCn&kmxmF{kURW zwafe08y@{&Bk5clKN%lwD&s6>u#sMden4fx39``hWthSe1RN(}2>PnIT^9T6OGM;1 z?~LP~H2+)xe}UX$unDC;N;!9{Q;*`$PX!PiwM0fZk;ZGfh*KDFx3?fy^@Rbmn;ZZO zxvTmAZr;A|C68L=Jm9xWhiT`@)H5wVWU|V1lwYaQzQ;J$3h66@vXr|y(=Gc^AqG4tA?)mbnzKFSBFU3;Dn(u3pNe3`nc@h!(tA=RO zs%f`S$SNOnW}|#2Yg6vZh%}pL9tuy~1h^<8x3$){l}f>(*1?6TstyCOV<3MYGD>Mq zt{x+5@RT8GorGnw%&0luA`YHvIrl*nfh#0fmk3Pi(K97%jF^thtfgSLZ_HZ7#T}Fc zn2=!`w!f=iH>0~h?}qUOx+j;wB;AH+DxuJNQtXQJUEBTXOfWl`GgAG{!%w|_7{ekb zfYGJp_p1|6o)|zqVx!|c0=TkGc+m4qt`=OSbu270l5?CFch;;oA?Ja=tNQ~>IaC2i zK_bc9QWRvQW<2SNm1bd@1SxP5!>T;&Z5}&%jtEP> zs{{PqR&(~AnX+^as9;eFQ9-3DP8h!zWQwpjf%#oAg`0gBj`{h`Z|I<|F)dGwBOz{% z#H6?jJi@X>fqLZEps?$UaeNd5@n$R8`e*f&UBkSg;5ilDX^q|-BH`mp4UD2@%)4Q@7ACADl5J3dNIH%!?8O^kePk8i zPk2Dtjl3m5WLv>f#3L|->+=xq7rK_6%V-{8aO_M{V45VzrqJ2!&Dh?=F5xxdIq5!P z?!bU#Y3tQ7j|x$*DnjLoZ?x&k;Q2I6lrRl~Cm22Ijr~BTtP;wW!Aq`b$#G*=R5=#G zJlZN=(d_kc`wcT2*NcuiV$t7$_wwo{Q`iEff6UFCaGmz+r%1;)ps?_g0z0(yu4&Hz$i8oq9&-Kz7mS+vWe*DRl`19-fI(2dTfb8G(5D3?I zDff);+{8}_8&NIAl<$_nP6_EPZ_=o#+UF%3N>TTto;uyCc*PSXjH)t6%H<6t^NkL2 z9~ZaV72q36(72-GgUq_-U)$Fq!^g>9os67h2IGnu&os-;<37n(nus;5Z~HXO$)}BT zoTwc+SNwgxH~b_*gwT^)nN`(-c^qO+_U%M$RqEMt%^L67O4$IZF-^r+vu9XSN(tg7 z?F6^;i8PDObA?}HVz^Ah7H+WB&7;kfS(Ugq${w{t-+y6Y zGvt^KjTja*3;-NpJI*=yx+d`*ipP3#hI~K#vF-CD*=fNw0OcwB&|_A#AgCp)Bt5cblsr>>(Y;B1S)9z z>-c!91}SfmnQK-Bh_r3)&DM*HXxIFbwcDo!gLgx(#0LNPS4|B<`HucT{IJx5)BfWa(_A|Q9CR&sp($5JOX!e|o_@er>vctPEsUSp0ODD3W-0zU99LFgmOn{Byr?| z@r#Sf9cnKwWiD^|Iz^RR@4~ejCgm!nk2!!S>`7!q3{q}z$=WdN&e^R7bvL9i-Q>H( zI8|n*ngUQ<^Vpf&0y+?~r~Nywl1g7_E0@n$O<_^3;QsA2p+37fxbE5#-e`Nn+~l$@gsM zg+DH4+Q!|j_l2MCHrbJV_hZ+bI3)$zX?0lB6l7P;Xcg1gB%AE-Fb<@kIEX>3Xsy3j z@WM|^MAzB0ayX`jiQ99Jod0|sIRZCW>LI%tmx=NKEorpr=5SU_5NG)V%e&cNts}+w zq_3>zpZN>WzhEeG(-o;AGMQz2W-k*?XPrjGx-AR50)y`$e}oUoyA5S=|8*$X=$eWt z>T3NoIFM~ZJZ#T%fi$4U0LZ~l%V;C-cN@XJV%l;JT{Y%;DVa5kZt;&70rXXo3Cd#QL@lh9>x^BQviq;$Y}}Q$I(syVAo(=tDb5;KG zEU86@ND`WU^NKzdn6PQJ<1otw3e#FBs-eTE7GW3S;fC|**2OltL=EIf_BMeO3Gl)>kgr3-y8%HnRV zEz9E)zF9R$Flr~{A%NBBPJ2gx8?H(xe$Zr!k8G*>B@~rAiE!%|vM9+cR^)NU4`PxV zK|vdm9^fze;?MI~#H`gG`-mIbL%Yf3y>YQ|Vrj2Yw-~IM-7N0!FCY~V5+%>z=kn-G zVc!_)1(kk(8DeW2JU3WbZoEEFsOfRH9@1|;fgi=Ms-|dpw$vHL__2KkE}dFz3X8QT&tVd-<+v42(q$gDkqGdQY z-m?^gokomTPh)0b(SLtMUVEF34Q#~K?8NRS^R~p&@7%;Ng}R@G8`Cl^kEOVPs2xj9 zui~ryW<%eu$Sn+fqf1qs4j1m%0BMnPZslS#jHNp@-QXnM13dU`hQFY>BF^z$omCf} zvFc=`qLwubTkv7zu#$}Hj-!o&O{TM5%@H-5O`+@e`6`v_DlFkjfh?YMCHA!Nf(I z=6qAfR|8Atn}gIgXsOHz;6WYBjK=Sp?*2T%;8+FaaOGrP5<%+r(opcvO~4NPR1ul# z{xXWxrvVh8!OO65U8v)J&9o&LU|7)>m|cmiE@Iq$%_fLlBwv$8vyj7`H5g-xxHLe8 z-d_4D6qa-fR|JSfEI+1pP`a;?q!#J+7<)*uP56)FuoCw>E01~GwVLG2-px0m!$cUy zr;5^P`ccaV7F(4t(q!7Qr58uh<6s3m#hsI)!sWw4f0a^E_TmJSYB7qJ#FvyT_{&75 zm07FNu7dR?aqC*ikA)@{ut^nb%bp5=tl&GvvZk4xeDq!)OHOGA=* zM}&Nc7hztB!y5UxB}0D-Xdj)=?$kx)os%5UxB7~Cb|GI{oiHCx|`gN?#@K? z5;v|Q&W0D)5{&GefigDc=v>q*E4lh@x&wM?Q=xH%Aj0$zbshd=^Gx8dj~0CPT|U)F zWb6?xe)pI;708!0#WiCW)U)6~urHCO+&Bq{i$!NU{94zNSeGaMR+(;8M>^rb5#_ zwlp>hbi3Z$wBeS|lhI8g?QR6LKiaUoj&!_r;hMu6^_(p6%wl_Bj*M+vcD{(lphyJF z?H^9v;o8{f$>}O>GVi*t?0l@2(f$u@?-*q1({BrQq06>y+qSFAwtAPV%eHOXwrv|- zwrykT|DHHA_dPS`-Vbx{hrKg?nfdIJBbjrnDs*g)NPke)+bpYYdmK7tX#9bsV$NPtSxBAE!Xu&Z$uul;UCVK}W6(%y zDRU8gW!q%Jn@8pOf%X8~F`%7FY3ptbnTa20hvaO+)ORtW6B?i$$1(Biuy54bC;o)U z%42hSc|g~x4Oo1@uH<+wu-p7oz=UMm^<80@b5b;@Eq zE#(n>j%ZV9BH^lpni{LZ51R}Qg4gGZU8MY?A7v05ENF?#tiuUfz|IZ7CXx>gmRLE_ z;w9ud=2K|%=GAF;-bx~*IZc`QaMZ{N(2DLvvLTh!b0$2N5=5k1GI|7|vS!m~9 z8d>@yXycL^8rWG&pi{M&SUyl;y|A2=J}@#1DJM#GG&Jhd($0zboL@Bb%yq#gJv^`V zYaP%CH(e>B*mE1`Tk*4*NOzbzv8`hBN<0@ZMLdqG++NI#;_4~3qP%IK>!3eMI&zIe2S>0NAx`ON$AqX2 zrMw;YVYLa33N6#D%7ezT*Fq1@5K{@1>X^f%6?ocv8Z{OuMf@XET?LAc} zsXX>y)yBTPS}~ zwAgLx<$U$)M4>N**nB5$%fM&2yh}Kr)6~i0U`V2k5_$8hkVqFhz=w;Tlo<4&=YKxq z)@>F@nCtwx-R%6@DsH~={;cc#y71=jti0m)c5`RH`nrbudU|?l&PdwcYqvAdxNC>K zCQsxa>iU}Q_H=u@J-G<3p82eI*F%q28oSc_`k2?MyP|%F|4f;rpXzR3q&fUJ^WLF< zznEWh?tWSP+wJZ4c4A>QwY@?=%lPbEMc}*sWA`ljdH}+zQUg8PWi6YufR%wJibcNL zFguGQbTas0=0L9ma8Idtwk1tm1zdM+W_5wOV|xrZxgH90;cyV+bE3eXa*`9Eq+#n= z!$>|ifAjv38#p9A0&#LbJ)#O@r%C5#`Q&A7Ix{W($UZT!)yfIZb|2xFM6$D9f#bio zKx{=-VK|PUB9S=)pKDWhPq?fWQEG%f9)Iny)MZv_uGsm(YfyI9Wcf0q&Y}VW#qV^& zs^%p9a+h=mTjL#2+r;Ho)R4&=T&h_)xl#mYw(dx3Kvv1{ibZam0)=9;-&Ow z_QsmCOJS^qd1NjKIHSu;;1=#b6p9>B+h!Ve73Q&*G!KAa){|#bCE!<|+1*{XpYQ>) z`WS1z3v?Fy-EDCLn$6q3Rz4z+iMxFJzwjFHfx0!>qkd4f4sa6OzD{`m+&5?6gmsAl zxhkP1CBuf|T?Utyb+SV^p<{Sm(J81jf=_L(Vy?99ym;MLps*hDOkv=t;eJ2RuVoCU z@d-w_=uE;6{UHY3kB@NeVFZev4qVnkT1sQ4tGqBh|i4pQ+lN#fhIJDR` zaC|T~NSAR)xf;W$Of7r-7KRU`nhSg6TeLKy0TFZ6336r$b2uduiAZE2a!OOu8ry z-PjL$Wdl3zDcq&)&Wq__4j^OO2}&$q?K}V3l#3ZHa>Lvd*x?sn^xBxxW?~zJ+Fv-D z?mc3N?W7eV9Bht9LjF{sVT=0Wq5%zY0-*X0S~!RjN}NPL?6I5(BG%Se^NGMf6$pQz zZv{CjD?;>Kp4&pC3vJ^TGNO*%C{^xJ zLizwjcmODTZkL9(%{Z`OL|oymtE;xeAEw!gomVQKk;Kt-a}s_mjWDMEhN20@+7 zy}$&wHSMh`lA~OyYBWJwz$z{ZK(U9=&#J%7P@t!yo+euW2w@FJSlbW? zhHilxK@aU|7~_bcJd&|Vc2*2yUUvhAf$cB5ATfl*IE7BruY5~Chc+sKy*h&eza0li zh=^Vv4g!p+WW!kfCSt!G56UDAgS`-a4n1JtX!>u}?BMxA^$FTH#9rGrP*A&mN%-`J zao6~|1sC6P(^AHwfBz5`nf%{%h^AGK+m3DgiH({Uxkw@LnMv#_z_qUcS0&OIat~IU zGEl?0e*%Pg-SKoP-xL?EO=ZY|g{|8nsh&7xtw|g*%08Gl6hSe`Fot{*(G-O^q6rGg z*}hu>Nkrp>0*HJPN~@uCxI}RtRJ%|g6+BO1lfJP#(`EBI5W4ET=olFJ?uJ!UcGU~3I=g1lAqys;xXz0MNY-cfehCcgg z++f^aZTYvqkIDJtr z`@u5Dz>lBm(A1LQW-yVxmxzct3Pt0>>szGHf3`9JQ%1*WV<^W%`|HL-6Q`&{8~^DY z8~Tg7YAZ<>bJod?AkzWqg@$HP4H+(24JmzAo{(-=fgmj9IWIKo{j{1L>TWi-s784p z+0a0D+Y_!B{fL4w&(eY@r8Nc=)uwN+U=@9%mb#2P6+gdwcg{$WY| zlYj0q07bGLhRXb}@XE<53x)2iHN-&*wgvs*R}5jn0f;h8HyBioi_fEpOYqo@r5~ds zk+Uc;UdD*arY_7WgR^ZCF)5IK#3+u7>9aE^C%C<$K8;elw3BZ*+sVwQGGgG{*^C-%7jl+tESi*=(?hWEtP?V20$8->+2TF< z2V})=rZ_p+SQCQ`oT)mLal;FF6<=eGicV7vm@uB40sYas zD!3$?@W$@`IuCUiI+eY-X(!6$#ZYweUu3#CWfPePt49M7Wl{+B?3r4w!2{>wWZIgR)iJLHQaHa&C2BX(_?1-qv6g64dG^n_ zbW9*zrf8=hDrBVRw5P8FaAk43sFp*_D(Eio%t2jd=z`VqcbTuy&_(27F~UwHREus* zJxH&|d|+kX=Epgc?{*J0Xv&50#Kcx+pauKtorBT&m{3eTi@2@4gN96`FQ-T!guSWC;nST(jXocd?2B z|B&J2?24?@!^x8|9JdxSP0*Q^sikP2vn^8dFg6Z?+$XW3ffN3zs>cHnt4enZW~Q)f zt&dDX4EdR;@rS$KqM?)(bZ-$q=V(EcDCHPUgT`9gYfvBmVzBYRv>Lv^v4tIA)I6Qrq`zmd+y&P%P?Ivsh`w-oX;=uh9BAc1Tb&w%9mW=~FD-gS;I zr+~aURP^3askPvNk!RW|T9skt&W}zL*J@YPeB7UCJVznJuymb8BI7Kd0t8h++lMUf zK5)R&ts6VOJosvgSodM%vK`!n+jZUJ{jBZyC}1Jjls3HLm-@sq$ZfNY{y%XywC0p` zJ%n+xoB(o}US*&y5$k%ISNeh-pCVB%rpx+yS7J)cFFA^&Bfo6Re!BVB<-N(2eTfJD ztpMB{&S5kK1Si?ihvUo9n^0H6n9xhJ|8=V2@cmVsjH>lmx6EDHaGTUgBab~+9F?pB zygt6G+{sRJX@c1$_Lj$B>C*I`mZ<7?{G7;sc$9pQ^)!IqyP+2A9I&uk0Alo@}CU>Q`cx>DjK=$UT|_rvi?DXGlwPa=e4)HCW>|q78+fSIfwE*MF z-e;zME8dm(1#gG<+>=w)$+#|kt3Ktv#jt-vjn&FwTqrYSJ4Z*Dmo!t#%Evun&je$d z(S{Sz<@YV~fAY&3Z(9^~M^^b)+APs=YMJ-|meF*sSm&9WEO(Ua75iUF6{L=B;JME% z6Q6#Xm4n((E!C9OKd`&rUz|jz73w*$bs*C7_0POr6%dywIHkG<{A%367EoIDJxm+j`Z(BOuQch$i=T#|j$N{?|KCE~FpC@5&sMQ}TitGwHA zq1k>mZycAnsN(m5#cVgDNXJ6mo7665_$y6#P8%4dy9z0V z5;JWeA{sgjd^?FQ&2KDvZ+MoDpI}VxA>+>>5fwX9K5AK2tB9C=yX{VkTGVKIf1~u; zG8SzQXsa!<;hyC-@P0woUC|FZ#~>;$I+^v9cGP(xYsodc^pz zoCan8Cpx+N&BX96%-hRQc6Oz^oo2e!(zbk+dL=P}%NLs8m-Do*l=>1OP3tE+M}ANyhic? z=Afj}t04F5Y{c+WSP`3l4FVG$HuTdB@h^f~NvAD4i5mH0oXsEh$ZDCD(pVZ(D^KoE zrx+DW=M*N@7BeN%q%eDJx#EkRh+-8n@8v3)R;wBYj4)&?k%FaA@uii3_T$XOP&#& zZye6Lq>|xN<9X2a<8!K zni89P7sv4$T**B~CX>2zjv_dbtspzeKQ^bZ%vuBS7kl&;gc7uGWQm2=9ieEw2+dI2 zMSuSYt@mxYLGdo0!Bv!dWb#VG>Q-rs_;R{cnoFweIAK%`GFzw7RU;s;({f&<$FePZ z{D8x1oIGDQbbjEv2Jj-x2Fs0F4C(HiVcjuNwD>7E2Rdp0s zVvA}|9k=*xMI_mV)?3i7f?xyJ2!0;1n*DN;zBTZS6CKSb3>?u~@Kqgkzn#yhHt zAjG@ueXNx-5aA5)`#3#|KK2OqLDaiE2GzX1NrM#)T~O%AyB${`Xc9C|ebM`NTVEC6 z&}?Q2z=Hq&hHInVs-Cx|Yo)8r6pT%AiXBcEaH=4yt$&(i(Y;D)r9bep+^WKJ6O8yC zN4`@w!l7-ouDrl<&AK~7>&@;Fwzv|gim{j0g8e8g))Ft9=eYf-!vTtJvAc<}!|PKZ zg$PWT{OHwhtX^dlqVxXZOHS1lm)>Hr^w>p4P~!9AOM{J1&kP$ESIXt)=wkWv>5OPk?A z;Iaq|JaX(6v-xtVRM5BQ?P1ni1Xi_w=vd=!HR5xF9&Qm_-_b{X=GHA={-XsWZ9n(y zU_Z`i67}q&MYVV^%=r!7*{lYAd=XvBkWS{1tTuzElUlLX(%#Sc;%MV%4!hK9GFmZ) z)ky0@>q$~TY4f3OJX$`lBgtrUHSM&vxFyZzyw_c0cZwh1x&jTJYI)jXw_MrpB;<&u zlD(r;Oyyac;LQ?^2c@#z+>Nr8R7B;;QxFbsY7q`Nf%%G80~y)+2>k||je=4e{W#O_ zb9T~PZzv(EC9Yv%y4-xu5Omju6(H-On^-WI<18ipc3f6+MMnET+?m#Z;k$27QW+Y3 zAJ>3!F9!f*U!v}P5AIFzmKDJ0r}Sjl51yS9~8vH1XI0SOfhUn%Addt#n{mx<_xrd#z|J0h8yKc@+)z;Wq4EL?+ z`)sbR$A|Kkhmq|%UfGnN4%VpCqqNmXbUxu+iKY5cmFQumOWN|$t{Ull+O8Uq`BJ6Q zU$)ga2j=nSTzN|Os)kdDP~t_Dt`6WoB13g+PhRi`O^c@hM?CZ>5GvL#5Kw`S)iX$X zeBzeK&Af|-%KQ@ztkNlrdHyk_z+RLz?E+)LzrsxgV!Xdk-)Zt2G$u|sZ9N*s5ax1< z9)v~LYuLs)R={I%Mb|bzT)Vxk3vmGSb-`NAM*Xvp_hN%rS(|gc&AIe%@BQLdFtjj`7jb%Lq5Y?nIw6HMXL#%z2ys&8n@j@>4aZGbx6` zEiljTHo?W}4$?+EE>}W0HZWGWG50>|US%AT^9{S2n=-p!FtS$ZC)9r`V9Ia~n6(o1 z<)UES+i|F?sl;CrTsKla!*Hnmsl-=Esd_VTupgZ`RdrROZhK?gPTM>X4+q+#%)rve z$#i=WNHzjU+3uuSM>$W%i66>kWRp<}GT?4YWU;t^v~gTMrwc z6xT`*4tJnUY zm{_)k!tws_yWdH-&@&skxU7w!AI02h;+QucQ>UHxR(gvlXg(mnp!jeUZ29xFZP;V8 z4bUw;Y@V7{B^Jz-Bty^Gq=L;fx{UwjU8T_>^N)9xkOhDM%E_3RWrTrnB633VbQ%99 zwSF~PkDr&%r*U@@e;0R8>xP{_0cxZ@%Y>-lebSJk13hear5ZjGb%82!j9>(E zh$1+U0+F1$E1rs0w1OsWI1=*FlPiCOON>ihxsSHvZ>p0796!45M7U z6<#zECL(fD6!!|8dFeNB7oz1*R++@eV}zImTr65)h)GghE`lMfk}<1Qbp{itjcW>Y zgyO&>5G{flmD8WzWos&cR#$9BR@2EOq`1KTnMd~;4Q&K#X zoclz!L}OYrUJ5(1K6-CIA&-JmOi90~AQ`ds}%^$zj}%%qo*|F>5WD)nEao9?KFcUgW)apZyU5fI))l zce?EoN%`FixLk!iHg30>$L32*$DO2Z9<+`famVERL74jim+P7j=N0M&3 zy#1fLG`T8*_VXUAyv?(dQqg;5LVQWq%*dduu-WT^S+E0n%XxbRBJ?rZTyA=)2*Fcg z$SC-C^G_#k7nLpGu{(lF#zla=DO?7Brh3|+A&w?1pfG8%47fZNt0LTdo{$7R+V-#w+_wp%xHJ}GQkn$5e^+%dVMG0#j9i@uDg z=}Lb|GNZ=rC#+NJ%Wf#_$@VtR|7htB^=~sl7^P950p>#sH%umVct&|5)EOXk*&5$M zqtADjRG>&hrxkFPO?E?&1vw5=APufMLZpQH=O352Z9mxfV{{T=Lp7V@gsvq^5~o8VCI0umLcPka@}-^ zs$Kd=9s~>yvpAL12ESFMzzbdnoD!=^s7y{<)E4UZN@=mz_hv9GuLHXElS0i8kHr*Jlo`!Qh3OLJXZkEg zAZwcyVb#0vD*RqHhRMmiclySEKUbJ6qnv7!nRL>>Hx(2)7e8m1RXv$J4WJg>YCwVx zuJ903o)CS6@9%Hn!((lBPyD4N?FagaSp3D$=F&bMRU7i{ALgb`Z1CUQBmXZgzyI^t zIwK3+w=5nDI~yJIf3@;r=J*He{};H<%J?sc{(l9ovvB_V{nn`?TYp&;yO~{fhd(u^ zA%dm{OnbrMWMW93^-RJXUO}!>n!Yk&`1#3_=PQ=CC{fLGP`2myb`8;%4we?}oTX}0 z`SQH=)LiZTOzQEz=e@ag7>&QV#kVlr?b(yN~+hybvp|`o!^${TNWy5zUS-Dw_pZ)dldH664^VR#602|+g&sCvh`&}8<_&WTy zrndEdc$a-;)BPoL0J#}B3JCiev;38#_T%Lp?hlIE^4chk><&K1Z`*jQ)4+;*?$;P2 z3@+YkC9SjW{W?|f^zg5dMX~%Ll*Y-HA3ASpvN$0v~W3=)SHv=P_qEOue>*KPNl^Iz=k1datz9 zJgl@fT5s1&jMbpvtj-50u8Gc1uV8y8VfhGifKoIUlXhtiU=S1$FNvkMHaL zBTuFm=f>n4b?n!F&6^sQd^PG-$B+ijk4cMJmfZo!QKMOunPLxgRcch=LwVJdhf{z_ zsIsW==3-YpIFd|fG%8#kACiLpY8#U3Cc&T%#7i^)k4Us&#j+sYTvG4;#FG%H;NxhX zq-p-sy+N^XP6i9iZmkJYsY@qQYaS{WZfF7TrZgtU$GQ-}!Kf-FPx?VxbY!*JUNK)c z>5ht_N>dv^NdoFJ2)kpCSh5jh`_llq4=o@RUxQ_;knv(kk)TjGJeZJp4>^~)P~f#- zzEGqrAsw1XWv!|`sP`QiJ4q8R3rITWw?vSQ;#pNkmb2cBn;N*4Q{#g5U%1X0Q|nTq z0NO@HV^jhp70A8fGPoKh6>0U2GI7gaiw>6bjG*R}03c!&P$c;NgJGGuXRn1QuC#oK@SQz$3z*YkM zTK5usMf=rRs;Z52xEQGw8^QKbwN@=n2Ej1UScLz z989%JO8x0`iGyvrZEm4!WoMwc^1u~l zQ9+7=Mw~a7sq$u!KL<_U_X`7QFVLxsnzt?)ATmvqz-;F^m5%*Qu*N|%kU5cxeV_k+ zCB>j61C`Ybh2L@hTm`a@`kxe%*YF9fGQ$y48q#Kb`4oCGi@UPr-+y{5(lKjn zn3I_)gVoTxom{MN1a5!Jus*d!+}sr-wia2 zw1x`ILKL`3@AtLQxB%=j9)vx3D+|5gankBI(gKa(GMId<&yyjwOMoxdwHFJQA?di! z(>~RC`V1+APXFa|`46v^wjn**jjBE?AQ8V7c9D0LY@fB=QP2Us2gsJqEXcC%)8wOd zSvvWD8th#p1U?em_wAU0Zqz)1r;f~k8K94*AX*g2k$Vc*E6hN{1@!;cAuo{I0{1cv zR}LWqud!ZaO>goX_EZEqVjMGy`kAk;LB$R%8kP;stmdoB-bW``s3ir!FjEO@O5pvo z)MhJaAZ?`7lB`HwCDar(yL2>=6emh(0EDg+-)l{r>=D`|vUF_w2$$4Uc}%m7duIqy zR+)jDY>;aj1g#G~bQ4x~GFc(GYtmDB59-w(-$@=FGKBgVnZxkG1&+~rE{=exNV;MA z_hJxHG-e?vBvIN5tDuOCnkH*=?ud~XrC|SPxfO83_e!33g>FFMFjmLX>7AGfif8=Z z$iMqLG9%l)kC& znC-|1g!zyM`x8i0f@w$vquGqy3V2LuHF8rlxwr+CKRhd*=2-tnpIc>CnBV9z_$fiGR#Sd9BVc9nFdE%#4VC6a%`q3 z;Mh)=Oy}<>-`fLg7%M+)@Z0t_9EKae%{M*3ir9NGHYigIhP%7fH+_H(Pb1T8xwWt6 zF7bQoO;`=1Eh*YTNxy1%Ls8QN{^mbJhL9#)oqh%F(+qj6=ku*W%lnvssv^oBB5+qo zQFzK~y2B23*a$Pn=vuhL3HayW;p(!RC`o8^IGlGtHkV%9-ClJr9V>WRlXpS$k1-H4 zkr-x=!c1)3!MI~y^KD1*gM1|M>IKj2wf8Xy{oX0&;UE^NKGBmx?hgX6&lI*1bpHB+ zmZi_rt zG_xM5d-l>Fonab!{_>=wS-(#V|`8Zu|&b%P2%CzCP@dz?)#cpK4RENVR zE(Tl^s88EF)V1`vx>%#m2+dXp$HsBF*V+pHrsNQx70HNngh<6zOsw(wyjYxz9s?2yf!N#=$yV0T$cD6-AIyNn zb1Y=7uvlEJJT~Ml5;5W#w=oIk+kH>A%V^K^nnO?&%sZwQ_@|jAma9RpJ;VY`Doawc zTb&pllRFGp&M9fU7?|PQdl#Q!und<`HXC4{l#F)Cd8B~(5fCreF;L)YKQe=v4CLo){M-e=aku*D>CyV^hL}AxzOYkmMsuw zhk*iSCu7$jb%5*88i35j%{8b)c)UIWS0js1RWmCT*2}{c?Wyo@avL>M%;ngXAhA

yR{v6ub40uJwd|Yv9}ssMHCMqNQlUYCc;_*SZ)ny?&R0PHWsqcKK*4ma zXaC)M?~NvcjIzD-Iw^+CzIkKiS{gojcrmDjLese7Qt#U~~wr;+TZiB&o>B8432*pQ-jIp>A(< zs$Bp15mjmWHY|L^LDJ`3pCLp}&dp&sUGCh-3QEEx~$g9z1JTTh3n2_%r9^|J$C&r(~{@`_c281uHDRM#haU z6|4TbIz40K-5hRp&;8dgW=kyK7uWe*Goy0BWE`@mqhYB z6H7aj+!*=zn@9E2w|8qeY^CUgyVPS7W{f0_nty4n) zehHfUtr!Fj!dBo1vbO8!&C9C|Cbr4!DrGXRXl%gA)zHO?9BJ-7=V>-2dRQKg+HbIV zCvzMuE;ygx$eGZ2Q}JT;=iJ3b?IaO+Yg~T(8NfhWD%5lR%p<`bUwSPa{TG`K-3iG$ zlK;w7>(RgQ#MojUw739XlUEovb@Km!FkB)|PC3FwpGgH&+hhgDj)$AMf*oXBS7|JI z!q~r}^xDL7Xtoh$Ep33;iAd%;;k!k*wk6ap5+5JrTN$q$B%}5uQH^P|R~(X<1L`e* zH8zef7ZhHFY!gVJ-It#9;}HfK#~GORlklE?&GHx*>YW^9S)KXC6?1KNyG_YMb9p9H zu9$jb!){#`m8kyxgZvuh&b!t0azcM>^j~}~>NMzsF+A(~47hB9XgcGEq=7&3BHq0+Be)n?Ig?=m|>9y>3?t$E}x%v-B zwi=Go2%7(`gRRLl>?$(91}@uH?%BTm#fO2kqxP@HJw`ZgtB$@4o3BQX^Z9~N zUFY`K!+2dO~Gv# z^qRa6fO+#BtgA&rpnJZv;sL4_}+Ii&>rQ_3+{1T{skm5 z^!BU_a2MnQPs@S3uM|$$s>;C?L5vt_FT^h4TG~7d#q`8V`=mD}%UleL4ZDb~j-#hW z>2_tlnZ`v|V%k)`WN;@x%~nSzCY!Cp z_U{OMm0UwkR;AgkJenIJW)$Q3_pLu7yH@?Se4bGLLvaM=%vhhNP4+GVBt1ZoXkdf_ zUz1R6dq4YO#&aKGbAe1hBz*SdYL59jMQo~l6xAvXY5C<9vy!~L=s;L^d`G{EIfNi; ziO*PW5DQd-mz%rf#AO|uazUF#P{vS5y#n~HVu`B0_3nYaLRLXh!cjmMzd_jrM1;z` zF#%y|svY?B2#eU<9g{muOPv5%JS|BteQ6ecRqBQ+6n02DQvEy{uk>%I{yqK0@|OUW zy$O+9Y0^&d-&g@$5y971t{9TOtEH7!aRQ>}z+Ip3LK#djDE#*9@dXX|_8aO-chF&| z+yM#n-slu?3>{8mG7DZDb~*Zz9ks^%gBqI~+jcMqv7EZ*)IEv9AWtg%HMyt4F+@=j ztRU-@qc~C9La!|OP5xwRl9F4|MQ%TutszWTAu3_(g zw+{&K7D!gwttL}gf(~7#M+es%Cx!J??lDxv2qTJeyzS(H>_gDtgk_B0MgV_(Wn=&c zY-f@Cz34j_LbIBnOB^q{NIPY9Av6Y$Pt0H}_$oGo|9mcV` zZ^&k~zX!N#R2kOhVp(g(>Pc4SRyR=DP@yd_9JXg5aYbW`34m~4^2Gv_!a))KKI`z$ z2v&^U`>?tG6Yk6SHQQf)pLc|9YDE|f7-hx~7o%7tKkD5iC$0~zfAv6hPyC{(r_AV< z6y70(<{IXctRlYz^f#1KiHfK^KgBTn?vE>fi`%s%tP{f;vXl9HGH%{;j{9uBX=hM? z%-u+p8k(95<2j)yWv5{N0h1NW0d`-F;v+|%V&1uXpGFG9vk4vjioC>K+j1YH8$>hZ zgvQ*!+!D1!RcMoT&^d0ihs;)f6W^;nzXJg;?+nvh9v(t9gGwO}B4Ueq#6y=u-(fLg zL3_`n=UX*7ZDjp9b)t#GdpxpOo|hb7NBL)zp(@%HS7E{Uip*Q~%g(=2&9c)OCcK=y zV)yR0|5PekRP#)oH!@`(wpTlf&?D?(oMqc*IM(~2E06iBImXR!C`puZ&&~)~gpI`D zb91>3{?26V0sHC=lM}-)AQw&7qa8aOd?0Nrq0*+z*=rmVXpK3evq=bI7#>Vo*yaY4 zkWJHo%gloL#%J@B9Pir?FO8Tw?KYcUn7V2yTG$KDsm*?-p`fMM%#;NM9zh1}RplA= z7#x&r!>3|lyKblofR!Y=7QGkQ^fff;UGnxb52HErhI zR4q~UDe>O9dE-cqzQs70tZ8~?bc=CXuT>V5o*EZ54@gJUT-TA=+2-HkK(mS?N4PO4 zvmTN%P{M(&kJ^6~^V|Y6rHD4K5lk+eb*;C?S8GDs^dT%W*;IXq>I#F-kMenR(hjG{ z?{)eX0B;1=$CQRxdfQTj*zES>kqe@E)+0+-$x~YxzPUW^b1SJ`6e+2<12!m?4bP2@ z?lZ~vy>_c4To>jBR?npZKz6T)T?e3b`roL}C>HexEHDt;xBnThWXBDz-s@zPs=PLr z`U)}-7KUI+LJ)>EngXRwH4p4;k`48&t;l1t%6LDna!9^?ZN)q*(}4wp6+p7pNXYVu)K$OZ@ zQCKJYY4QPB^y(R|QgCoIe46)1m2*MAhu%z0FE%sVthe=$W>X4+9uKGW{9Z)E^;C3^ zFubUFIJE}Svl}cTw7yVWR|CD&ev?n+(7E>4 zt;=whVB#N_1{?bE&BM5&H~dj=7)LSme2?3{h+B9Du+G5)e7+i2fZu#9v`-u0mDE|t zG%zzf^gFzE2FY|vM64~EusoOS&e&pzHw9xKVhu|Acl3->#QvfCUupn^H42^^q2*}& z4AlH&*m&&VWvSh-T*g8!B+zjrzWIc*4PodX+XJ!s<8e{+f5xlTMhY59ZgM z{AIk&IWh{Cw2FV46riKjC6vpv* zYLC(-w?_2!>Xo+If0|7kQ5)v}p!N(}i#8IjZFbSfv#O&Q_5#9j@Sz9bLP#n}*7j2! zdPZAMkdfRfmr#ni>?6quV{ax~6l5|yd|lIk^VR0>Xn-1|@5%R#l|?G<{>7P{%~0vN zFba^%4DLVZPj_PM4s4MAM=~P4tq|mO=(gZaCfy|+b5P)`mrwWdC*^W;Moo70ylD3@ z#uc_6bg;7zker8CZ^u33=i!+_0E1J(n>4MOZwft?qe4c6>Y*p=^tRYVO{9i#tOY?c zR(Ir3@8qA@@OxR#j$hu5ctl#SLno_?_e1#Swzuyxwko#8q047NTe9b~K=zgMI5 z0*z#Qgu0deZy*ue`%E@r{~EryLn4M{AU%(mENhh!&_Ze7Bb9`OdSBUCTeT~*|$k+u1>ZP~Mq{cCXK z^UcDe2t6~H&S+`vymZdm(!!<&y{b&P;0PQg#esqm{o^yiO8|mAN|WS!(3@Xfnp!~T zZ*&?QD!&2cn~m2F_z~q+ZeXDU@4na4*Jw8pdu-atc6R&#*Hm6V-c$Dgz1@5r=i#)r zKiPB#VAOj|nixZXiHS_&x<$r8W`@#MXc6#Z#w~H7rb(DJz3IY8VbcrcEay?sl1@bJ z+rFlMGbW5{ivdQ?!h?50Ycuq6cF8Ww(=05K4`rvsb!*ac#?a|^Q|?JbxJ*qWXB7^k zE(JK?FE>yU9e%@P%J(K^aYXa8;r%zH%-5t2G^`FO+i@w@&bEOa;7UN@h;KO`*=v$* z0q#5rE9*?HBMY2oA7_hOMcNwdX_>^9mGd|omSR&@PvF5ck zF~FucY_n9d`S8o1Ppm+>c&Ja)9Qoi{zB7Iw@<5C^(sMQ!RA-6Wb;#SCS~Hay*XOJd ztl2C5%sQhF<7@1;n-8s@xwOC0o!Kh9(U}+{!k>aFhP@u;yjB=!w6{B3e<8dckH*gNgPXk(PV!mH0!0}PNlp#JUD zdv_W3!LWmz_sv!-uQv!Ca1rpqkar9@y9x<9|22n5auw{~Z8m>nS55k5m~kRcSuJj7 zpjCg3;sg!9(Ni?cRcRSYsq8Fv+co+|dXoj$pSB}YIPYFpQ2u!*HS|a<9N=v97Fdo_ z?%hl*F~B_Unu?{n>Oy1C2Mdi1ouDm(GI?<;WYrcA0^Gl%et)mcc`g9g@L^+K&Aiwl zI`wIQz{!(wI#*2E_|;51wvq_P=?_foD6%?KQ!)`2yq?4StHj1;w?4~8S-7|5^*an= ze?;3{Lj$X-h8AwzruN$QB%^hBn7Nfdldf&THR=}OL#&GtGL40$zzVgiJ zjp<*Vsp^mFviHAxun=XML#OsBhT#rr$GPJ|v>(YeUcAgBcb<@{d3{{Rf|3HgF99VC zY-0@IkqxdFq~<$bhXLL3y4KnGw>-SO$uQnwu2wt$raiCzTEB~Y5`Z^X0%3rK-OHrg zmVOEqOIqXC`FtXkpC|IyPlDc|g`pZC;+Hvw$*HH_Ceir$h&!u}%Btx9s9spRE{RFB zKii}NZA|SLwW3ay#3alTjH7y8)?Yv~730CcXox(&MbSj?$uW5*+IXsVSCuW2-@Yh4 z5c&6=8!`1-6VKLUiBNL50B=Gk00&#@! zfkh#s#v9JRerg4+^MyI812PG`j=w$8T=QKYBKN&x+CPUCI!? zP|@$*^E3;`3Gkw>vLuu)uVHpZuq?NWb(S`fB?4TAzT*zcueXsuPsuyxby6ZMl)`&N zF5OIr_G(yM$^*{BJ3{EsU5)PCBYWgP62s#QcGY0LY|{y{CE3FAuv_ZT3+>S(T@I47 z`JHbyJR~)4eR}!)YkmmNR;eylv+p`@_5?h7VW(00iRu*!bv}yEVx0xE$t!{1Wry)BuooX@iJM8~$OdmpD{_X$z9j#%kdHt~c$xu#L+ zrx3^%UEn12JM}p!wTs%)CL1>K7cEPKa0@kv#vhX@a#f`zlzRoMJe9WW*$E6>#%Lv# z3^2^$x^mxjKuXGQ%@e$?CKFiu^sD$Yi+W~!^g4effkb4o?eg&R?>|&v{F&mj*n~dd zd-lTFK&PfdPtsWJZ$3^rpA39P&7|(g;ds01=*w%u_Z&`j1$CZQ`ciWRrB}P|qa}2u z+-I2W?3-6!dn1Lvwc|2dW(~3wojmLxhytXvd6jQ4`BB=<5_<~+YuQ_4L%s8ptY@|t z1^X$E>O9E2T{UAAv)`?I1((Cc+aO|;@0`b({Glf)q(wfR@=3Mp6zg82wc9O3tsA4I z7psN1ZJ+CimDogTIJnyER86$sC;uR@Rlw~N#HK^xAAB1vD=5i9W=aX-(iupMEau)MZxw0+AJsZh@W$%OOMr1uMO$d_IT03 z3?VDzdY6L!kxF|RJt2PJWZtkgu)q2gJKQ-J)KqT!FC7Th9-cPTBu!8nMq{kG>Ca%6 zw?Db%@$1cCVZqnXv-z^zasTZmHR>B|=Z#K#!>Y5h^~ibU1j$O#fkRb0 zW1EHDWX!(ie!qW_InG_jSoXqk>+F+7KdQaeo-9ith4TI z8VNzV5d@SjX^_sFZjf#SrBOsAr9(Od>Fy9ok!~p|5s{P-8A_yi_x-H@`)OvDYt77p z-`QuME6u>d55Ey;zMX>S=X@zHZQs}CE;|^x(1Oi|NhzhjWxEO6*Cuo!opurWPn79C zV4}n?ih$1=i9-U$2E16Dq(ju%27qnS63Lr`aJ}eB3s%1O`NHBC$ap}~a6ZVD{TiLk z)&ac#dI>EgYpGJ`fYXKFdBSr!YvRiIb9kW!e<8DCRHW7P#CyHS8YY}QlXP=*(d&_T zmBnqn>+5y$2w6N_+|ixVu>CInNgMh1XT}6WrWRN>>%NLYDLUXycdc=xz|WOUzRk^o{)E_(1) zTd=*hqnluNCY{eF9&IvnkDQ38>!XW*?vmE`lLYL(|zO5&O%xHI$g z6F45{nef){P*JoNtzI-)D*n9-3(}CV4+ODTw_ZEcinEk z!Erqs%@pSGY%2^WpIO8+%qitJ7pd+*(+q0@fJW^A_yCbv_&ei4e55M;d!zG!fAQTQ zWNa{j6TGQ0$HEHp$S%dM%AoTIvd4h7VtG9pfaeG6<%F6fdGWEYE1>NF@S+pc5_}AC zbPQBlouR3d5y-85=fywrR2zCi!vrZM{hDo4DY2=sK8`Y(WV4p@Fw6jkZBL{ zmHwq_8cQv)Z2C&$b75L&Ny0h96~0W*7sbqbkN$_N z9~jj5Se9Q#dy^e^j}kRbKpSX`rj+f~$9x8@S-9LqAO+9lylU3iea_s-WcA+Vv;R(C z-+~u$sRTXar#rOIRq@b+QM-}AW4P3%6}*R8Smd+PKzWUocSm(G0o*Jq@ z10T2KH9;Pnpv=|UOb#sE{oB(KqM9~MPBRF(_-4^<*HNml$;(0s1#6w}QPMDrR5VH~z@ zYB_{!3lWJ1=*et_ArH1pK6{?!;6ouYw|Xauw#RJdMtNe3qdZs4mIe}l@dES%&ktr- zxPUM`km9%(OE0nAJ^j3b%d91nSck!(2k79YHkWJ24srSDw?!049i;f zs^36$wav5hf;9?BL8G?8%F)C_CYkJ6R}FI>UG_83{oVU8oNQlO1*+M^-_F7`@iHlS zK4(tp<21IU7f-u!>GAJQyIZ@J9|+p!gCyYMGk*M1$FcP{1gu^!{p;BL4)Z~TxKTkE z45wBqZux7*uURz9hh&`Cqjl4DITcCjJ%HdFERPvoQ!mbNLPm&F-ub${36uy;?}t*> z&rc99s?RJd!I{=SmlRt0XyGwp_zn;}8Hvja)TlTp zom}Em%s&U-V$L7*8D#nL+O43p^p?oiOE}X1;Q4(U#5mgdW10@pV(_NU=l8mw*?C=Q z@mcc63b~+bJ(z?XjU_Rq6t&sRDUIA4?|iq7_>FH@A(gI39+xy@jkRZAIdTfQ2Du-LAP>XR5fd}94Z9VETui2t?R2bGmyCP8$|8LbV5m_2wbszS@CzAXUfFNi^dF+cQ zEsq+K|IPos==vOk!~LtCxp(5b?s@%^y9CRgmYCoiH(n<=n<)K&vtdirPtk0TBRh-s7E&a-8Iis$#X zWhr85MH6K__h8F*iM%1~!uvfspWOtwAS?&7ZlClLyPXnKB4l48-(C}W=&fCn2jZCd z(=*gd@TvzCMJXKn2a|82+CMQDPH6ecSWQSOjN=KWj|iiEJc@EyrOWZ_Q^k446sp4gdj)+t$}W5C!GxNNG2RzhWsCM<(mI{T zDQUWSBFzW-?EDR}T#0@czx7H@W+Qqv&$`2vc3d*r;t&Vj2YQn*k!lH5(1UIdbM=iD ztc7kTfr~&(p1gp{IW9wDrDeYxgaqF-|H@bLF*a?gX-QR7uDXRIK4aNI`9lD#hbpH`W3@~yrwr(4jD;|xuI2FV?K0S={PM1~Tp zQ8@_vMukYP&`T!aLrvF0;ZA(E{I9a%h2yyHWZ!w@T?a)!p{KX9#R=^jwiOQbqQ0b- zZ0uf`zI9Qv`7oy{&P-9CX-T~1q2L5-vS;6~JO!lA0d4NMkyu2s?ciZ8{>(!p0wKzB5F;6w1eBD-~=3830jw34lTAElQnPNeZZ z?HIIcmdG*~$6xUHk>;qiqj~?g;O^BHkj)yfnVjwUtlS^OT!Y0^h#NK|`{&7mP-gwf zmNoB_2dGH8QZAkY`^7KwGAiPMSF#BaMSq@nbP7mwxjqq6wr|iHbEU{f`U~)c3bn$?ZhcYIG!`2PbF^sK?my)|s$(ErWx-FU+N?3Vs%z{_}(ft8vdr`zj2 zR!_(3-i}I#^zcr-EvFfSaTrj>G3h^!d1+>-=F$qY*}#qK4f1an_rlfh?|zv5Zvo(c z8`1c#(r#*U*E$weV^+(6g(s`GfIP#T@`Da((+`^UC3HD=VHB#2*>e5gKd=A%Ts_E| z^88MX`s-~l(lSc=?ju%YQKhRpEq5pX!Lw5ld4Ljpy{L|fe%XQjtzCbS(Z0x;h&umH zd^Cpp@4vA9I=0`vT*iiUHBCX#5crRmre>K(yiqu}xjy{#2eMIdjQo#WY)8H1Fqsnc(mmc*^cwZ?Du zyrcb@gr>j9e8?tGszpizfI1X2Msp&$;P{d7!LbEyL>RQd@6w7_I2Oy;O1b+Meb#0A zpIR-^m*d`F6Hr6B`mNC*HJ#UBr|~48Re)HsV^wEYl#Jy^TVm!iRf$fD-dN z%KUjb+tl%Q(Q`a64D1MKGoyE@GWh@aPM9|{Z;<5Wgz1)yl5T}b@up6C+JcF?6T~3Z z*Y%rI4b|5h95bS<<@}V(r1|U6JFdyKdH+V!khD&n{$BE0p%0x z?j!Ier27CayfC<&yk?;yz4y}EgefL_XTWq5b-)RW=wIJU1_u?f(vT0srv@su=WG)o<;*JCND7#bx$c zHpK-^H2_Mywb*d`vJX;bhk8ffvX`}ll@izYR~d5j*Hn4S=<>RZ+F#`{M+H-|ho$C@|CP*)_+_XJ3P3hZ}2r0u-F ziRN++ZiQdp=*VPkaXY>F)BV|}7L3OJL;z_$t5uu8&Q;`dj1!MG)U&)D+r4&kIev2q zzlNH@!8^PbsiM?C$bY{07jW}0iiz3!;&}A@G)=frhcqgA14qDCS%UX7*iZ2ure|ip zf(_C{Ohkf}g}nc@2G5T=-|TG0&Dsa<#G0&I*eEliWIJWIm`a0K-_jAJP)gmt1Y+C= zWG4a^)L%*jcnj8i37fhFP8CIn2t62fl+{V34G`0@oVAOjN>o_tJ)Hsr38AAt5;*#a z?^N&CR_DgL3lz_~)(e_@WCJ%Y6KUu@VFu;p|EkU@3C=dZ%tsZ-S?Z{FLNXRPZqNpU_F{&59Ki2LKk+BQQbJ zh2hjgFo;A1CGOS3)4);W0!Qzg&L=v}tI`Idm6&wj<+p|FDAh$PYs*q3UxGaJuLXnM zT~qv*X=CYl)1<-{+Fw3g3p+$7`Y*!ZlrX+aI zyzc(j5bfZjub+k}$Pkl9Q|ndOQLCCAg`^70fEL)uJYazpxq=6qano=n7L0P~t^*Q3 zg_Dhl+lqz4()BYRKwQirE2s9VDgwI*nU-mWrY@w2)WGNbV(AIP`r$?+`8qg&N^`9P z+qbV zIaHoFJrd!eC7fW4Zbm)rSf{#p)ZY>D?_cq%53|Mfzw8p)J=Y!^o6_bV#~o5nPs8;J zZ(^GsDjC0M;~#QE!NA>Q@_x|J2`#P1QT`U3eh4+i+^I@ zj3uWWqX-{&*ud+-PSZ_QNMRS_c&`-a;JtQGI;F28keqwBP~NRKjQIUvkw=X!rAlBS z;y)eYgzZpm&nJ3(?|GS04KWyPN-5& z6#FpHDXOH$x@QM;VRaeDj75m!OSt$`GA zbUGI?XiD+KW*Q;+*lL2~;9vzbW^$Hpd?SB>&GoRd9=KK&Xz@NrIy`Bp4nQ5<2AqlI zM60CRGRn*GXMNYLh zfWKYo+7Hat3B^%p)`NspLnEC$%X&Ah#jMdeX(_WjEkPOX^4K|)0)l@$svLFZ!KDZ6 z@jiE3b&Zs9WG(u>zXRe;3*46?en1Uk-$=cBL2s+?eT8?K;#n@>n4gkf&iuG;x>T9g z-ByPokTr@rL6pIUo{|bJSW=tFO;(HcPRu9+3*xEv69rlZN-AP4J;j3S_~qnu2kWB5 z@;iK*%*3a-(p4;YFWEtEyz1pvYnCMTIVOqJ!@o3sUW zMB>@~I}FcQ%HY(U>JTs1TFDvP+j($@myC?~NwfUNe#ZnWx!7CCd{1|sbz9u_O{A^S zx19Tc&-HQ`SLWwy46(md(cvqpPQRiK#?v)_VNEdzo`m`Br`%2V2`502sH{2ruov=5 zc*SHR<%Ta{N4#d%4WyF!@lt|m7zJw}SFl2`hdPBYt#dZon7IACzQ%pUr}%C>@ps(+ zTDs+yv!$1N+P4amG=}?+V4bk)RWyje!Cv81Lm?P{E12}6<(a|;Ea(nJ{{aRP8fiVF zU+(#Epry4;XciDK!}iV@Gyb9YA1HCO%m1_ zKo_tQN+IplQWIn-gO7_5-B-N&>>aEJeI6=UW8qLS_ea{p0*`ui!(g$PPH+lQ#;$8Q0S(C-eVa9#P`BcngIT}?*_%JF@J5I< z3fcA8Hq`nZd^LOVW21Ef9X{EEzEhueX{6FQz${df?9s;fO8422UMbpL^g^uPVDcV~ zu09V;&5vccccO22BmKal-$dCrXuUqNI~Uk8YxW2~PHU!-k#sSR6~s9~yeG?+ZB3NX zh}nWS5)BgA;LI}2c=sjLIQ{C|mo&Q!9GhlQywYeR$t7pGCaMgkC$X>E-YEMm2Vvh? znOEVemwG@^=)^vE8-?`NW4I96?X0OZMV>?YGCyf(qjC7dB94u2ESBdx(`gR;`6Yl8 z*trr-QWMs`k+63^T?xObxv{8S113joAKNcaRLdEbntByf?%lxUZdmrebg4O@R;;$v zQWie2mAtt;3Zl`MdDO`9ha%7{xy7#BqBZ^po7d@t9HBzIJGU{@(&8KC>-#iXvu{c< z7y{C@6=x|%Z72X3F&1jsN+5L^|7VKAzMjxFIlO)S_;M82_#6K&dkl zq<*vug1pX-7&e@4TbyYIw6nr3@4j(7`x=rUp z5eS4ej{BN5t+xeMf&)vjky?z4NpC7k{TVQvWBmAAG%0c)EUJ4wt$LHb-rG*`uzHWnU76X+#KvjzQSH-% zCiZGS4VQgt_lAu5<{Q!?hW9*kknmYhS%T)8e^hCIg~VgIvM8~_R%+P&7V{{57qIVTX?$}NZ!+ey~dKpJ3& zbvH=fvRfVkas9mN*-HY-JTku!95f7UY<2x5DZ|A`BKO<(rjM-evPOD+D)tjJW_%X( z*=avcd=G_5((t854GE*rJ{8F1zN5L(g1f<0{zL60rnXY6KT)Fgi?vEZktzd#3R8&D8ZGB=YwwnZjh@r+u)ak-|KLj1hX;|npaltZ^`@4P9rx#h|Mc~bJKTy)jiL%etV6D5a`4DGPy2ZM^VSZ2)jXw-w%%5~h+*jS z8xsC}{kJA$o!u~B@Cgx5v~VG59rqtg@8I^zvh_U@g9`qZvtOBiS!a6=C?>&uA7Y!9 z9+C9BGMMp~@^8y^>%ZmN5!dGz;3vU(_S*IY)U!R`=lQafv?W_g-L;Qc-GBQ2u4=~1 zUJwMniF@;g)kwiuWHuq}P5%9WAWZMzQ5x5l;84U}Z@;b z$>+}E9^O3=mmfti#dQW8iEoTRG$Va zRuTUCZ_5twW2vXChAPcwZr#5nD|3uYWmi>PXNzB|G=6w@Re2e|GKRD=RT0Ehm4aH( zy5;)v^WvO8611d^qOfEMe#1la1<0O%J2R>})yH`+`Am(nyKmbKHIv;DR~)k7Z(N$Z z%VLO5DblDrjdI9FqVj$^K5-tmCRtKL>dLE6Zj{~dtm2Lr?=X(B%A_Eu8`F{ojVe3M z(rn`d8qHW+2;-S_$kZJN11~Y0Q`I(1-+Q#0kAz`SWT^L;l+QJy`UAeA`EPcekXggr9z^HY=4y+8d}irrez zqYv~Y`-&|G85U9&W%-PzXy4ns4J#z7G}yaTksPomk!I@57fU5{SSoDvQ6{ztRD)ugfjiY)ukTtg{#Mu2Dp|#S{;;!HRYula!Zg-8ba%o$6 z)C?(-;b%H8%*TBeMpnpu`zfek z87gqiH=RiKcRZfekQ((kfMf_aC4J=#irhBU@IA@f6RS`X2HC^#pgR=tOzM2{ zEi;eU*VKiSljsP8U99T~sT{luMU83ab|!PJQ1qdze(FS{jzqgct^hmoS}9#+JYXP!^cb!O zmwom6GEOL*>6-kZGBSS@O#dtjFO@XAakAo0bTW)j|9;%{;~g<7+CPlkaR4i?R|o^r zk4#0@JJAU-O28Z^BsMzGizim=+~P($gR4<}-B05h@!Cs2fv~{bcbjFd9E~H{I52Ml zODkWEi88-i2Z9bzpOj#9a+`dN`G`MwdyA^#&>)Y^GC1&-ryFxzAdXw^5wJ)VqoFUK zaB2~H9_wl2>btSxe3<%Yz@BI{z>_+p-yb5`*#<)01NtIKlyK2q{NtDf&LLklf_!7=joBa2P+ z7Kz+HFkaI0*&^C#$&p0VXGvvjq_|n76?|MpRH6!`=s$0f^(;;`3c(v7#luzpQk^Yd zRH58Bv|!v7O7^gB53&BUIX{@$er{yVI%$l6B(H8t)mkmLw~AX9)f=Q=vfPjQ&52)w_hhJHtmZ= z_ij_p0XenY+t|2;3X8Z#L7dw-h;`cgHy}O#tndj{JHfLMHO)4t8Zj~MP&lPxz(<6) z-OrMDo}fgZ(GzN?a)o<2O*c_U$@(j0-U(MZGIB!d=4{;N?;zC8CS9#%qkIiAb*%Id z+062^2&j+@mIc4zlF@G7LfA!Q|5uvK;E<9&PrJq&tFs=7bRA@z!B{WsQEmDKf`?pC3<*W@0$)x9Y6p<7XA*nmTFxvd%S zE`V?!mgYx)K73_(>*NMX)J6Ql-l^Yh6ScC^_~i$I&pE9bIuB$z)KxgkaPj(jEhCA7 z?l}L6>h)dRnD)g5!wtVNE<|cwgISV*{yNM{hO)L3*ry z&FiDep44x7+agn0_sHhcRUrI{e2- zW@_a47U)Koci!64>`S5R!0;!^OgBQAeCZ?mu`D)^g12FT`Z5Aa-cO`t`qKXxgwsS* zG(0z`ETPD>7~q~SE3BVWZtuQ%8*nK%YR&SkWo;jF6~XDbrb4Atb{YSi5j~!*J?=wo zu!b@&J}SWkWnABC)-V@C?s3%nMMjkq#Z9tCa%YF2S)a4ev6K@IYjW}+Fd4u9&+ozZ z9FY<&(Nz?4DK6Tz7Abc7N*Ilk*PqII{A_8}`_CqFyXpq$D|8bL>+>5ewmm^*1y<92 zWVToK1b5_lS=sJm4=G8=6!#oD7L}?t*X9)B zJ24#MP{gHht+6mnn_I6c5G1uUTYGpn*8T49Hg4Cb5qEe)g_nFjx@V}d1V%#8Q9aai z+qCtr=OujvvVt;dE9_|z`hu?(CDyN9v6ova(Y5((#zDg##w)l#r1`E1a9hx?y6%i9#0E)nCz<%g(jd*h%$jqvvD;dM!Agx{qFVTpp_SHCz_W zBK;l@a{Llm%Vg-V)4^d@I%|uuOGa90{;9R|^<%?1x+{HYr-!Vz)^`TOl$7~$$=ZJg z++0!rv$MjZ;YT<<1A9aAsJ{*yvwQq}vne74)Ar8C(D=}~lR4$5U&#^nCA;Zy#2g+W zbnQ6Tzlm7Pq8AR%YnfO$(?=crdd9-O|FbX@Qeye0i=z}0#7R4nFjKnuJ7G@?Svflp zO0tPO5N}0)QF2mtk3@nV&-#w7)NF##qIctS@(@qrjrc+~Op5I9mKe7`l?T3H*)HJp z%$ePiM`em{EU>7PTF!Ls$oLXUB>gu8qa>OA-=omwZWt4BPb=c1SHE=P+#3@D4c5HP z*2nKIBzb4rv=?}udcFu-Q?cift4pNFcsyw>l)=LD?ldps^Q8a$*mM$GelFw{b_XW? zwp_IT4`NGXlF!Ldv>!W4MKu{U4R3QYc&dCM_BuQ@`hfdq-c%)6==^%PJbC@Z3RjY$ z2k9*-r?@-JZ%X;`)G#AR^1&H(23bsun(zTwcf?SMX_%ya{J++xAiuMZPQGPAL)YfD30sLhr zjTke=S$7wEOqK6^2L1)_mUPQFZD_aOx@(ATB*TWP!m+G3nbK+5KJD30<=}Vm{U=tp zH2;~(YP^#5+GmEhl@A`FdfxYrs-*s>Y9(ltYGvc{_9R1NCsHA6Sz?4Cz0NR!sjg~1 zGm=om9{PvAjfawOo349W8LLFGrQr>TO^KQsy_kn37Q|}e;dn0~H3H8g)n%u#J?xcr z$A=UrJ2i?KnW8y>^KCSX=BG+|DY!=#g;S?j@7KypS$3k7u%d}djSPMqMQ+w-G~Y3Y zTZVqmu&a=@SrhgYiDpInDJx&JwIS@Bp39o6?JFdT8*{0L>BOU zj{)qaGJl{MVT@(k?o-!8KNQh#Ea*ZcqBsOfNM8fGqE%mBhw821sj!Uo+Pcc0n*aU>VWW*DHS|767V)rM z{NhF~$oq4Ph}8OSE$wf^-*IakKYX~2l^%CVue^V6VMezSv`d0#zxVm(T8Y+O9)?_= z%hPbi%ze%5ewuH!V=c8-DP^&oJ@;Se4U@U>zSuLR;`$}!N%<+>_BA}jH8JtWC%cTW zb-oq6xK>ASGU1V!sh)^FDAm{F62~A(;uqAzftB@nd$4OtD*OQ;U zoomW@oaZ8=!M8ZysD&G6Y^%-HTi`^V^nC4?XxrHci&qjNioC8kq<ac>V;QCW+vuec+#w;i1e=l=j68;YJB6m?8$UEhv=ENmVb%-C)G4TZH_ zqRS>tc{o&8rHHdfkohOr4sMoV3y0VjD~8307w_xwT#eWj49-oPIdxA;HCMmjp>-u6 z)a{|NV-KxpKFu@VuBv^57n1OvrCb{4&U>#ZmHL%&|C5JT#J`BKwZ3SGDM$IwbYFKf zMr4i%x-3%i4g6w`-d)8&K~%sUN2l$S8BWWa0$F2Rp$~b)^M8spc zPd2d>Z?ubNnPMxvi)dOD@6xTcrf8t1Y=001SGYO*)sqJXsb60}CkuVPP=wNBeu|X! zj(Ock$zuoMYI?jQoydfYh0l~h7+2o*YY*sbHM5HgyJSd*uhTX**g! zIucXvtX@{_-BRp;C^7ZXOp72``upj>*PsgUh4q|(Cze7j*>8wRyO!hvkK;a`=ZX;} z)mkQ$uBY8W<7Q;XEZEV;!ML5fk-i5uh!WASa@p zOct`yetk>vX#ESpxm=5nmBF+)i%Y>qMOCslqrxlBA2qwv_MD$?+1jFhyRFCmF(^Aq zPqa$Ct5j*{#IKd&D9W(vaj3{wb#dzHW&JO%``nUjmyM9+>|nA#A|R8bPrVk%_8oH z4gv0Ixmc-u+1tFu5EA%JY`yzd*`&-O>(Iwg3zJ{tVVPG&%x8QbJ0mta>`1PA2G2`N z{HKa1$A5KG8(u2kb0QpLxDAX1-_4oiR)p|~9%-E>$?Y@I2XEhB%Ojp@nz}ey2CR(N zYB=~gxa%$T-E8<$>ADnUmyY^7R+z>Z=FwsCts4wPAnY@sC!kOwLwjNd{AHl8u4gai z#4UWRko*}@P@8=|9Ox8&xT>s6Kw@0So0fxORyjpwA_0L1LZ*7d_A33_tg9dGe(v&A zj%2;*3Ap-l(S2Y#&oa%m%OHCt$esR**`=!Qobhqo5RKE*T80mFYdqnFWUp7BNE)i` zS7|VBbe9$0i?`7yX)goe`vexj)A?GNsqwDX^f)veU>oc*UZu097gE*ud;q-*{;J6S zotVImdls6i&Ajr#b$$OvA|coYm(^vul*lrCbNtai+I{SF(cgc&_^!GKox<^SQEo!R zLDFPkxn60?rSyDSlpmj>SW%Q}8Lbfz=WrSGl#YcPmjG4kb-*bJGX zSIvi<=p=squkAlcgQCRxfo5l8-Qf{iFF&8{qBLgGS_>aZ^KZhQ?U3|h6+7|Jp@4*$ zBQe7Ft*_b_0zVaEuP17v_LE9MTn!h3^#4v(s>ZB$Y;CCjIZSU7Kf+#%SIbkX)B5O2 zTCwxN$L63qX3K}qwRPyV@`ra8@1i-&@+T@WlpMA*E93>qe!rl)&wg+uVm4e{g3Em0 zUsq+-X5U93C;isl$>d#H&kuzjHiaJzH+yl(VwiYFx`#el3zi@DSW&90|CH8f+0W)) z#hhh(Ve?0jzEr{>MrL={2ZK~X{glBtbW{0Ah4P*T*-*QgdW3lIL%Y&y^H_%h>!9&l zsYb7GDNb&;Qae}ZpoFf^2S2)bZMBWXq$@iRE6K(1x>nZN16x{pVyk9q_FYad+7?Gs znLKM`4pIQSACkzPV+Xo6VkCPNws3WYDzs?;BQ`dO;DtMRQg^ztMm_5*elg>PWXy)BZ_-!t_! z+W18jRo7Ffu8g9w3t4$-quP;5$1gB>h<(LL-9NFF6|w8h^`mS0Yih`r=t~FOFRnn6(HU{eG{Z*u8YiEQ#{YF7MP47DCSX9YU%E zT8`IUT=M6hIK@eGMr`*rhaIVLzV}pJc(5wcawqMAzC zkxt0w?%6%Td;gVlDpgWO4zVyvnYLNo)StH%Y-|)uUqcH#QUXmU4Xl#-j1 z9aVc5BOL2c&LXNlef}qS07CCQpr}GoFN@JA*KXWZ#qBMxqNNv)YuX%sPsAKyDjVWt zFN5l~9H=#A$+<-#d~W*QlU-zH$ogSEe=d{7kx^k2@Y!OlZ!nWx*O|m}t0icE92F^( zmPq2gq%P0WU#L#J0c;{S_JAPbodIt*%MY)6;uE@5Dh`e@nK6`n-Kda?R~BdUB}y$l zH75|G&G$4vnp;-WG}&s+_+x-gD%;{K;CgdEB}{QPP^#abv3#nV{gpvN_>KnBAVQa} zTocQ{ve67~q#dS|`Yz*=`Cb+j{(Vj^t{MI#u~A`8d(s&G#L%z-xpkkc$IB@@Vk_P5 zBMLj~TW>pW~I48HGSf-GHsCU7#_vFrt9hjGjH~)mrRqiM8uDBZ6X3=wkpY*Q}MU@}P(NcpqZ!Y_7xyoO*`EcQg za&C4|{TKT11Db9fPeTA^**eXC5u!%sC$Xy!w!JHPrAbm_92AMBIoT$xe~@)333pl- z)^Dz`DwoPQJQnRNx~k|X@A;7|tHYf&EXiHBBhDPjkG??K{HU=3&Wq<;0)xP~o1qB8 z>mOyEHT)EDN>6vBeNrkz^s1-fpU5mb#yAHCNJXEp`2S6EAG#5g|5KuL*A7EoT*u)C z`eJlzIBi~r_hH>GFR(RQ%NPr%l4-0Ddd#E|Ih**qpO~YrSgWW)s1G5pGI#h>Au4pi zw2y_BifiCA^^L0{v0x(k>PQwrSS?w}#^c1e&-HE}(JutY4y{Vai>I$&!c~S)m=D!XF>kM`vteBmCc9AADmAt55eW6HD}1d1MBy z3theFcxtv>VR%PklBPO39$(dkZ$mc)xOff{bNgprb?B|4&HE&2q_gT?0PzWaSr1r>kUB%I)zV6GkoD5&+YFDo%!w~ zzj!@tD0z}d138<+7L}N@XS|U8=!ncbL?(h8^yIee;VI&NH9e?A84IwOw{kLTycbvI zK_I50kL$=zkJX1k7`0Lb!VTSVn{S|Xeg#5>k@w?jL68@5{O7wNTXkLT1LW6>zRKnFnh|rpYAQZ(*5lvvy_bi zv&wly<%72mP*bJ}7em;=*2FXB#3)xNl6zL{N^bO!Ib`nYs#c z!(dlrFpcMM zW!cyCll@rC5+b$ixgLx=*<=huuEBsg3MBE{?Zd;}@&G@&YJlHP6wiXWVA%&D2`tDr zXFNwwC{qBT2gMx71O^QhXEPzeT9=hXlh7C?RM-~F1+oPEr|c=&XDQ`N>y*RwKODQi zcUv(c&)hu9>TYh$bX(a`7W}Go(B39C(;d)IIH-G_lwg+fC|dOCT&i4(dELAFRX8dw zZtP{GcN6u0b3V^@vZP7zlb_NpjrXPtkPY6Dj3oLl>ngYRvnc>daUk`h6L!h^$~OUJ zLwTtn7-7a;tJ$rEh8mA2#RUGT3A-G_)~99?9{;^9l@l|Hs1i5A^LMNP`2VtT4$ zO)cid+6}lY&$KvwpAOl}0drN5%Zvwi(~W0WMY)2)BsCGz4d=ei4=|E{n{^hl(s{zM zr@Ah%rCf0IQn5bga}9WPrPsP|S&ktL!e(kBJlBuY@dF)c_|co7;bJ$VKSr(yEX0uC z{t7TEvOk!*hX3JFWSsv)Au?R!d20u2)zH2 z3r$5*^oalePt4dJ#?hyT^&oyn8)Kl#3mUWpAX6)5{gHuTWD2VFLG|j4+^qG(bGXm? zPgrkJEA~qjeI}H;)RL6_c^Ug(^pmd%er@1IOpZx==1K3||B99`voyVzT9Db}2goph zFp{O0v00P<#MdRnl1P!+**<9s+K` z@&d*B;&eBYvY&O9WyJosk<=GR7IZqTcg3rfx?hd_zkc}FpxV@!d!Pm&y7qOqm3xMV zYAh+Abe1V2lv~`?Z*`hBI?VurOb^f@46Ji;wiGkwe-5?_AD$pE`=nc570o6d ze%o6f@88GC4ZYv-d78sa^&YH@)^6a75H)##tV~psy*lT<7!<*uKJY5*lnSnux zGOZ8!b>?hvY7fXZjA* zXDa5r%k)grwPUF{W=5P@SXi9W7hVdqH+fk7jq&d@@MW)l*DMdjKN~TP%CaC>N7AJN z{D(vT8L)-nxz5+3#>##HPtx&DMNR5=0($MokHsMI6Gn`=dmkBuWFqUj$_wTxEc^~Y z%hN}&4f&VN+r^n~qfW({aH#SmK*j6|WvCLJKkDsNy$|g6gMHykxp!})yG`r*Y&-y{g%NNaKAS1m zhr0tx&m^I419EXzTy7quINe%IHBgMBE!*$BZMOm?F9oiR4a^tjqoEJf-;s`o0y;t_ z+@q^vcb9amCOZdIJl=C=b5$n7DKG!;2Q|Px0M#3RkqJ0ovn&qYLn2&{L)NYDva4Fm zZjb5C!}yS?)i=)|qetJ+Y-2~n$9s#$F1{WNr#Cx>pPk@fK>Egg&1_`o#?C}ex=w@* z2nn}AP1gb(6*5EtO`Oh<{RYY-3dj|Bf$bSe1YX2Z@L?N4SYlmD;_gQ{$z~p#rcCh6 zpoXA3cZ&~>+AWB^YtwX9WcDK!pS3&`U|HOp&$Wgv!SGc52FfqE3~GhuP39(B{@t2* z1@hUvRxjx0;?-(^?jp-(=9HZwc*K!0BXhGM%ctn$2zMKPBH&l8&x=+5t1|V0JX=T+ zY2M0@ehfDhpl8pyxwu|4rs$pU8Mpf!fcEnZIiqBh15t@7r@xRr`>RyaGgBWBiw=^` zq8xepEBws%S;e6}isGn_-`gC`Ncv>$N%n@IR`}!wQq+$iervYc%*4_m3!!j6UvGyO z_YHs;h=|K7Cp}U3-rJwp1fsA&Z4zAAzHzjoI>Vh+<%{myNS?|c2DR_Wu8In+?&7l_ zt&L)N|3H5ZQHQJ5ZF@UV2$N!H{&Iw?iIu+mXkN?&oX*8h?WX|b`O{)5vL{jdb~ONE zLs0_@tF2&iI%#%q=DgZ#fgGa23vlhA|DD0Fp6}nV$T0aG+LRxMt%*r+0sS~K-{N9y zYmCx=YODy`t)`=e_bFP6|2YZd`{X=jxVUfZO{(Tw;_(KRfe6Ox(r(nD~DZ#}jg} zZG76TAMvu7C_9gGnsU8bmQgC^DvgguAC9Ym_X%##TNfav^89t=IM9e3G@yWPUiALE zmJWTNI^7(~G*p$1rCR@Op7&k|ZCfw?3OVTwOu z@dmI$(Sp`e#E&J8fEk4xE8REJRs$87+?>p5oW{X7<+P9j-~Ht8fg#Y_nz+tW}nI=2b zE+RCiD^}}%Zgbu6^bn>ls?{j%1G3sUSo$#UiiOKdh`N;t@jF&9{Cc#zE zwU{C|sjP-qnM}`5)W8~lJm(HDQN)1@RKD?n55Z1A&Uxne=Z=A^$n!O89Z!em;y^S zJ$&G>kge9vyIlW}b;Ma&=>eH41kXp4I^5uLT(WaKk@4M0Nq{&yCWca_lW6+*FT!!j zk_-+lf!jL^N<}b4&}$0IpAJU-nSK(i!)4Jy%lTjT-!xlcm6I?U7fN_4VI())v1Xr1 z9^}X3P95*Q^Fo;W63&N5AaMEzby?w<{xf4pY6O~iJjbHig%jZ5g1aPOTn?wFLTa5w-s0%<@16y*i++wvNB#m8LuGyG_HKj6A0HMoiQoHO-=NP93u$?~1SnR3*1Ua%bk z*F@<=`DJ=K9X?;qHs})(x%m&q;DQ#qgWuQQ?h9`L#c9UN=!LIH|(2a~}ja1Bp zc~MZ}M^qakqn0gU%%6V)?;=C)lh)LegguC-8018jzXq2^)cWPAzhls~EMF{{*H3+e z*Y-iJwPHy}g{9XGS*F_F1lv*@BSk6(L?hn7cCx<@rY3O^H-1+0*LTNBN>9lPM(hO{ zGB*?W~JJ3EAAg={jiN8%jgj}YB^8n`ie?T8Q8V$7sVe&(Eex$7PMX1#Y5dX{f$E@Ot;6b>Z43WwWtVp3vXs;0 zbom;G*04f1z z#u^Q)!b%-!r-@>3V;TxeO-?#R==9!m2qt+7sgV*4-i3YcQ8rc!xuaKKASMoo>Oa{8r z>cL(2Khi&jB`XFwf3I15*5@kCGIS7j?c<%EbeixV z!nndY=x|q|S607QMn+o(Uk6)fohdw-kO2pSQK9_jvn9vex51xZVBARZ(N6gNd#$SH z;g9yS6RBSg(!WmjfA4DqrYv%NCmeR&E3K-|8$s&o>Mk%UGm41Z2MQmg>vPn07p#ao zDdblY*(kUL5hDHqaTe`iI;EEriL+3?^~L4g9B^Pmt?ifHtyK7k@dJAB>4gQ_8~G`W zNiA({x|nx^At)soi?Fgfz%sR0Lw)@%;>4M&+0R5n;f^jtgD|E@ywD)?DOcqkx4P@o zsC5;o-u5=LRjq2BwIjAz;5~>e&d(?G_&>nS66y`KWg2FTYrO8)8-LrLoi2NRZY32y zdP~Z$M9avqF!VrS$D^mADWLy;*IgzvFEmqR%o$6CA|o|5b-dlr#%9(r>4aT0NK;k%6cV_=~Ql2H7l_$(JV%wX<{LvP#y&H?xs%?f$;d6}8YkJTS;fyLkx z#ce(;Y-}VFllcm@NWxM-KO37O6?Hku<13#j8wo9&D{ykl2wvD4YiJNWLbC?zZLc>i zF05LABtVa9dwa;qdjnzsZizn8f@t;g7IRb6pz}kU7^-J?^S~N}}wj=O1ue$xn z;9wy`za%@m@<%__0eGQr^$y*foVK9AL7A_7wtV<4p05*4pV8!~GKND)8(i)A_kh%j z!9050TtP!H>t$wUCUz;b2L7eCWu`eekH2niK8cEg)~ffzhpT?%bC{z!G}P4S!=}bm z(@k}C#6%iwhj#bwv5jrQVYv<~YfxV}i8kJ;4`h>k!`}o}<~Fz8TVfnkMb@;C)WoVG zhq;C5;J_wC6YNr?r_AzrrnRLdv#sldR>ABj>zXL319+Y8f6L33d>LhC&`jURcH5EB zLpP))5Nb0D3JU0ladB|+R~saxjaW>KVO>h~U_1(b^;}-Mdv4B*TR@e_&EV5_13GPA zrtUgZ*3PcL8+(2;DQS_%00d8{t&q(=&~$cjA))rUyUrmcI{u@zxh-amDk7JWk#S{Z z#g>)gh%9%J%;s%V(~t4LaS@jlQDy_rMB?MaBO=1QWzS|pSx?coG-4$xJ#n)|hYg&T zW+V9V{zS|$@W167p9mvXjH{#|pgdsYQH&A$I_%5YwPQHOs2@0r@z;GZ?Le( z>#A$9ZS+j}m-p|ZcpY?gQ!_$dvLe(}zH5$M;z@*shkXLAAe8S57nj;4-Z;r!P`uxR zo3^}+h68?%VbFpZW84Mj^Q6T`>gov>AJgSn&~}~F!Hw~piQ-lf57RTvO=Y`?x;g=U z%^rn^n%h*^3BN8bu8g&H_0uXpZhMu_o)2Ap9HP0b%iM~qqE{^Un?LsqncLrjduYx-b!s=4x4IJ|;DYw-34 z<3cc5yzla<6>O3%EiFO1VbYr(4|N!Ib%UNKanD!G`X^z+lDidX>#Z0+FPkmjV!0t6 zfFA?4ZQm}2gzDs#OiaE2taN;G^8L-m^|#D2sej06aT6vHgDbwZLf}**A^W~sTK{H( zt-X#8alrV)F|{c_7Qs?VlrPA|v(sI6&0iC^|IWX5LywJ(4LG9+2nuGEk#t}`iVMiQ z$8zh|L{ATf2((Q+V^@<^)YaV#+_68TKs1sixcel4TGkEo5=xU8l@4g%!1lYX_7K+P z>oD&ZIk~#-qITV&c)eLj4w4N=tGKzUPq`CPgi66a;T#Iu0>+Nb)m0`#OECJpBP4{9 zV0sQHuFeqy4F#ApKZ$~^B)Q&gA)#gUA&i$t;6aSpQhL;(zsK1xD~6E|Qc1@6sw#?E z?Y9Ci9@(rI8u)u*%*@SQr=r5Am&!5o1`5x72F<~kYl=O{9CC2HRSTqG>m;M3e8wgr zk)!z$O8vIZt{7m`WL{|wpv4^sBfR!}bla&Wi79Pj&Be`)MN6vAkS|+vRYl-1vC)D) zmgpC)?|`-=_;4zD-rrUu1BXyDA|e?jBa_F9@$RWn#YI5{z%-Yale;&Pr%_7F6s>1H z0>x<8Yatq;QhplQt0D`nzNMMoY01f>6|)Qj;G+rHD7<7oZtltAC>H*($XSz2w~2`f zbd)L~GIjm$;==>Dke+~Ur=+B`_53@P>Js7aS|Xtv8^a-uan^6G28Tv?N8lz+bN#x! zv6PgQf`Wo=>J*4%oqvZ#S2Q~?bLHb~Bp|izBrU*neIGpxkxS^as$d-fZ+BMzd)Cp4 z_(t|yf`UTEnf2_Ig@q`WQJSZMIQfyzs+%Hx)^rb6FRL+kf5k)zH0I}919*i}`G;3O z^!CXYh+b`!oc|YPa-(8n8-9ROXknDTbEN6vzIZ_1Ox<<5)z=IQK=@5i@+G3H8FOx1bgE%Ky%-M z{T=}AKY{fJ#V?@*0K-3^=vokxZ8NChR$nIawBa*r+5_K$og$9KqJY4_C$;y6GG%4K zF7^-wM}Kha6AZZZqV-h#C`M&=)X^#`I^|t3F^)k~m0(@$mOTr2k6-?fsg3&d)t2yB z_LKuF#edIBh!|AFgaic8p8$wSL`S#3x@zm{il|2;UY>y^BXoP3t65l1le4p?Ha362 zidw?h2>KhHG-A8>RU?_23DI$FMs5kP5dZ-2Eo@Ha2U=U_gZ*b~tLS=vApSm^(bn^* z9wf3w*O-cI{Qge7yse#`tUmwCA5HoBXv5)Vp#FxPfowV_O+{{krl2miuV}ohmZs)n zdmvvh-pQ4UA{A9tl25`>a$azxCvnoZc6YgMr}N*wO`#?nCnNJ7&W`(1XRav&HN~+C zj~)f1ygpt&kELROpP!$P50kvaOK?IjU8>XCXQrUIe&72hsQR8aezshj`1FY&!vAp$ z&;QP1n+1i(+eTI{bbSwr1w=7^Cuy4aTXdFx2?Un{>`MhBub`C_7l$dpz6hmfy&NWF zdVnr4I=99_?XhogP_?%9zLhaI(*p6#IA)6UJ3|8l^!M6%#^N96=ET7W`jxlMEWPnL zK<5Jd{Akx0on{jCpnY)w$MjoM+jpOfKU*}p4>k(DyG_4@nXAFTlboWdzCJ<#l~CyD zJRnMLZ{yaixNyGj?hb3yp8k7vl!TPDoX|WaHC5N#yaVba&7+eO=ERxZ7xlfokzO9) zpp1D3kP7I_4i&0?-4z^My*bVemB-SOw2+V=T+QIK92`~zK$cPcD=9rhjH}q#dD4$9Kcry&U;05J@9xaZ%z%0FL-&+P zPgS@C#Kgpq{r<(cr(28iiYO8GIeJP;BV%JKQd0S}0*+9IuB+hDo+Kl1iOB%<==gxA z0i(Q^7k?(hR?9b%n63jb#&t62zuJNp%)p&RX-riT-9H;Cf&M+WmqxrI+&Cfy>@BtaI(2ZPI^qtL!Qd3j2;erU3zBz7LxA0b{Wi2X zv?L^QiQtASa_g3!#7Gg|;d%n~tu7mF8b@8sgeHJY#*GXO6%`a-z)xnTb^&;_e;OG0 z(&T=f`!>)($8*H|<2Wm;t4kE2f3)-Ppo7>>}(G1?UqOP`}xEhV80|zI9aUyzb8w{t)A`X z=&0nz>KEWpk(#D&X3-h^LWU0xY=u#IRh1jy2;emf72I7494<*oT0uc!;l`#WY_l7* zwA}*ycv3zPnVMua45w%`f*HF3kP^wu&aV8!#`^*gp^b z+u7L}%9ECrlVh%<6ZU~WLKU5Eg|8z~`fW!?KdElz>(}oY8R&%G!jdU7XRMBOEv2r9 zi;Ihg2lnnEBRNaozKz!9#`^kQ|GC*&MI|M@Uk#VRsZ$VK%V`c%RfL5Oqj4&8W$Irjg1Zb5v2YSPp0wS$@^a}M^I2? zGmD6b>@Bn#y{S!mq|o~`CMN%x3Lh(&+QMJxduls7Zv#GIT?U=w!F(&}x+sc};KWWO z1lv=-05_sRuUZ z2?_XHXq;hhI9#E!xWPyrYa>QO&t$5mhUmT8+l^elr4Zp?n?2(n^Ab8ZjQubc5R40V zt<`%MPqgSj5iJHKo|}scUhvpDHa4~{7O=K7)YW@$o!=0PPO}+@bvt*#0>-usAOsD&0vHCo=&a?O!b&howT4IUXvw?ZftJeY@g}cg$5fP9bLo` zdf3IqMNp#4_1I(mU)&^FLt=`%y1LT*m?+hncwAOq-U_#;QU4+GR!doRb&}S0aS}^M zFXcs@ANiKk*n)sBk;5z8|I>N~i2J>VU~Mf}dBdH| zzv<2E27dO9pmxNs>P3o!J1Cn5Q-jbeF#W_}W_|^O{2pen7n#fi>sc>e=&%>x5c6{a z$K)busI)bR%<;+MMaNm7l_c>kQt;NsAH4(b)(aw*2q!0=`P7;VRp7HIZ?^lw(3WSJ zy830JTS4a@Ed9c`}mhCCt&NRcM8@lExN}r)*|jefk?i|K^#0hk`InqxYdP0 zm@OAvMUsB!SJMq6SMU8eJj|coa!^oGGFr+a3;_`exO*!P_6J~&0XH4&x?TA6;lRSr zqCdE_w4oCc68ec!@S0PRkdRc4qi8HevoVBd!;Dm8@ypMK=iWAzJ&F5ulb4q;aLg=! zN#SuOFVXK2kA)9k%!<@NlFJ)7qlKIzlH zV5zL(+a%8GAh7cOl1f5>npb~s1h)fEPfxyd_80_ZrO(v#^lE?(){Sj~H6%#jlo`HN zNvv^ma|7iWT^*f*&Wk`hJLbPQ%ZD(iI+}t@-#F5k0VkD}wK#B$dqN8b2Zw=XoMytm zz}>1WHA4S7k8UgANH;l$kb4So)e@}GFNVGVANQmp%ftEF+mJxt`4Ms;;{1`&FC`Z8(~ z9ZSL2H8rS{jE}G-?U%7Gq~ZW2b2TmvZwBn*w2X}X*+#^E^rxTIgsGY6gdci)(V`(9 z7kysC&)A=_GOn$~K1DYiorZ7d|3-$AQ&B0~H`LV?AZ+-i@-Uai7QjwrehJ3L(AR*K@90h9(VNALSEooZ_SwZu z{rI+dpkw_Q(o$3u6p?@XuwI!p=$Gv^DVfj+qHa*Q=VP1qN#?Uw00Z(l3w&k14CbW7 z#KfeeMQ}W?)iyRRt7{Q@0qH#)`w}mJRy&sO=;$bT0B{}=nQLil2o~kM_0b>2)@~6W zAZjR2+kJ?FE)5?a-_6|}-2flE`;k5_y-(fr^fW4H`jtU zUI{pR#~_HFd>^QAd3kw*KL(-kNftchx~I|8A57fy^_&1>S={IF>}=DIcV~UzneD;7 z*-OYi7=AZ3bCz)?6}{JMp{QP7woZ)=c@8xKcD5b)_cF?}ANCX*bgqz_czK6Eeq;`5 ze;TfkxcqW&&&@^lm+HoU)qk6O%}D{<5~=9?~eMeL*0OJ z1Y>8EbM`}bqo>bWqM(j!eYG{XvAOB{RD}qjy&d;)W`z82X!LF6?L9pY0L_c|+t3gy z{o&i*9&|;#eO|Jr4Dr#QKYdC(1z3WG0=xk}$WB6~c?rygKY(QezLJnq7y~BsFv<&? z1D)uwCcu_@`Rmft4eagh4Gk%-+S%Ft^o8**#IZ|U1|xlawC&_`2$}2_>LY!(`S|#t z@^p0E92!z5f?hK805V@>=R*v{?*H1HqW#x67pG#tL70cxiWrrj+o?z}1Ke3wf2%Es z3UH1_zy8dlGdYKLW*tq9kXkU3UkDO_!1WvWIYQ3k(Br{%yZrroMOz^35+XNnpkIKf zB@~LQYisEtOmKri4V>@xDdbvA({vd_3k!lv&6iRO0LckIAQ==mKL==Rs!0SU0B*Wn7OtC zk6JJLZ=hN(+kXLvbqZl%X4VexA0%1Mz*OKqFasndCAWFzU0*?SS5&lLk)H~7u;!h? zt(~2gzesfe8B73jNF5;Q@qvZ3`fA39&XuX4>8`I&)=}QI3;>3;rL7_0BBK?Dv+!Fg zP&Z;X*y<`ML>(Usci_`p#l+lOd~4RxKDY^vP#72(06TFE4-7a%1%rBbE(0BJ-smRV zL3699*ay`Te*|VyQj(0=0J5hC{MX*keUaIP3=|$74!$B{7Pm|SUv8yD)z#P4>Fli( zOV<5Yjg>F5g?0=87>5)+=W2cUut4^u-!18=GjFa}~x2~hA~W;6Uo@xgPxCCq@q z%h{QOyXH~c{hw`cdbmhFh{mfiKN%Vt+S=O6%%tj+nTBG9|J4PF{X5v=h3?$ak}g5r z9{jLxatvrZ&@$|}ZmF-&vbek-M^Co>JgSc9rG16IuCAOzxwz8*9l@aVm{dqfP$2;q zdmBtQ#f{IwseKRjzyO&~vFOC*g7Y}_kR?N67F15t)2Cpf{QLKB;JQxl`|A9D!%v0q z33nT;Fu}QS4K%IE>|gkCQ-HcZg1tqiM)ybc4D=IieM2!C{ zS@b=LY~D6BOn&}+1{{K584Vy39D=MAsxtsK#_ldhGpe%DAE6v-bR40Rm+x`Rd$0k! z?I3thkLKX$NJ&j?Vs2iQpxM;W0N6Px+upZt?dehPlLcJbE%dc0f}hmv&$vZJy#|z3 zQW7jlrrulZWoKo<{Y806%U$YeNeaVOD>E~*k&zLhp}s!*i_epjP{rw%{ueik9-S}^ z0enK_VimMqeD#k}uWI-`ef_i22hy#K73Dy?48W7sb zC)x*4g^aSYa`L}2h1LI#g0*Dee$LaUBOqsg2sXSnP^R1Mdyg@tr6g0Y;u6JUDn*qZ|NiGch4$ zi{a+%TibYD7rgQb+DzDlW5X_)qWsv_-1gw4#Cj2G_@d%si;nv`H?GDN3@0ZigR3&J z{Hwyk{PVexIip%HC#SL(FTMcu3k7BWyMh8)uQT^pJ&_bXxYjR#h6pdISnBE?FbwvW z-u;D%GsFJmq&{!&=g*&qhm%GCPiyZ%vI__hQ{)Lc#QiU*v@>5wKsg1j5szTRPw%Al zRPqPaLLSU7^$iRbToxj=NoX7Ae6oP?(==ez!;wjzoR%b6zyn3uv_h& z!@`T@UdT=NA3j_|9}@T7z(<=KA7}C?1@2_{Gq@7LB#J-3HwoUXL{Rc3#}*Wg$&W@PRy7F?fdka_-KmH3uq|ibr`hl)->&~50k?Q?wf?hyP zCYF|~KMoAEinFTzyW#hU;krrge*LOYQ({3x5d=JXun|;}>G=Eu^$Y&-F#myczq+}3 z2UdnjNuPn@584%qw+8vi#l@_V;!dOa@KBl@Yzj8vP=MAS4Up*o3TBiYttl^8dG}q{ z%8H(tcx81J5U|qH$1pL5(+o|I{xqNgSct zPZ2(bw6td7o;a%CplIjI8;MhV3=<HgLhN6K6wMIOz+e-zl!+_3&R9d z{MJw!Tr#6{YVX`>=88AkWeS#4n7EFMkB{{6G9 zJ>YDV)IdoIw<0t?v@W4{+ zFO+Lgs2T$scgKR;{oIKEdwVEQ9KL{$W8epk_7{UB)C=sG>bhnL; zj)E5N4RFy0o9n9AuM=ZqOW5UUU%`7ERell>0RPj&&aTIO`{3YUZOzy0 z>}ITJ6zT8FOMZV4az|-jw=-PAtmNI_KfewIW$?t;e&poj>|dws1buc;mRq{KyliO^ z@n{9M*fEH`H8wVWeOZ^Snud!1sU#%NYH&t*d3nL^foUD6=6?%6ql`MC1W%%u&a0(^ zZ$U=3zO{u4KPX>_=g&VjM;AZ3RroaPp&E1n?b!-ez!$S5=b5T@x(2t&nZ)J=DQ6hk z-~IH}yhx3fOQ<2|zI=K6`^Owa{#v9eL?&%uct~GtF|$*306vG33PZQSQTuQf^;e74 zf)ndaH0uKNoPrR6l9NNz;p;qq4u3A~Y@B#Y!mX}Owyu`Xl0ZR5hPFMg0BPc?9H_X+ z(HH{|mTrxQc%GKFrFkS$@#f7jsFB`W?860Q5~dksVOKqN|F8&@l2K6DQb~bJTU%et zA@fs-V<69<ncwzf=!Ux0xM1m`U~uP~^6 zL-}(P0V0>oU`G|6tW$;%mCvCDewLC_=eg8*dU^_JFe%3985AD*c8|cnlE#9tEefut zv$ON&$jgJljc8q6NmZb->mCp+YOontWuL+9x83!tsx$GB50K~KUbYqo#w*fEL+9go z@7|SGI7V%9-KeoyC_2W_r%x--VS@5Usd#3_n7?+yzsS1=hrNsT1=ol7)$-DdBuk=9 zw+~rQfRCb=Q&)Ecl>*FOWi^!v48yl4jokL7V&CS3%Eqmco_Hl){6Pk9R=^Pd?c28n z3zq=L<%;>^iHlxA^wi&AM0Nk7VqzCii1mJnj>b+5o=vy5(FC$dFUEOCU0n*Pz;-|- z`MnQysGcedd^L`PBlxr=zfS_q>1v$Emp52m)ipIwvRWy2CPzkUc3mC4$&nk7{k*=- z8v(HB@9Vo($X4D<=}`bjVwHZhy{#?l)Pm!`TVA0@9EIxzqt5E`az?q>h+s-HYB5n! z;?!Q*Lg~7^G?rc5r>n(6n7tek31_nzyeh46K+$RZo!;qG+ETHALGuoe0_FE=wRP@% zr~KBOaB;$A)304{k25OABvYfv*a>5jxgl}i3yAl?KU`T_2lSB^yqJOe5*!jD`sip? zL6(bX8pPTCzF1L_i9P-!F$AVB`)}R|dK2x1AE3O4Kq3!Dd6?MPB%y?~VweQ6rHwMr zAX-3YlW*N3$iWdS1nZT5fD04Wt7-Bkesw2*7N`)mmNGJE6tFWSVTm%63y~mm;n#5M@v>2g7z~YGo##)o0^)In<^{00KN+3qtd)~ zSN9*nG-)xRkv{o!H0&BKZe49HgDFHdXBIZzz`&(fX2Kw2_Q$=q`}EZByFI|L?$2V= zn#KKQJPh5{COsIdFohbk>55j3zISVkH*4Uhr0g~M>`(H0d$f;o{$O$Ex@e&NI-<2B zU;Hh|Y$pG`^0=>uk`c>|V#3n!C~>5LPT&sPiaSqnpr7chRvI_=)UBzR8Jvy;U@vR zdz>#CxVn)npaz-I-Y$MVpZ+iRDQ7T;t zseE!Uae&Kmx{vmU9Df1zoR6h#yF?+<-W?04?yXNXpYvb4)gM>6tXEs4xWPoq6X4^a z1a5l;kF*g8z3MV%n^dZ9vm#O+(TTJ%zVf^@uzJh__SdNL5Um^peVV@heo}Toyv>GjBd{TB( zFi#B$4(yU(4?(djy3ZEQ^E_d5P5*-xwJ9=L*mettQxNxpx4AKnA; zs;JN#3H?4C4Q1|)oR7kys4BB4DCSWQ_dK!6SUXWe;U&k$rzVZ^!;~b}fHd;c($QjG zit#XF_EM=V?gVE_JJGTuJ*BSV^{VcAnw@@r2Yu7ue(0Is2!jzlLdFL6i?`qE@(qrj z(AM)COjp;4+1jX*VNAGx8}qW88<<+v3B71t?ft)p{_f9(E6noU+^RB3fX_5bOz;~- z$Y60~ViJZ2qx4p8#%1uVLKg9Ms^$u zHho=RH*XI}yp4GP=r)Yvk0wCE9$4&aJ3CNoVcv&I&R0iUx;7^(YZgkM6lxmoOQ?0* zx#q6x@QR|gznGY=(7X?$)ldcxai--O1OiwsQEkj-5H$T0`&N>dMlz@&C1niM z#mhS(r-6ZeOKoj(j;wX-%_!7`&>zv~vtOAu!+3CIt095MWu)kJgCnc8VQyNZJui;h zfNED3MKp;C2U$6*cd3ctjl{Yuvxf#B>AXZEv1!$>HKmZ{Q zPS^cI!^|gNsO~vADaQ&<*+jgQxgN-o1X{hMa z8Xy$|qapO4zzguVkESy*=6+zIrx&q{rh9Jj@fl`RRO<|&gx_Q5cMvw-Pr4?7CGYL) zoBhvf-o{1%k{ujoaB7DtC7}|qgMp79fBpG&01dQP{9S7lE2cb;J1PnUk61Q}e%~vK zDw?FO(4&|R>9+Z1(zqCeZus0=DBOURSxRup%2XXUDu#vVCrx#eG@c;nQ$6&rkN!X@5olBlqtzvMSc`ya?LBL1gW< zODbAgcPPZ|>`1R)=SsSB`*wKZz`y_?W4RY3x>HkAfI`{zC7_c#I}3y|0bB$bcmr^u zwKWW!h!+;rbW;lXOi_I>wG#1`3z@K2|9)};w1=GB+ywx-%=E7xgvS94Bt!pqTjr%Q z?)_uh&GU*#Uzta&T)}Ukum}F$d?D-P&hsd&K9|SxCO8x&H%n$*N-%k?M>`HTV){sQvqJ%)GMe;FJ1(D86r37i?P70!~ka@>8~ z&Rsstj9{GsAV0_%E|(&a5HUU$8KO!!#?t) zVJKg$fh&UmP+aMd3qLtIIUwiM*Pp;p51J{oAFBjfS|h;B9@B!cF5d zchJox!98#T3OzSiSp-@7()W7&FZfuT+NZ*2#*N&vsvhOo3&le92?vkKBkYa%o0Ys; z{wNX}pz=V+{%vQc4YuBZ(AFQa!XqjovW%AmOGDqlydA>0z9cy&;RqNvLI(jmb6dX- z-a+4Y?e0g}e+0GiUkc$-5DU~_N=r%ofzDB%F7S+f>hov2831?vH~nOFTmN#QDWPQv z#&LR;JbpOtFyP?tKZtzozJbGP{iwb-o#V<&ZUNx0QaR|kWQ{#nV5du0j)*U z1$%8@+L~suI0A8f)}pD6xxP_NLSSohGyJ)@X}{^4+DUNyed8rBkAZuyg2h&~{pV;N z2C|_CWX`}5NfjU|XXo;av$TUZF{mLYwA3#v8^8h_9IGtYt_QL(8JU<+XW}AAebW;| zg#BRgj2*>rfgdL)CpS0zUs|YjFw8JG#9?OuDFp-M04$?cuazrjq4^7NSYl#gAX-89 z^Q<9KOZvCaO#qADwYL7`ok30Ppb7IQMu%z)ILTYdL?zojF#8Ww(i(?tu-GQQHbOcH zV}1RihsnS99-plkO#Xd*XWd|NXsS!G&T-#;<&d`4tG%~k?;F>m9>uGH=8s6z^9DVt z4h)Ju3mT)bfNYjJvh+n0WBmrX-fx}7bJKfCy^kYnpSg+e^l_2&bDJ1foWY5NE@ufw zPU`me?kT=n*gw7Zryd2v0F0ujk;%V8|M&dr^3T6}xev6-9RK`%rtzlHz%2W<+Z&bF z*{^5are6=1q^jO7r_$?VrgQ4>#3a8URaQ1WmQ;Gu2yAu>a_wxT%-W3iW&jhs&|?A6$woQ%*bH&4^^tBrY3+` zcV98!H~7OK0A?&Y!9vCNMT>v|1P~aoDnV!P8(ie~cUAxlZ%6GhEIomicq=lKI@*S6 z<7YQHkhS}|y9aKyB__M1Dl+xOZ*T?(VtojnjO72Yi-oZeB#(IfRpfNeNu8H}g@9p#W}(!Xg|@dYo{^fuP&xD8`gg;+OiTDDN~_lqhfjUOO?QS>WN%&zobK04WzxiIY6X z4p^X`t${s3pqP<9azO>eu~TUDC4`3f@q03{TCTu+-2r&lKq3qD^*w=VR*01-{mGLL z&>9jMTU#?!*Pb0L8JP&Be`KU4o7unk9Ov$8n3|rN#?0dVs=y}Vikj9P#EAtL4yA_5 zYwjZKO3fS@6aI3|Elp?Pr<@aq)r0)(zDHfZ0S)Mt-#H#iZ_JI3Fwnfti#b~Iv+zq4 zb$wqx!tNtz%nCPjThsY!%^m5sU+PE2-{``2&r@pey z-6>agn&IOnWX$)|=B}ebv)v=-XaU4z3%}dvzCP^RPij2s23O|91q8gI6(F*qr+*n4 ziFxFvuUJ}Ly$`qs(F#zdkrVJp5CVH;FWMQR;rMo)B@`Ds07b21rpP>#>8`TNV7z^d zG&}nie7i@mLCT`Vh_YaURnVBk#BUA#{|@_uF7n#q;$oP|Lz``?mdL>viRt*E(cm{u zczG-TYfY}(9A9zXW3*sz3T0G9T*_IrXw=K^-oX2O^Si!!LEP*nGHbfxnbGr3?d?VX zh-=Y^TYj;=qd#w9VdeW`=2&D3xVqrC@^@AP?zOtU9(j$dh-%weyt50g*0=qAn0SxC zQWv0+5Q8!@GGOKh_HG1uF;!_w)==1Sa&qR!owvwVI{!8|Z$0F@z1kvFd5h3*bC}kx z9Jp5a-eHwhRoq-$uW0=(?*h4@zP=u4U7%MOrd3u`a{w)Be0)5yF^tW^FTXywB9dwU zAXaAwC_7Mwz%?6mSlb{m?p_ji#qd_qP5y*}3#K!$s`G9uCv6QRz@NT+X{Do+vVA$) z4M&2X?>g-&vPgR5?@yZTC@J2g>chiQu^?EadF^YSsmju+ku|GnMg6~%zjmpmrWT<; zJ2wYA7`PMcEAL@23_a2>7~VXBECVqPnoYcG*Pc*S12PS`=D9WJh!)oMPyPKf;FIm< z#(kMq;={?o5f-GRsED-R{-DcF)x^*QqX%0`yludP0UMtoUVMG>#r}pE6I0>izkeQs zvMa2jBOuiW8!&YwClf_p6;&umHf#S%I40+2VPOHYkJgZ10eWV7Dw2Nn&F|hxyKJAm z`zzV`+wwQh3~IK9yYy^qZ0vXf25&ckiuuo z;WX(W^Hh06dyGMn;LU5~RRnx~*yRl7sg7>W4W@!`IIgK@QkqIFaweFlsfI0lU9EB^ z*NVK!n_D}be*abH1@VTAo|jDp@4(Y*7v{!GLaxZLv;y04f}Qn`HCr#=#iNcKejEQK zStsr{e=jfNTI;aG2}2K$eSj3PuhCt?3i~Sa+TI?%fFB?iALil0Ek4i5sjAWh{0RK1 zL+`@QMBj%G0OQ^f7Pe^f!>!)g-%qMZs;H=dqR0ZmBSaS1F6a)pTR66OnUD$nJS=2?i4bvh+S~;F= z^?Mh*3E}z2z&MJlc?0~Azo->v5M+QE_79-UufX5@S^_&+X%zc4^B9gU@w?&JUex`K zelS+%XQNT+JD?-&n&D&U_xJT>pS2Usm*QcIb@?u&k918#_4B(mUu8KF&+qrXh>m5A zF1y7)sJGeGfIK!3+e|Oq&axtYeNj~3kM~_3z4?2IUkGoN7g^NhS7C-Ug_Qu;0Gqxl zfh}ZyVd0fF%;%8`!03s;tZQkZ62q0YfGLCv4~!#1Y56QWs5}tYpgG?I;}3E|3te4Q zb8^nXLSrK9yb=qrHoy4|b{3XM#V|-KhXfeUBV#9?(DLHP_F0noK6ub7aRMY(**=Ub zSHR90kdtGu>SU7WkcsT4p99|DA;G){;7pX5g5ztIR6W<*L@tv?y zDZNk|n0os#8idV7XrRVqU~o$h(;~=TKp}Y`=Zt!00(j7Da2~i%J2k3FF|aoo`BkqY z(Bp|WUZ>hS91hPlB`Gk;(-dRfFYrX#NBQUX`U<-?U^I$xRcQ3Q`bMQwEbD9f#l*(P z`Rj^lh_H;?B-3T(NYr;)ISr294|4JOf>B}oxwVK}_Y!F+p=2Bel7%MVY1mj;W6V9k zSw)Rt%sT*1H3l4bJ)522Y~QjW3!i$a6gG0*K<-+!|_*QO-xMq4ewX;^O|dG zqoJSu_yO^eClMiE_v+QFf`*wRnBX9phitu^f>)Rm0JCMfk9QDGQO0G-eE&vW$OvMh z>LVn7?SD?$85uij&a1rYw?bh9UaQQE${U&&Q&Sq3Mc_w`jS*Yb!c!dX?-#j$Xzk^7 z2&A6zi3y-i{@-H@JS7Oht6k2NT1O(S8!j3vUeX`oh`i)X_*vK&CH%S)zrD4U2aZ8o z#lg|cZ4+G>ctSXm5MX1&w#0Xjv_l%yVQpZEqiSep=dcl`FEB#_l7XUpmr(9!l$*us z>T-emTi54o+d(D88sa2?7;&8jK=cFp4OBgFi*$?$x=$^rc(R{Af1;a@yVC%90~-^w z>l9EK>%ph=(tRdjhAlo{@dm@sA)>%*cyG3Ztsc2>xt)4!|a8h2NWU+KE!%WlU}&rhpNav|wfj z0BaqnnCf?mP@6_7WTGM^Dp>M{s!~ojh%Lj=942W#K0bMWM*(6zYruJ$FZaR4)%C!o zpxdzD$lqUh9LB}CCwhR9Exdb7pH%+n!9~&)wsTls$$JUKG(QMw!}#NVGOS&nfIkDtIpk>d zeOw$~#P+9;QE&vH%^rwa`31dFUsBBfJ~vg#n$7{Fe{VCYx?$E3D{LUwOv9kk$mmH> zWlhZ;5dz_M{{Q`Z{0X>BEr&3oU}9!Yx5#wn@>&5P1kAz%Z2mn1)RUNy^|CQtZ*FUw zogwxY29iiUJS%`(QNPlgKquK|#D&MD6CeWYn*-MH(l`gy|FrQH^Y}U~t)!M3^yHf( zic?=@2_Wx@iHMwET%bNsuP2`)<-JVJhzy6K@6<;G^UfcDY)4*$cmV4+n&LwLK48K& znx44dR&sXs9B^Ntu0oZY8yg#J6WGM#f-)uaE_QZnu=xy3BB->3gM;7H*MEt!Vh5m- z;%Q?yAK7%Sk_yaAt8=Ju$yl&FSG?Tgv^(hN3w&jWQZCss(04ylY!fGFQ$+G;CEUPQ zjK?Hm&Glr|T<5N4N^j)h6Xf5r!jbn8@lL+L3;!0Q`bY#@#(JiyO`1+%v%E&Nxa8Lqc~O6PZ_R2+G!_@)0!r0kvC zH@HfpFN~Q~2b<)?n}p>=QyCaT$)4U=XShQd^OS!`iE(V7-7dROVR%OEC8usqcuqx? zdx%2G8+J^2rL?OU^{>EiFiwwhZYBF7iJhTZK26!mq<5oITXYq;MWb)dOkejXUu20ed3EoxrB=3#LHkqh3E58OPkmj|i$=nSx;;E5Mu`Mb8$rk9qg*v8ClA#QnsD4b{vV1V{jxdOT)Fw1(O{CD_X{ zu_<#?W)S+>q>A)D_|q(Nq1+jnVs10W`5A znBhlKqCCgHgv!GFS*IAv;@UMxY>V%}@VDNv&%>8>=yTL}XRHS~#`0xDRx#)a<#Y7sh8HM@m(qSsRY>;4!)W~GYa?6IdsJ5C?(jG*@}`nwR~f5KuikNn z=Cv+g@ptegs#(_6xjJ<>bEcPWWC}#MA6~7G?H94JJ1F&+SceBD|irNE8pN z|L+sq4B=3*-f&;-joq?jyLt1vcuqC8Ichb1d%W0dVPOI4Av00S;rzvz-%eMl;#VxgDgKf^m$jhP!kr;^U{JICVWwmD!YDL#g>1 z?H5AT75wcq3mj158&cRLwrxg{nx7FhqoU99eNR>H8d9Y2Tm~P zcCOt8$*#D;|9>n%t2_q!6F?4Rznf9Eg8);*JQh0RzNE_C!^0K%mRgu*016Fo$Jm3; z$A6_NerMEixGFH-$1y#drVd?UNap^TY-Q&7Xdja@+I?(ZHJp6s7FoAexw$(z^><$U zS4CO+onc?B-MP-0KZUrDVzSCNpVkboHmu#Y7Dg}Qhz})7<$qT1lb5@Jyx7NvIQ=gp z3LpThg^URW+|r|8?x#zrMRou!TtKER@L*9mz{%nXfH^P+03ZkoD`=Kr?F)8uKp<{J zr|%xznH1BswNi%wm3U=E7Q5$ocg}W-dMsKV?jj|xEI91arxcu~%67+ZB7=x|xh+eL zmsICfE?NKcv3&L5t}{kLKyN(aMsV)D$(sr?ms<*q*ur;_IP zsoM@Q-=zOg&@2kyk7(FvPUVu|<{r-R(Qa92d-fq}yE66)8}0NRyVgN8F?;h&i~q`t zzF=n$4^0h?sGpr6WKz>`4D=%irnk7c6P`qiCj)yJh!I^~UC>K@$`t5XSO|f{>zhD; z^z!$QN^foyK^DR*qp2ra+MNTBWHoJPcD^k-=Og3VU|O=&*vzpq38@AI67eS@Z(d;?`t`Ic!FHCls#SJt#j;56|YNVCW+ zF)@_R)-&5oVJrL5dwuG2(?xkbIT71?5~z3vWD8!9aZXsHt+tlWHJ6Yiz7G6czdz;M z#-Al4xK-tOMIfY9@_)%QA$DSN(r;&y1GwDq>E0fj{W*Lh9SoQdMCF>YGX8+fgfZPao+_7vGiy;VRxL0T#WHcb&DhuUdkpJ_kH$sm;GUMvf~U&Cd=V zDKp6Hw`gTgrmD_LL6t}7xEm~TA!?C1tB_OQ8C86mTegS%uPQ4U0yG3xt|KBM9-eLi z58ktbY3;uMbdFq_&A5u^VpR5m3Rv1d%lmOXKH zx58ezc>#{9l}1E1gw8|+7xw`dgL*UTfNJJn)q*xMPQ7EZu;IX|`2!vfkeUp3vtT#+ z&{jJvL9VGEU~}urb0joOYl222{Ise7pAT08D3fE?C-n(cOLIWw1jiXxA%3pW|7EAN zS%t#W{N1Av6_wb!2!{m4nk8N}7MWK*w&Fw{X6aAec5;3hIob{!E4th#=B;w(X)%BD z73*f@_=EcDZXDK#Y)({kKfWHA@@{y=!bX$xL9U-?F4EMhAdO28$9O#H+(=i^Ce=OU z>Ui0q;eg5H%9*mO-|wJThuIkIwXJ9><*#3ZSv(*nYU8Ox{Iux9#Q-a=R&oFvucV}J z#!(EFbFV{)z(XKbTA{Fi0Bl&42P)i!1C&Oj-p6*+KhRmf15O%HTtUWw$nlTO$=AsB zw2o*tE(*R-uI+E~UM#UI?-m?fuWx^RL1%72Wj;;067OZh!aO1;!TzRYnPi0NV3#+$ zKP!}rLy4VkUf*PHkXly)*{<)Bi&fg}$5}93r}MKDFI`1d^I9yImM;&P38Cnl@Mb*e zJY~VXnb!J9i!F6UldZ;1Hfze;2rnH#wP3D_0~iYYK-1UYG-ez9z$1g(WOa9UcWo`= znG0-5z{sJzvT{w6tcO1#Hk5B2!Y0hljEp`5Z8QYHB0$ujSKccBF>34J@O5ub0^nqb z_kLZW=&+L72}Leon~Ag{b~mhjiyFeyQs{PW;HKY<7T$X3r=OGArBe3ju5o`+d%%%N z1c%Dh*INu6GFroNh-$sZ%1NiK{E3;n@kCQI7DAPoS+j<`f^WGl&l!efd1{RD)JqRJ zt4df{_>EtPG#vhl9_>7y!*=?^i$$3+vd4JXx zN#TdYmUvyA1r@p@@t6V2_7`v0Qdr~@aNATP@0WGlol091fP%2luDp(%ijkVr_S*dE5n;Wod>cW zoF-UxzIX4Qs;<rh9>KdJd2z+ZO#yh$cMxn#Bxa#*}5Eg%! zm|$!Ij80f*{?~}BQwKZ42xU#}`rB||W!XJJHC|Lca!9-0h{+wq$y4i`Ux~>2X$oxB~SbSSqAtoh_P@m1h=wT0?cnB5imL88q z+bL|+{R_e+L*Uv0v$w6iy}g~CI6n9P`;IY502N+F&Ud!j{>88daFPmO8N>txgMCIV@N{IhT z2KY^%syX$Spi+dI;jbg%3JC>>Kt4$zhE3Pl#6*8svF<^}uqNR;L!`8N8w9Lc ze;FAW6&2i8{y#{AXV0DiVBQC{yz@88`1-J~PVxVH7 z5ey63&9S8%U|2iEVKJ+}r(t8m=>7y}2^dQf5;v5nfrkiCn`Vy47~vR^Ih8076}9(f z@lSG=_u$d)!$0E{UWY24GNt}Kadp5n$nL|Kif9ICi7Mt)e=@VP+d+0)8Q^%tx@KFwb#SYb3&0~QyIhvAszkH9r- znU)!?#TrcD0VY25fK$zD-WRsa4M21WfVc`gtA!SC=5-@M51hpChSduaGBV6!cc2DoY6f_DQ3=@gLSMsY z-h%dIoLU`pqwJ|g?UcYkTmg%0WOnufHf-K6f#S>mqv|h!vTV0DV3@8Oq`MpGl$7pn z=|)09N<;*t8>G8IKm-K@BovVb5pEg*k&==Y6b$^<{k-r0zuz~rXZD_FoM$7~d9HJ< zV;zwVk5yNd?MRG~7*I$7ka`6t)z7csSxin+uLNT&F13mne&sf79}RSM3GwhOUVGaD z|6!AwSbBjp7}0SJA3wrogJUwkzPlTso$qIj(u*$`iG3f?{&z{n5dT3|m-8XTOuM~y~=`#}9OfCDZ#Pg~)Ta&xQbGw61Hq6CAOz;nuwnk?Z6It6QDRlsi&ZxfUxChBPAQ>_07>dOQp7LS|7>a!zEjhMF3X z9}F_;%gfP+jK2ppcl74`BxZQye808%SPMQ6XI*$v)_0GY z7^OKMoo?b&(CEeX0p&9(>EvaQE;H@=&Tw5@8`TL5`VTeIvH61k9?t*Y!PUl~hU)~S z4|kd1Rx~z(YHS`u0Od~yyKmI;?oC(lxu@S6P`>zW+S6>L*xCD5Ssm2jnN?^}A}f}i;8;2pX);=^A+fw=DY9(rlirV^lg zD2Bwu_DxRM`ea4KwM*bclky`c9GafIygUGizzR`NSl9=I^LUuJlw9+Xm%so6+!8k* z@Z#HW4}JCkqxoJO3cJ1ktGxh=m$L$70p;iX>@2z$Ii<-WElo>+dD7I@hEisMD_xne z&l=2BS3ooMaipfs1$trer{2OmNWeGerH`m^QFpM9^5 z6-p9s4}z4etPe^IFa~Qk-@HMsVO!XZ1;OI{ApU!QUmvto6`&H0&4XGRegK#t$q(3_ zuP%eQ26LQmWX9`u^lq21d`I3qT$Zu!OdL*{@Xp~4)L>WNdLhEtV&u5&l#w9lS>+<|KPwIf~R?`qtHdt z_}$4bnzNCDxB}|Q{llscZ7yJ+Ofm~4!ot#0X@nFlkoej)CaCy;$b!7u#@u}FFe6Zl zI`(-*;^u2A=#R>)(mul31pus48kW-F_zzid;Bo*qa=>=~ZG)KmY1!l)dcwhjf=SvB%MBruFGaFQ z*Udme5>D{t-D3p?hBgE{%CO1oH$da0f580k`18`e=4_B};D-N__(2){! z+G*Jk-9m`t&SMNG0h%$UR)Y467M?-J2*k8X7yR)hIELVF(@5&X`R*m<94#Xw(LLqI z?0kIB>+1G^zt_I(K;6Cs=4j{Gpvr+kMQcobdDTz_dsY7OwECuc)YS7=>y!fGT{@ygg|x^WLpK15O%h z<`FP7lERvyxgS$KglLg!k%Wc{zBTkI?&d;5FX2m<`;wEBr{fI4ouCIsFoT@De0Oav zUOAI|ND#cN;3@#dJqn;JX^>2L{O{z+W0pQ(Y8G*5YW?)1f2v)|O#Z;p^ln(#6)d#C z>wl)kfZ0@DA4h!IF!tf)1yFXO2!{)mLaW5h!9nwTdW0tB#Q~Ub}+;?-7l%bIoGt&TO{1JIIF6wapp1NkF&0uIJ9R(NL$&{m`i|w@z2w*p@o1jiiz3U z*dQxo(_vB41e!-JzL{^aF7OeEb7`vjSy}Xu2O#lgCwHfqlB0@vTjC)d`b(T)bZUw$ zk-J^EL6lG<>RR8+beyi&&KXa0M`JwpS9*J$pqXu)0oo9h=87Xph#ZE!M42~iArgZd zTU!TU&0E*m*$Le+n@A@XiiDI2(&0zJ!EAqF;R-X?u}}+jMi&q-TQrk$0HcMsaUxFy zvo5K!$L#^Mb)GXk;%89YSl$?(!l_bDBNZqB6Hs7$;K%jZi|>G-5X{oT?+Gl3=woI@ zZjT2mm4!~$pC$FLzK>#LWCUXXUyOFKF&!NJMc1F46lr0XMfu3IW zc8BkVI_vF3dpA*x2v&5D-0@1Z7&@G{Ej0C1{ZW{^^|*ED51&aij6M^Y(N*1^$soy7 zem3A$hy3M7^2BG5_b6Tk`c6-9<%#vEa25v3I#>|?;R~a_3fi6Gj6VP&8E8^@`0qx) zVx+>PrUywP5GBIzg#%LzD1WOIF)r9xScHr+6oPM@1_*ZiVUyH?7k2@27N{^R_Q#*Z ztYD)J)`0M9h4;g;zt@7}E{3w+P$ZkuUzSkF)Hs^1dGhk5(0&)A8^Fwq}1nId$yQ!!d14wCJs6*@Q%^MUT&5v4kZOt0*3#6KfRqj{{Af^L`eeP5AU zecVL^k8sUVBU<}s>*y@Lhr4X5vPwjdlA{h8LZ3I5K=7T?Ee0_sq+8i~5oIbHgQkrK zMy;DG-^>I4k-*|}e{g6i5BHpT>F=qvQ1Nmmz))PUxZ;e+?u#RkwHkZ$4ME8>VF$lCg>9p58G+=Uo$ZxY~50@?wm6oAMl zXF$!BGJ;pNW^>aeege$f@$>Ho1gOSd#IuV0P;LW|B*InY#9`W}7J6egzV|T_i(h>w z<6uL$^SMh>UQ{?WaM^J3{J&XB*s}P!o|2K{dw4s=ee8{mBL|DYCm+0LCh@_|O1TU4 zvrPY{3}}JK1cbO9VkG-p&2T364&e|`g+QIWCMfst)Mb-h?(i@4VIboGVJ!5<1i)e8 zq8{{ReK$<2F=XFTotsPjiyq_<@KK|3Sw-}vRrAu)SS~LIbm3E~7eaT!+z?JxZt%2; z-HFCoSqy!h&l_ge!PFS|bQ3WiECw%d#Iv|gFhsF0HA~YhcHHmRBTTkV&o(7^A{%4s zEo*i)IpWn#*UU3qcp@F92}Hh$8R|X9G#>wzS}|$nLFeU>sWG6O0TjQ$%TD%{c_rvUN$ zAHkMy0Js5o28>X!B_p9q!-glPrxlY7-f+OAq%==}YXgk&avkv0Lo&PT12n-Ii@rt@ zL_Gp>;qpG{NTZcNYe1~-Uyaq zDIm1RA=gyhFl$KKR^z3L8>v$e8)N01r7~{`WSPhxtN{^19E*-3<^KMH$amYWL`Ruu z;5mdau32q-`*vsFf~HbQF!>vb{Sh48+0?g-HjD`Hysi~}Ox5wEdnuTcY!Mc-!kXGD zMZMNIaVFP!r_`I}ieHl;21pAFIBwSw70aucX{%Rn@vJ|%-g~2?als2)v-bYnt9CNe z&f}yPoamt+Yy7){!mTyAJ}K8kyZo`*R*$sJGr|uZ0A%dC75H(wkI+W|0-|UJo}oGu zVcLhf(9gULxfBh-6@?+e#>No&$?ml`qb~U=;uD2;bqtqc(TNfQA0Gm1Ft5B9Tkx5G zKnn{N9!!aeDt+(LSU7fZGi{ zz{U*#MB)B6*kvEvh?A^DBI06WrvjGUu@`tm0R@ZI24)azDS!yd00MnZ%IRhGp+lya z!BNw2rlh9sONWWL@i&ME)97c2x7C4szvv5mxgAXabjnE6rBzYBlK@$(n^a_^vsMgf zHN&j4`NY;|RH>+;p}}!(;hLUUU`ikw*I{qK6LI;Hoj)5U4)roa)EMLHMNcpO0QVOL zF;So@Mw-tU|09u+*J!U>Cy$<>(7&x(yM+@*k?7shLrpP`Uaq>pxUE1i+g-DI-TIfi zPIpfJHrDw=@Kgw~Iw^+X*KOa2rjj)ou|bxTp6c65oyn@m?9 zVtU)(mecZ~U3gDUNQhWa_f_WmkP|)Z?U*GlPEI9#qd~Y1$~S)Qe^QZrTUpn{NRJ}Q zf_LYPFfX|>1vB%L#S1Vu0C&46$h-sTNMzVy4=e2WkEu4P?d300mBj!AH7JrI%}LQA z7F9(s{Z;reE>~N}{^*aGGM&D_s@gzi6_a)0sj8ZccWtx_}cFP5Zfp$XJKrN!Gg5lp<-q> zXhdh3UKhvWC&bH4jy2>Dp;vWY1E?FdC$)8jbSxm;<^enkFOZ+fg>4^qf+o!LJK4pp zkLfP)9>}UxCd(x&6WRl#9t0(6+xL0QVaob$UB)B*VXhb(8=IDv7OIf(b%-xNI48?1mXI&Apj{hsH286_9bmmk01 z;mH5ISy|kpv{>)E-P|agI-qaDM#bl+=HmJ?#5?nJzwYkLrxvNMXU5-*U5Xo%`0v(@ zFyA{Qam{`5xxj7SvfZS<%HXcm9b>wOa{<7#H^~=dxp)5$o@P^H#uC4(w?pn{vTuPS z4J#_`OO3~muf8)!Zo<_216trVTM(g_%hm!$Ci}nAJ34W9n3-&#sApR%&iD7L+S`8# z4-W_T6U!W3d5+Z|5Ws%E|B2iXqn6YC9{>%YEmtkWYl_6Fic3ddfMGsj;Sct&vRHWf zjf=Xvx{98uHp6}~R!Z8QCNTCRlm>cOkTWoh4FD{Fne3wh=rX448BuP8hpop){fw@p zn6A;SW3IIL*SbYgzAz<*uwZ?CS+onYrd+VQvvVb1Up!uM@wG4z1<+M=cdrEBEpIO< zphMn==hdAIeB6G%pE#L74WO>B65`@gGGxX2s!~M5)90J#X~)Mtyp&W_>eOk@AMo0C z8&my!IL^2X3-nW*etjg*Y8On5$&R;q6O?|aWsv*V7<|(w3}{r49kO=(yqwR?T2m5q zrvt+6WYc3>L&KHmF>o}N-nAq#FICBPN$XXPS5G6G8*QwA-LXx)D|_3jIB<5r`)!kA zMNcKgn&$t0EUhlj2K#-l;=v%>GjaJPBmVl$71G|xdtOd&*$rPiI$C5L)9NLpUhR*>wjv9ph4v02kr%Z0>)!HOn$t+I9 z=pb(ShQRRX(<_ajh_evLrIW`)qeI0}ymfeqdZoqo16bs?PjkwX?qhCQl!c zcNc%E=ti1sCq_}BAMj=_gUBkoB}Pr#rnsaTQXm1ozx?%U{dooDyNeJI^Tv6B<8mOF zu1x3Fe~pQO`4(P|zd)w0QJ7-ipMYhO6VX*NI(FoZD{YJ0JI9i{(JKzmHJz-NTMh19Nv=!$H;*@1JzR< z{L7w6S}m`NT#Y-n}sU&CnHvu*cuMl0xbS zyu&O-=k)`oo_oy;zIf$x$Iar|$8UiZ2X5YP4a;XwjL|jDjZvR29UfHByaAW|!=+wo z#(jD!@79AQsFk;hVd2MnC=*e}w zP_T7kVT^p(GNnK6**b8^@(?^(<0m6Fnhq6r$*8!hawYqlykJ{4kc9aF4^im`%9eLw ze*rxJaJGr8#2?%pY}{de)yPfi0aJ>;>uX+``Cn69fr`A_8Q)$G51s=dV3nIi+OZdl z*E?iQIdg04HiiypVKu49H28wCLZh$127Q2%n3z~J{pL>c>q_F?-D{?v09irjEbX(Z zXl6!fw)FOqH;Qw4@%KFJztQ^ZD&5Q2 z=uN@CuUN}yY4ienBx5U4kDsf1BtmBDudEv1yjoKu8R>GN{S^FiiNH*+n~IK3W`N7_ z!5b+P*+#Wg=BYFj>zuoUbB{&sJ@{=&rWIeKd{-^kM}1v=HN z*A@jUf>hr3|1`IK=Ia}zzI#ss@mj`_bGn`ZU(!lJy^+U?e4tl53t|Mh!6g%zBHX_O zczM}eBnF-z_V@PxK$(DmffbCiW(iC1Ail>%PMw(;$d-Je2Q_rRnSV zI{#dtuGO)yXcPMI;lsu}2V_~mgfE_dopieY0S012x>5Kp-eu(Tg1*&9Qrob4yYK|N zqL2^oPG?bxYjE0vGGEwQSPbLJX*}9gy9Ue28lexjcVTLI4&Ej4y%aMoAnt_s5AC|S zD5u2eK&H_M2=M@EBHxw#ipgtqU04`7KmP!(^qCO5iAp56Kv=i?l*9NFA@0TM7QHqb z0?T1S8wE(5gstWWe5YTw)2($zLY^8|rh0eeJNIDHg9|MUhXIyXj-3F1fKACSDe0wi zx?qN@IV2KI0&@eXtQoo{7H99(o@Lz*{B$jnDTU~==f3=7Z*m5lN1}CU*>by6Pv{#Y z?T6GICz;FN`R6hfUG_90;bk&2i!on}cRX=l{}J!x$jKIj5v2k{D9{&SEe6|!FYP*2 zZzZtFquE_p;j*URpgc&kB#M)LV7K61@yXP!qgE;ZiI%f+VN!SmVb_1efm#y1Vqd`44$qc7}l`@~*jq$4B4AZ3;bh*umJ zTwk~6DSOoD^IYH;XW-YGKeB}+qo?yuq+Gtw_Vu?moz#-fD1No-)r(MtYJLZa;(NrP z?&8z2Vxi#wJYlfb#;E#VAi}z#8w&!Wo&fAz1EXSD_+_`_ym#2)qdPN$ehnVaB`@qJ zV#iVR@R|v24gCeI*(N>ZE3ke$fIrv;;E22->4gqg>N76gV(4*Tly%62tpMbjWfEf% zLlicHLQHgfTEVW!T%Ic+^E*+yfk!JJH7g!y%By5;;^0j;157>WVVO@ITca2h%Q9^B zWjaw)^ZWO2FkM)sf!%)2OC)Z5wcw09Sh>%nM%;mwd!D9dsgxsl2S*ct6b&uW zC_Kx;0oHvalC5*q!y*`~x*Q2+VD6(uPE8%B1c4y}2+iOP z``|;Q_WXq{QH6y?0+-)7Msd2*Z=~MCf2q_Ov*rHM!5hYpbu%je2A#7#fBe~ z4&Ps$%JKbTwfjZV2eq?}#|x%zUDFSfdE9KF8(93%Td|^4xX_yQW3=&vhW&p!u7rVG zi@sxXnKOY-f4dtOWpzg0@;4(T!IKCamr=%fK3Aa6M|r=Qo0IK&kg}gFfd@s6ULg*G z-1d$eGoR6VCm#II4F?}3u)q99uPDt9mvejQ4)_lD zL>;VhztP1=WVZvq_Ej*NQ2;PjnjS=GPS95_V>{fCkkGnv#~U{32bQ$R0IZGOJ{CYn zHmHc(cSBq}4W}W#x;+$FpxfRh#TxgI$=`6YvX-~BWQ72~%Sff|8iI(3(_n^uy|>W@ z_rB{wm51h&tC=0py7t8y%luRB2SG$HKMM}&BiJApq=oCh-v+BW!*od5dGVP@7nMK`j$#HMb9=nv&DT)?}QzyJ-kzz-ubN*)BOovvS(puA{3vWStNxJ_(w{W_WDNH z_rfnE^yC&Wa*;k6dB%ek*63quIwRofC{0Nb>hj64X6zA4@3#qApp?yCN8T-SQ!67* zh{;>a0c`D*h7g^>zr(5;`+mK2&C;r zMxfH~3+n%jR)p}Y&2~>i-&{SAxruwu)I!e>wZgXD2b~yFUvOXSo;nCE3<#7_HR=|i zjwfK-IA|lw3twWeXh~v;=y3=G@0Q1x#9U`|-Tx6;83CkIWFVxf!b%iMyG=*%Iqw)^ z4M-y=rQm{g{&9SKE9&mcVh})yCR@3q95FqWu%A)Svs#ilaQa9|O5W1%!t6<)t;Igs zgT}|*o`hzvsvp*6roFRTZGa3I7#Qp&^@=8!$sH$YoiMw1c6ZCOvqzP!gJ~m9PmOMV zZ`mk`c9+j22p~pLK3%T?-1ww+i96%8|_4FDJqGqB;b zOlD48xZDyzKx1Cjm3VGbcOqc=P0PjW&|oW_k=39pzOSIc69PhcT*C2uG z!X8WIF(?#~9C*Go*t;k&DCcTremm|Ud4mn{ASZjj&f$&V=3)B9z~gEc76Gq8lQ@momT@KKd?1($mpR_ zA0HjwKhx*m^9sbhu9CTcqB4J02{Sg5Y&RJBfh#;7-_zlXKRWdq0u8X}o3kZ4${)aP> z;aFy%W{gJ{K}aQALdZ6I7Z%zi3pX{o@nMCOXg>vHt<%lo_6aRTyJTGe`M|nScqLK- zRV4uq4d5z;kygAavR`ib15bavs=@nKL$kBo2me4xhL;BP4K2kO1F)%dPJ`u=f6Ur9 zz*1O~%bSn{9Na1n9sZ^J(n9dG1l&r;w=tQb2%D5rHt&xtfvFJCh&7u~#rzj_^Z`5w*VopR8evS=_;UmJGFQgF(>JSaNRdwtwG?$!M|s}D4rn<3 z@mgxC-eWw=X$wF>`uBju!hR#x9pv0L3UQi076*dCtp_%a;nr~*#zl$s@zEom@MmTU zg!Aw^`ZAxmV?2i`C$szwdO==ZJ5We`X0u1ktrphK2yN zmlqId06E#)1}^^Ol8w8~cRz_XM%u;3fExT>%yy;#k;WCqDxe#sk54m8cW(ZRz-3y! zh1L_@aM4s2r3xzPUW?PDqob*iB`m|Q^9?}30w4n;p2glFrSwKE!43jAqy|!m^D=Il zlzZ@oXvE*D&}o*1X8<5QLp;%Y*K$bu^ONrUV28Twg zb{|$dbOcz|WQYyxstm91nJ?+^oVi;Rw!sXU_BOVE!UNIJ4aj|2=(8k-Z*L$b_O!e} zSV})^dYUjP@eR7b8R&J?vCb#rK1Q6a%3L_xzoYon*i zhicXp?u2;iq*mnJf%|{W9knn#M9b%rbyhhR4;PwLlAbQ{sSaCYkQCg`PVB=&egzd* z>p_exiz&(NIOdTYtEe=T(Kd*-?JEKjdm6LYrn3Nlh(1#u9p5HKOp6=hC$n$M!|DDS z%qLfRw_Gieq~H+@k&k~(m(mrNGMy}axoU&Gf6s03+u+yjD)i>KPp@imaqsc(La^(T zqlYjJI=WH&@LW1zh#{&cd4#QC5wfq28<*6ohVc1{igz?UH3OT*-IJT{>3r>RbCbt6 zZG3@GD2}zOw?xWP_u@s)>;OmG(w>b@l;o8{VIC8`%TRB4Lwd}bVI9}=7<9$_W6n@G zerPT-5}|I;b6u>!P7(;c4r7qe1{@wsi4=sHsi}L1NGwc=#d)~6?8zg;!#(JV`t{{6 zQOzY7)O!Tfnv}4Mhy%z2?WD#MN#P#M8c46nQgIkFA?=!)_wx5||Ce)*-(7Cemsv03 z{k`MqIjnu-ytggbzIS{x85_0R_yp;+sh;3!4~r7)2ycS*7qEjsy1ZVGsLF(1UQ3cH zTv>(Q3*!X$THv>Aa<6?ddhQKXmp!CllNfo3dir$*=MMF;nLzqEvJY;~9m0%E zq#ywvgX7VF-Io#wM0LJ?x~!9<<=LHr8lUIS=obC)oxKY}li9N05}7x@XkFgXEK<7l ztb4_afwTphxoTwwwM}&%wGcHdwHE1bg48}G$0cd8hU8RNz=*iJ_%00UjRK?z_uoEc z5|Ki7d{D*5NVwsuW1;vPmRYa|Q4?{GS!;6MVf7)>BhOX#L0ST%3JZ%4T*T%>WQ3xB zx@)#M;Fa&ftp`TuV$=0o%Jxpq@s!Vd-@(fahy##0^t7~Cw~q3HRfqdmn#)Pb1Hljw}<{2XyP<*5yI((Q!hOj{%y6@@j%;r)P+Lwb;sQZ9&rr ze&V^ugAf-=bl9WdJ8r#p=^{JN<$ygL^}VoJxc9uKBzP27Y{DF&)Xm4hwV??_Vx?mK zMB}rHewTL7%q;tAlU;fbPb|_17{-e_=mFLR_%)33AyTUNT$sKNbYt-o?wK`zL@_ds zMf-_f=69R)sQD0ggSerZLKB&XQy--Td%WFXc=UzGojv&pzo@CI8ZvhM?W3iwEnw)R zU}6%iVJt5M_d0&vBp;!}xaYw7EhBUm-N2cxkqXHUo+L#oeK>ypvb(~6(jT9zyaOUf zb!9+z)r)!4YCVNeBgh%Ntl_fmR!PCXW6Pmzzc>aPz|q!+KxotMcLxM#o=`bqfoi}o zn;>!u$bn}kCtyKTC6IiFkVXr<$Aw|>4`e4oI`Me=XLR>nggN49Z=nC9UGDS@jf6w- z*>1-^p!1ejFYZR5N^ts!(oV)ZFQ)I>7&y#YcXJykJO!+rXc9%V`R1L1o6ff^N38BM zG!f4i7rA8JtYr-Sa4VIH!stQL-HyqbnVo$e72ZQla@izl6T|033ydETNy|8V33<)J z3M8rG0k`ceRON^!ngZzogwl;!=mx&`g+}TaOSSg957_1vkgwK%3sq8>AZ7X{_Hb8b zcsTfWG^z)w2kGu;uFiLS?^VriB5}{iT~Rb;qJa^<9vBZBj-VxpR=8U-Mma!g$ilN-?6i zbXMwQ!f?Zwzy`HkiK*}#4B)t|@@B4&x;VPj_GG2zAKZlKC z1##XD*U>BpB6*nG@tj6=+%)65?JiffvYTUaqzS5CNe1T(4nsx0e#WgHTYdStN=BIL z5cj+1gy1o`BAFc*=SEazBn=i+tIL}M@@FO+>=>tCnq3i>6A)yrpz!4aO;6U|V%)UZ z*^w8cbZWj#$1*4x8_<8^f$u^J)6l@;nEHD~US$o$H-S4_1^^>QF<`x}{1Rx3Ubl<9 zW4e^<#W~HQi~( zYV*@hWH`(Lnb<$v!Qk9LnBV_UJOXExMa(O4%P>S=|Jv>|mJtW9U|(>zsT8~n-*g$r zkjQhDpst>a=c3x3CoM0 zC$@s7(Z_i-sJu2%2epLF{QWc$_9IT=k0YeRh)M%fRqL2TTs*7i$;GRWUmy1Cgfpc# zg!!c*slSZ~zQmeRO<2q#CzZu&0n*Z^rXN5U%!)KuEmVD%8d$w9qgIBJX(3B98}WXTDn6gZVNmhFvs?i$Z&}f!!k31iQ&(F%vL=4Jp@aB!dAF07 zvFD97F%qRe`@nv2d?x>1u1T=*G8R{#QqJBV&d8`dbST-$9|7juhs3!0d|Ug}}_n5k+kC%5ZU3ixkHIG0*DDO9-IF&wvarQPKB*AqR$#aD9-@ zdgP7p4(@}ag{HnqkG6J^Iml+?;w+}-TT^I}D%R1LfdROvf6BYHXcms5akVV0r4G%w7&b@UV9CcP#)Bfz*;ot)jM_m$`vw{+Yp{nIl&njbpG2Oc<2biokh-uW_Aqz^?CT2FhLrm5FMG@h2$dv020pI-e>n2ney%q_#3bpQ~!k6ExW?D`RZ(u^b~+h~E!jjj3K?O<{RtB$FJ1e=_bTyo37JDV(){sXzMn z_h*6}J}I^w{1@#v)LLSFLr5^4Wf z7vLnjXgaYOTa3~8nTQ+lP$<@3Cv9c_sPq|#3=p1~PT!*QCbzIqho9FhP0YGOGdxkE zwpiDu;!WlKSN+eUe{S=8Gx!tJF$JDHTDW3f7 zY_$c#I5K50K6N+GnAS-cjQI@ZQ`gjB>!|zpi^>tzNb9j{v!JxiT!b1*#0l!B%@l{O zehzj6Q^?cGt#f>w7e8UK3)G|*Y$f?XF5I(C?LzWri}F)`-HlU z`G`Q^Agmsdg^jN&f5sS9L+b}+qt9d4d^r`fQf#)Lc{txH{H=RTWd(;gffF%$iEUcpU{Hf>4C~hKPd4+|G3tECn zgWZxA_JV1G7VL{JQ&YWC>Iu!=R&y`>84tO(IdyW5h58V6qf5UwRUY_%6(FWYZHzdz zvv`aus+Qu5R>8xu7x0RGm=S{@WCl`=T(1xd>9Ye3H>%m?*s(afIY(O^9OIK~vl|WP z_(r>p`!lhg+pq?6Y|?`T_T%@S8Kh55q8o)tUyeDFE|b|Qn??6S1kkViPjiKj=*XKh z6edrB3Ou+FT_!0nU!rMdP+d!a=N}3Pxv6%dSe+g=zDYuk(J8?HYAS3lSH@pne+et3 z^QFdNJuSWY=th;Hsi~^)n?bIS8^t`_7u=E9lJP%`{Oa|FLnbTK`u{8|ySje#ga9tJ zN<}X(fk~mW(RbB+edtZSy~^u>i)^Bz8MR?RrvX|PmUF_7XV?!Tw{2L-t+WF<*rT}y zZ-mf%L350nU$4rjp&aC{5Suu3%WR>Mc>X&&`*+> zYPjKs^U?i*RBjmhe3bKUe_STzmdW3FGy$w^pECp_*V0!1m>X$VJ0DIbRN2< z!AHO%8Z7t%o@z|YsV>)DY^F#Z%wEuehNB$ugsUe@Y59DDrZC&UJtO?;VvHr6cojbl zmG}#!&JLJXhFMr-=gTvKfG|yn!NCHt zQXg2nm$T(2OV>9oIFD&-2E32Ch6%r|CHf^x2}WqUsLhwDmx-94|NQwAf)T6pNaK`< zPD=q|!p!~AElfF-mwf+BLk1CAWT$fPsgydV=$cqZx}u$0L@pQ&_O>exu|5adtsi?F zL~jT4uup;U?Ai0~d+&It%qvtAP=_*rp4B~CvV0gkiAZFWz52(Xw+KuME5~8G8995y zGLP`f?e*lA_6FgH%EP;VtNYJpIz z5)ht{c%6~xB6MTXEEP?7#uAFqeI9 zs=Wp?d7HK=;3kVSW40*kGJy{|1rZf#3j{u$3Z=`TONU1_Y<^a+&1rTZ!MKOvF*C>y zOTDbX=SWQ8L$Hnqk9E(&}uVubcJVdbl^xu?=|t;sSXu8J~vgXX2F4whHJDuX1C{$QKoJLx+o2Ve z_uaewjSJIfpRHxz|En|DDR7D+B+<*Jv^ep7qYZjMKKh^v;sbbX4) zU|U)8Z@0By1+6oJN$`UxDk$%DgDj1XorcRXr8|?cE7qls zDQBoeipi^-GO>XMx#N9NwXCMLLrM`L8j|F@sYUvk_At|$5FfuE zJA$s8Hv5Do;+UrO>-_;>y7XPB&NqKe7g@%>+q7Ax6vaYuR(^oDYeYsL92~4XWLS0A z6oJSjzL73%FN)Qa!msER3*U2Ozv56Q2NfCMpRk_S(2=9(#G1YVhP9pTC-Cf1@a zylgaav9Ozyyq$HwB$6266`4UbR8D8v?))gdRfnggql1>1)DV(ksQ)cCD3ZL#Y;w$f zC9DU@QIYhJ2PNB~A$cvz9zEDEoDQM^T83=wL(eHKp{3KQnf{`gH2rY*6v^o|zoqYe zwsU&w_fUsXWepR&!<)xeoN&nN1|od3AzF~2;tsrun4Fhm2f%lJpFcSlV1&-3{3YW3 zu7<;Pd4mU)Nm@s--C(w+b`BsjP~2&)ciep>2R?PI!`qR>Sj!)ZMfM~mWgsObsdFUr zkx}xZ$o?uB$iN|n3!M?`);oxNd2LALX?p9{x1_ZBsomr={)V+$sb3~0{9L%6Vt#wC z`!Xb{k<9TqeMhzRmFIh}O#mD;`kyk@nL_f4bb+LX^c|_REP+k2qvL8M+LMdSMlZni zql-^_uScs5Q!p^_Z)59_qG8i2550VIO5jN8!1?kFoEB@UtI60f+{0;_O4C2$Ur(@7 zGP+>&&N$9+FIuG?gS6b83bmy8F?<`4Pazni?i=a?u*I^m^VyEaY&@sJUD=S$(~odH8uh=WD3%DoLG&oer;nz<+E1!2}*|j z9GI`y`S=hdt74N&W&Gf?a*RM6kyi`Iv!y@k?PkwS0 zNNe{ltT>421-F5wsW-d3o|O^kJ-Jq`f%Gi#|81F99|Uc+k1E@#El(Fk?Jrd6P?DEbX4GJvm1)=|b+;Gve#v zU87e2C6V$^m5Pz=2B7N6*)Q`&e z*8WkPWa}YK0ulXr;v_M9%ykad#uc$`dv;jA4NX$2Q?j$SoZWk?Od)t!8t*ml|2y|OfNKZW+bk;#D2Xb40Pkew&)SuX23fL8Z zb+@@VIEG8Wv5!?dmzJ+g%bH(1_E=~itnslm`oe+zkNdJr8A(I74C3@DI=bX>Pa?q1y5r78@hosT%EBRJOOa+H-$n{ z97xz3O_U1m!m)Fxzw&Zo!K*w!Si1G&Xx6}wG&565Na#skX*Dbi%kz2SE1qE#p!F^o z8b%5;eZa-W9_a61_$c)4>2nL3d;J(*-N6sz8llg85cz+P`|_Q2LIlu9iB==2K`*q4 zXKH~z79l0Lr24Lrd=9e=0|VpD+qdZIr`#fnz+<_8ysE@QR)S%r=jNu#@5W?Qp*~7N zunZA@nz7B7y!bu37jz9Q=F$w?kdP)?Y<&soJzuey-)8J!D)r@op24t$v2=8K8XElT zM_fy;JYO)fd8%)ErafFkaiy5#f`Cs6OtTHUPhR!F0V^v*E6Sx6bRKXW5+2hmF;yz0 zh06hd8ruJ18@VqPdoZF7>-{+ABnqa>&dOJk*3eS;r(dt50%cnWva7pxxi2*FB}x?@ zGku(lkbCA}Ys(mPt#2v>m@A9oY*mC?8mg+gg*Wtm%!^uew|<+`lzqsXf`Tr@VZHi4 z4G>Sqd_OH!Y}*B|s3_TwCi!#BSkP3Wg@^-ntcMndqB-+p(buu%z~VY~dJND-`oIED z7|bfTdNA}cTti6aF#BDOY`9-LsAU2a1O(61XgeKk?IO$To&qc!{gSY>1Af`?Zf&G< zbgt@Bh&=RsGi%q%idFOG2@Cp)%lCHvRpsuD?-nd)wQ?@9^B!WPBX}$Bg zxfta&rAYgc)&CoG>5+7llml+mxgy{rHxKIxusQ(L1MN5T72yx4C0D`F5F^nMb}>U34QX9TFJEHR zz?Fzl0j7v32spt;-pt&*Q)-|dKP%p{{}wePzYp+W;o})k-*u33H1-3XdvsU2~7|g=@TGuWxdl31|4Y8 z<*V?Gm5n$50WmFeo3ijY!kYi0c%3IJYjXtmbn`nT;!%^4t?%wiKRn%poIptQI_>Zz zA8lP)`IN^Rr0=~dmhf+g3*e2G0@y9&6v3H<3U+CJS_Mg=CUMN7WvHlE5^{2ttLDnZ z&%?bm@9OG2!Fmz?vhcIHfzhlxGE6&bIrDT%N9g?Ub72$kQ;iq}Ey2 zy5DO+%M7VUQY75;=D#m4;I29Y`xrRma6^Ir0rDxp7jWCb&tTOiNe=z(-k#?wg`SHY zWi3`9-go%8*tfkwlpbP8mN+povWDq!=WPXjLoI@!M{kq(PG2@E*!b4~lq7K22SqW| ziRryW}_1_VTi*4gLA$AKwY#@8iGa#U2E0|6P8t70P-vY|jD?PvA(2 zARyT6zAHOwOCz$uvD(%5G>Pw13Jceb3n2)IA9}26W;Uz&?*SQ>5($>l8^5d~dnp+i zx>Q&R`L1K#rAY0&eI+3#7UdNRX%jd&IELf!;*~3b$JPGMP7u6dG=lFCDmk#D+}qrw zprhlZAaIOdxC6U-I88Pncb!v-0~oH{XxIlzb>kLfI+lo}F7VhjzCwv`iJNdzWOjHN zzJs9&@ZZ=YRps%>99-}jO}WZr^kiJjkr)m zmf8C7;Msb3?7{*Q6tIxD`pMx<4+O@6fqg9A8pO|SK3E$ZRF|ULpMbCs0TCW87Z;Ae zFO7~-@Z)^|8P!-iDdkOb(Gec(iPqn+b$QJbxGi?QLwvroR%X zfDT)g(8u4s)sW{6__sqP@MTJ4y++l+YVCrTq_O2Q0zzpf>cIy_Fd#bvN-vN~TJshR zexcf_(a_UFDC;Z2Px<{u8`j_%0>t?+ZG5r-BdtV*F6^}oz z`H%g74jm!$XuI$-xjH#`E-**G1Uk;Ep(oZ>D7E*=HuNUx_<=(hSA=Ak+%d0DC10eW z8ROf_LQ%+3V1Zw*yw1MiJl%s3SZz{st#vzV}LUYsKt9pl{9fn^(Uh1 z(^yptv1#e(67NFt5iVs+&MC2RN)H6o;C=xJFx*~6&i94`lAkYdm0yKHVy^1x(~}2w zm=pxQv7OD6gDm?H;t1=B1QJXmS2r?Jw(l_9Ur0?X*zh1Clx9geqNxQ5M_`#c2>vl} zxeQVNL~DXi37c#$lq|2Qd^CnJaxA2B%^$Oi6* zcSBvv&dq&=UI3BW<$lnA8I~+L!7+3Qyyy>>;`+CvtGV^<8-g+RAMgg1{lGbO_0_^H z9*X8=i&!+Z#`o1hr(k{->C=Q;4&nve0T~=d^{s{Cuh(h0+2V>%o(#pj1&Jvl8&qKd zUS0=f3pYvePbm`DM6oPTW)*3;6+1uq)%L8<4GR3wYIXVFm(*( z#z)0$uh&i#N%uwe=R*x}z!jurmCam0C9gAlR>Z?RSuJP+?p9mdphTb8ibRL763Iq} zeLp+ZRNp4*YKT-DO~v325YxjC&&ElJw?{zh@~t#psc*H3Q01BlVG7s)CL|?AjcNss zJ89%#@|*dgKRw<~7{nJB15Yb4F_TjZ3bk6@5+CrOc*&+8qOCtz#WTSw)^EP!5*YXc zxGN+LE_e1WMWeM}m3)PV51KMx`;SRj&*DK20f#vLO=TrJ$4|)S_+t>2i6HNf#(;GI zPyNf68bti(R5-ks)zw@xKVS-m^11vZt(GUP85$38IFi80Set-N$IR{8Iq1QfOC!I+ zBM+1s%{h)*Bph_`iZVO^r<5jf=xQvYMhPA}-Q;C%W8d>J-Q{@-2~_~8KXfAhP$a-& z3Hbiha09CmI$I_f#zaR)4-acD-vsiag@r{tnw`s`!hdH(9qX&D1qYcD4%FCAV5IJ2 zTn3-yekhA@mngp+<2Gi&Jsi@T=Fa ze-0S1S19+9rHIUNg7~e9ZDE3XWn~4-sodR8-}&ka>XMKX{rLb!R!m?T0#-b;vagva zccI%rrG$Z{4l^@z5RarG=APm{c9*KH?^-YRe#{~a8SuV(f!R7nM2~TD45|!()wS|H zBWjVqs^cUJ&?)5Q=hM>Dvp;QUIDm=kQySue{98J4T^Ym_!k`Ls#&U1q{=$3j5OV`1 zCCvnENOaR|njshBLEJGE)Yd4W@28=qEmx%gQ?7`{-IzP5UkZ&i&rz>1|Bf{b@bLIU zY7|(!C&^MvI!x;PQTsb$VrL%vQhXvegYugsZXZ%)^7H4EL5{+21P5kU{hPg5B9o{k z4q$^sgoG#)pLeTs4*~;wQ=u0EDpMicV9HQHX3IB~htVW=065ROmUczW47rp2u!V=akfGWM?Yp zSwVrG4+22LCzd^W1FbzgJkFo5t*hH3Ph|h*_g}9jymS;05`r?yE$HiI8!8i1Hse6z zs`P#_^W2XgPZcxKMlDaAc=uN?;TUrDi>*$|7au+0?< z@kJu4LgU>KM|fc!#V6yXMg?N}=(HHmvCfY0(|Y;En1%62iR7x$J9oIQi=-DG8B zvk5&HqZ8#Vf6`%8bn{xx!-uvo(X@sK7Iy~c*$ap;j6qrqSyyCk8g&i{+$t**S5dL# zXH=USpY!DWo3cUnFHIO-oAI>|H=^>f56^Zxf8m0L=d=EPd|ta6kaj|kwC`|qaM-b9 z2Rsx;&ii=Tm)kdY%Z@@ER?`Ng8#7~LIkEiSvq0}GSU5SwR5M5T6B|{>a)(=s`e~`zHUa>{4*e%FU#GpcX(KGWn9Xw_M;+&fjzm zr$AOs$fh5bIgAxaH@8xp)X^Q%{R)41|DDU5w9liwcV3N;cWfDF>3mvr^X4Q#1F|qX z%!r6raB1$#7pR`iLpucmaO8{F9Jq`XH+(9)I%s_0?_-7x@fL99ENO1k{SIE=qcBydus|t!GdR2{aiE!o9KTy*@UZ z(btrf&7u1?+TI$Dmo_^$XK8F4CPBtd+H7uYcKfbsSAL=!0KftOcykUN~ednaQD42j{;$HU19GxD08 zaJ(ZNOu#0mu()>**W|@WeZBGqw6r4Aks{EzFU-`y%l>maZd$bNkp|h-D~%36;S`>e zosHGABL@eC=Gu*X%F0r-1ex>)hlc(@s{q=sXYB3Ar=}=a9zJ-W6YF&fI!F|gb!{vA9=!szQkZYX1PpzEY0YXejNCdgdC_XP#pX}G*jdR=xv zx|p0?iJeYKa!U_qVdLdZ9Kc&B1^gmpm|L!A+2XFiNetyXqCQdf zGq3&wuAiC$aR#vxIgkA)nz~Lfr>EsSHCnLpr;LE2Ts#$p? z`v4{e9Z?{m)AH&xKn0(ho7)#uTA*^Uji~isnSjtCe@L;@qp8oI1(nJ#`uPd}D-~l_ zIw7~=?fa?DBMUAXC{MUW-Kyb+c$3RfcY`~R1+XEcK7-!HzW4K_zbn3#-C5+l_fu3Thw-+Dz zG`48KNyi9>apoRo*Zon`2X{d`XIK4RW+{uR*3M2LNy+$cuhoTrM?rIpX#^v1_~e8Y z!32s?sCJWRMvf3}Y-@k9m(Dn|x>~M@=ZB4v5&usnx5#1OVXt0A@t!^={O@u}7A6jk zjs_un5bK8}+_0PpIbIQEFAe^S&!0bspfrYo=+7`ObggVFQc-$2n7D6bE}U?)S%Q%o zYMkg62E|I0=BOP6jgB9m{_-WBo0&F&`z1uZu%C!+C6u>aM^TzV)@k^~3opzG@BrQ; zzDT@6#PeMvzwqRlo{i0iPoG-K$_`8Tjwqf-y3hS3zH!p0Ug9zb=vqlAOVu?az27{- z8A1Ds42>L^!shOWBe@Vo-CzUbp*Z&t1QO*qvdSaf~}FV}D`wz8tmiJY&!#ompR6@;52pK4F1!Ko0jKX4bdB#hhGAc5@slzrQ_E6^{0d${ml z&f=W@{^LhcZ(DRfGqZfvN)1L~gY0k@0-Pv+(gDNxF77;~y~kJuC?91meQcIwjxEmj z5u$e35fSnWR#JfIHBVrv@&}YJpN@_;m6pbwiK=jMb=3?bE_nE_IPA@3e#mBKX2!pX zeWlr%8RVcV?@bFhr3(3?ojWW50n%KBc~=H^6iE)I7?+_#Wb_6|@!`Z>^c{%_35xRa zsLI$3ELZQ*&o1L)qbY|O@i}MbjGUa_=-b`DVFjV}$f-9K0kTMIea~L{n6}sGI8c&o z!T2B|_(@mSAaxiE*XSHr#n7YF3^2a>PQn_yY5T zr+iXj;+iS|ZP9%>dU_Jw@G2~-1>u&2D#c`bUTYK_sLuQOVI>0jPX>l9`jEDT9Ct>; zl#{_2s_!cdyeF}ik35XdhDlb#`RXxZORUSj@Tq_6qh(Ln+IP ze?^H(tVy)BusJ zFZ!WSYC#mM{R`0b*ZR8R-n~9n<5jYj^z@0um7ZktvwMYg&YZbdR|k?{NHcbSfWf(m zcXAZ~h{62t7n~ET;vp`Xp!wq&;YR{|*;A5|o+H;g6{IA^#k~V&YZ{dNF%OA_Z@*@b>M8&QCL87Nq}JkMKoGLLz*;{S2NlQls!`T8$f6z30fa zD76CYYroZ=4;&+WXk1evY3JNTb6 z`i7~6G8^|n!gS;}z7YZqb|!l&Gc#Xf+hD8(i&|A{(EP!G72ytz>tM5;V1!3%v(NJi z6Dhb$LEZ*@Qmp1e{d!_PV0~FY+OP2#u5C=UwxQwnYx_X=HoavKM%g0bf>(+THz6^x zgrgJxLP*`C1@8)p)#y3qIcSB;axUHI#&3l29a4=+cibWHqkKR@bTp))rdF1qlDuD2 zQ^*#_r>!H7diSjZx)AXUIxB&9z*97WPubYe+h;u+M-_;a0}FZ(xbT=<-h~@d&^@~H zrZoj8b^IwlDI5e4HbGudbYc(tLYo)~Xb8-Oy=UGPgFNpng^>0IjX`|{dT=kxiBDmd zR`jmmt*A5Vhus2&>?;Im;TZg>`A53aNB>D+wZ2gHBR^eCE`&>~t;PpDBA`=j)x4Y| zR?9?Yks;1isr5HjJ?fC_s!qK z1C!FKuLtBTlJz4FG3lT;#VpgBZ^B^UF>?Z<37SMi>RQ@kkO%>Cijy8391LNoSy`Ub zqd0L-o`_;>c`6~3yu#i3%DQf=^Wp1V#2#ms;-~oNBvIKJNdBA4&j6#ND}zR}p|3ug zpajv&OuH_!3|bY*1cwYE1?ouiU%tG5_bz&)>Fp_>)OMn^e*6e)_xh(?og$yG=hPuO33< zLfjhIEImSeypuFPq{8Hz|9aU6%i$EHUfqn>DnfIN>Jaf3%SQxuPiJRgMrstv21k!p zkIl}`E-vzNaan?|4eSJE>}gI53bd^E`8Axi2o<4JKA%avO2|~_c*#XE6uj&Q=OP5fMa=*^Y%_Ybz&CV8* zmZJHIu^+=A9A~Kh0GfK_9I~Ivs5s%3AVtIlFxPtr9lF0RJ%Bs+8u?cVjn&{v6@tnlXKe6Zn<2#pOcdl5ag*dXHf0i!`RXX z{J+x+$k{!*& z4hY_z^>X8x9PI4Tdw_VnAkzXffYf858G_ zWAJINkbR9JWN8VWEm%{1@nZRxwMAThKA$4dV4Y~QzOaC_$3F=q2CXWB6F{(U%gf3T zT7Wb>nVRMIV{&)`D=)(cz?Cp2-lL@C8~uK8FpP)s>;)9Fm|S^ILmD`ld1Cb4JAG+` z1Rm%ob|*67k011$E|RLS?v(YMW*(rub8t8f)|fh`8v^PHsv}J&F?Av(CH?zrAjG2N zd+w)=&%{k_;{C)xt!;2nPC$V4;$HxJP?4ym$76$0{izoKT+>wAW8S}iAEjZB$AMxR zj+eQ)Jm;@+ZvAalr>2PZN*#)2O-?A>F&J2UdDgc0phgT^+)F zYOJM)m&S(#U~k=8GrSy5a2wZqvby1=8#YuSMjFB(n4&lMIAY?Q>HLNP%cO|TQqm~p z@($6=nHu#6mCS#yH*wnt0;+*YYx^%&B=j zvBc|iiV~;f$J*Mf*RR>BDR2dM@jgE?iF`3MMY=O3_n?T#XT+N{Pqt;D&+14yceI@1 zgCGb|#Geu?*rj=2tH#&V*x{`#=ZH%ydB(4FXF)3vW z4hr&O`pw*_+zuK9^t#qNdH*8JW~x>SX2VZDI=UN@!RUh%uQ6u$!X#4i_w7Jr?WT1E zLEy}|ahL|6VbGpqbh=@rM}CwTnJUv)=G*g<4!1{Yzm6`v?0G;cdTq%*EQjgpzTWof zT~=ZT?G5@Udi$`>y%Kr!{!zCaeo2a0!&}`ZIZx>$W|m8OdV1_gdvqw18_WWhFvmUi zqx3C#$9kmOn3&NH({mTxtmT6!H5;o0>zo7=j+#9BRxkUMHl-@rBDpWhITcNRot!Q6Y3_0MXgJKVxRN#CJ)KSPK!3W~{jcgG6Hx|!@m`=5y192BC5kGLA zISOz{k?|45Du3^+YH4X90`PZGA7CDG<%%v^wa5VZL}JB#xx0j*={j}tePO*VTdq*x zQnpg>B85|I#@C%@PW!BH-!JA|r4WB;nC8rIG)+GtD4W68W?+ANNk5YuO~!8OYpry~ z?iaPgdnrYDLdzxMv;@mczmH1yH#99gj?-{9KFlj`CH^a8JTN`D{u=MDiJ7bJJx4oZ zBFulHH9+4CI|yqW8Efn1h6w&{rbnG)?XO?GA_i*b-Rt)re!M2{+y_o`%cXBF#W~;% z(_u*7*xVfKzqQS{4W&EB8Rq(B#A3Kr?5CS1qM9u1&!ga3+nqy@3@|%x@?z|&=0PRN zvYd_O+$1ye4Rw9zuEqei13pqE->~QPyNq>%xsT3Ro;jbTr~Pw{gH5T=T8Po-NB##n zK2umPCB{LC%HP%j)67^r^rwGEN z*FxkUaB)gJkAP7g(4VC9$}-!g_oVe+^V})6O+j9pxi9U$RC!CP!fSzuPV)*vs_jS# zv)Ik4{g(9NH%!`=JG*Np%DsAuEXiI!`3+oArhWg7J{y@osx*I0glzJgtEB#z#ukP5 zMA3wEdLzRDcLEKkz*les?FeA0fzirUzRHeYH_VQ*x{c`+3S+NktDD5`qPy~35 zBkrdX{@oSs=TWeZM>n(o<#^MM^sgLzHEFEZZkFye%@jDQTcbQ3F*WBprFJl{s>Pkd z#iparztKtmR_2BRm$Q?Tm*|wGjlUk#XBsx!>V?2(3l9lp=WKsaIyH!z4jv{x2nVMy z8(2xe*tSqQVCMS8t>152E@PmMLc3}bQ;;7&E*v|AIvLsi%1{(hw-048;lD>1y{*3L z>eT_1+^|Z(zkSz*dbBo)`(&pbY1je(Wwo3XMSn%pdG85bv!1^3v}R;SlL$ zdLRo9T11;_jt85#}Ry?vNZ!1o?)vH$5jOr{1#gj2Z?9`k7r4-5ojDi-qj}T)@3T6hNA$Mpe0COd z0OGN6fV{Y;?zWgtkk&3P;zna!UUKUeyjDVbA{=T&IC`kxSUsWA<+kLAF3{(?yFj7Y zZ(qCd<}` zfOxAX9P`?~TTCn_J|1S+m|IQ!x^zj8<1)NNtk-4=LY6qb0bFYsBE5e&GKEAuCEvBr z4tO%&M)us|BF5}1-@e_is$!(3c;Bg1l$?;gxUqSZ=4 zmmV8!Rq`-D^Sl1^l*B;#jiQPm;-A)G^q<8{-O9=e2=vm{M(maI_Vs6Q>4BHvzFo#^ z2l*9~l(&kY_UZ#_U|=|g4bYDoacmiS|DHFULj53S7MU3t;}_TglkJK=AbvnUh8+T7 zSs59WnkfM{4omlWWEE9kRUUc&NdH<&>ZFh)mypuS^x;1ZKK3@%n$c~ZDS!Lv5ET@J zS8yxnzGzQ}9OoMMrolzcH0bzYVn%CNI?D5;@BKc*XvGWc>Wnv&MIF+)E;t&|aInW} zp|b?76czk4NJt=B6PuGbY@wZkafKRx>ta7#0d~_Xs<8gwXFjxWWdh8ihxkb}XS4#g z1X(UZ(skjeA{B4tVi(E@-5iyS^qoiIzDYStnV8I9YB&1aFQ#xi)oDw=v1%d7hs9U( z*nv+Q?Gc7M#k2klg%ue!C?)-hcf4A8ugvn#YL!`rMQf$Z#b^nd#})5f+16{2{J!t6NO`*RH|ng> z@%-W)$+{Xj)JGPd|9xCFMKksFa&S^kvH!<}%)mz0sC{QjW3O`6Y_|J+o4Pa-YMl*G zB3xd22NP4ZLsuNS5P+B&&$Q51t>dy}7T&u@Uy~rQ5-;=E0`_QWX{M0D^zgV_#N3O# zz)wBVbxDN7|Ttz*~Emcsq|f7^-);WqhF?cm1?Cpb0bwc z+F7K%Z-4#MId&l(~5*%*5{+-HumHw+p=^iTd1>s&YoiN z<008wSs|`fy|;6z`(}J>EC%&F;<~NUH0J4@e|$KcZ+Q4$ijt(8YbOq|tb~ZFLx3k^ zqll`BGUcu`m;#`uSVhGLnT;pth+%UeA#taqMB6x)7&p*JOzi)X+%-zlK5C}rY59xK zo+|gXt~J5@hG)xpLSoO0G zxKk+vmwk5=Km6=>XQuO}@m@Lx_E`DtB}e+otvOtp|Hc{V*u4GO!yBJ!n1Ga+;1Yd) z9E}jj%@~}FR)LU=kr(DBzE~)~VWcd^r``yXx&Gafk~MUtZ{NLJg6Yo^kw`$SJ-K5t zQe}Sm@E`cnO=*!lY9qwl`@BGsQt55lD4#*ThVvi6OWmv7tdB(F99dYN9`{tV^S`_; zdB@#LMx(}(7Yi3$X=$tq>U1+GV{f)9QSuy5Zy9}ja;aR4w-g| z*pN(rD@Pc4Th7$ZrQCm~r9D}C6dg9Y$x{gcJ1~KP!0^KOxUrR0{5`Kz*_Gf0q5VR& zla|J^7kjPCqeqEv2yvFRec!cTTqc3BOu98Eu7_AJWf1}+vL%B@`h-sjx|RI={=I5& z0eP@UjGlS4C8QwGHgyH6otW*y$q>99+m_3`(xK`5odt;W9F(a@*SN}@q(5JX8~Q^b zIU=HvniVftams8V=01{PaWO@Snwr1PPhk-e%|vGzwz$5$oQ@%W z05l3@R5a(%Tlvb$!pC>)zvt}8kYAzc{5vFeFx2VL*8PKfmj$bic_rCPX8Fgqx_UoD z0@UO~{pBQjF+#ipgC1WjE5qI5t-er1(W%ElDj?RK39N4g2iBe)($+rLxjlaW`2%%E zsMPRt;Qa%K3D?DJju55MK$U32$RiIGudswMGNLICR?NPwt}@gK|`46V95_H ztkvV7Uy!XUybFBAMCO+*NyN(8o|}$X-(>1M5Mw~xNOa3?oESy&_Du(r;IO{@_z_f;B&QyhOnP5+@%3Sx zNX$yQ*4Nj;AM`eT+&(KHRb>|$W|w>)Y`&T!~& zOw<&xxPqFcYvpz;3yZP#2qusqabQkB!3YL%U}F5=7u?~NrU}-&CI~k0y(J6j9~f}W zJv&-Ijw%rzIsp2yT`aaJ;{b6!{&qmjsA=It;Dzvg{=A~K9kD)tkIdJ>4=FRtL!L;% z*4Cqd2Y?#WibkE+lr9{BL@!1FJ{K-T6C7Fkn!y8S-X8bodWs!xTF>I`g3F+2;Vo&1 z$Z~Yzlq4vR_kdF1)ZD8a2_j02!t0K7L}Y;ko= z2vm(wPPeDy5*sz*g<609`&MW3-HNU~)3{GK#gb-r~CQ_x9O0 zd5tXu>k2Ei*{|_dS(*h)w;vTOeG6JrxgCg!D`*R?#lR$hPmn&BtwRTcp^fyZCcOYU z5lL?k9-k{uy2x~ccQc9XF(`hj@DjEy>-|lazWw<6=_&_EAaL^b!$>{|T@q2~R9%hp z399B5ltkX%Z<_-jE_a_2wzL4&|KE?h7j5=#Y3Wm-r6wOK-O0vAN!@XSq!I|1VgPJz zQdZ%E61j;%5IBUGiAK4`exq4vdyi45+GCW5IM$e9;6PCc7E+;uu;8SClaYCd7MI90 zYrU$X;&}+ABc?m~9~U;_-j^@$tZ!#$2mR|_EhY!Qa!@TiNi+eE^%OTXVNA-#82vWP zL(Jm(g)Yf~Y6)!Daz+GJY!}&6UQ8fB`G}gGXlZuvs-e6XM!nzQrVdsR=gw`<13C2e?b{Kx`tpp3jN}uRv+%M}dyK=wcR*2J<^0-p&bQ-#*;om|uY*b`K1pw(dIrlHTE z2uq}ZF>r0CW0-cq$(C^CN6_jwF!StAW4meLG=w3H;M|AKSs5@Z_$L(=3`vYir(%Bq z6$Gz~=;nl#9wfS-b$eucQQX_vW9V5eZgJ!YK^p@bg!PkFR+>9EJa8zH6L2^2n$69h zO$7S*$j{&r?CieOL@%^QXjq1T)b$7%cL&5zn63(YF@|<+qUgbq{Dh=^Z*>`u1&S+8 zD12Jj4h?^o`2T+stp%+$d`KuvzC+;*k4caZG*+%vHD2EO<(e7{*%L0W zsVS{IVBclQ8rV?F%6fyHnpSs<`Zu``s7I;k=<@b>WWtdKQRLx#k`=75?3OTV!_=WZ zcL2Q?S=SC3adD>YdL8YI&j4bo^OOgS9u?X{LHrJAYyj~8M;q#R-*rxvQBL~J$B&m+ zCbX*uAB^nbsT5g3n_D|}&&eJ~|G%HIwsLSkK2J@l)nUK4FZbMI8dmJ~N?1vi#rG79 z4^;jn|Wf~jzao#8@azKIv-4E_*}-IZaO=QZ@QUkWDa+{%u(T5XNNRdGdxs6}M8jHE@_-t?A{LW=Tl_ ze){ickDGS=DXcfKv%9#v|Aq|gv258k%mtLuOdHvH>U-YR68ih4vIRadJl4A;QZ&ft-cgoT~&W`+uCyP#b1w?W#0r>FYg*W*WI4bhnceNy$#Ozf- z;sw=S9 zafp|Vi+;Xz5QuU^p0^G4_U$u1-Odw(3`+mI0|GZOH&EM#(GfhuvGoOksCnOy zjD&VzmVXcr?qOUMGAoHaIe7$#YbiBwxIS|Y;4AcSAS6~3( z2;?0KIrY|oQ<{o)%vS$~+lxP#F=7}I{fLE`8Koo=3$PGf?lqg(Uoz3^vm0}ppswzfb&eHsV~Qs<_dwn% z>>+wiHTiqv+P;8jrem9HRV^s$$AtY=L`1ruKTiq*1WdEuJB1f9H#he}QLHft%^t@X z_R{!a0k(q5NIezJI_Ak_B6!>ra-PQoqHn~2R0L12Y5dCKN{G5hN*;mD^u>$tLy3-$ zKY}sTLw5n0!fYSZP|v)*EEc>qf1`yeEfrh%wfaGjt zF3LvtP80IJOitdsecRREz8Y-z*02c1QcN7cS<9xI3UB5)zPh$Xc^Y>WURS6pJIRJa zMGcNRk;Ngm$XM1yIzdPotqX!$`Vo;(n!O;p#63)D zUqKg~;Wl?lC;Wc}zQb6jtqJ2|G?zjLMC`Fj!_5tZGJ*3v;KPSt zL}`G%TCAPRdB~cmeBpJeSM@nPyWqoa9-$MRrpSPD8l)d&pwByW#42WCOC zaRp7Mkn9QAw)W#+d$y*hq>xfSRc$xfs< zmS7E9y5snB#MGaF0@Dv zUCc&GA|la2Jr7(DB1nZjLd@2wUn*x-4|H-Wws5$6;v0#39B6a*#mFc=TW|IE_O?kR zc0#c>q`C#bEDvnLk5Fqdp_4^5i^4Z}xBc!tbbBH#E&MN|j;M6>@|p+DX%jpV{Qc~0 zoVVv&V%O3~o-O{}Gz@C_w6i{2j8C4WdXk5Gf9@Lbf!qQ^TA~2aBJwL6R zs~y`9$^NE#C-}d(r7k+5)_xm9gi_Qvxy{iRb_hKtuEqAE;kwa@x$6a@H?Dm*R|Ys9 zhjk7Hvps3iBQZL02dxLWk;mar_f9f_zFqg=LFNY5hEyIRE3xkK zb~y&pHjTv_Znw0HZ(PdR2AC@qkq9+eR@Rd$=R3xSY--_KF*<6XVE)%I!TTTt>t>@a zKT>1W)vp%LOQm5UP{kOWx3uT;>Sh)Z7GW0|Abnylq9g^?kDGH|p%AA4H+j;Ivuw+W zVN-B#?&qgB1)W!KoTnAE+Bjo$=EL)-F+^K1D4PH8Y!Dxrc4L(+Kb`yHr%%BPXB)z~S$D@`Ol&RvXIaOy2J|KU`t+ z2w`qp)yN&EbLYNJPuGF$g4TN4lHnaK?eqSA%yOn%h6hgwW0&zUTs6{YEY}{LV<5>* zPZv6dgFgNg_bu2A)9YPn=xP=ZJ@dPJ`33o2WCgE$l;EV+>3pnRyS<-7G5wmh6eps< zDmI?3L`EWti%HH8rGkBi!u{iYSHr`HdvE?evka<>zx*j(53q+H)Yj7F{~1h;y7C~K zjVqx3CvV1*{^0|8th()$R0HP%{wu#5kL-6R-1#1#(^%!@>gsy(I^%+BC0NPL<3*e2ESVoYdSrM_@id$;gl=&ic07IhZ~!?uIpRrS zVIdx0%Bdrgq`I(vBzn}{!u~cY8lP;eDIj`b?h7M;Pxfbwj8z#-DHfaTJE#(#q%1MK zaG5qDt_gY@_gwt3@D}(-EuVCv;tH zfv)LADt7#lIyv{BD?7r@cc=XcD7^1LsJFDdgf)H8J%&t7w(oYiJQ_QImjdSXVixni zYgeXTifUo_^=ltv@PLQ5o|j2W^?NUq_FUWNClPx1!TjGS!`O`*3Z?ECG_|H&c+Zy| zrDEI^OEO&h@S#V;O(jl?dgK>DK@D*3l*66==fLFRH$fa1qaS zg7(!{1&#j>WrTjG9z-xGlI+4ZHiG=q{OjMOW*^$f=;R#QdIxZf5-Oayb zERmNy9xjGia$X||lgrkJd<%q!sB7mkzT@_GER4`x1H^NBBcM>)y%tPmkkxVOVzSfw z-al3(s8bJ8XD?vAV2MU5ssU3qWcgSVY3uqzC+*uiVM-p9e=xWaZ>kw!+`AJEt=AAK zGcsNQGkx{u%gsuVbC$k--7W#_{>tl{)KYCB>nFg)2fGis1-v)5DXpX!r6l`)Fnb4A zhht>srF!Q;7brGsX$gIwtgzPQq7U%rgzZDyJPoqOdXO0fm(x%&=hr8aw@%jY z(7Mg0c(DEknjdUC5UC)CSlQSHMd};tDYvgn`f=nom>Quy6iIQdd|zq0;|VCjn)0%d z-;HEvUV4B`gB=Cp{V53I*waPAO`8_5XX{~#)3|_m9?PMGgam7>C9zQRJx_qM@>3zt zUBYXO?eWXP`{V91+hRKp)cpPaeCJdC6%F$zwARrdSPvGG`@2FagrcL$z|dznDvESR zOzdcAIl96%C;Mc29V+RVKE9oV_*a7fRlo{gT zGyt8OOXvlHGmeOSI}Qh`mtyIMFyW_dC(em{0QL8>n@_VQysh&%p{1n-VMPX0b90eW zMt_Pcu~~)hz)0L5Da|OW*U9i|;xeaBOMC>X?WsV}%oxV7V$#ceHYGQ_gG9tqSV*W7 zyy(tO#${1qVdLxhU)7u{K`i;KHH8E1x#X+Y1H zmf99YC=XA(s3S8QiiSb|@(D0^LRHvEi>lvZdJB|deu$vReS0V)n>4^TdH-o8hKaE6 zyo(A(Kh1>*+YgWDpjYvePIGxp=43=$(l0T48(0<&72HgE@Jz^ysF#w1C47 zzZsbO-#sz{j!fp$mh-=ogAa>GSkA+)U1~uA&hnkh0}OGbK7RK0${gg^nRZ#^uEBeZ zlKE;~z>zzT9=~H`YI+~cnlYw=1#=a;PHba#BTZ^vH=0L-Ky0?#+e^!2bw;Ic(~5|Q zP&i`JT2znyf}e`SdZ%2ATefK?+FMcnOix27xt5`gY-(egf(xPkVBim_7mE;?5C*I@ zVOsu3`^d!N#IXa8ar(x4wj?jKX}%DCrqKW-td?Zvvtp#3rM}8FIYs6sgeHr^=sleS=G?D$Hgxk9qjqZPF~^qI=5q6DsyW4 zIu7jS*3TA>weT1s(-;Fl)Xe9R{7H;4KmmR9R`_Yf_Cn`zV};~rs?xjKLE2#Bhrzb1UDWS`i71h(Z!(&XvrNFLCU zi_};<0wxksh{(Z#Ot~YqcwtQ-q=`ws&%jE?|(sZ!Y;VJLq= zZ?w|>s$oZ5RE}V&jAlMf)ePYs#jkos<4piMzXLwAte?T?PfQGUWNK)S(|DwB9E|Dw zGQPgsF;TEKxu$(MePqZ_d=^~7i=eL*G^Vqa0sdHAT8&}Co-Mch$s+P@aE2DrTC`^zH*L8~-j zE6^XoC=lxrH18mq6d<3HY@zz}_3IzT)m~WdPf_vI&c}4Km5fS(ug*-rvxE*%jK%U4 z`ZuCx)T=L)b1je<0=b(^6GWO;7U_v(Jrk3ch0gZj^ifea*cK%(6V{%#N6v;vbrpR= z-P0`i-~zkI0`hN|7qR{~1ZkV@vXI;*Omz|cW2{Cdeym`O0%i1~Sm)yd)?S@J+p23uT(IWHGBI7IyQN>8uQ(GK zr7>!Wp>yOf9qOCw33M0JRF2=Iwa^>8z2!!+i+Z)|d1q%QuxSbE_Q}B7YzuF~0@-`K z-?CjCtan;tu;%v%%QlB#?IP2%!Q2S0^~Hgi#t=t(Np_devB=1!@6>vC$2VHVKNv%Z zL@|ozZl$)21Np66{}g82n#}g^Zb7NP<7R0o^(V%L6y^|;OzbOotE{kxRCdeOVEls- z;<}mb4Tb^8Ka-t}_&V;QJWk$pR>fh_KhPJi^FO~o!=ZgsMiPTbjE}BHYyftSS5^zW900=MVnpUW0S1`9D z#wpB^FWdH)R>{^Li5W?Yiv8YMp(bnGB4wbgUj@{1AArI*V!llmH;sJOI85l|gwv1h z)+)!H7xzzruf6jl$Vdq737u6B0Hgw^pqdh_AP|PCs$ODWxtgW;rAE-iT;18@9#}(m zx3>?g_L@c~OEZbA$2ay^)?4+-VYLG6()@xx8O7qK%YSxnaPsk0G);VX^-8-+Oa3?D zZQjq}9Kg?%$)YFOkaw_v>o9q`+N#q;~^AW4+)f72Z&jmIGJl*Y}_LJ`l3Sa({dm z9aR!FA>;Pjuw4?`s>!>L_JtrwbQK07LHyEq=;G{5KkU&S7tKbP{40A4`_S0wN~~sU0oJ|u{7nq70&qf@e|_?Z!hQw@*6PKi%Dx~ z4ZdOFO?V8!4C^EN!wPqZ7KChmv2}XB&P;fGptW6#mLLN_9yoSz^SGe!8VR#hKW7T@ zc7GSjuZBgzgakg&9zak*=UG<2vXsdt_z#-LX>iVzxNm`$+^u> z=123yma5UT$nM#5yeW-vC%*1>Yb5kWjIJdS7#P@pcKP`FR?}yZzbYdOdrdT2h*Txn zfNp`Y^jL4~3)-%f7Nm^5>s>&YcPLj^9(bU40jL@3H?@Oua!k6;t4}oe2S0r(aA*Ds z#O1DLqD3W72*@Aru$iW1U`XRL$9Aqa?j*<>t6)4kSYV@D*2%5n&q>}TZ#Ro-S-nS) zF7eNNE|~g;^H2S@p!vy3M>n^6tnJiWsGPE}(9`wJy99-7KjfqJ{hA38$`X!Y&!Gw6 z(mx>tNT!}qlP*NrZ{Ezd#UVx1u?_gjSCea-sAnA>U9i^1$gfGf@Tr0TcdC@s+PV-X z!|rbJt)Pb`5*(`2hmD58Re^S7%m&Iq*zmE?n+1K&am^3e`UP%t2ihAUp-79Qk*hCK zs_s;}7RQSE`uNm~Fn?sxaUY%Dz!}%{M*k+95E&cFyGU+y$(Hk&P~1)HvGDyg;&o>{l-j;wWNHO6ds8&M-~{7*xaDd18**;v2``OY3jUDJDrJzoUHPZyPPz-e zzPXC0nS=DbQBHZ0#_m3z%PslEdt8>{NO;r@?Uf}burN=v-(_WGtwr3FCq13)>G3UPkqwVRvfqgDB~_ROq17n z{Ci%~2kRDH9rpIzq-VJqx0@-_S&l&N%C>9gu+qIH^P}dN$QjArr!dVKiR1q2e)_ci z0}6jG<#qBj*T6v4^S$d1Qpo~KeHPtA{FaS8A+3v`KX=}8#MBLKWUIS%j4`B0Ufla_ zDWam1<9q1$>@H7CbVUDdvntCpRN(XnHU-OJ&AB6b(u&cvT(88dQ>rg_qm$C4xb z4R>VCdT<<)%d6J1yt_PT_LV06KYXxE)P|yUtQU%KH&&>LD=)FHVxLjZP!GV#5c+ji zFG8vBRl3C$Vs9+r1rs}PFLu>QF=ifem3{k|xyV?xKD?v<(r`O<$(z58hF?dE*1KuB zoiP7191vu1(I;$M`_oDs*3-jo6JKlHeLHE3jP)D~4MH&Xl0c=wW?;_j^{tow#Vis+ zC-<7iTG)(EMRoB@0bl-oB<8~%J*&`G(R739T9mct60mZ4)&D%8sih-?Wk^5g{TZ7Cxo0{iJkrG&Y_FvEeAe@gOm#i$j&c(6PX8Y!_-xF+p+8W*bH9#U*&8$ zgB#sSJEy+ni`u4==)DD5fp^wy*PCNP+w^$Duxwd9o$0dTavH)B?XN~ z(aZz|ZA~i!;^nou&FpxEChySZ=4Or_9KCNmcL15F=nRw~Fb8IiZrzmK5%aOy zahs`@?FoveD4HA!blw;;yj~Y@wm4i<1sZ$$aGJEVQ|Czs!;(*%OBYertioMm7kf*1 zxB!{6q40#xVxWZI-Srr;aIxozEa+)_BD2RaEXAZYGR0vWJ8F_%g`Y$`S8>rjEOiSYo zw~Fg%8ffO-E_pGhLtl-{Ok3>Ohz{9`ll(mjadJzo!SLZRsflIuBG+Vj@>pYO?vP4L z5Xw{X>3Sbj@@#&PV5cGh{VZ&kThfr+)ypb=^Q@$Yb`T4kNzTZq$We!fwqaA}EumgQ zVs(c^k9pp72c2|Lz&qP=vdy0@e>aLJ3ZM;w45w2il_C1*_H58CQ_m?!N?Kam=oD6jExbEY03~C z?x6lwc;eW$lLFrQw;$*6pb8y%DQ>jeo8PkSYo6XgbWzJpGvNc6)#N?Kc?XFByb!x@ z=<}-!x^GJp;ewnTuFu9x5<~C%Y zrDgv5S3W#jBFuE3(ym=MXO3znrDtZ!ljQv5jBv13Sa}}ff9zIezVLyiw%OJ!FB)o8 z_C)Jj|C?2d_DlO2xY%zoW$#`Ys6@2GID+L9^E0*NCZ*pj)#*rPMl?m_N1bTRTmXTNOvl`GqJ zcZ-JEy13lpzi5K=!@NG20N%ui)Y%x4XwW>tcB0*n@dksi5BpWFc;_dx)Yq=}_ojWt zS`Ror(=Em4PM;pEIm}W`FPy}^CyuI^KklXx3d@yfDaE5VFw=9$-5oBN%_jKEyGEL z@rtz((o&=M0%*C7gzd1>ac%Dz?k&277a~C>6fO~b#H~$v6&7vN9UY{Gx6ZFq|0J0@ zt!NS#oK~j1BHOGJ7+zf~v{rH-jcK3y7%(^PbzvhR$uSPjHX8A$K~i~z-)b4qNDT=I zac_hw*Vg+t`Oa6Z(0|TBf$y>sRF2z^%>N-DiGs;a7zk{ALWfHgG3 zluzFL=af4*$Vc{?iPaOkeV)qa1pzeH1LFgIdz*XrKpEOE z^^-VuC)iiLkTH1IFNzvH!KgZg%Sg&Ro8#!wi?Z4;a%)5Vd#nSQzOSNG_9oc~YbcuO zqtgH#RXB0oPf}dGY=%dyL-+qZLF!Rjy0Vk&E0d(8?KDMgpfcpEUThWr6#UZ$4NAR+ zT{0|=+_r6mlZPi1vSn*j@mbE*aNqg8)gI)Kkt8Q@!qtsTwW~(K;V*ByfX@ptjz(h1 z5Tq0;WiVpUN=E~)=M*(y5lQQ#uU}`L{C2ordz*L*IabO^Ga~zz`HxDx%e>!eKxQ*? zG}w>V;haUPlby^$zoiq*xHUpY5T8p&uPh#koM@HV)MLt%?C;oHt&>Ad*{h`{)_+9R zQQ>IZu0g^?JvDD^Ix+(P(J1Ot)e5q>iIZ9n`e?N^l_c7%y^wTVaRBL%WRz~ zq|g~k0JVUN`?^+s2gl_z$G#>bC+`yJx+M|I^VY%m3v?aEse)E{b4e}8PLs|}2z#s~ z-CwUJ8)yTcs}Qn)a_)ME*LSB5M%bx#f4k1fSI~)_>}!};)I>dKm0Y0}iXhu@OMEu{ z&+oT0OvLDty-O{l?5elc)1fyW#~p^pzWH;HNb(u8^K8>BZzZDb&6dDp&oNcW2HhhN zzRC1mhn6x}zR%rw_qY$k>oCq9GF-vyhfnuZ$tv*df2v#*+gV9L=lVP4u7#D=`<2Nj zNVr`Np%~qu*ekwGm{rx`+CBR6r4OKQOtfR)#J!rK-FITD!@v(iChS)Mpa;33tkUel zWH){EAxmRv^1RGW`_4l1%T0oY^7aYw&XRhS>O)R?b6IBXE(ud<8ar2Kl1TsG^5-Pk zshApryyR3X zHD3DqasIhda&jp_r6&f4DC6m+2rOmHOkr`I&6tL(nhDm%BqmNPU{mRQoF1Jk(+%DO z0jvv@*T=*i{K*c5rW+-T9osys`r$knh#-4wX!%U0FG{Feou_ZxFK5v5^kpiIS|hj_ z%W1w*XM#kPszcds+)Aa9rJe=7GTUcPW?GugZ_2R$v+ew5Pb{z{r_|isr>+D1aSRf> zcT6o(a}5~k=`r$Znc9iAU4R7F zSefVRj^rD+UML+E{Yv;tW#VeDpr8;GN?LbHxUnCiA7ihEhRDlV*}I3bpD0gEO%1;Z zw)gXnBSyA&%kg3R9Xex(QYv6GIUG?wnA67hn1fiv^kdR>+OWwi_@-nu-z(NfnVNmp zcQ`W=oU7v%JL2S?mb#A0<#p+qx$J%%^Kf^ve@f(Y=a-H~@!MSL3zON%6~JqdG)Btp zC{RyScyX`K#do677nqQUm9-dF(ws11-8gZn^EyGgwx=#O$b7P6 zUvYfGx~txkDU5}WQK*&rrqji@9QIXf;`(P5VU%ISL0WjRavQoD6RZt4t0@n zU0d;L*H+7VE4Fg7;A9RX?Cp#cGp|jj`Cl!xndEZGhCT_KzmVNcTYhDSwfsR=*I3tJ z?X!szE7z5iCw>R(*iHUzmS0tsCo9wl`eHdtn54PUQZXIWJXB$MUk8BFk2#OIL-e1H z85+Kciu#oW%{ih4;h^r$wlUOfVRM}f-pd@%zsc_5b&!%`zeb>^KkjNTz)jqs#jpaQ zn^BTHPcFNlV0&iVG{dZewD5ONW8)P0KD7o2kVId(0xu??W-)OT9%@_45$k)lw^RDh z1*od3f;HF}{ng-58z3Z-Rf0He{ zc^pYN39ZhjP3gaQ@lwlicTVem{eNis%BU*1rfs@Gx}>|iyOr)nloA1@k&y2029fTN zPyqqy1}U5FmQn!`-r;_}Z!LfM1N5A|_sm>#g?w-C9Ts^<6kYAZQ?K^8QLO&JmW%;y znsIUdH30AbA&DJ&>oYG*TsNrwu^TU$fe+R0fGb&P|0W`gfEAxl& z0DOd7cKcUN(NM48<8dI>8e~=(lYL)Vt_t>7J)B9%hr8(e0&{=9~cS8&(T^Be*Sa;dq9U7rlt+7&>xxJ?52fyp?M{-l3Jcq$=Hyl}nd#^BtFgH8N$^bfn-o878ezz*RaiD6qpQIkD5opr zeos8w6_Z}8#$Sk%{)QV#0bNOX*`1()ZMBdLnefki4%Q$2pAG)Iot@JnnzKS5e86d<_hkIT^&;iZTDreU0@HzqLB?s zNk${SAefkJZxzF22l{uDA%JuQxQ5_d_XSd_Zdn_is;uNx91mtPh#ZF^QW)DXs3p^U z?;^bt=!7wfh&L`{b=12&iJVCXp3PtIvxV4ljGF8MZdUTnzv*W(FIR^kkp9BP+VTG-`psT4lo<$ zW8a1lz&hP%9vBMa_SUwBij;au&BHM0EKjz1IP|p*VR&c@Lne=H2=MU8_#$@ zLkj9n2e2MPrGe-A$>0hRKE0S2u8PqXfZ;(w2Z!au)U@dYvt|0N#teo#;5N5{51U<; zL4Lm$575+&5kCh{sQ~k$vjbQhtmz%HcYYw zU9HjXJ)yQQP$$7zCl?}a$m3#i#v5=cg(?keGV7@dvIz8r zbrL9r$D^8yX8hvnFilI-A1v@PRINf1;=?zN8Er)fu;Dh|_~r!o!gakibB4M~?*Tv{ zw_Z;4ijimg-}F`6;ZuIeZ0hC)JSWf(-CiI#)U~5`R5$V?DCN0KJ7L5ax#r4vdu=Pc z+1r@?I@WY>qf}3|tbG(Devc>f#bNViaSlWQGCzqeU4U!ec<$&Kpf>hKrb-Vf9t{B& zq}B%4F2l?2d+RQ_Wa`);xELu%mEjEyj_v}BX&Vk9CL;w`%a_`{ zYOXWx;v(qMlE0fVG&$BAXgdOp6IfvKKbb0QoezK;ufH@LVb;r*VZiaQcT4vAd<_gf z3;W#Dxx9-?nkC|_5#_7S2?K$n_Tp@t-+g@;Sk}5#`OQ)%!;Fpz>Gf|zt%%fs9^c(hf;bH4dgj3F#5Q!VN?t{9aueNI8c1XtZ(^Bx~b zkd9K56n?|{4gQ}L8}e1DLfBgOJA##d#M4zrXHb1&XWTx;=Iu!4a_fNUz7)qTaiPy< z)^(;x5j|n8&hQHRhFa7)e_!pu$6Ek544lEA!jzFX@Dp@8dH7_X^rfD zck>!ndL0j8hw{?Z>g7?08-*z_Q6x|;1@HxrNs;R;+VqNqlA8qJEEGHzXq=GX+*)6E zO+D*!WyatcC*8-E;XfXD?`_CYWf0u~i@966#1to@<#wBay)NVPgYRW>!T5ZGz>;&t zW#1k!m^6jtC%H6u7&Zo7i7yAs=*wK*qc~rbQhKb&hoK>=A0>T+GV*%rt$|)!I@9kc zq@OF#V^9eYc540z&P0C%)|YXeSp>$1-`|}g~-62#a+mS+l1Yc&~H(UIoQBS0j=ZA5g1Lt34Wu<_Rv|> zsED~_0)We%+g?FQeBm3$6FP@1^(Rg%~5A{S+`MXJz}2`IWDNY?%U7+TFubFQi~PG1;9J*q48$ z#_`EyG4SuR2$p)h2e%(`ilenU(!di~9>OeZxM7$~1m_pwkq{;sw`miTsHpMIvHY95 zd~UC5=G*kCvoYQew=)iOf$yBY;K>P3!Tyr9G`~Lwd2_{ITmG$LKCkeC2Adj>Ss}ulz}%F~S${ z%cLw;4f>~3$ghUBr~x{K)@K%7J^h|ifh;x>B>3$g@D{b^zW_U6CZRr`>J?^ ziyWJk(i-+w5-!ul1MoUky+j7?Dk|CGr#ixgUZ7Ul| zZ*hOr#JdaQ$}q*c-ej#?Q6_(8pxVPgzQLJt;4q{$dRjJmleD?X%OjcyLyq>7*zbyW zgEY$+)-^VBXn%RmRq6u6C+^R~YGSf$r_C9O4<~;b2E^@WmTPD0QFyp=JfC|z&`D30rE)$&7V586oslc>1YGjl#(a?y`}=HssnQ? zsteldyc97J&7%TFIL2M5Q8l<^&o6H?x1iB-d4C`xMVt@Jn0X-LfW|UxhfTZ3*M@UT z$AIg69;_gZ>de6Aoz22b_I^vCbNf#D!>d)YTY^~`vX`J{VBj@Sgy2L=Kl?5e{{0Ui zsSF1TU~>xD#MwX_hP|Ur&n z5SDL5eqK=}`5~mSXctJC5;|JHfrzZ5E%;i*PHv{+`%h$`exCY*C_$m>>z+&d#tb1g z*XY^E&bC?se7*$hF6vQs;`i?~mElL(%Oj$cf&f5N0GF~&aP5<)JkaXF5m{I># z_=w&WpatBvF$v67f9<)LPv6;QihO2=vszW zRMvRHq?RYO?hk~sz{D~R9w3v-`kg(gOi{}SW7jW7_&sIpN)&DuQYgKVMA^vk;rGrg zdYtcS3@foDpT_GBxbN4$Iy13^TB@vbXMoZ|qc5NYhyO4bzb7^#)9L;FvXeGfpFj&W z38yuV-iV@?V3Bg`j0=t0$vc>~pvT&hg%h^%4rZf2SzqLTMTki7Mh#>7>#5x~Z9eRz z!qfCx;dvnUxUPSH82cvRGKldpv(QySz)YMSg-Q&z8gwF6=I?lnz3FI|Z-5Mz@Q#os z;7qsm*jyK^8y1 z(H%b9Z`zdSBSMwdQ-$*I@F|va=#cb8Fb8eO?bqOCoQmv~F-jfWE{UMXjfWa0W7qtI zt4Jjq=nsP%I4GnStP9|2FP~z~LJr4yq>|ZXbVfI{&+fe4Hr9ieuiz2D9D|Ns32{AW zwLfNbzJWW#9b>P%5<#xxrP-69^`})L7GK%NJ|PT3=YabI!AxHeY5m+At^vmy+?D26 z!oPCVhw2$*n3P~y59wY8l@MI-0ImYpxGqTyZ@l)5`c1=HsZeAPA9eAsLQN)SoJ&#z zZY+{T$X>`qd|Bo6>zrWw=pqS>YS8mKVM@>4+DhPnTkeX7y|ke`4+dEz?k$r5CGF(q ztU@-!{ZoCctCc)NrwzmZ5V{Q4;beMjG9HvgId+VE{ejUfIP;s_5eVY`z2ZF_1+Q?| z)*RA&_62ElQV_T0^MH_p9~b^P8ySiBH@{63dRm=f4XJ?S)31E|zo2U6W_)P$uJ{0r zhSUd>UniDtMNVNqbSpaXUu*>0wCfyP6}I^-^2X-CjSS;h8M``d`~K)+mOMr=MjfJK zhw0*oz(N^h2a2pzH9eOz74-{lF6%E@qDtKiuTFma^poV z35=hrk?&vYVOo#}W{bJ4-g-0-kQhH|f|;DtAg@yW_genDdH1n5Uo1JPKzu?btIJ-o znt32N11v8t;R3zxIQ~^={H{k>OFHKuLBh3wWtQb7F`e($anN_hF<{EtE<>#!>`_Pnfw^OU2{Anb(i; z(f=hp4R8AwGSg8X61%VVV-8zEKppH~=0Peqqf@(5jY}qz*5j^*!*%xA+@%T+UCuNkf{D6Fwf$09?I3EM?f8uEJsx;8?k7&X0u_uymT=lz;ppzhb+3g_V( zvw6Ym%LBReiz#wi3~huJItjW8Xf0snBd<%;ur?mJ0HR1ZS(X58aIuI_xIUr};$l2z zIe|`d0O?R1T11qZBfdYXVhnww^BoUY{pnnxANxMm7#(49=np;w$33V_0u)7Vq zD$v(peoI2OQQMS)-vKY+0^mfjrSl?HjX$S5gr*2TX&M~Y^Vri&q6hX4KimWwJOS)4 z5d4iBJjguLjh+riD=8_uv=HB+Vo{ZQdie|LDT|!f2vt0xI%2rYO|B{4bjaiv(HV8n zp2|ZYn_(Dfz*V>CaWrq=vu{liC)m@SKEW2OaN~veRjL@sx;R3xJ-h;crMT(2e%yAfpMlc#zEa^W5al>`4m_!SWVIJ8X*J*cpnOVIgL+n?N4qMEKKV09-W|Vz z>oI&Ll2T6wZs~G3{745ffKDvtbff zLR@9SGw#~hfoXT$z;)bk#J>I@Xrg26W0`oHAotj*;O1ad&LI6r#)@^`g<&>%BiBNK zF^tmLm`t{9DY9b+FsLG!t+K}0!9eF;5(u5cKy6u)iGzh$hJl{yMln%_x*M&Ok1OC_ zd<3QBU50d63qyz)Fg@cc7$Ecb_iQxBr!S%<2b&{YH`I*(D?DZWW&sJrcVw&c-!#+7 zS>jG85JLlq8e52Z)PS#0BVj>)9@0Khy8Qsb^y8a|HR_WbOrlNl zgf6R;idS&8w_NQM-lM@ftOsEyyi{WFYC$&x#h3bJOoebhB^_u7B&57SsWs^Y4)r+1 zNgiOCM=U_v5U0FV5O}#0Lt)zHZr2f%i{KTdQ@iMjds(E|DLcg}TfVH+V^q?~#@=UBS z7i-I0C~cUvx>^DAYx^m5pzbI!CY&1!p$X2Y_qSfTY#L`&5Be01Zn15 z(hYFP$_Wp#UwK_Loc!8Rs=f}xB9ZA6JB~eQPH!BQz6rO_U>r(HBoFI&oWnwGxR^Edde1Oi(0AnOr(SS8Dq#bC)SKuh! zgY@?4w@{4%X0AE8C$q27kf?TN(MAV?UY-N|66FFd(NKfy7Z_xK_m>p^h~XH)Qg=Ds zb>+ojTLr);l~jYrOQw3G?eFP**w*9g8F(N0PAk_>(K1n&DT^YVpawmB`!vUwVOa}{ zj7H=s`QD>AzURTu_dl85fFq{!RAGqzU?{K({RIJ8LcS2r?a9%S{|K9o#DiYU2M&>p z!y#9EM)9Il-5Rejd$-V{Gk8I+`dN^4sn=*>f>bmJ^IuWI9QN}H#@&FyF`uqE z@Acu7)=SC}`CC)?lUp0R%(yhX{ZP9# zmf5HT=*8nNnB^L&q@FQ7tNjf)oZWF}I(9{>8b?(+L*aFrwv6v5L*oa}zu6gExD7y+ z{!wcx_({GCl{ihQ6Lf>giX54phRLV=X+C}zfE$n6Da&JH-oQleNF}AeqHR0yfIF#D~*K$x7P%BqTaDxuzq3 zK}NSVLOZ#;DN8;z0JA?F!sbIQ#BN4@62i7VCMxzFs^qyj)SQo#m!cmoG@+ASS!uNj zj}fZ|xWlJDsQG8qW9*ivV)_C+eVstj=e1{oxV>i<9|8kT44$igypK+XHVL63MxI3m zGEcwXW*ZRA5k5=t%|_$$Y}zi>J$r3CLTHe3>RM!SJ={;@vm6ri_g&E6PYr<)6_Rez zS*Atz`ejXb@J{|vkaa&%0V0P(?^ZBF=%XpXbvpk2{_!MHq@x%H7D}c9c1_)e#r3{y zj_+C|AO0P#@pzu<)W){MGq|s$Lo)Ii zo%Q}JDB1%Sbk7esf0xarr?xV4b=og&>vv>y1UhO;EBH8+)T{YEJcpR=?E(TRXYlK(^#HsZXcL}2 zp{OM9Esfq9(2+f-8`AIDo2yckEiQx=gLoF=g00_oNu1F{N$luk9 zL`U~4cs`BZMt#E{)srnf(u~LP2RZ?M`7tD7o!mUCo$724X&xt`Q= zdZMies0M_}Z9MLuE}|ip#HW-FA!L86!1i{R!u-K0dT#vR$yN~zN*s*I@vaiH-{SVN zj0wx#jq^=}SFc!rbp_^U3lTcm!R#Y6%R>D!0*sp~4@^|?HLhAr{)_?qPUKyL<{$qM z@kk2*ZmY(379-jJa6ZkS_ia zur|*l%zw;9_K28r@B5n?-kqMt`;C~vg<@WWJ5nNt1%FR8BC-2^&zUqo*8JB1&KYm3 zs3YVzDhbA>WV?7vdb^uAiL%@F3G&<}8or{87H;l%y2jozr=_URVW`Y=go)taS(NP6 z3exIVcu9XHrLFdA1Y;zT^M@j#Xy4^{%)QgNiyR8;dN8_{UYwIbIGs=`(^f}U;~7f@F!Kss_B^EaN3k+?1fgkU-zQ#W3XYm1`_eKy zQ)-NdO|~P@>ON8!xU|TGyaG(ALcyaM084(w44-e#|4if1kP6xDeuOXKTVG4nTV;(& zt>X)56-eLl_k zY%YPMHHEd>sy*n`S{j&7W@t?-ORaJWD(h|NZ z>)k(lsHkSuxa2I!z-pIA=KDnLJ&*V)PK=&$mCn_sXYtZo>>f!le&tBX@&-Q(K*((nP? zlKw4cPsX_e5EZrG&v4+mn(RzL;C##?Yzq!D_m%{mf)$G@6r;R%W(L;1lx^;_Ax)Y$ zwehh;%b%ZUY$8sB9iH&B?{m6-5EtwtwZULHP8*`IME4(XLLsPP9EOIw8d4#+=PdsD zHh%YO4uMD9vuce+4QuQN0lTHJJ$PDIW2;^`ng!UDo0}rX@?8Owd7-Q++q*K1efNd$ zNF8-Uu{50$LMi{=UcA#w(yWlY{`Cq#s&nYep_==b2REmA^#1 zb#yX{oGKEj`1nlnS6l@4s}T$G^-m{Px2@sngs3_rg5I}#8vpNIE+!2&%pQPY58Zyf zC(hzga0=tSO9a8&u#u1D$lv}%fBFY?7DhFUE2DI)O{@xJ&%0xO<1ZzRWBRdHsQT4b zIG4DMlU3!T(yhhmj1)f?QtMFcOAT=pvh^LA5X#Zum(71fs~I>S?uhU1#@~bz1}-Z( zPcM$2p^9|lSyPvSWusYCBljjKFzIqmeo;u`=Wy6gUi>}VQA`NNf(+y%tKs}k*PO3e zqox={2s`FIA|7$?U8ZE5k$(57UkO@P*1E<-yoS%N=ff?WyL2(>9V>AIKvcja?cWO| zm+$s2d=ExK2YY3sw?L-CK{&xGhNN+ueFgi$o1vg9P-Kce?56pS?A%kZe_$$a;hE}3 z&B+6<475M##*pP)+<6DZ-RbS?()13odWx;9165kqNg$Mt?Cmv{^x+mVN+94>){KX> zp!=p50-!DA@%@XbpKuDM^!!nDt%rR2$n2H6T|WGK?nrRY8huga@tc)=)pw!9lu2Gl zWm&CiDt9im55*(p_KVF0gD(8{i{jUj>QyB|A0^~TG2*t&Rwy}IAd*iet*@#`KMI_wx&je8Rlkda*M9APOY@_60vP<9Q#IImphY^ge)m{GIMDKkaJp-E z_Z?KsUZW?e)26PbBP4nUZts$LYbL;aJ0f zaT8B1NqWh>6!GxUV&gUm7VLoUSPY!plVdOS=ez*cngE*P?Lc^NLGTawrLDT>6aZKTn zC}}Ov@A#%KlSpoHGX6YTDNDBI%ulEUM1KIPDR8eAQw26Pgm(h>Vrfs9oqzrXLWq^# z$fcAYQT6elXRRV?f_iY*2#fqAHd(poYkk4p0dK0%-?IIVg`E+|6e-Mw$I0oSa~yn~+~)b@Rzp=8dw z?s~0=18w5oUj2J5$6G~Sw&5FvZ4;>%4;o@KPWvO`0)}!#e43Jru-Z2kEp(?;8N0S> zfMin6MsxpN3jPyq*?CKL;wNtRvMJZ75%oV+JnfS>rW^elue00$szVmd+)9>4_cu@b z5+%qe+X;$Bbs2IDW)hH<}5 zV!cLNT|ejiZ)hW7nwf^~{tvWKh=!&B63lzPuT=h*dh^fF+qvU-@WbEtA2|C_ua9YO zj_qatQZNMnMSjc|+5Q#^RcwHr00JfooAQvpwt)ZseWZgR=nOXy5F|pVsOq-?dY}J* zz_gB5g>+!&?_X~clg0DOXBD&4>T@;=f>D=rFcL?f7myQ_9=&`YG?9~(@i$?=8)p+u z>vei_foxEhFH$o8(~C<3m0KG512{`KlQBa-y3uM~0sl83ki*!4USk%Dp&NRIPUeP@ z!fJq(6y;uwq|;+3u7zHOt-e!m9>n=cVGXNnKFqC~#Xnuf(Wo~^QL-JIxlVEoFc}+Q zW0a~SxAyX)WawHS)I!pT!r$d>;AoAnuf-EDOr-J3dz%P8%9$?_Ph07w;>wgv{FiYS zlbb*zZHB{=#hVR)Ck5WU)z}A1n;N8KXdju9-7Oqce;ZhPpLbOD9W_FV)~7mivX8i_ zd;PkpP|Vz1+MEJZu81WE&hL5;TDkADKMHck)|guxK0Xp8^Gc^m9Pn_U&zC+dQj97s zfl2ASCMcUoKA0ZWU`8d}+Odhj@5N@`-`;G3$1g3O38uk2!)(OX8!>@gBrDS*WnTf+*A6W+U6<4u@p|9N4B}T@^(wN+6F{50^*c+{}ohh^~& zMh^u68k{s9lc9N~Se=>3_i9{cU|y~FtTMXsYf6GraeK^7Y%8qQDJqY0tP{omHJdCZGIWj2oBmIq|=!$##vLj43OWNB3k5$s${q*H} zUh5r_NCfmTv(|6fdUjU*<)c9&=N+m38@mR}PqiSdmkPy-X>(S;8Em@TT6fs9mcyO@ z8LC*d>Cv!y_`GHDDyZ{#MS!(Ie$o?)sB1wenOITI-ZLJMsQFxuSd4UKl__Xs*p%0T z)yaOKK<1GwlL|6d<&V>qi{nspE>kqKdDlMN5o{!xt6AB9vrw**zMMP2l^ST=npJ1^cY)Lxdhdv{P}c^Hgc5HBnF~f_?6B@rxY^GcNUlAIRB=Eso{x7>V9 zu{~2{hh%Eu=!MuCX)?%6>OfF>f9&bp-802*B1IWT4$3;fj( zua{%-bw`OW)-NJe@iMF0w{?cMf1S4eZgRHe8SF~f?W*Rj zs%IZPdt>t1P`De@1D_ohfc+6WnY>=Z7>O*{Id{?ZU-uW*%i$SD-f_K#7Y@s@nS+gO zYP@#x*e(Rf9yO5wA7z{|DXwP?b%8ZR`qXPH#h3jr&g`lA|09g5ag^P!y*z1t^^dEK zEFN_UmP@-Vqb0>vMyUtTWrEDRD6#pFw^q^Q5*Q4LzvxHb>0wW@5B(5W4fDIS+I*@1 zA++hyCzwIQuuC#yYfUfA3CR)-D+=9yc?0nzxJ&@<>$F@^W4=3`E2?oAXpX{E3(NhC z35%mQvU)fIa!X6_L)J%|AJU^l+;xsBrZ;>Qz~`*`GkI{7J~rz+wbSypscP&hs(P@= z5y?^HBL&IVw2#mf(me$aH8wN<+=|*l+&*XXO6S?8i=5-zL|BqztL=QM$+|N|T&*|5 z>=_&TrDq&WbjL}#Y$J4tsR!HHP$;a}JHj52t7}GSg~1dfl`9EvJuK~?YzigWuGhw8 zFu&kn{)=sVE~CSYsadRkbHaN=IC;}YdwtZtS0C0&hl}?S>#36X_C+5>Tkzg}MWx4v z@0=fSCHbkNFP2XTiX#w;LbfIbF8xla^{lzb>PiQa6aK!5itC_QzMZ%)kSq`l#4_2% z73uf;9gD*R=W2|97Q8sL@}@qKCbrR5d2S!BE>}m@#dfw@LvS!LkzSiggl|!6g_Lu<6Y*%+e9w*~ ziurSHZKH$J%a$yQy(@bzM*h@#lK5UBrxKS4%jdh1!q)v5xqr%L#RIQeMOb)+w$)Ng z%XR_x79Jd}s!UuOMT5m@Ix8y6Rt3uDW^wwsW0!oC_+ZZXOEs?e7z%~A6_q}Y3a^#E zmr5_3UY2NCDvA?i$5V^p@^VaGBLua8D; ztl|FPcE)(b0B=DYwtkn#af3Be$P-%Q$TH&jhngvOBJKngfbaFxQ~@QbSWu?r>HuOH zJ&h)XxO=IO2AZBJN`uG~>OI$=z(LTX8c;u*<3xamg-fH9Wl7zLF3RAkXWADWcAh09 zYUQ$64=YnJ_`oxIGT+KimJsD{t2JB%TpK;nB?OL1Gk*PBGCQD=scb2Q)Q^rzX#Z^k zAM|EKJ8%ZJ8&K|L(!PBWcoC<yBXLWF=WOutChn@ znQqN`VavTE0akP<-@jPOmK&dW4fr?!C{c!>{dBoFdXF^lcYugyw5Hx8R<`>Ky3ug~ za+HHpgy`YV#{17aovOP{nmk>PgiE?B(-Ek1`1pbqNdL~)I!H>&nY~yRkudN8%$jrn zn{X=WJBl6s^`l@JE6j=I%;G=O8Z-1$!gF=+2q+NO+2rj|C=Epg5%YFFYpX57bWIRG zMDrs4@z>&QrnL+EO!sIv#hk>1Ey1`GnTHB8@ip0l0alOQeWVy5cXQF?-k9hnX4Q-( zdxs2D9=0S|bj$o+R1hcTP?+lV3hniZf0w-C znnKYklAJ#FcaWNT0YwDq@fo9K{047U*!(Jzv69=gq!>fWtFuyh)d8cs&H?#yaK@Daym@EP}<}>?8ZZxU0Ki{ zc}s*`M2vtcpgLi;M@Gz7s&LyRE#&?{lVZMb-Sw{Vhu*f<{u~@f5+^{rQ=}Y(Q*kbX z=hg(uIc)P$he#RuK73;!@atO;iWb%JPZH*98Jr0fpNeF^5PcHCo8WAe(T46sUj-?3 zSe`XEUop-26@1vG-qxl*-zIe-$sT8AU`i0o!D#~zj3EZk#xsh~<@|ok@0%oLt?nHJ z&>~J6ikU+-F;xnFIuSkCsIBDAtx%j<2AC9E1+!ATdK@Kzt+h#vJDmo{l+acTREZX| zO2njzj~~5x>dfA(X0Ar7t?jru#;99yJdmoTF?JwV9@+l!uA#S@6ax{};7(739l2Yz zXq0V(W<}Z24k#$NE_hD&aCegtHMB9#4VA{|8>Nl7bbGgyV@LRET*ZbqN}5^q?b`V6 zt-hc#l;m8P_Chv?@XI4<7*=0#IbKGnW5v4PM3+V=VKjA(uio00GSoPk*fI zZcXZ-(NFu0UWCY0tUH_~CsHjtce70wGs6vU_h6MO?BO$pdR3MWjLH$orU zmIYL!tl)?(e@@Q0ZPU1hqcsb^E|D;0 zi3$-h$tqSc5<@YLUQ@bB6RIsH2M2-9G!hCsGd`OV0WoGMMyyz?yg~AGx4O<5#k;Hy z%EgLHJ~Vu;#;o_ga;{+`IK3GW&AUZ!97gBGrVtKDg}}v z!lDoay}~d`IvbS7EsSqisqV>O8k`ZP$J9C?pk0o?)k1*s7R$UpkgUF3Ou;`9!$?hT?EdE z(4+U8irpfisWe{glG6wkW=hVp2q*_U#ylEY`!*b%FMOiM5 z*JV;}9%Y=3H%lcNy+1fi^F~dPFVjq5A!MUnbPs#hWe1rrrQ=X@3k5R@hvEeIe7d^5 zTxYI0p+(vSj>!aQ_mCeuICn&94Lsu1SJL~zgu!?ka;?ovNQ%G!`eRk!?qI}yIc&X^ zCLZ!nT1%DH)zV_#nKV&b}wF+q(8P2sOrd;Q2G z?pT|n#ELQcgyyYpZ1ha-Ct@bLN1_i%uVEEdl~zBY{QO?=UHpe5QZ@$E&oqfV&&k^gb>21ez0t(0 za;?sNEc|vdr5-~v=9Lw{A9kJG(pqnk6WA>ducT*Lsv&5=@bhnT!%@RH%d!ATxfFmir!E3o_L@yMCMvOCEG1x{rRmqs*%8A z^y9}bTC)%I^%dV01$em(TT7gon2mztJZJ@x2K*o8ud8L5ro73zQ=33B()&JsD?UG7 zA(=9AAPR)x>Kb7ah*Ok4b-p+TFCj>W+_IXr6~dy z`NAr!;H&jkM@5CzKXxyAQhNg`U#{~wK+4r$1<3^o5a;Cj^wUz>wD3QQ#tfHG6o&22#1rT z;#u!*PzYLM$`#CyN*1L@e8p)mZ4`Ss&mv;MA8wR9qOkJs?9B7v&KNoK-71Nka@9XP z2LKZkD)@vXtC0Fd$H$irIEX2zg;HqUvt@fn?I07YqWW#A-!p5Wx7Ri)Q3)^RedRME z`}BL?-oK2nlaa{zyq{RmS9*7hc;o6*K$BT!LS{x%{D89m!0T?A!BAJcQZijuZnRm< znewZR_`&jv)T~Q8k>1T8sm8(L)w8B<>mj#J>f|AN>Y`EWu~O<-{>#1ebF9T8q&%q! z5b=hUu&%m^=Us9q4R0+NCu5FgK}AwNq$9mr@<+kjY_lC_R)Q1W4-dW0$63EEtJ$(K z8pkL9*=P2fZU4cg!L3`9PKIB2KI6M?jG9BOAjvOwcZXGoQ@-oBJwAcgKw~^pe0}`= zWu}5Aa4FzH%t1AKU*UP@_xQxb#_r^o`!Zz2#8dP89}VSRt?!>G##%~_mg08{JV;qt zFl%UfyM#)bDL!uJE6`3Qor$&1u)&obJwC;AnlWNMzqq&vPE!Vj9o)>a=iyONKc4g( zlcO84wWYpWla`Wtt=pQq8@lGoS$M~3nUeL+iO~r-K#Cb9XC4S3O5XjJFvv`;bx#r2 z`ZOAYnzoCWX2}b$wPZ}3@DE40G>G`v44s%7Qg;sI0`y+Y3DV~`W_@VUj!xghgJ3J34(Kl|Ups#a3NX@d#lF`qlEp$uA~x%v~S4-mQ8UU)sA zsYiPN0e9lD1V5(!@s9#p9Q;V`)$dN$RiaQ8-wWMCVGoIJN2uy1Qt|OiZqZWp4n9%X zO?|EOnn(x>Bb71HkdRTd1Gyh+_pUdjA5O+qgt@r#_&+;8VKG0FM8ZLMxG6?L;G;u= z@P1rPX+3GSQQ1TH5bXbwyb&r*Gh zC7^4gGFkb9{|^eWlIEF_izf5U=+fWUxPbC9A6 zf0dcvIk!Nch&LiUoZS3Ct8fkFTI zUVvSzh477Ih3edo9PVx;jP7U@&FpQ~lai@VKT;`Lz7|geYxFr6ldM;8 z4vCVs&7Eu=8-3Icwzh`8j*;R}zUp1OPo;8*%cWsoLV^=yE|@;v*|11v1deg-H3Cr){BIy= z&nHAa5Z2r$?mTPUlC-n;y+heWR*@jNfSkFWl^#7E%hzgFK>ZYE5=mQzV&ZN!(uY&M zZ#1MQs;sP{aq#PFYJfmSmXAR{dL;;HGbb$-McBbFz6ymzIkWJq`B2?}%>%0OFB3gy z)#dL3&!R0uO-vv$D_E7i#bLCiEO-U*g3w?3DjW$ALH>pwyIdz~PBBWGSl<;-*8lwV zVMG6V>~`q=$FP_nPBT$H%!0tizPa-k(3^RFTUp?tj5bNZ$M*mHfHv(dqXdJ{$=Mks z_|0|FD;HIo(GB_VH;!`&%?T8vlZNQ``?%c-J!<3b{3+B(gBOwFt--q_g?@2t@T1UlD21e4i?-dz`%QJmkIdk05)LYw{XwEI?&rXOj6twCQnu zBiV}|F0wzWUW5fB)0>V{mt=|OG#ckjD3Z=iJ>YHa`zyo5?BMurc-8_OkXY^`V7h52 z-Z1|t9c-jtOkFl7oRg*|*P6g553cV=OKuuJR{o`iXV>`1CM8cwzuMeYCQY>$t(TCO zm-j1eZ2E$2icwDNkW%jV&(neGl1Mv(_LEGS)dri&)7%1WpF|Q`P`#KhzP$gdq6bFv z5VPU-{5kM1gnLY)8uOu{E&HqdhZ_5jkOP^=&oj#c64UH7q&9M)y2zLOEPZW!bZA=# z2e|Iwy&-S}sWfBkwyOZrirmUjN!h-zM8px`B;y^*Wwv}66*w6SvpV8Z9Z}g%?a{Z) zgM^@j#6+}yF%c;#s^JW8+)8vm;wSN}sr*g-lePjvLIy^;g=1FCwqZ8lcX}3B@J8vg zot3X}Uw(zy;gbNXn){8fZ~gTn;NCQn_$Q~3lJ&ifXGRt635Fa7kkW;{eSHpsc(W5C zHWgE2W7gThL?E$PlD>6CA`(z#fIZw@arbp#^nerbtp{yD$aIS>77HYIfV5<4VWG3I zu)7yiZa9gHPC-~JM?l$#S;StAnTTN!q0*rTuAe%~n;IN%c@#8|uNG3?x(m0KNruJN z`-x#YZA~)Rg4M#C&)k^s8S2KPp?t!Lu^@< zrgR43mK-h2ak%t@EnugiBL0AE@E&>EaTXM5_sSQRISwHqo#o{!St;Tcn}5K_s%Q3% zqBQbjQ_~zSr|g^@)vAju+aCTp&1S(0oAn`7Hs03|9i#T`ji9}r@~z`7`r*=thk@IN z?$X<%?OYme5MBU||5YEsj+jWP_P<_irz=gID?VxY3rOixeHkS+wt!6p&XZtC1PHhsuWPk%cJ8NEU1<7Q&NqQ*xXeKf9Hq5{@9ZdmG}_!pWqAAcPkB@gw% zBG9%7SuYKR!`2-re~=4la0{t$`_s47)oGG`MGChQ;U*%o%wcScASNPGbUe$4mI_a| zbE}z;o~nmX=T%mgeO(!MM*5+?JaZuy7Zow_+=6f0O~0!*&@91$1;RaOXG0U;o+gke(hv-PHaHz1-48D zB?#~#q?37!$XmSU`2@9<9H=Y^a{O6VvAdz zfWv3;iG!=wmTj-e)!h6pSNw}Ea65nlU_v9JHf|&KhNbT3?r!z}PK->dI2X>6vWg0b zXEMk!1Lyw!2E*L=8!*=tL*0)3Ft*$GU~&eQWzo2FPTQ$zXz1u+?xf$bTD0U8g6&;g zlC)v849(A!a^_CY%EZ55F?8daLfc@ z7bMQnr}=oQs6?)m&ORVI&`Q}60o(fT5%Rz0PEE5hh9L8GcGd&<(@lwmzlsx}@^8J8C4o-4AUmBJ`sCYf6uPv|bgR6e2qMV{p*CEe+F92g1?nFoVV_@=omJI-Y~c{P6iA8UUZRpt7{{iBG2 zq*78UjdVx}N(e}Ix6+LuB^?T)fYOb0cXvy7r=*BhHRpHE`T5Snv={ibMMOj}pMZsG6nM_%W{fA$%nMRee|(qZ+t$|y&ewVM z#e;35b}Lg)f=dzp^t5<>tyLGx$F{EK}GhIX2(a97|`I#p|(LyJ8OYEa2ctAxbc z=_t63sjfW18Z=I*+teP=^d#GejwXyh`TA@j)D#hZ!4SFA&tw(rxvIjZrq_p3J~Yhz zo$j4&iQk(t{##nPRd=Ja@klk(IvMOyAT85wuj;9L4eQl`Ud-+1hv9Tb?v z`|iUu63?y0wDfc!hZv`RG|B=KDzG?U&nlR;YhBSWk@Bt6t}qV+r{@z;Va%3;UsoW^ zL<^=rr}td+t&uM*8&9?4wO7NaM>!%w@)cyVrza@_Byw@?;=cD7hIc1iH|_gRi5eCUICp91*VQE%6qU1v{OujcQ0l z?d_q+u~_7SS1_aGzEnl#ww?-L5Cn%PMGJ`h?cgu{01t0vXQvUmgz8({kVfDndTELQ zFubFqzTRH>WI>x$1ueZ;hPcSH&mE-QJZLbTdjK(G*;kWpjrZC@kIPCLH03U?WF(+B zr66t~aK9d^0mplbH@6-OT&$aAZ*C#i>hW#vx8cJ}?L;T6hzLGSB^g0NRbpUFx) z0Q2Ja>677%-=hqv55`fJwJrx~=zE=c2rmd=Qk|~ewlJ&#F9A5Rp2%rvOo1}k%M|x2 zF+P4Iq2iT>9le^~n>TE68Ba{aBzrH{5^R-EVSui+EnKSVDCT>Qam?Nl{y#$S3?c`` zK`QaXV#tx?nE3d^r&x;3iqwZ2g&E_QpvwK;*r?TSMJ`f7aRc6WETPE20zT-ycnNJe~JEvI_+~%Q2HjtnDf^;Shr(gu)=?|wVek&bUrsNve+nPSGvV5 zJ9E$l^U~$CQq&Id2qriL{*HSH%oDU0xY=AR0fYgZPOQ5ya?Mt9phal3$xrRf6inIu z6N&+E2L6MpG5_h8nJ=Os0KkTCW^e}_4q`tyU}D)VYk|!K8_bBn{>1({=@2R~tA!nEcJ zdvZ@xQPJlTyn)K;bSTzg666Y+tbY_PS#c1;X=Q*ea(>xC^aja1EBR5UZnrVm5W%yt z1nf+4S~zCc0OAoa1N#+ViJ$8B(2%?a{>jFfN2<0n@DlyKQzh-N-e)~wH~~i&Ln9;6 zh3<@TnvOS6yoN9dy(#)K;Z>?8<*lLS>l3z3-yAHJ-MQ+$#p}~&y2@)UG!Ypj#Ke-@ zNAjQlcWX?iLlbO`m90_ITRXgfxG$vtiVXnQFnP4Iy-iF^BJ{Oz zXn{M7fb1)^z7FxDj9M@hskxuU$y0pNIHe%;kESMYKuIt55|AIW+(GKFrj`_29)A-j z@O`JB3mZ*c`tb{6gAatdA#Pyl7#AbH#>I3^mNEj@3C$_Eas%O701~|C@rVRGb2N-g z+hyH{^MoTZQc~!D6WI-r!|pE!x}E8Q2)FSK&M2r_JyvcB*H4CFI)HD6r|)z8RDa#p z(f4zHUh0+C7JyNAul^o=`3BFX-2FcA@&OZ1h!nNUO|eZSH2wb_6gM^syrpWFR<=t6 zOPeOt^^GE1^BmasPv6R8L+=P%V~E6OV0{9c%LG#c{&?9B z5nzRvAs(v)jC*A;$$f8UM-c%9v_ps_W$`e;EjwmB7@aU{GcP}1s&!-px2~%aHhjMz zPXL0!*7U@d2FS-tD=TdQK-yBiA}Gg?E@d}IAQT>4Nf;=U?w%WKGP)jHt^`wYLV(UhpqAQY;UpJ z_KmP`D>P}n@VvLVunv)Rwhd1)Wb zw;Aex@Iu!XCPQJjK2{qvlrI;5^$MqdpXi0xPUY0|I|lJ5wSSV*<+|X$!>}_vQa=R< z*V58Y_u;8gr=r)6&JT$VIHzP~Ey~T^kS{ibGDYTVRtHVu0;6s@d3n@hFv*8pH<$Xhtrn15mUw7V0Y(8JXUe$s^9X&*9Tm-y1v{+f{Z>&WJnU;z1#ee+vtJ z^d;Wx6UT9^*xURA?m4D_^MvvJjO~g3OJ2bkTS#0FZB&m+Ig~i`LLN{MHM>V9z`c1(bXui??SuI!?RbvUC z1I&B}!Ou^Du5igQa8OpHvL;fmbZgXW_WHi$tDBjT@$wkVogC?q(E(9e*5%A3ACe0@ z!nh1PxcK|*$R8Vdc^4WXR6@$u`_Y{uw`KEh%BIr1JzAc;6@~NN^G*lXGNNiKp$u0@ z9e}Fo+i$M&>-NK2bNBw3kFG_`>l^qyeiLd|G|PQT8KE4$=DqRxcv+akB3{SWvF*WV z#*)X|sVjCM8LGu4tFT2Rez*dpSKpnIBbKM=QRsCmB?Oy`@jXSph72`4!m-+Gqg;BH zaSm97pA>E>G$?aO^(x!_h|d_;Lp7-7BqJbr#axZ>7W{^|IU7PcSk%En!KG7N7o|i& zZY}sAweJT3Y(?mJShP(#MBsE9`r{X2GDqtpnIgiS)di)G-OstMsU&!H(ITT#_0g?t zUN8aQ?_P#|(NLc#f#RVe83FhduI}!Ba{zWnY;))={K9(n`)Wk(-S;EG5p2bAHFR6J z((V32#2k44#6IQXas(o{y8tbuX=D|qvk1>(s>oWRU&D+dJ34?e#D#!m?8hw}aH z40PE-7&N=H=V*^5x{S;#?{f5kiV`F&-I0w}j?Dw5KN< zV}D@U$;rXt(o1+)h? z$eUgU2K;EhkXiw92X;R&;e7w}gCxNGgDXm3qz$Y&wegLzjZ)wk0n zzQX54*P4MOzF+S=IK;zI(HMDVVPD%gUxSpu%}KGh=SF7C0+@0Higucl+UHo+5Cj}L z$N6s%ALCzig1XtmlOvPKqE{EG@`9IFHd*j7&b|Bhvr~VI(OukjayeR)6KjPJDy+d)zv@w8iDx=8aFi~SX`~6Q5Y>wKc)LHxN4vmTMF#_VC09(!me7mQ1a#DBk}my zySG_a&Ec8Zk41umQv$oxN+8%``2-9HWc`ogQOEB@Wb&lmp1_WQUMU^$4@>jg5{1>=e<&UBbn{@MSyf$x?AOUCG|c z3J3TqacXJ4ewrdQESmFtmKA8k92|ISmF49L0Fi;O#DM&&0UFN>3Yakl1&@8;{?hS6f)C*w!sS$U#L>Vmd` z?-$!*uvNxREH8M=IWO{}{WADFsXBMEzwic_q62#VIo22G+vcaI?*=EsypJ_NJe6FV zk)Ip+;KDkA!hHjta~Qq|fvzCug`q*%&;H64Pvy)@$=QV2wJW?dL^zNeBT0CQ5(&Vp z+qrR?Jw&7^yRzl%AL+jMsDi^W`(s{)InYK;cJhGqVE!-u4j8kc@GMJA7v|<#z;;wx zT6#bVz8KoPgU2FR(LrU9jIwH1pv6uI^1kxw#&Sh8lPr?)*}tk%5sO83{b*y-E1^{@ zNJT}(%F4>e7uQUD_Z$no%4+E;H#aCrPSE}cRx(e>PODfk7aLq7%3f}LZ*r$)2IHVa zgtU9oM0>-5OW5rVLw)qT;C#u;J3TO91JiRb{p|@;Fn}u|$H55=3lkntR3b<$vr~TX z7GaP%PrFf8QfVrvs*Go_*v|9cR&@QV!|n`7P(9B9X0si3Y^anD8mIl~fw27^! z3U->H_pWL5yT~Rqcf;=QpPeQD{Q@TETVt)k(xLU|%srvDaUb@V@2eNnDK(6XOG-jL zEXgUsb=%(Axhg&V7aTFd%^(fT+*^U~SIX(LI66v&!UtW$uv-}Xfg&f;xvQ%yROh1t z`g`~@L(j~>%Ddt$2HOOl7pKpW^zzxwNLE_0>cct)%MfY}JHCBeRDg$a10}cj&@6e_ zhf2t2JzWA@`#U&SK_)d*U<}~QyPNu-G+X88|4v7ikBMpPZNca4@q~$=Kj8uVpUN!- zA(PPnv9b!H2emo$0>y?F^i!+vxhp z37__=we=D|9EIPSC&@%w0F@VJWFXIHVPKGQZ;th(p91tw2|B$d4^UAe3cwYl?b4Vm zc!H2H2?Y;eiN97?32<=iKvy(MG(U087>F!kFD!w1e8qo|R~eT<2^SP#a$UeZ$ugK0 z<1%BNnwpv2KKHOPGdlxBY2(#lAJ|Mm;&nz*jo446cS!#r`x-3M%0#mp=4<#w6)-)Y zhRMC1t*wWIgyOIM>#)d;>3c-jngd9(Z=r((d zJQJXtLQvgFD7P+7m;?nTXmx~iAub`|5*7@s>M*stU(a89K~2rqFwVQItSlT4qOWkN z_&uqw(-Hdp$E*7PeV57bl9GUcE`%Q#91c&JZ>p8e+ER)w5JB1Qpp1eBoO6I|+R$%p z1%w>pEfKY|!OcW0sT63BxBe{!{B3CMtX{ukJ; z?|k8j)~rdxf260VxCB1d_VKZDb@iGx6&2$nBL@)nWQxIw8_lXGZTuS?55ODV<-kxo zu|F~<2KeR?nQ&8qvv~Uwk~-ke?|^0ueX1?nxCKF`T04lBJ;0xFRTPq$7K~2L%)BY|BOrR z;oDky2THNGvcASLzge@dNuTPK%VNeFVT z=?lQ_0j7oBxElu~fH(iUS+AH!zk`bbCI*JKwzivOP8K4j)Z_Tm#Qsp|=IRJE8*vGV zt$89;zh&W<#AJ^4_HFg4B0nB@)X9`tzqPkVG@SspwhRQKP#oS$^^5V~>})!dJ>MZo z6=!)*AQ#TgYBMeas$t4~QA?r1T3eeGR}8XLvf&XG1p#iLE6J(|NFl-i$NMy6JS&BW z8v#n@ehgmbw^zSa)z#Mkg!Z1?^B9*kIW|^O60E!@=e4#{)7X?Oj+xwua6i;B{1*u` zVBUTU^~=|#hW?K!Ti5)xgpv9Tc9!l;8?ce8EZ@yv|x*oM4DX1$nu zjrGW!oNZ8Q_X0Gi*8@oxqz9x!sEU;3lontco8FWA>?kcGbISnaovRLF3uhwC>Mhl* ziqBsJZv6#j=jFu(VrU0MQ30Tc1B+B0baNX|+pCYWQ%zaELq=ek{HL6lStd2;tnB_6<^^r~cDXg4OnI zs13t5F#Xp%_-82X09aN4YZHr<%N({&*aw81w}~%R?vzc9kKZBEIZUUI8v-kOLC?DK zatB!D_l*sXCT3@)^*j%I=wO>zzm4h!KUw@nY(l?V?f-k4X!Aew-lDFmto#Q9IP8w= ziiQuE1TPRbHshF_&0$g%`2zg(Kr(D-Y|PKkuN*!DPJDl|&<*_S5wBfJcJ?3G7D4K@ z(q+(s2Z^d?h&ZRpYIm+J15Q{l&R}3+iJFPq=aw&p5-E7NYt0ba`x)HYf&B*vmU>Md zwR)U=DxmSg#e}-FHb^s}wsiY1n23+TK3M4% zfs%oTkDs~?#idYeBfq&HTCsWcpkiuik$k<(7WyZ(bVm7=%s!F6Z!xz-gK6qC)ZhudINiBy z!(@YflM?~hCP(;Yf}6ut4_$Ib6l4Oj%z6La-3-SezeYTM4=59towkBTkDy?8cXz`X z>iB*V&NnDA--i-&iH@4ugZ5`2TYdhFb{e)r!T1V&#WxPh8y;(HJa=r7Q|(mX+(Cz) zv#{-rm6h<&PzqbBH+7Ea_KDde&lWwX-H|&$ss%D18X6j}`>A!kDa$XYcZ4OwTR;)b zsk_a3sJnaVWtl_~oK3BZzx!fD+apb2$O018EshzbqU~*K1qB7zVA0On{4qOEx0jh^ znTBg18i5CyoL%3iuy6+sei$u{fRS2kGJY3${Gb{ZqB zYO1Pm_FerQ@JaI_j|3PfFvO~H4^zw4m%U-B??C4nF?DTYDZtiR=WbM>_=qz!F zZ*fl``A9HiYZ8EEP%!SD1wOfy{}mLn3qj;5;{*D6Z?7~Xmvzl8BqWMzIPla}RS{EW z{PfI!kPycqoT3OmitYm>Bp*%WN;^1!(Up}&5raoP$_qaNQ|3VPk!tZeUk(^Rhpg!b z`MR5iMobrpQexyI1~$AX2=W_nqS^uc@2)|E@EDKOjFSmJ1$f88b-Y&l4{ASCLx%Y%oP4`04!g(-P%9&=n6bXT9`+M1{??w zEv&=*;$mCK==1Zb|6M{wYY)VsQK_mGhEKevrd^!E0$ zHecD>ly|Xt9R$G$B*0Pe?_nV$A>qiR5PriekCCE^ijG#+ugkn~R|$=LA|=!N9`*@P z6qbW%tU>(hS0lParCVmspln!JM2CDC-Fqw z?%fV7{btHJp2(^hh|Yj+{oWzp5}5(&wI?5C@{hGNpeD&&w9R&`}F*i$7Gw*3WK=@c(VbP<)-h= zwLR>nAXP3_7FPZ3UtuOigZI1=YMAMU$G7P)syH?P>5$I{TsOSHqF_vr5iVB?4y7(; z1R7jpD|2%fC|;iJ%>8-ZLtkEU*qg5;saytI02m5-0XaB6A;GA_CCSPFyX-47VrPRU zJz<`R-!tT{cj1i+_I*Dg#M0`f6P5*zEg&}@ixKHL8X#Zv6M@v&{ig}Q(SNt?V4bce z+OhQS`+xvq22B9T8hG4RihqHwb3^Gr2WITb$RCw3gtO*;Wh z7A*Kx$K;32&?!UXFQa`fA#^cAKv{VKun&s$Q1bT%kUzrt2D;ec zn`DC-);1jy&k$A?Gi4@#l4D_F z%FoSR9wSpo7L+qsw}k~Mc&Upd3oB!yaj9%Z2wLhSrDFI+CPz^y0zwm}%gyd{djZu$ zP_XC>Qe1G7BLDMr9;3FZ<8GV;>p2iG5LV<;Xb}motKU9$-SIf>`zVhY5kjxNUysGj zu67RJdIH&cvFUqL8e!y#bDjJms8Rk261c4FypHRkB;mm$AHphGWq3WdHOWx+b!2iC ziKnWMqnKtyqMs_rb6LC9CTi9ao#vVw5X_m1a%2P#7>UKPv$jOR817> zm2KHAlr|yLU6vxte{BvH`>@%~4cKeUEiJX1JoqdSHxtx#olBm@s>S1%MX6P6MYHLX zz7w@B!*3NBO1k)k3%v@jsdi$=22nb!pZsYSd(2pQVN!>uV>9|SsZPU+*iKDWu+4-l zQxdn6u;76!y?O`%E`nhEtyCwaYRTi6PD3TV--`a#A&F zKyWb|&t8N4{4c>OC^6L4colRIV1)3$TWN>M?oh(c8oR8Ny7+)n7o4wKHrG?fk5&KZ z+#b6-aVzY7N)YQ%Y@RYjmzluc$Kh8vwft7>9HXi_%squ98hSRLE6L2dq$D?2ZS+ux zV@)u~x61zgE*2R;BIz|YnkE~~raaZeR8NsC=*WLyy1iaV_af2H*GRbH1q2xu*!4nuKXnr#p6JrWazEh1oRAtZyM9oQmMf%pU}vx1 z(@EBfr7%^xXow!a_nKNm#)%%TZP4mb3Ypa_Q-m;e=FIkL9=+qoiQSKe+l6kjk zDPo^$bXfk9c=T?`foQMuncMRpss63QspaKIz^8)to)NGfKYD7^*$)pHtXK#w`;MYO zyj4|Ig@fM`@<7OAt{}`Vp%O0IDcc9JAxyfgp&`+4A9|WVA^E%VU+CTxJDY^8Z#?}W@vy2N0XIX7_GBqw0OP6MYF>3^*70VvikL?#Sry~gt{2uAviSnXY-Yo=9LtgwS>O0E zPuym;ry2dyeX0UhpI*_fWNTQ{qmFf2;l~>=uBrre)!Wkz3fz;lkc4 zlNU~Cr|K2ke4d-qzwwOUk|Z{bo2<@!M|Jg@D^K2Nz^LXZ?o#M4(*@ImV$xcs7kK5~ z8FQAz9i}^ZU&D(gnV*%rh2T|Y`Vn!`TWzb4cVDLa4{&^GP%Bm4P!5oh>5Ql&4Ih0T z*Rr{-`U5@$PAarAL>z4@9}oEtWxI2!EEEEUxuhM0^wkzEJ?%nn7X&q^(-$80^t* zO0o@AyAK{wpsUECym@$^``%`A5&ONBehAoE?B>cE8-`Gc=NxwHLb)= zQx7rOuvok4bRG%XJ$$+4!7f0=MxoqT#UC?0O$=Lx*CO(A%E{Wxqc^0biO+pm=-0D0g_P;{k%vN;ccT-r8^nw9G|-lR!c%s1W)M zHH6Ug#_EKiAZnHAl)K33sgm-*!Jh&PgVRI2s4n61f7ap7F+z53+S8lyS_)c0{$}s{ zG`#jmwsb_b2_Ai^jCw$_yO2ypN7pt(jTg6{z1I6p0)zzTAp5HcZ{i}lv$#@ule!&1?<;}+$;rR54KWfXcUN8f2f3wO}4R4ZQDM`$rKpY zj-Ay=`oo%gho>T~iPqMJF~{7>NoAtVi}I17&8lZX!%KzAH(Nw_yxD8F{RvS&;!d^| zHI}2ITqh@Ka~exvT0Q<)s;!w8xT6$&F`0OKhob`ZWkG(_1!vXomfcu)ld<78GRjAp zMgB9KmIp*9^XWg-Vv7<*Fh5eF^>B>}>-`;oRWJLxxS_!VVv}T;xQAAaa?m&j2j%OM ztj0V-U0nqqF_#c}ZRTc!d?u_76|(_wk{+m3ayIr2k$C8qrL5BvPq;QBu<_yB_nHR} zWkYX`saBSBarfPspYAaC+MBuI8`YljWG-FcpAgS_PWD~d+0frE{1 zl`?ha$gk3X`d~`XV6#h!&ijS=4M4?r822mcIS`RH0o67mZP*jVH-8&=}IHZQHj&h9Id>6EQp zH5R&Q`A4V1@iF~SL!z|Df~u6hR-h6iIVIcqzG>C(lqZjAF(-u_lfrK`5J?p5+3_(? zkDVwaAE035Y7#>4`}nKFl?+gT!jV z<7faNIyBiO5Upt(euRr2%Dk^I^fRY+ditPg+PNw$G|WOKSo_(b8B;vbzBTJI$B*Oi zjvk{!!#|E4byyC3;Y`#Wy;}Q8*ixgM)Y9s;k2n*SH%PII1ECA2rr_#v;Tp}R=C4$^ z{i2dtVcVc&Z4))^qZHM%LT{T@QLQQTmp~InZ>1B8h^;Nmu$qAnorJTNrTDv|>C9d9mreC$DvgIE3a81dbU$__wwxXStCD}D8{=%)$Q%er6 zMQe0If6@60bl%oxaRI4?wxs6BYB^nBLEn|gi%W-$ zpeP3}k))W}ajfw+OJeW;zO#3uo%CH-q|oY6dVpG;QHStDV+9A4urBd_CXi=j_=|eE z!7*2QkaPFuFVpL59vb5sl4IH5jxIwxu<9@GxG~xYwz6kNi`I}R*-L6$&V%4i({xPhq}_)?q-zKGacCo50nBV|RjJ)N zl>IC$_#iL^>;{MF zixM)!*F2SW1y3y!*_fYLJWPw<$D}Bhfa7|04#$w?7lXLNZ7z+VLGBP-ZDK5CH+T2? zx;p5O1d-(kRx#HfY#0o}EEQU;D5*-J*2TP=luIzGH{%^+AmH@u6{f`7-#I9|A%x$; z_0rd(!;>1y?|!jiH+Pt#K8p)3nnrvJrtYqtpS9%~8LO}&ADbG%3WZ40#?rF)=C+5h zc<67LNn16xPR=l0``$OEDget;sk6y%Rb0%%plz9Ks zkPK=Qnwqt&<)&eHbEI{d{n<*iZo^`^dvXrPsxUO1@Y@C12ZkEv076s>y45JcwV5`t z)_PH^d@C*g+E2`UjPLH0vi=adJ8c`w*Y~{YjmATa_X4=Pyiqx$lWn8id9@ltm->aH zg+16?^&(xfaeG=M*eqBtuZLlr187??pm>;`4c35OG`_`t-FfEpLaPM zLu$#(tOAUcL^oBb22`xV{E7#@puWVEkRnkl*mmg|ZY~&a-wWWP;U~w9@U%8BG)~?c8F=`QMo6xY)-;85Up3J`?1PYbkHLRK3Q1mjGC1It~kSB*f;Bs z^NAIeS8W=9C><`gUTWAi{z57@$H*RX3k_z%GF=7{%C(@QS?*A zqR$n>UhL?tcC?ztL^6roWcnYyz1uNT+tG$fh6FyUM?Cjq<^QNF`%O7{Ay12u^b8Ll=A*!Yv(*MhE<5xPwH+)55x zEDAgmwgUkje*_6;s>YvWEGy+da+W1gN)A&Gw)gRW)y9M6u-7PMLVv5`Oxpd{d1hIB zuTj%As&Z+mUCoX5bl@Yi9)*GDG~b<9k$kT4ug88}yxBCscfikRaS4o~zTwiK`jMio z%{uj?lKv92uA0a7Q*mzCm>r4bi3zFrqO`bd%s?fpJ9ewn=&ko(?E}jKs?JHd`-%>g zl0SF#B?#;kouy8`J0--g_X*1Gc|b=p*D6oFu!4DJz-KbNK+5BoAYoOZ0V27Pt-e22_GGITpj z4?k(3Wx3A}#rYp4rI-LpnrULH_du$wed|wlI}3wv?NJzi)hp+zOwyj@eO<>cg?Lw}c^va&sx0LejK^1k^}&&1?6 z02tu7JcYvc9(W;SW}=Ax5Dje^+>aCyM~^XXTtAZ#%Y7s>PA>nXTSO#IdOUG<-rF6u zB1gqd=R&F!CKj`W6HcXZ6kZ#9+(A4gIQ2Xb)nx( z{k<^&S0_i2Gqq8%B z3=wH$F*KuqDh#^h+qYiOq6Q8kI@;ROqvrtg8|TO%2hGM^3Ju(bLM!Z`d{Kmb*B^_I z+uP`4ch)Clf8Xb_zet3;dCQtYdm5ig1t@Ghar%$gpMLfc<|8w;Ac|OsFcM%YdN*2<+pVQI0}XA zgd#9qF|I=c@M@aE?;H+f0#PO65yQ5n`CprEB@K<8E>Yo_ii^wk<~ zM}0D*Hco^gj;=+U5l?*~>%YViWgMgJW6Frtu{4@TGt<3>)6sSD4hHnqKW^khP1$OS zL8)$V&0n&4J2HH=Bg(3-sL_dF!L~M~iT}9uWcHN-%KvUoi~b#L&5yGPs_I%UwUmwOQP5lOb`A%tW#C%saXb6qh=u)@MX!X(f)0bZdHB8oTG*t zCd|^G))VPo5K`eHTON0M>a4Cn)uidF6(FZ2XT^}mr~ErZ=zWnYl}@TstFnu{fFh)M=)OmQrAEExQSE#>>N;T3HwX(!4H1c<>2i)7=BuwKRTghR4U-CW< zYJbxuSyg>y>7S7{%XSp{qp~E=28Goi>0yDtMx{dvA=$d}VRlDxnzxV62aC-FmhlSy zH^JY3VI$rZ=_Ms3*pQlnqh&HE;+#YL4)6%;>O>mxfAtP$qMdD>)&Eyrb9keK2ynoTXULoss%ranf+YXwZ2LusLU&{ zSa*iLX81d1m@qKWn)sr2@M3m+ZH;N!H4d_-|2{$L=*UPZ6kr3$+{~e92ZEZdg+))L z7~dzed+yHohWw%y$X_tgL*YJzca>w}8$Grhr@*BdWl;ZZp*3mWt{#W)!+r2up@a5F zWwgz-Q)?^C|0*hvs*-Q$-&7k3L*{fv!DaBfja;Lq+3VF!R)eftlfnP5tNt4XXm8HD zguvZHj%5{!3eW`r^}B-&PAC6vFhAL1!J0_+xTJ zl&F+ZVeV&7f0(O(M+~EkK(5P^oa_vLYEkV&Lj$i!Z9MIsvp1M#8Ij0i%3<>rR|dMp zermxwg(&}B{1o=}?d^ixTuK%XNLRm+a$CapM|4IQp_8#NUqAD;`a|^qPtJZF%j(X8 zqXzb`(R-{M`TptcXzA8(bR&N%Yhs3Ku@o>ca7wJ*(I?C0Iul>xbWp2l33_xXnVsif zd0ydQL!b^x15HH0X$M^4V5Fr923zvu@)T~wU zzrpuS4q)@J7{0XDwt_Hck$X0Oj3CE0*h#*~p)1XckZ~yTkAn_`u!`lmfD-|x=)3gg zx&B&~xqb2j$}6gYSp9hNJv`V+1cmX8PxECyRq9X5W?D*#OEa*ue9Ckz!1>ltdQGj}~VLGar@j@7Z}|!Ibe% zQ|$n6BzP!nQv5+7pR&n!C#O6!A$nGW{MKAV+@ZzXKQ%%7V=Zm(+G;sCQdwU+k~`_) z%WA7XOj@mvEdG02BvnE1Rfpycgtu%_(e5I+^~|9Rz*N$|%;hk$O-kt^Y+p6W)NXnk z)JpbTI*mH!c1=y!SJ5vGrIFhi*=$xyxh3-IxT@^~OkhP1z<;{;Vz*9J2E`mZ<#Z;XY~rf@Y!4U?`8c|ikc)Gz`8SdSeTguH5f) zOvZAUK}{+|n4D#XQ_P88mdmnH%GTN)=={#&JqyGGWLqAnQ)_x;m;dL`_4j+Toac~{ zo}pTbK_a*$u2#SwY9;`Uf0}yBg&)4(7467?4RS=c$VFt3$h45aohOu(#md`Z)3$(6 z-iU}n!I~MhvMfp-N#((*1g2R@zY$Vnzr5fJ^g3L;grM?4GiKLd5 zZi3%9AzKwU?dw%_se&qAr6Zl@ya~65BD-abik;dNh7C+A4an7#J`YTsrF)XCn&hKn z#qqAWx0UPzk!36}`u9q#MxOqk#fc<(H;jkFjozMvoxSI7jGfBua?-M0lhpt!GxYQ) zy&LgkB_`DdEH6y!?Db1qmi1oTcSpt7Ll!dr_D-5^r>v};QF=zN_bSk&ObgjDh#*Ug z;L#Jz%+(=ovl)Mz)qlm<|M%-sV8$AK zBgJ_l3Zi9E=D_Vho*qi8jsFu>eR^_DDP-e_N5I1$X*+ng8+r4}kD2a&XUYGbssG>q zsknbdzSMS6hmB$K*4V+(-o(J>zaMQ4EwM4UczG$ z&9gboRsB_c=ETN!^zBFC$>f3Ic^Q_u&XX*a*!SJ)UuQ@LUY+M$pDeeN+`r+2=>ei0 z?uZgz+Sq{K)yd@Yuv;D$2aJt%1&k%XnOKQ?*4O3DpV-#nfg6OQCL?&lF@OF55 z{-FOiGtv#^yjpk+P2p*T5>fsygY|{rvjdIUhHAfhx zn+iY33BF_)ti()^`!){#bqhD0RPKK2@{N>`C0;S@=-!aL8H_R2UoBo|0>ZKIN z+0-D&L9T=DFDoa{vBJvCR# z2h>1LIeS0l;iHDMIp4mxM{4KSB1!c6arT2cZyLjvL*epXA)&GY)|KEiJ=`=8XSd=ZXI`=waU`fX|U~e_I_G!b-DIsSZ z`iva3+a`~$ggo!NRbDlhaH&tGh$;RQId&Fz$oXh3-E|_GZ~cfAD8xyMl}{qPEYb zQVn-ez1(^%H$wm9r=I^?ET<=RRv!JoOa5?cVgI}JjG;IEE;IX z_sHq5YPBP|A77T@YM?1OoFVxu7%-nj}}^scJjb$q3@wp zE+4*-hmJNMd!B_J)Q`M-qUY`TVje?}{{8E(D7>bLr#|@>KBzvHZhF1=lNdZpv{eVD@Hc4jT}6clNt@Cok7+s zc*8-s>R)^dL4)dox)~F9sXZ6==ZE>v8wndth|%gF(r$Af$|J4B`)(ZZ-14-G|Ku9M zWxpG>MMjhA(O*>CJ8KtslDn>w)MX?|#%>&QpvuMP&f(G+{ZCY--Q>9FSE=219gVo} zR=?*0x`-c`($@Vn3&NKg{b6N6@%XFy&!JJIV#m7#Q~PSv)r`(zX_J&`dIZOZ*uw03 z@gEN@ld*Fe(sHLh>=#K_J|1$XVk%lsMc#XaKVChzCq}1TGtka`m={Z8VU2Z_jhQrxZpvAqwY7)hlmwjJ`O*$)qrLVqB)TjE$>KJv zLh3B$=Zj^=D2-QN7ic2?ii**NN>WIVW&s`}t9}eY8qZbD7HiIzf8i=8 zD1P1D@D|}FWq6EZ?T;lZ~=xMxH;%HOy zDTnq*gWd;$0*1lvZmi=tS5gHfyWS~xg1%2AH5lk7cWBhx)u>af+PUM;V&xrO-%S_VgOTF(FTOk2c z?>tVAa;L?&Gp1a&lf>!;(>$!Q3qEeC%4Y2QN-j2%b5u`lS7S0herEEbc=2At<(hnv zmh`P0sJ&M}J!YxYF!YdriQoa2yOlgO@79%rz&+ka6b4iUuaXncDR5eU;C48Rwr0XZXf1`N z0zRKiQ^&he!6_$R&e;UR4eeppla0||85{OoYpzSaun)br6V0Z&oz>4?ha@t0%v9{eU#C#$W*IGF9UWMgZTbFjOWG9aT6*)i zdSO><{>vt%oA~AXa#|f*Tm;zRuVc+EACTLO#EE4}b7m6wNqvvfJ47Kw`#yM(i*4Q) zxl1~6nl92n-xp}(__;19;`QDVF8zt7`|EdB2H{o>E>gCC20U+zS(tjpbl4{p@-+YM zIVlkJlFNN$iPJiCBr{XP_O^d3VC9?PTl;xgJ_p7CyUlZL8hZTfUJr$?#qQj{JJ z`dwXm;4K?Omizx9xaIr*D!Ao)#{2&s+cs****<)R)5unG<10buqj`_-3fT{Zd5ULN z$KVzpu>gViii}|BYUuUV;Qo*L@S4KMBiz=-ukQUNBM&%=e$yo^)geUbf+Ia`o;gBA z(Vf##efDdAxA2_*Uj0AbEBo72z3c7QKX~m*@F*IhrPKE*&pgsf=3cOoHIMbLJoUu< zJ-5UA^Nns(E9Pz6Yl6$guH|^se@2g*k_J8ue}ADL)`GcaV_UOMThxk9D{N8fUDJ#- z|BzTURKB?IXm8g}Uvi3@_Z{J*|A())4$88P-bLx|?(XjH5&`M%l#~W3X^?JELg|)N z`9SFoX#@#rBt!{OQHi}czjJ2xnc1`d`<(goeV+HdW36jl(Y9GM`1a$YT!@fRseDx| z=3^oE-qqpqN7_w)?COR3=e+*h{1Er;PQ#{(`^ee`jgtR*9wDk_GzVs=8=N{HF01%| zl^jm!eXri*>05;SW~1aV2D9t&qTB12;qtrz|1OqxLYs}R{;E2@ zQtUK;$F1^E!G_+}KR66{rO}Ze5HGy-y9B9?0mO(-_u0G#A&?`Sohz zew}g3v3A2bWi@UwO=kg*JYTKKmn7FmoMl_bNg&Wq3hV(GQNB1JEENkmvbL(>@EnV~ zsv-Sr_>ghEwW{DTR~wPAM_L&E8@OL zS@y48=3UHsm$#mRo}bqxX%WmZa718LJvPA}iCg2ePWJJ6#f!w#oL4TU8LtE0)xQcd zk{gPD)6CwNeEBA0%8eR*u8}74U+W@!ZKH7CJBE69EJxfAd{4yJDr!?5#wZ0P9TH={ zDgLz$$JKbZuobLQ&__F+G4`ok){5YmCmq$dw3!cI;cg;w)5k7UXW9Jmav^!>^ra6`Zh5SKnlF@!$_F|nm$aBO0HzEGoaE%4}~ zYHqXqf%Yu3+>hMuX=x2?%0t@P29+)ZpMc@Q4yt{r2-(ME(`$zFB9H#GD%uP7)$aN}nqD;dcoNfsOWqhc=#;w+PBM)uv z0_^>Ry`kTA^>pXgcXIHzU=Wk!6BlI=7Z&Fe7H1F?6yt+Gh>Gz^h+8r6D;Yq$Y{DQQ zC&#bGAi}TWe#!% zr}6)PpMU?6owF0aiK`iSfvyft`uCLh zm0bP(be(*ay&idcc{+LeGf42?_kFWhW1jHpp|Np1o@n`4e z+`W%-r(x409~_0UKU^8G1+#D1d!XPZl@VfNAeE`BC@2<0>sOd4{zc4e^e4zjoBNl^ zHCLgI&dG(rL8i`hyKyWU{BT;%+j;}J2zUSZ-$4G8m;2%0TfYZbEt%_pWfdE@{MG3I zOiW=0b$Dm^2h0u-U;t9N3VQHoycWN1{+&z%A^zHu^U0n5_Xfo8E)d=eY_AotE*k;k z0u=c>wmE1#?j~l=tA2ia=X-bL&tI!vyz{l740Qzu6WfMiW0tpWIfho$qn!d{Zt*KeBa={fh98lWhNbUT%CuG3)0&xpS#K3(r z;KOslI}stMMc#wZ4IXyMI<F{gV@%m`wY7C=Y<(q3Tr`rv#Q1QpbAm;F!@7(U)j z3vI&AhJd5k{6stLwJFATKu78IF2LFlRTCJ)Dv{uFRRF@SSWIHbv6pll&49t8#Z22R z?{Z*^C1(pO%`1R~Kfiy>&Wf@JjQ=~!%BO>LyAMG@RdLUoqVEcX;jO8q+uRZc0z5)} zmB1pQ24lysO+D+7&HUwPt`fW3sRg83eh@+n)GZ@BEqHDrF{6@)KpB*Y)%3>EMzVx2 zYj~FgH1uOL7h6y1zXKy(<=LYizAjZ2UNRzeuzkqbRPqG#IJ;$gvsOCUkjuv)jfW?r zl@0N4ZU29t)kh$Tp0ke*6ewz7p>aP2BV!E&jq0dKD)FEv&`5ZlTKd(Zf*sU!&t4}a z-AkchkwyiVk@twcfBrP-?B3M3Yoy*stN=z2qfc>>NDgYvKjSeu&HV9K0OhCD@veKIDe zG7FmK@+mHhMbkBp_oj_GpISMM#5_JJsHkHq5?Gxk z0S%CRleVY;-if7E$uy{9e@vvC>wb)aF6Sr2N*|I}|l<7XM|fU(uD^9!!EHKTBJ*30$^L=pFOQy0mqQ4($1%bB@C61>X$&WHOMSEb_p1h1$Ws%{^ZsZetpW)PlA(N>~(}N zuz8!!wM?h($}eAqRe|9Sc6kC$<0NG>pmD~dl~NyU^H^qjFj0H@mg~6l@yR-z3>X0v zW)i%|W*xqjy8AGXIu0|4dFR3!R6?TN2}4qHebr=GG9cdTmB<$c;q}~|)n(zCwksYd zj#@lZ5*#!aKt6@x5u8fd-!v>*W#2K8aR+SmqslOQ_y4-5zXyjFg&g~!8Ncy91ae9O zFBEcZVXpG2{2qSVQoTtN1fH9{@C64JF z<5a$giw6JcMvCPNZoOBu_T(+t{OGt;B;t9a$?_m~Ec}{u3PD#z!y-8-vApQcsAT|pb>!B z1emFl)h|Qpz+nb9(<3;<;mj%q1}&%`fzN2kRAeo$ooek=y;PCezuFU?>Rg|(DZ$+3 zz10t9QDC-t251ln-Qd*$rEVVm=YXUw%9B4G4HO*Le{h{MJ##3|3;5@ zkw6U^3S8uLI@yA6icTFFOLv;cI>Y-Md<=y7ABrT2KLZIw+wlh2cwkH>A-UWiDYOkE z*cOW{^76;0v4fZ5d>*XM(^m#A|4H#J%?(7hPq=Jq(0Vgd2<=n&Dck)t1&-i zn&IfT$;e4>t|Oxf0x_+W$L-Klp3)+RpSBmi7O%%K#8m8g|_ zK-92g+|;Q?QeFY4xsZDWb3E~X*o?N9A5GMurh*jC$o*)yvAmL8=EJ4Xczgcta9A7R zf60Fnnj*bHFgIL8n3x->6mdr}gEbhfpS9%Y%Vk`yh8t9o)i&P^-snRX#!^tORBDoQ z;V8mg0Vd5<9?`v(Io|m6a+acj$pWO&$CW906ypp^ECUticoDSN{7RM-h*$eBLmOco z-x>IBsFRa?+x&26JZErZqcJq5CTG`=;!yH4o{`=IJmp|trOceJ{O83lzHpox5nbuR z>cQleHHZsd0kG{lh-c+1M-%(Ap(-dyw#ZVFg?j}1iQ)6atZ&}Df9}jeQ9~oqHkllC zRX@|DQ^HY)a*SsN+A7E*MLj%Q*Z!y?VG5#BXADx(RSvo8+(E%0QENnTNXx`aaV{}( z&-(8CGI-cl;urW#Ws8;(nwL+MJPP%QMas|QIfPQn-gn^)u0z=MOzRq@oZostDwLI6 zgu))j`3Y<6w+1OuQPEtdB9;z=tYiQAWWMlIGUrg0rOFb9?|(sDHeMkiCb{!z5w#nP zKwOp3Bp8sNJSY%x{rv7HocOhLsiEMC*_-O1Oz@G|yY+bwl!8w-qtMat>3GseYtfd# zy1v1I7Bx46^%({*~{(Cj#qjadDTK z-Yo&_iJXF)@vqm5LHE0A&{ZU!3NH7VH)|bsK0c@>FCz9FkO!~WB5C1#k~|2ft~aXB z^LPvg^j8DagH02|E8q_gayCId9AgJ6R0;y$L@zk`TcWxY?)}^}kFRG7=sxNG2ZrC> za1s-9@50%*j-W!%l5E2LGfkyRw)h(%uESN5aayY!K4PNhFG>{B642Kc3rpoQ=pLx zIhbL*B+4Hw6lgL)E27iaNtcaXmP9Z zAKyP2rO9M{Wp5RfBJGGkpE{#>)*MSxCdn?dtsmSt@b-g)ov`)#zj5v+2KxGe{Dw3J zO9}z7WS4q*qt^@##`l%C$<`D^TWP87ss=(%?scM^G2zr=9tjcKhHX}8!eBeK#vDo+ zS0hNMi4=D*F}H?Mi{-hGCM36=ysRNs9e1-{$mPx6y7#VVBPk)k>DoAUSWi5&VpBMc zC?i2KSoCwObo@DT`=A~Hx`r-7B9iN=p(24MQ*p>q?LE3+m4{eUn+T$jXj3XQGCv#p zfCfn3+4T)Vi1Ge=7R~h-TES*A)T7O`g!->;877PNRPq1l;=8{8`C z4*4fND%R)S<4Qa#PdfEt+dwD0Cc2S=G^-0m*WUZ@?~t0A z7~oou?uz6jL<=NA^h9(Pl>COK5aKowiHO{c!noe*lx$V@#E?0Ah$!tEl-T$G`e1ah zpeQ||4h|S*IBd!+se;nSq41Ns^V?V5TXw=nS75nhanP>dQDkWS7fJ*46{}9QE=;Vp zoCd7|5zNrR<^JRKO-C6r?J`)<)!^oUeX8-xNmLMDVNA9!)mAa7LsRUJTNXnk1q+r> zHnw26xQzDo`d8}84&}cMhvx_Vi;81l~QlrKF;A~M5lx^a&$Ai2CGE*qs0d7^kH3rO~IGI%Xs7V z@d$)_nhGeFNB^kD-gZ~aaWMS?<7}Nvm5u8zpGJ4f9wPC^66vq~ovfRa0!ww?>=#UJ zaLr%CZz2zBsH_m)LpQ9&+_HY2Z!uMyh~tIYk-ez8A4dDK7=l4Ue?cOd;9XI+tFy8S zsm>Oo|LGP@jYl7PtQorU*4V-GsRxF&27<5CX8JAa+&S%E>_ds_k{hNi}c4dQdtlJzFvc+{=xhq{KwAvC@2(&G8Er$#z`_n8+?ZCtMfdS zyX5(xfuF=r3xmPm(7U54rxM$A5x860$%vnUjXkajyeWh{0--wZoH^1p*9D8Mn(bgUU$_w%)L|EWAjTxN!%C($jG=wy+>u zvkRMQuhXmhPn80#?>v2s29_^E@FS{tspl2YEM3Us*>EL&M>Tt zxYG*Rm0$2~19klEV!a9fQx?h*K%q7Z0tb{R%);xU)l{bRA7Z?{b0vB9_6pj}E{Ger zmzbuYmGMx3;fq2Ayp52I_mb7)kF^H(c6@#=WBfGL$lBn@Lq2)yGTR@Ww~5{q9A%{Lf%l!C3+y9l|I00?c^6fft4j#xj&xL*jpfqI0eIrH`^bR4bC zYE?SLjoSWo1w5t#-j)1vy)QMYA&ynR*i?iIYdCOfk3CuJaaaZ@dFmEG_0U*7B+Nt&?23CE17@ znKA<262iJJ02LI&!(#dPG{?GQhJA(rpUO~kZO;mNfJK_{jD2%|yVI%&$BZqL=x};^ zn%|B;pQmE*J!|RoM!z!NX=zrdL7cqv^UY!M~pMBl%mrP*qul^j%D>9o>$9O0dV zqQS*Ka)%K@$}B&{dTyC@x)h8jyekIhDY#6Oi@G{TF*L_0Q&N~1%sPWwnv1`W%4z&a zouR?$(rnVzI6ts#v}_xEPrt+3a{JYlc&5BMr(osbS_9!pur_-4!x%~-sziMEZNvwx zwZ@&I=1&GVSgefD$t7oqlF1g*&#*^>!=VP;8`=&g1yjDp^0}1gTZ5JY{mphC+EfyY zp3OUbK<#fh<60iK{4C@)u|d$qS%WXo)i3z}-8{AI$i#O0 z+~Mb36!@z=$5Mi$Vw4TU7PC-W0Q3tEEV)yWyKpN3=y6xH0tUz*%C6Ix(@f;`)VkTW z@427@bi~=AtB$i+!i}aa(Iij;8=+X>=xk!;0wA>t9NnNHgYQDulSYGGfKJN-s0c0Qe5TPYj>Kj`*O-34mb! z{rtN0F2McRr%PU!$R?Ts!T?&4KCb2dwd`2d46_Ue#R5F`-Y3=@TA^pWU=46GSDDPf zA}=rhSdH7ncdz;xWSnRhNwffh0D%cT>->`tlyU`K@uuNBs}MTr@mwNH79@Do7lICI zpex@|Hmv+sgg~NGDE8oz(ChQ`i`ns9%Rh&xp|31ETA?dFV=Mj|f^=7I!ai~ZOb7t= z*XJQLh`j*dgrD!;f8A}YQu>1WYI%CM`|F8*<>~t-qkkaGhnD&bBvw|g)>UC30=`fy zyDq*Qb*A}F5D}nvwePVrrPJ^8;LRKlY!luJxGML-)X%i8F>Xkf1w>h1pPIK|i+bfH ztQ-M^N`-G*;V$}6+vpM*)r^1a$x@ z{N%6D&{aGeX_Ug1c68q|^@7JfbNvE+g4I&>7uY6bCutObgJ+)1+j5Yw&jB1vaOudC zA0e4y)tmcW41DeyQV)Cp?`_S6>BGtA_u|)<`C_z6{#a7!V$(0AoxO(N7hH#O7|{e+ zJ=57?dRmfvvGvC;4Pz!}hX`l?7W|wHlLP!oltCTh4qqEM@BNW50!V%Q64lF0MAVy@ z9N>=IuHWkx5JVKDRgxw5w*AJP7MJbine!(|6#|1$U(3^{I#TT0l3%W<4<-t zNB^KpZf<@Hi>G~-Y4~E|eh4~2_FQXl+;xE6;!UbU_IRSG2fw4LeHC~>wj1kc4^^*8 z`5y?l&Q<6KXv$0bH9&y*TevBLHNAa)d3H1~^K%7Z52rNoJpq{EBeOPBW{qQZ>y?T zM<}6DB3UrO7QQ7J7%zP#?<%BSIa&SB3s4Up_e>jt@t06_e3+Pk)BH2wsD)<%uhm;v znPF!vSv_AE2A}j1KPJes(Fdf^I(Uz?q6K#Ct}yzY@Cv3o9xd&Z?K@quq2OKz?YSmj zro{%_R=u!T>GLG1XcnBW+)qq@tY@q>?rx3|l?jHdWmT;oH@ea{SOZuHE5DwzDRLO2HjxquF zMT8&zh;c5fXI#=GME9jocH=0~z$$vHeuNf-nh`gNztG0XU0hFbfx&^6SJsfs??lPQ zc3<-o!%nF~T9@yh#?)}_`HvsWY$_{f))EApyKwD=Le`3+^1@&_l5tr2Ed$3_(^GRX zudP_2yIPISP+_v8>uN|3v)Hm4>4pJwCx_4KYgB@KIYvxJ&bjyNc;IWjxX;Kf4Ce@O zjX94?lDK7J;4%+gEwTuI4U zM!mb_%8bg`-m$A3DV4bfHUlzql7OMRo5y0#J=TLXVczE>qm^D~br#hQp^$J_J0OJyAHxGb*D%ONs}*8 zbiGXmdx6pmG+ZhHPLYXcwK!A?P&PT2@aykIWf-HdG zGGcsHr`Cu>>`yI|hpw+0Oq%E%OD{0)@zU>);MfmEpNPyeckFky(;B&D;~XZ;BTc|< z+xVMTB;`YwLDQwAjvd-Av&-Q9aWopGglDk$54@b3xpk#ujlM3_4s@QG{Yc@Y zC_EVAp$p#4JQ$KV><4kH2zCe6pU)@ZkHKoDDnf_1d#QX9%++Y0U^aRPikhc~Z5NW< zCr1*-bwjTolal;?fvrBpKNM4!_S9%Uk>}d2uUn#;=qva`=zS= zhBD*Fk4hUbdb?9tscW?k<|*J91K`qgwZ|W;U|VkRW_p9?4e5V_g$6_CvqGw#?>nu7{>&qQcT4*rNHGdt)~PE-#~El$nHyFSSA>K&}M1XDEjj9Rn;wg_em zX`j%>4IRL~@^3!dZ?*)qhe7INIZ*{ng#jqMXR;*5djk}`jQzPOKd9L>MeNMT&xm9K zV?@;n1Cy+HY1-hz4-cH+{m*os<+~{Mn#Y_S)tqzu_KzdWy-9=`Q<;ZBOtITK$gfRx$@bn7JDg=O-{1HbVQDAt`7`_GtwV$ScR2f4~v|W2_pKW|JeGpS?U9DCys@D-U(WBJI7cI@N zjsPJ01I~k*F8%mEIW2Z9ELW==H;XC+5+9^A23NtVb0V{`=hJ;}&)(9y0;l4j`%MUH zSOX@!e9Y9H>u05<1zy9;3)`GL>9)MM-Cf}fNha(*SuldJ`89DO-(rfPxRk9U$4QVS zC}?$#C_#p%Y)SwZg9HULG09os-j~=zYur-%YDVSw!NjV|)8ZDhl8G-pzlPB-PsM_c zhKPPNPQ2U~k^ag@ZPo5wN+PYj;H|k#RxFv%rG|Z8#{WL$kz!o}PKV{N5evpg49jL~ zoD=!l{@_cy*xLIy)YS{yU6~h4Yxx|qSOF5B9eY6tZBFv&X8_hh8DZ3ecj!v={}Nbs zzJ5?_Gc0U~7Q~^(;dNiA_EGB>3i%*GU(;HG`tv@$0QGxUUdgYj#Jux&$(zX#FxeDw zUH^uJg1KTV!xplj{=iHKD_?1m`4*MZ1(ytqNLbqz>Lgh$#-t!43??Fh5-RyC)s-!@ z8s!H>Md4OaGC%;m)Hd$4~LL`%=UZx}0Gp)%Pnlr_s7#z>a<5uDc)bsRBll*}3fmZ{CR z19M=`f8G*{-fOuttjy-$H!zg?YlTi`Q@mlT3)PDUF#=&w-ffsVn?%ErQI}ru!MTlt zGOA2*vHQH&z{8e{MFDnuFo0)z?#?SGkwEFl8vU`tyl6d<_~&z#orirY4{80Q=HJ+Q zRn%|3vdI&Fxy!wEe^w~6d_Kr1sFPOQ?x8RK$}PVU&ZnLhY$ZZKFOndPs8Sj$;#+kBNR*Udv?@(vI3xWWm8~ zZuf}KPgc4AqRIt+ee9G;z z*^yltTqBs&h`Cq%)?C@7MQP`7+(LQ1_>9o{@5#q(4E8cP|3YS|EbI!KfmEXy!kIj$ z5jpllg^7qf=6(6yemN zTZx_n>|zXN7@>X$&Uoapk4d7^Os-AD&Yp=swI4*;9QWksqurLaAHRaSj-00DSKZ0W znr9F!xQ&8*!ydoi#P}nSnjs$u)Fmy2cf+&?;#2!v@8a2VFeEn9(cgIS+w|k3Zs=BY zlPS=>=@9SP`%{-GDLj-!wmlayEnkl08^fCLwkyp(c9(QAT;a_(91a!6=oA9=S@n>f z@WPVs7kJGz@v$up3@nZLWmINbNWr<4(?JOBv1F8Db_5wJs7Nfj-{!0F%}9R5GO16R z-q-pG31knNRs441KF+xDo&E#ghu8SmP8Q6B5@yC9dMPhL8H{QgI#$y@cCdyg@ZT?O zY9~n4%A!FGGIB5yySFlQ`?;}Qh-k^DaeicQM@yRFdDZ5=TdRi_o{NtILIknB8S~BX zi+0@C7XAn26`jd`=Z}K6qEHcuZ1@sFzog>Y^4ItH4COz|`Ueo^g-*oZdGNy?wTvrL z+7~VvuYZu|)tS!~j__h;_8l{yHq(o{@rKl|IiyxqMGo!0P&AbN(to|0=?jL%1I>|r z-gOu~#Mikh$%qK@!ymTpRbp)p@_Lg+GYnIE47Q{Z)vzoDXA@2HnaPRPicOzD4ahW4 zZonFDVe_pyE5PnsN=jA(O&a^w)G0!rkm3fksy@uR)6WG5EMEp~V;&&TtnO$VCS#Wx z>s~pWesL0rT_yX``WIoe?vuNy0;k0yf7FXZU$FTE2-{L}kZcYG&hd|7|+?)dCG!K-T~Fr=9zwv{{)g?6d@ z4&i0f-&F56YOjOv7RotG+DvFkgLuj!M{pMBsCLh0VuSa;hH{+*2({!}^5#qXcP{Fz3v{b%JZ{8azx1YKvxlYxtS; zB@<@PGhLArq{U`ABP~$nY{{hsY{MSk=pS7)H40|g)#zS%@u$bWc-Gpfav0*@*Ak2; zx!Gkm$0SagpW~hRPmrxKO=r0bX*Hja@u|)>$_rn;g;Y}PvG(#w?nC~j@`|WFV59xDM)yDNNe;^w ztY)hVbB_gibcPu(AN<91}7zh|fOE;fan&I#2)kKS| zVJ_$QO&sw~nSaMn{K`^wT%$#ysR|2?`{r?k4{{Jb-Uu=SKd;gyLR^_@^&7Q*>f-9( zw!~H)^3WhDf!I*D(8I1kYcZUMX+PNDfeoF_RR}`gX|A;_lwx+L0O2heR zO{4l?`|5R99|aAO9tOLRcSY-#9svo;O>h5?)Ha|Z_^R~g!B?u&?b?s{tAzRipBf(8h!!VB zF5yV9WTMF?4H}Ux3MzcySi(^IBmy^=?}uQgqcw-P=a$;Z!&v={ETfxyb-H1p(iKUI z88I#@J3f4buDW*L3E8#UQ~S^-3y{W9tv?H{{0zr*?CYoAxx%5bs(ydZzXd`mw=(#y zx(d=O&1ddh*J$#Y@%F>B?tpd;KM9MXEpkpheYxoYN;0ty|M)s{LhEt6D^*(GBksed z2*C*G6Kg3VHqkl_3*&t%6E_1-OF2*JmT0&CmUm>EPc)DZB$w6^6V`U+Aejqqeh5j6 zrlM2&QiHZ%y1+JsVM&Xy^L_r}$Mn^MeY0dR zwrbQ!pH1U1><}&y*c7BCe^8A4C-LG1lWU z(kF=#DMjMu{+Y_0!Pt2e`lExv+aZdAzv;+TNXFe0qfB}^Id?P_#x2?_OMC!iy;yoz z;zF_8cvw$7#{YD1zwO66r78KsDjv+}OS;~3aXcP6HmPS1ZaZY3Rttqu;47fhd6(E_ zpq;Cvyy3jum)WE*zB2ApQTOg!svY{{9OW;wi994z9Ja%B#X!j)bYpf?&uP#5s}1!d zg%}&*Q)YCZpi$kmObUFI+1hAgLB+Ly(DK<4=SpX9rKC@r$vi-E(z8B&lk*Uz9|U*RMrRYqZb3+OAlG&C0v6SLm{9r%%(r1VyZ>~f+zl<8^$zA+*>t29rS<6zv zZarh=Wo>^aq3lt#E|O{yNhNlh<60*5zD11~5!nwQi{6`Yn#EpMLwcF_vS|*xrA(}J zC*S!cqBG%+Q!&4Tj>4C#VYbEr{L^jpwta^X70a}}vG|6xu0S7NgH0vMN3C@KEm*DX z<||}zCZutQ@BAs>{oAytppQ!D+1;w7*^A8k#tubln~;ba`{lI&c8sFsmwtz3n&F+i z{+dW%MVO--3?S`r%P#Vc3f5i-|8hGIjQ(yqkgYOfqc%h9*XL#W;jj5U?9_+6_6J_) zSDAmR9B9age%wQm_576fOxVEjo8uZI@lyJQQbg1FzrxZU8lpFp#w)(eJ?Mv2d4LFX zKBnS8NW~w)G?H@|`csh+03e2Av;~qVRr=SqFt#qj8{*<>bjV#b&qk;tbCwVe@#o3Q zc$kIINQzINNiA2jw)D(;8}kW^gNKhEPljg(xbY+M(bd=>i)lYt{@PTKkdSr6q#UvH zhk2^F^pb&cntpah6SeWy*X!xvB)%I}+wfauHQWzmwt{A_U+bwbzPSH*bM)nN3`{7X zWrGlW6wPp^Z8Y2@wNjsGMNu|gXd!YXi0`skY{og^q(9h}MEW%%yiE{4N0{m4ZScAT z=z}5%gs4J^|C(Hq_OzYpj`wSVZaBVc3(7pr4tRiRF4XF)Qe8!`$Mv>$l(n116M=Fn z7#F+H^hpaYTW5g<>mN)7ANKxndee_YgAS;9>Cw-l<6<;~vl7)kGG)>#CzRHLtXM2B zO_)Vgfy@w;Qg$bCq5ZZ4ay6tL$p3cdBN^f8mFHW-F6Ln(vwvj$;L)Mi zajJyPY46DMWR{`d^vlAY)NO==+e32ZU)E`IhlkkZKF&%%dw7Q)H*y{@dHQelMt<-= z^Z!_R+nveJ*J|Ozo4}Nn{R;{0Q~D2WkACq;b8?vE87e5r)Z@i?A34PgF&W z40^KLKhBp)gNa66htEIzO>EKxuwxqzVoGc}BH0yYF-5hK9#@a#&iIbbB@m?k^qyD_MuI@c zlha773%x)yfsCEGlD@G-tG~G#dLl!a7nK{g9yLCSekV z$|2^u=qk$)rzXm@OEE%{Yi#y_@H#3z2TY36YHoEjC+|jT6(+o2**0uI46I*WFw!R@)4?czN#8!KM0~l z?_FI%6m(Bj0vP(=Cx>*zA(%q`u~-YDvGHe;T~tpQcXdVikDOuz*+thuM&JC=@Z`4) zC&q0h-s;K*0n&Qq(QUqKikQF}WdNT9A_Yl09cfNnD_$>fq5QV3!@%smPr4~&{%5E3 z%{GCZ5_9L!q#m6k?a?a=3wv#?H)A&uK=EP1%cR=Hk1=Y0{k-TlgC zu%B=PAj~_;>SBU0MPV~#Qp{q>T$uwAuXMA^It41}rriMXe_!{|BIqwgc}Q5kqYOVC zirB(Y&*UmbF_qX(1@HGaYolviKirh6qMLF5L@KW-VDGr(VMlqZoB+DE*PAmzvW>-W za=h}E8{@f&<(oJkyaD{U^0T_s_C(ZtKPz4YB-hdQ=z+;?t6GB0KI9BKlfZWIcpqEC zgsvlJmP9>-q><>(v+DgGHg1m^7{Z-j@gEN)mBJH)J&` zwbyUqqI&8wLy>K(RisNoLb&TjgHX_#x&)b_!mkR#{}UkYB!{0s+eIIDrBI zNlMN2OqW_b$EoypQ5FD~sgCfaDA%IMDttXK zb(wNb7?V_ykU%M8%OOrmV z7*SWx<~Y!wHU+%9@I)ZfCuj|?gN;!sTqhjljWA5sYKBzwVJL)u}`n;GRojAck7F@fT-T(XLU zbzKEYQuh69PV`k{-EVBzg3*?G;(lwIWafeEpW~*EUP-1{dJzEO5}DDjG}$=6T-95# z0AuO$S=-l-N*}WF&Ku+#Xswf-V!JPCc*h!lHWdsj{%4En;sfKUL%|2xY^MY#{u}pY z6`puMCQ$i3EP9BVMKm za&MGoHQz>kv~!@qxz4U+m-vGjlC|!@L$TKT?};RWGEY78@q)&47w#xlPKsaYL)!!r zh)+l!5%Rkw=h$9_P$w8_CQG|o8y{b+3bI(nmWSXc*nM18_(T^|A4jQ*WfW6vo79eX zoKM_J^<--e1Lwfok=y$=ziNx=Al6joR<%Ro5!81Dx35UQVXzcc{Xl%#PaaDumnFY# zN-+bJl>rqWdU*x*8HaXMqOdEma}+a?5sD~dhck8+1`JE!jB^s)DyJ*@6>+VjN$psB zmwKaA$6Cx72y`l@OL#XFwAX~97U=0HyD&^vgxrOE_?-JoC@WYhdI?`}ynji5SgK=t z&E1Gcc_trnU|g+D+a+;z;kv2SPWD0}Sr@T!wAo&uV?!B6hzbmR{^q~PGUxpb-bBuV zo2en|wcofj%STT;fw|&h{W|x}$xAjm z;u)fPf!3nP1LfdH)06oNsU_N9i#|?heO8dwEkKp$<@kKm2Cidhk^|P)Wg|H4OjnWZ%ORAU=)HtSr3E%7*wQpD)p&lBv$HXAkmKrCip%X1d3eozK zM(oi`yOv2KQPGP&$9FWKbHG6rH;uE#SZ!fjlb5-`{UK*eLWyZ5tzcf&e432yNRIJ% z!1=uCdv0wD2BrV7Fab7W*d?xI)JuG~MfA_As1)Q#jA~ID`bg!GDsx>$oF*yC17##{ z+-a34QU&gFpBWOQDkU)ahtk-TlHA}{gL>OyAg^d z>$6^b7s;lz>7ok8kPs#Ms-Wts_!%ip3Nhy78YAh+r7R(w?3Yt6yow%Z)pe99D`^z_LlMYOGyktMMDH>#jkP$#R$Jvo`;Z3{kcIS5Xp%5uG;on zQQf+#M;|AtT#7Zq+o;Q@@>$|rQOc*;S6Vq${Ww4_SY?PW?cA9*q^OB0X3Hek5wF=a zKOli9gqp*Vl`2{utrhKpm0j~I?cvAxK#43)H%SS(uT$xCazf)PiqkFe^Agdrw!4lA z2}s(XB6gp4&2sSSCC44`W2I60N%A40JK1(;C`RNUjrCr&QYA54hi+$({QX#EEHKK8 zUd=n;Z5E~~A4YIbLTnQS4R2|Gv@+(opp0rohSF7A&o^vTB~~VjE*h15%VgcBF7%DF zCfM7XHVu55`=@?V1YVnK-E6TO=D+@XH`AsOmaM#)WG*$wOI`mtv!t+Kx(DZJpxO^v zfnv#fg|($fMUe(f?&XYzI^9-HkA1#?z7Uhb8}*?3mO#?Eg!Et*Xvi2=t>lAqp%PN| z_+cbZtg~I$zq@wdd=&NzgH-9DJ;px*~(5QvqnOfuS5J!>A|;NP1{+Ilf5@!DrTpg*2m zy6aP@t^8Wbz@#gVMfhg>Nvpo0G}&)kPfeu91dB70mxx_~BnJx7pGuXVD$y^Pt&$}i z$}R26T)+K({a|3v?SjkR7Gv%&;>PE=N4@-m9Y{v}$5jcFqa`rwjlZ(%&GfK+;PfWf z8rNi49ebPJQrxv4!bxoOS1ZVH{bNiF7JPfwZL1I_FcyB!VfmW<2qmZZ1w&Nx|2(fj zpY_lSbx9^RP^$MyCepKo{z6tf**RKBdV+jStd&ims0e~i7?fHI0<5nv83<)-O&ax& z^Gy9RKD%S4LD!PIAXcjy15jlUF#L4yhUsRaxv!9}Sr=KKE!A&`@}we!0%ry?_(JXi z!zK$z*S#AON+~fwr}PL2%A1vX%7igtd8h9oL1U{wZh2LRe|4sw9$d^nHi~Cn_%hBjbBGvBh@BSG zESd^ykmfuOX^p@RbDfVpwwkaVMHHd+2S(#v+6{y^VgG)q@K#Yk82VfoSN4AeuESn9 zDNjtPcT2?W4RDyUvhnEUWSSephqt~o4jA)23t{w+N$1yLK1!mP>V;Qk#gwK#%4E z_N_xJ9~NfL4`ErgixEs;W1;=Ql(mlS0htDdwA7m<=)B0u3EvA3^vU2;~mu z@1ON7rwHwAjrGS0O^#^RXs;Mg#lT}-)^`^pcYz7hGSed&d%1|7UgCbk>O2U>fn~VM zM*Y$H(=ImDJlqEzU&la`8U-alDz$i8H}16SJ#<*HqM#o6k4Fa!Mw7I^8=dBW;bZmf zvE~-2emZABz6SE|vQ7w{)!8R^$$PW zg9tJwYHs8Tl*B{OI9>}p{%-jmmDd(uWm6YnXd#bP?w}GFYC6va>_DII`nz1QV>Er# z?9GdD(34v~atMVV^YmA9R`T|X4Bv+DFZ-@?J|IbXmx55lfm#I*Q;fEZa zg3ueLA-M>V_QkTeRA?imr8AV>LY=>gj1HGlf3TZ41bE!k4rTcq> zQ`6nVMX7wPsr$J}FP&~a=!pgXnlJJnQK{m4(4{$0f9jz>;M!YAYSoebnG^Wacf&1_ zcaxi^)Z>mr2-cp}&RQ2sxHBI#vbxpE`UH73%(Wa82EB_dd6T_K`7AAzs; zL1MW<-7=dw)Rf`WHLMbKWKA);1)%N#pn$$dA#x=UAh?>>-|cRvS2v>b{|u=Ri?s&q zNS8D{(&?x~c&_}m4G2XO_0FW9s4E5t75V;iDOAF1h)!T}ExiFPE~mk!#T>`*HYC%` zhQ4j}laK%(0ic{*LrlyNz!!b!>Wo$+V;r;^Nhxkm31vOwPY5XWbR&Gjyl7UUP-pLS za&K99t96ZrG#@$?P8kzUnFKyM@j_5Wj|~k%g5* zLxpLzu@r*8+o6m2?r$VrZG{VnP-dSkDzG6i?@U~?c?Ktd%7Ji?Ns8mecYy&CQ>}Y_ z*v}I%h&oHF3oh$qJ3zzy&zvS!&|Hyx+XcA~ej)DPysPKFR4A-5j!gI5anN?%G}@5)3GG}o-9P^@?RUB6EFlF zzkJBYCgWk~GvVv?X|02Bc<4xR>13X_=h$Xr)Zrqob13VKb|N(H3)`#aON5vM zFW-7{{V(pmGN`Jk{a3m>r8}gN?(UY9?h@$|Iox&r z?>lp6?%eNp=6=(24tw@qd#z_Z>v?`53+GbTv>j9dpchFwl|(>YG3X%~>H= z+AaB_pMkLP>>(dGqoL_qJUkNs=wzlQ=ECg*d^Ojii!7PHfGN}ggaFn*u(WKVS&1c; zMcQoSlhQ-LFvZgE8@IJ(+YB8bh|j;U8fn;3cOes-kP`U~DD+@!-BVQOlEp(P67Au& zrW8}D!^~fADt)?gmeGtAW_Fqt(YJ0)kqSh+-PsimpTDCN)^hYaS)u+Y$G68qhRngP z)~8VYv*{^?zz4oJzy*O8I5JCg`OQNCAjJ>(O03t$ra%WLK3uCt#;LChP~ise#xvCg zaE;CUeNM&76uMbTq90f5#EH`d?;W+SAwDDuHEsvXK>>bw^C{S&UU}E^;@v+2gJWV7 zug5)1bsdzUcYl-=qi~mptF*)G z8y%4y`RD1yfX~m8+SZMDV2|nv)I3g;`3d~(aGyt~1&6Ltt+!r7Ab`mUDEyU)*^Fkm zPYp7MJ-IOj_xxgYz-tBTk<_8b&{BL&T%#ueNDiDYiZ~^|rs}x|&a-lUA7m0dID^Y~ z`rNmc?KXHX0sC*p!TL=p$_WsMU#&9WU=nW^4_rr-E}7{B(iFjLxQAav>kzh{Yz~gZ zsutI1*@5u$^cG#xIvuw-dXH=-LML*O7dj?F$6gv?#>Nu7i~OEU7Z8D;PYuZaVYCB= z+=uk@z^WaEh@Gk<0ye~L??o@?dK^*hMEf-|v5o}M3)zVA%1)@`yvhJJ*a9xaTSfMtnQwwqDc;8<8q6kBXorjK6gljKhx0;&6i3*9il zRAC|U6E0&U&uB-0fla*0hhi+9oF#2VhEC-ZFA^%QGh6bYV_$(2+d!g(^DpyPB>&n0 z*OXU3TopHso8X2)m1$^*w3H4tIx&HiOU~m?RKNFioYk4bLo+~%DO3QZkO3f;VbupV zgF6hr8t9csj=NxPg1QI4w6)B>#X`ksGuz@mk8HzV=~d8$2JY%Nz)beRyx8W9Rr9_4 zfM&hj#shr+6+xIOn4@ok_ctxVhw?CW(&3eXJW*g9uoOPu0loh1Ox@G`+I2Iw71>2A zrn|2=P%?9cjs>95xh54ovtKI}a``un^Jl&g_+f64vP>5h|HJw050xMg5bSZ!p%R(moxx+3h5bfqfBDZZ1pb<} zy~==K6V5neM*01P{6-)ZI>`W!pwooR3t9a_b%BRKo-zz2WaW4_-b)`NeSefDUmkgF zyQmnRaOd<>xt5H=XqsRd0gb=FQd6!*S4Jxu2y}3ATlBD1B^Pb zNf^J+z^=$epK>!3rP_?}rqTjoj4ZsoU~MY1*B~A4%UqBs5PT6X@^m1z_?+aP<4Ytv zv79|NP$!tcqZ)TmUI{ArEh0AM4HW)RTAYu65DGL4fbKH!tB z>_2H8OhQhLw zA>dM23G-JNi2ZaaVijXnEiQR4N2u%SIs-@?L?rUG8w+6b>v_|la!G)qoVu^4kU4$2 z!bWEn5rNK86PiL}vfCm8WQbv2{Kfm1WTMffAOq@0qRaQ7ntlu5)1PEOcll{UH!^An zO3%+vcbTD79o;1OzAxNf4&Di`1Z)E6gOVt^7%Y-Jcj=r=ltpqr>UWtbN>6ZS$C@Hs2p}2w|a#A+)!5ttB7B|}g+w4GA=fW|1 z3+5yGgHU@X?p3&BwQ?`7S1X36N{lskRZPBZH&x*Eq9ewevx}v?50wpIZ!i{-(=Nu8 zr{`Bw3-}pzTU~(xBnD#6^FBR*!%_VnPlu-NOCAF5NZ|x!hKy3MJBQ=T2NyGnp!_QY zAZtrteQRE$74=EtUR^i#A4tLLI9v_~r$p>-lgaLZqp3W(4)VSFUa~M_IKypGhvG!> zNVw-~-4Y_Wy*$@nb%YI$`u zqgMLC>TFu=n(gs#dYi!3RpPYNkU2p%b%s#Xr|pHG)+?xyDg$>wMQ70EK6`Fi^fqt2 ztSO0(0tf93westf2lq22A|=B3&3wdhyQ>xazTKENT3?d7*ok;z-am@sB7X=|>QkN7 zbpe76x>S7~BS752-e8YEB$4;YXEqZkGlwjJk7-)xXbjhu6rWDN-M-rdzM0=Zf zEy%u`oQ^)8A6=7Jj7H`{woZyj3OZ@Ing6oy(nC0b?2wKlF)5*SS!9nBm2%@A&SY)5 zb1H;>G%tEZSL%#)66Te*?J(lL$_js@S?;tT4Q#MWwT4uT_f)3JS)gFR;t29N%fX-3{9Yi0p`84&O5R6Qawnf#mnFyy* zL|)>X@GM$iGq*C3YDS~%@es?`#mWGN=sOtPaPPq&vuIws@OeFExT11VGYAynnz!(x`hYbE}pV% z)rMhZd7?~+P9SHiSN<$x$H^-GwDgc-78k#6@VafEr6Vh>unS}%#0#~S(o$=Z=-rig zdY_TaP&SeUaw3;DIJ_j80-vE1f@5q~T^~rLpq?&)d)TFEJwb z*xqm+P(UGct%-uYJ)sOZ*#1(;sIyNzdFB)gW3{X!>jSuDNCU?uZ|I_e1>fHLh=fPm zytK!dP*^sgz`z&*XEi>;_!4fZ3U@@B zuVjA&T;<4&;FMbnAcb*?^{awS;6riiFc9~Fd-q;LshoOZ!=B2f+WC;AW z=t2h-o=UZ4Sv=Dr8hr`~0&{}@o`*!SbAy5`M<#)4^08vgCY}o6m#UjXF7{1%Mh(+qvJ)aQ;E`Dhc(|_ z=4(bV_IMNt7@qwb=#bHC*94`awL%6LD%|3C$oQ1q+rV~Gsi?IJ8I7LE*XoEZ{_`5< zt!Oe9p1e~}S0rgGMLXv42QlAgkHcK2@{!So9{g8eGBWVlXIRBINS@0|Kgc8=*3a#vCn!-s6P_)m`I=mI6(cM3)L zIMen{BC&arpq0-?_e_-#A@C04nFf{mL~MRZL<&V%MArl6)7e*SX|9-Cc9PO^L~#MR z0?u+Xn9TbYlCR{NJQG#u9nVc&P~HaH(tTlk&rDNDF@sjn?HJ3r&cbeJTFFH(OtL1R zA9ou11TGoUw@@h&^&-buBA(^UeXjZ`1c^tC1b@%;;p58F9@>pnjbIL>R~TP=$a$Im zNQvn&nAByO#*lg7pAR1u@yelkuGN|$hlEZLONKA0TmvG+gh*#pyADack_=py>Pta& z7J{!M25f_sWNrgZU^hLHya9gl9AZmG)DGte{brWx;=vEI{3Nh_kuyT9Oa9y;u{^M_ z3YLy&MieLz_XHO2g3qMmNQI>UG~$SAo)pNhi=d%qse5o$$r9bt2L}S5E|Fe$)!DeV zeFO@*gi4`QRr>pDmx#0CL6C`Sw>&pSR|t9C{;QC#XC`F}%d(>78&*PHtNl>{8)8I( zklP1D>6xTZeDTP4!Tpwr5FiU+%)Xm0B*%Rlj>%||S4gSzklB2J(67)VeZR-3$(=75 zBxK&_tiY}lY9m^V;sWI<-@*!t3y4v)A<-W64BH zf*!6xFiH- z@>f1raJ>Yp-1UJU6AaH1Yc~n|4sN-7=X*Qw44L=V#U;DRQ-ddy+O)}iJJRF`C0u6M z7&w&jj3=Q))$S0zGstUynC^2Kt9 z!LSiiD52sGTMg}x*<1@=WZhk3^FTbsr5sp4HEj&tG)qnZn*7ERS~7oBBduL;%h{xR z1a;A`7VE4dPCnS2wHUP`Tk68)*KVDuNp|eQJ-+ey#GnH%bF*C!N|u2ErLhz}rrZP| zsDpz$TS;P@o^yA%wb7RSOb$I`dr!qv;@*r6`u-7^PFaDs(5U;(*_NOcjcV07Gk zWMU3th>Zjju|j{wqct;BhSNy=?*6y1{?%{eU^Ui{_|l<9GmR-5(WHM^_;Y~lR7ow_ znNvW~pS#Jq`T&V@TtZb;trj~h<}f+$rmy6hY@eK2rN}uq@V%4|( zB`e|#1La;64XJ{86Cx^2oCPQoH?EXC1%);sRhJM%!x@5ItB4x*&-a&11|1;N$}jx` zMNm%jwnltfIRlKB7!dFwh~F+Cs|WIvg5Kq8ne79cKuWR}XufGn-Iad)2R}LooIlXY zQV9~m1w&u_eh8lVh!hOcZcsz@L7X$j&%s4NA^{13U~uXzsPS6-y39DDb0F<|1SM}4 zZf2|y;JXZXrODbr5^)inm$29*J`AAxH_B`@*Bu`j{vUCKf>S0fhS ztn%esgRar@^dSi8){~5`5(^nCji)9LNN1vHjUMZA?^}S%%R8xiCs1i+)%?)txv8Y^ zt&p-t^2likkm#F)@ih+@zg9R9@R&4|;=c%zmkO=U3-ATi2k?%RabZAnwIv~9>jr+h z`0nQ>RgG)(Uc_r_2wrXkg%77LtNV7qZA3!F29)SaV3iEsU&`Z6D>gGOq9Vgj0GrYH zDDjj}E-jXcz)}J8xl$dR2QP3(MjyFiM)}+U`i`-oZta?D>t+nX* zfNcZ*8dNg4t(z%_E3rRO#QuS8qh1V?Y@JUD#ym6A)eWn*iZNz{A$*hdQF#KW_BVY* z+H^|UuYpKjD(m~K0^;rCWFWT+IN3pfS`RA5VjsVPjzJ`qKtVHHNN9*pa-t*1e{ZCP zNsx#|gWU2B+_U~R;5WE{I6$j`6>ddBl_TP5Gh>i|>T(N`X`s}&4AwpuVvKg{L|9JMf@^sS^U$MpQHz`cYptoDDF@x~l3(y`{eCN%#{HbOf z&UELw5i4hn6+x1ZZ-yu&Cs-YY3q)$~fTCIAQRa~Pr#lRcGw@->h1kL`cq-!@}FBiQzuDzVKE1=S5!iIbmdK9UyEcMLi`#$d^V1hnZ?Wkz6_ z+KDqyF&2<-AB+3p%MqLczCD606bRUaTY}Call9M`sfc2;Ucxl=-_iss+Z zd4cE*h1MGs6VPW;&Q|*fG&xQT_4TQwg2@+~_W1%4}re`QvTZiGBuTD?@(Z2nzsJRHoN(5hA;pCJyqUmD5VQR?zr? ziwqO|0R;g^5TyXEUM94$O?PG{jwWCdEHPR!A9T^Vq&MduxXRF8MM!LbtI+@zPrqXz zD_Bg-dQhc^^hX4;8gwF=_6N*t-c>^>;nF8#$0hHidM8tDtQN5CozvRh&~X$^HJBhSa%!B@ccGPys(yR)A%o0gZHKMEvjM?eV|M3%ZEARhep?gY+82i;LJUQDR2(VP{p9SYFWKKpml1=lx>kH>tF2@DJb`wf`&w+|QNCreH07Km%i z==~)%)jc{)GIntB5)hF=M>!cZF1K!KfC3x{oo)UnQea;IlA0-4U@t*_^YV`?FEIyt zoe^Nd1>c^6AOcJpF*o21Wl(Ga(rG<-*q}d?9Sp=mL6_6_W*8e)9>;TUq6prz_WSJy z{NQ^EV3!pfV5$L9+3`up&G#iwGte;s9n+7(?jKXftk`UVL8lW87U1Y?mt|SJS+vhu zN8@hWD+c}2Qy?YM1^OgBK&KVRb|;eaA^KZ;w)Uj3gYAHD1sI0rdyk2(-VO+NLXX`G z-d-=R-p{pk-i^9{^qn&Sam@luYPcwvuOJSpJn30KnI(AP@V+Y0FOm9_MTGBxB@zSr zOrswqDg6n2HF2Vgd+Tin2a>6SW(|zqUVXNN#-qUib9QXafXTEHdtP zAjAnfd4!#CIBuk=b3c^`@K97#obeSPkH-gkAN1qU9=wpX^uK`n8wldY&GBzX|2*ty zy>6g%A_Ddccsuw8sk9rE$o&HYzm2x@lQ)Ecn5C7&zx@tiVduMGzNvr3SMSC^Ra3}u zj4Jo~74LgofVO{OXm|~Fb<2>o>aSy9pb}7VsRF>LSAKTjCFT-({bvDaQv?1i*~T)M zldBcl1wbua$($#mCq*16e_AcnSsR&oMm=^A- zkeq)3#o-Xp!PK1}vf|yM0Cff`e!C%XBaa;?Z)-t02QpZQGghoR+q2PTH+IgpIvn;zzn zxrTG!KrRII>AirWChTEX8dO_7L0ZDp4{EYaJ;NNlREq&@p~yZGNnm4Y0doz^U^cmB zk=H_Y2tFrYT2_W*IBHrqz@T5bhg{@-u4#*hfXeN-k?wqrNEqL$&$Ombkcr76ptizc z56Sez+ZXQKMSAAps*n1G*Jk0Q(dD`57a_! z&}vwp1SuAOzb4ffaMxb0V+4^G$B8J_u{=9NI&`z~F96vjw?*JYhY!LB1Q?PEMErrX z?K3}3VMq7&gJ_VT2LX^1`R^gOKWLTA2_lNt!faq$tkOTNoXfjV<*Ng({P(BIKObj!wGR90>OYTL2?@ds(cSTxDTPm8$hynEJJ`8 z)(02`wqVS@yHFi?LIclJ`iTC&NsQ~;e;m0#6dPh3Zo1^wc^JJ~@_zP0Yrp$)9zS7* z1U>m%#;4N2P^ur7!e6_uL8%6sQ5#%lNEN)cIx}!m0x&x4oq#XRU50{db6}<-y~Se` zd{jS32s1M{f2UC(m5=BexJHP832$2BoQ-3=ZwCrzU9)1lfOehn%2&7dvZYT~-iQ~V zE{N0e=SZGB$b5NDaE-l#P#m@I?hv+a9b;Sq%P>LJ=Xtuuf|@55c6G;WwM+H>lRAXR z0+$e_bBZ8jBiz8q)CeuvL(9Y@r=)afj|d-=Rf6@1Rt>Yfi#hp2DX@(C-RTjl4tPgTXnGsW?huT(Lk%gm;Sj5-5`!f0Z93K>l>$5Xl z6Vr1umFcom=>6e^a@p$-ki?o1n%I}OxuJOe`Km8f8i1MCOQP~m?~lfFyc9fM=qM+E zP7D3qZ4@pHZu&9;b(Hnl+zJg8kJ_%)Hs$Wg`D-c~b1LbAChcL+O?QX;$h64C8L{sk z$JoRX8^u2-)0}+``S1WEjL;$>|QePAdA`MHK=>vC6qm;`-oQA4# zh(Y+{T$=P%uaR*F!uo3Lb=Pd8(xXAF496B`n&yCCpFcssT4bm;x=lBS-A?wU%Dv(7W8B&cTKhtrY4MJobQ-rEJL8qdXN7BG(zI89(n&H%2@Yx#`_PmT zzt7F7MMm#dRLilJ;qQh9{`_i1!5^d8$PdOURq}wMmq|i?ZLHAQ zYs7m>3n3vBH>ei+i%=VAF|ohr1VK)%-OHLS;^hr-G{>BmskLgP#vkq}S+e9<9CJP` z8a)+>Ar<)>E%K!0PNnGGC!#WY{xa*F$04{5}lVs~@)w(#be^NpvH1DIJk z&!MwJVh5tdcTG4x@BcJV^;f09+NcTNGF`q}$Pj;iyjFgt_4io~*Xs&J=_&P{@$Uhq z-`iwoejg^dbLw&!=+!DfY*{z3e z%}0N*=XRBaTP9KMxd&jy84qFIsp}1DrO)?2w}xi?#zvOFP@e0$%k%S?ep3<3qdAw? zc%R$6gs_zX8)z4s*AXH19V9e)<+7;}Fs{(9{-rByGp`!CdFkHt-^eKD^1;Mk6=U|^ zZ`K)Yskap@(|L{U?T9MA4YcP`S4N%v{?r(*hp(mnDVqsUlGoZv#OLpS(tvzUa@lhq zIh>_Xi=g~l_o-rb_4EQ)GfU0MWVZFOnv&gM)PThKR$VOSS1n1KrFof_(ovOg zfB)UW6GY)-Xewr`l`&+tK1?WDS;*r;^muJR?@dJ{Ut+|Go(6;igVmz#?qqehI(!2)aT|e*#7&{HtFr)G{BZ{GTutL;t4m>RuJ#9awQQT2aaye`?f;GvV)0dY zU$ihk3D751UV2W%uuh|G-Ac@FHXC_QiRJ4aV28By!OtVgZiU^1ZhhXeMCZ>vhyTIH z&M4Z1>Z(7lwnBI2v>H|4p0cMJ5lLRA;zW`WY8zkJy|euMrOO$|l(}@OD?|MckASdjt?(6fu} zmRI^noI=d)UAQl>b`77-So%*JTxs7vnTdJ*c}^-R@~52rrP1`PORIEkF18ZcnP`r8 z=6N)$Ec3MG?5Jc7&iA>cqIsbgcr_^_;$^MQq~gyHIQXGo-m*M6Cy5mqqCdXtc%INy zUDYdiUpQ%X3C=SDF2+K9sZLDv4$3I6iV2CoR}Lw1F)DONb1QJ+dvJsk6e}_oqQ=#8 z^6ALX+tjsARSn{nvNJd8g(}jAv#F6BCLgUz{uTLZ>RtO)K@0%zIRD@YYe< zd#6csR%YP@nFq-8vl_k^S z%Z(P>K_60X?z!dywgS8nr;d@V*~=o>T)7^E`g`=ILDEotCwauSB)^vJQ8#v9(*hO4 zWSx2awLBUlDV39Xb5&QrR{`q2YU8>wR1q7Rz;Q*!lk0wtQOl8;U?J2o#q;FfTSvO` zt^iHVK;e4@j@2!+EU$xQWtnT|9BAF@KPu?C{%m?3|HH5rMPurON62+>;+}7iv<*gFN_sVaa)yD6 zfHKrA#KAz2N4~?v&(ykX@5UR`Uoc)BzSxv-XF=a;ASMKw_;SnfokHR*3lz>&vo77iNztwMSo8czMUhwdc>_#BGZ9P&m2CEcG00y)KixARW==I;hpQ zQD}5Gw6{~T7>nRFubi6gQ{haoCPFY*Jg;6qf68F52lHt=^h#LMJHd3w6ay{z0>Xk5oE> zYmu+QKQ-rl5|XL=gdjd{{dfP8#&hiVBmK_@_g>~HMuyiO z;RS1&y)u!2;*b&?pZV-0HbF@Zh|+>u7c{{L{InUN@VF_nYZ1a6R!KXTEHqg3txE zqYFxj%gazB#n_|Fb9zNvqF!LU_Fs~z?8^MK$3r3ZFkg2*X9ZFyR(i<`O>Qvs)`CpnpeR!53Qmr?CZXQqh}otA#DsZ zik*m8!hBw;hyD8_ao8upnwKoyBj4v%6|kzd82-78{Ws;e?^ zk#m=)W?O(r)Sb_)^Vama6<;fOp=Whsk~A83!|zP@^YMwq$C{~H z#>Is1_r5xjCKGH>jL49=!8Bj)V!n&`d}4 zKwGL&iaEQ(c3s@SJyy*uaJ%HKU>l5_tX zdHMUCqeXMz?C?=z;%lpBkAug3b4D-2pvLQ0{O|77hmPc-%#o!4uYOp9)sCFU>}XBgmyBg^0k1u2!{?yn-w*8shzdJAbV#`LbaZUUQ-AJ>kq5T7C)*1@G5 z@g8=$5DnB+`;nN`yIV0+D*cybHN*tDAEl362RiuCk0lQ{4N{*Y)i6f?GQ!8yDmx)Q zaF-PLY`WR-4P8;Qz(>oJ!aaaOB4OkevoTfETl~MiH0y(XCNiq*?fWYAEkh$^^-a{l zj7TxdSHj{0L!oCmW+$GdXydabizfZ_y! z`JG?>;k}&owqeM@PnfzLCZDm)rlFx<|J~0OvB1n{OBsGs-PQK<{U$dRbkqc)94pVs zim&aXBd#9gP&XhqrdQ_l?$rL6J2K~3zm;zZFC9RI#4KagNCl{CTY zcRRr!`MOKPm$=cS`bw6Nh~HQ*-`*I}`?V##`XGY#<75@ViQ&|iKlfgoq_XP@{odu+ z;uF-q33ixe&ZqL@XG@$Ri}`nvX1q`CA3I@Uw^+HG8PKK1`aiR$W6^D)NQsl}E^isUUmxFb8+ywvLB0)XQIUDl|2XWAtCF|Sr zEuUn%R%_4kZhOMyrtfqhhXj|iA37)x+&$7=?G$l@>SNS%>hWGaO*>J8UR?>y8OJXS zh&8k5wM8>F`CPC-zNtekY-De_{8*)rlvK#mSs?kzuP|^NQ=@m2Wd0#xPw%715EU;~ zhls?{4D%Y3f2F#U%Ee3I267#<*Gy*cFFqsY_jRy&@wgt)2VA%+y=8 z^rpj4yZeKHz?ZQF{VAK!RY$jyA}IP&rm<=Gr>?6#eTemmp{eeH-?>|_gMlj{-ese;Fls-A9Z zt0~q!mrQhjn5VYK6V1ue<|PnW2or1B0{=@AEI_cPe$SQp-r#sVwsiWJkN_q3t={We zWy*ZDZ<{Vha~+-TGYQm_Ej2%k9J}(;@vd5EI>Wm!eFmw583!@+n|GZuQ#@XE;Jxl~ z$Y$uA*K@`!z<}a7bVXLEadaYPMYS&}K&+#2IJ%N|#4^)fL;TuP-0~t}VnTb^U+QPw z9-5=ck!hjI;2H$Ca6IUG68wq|yGnDuDPQLJz0p;^_x zP_l=L?G6V+``>pIyA0N^eRbL6;rHW3h+y&=WdBU|t7TGSul8iInq5>WzA$f~px1{~ z1^df%RJdh0j# zruVsjuN$y6c75HT{UZs%()d`T&fb1i58wg+TI(myPTbf!TgGHIE?n_Jxg=9(&yl2J zN}4r?*PR_SAu*_q!k^XNChBIlz1#8Dw39S7!JO5YiibU`ryr%8vo$DzVPU*3n^xYa zHo)Vs2FTv!wqsVM3tVLG%C3;XmT8@L`{OUuKFwGTE-$|4(U2z%P5+c-Gqd`Jc(YTk z-{r-RYsZ=Q37)?hL&ST^nKcsZfXL2{MOf$inQ6;Q8Rm_2)peW~EtzQ(meg&|lY}#+ z_gHn#g>D|W7S4}N`A*H;?>5QBin}`mRBOmVuyOw6Qqv^{`!g4npfWl{|CMz~S8rA0 zA>ZX!DGsWwfi}n@Xr?vq-=aE1JXiE~mxDA(&sTheEm5~HBQP6yUy=zkP>Rf&wkOa^ z9@N@DgLbKTL%Ea}30L(GdvoT@cdit)XC6)Md@zdgLJ{Jh^q(`kU)z_#mdNKat@1}dT;yoSWvbolBM6{-Cx~z2j_Lg~75jVW?1twJ2UkJa@p30Xpjv zaC+YebHU1E3`sT_N)niOfKHsT(Kro0i za1+V*6Sp*s52|TbcWKivJE(UJ(%8F6aLqmUeNmb3Ha`{a4}-LVTQ#6unpx?RdzbGR zN0JT4O`Y!(D3YexT)OCHn#pf;h)c#ay9^KgA$_DHXPej=E$=E{p--`)7<3*fLWtb# zw_DA}&TO-b#d$Y{)(cNJ_VH5ZEVDmq${*vB*4mHv)qXy|3%;Y&4w--WE*bm%$@mzyaHPou|WW?W#|h&+a;5MUF zmlI?-$9U7%1k<+hLv}{^%}yLi`k1_l?TMK3i5OG2xU9@VzF4;g|0dHk-R+0stp^c4 z{$4ChAr>hU@7>GLij!<+kuZFq;imO7MFNS?1J5@8G}$P&l$fIsfflc^;FNK7HIa$} zCE=#!iy&J>L19)F$vt-c}4mlTxH>>Mc=EdfFtPt*B_Nbpv!>qIF;RJALk0-E5zTK zrK_%JQXhSA%vSu1jklG(^n~7>zB{B`pJuWlZ0}f~Z=9^mx2b=ZcAKm@gpqk~`qQj6 zTtO#Cdp>cQSNM7#ljRMYq>S5}Ik<{ci}4s>)$f{l_Yo-XEmHd}^n7y=p&BNTw!3rj z@^siS`|6NHgcInr%@~?@UeEy4zXQdj;`#z>PF&8r9v2&N%4j8$oUjMd^9s>pUy-JDOGv%L-w*NU8nD63Ek%($azzUGDC9?+bZ>c95*zN1yzhS zU4j&9Qx{NQX#Bt^&*oxf)ObwywR=mLo|mTt)lAvMbomW#myTM0iDV7&=fJ(5&eJ6C za*iR57(a8_nFiZ53ikkZs$Tsv>D0=S*I-jRG!$E zRME-UWf)5w3u;c$8#fr>H!LCQ$tUTnPxiDllZGLFdn6@v{3jc+ZJjL|BChyaQEtx5 zYdcF(PvuXZ7wAsMw5(Wz1j7$qw5BjFzj+UnWy*4pL`EU6T>t&Jr)DyTQyQ+OnQR%j zqme9_+9FGK>eeCMq>bTzo{N*udD6qf6M@fLhqbnz_Dtg?jX86ck}JH_&%WjWXL;GU>vq$Bio zn$#TZ2lq8kI>m0yhRn)1h7#?_Y6_>o>)TO>{HhPS`Rq%VX?|5LBse3~mc5R|7aw=f z)!E;VyVLjLov=pCFlPm`N5vDfWa$(te@=B+hLr&%H8HL<(`r%+7fa1@KbJM7f-Xhl z5NPUe1~Ra3nc^`Z=dMDXz}AGE=7)lF+^A@j@~KPqv$C@&i(Xv!RlpA)rwz=hOm#fo zclQZ4Nav+Y)z7QwzgAE6?cs+F_xOt5V$I@!hZ0(GN^|fE z|IWq6N1K^D_PjH~b-~u{)Qogr#(g%ER>s^5n~$5lqSMDb#q$E!iz`z$3FM&qsq( z8RwoWOeDb97EOq!)A&QAY{97eHpV9L!H`i-0t+wD-z>cVH$;R_dM(uKpsPe`X~&wp zK{9u`#@u15b?l@H3@{zMzc6TV+%XhUaDL^V92I6q^~b$vLgyqveqH50YUN>>=4bczQn zzKN6c96~w=U#zb zX^P68zbz_^FFvHL-j`|*29gD+as~x!iK6DrP@3=g>5?&>F&-j!gn>{3>#;VxI zX`VzxP5UyH^VCCkr z^5SljBwI6(v)}$G^@7~bj#bQM|Myh!zc+Q}jO#%i`n}J9GeD@%t*+Pi4 zXBCNPxjxufiJ+sCqbz<)#T=D0tC1YBzD&-I9OkkPOs4)QqVOlTyr+DQDQ+w|=VG1U zn8Mm+&dis*Oql!9b$45{w2NYADxg#5lqA0DDb96~=za=sn}RsGo~k9SJM|?wVVHsK z!&h`x^LCyrBYa}lVULxb1^pZ4w;qU(c@q{t>IMS$2}w(rCr-zMxm=P^Na?Y z3vVLGY#)g}d`brIyNklOuhZ!>^j&$+=aLPd4JMH^S4ZhL6=2WnCzpJktZQMU(5ij= z%1eQFTkw}PZ)y7W(UO#?QdT;ys8S5y^}!w$5t83QScg%}>jBiEyPs@fR_~*vCLi_M z3_oh-F*G!m=kw+7{lsL6@_W_)*9GyaCF4#R!$`+r!FjQRLuI$4kpq29TJCbnTsOqs zA-OBQgE~a}0Mm;zi>5G8L~>kOu4>~4Ny)m0mg0CVh-XG8#>h%%;>T~@foqPC<4LLw!N@@YnN*4ZsOGhW-m9alf&yPD*8k-rQUgdWz(#5Z zZxDgse)ylCks3p`JN%ZME1Z=hQ@+K(6EV&z80>z&3yxeXxM|QZV>{DS>gL<{aqDLCw3e0J&d2eP>#yP`?cNDHfy3+-PHVvQWEW3t zuMprEcaz+MH*;4m%YlTpH$aOorTcW}u0HHYUN3Am)xLGpC5A)o{C~R%-`#Mz2VxPm z`(9Jf|K#}g6882aXf2#xxXJZ0DfKPI*xlGZ8rNUSD)Vs?7F4v(ELOUIL3?f&jKgYbKq7Am|WAq z0v2vo1cw+J0q3koW@-%c<}D34JK zo=f*x)HNN#0i?fQe?MM*g!#`4amcm*7Z1ytPoI3&@QYgxy ze9-A%tj(FaOKv7U?;|nunN);(_>@yq%aIlU``lmv{Em9OG`nGSsY$tRs|E%A3h<|!2CLaC;H4+St%?3k1hnaj{;~y z@4!wsmH!&J&>RAVGzg$s+1!$u`%Gp5|0clBIEQ1mfj&d2G5q(paEl*v|K%(A)Lcqs zwvz>`TwK9h`YcX6cnyhG~sQ(60FEH^8I()pdRo%a{z8C4vuw^Wb~@ zDH0$WR`|ZVe*s+QruJpi0sPtkM1BEa%~uh$4{Jp+G;g{Q(2l@La?JA_0Az#L-#??% zYo>Ue04l)Bzm=Q6jni5{D&WHMwwFxmByc=^6~vgY4{t|ZEf`tuz=F$EQXWFQ07Al0 zplAT7Ha{%S;*DZy111wJm>~TmvGM^w)h!qv|AJ)>zYE|ZM&BGkFvS2E5WB!t+46oW z>u+6Nh1At2y(fTDbPQrkIkmE!N{a+Q0T7i%fWtz+w29!7N?@PAMc36btrQUxjL6cA8A5QFXzkVaAvq(M5RQ%aC71-XE9cS|?YAzX=l?&tg4 zot@eJV|Qj}opFTm#`~P}^m(4w>z?$Jxfy5x2a|FR_VTF5OyUsaW18i&PP6h|=^t-E z-hVCZIhDAl#(Iu_)BcO1#hT(_^i%RqKVN1;bt3({+sOQtlt9;Sz(jEzg@gQ2+zRsn}S?_)^(n&T&gO4@+N-y zVuj1=-sLvvVPbW`aXWA^c>?>Efm0PwWGVdxXhDN)$E|9Y)GmT2ZK zc3gJB8avoc?Q3bA(Cl}rcpiYS%cUIb+R#U#9{p|j`%~q^=F0=5(fIHsz6q7l0mHwE zc9a<1!u0(OlLnx?$bmo!X89IjCDFIbS4@4H4I!^SHvrR`qD3{XC|Sf2>g%v1PrXfo z|3V`=N;#Az&X!9&j|*jn&|WOYRmPEC!W_Z=F(^EYhMji>S+rZCNxfijnXv~;QadpS zheLI*w>ahg9-iQg-H57uaNyMu>~Id(hmAs}+>2)&S06{cwk`GlA+gWy=m!=XZkuuB7h(Z>5WByS0z@Y8E}(Ojt~)zUkeTN%eyf5#uZ!-C|oen z6!G*oQFQ4Gtop+~QGjZDdvA>vP8x>w;$rphyq-sSIe?-f+rI#b=M1*n4YKeeDZj;Y zg~j0UT&D@<Zo;-HHi z6|z9X{MV2@Sufik8@UgGj1NeIu4ex>u~*I| zJNbth`}U`3o>TUBO!Qz4mrPym>zRRi&=jNV7jd#?>-BHi=o?HxxM*ClgtWlanGNFN z1W3aF0X3*uc$MelL-{8?(3&6Pwx2{)W;dmP z<@T7p1oOu~5x6f-mA@dHj#a`HjbjKesP-43ox@ytqMiGhA-sk8O`d~JpFo7lz$s%&( zA{Kn=r&cbQ<}-ItgxZ#BnHVmXvS`Aj_OA^2hJ8irZ}vY2ohm-hB2??hfWu=I|uJlO+tIhHQ@_{ns6T{j1=ePAczI8 z-ao}7?bhy}?LBsB$hhqLeA&#L>PxuNW0R^-7=`P@qL=US=62%gLW{t^v7~~z9k#N# zCEWyTnG0PUj{3{y+Vii)%Xse3-`Y*RFzLlY`saSh@NYY!ThIP*5tm15>|tDKW*t(T zp2ISsp)E4o$~E16jNLAwE=#3S8TVY6bHg~>B*tcrXr<$OXIwP(!Fas&{L#69n;(Z+ zR0p@$7S3@0=*U1x05(QU7E?arFb84oaZ>mr`hj&o&6&bGCS=0ECw57nZ+uc8u<|LE z2G4S_MsS5^J8{^6C|$$L*9W@-Dk5(xh=pc|qM{CCtfm5R)*=3M<&1 zd5?VbipQGtbI`+3c_n}6O6`P3In{rB&LPF!m{>Dhf^+KA%0ET|%K%lyScWFTAyYAa z7J0Az5|dYMSti7Jag(VlbBM#?sz)7Pzv!*&!;T!qF~XU&`^F z=z@1@wa7lw{aBaJBq8}cj>d3Ap!w}QwG2(+(Yt+)FVRjEWh3k8o4cs2xa*a&ZLDvv zdWSJ;8uc2VHj9Y53DES*?EQ9C@6=J3FTH&e6PJNldE&D#ds{C2SeS)x z`9*K1MRK%l>S3Jq?NTE|1tl`vp`32X`@Wb%)#zN8fc4Cc>@isr z@ebSB+qUhI7cTaWh`qNh4VRedA#bp>iw9JlgE1`VHg{(>O2#q`j>8SktOu(p3X0x} z#B;yf)H%yt`*%}YebjDfQJeag<6Hj&nqz#tht?IfL-wM5TkE!Y<&h`u*pp@E@?LaY z-^}*IQjm2F{ZuCY>$bu>y$r6tF$Lq&RXujZp6}ip@B#V?(p#DcmY}RPs=Ua0nR^5 zZ?|l@rnkd5a`gF`YGc&F>+l(^Iy942)lo-RQ&m zO#|XB#GsR)d6$21&fvc3SZHo{^Omhu)6I*FU;9s(8FUga!-I(^auOe`ub0?zoFPMo z(37Hu{Q+Q;KD5sK!?sMt$9J~c$SuKkRWFKs_Ny<4s;>3@S*2m7)Y>q ze^QgRUlt|BWoKp0X_=s9IuLnLro@wModxGV{@81ulh$hm4C;gS4bUVT z54#`z^Buu_H^pNYCLw(gSFsp|haphBWqPB1DBFKhjv#(z`PaOW=%=b1sm>H)Tg*|A zNH|$1pJ;3U$p3~|nP~aLfwO6A+f#5E-~G_ULh1FxZ>h!k58rKXZxHp}#ZtJ9 z#KvPz*dETs@_(V9Nlr>j8JywsZNT%_tA*bC<-dLy324iB@k{*onBDeLt$kKT7d0t( z7Y{zG^kRk^*HkoztZaAQ)gXVpl=_FMGDNLkm@H; zuL(u(x$|7K-mticv%FnLEhJa}+{^5-SJP0!yk_UmvWnA&IQEve*q-TsR*9NUTSV;k zpG!(y9tRU1T&1oDpExZCzFa=J9-QNW)FtjeR^J*Saubyf_ZQ+9Dp^pt>cI>1}92(WW z2>f3{7^o-|;2nR4#N8UI3?p!zh?s@OP#(g zaPQCM@3I7!o5wEk#}tWO{hMR4@Aoj{BJPUrVal4|7ll>qOc}J|3*-o%EaB~uGV=-u z_Uk+`%dMBfgZ^sYWGt!JsL_QJ-`C$58%QdDva*f0b_Mq3Vm5s%cB<0&FmSs(tFB^Z z#-NA0;SJM)i5&~46Vc@hThHa>Y*B>?mx7`kqh_h_9xX?;G<9dxF{9Yec44 zv)8;SX5+n1k|xwFopA#^>%C*5$Bfw$_-&v2LXWgTaiq%#ZSur#uAlAX9c8sG;f>sK zx}tO{jw8>rL}+cF>V%J5haYQCl0{@znE$QLktl>d38tzojRtE$$$xJP_c^2srxuM6 z23E$h7u#WOgZlbkTVh8rVEw}Xt-n^*<@9(d1^;U~-)z>mCUy~cPYTn2->(1Lh{;)M z%0$b;{@|bX18NSR=<}rx!YRWesSTaVN)M8rCRZ%OWc2r9`+7N=ZNeulM{)!_KAmKt z!4l(>Or1NMi&(X>Gt4=bAcsYWUn}l7XCQ|T^s3JDctxI*xw}0Xd`9A~zVl2Ymc3De z`At3>w%_aJ^>~Bl&WYI%?)4rEn%SZIL{Dgy$z8>Mc%F4$v|w&QsGb%0+74l99y;)C;T#qQ2ox$DVk+5 zl<=)Tn84|XsQ98Ja(BR25WB5q%xLNu^I;X=%HjrBWJ;({%x`@TU9?vs5B5bqX9~;G zq%!vn*x)hiCWq_ZTQKhqrSIn;Y_9dt(&-nYByoR^l}MV~BzCGx8}e(-+5AIMuJlE! zJ@^0;ujjVSLJM7=zU2f9c6dCV^cF0&uP~NA@I{Ap8z}v0a{ejhlCw_@wd?zRtK-U+ zN|CF_b16L*cuhO5TYL;ppW{6AZdwep3UBR@Tjra-)Ooxrr13V^)yXJZrF4%WG?=OD z?v}{zj+aEWq>8`rkUlPTJLVgq$K(G?z8c%`j?L+ud3(&g)ZoyY5`?nEC}uM-VWOjH za)prT!PB<4GFfW*4+Sb~AJaqu5@O4?AmOB%rn^8?$e)25Z0%j!r{mR%d04i$O^wc+ z=fjGe^@N|4R#GV$=E*;&0-OqPJ&t<$Rn<;g`;^w=`rQ6)T#>LOaCF0vy)*nQ{-dmT(7q` z*fH__cPzFL>k50?%JDt7fAJ0lh=nSp9S8GE zO)FV41kU+WDI4@p-SHwfQT#xm@5MvWNiUDq=%V1f`M!CP*jhhkvGU90ijbq`wJW-d z@~{U01@3Nqa$FNSB5r+AgJm0e7Bu$LTfd=|o6TKpEXmrbp22qhOX;&|;RCR;+!v!~ z@>4gzT_BK&+~245mX2;t+SyUWQ&w&9=Xl!(=j7iI{ynhbaD8x$46i9o7C(0Scq1s{ zWg?!IUrW=5ptn6 zpgDU0T^S4|g-fg$)UUG-5Jd!Ao;&as-@BE#in$y-AVGtdm!Eq>xUzBLgtpzI)bB}I z%Hx0E&=cP|x(VrpsgqsOBO2V&b%MVZCtUQ&pqDt<7>zi3j-O zmH*km?&oTM-$@h9W*iWGbN6L@wGq%GtUi_TT&+f66kNfJz;C#G`ibRGtq3(5K%A%yM6Zt$Hi0huL6oa_5NSCVs~Q|oUKR8S`aG^7ECB8Xpn--B~jBMv=b9m2>Jal zop1g`L;2*$spnpBGl25RDCZ2f0dG*z|g(>ir5&X=sbV% zU3NsNjQD6=d54p!u-Pbv^jz;DBjpH;`v+vw6`|@CzVybvv;9yM?y|HJI#ZNm-qDg| ze3%k|@t5vb@(E#B&vDR{KTb6R%7h-dOC+G*lbELFCh&0&IdD^{!) z?lQV}>=SWEZ_*)cb2hx0kfyKdFlH3y=D>k(jQ8?@jP;{&n?BF%QqOD^pB8@i_cl$e zM{Lns{KAEDuVzPgc9XP-(RH=8fq~4GyiobW=}X*=zZsaj|auKD#E-XyI6}_A2Nb(e&|8v@yZM z-68&zz)t_k?y`%;a*a2oh4}#K^vCn5gs{uEyB7~CYP79!Q3tzz8uTqSuMAwSbj%#Ko$u|)9is*4m5KU@2+KWy~CA1U~zH*<=V+8JIh#Iz@oJBvf zBmS*^$Y}{WPh5el1y!*xON!ydFujlB=@5yJxSd--ehjr88Pwk?v^{} zx${`jE+j15)oX^fX!cF@!t9eE4>dGnItfyRc102xCeQE5+NnMG{q(7Dc!mEsrDCN;kf-LMzv=A)Wp16+k7j- zo{hoF250y#E461o*9H^#HE@v0k)Z~3H>G_eim~>k6q(lJuK&iZxDmYLkD*yZb^V`% zGEy(mMRVyOkYz%;YS%d>TJHUKC+kEPY`I@$&)vr&+6*e~Z>8Oi{P6RMG!BnM(XAGQx3SJQ>**R_s*x$a z2?RALE4ikaLSFN%E%fds(?+D-muFKBa4R?3Pon$?VFL-n$ZF^z1L_v9}@@}NUU>!*?V z$WFzJ8xz`rqv0#E~6&01{ljIDV zDUg+X+IBJy0h8I$PYmYjUW23IK1X&VF@$i(8@J`e9KyIH|(omw(~ddK+fyd_rg|ZaO?Fes}2LnRXyS0U2E(ykc|j1?h~CUG zJ*H~`N0zs=ORnD1@-_SJKY4Iu)+rV2E4h1ZVP2t9QA0mTuNc)s0hQ()b;Bd|Yj*f* zQ??3TMRXao*^rCRoGH-$v$6Vd-nrFn}m+?o@R>2hA=%*}!N4nu0S$icKzs92j(x7ij zRv4Ll*KW#uLTEm0?26V^Y}R-n}p7 zogqDQ0}V~p2iJ%FQxLoK4T?tv8H{&rh*Yz`bnWts9eYHqaq28I|NQ)~{>p%JE$yFh z`ih(6?%G*O{~4`pawf76S@^t?>MP>r|Np<}6(XFRoS5W7*X`*%R)e-J(!DOf+bg@e z)}EyR?&i_fc)v~OPxrso+DW<`I<=99E5xL(^NnclR9^_zNyZ|zIb%P6mcR3Yy7fiv zin=pFm%WyWMbP&UD=*nKzd7vUoi91DD#G!F3GCN6upXou-_!r`BR{|6fW4b=uh7mt zGHGx8$mHf3Zt>I^jQ`61-^Prn)7dJ+4(@S5vrXiP9hX@be7{1w((3wrS;kj- z)^;bOc_@-zfKW~0n^obqxyLjP<=XqQuZYwPL;wp-QCU@>+Qw~iQ|;OpH6MevfaZxmKt3=Dg+4R?j2G2lerNJogP;jVu1fpj$glit$zJb$>jn2-z9b zjSOnuZl&H+zKBbR{E@hIugjD+DroSWy^p9aI_fRrQbEij_*$zp^BC{Z_*f{;bq*x^ zf%SLJ0F(V&Mh4X!0yLEVdnK*WD;cV>x`8s{1<_1HICEk6e7~^0Lz!MQxP>N_gnTCI zrM@uE3~0F8P~sWJFT?#{9@buf^4Nc;YWhsg5R`K;G56iI^q;-QzbaH zB&vAV=+F&+zz4e#$2rE{2+^6^rakL27aFZTzR%bDqCqtyXLL2wo=*u=$3DUa?dMyJ z>oy!?=({8Ob*c9RIMQXQtiA5?KH#&+yHt2=Mq|!jLm5o@k<`@XO@TgcC~h!KnWzk7 zXM^ES=||en1pfwG|G7iR_#~B1vz!F?GyRsK?amW)r))|819JLA1o6<*tAq$_8#S*S z6^>Eox=V${+jmkzBvnyMaN_IQ$?^?RTkb-ggF1(PZiH3Igh%!-M`jgelkRz+R;(_v z-V2LxDl1$b5g3WFTL=*ANtx09(6}`^4Bc)@iuJ3 zN}LEgo|$FeRejqnOiN_b<=>-Is%=)m^YYyi@hW<2Ber926Jpz`m3O)b!CUi2f|JPS zyCfZ;eB(FEXBVkm2+6Wdzhev8Uq@9cj&6QccG_i9x^oDSN>?r`08huXW#o zf}G*5WTqg83$d-5S2A6le4V7dpPG2R*QV{^ajTyLqLKJ=vRBZ0kp6; zl2E#^QA6ZmS+#pEODSKtXp(!)qk6T|Fv3G;(qk&|v8A@ERL0b{8mQTxDOIpcZ`u;j zP~PH?V8ZHkY1g4p7|%p*-4t=Vs3=R5&ys&vv?W3}RfOQwYu-MxZ#~c@A`Z6@;by!AaO88wr6A)-8Y^~>5o$*+17o=I|C|N22oqDBU#U7_H?R5qgbTQAWh zIhoxD&(Q&+?wODnQHj-{W~(Qw7Sq>UdL+AjuZK_B#99f3y@`2PRyvFG4$sSUn^jmz@eI?vNv8;TiPhrmHIY?fqS@O-H$(_ZrZ zola92HC=)T_o%w_c{MAPMXn92yGFTa=BnDX(o^R9Zoi$kz$ z?cJUhrW#+4RTug@!o&DFD%URp_N^A_ zlsAgSE!^G2t!;Qe5M@{^^kJL1_+e;E5uMzWrJqT>EgioC;3K)cP`PU*vC}cpqi&yt z2){MjW65Lnj@kB1pt0#X>8i-Y+rp!0H`7xvD~C=mY7^pSIAC9;@-|Aczt7oGtQz#@ zu0P4sNzry*A-2hjV2|HzDXT=DN4?wBG}%8SpCIc}d#5s;PC1wK`Ngz&JG%NzHqAmH za{7-!wHj+Gvk_5Y#WU{*Djw5e4|P+@h%_rNzPsV#PX9}OlU(D$o@=ToQcz((GZx8g zz|BB`K1JM4rdX{spTP78wZkCJhf*t5%n5 zqnWvug6}LI5L(6VIsLzUK*P3;tlV(Q9DUVu0(FBvwVr1WZPqFMH5#g>g%``hCK|_> zsQ%Gb%X?Y>hKEf@vY$m+9p5WVHsQjpX#Ipwz1E@p6-}^Dk!IyN`Wj`jY@Nng*4Dr2 zJ(;@EQp(alp=(b*glbQe^G)SPv^s86zaU8dIsdrI^g;gbtd#W!PtdGdn3di-& zduHP`rFX*0tDkfoBX)eiWW7_oX5sm%BJk&5T#ddc9EP>EnLlr)5^~Na1L*~KN^Crv zf1Zitg_2mM^Vv4^-Fx?ei#JjybbIN{(h?Jo1=4mnqH&#Et9mfeSg2R z88a3|_)TxTdx=^`T})-Q>@oLGxjXZ6oz+5w+D%nGj9Mb?Cv=42V$s4Yfgi%-6{)t0 zbQ~jWyvt_meCMl>(>U06p=#=8_egucB6L?Se0et(u+xfDv$~tpk83)3#B}-nZ66)i zSgzYvyua?xHs6nmWJ%z)a$aPg`T{pgqzB*RUqXSO)H>k**)MWz>$?DNJU9nn=FF_D z0(^XqEw4#$s$}Noz5yJ$yF^HBb@es2|0tCx#qAece6a}L|KPmoUy@Z&U;zm1DEM-r zi{CZ2bI@nad<9&JR`NQsnS0pJrvb~gt-U>hPEW`rk(^ z9;|euAp5VNMb}b3mg~$`M`s2)?u0RaIa6kJ37fMh&e zymNb?3?t_F__(#Tb#QPnNcHX8`^bEV{@DI{hNSW} zb-T-RH#$1oU(gF2Oi)lzpxNMo!c4}!c~itEuQvPZ*ZaJ4&{A0lK+ep~iHV68(2n&9 z{})g!kaOuNiL?b)lDqzp(n$H}NR|&gRjy~rb1FS7{C2Bo> z{P@L-p)7LO_e!K!zNKkIO*r#6TL?PrRn(vDOLFs_12(UXhQo71G0Eg)bsx=O1OR+p{05-V_e@$oR2Ry zb`SQE?lGgS4CEHCUXkK?L5KPVhDwC*BIYAE9W)tV5?APahGyoGwLlcXx{heSP-qSt&m|J3A*Qr-%sklxOZbWlKxTz%OXc-a+vg?gHlcqxa2H zD&vLS=*GG3YyIiz$;sN<+S5|E4y02gy*z=P^UD>GC%%?*r!msvhO-99N8o!{6|pJ<03K}IGf`7r9ZloXHkfjcVd z@`el@jI+N~cC^o~9~>U8tbAu-VM!|vkHGznEl-G1EIne2_<7MA>c4zHTQJ^I`1j|AxR zupK0-Xg~uuG@!o%lTjQ7vKUVFa21-$F9C{~mzVds;o)HbV0(v!g|(7pAb{y<&p@2) z6X*5CSs-5c`)BeHK%d>9XA`=QzX`uvC8eNoq~wteV~66F-KzfmdIAbg9dmPY zjUSF1s77?Su{fleJC&=k`HgB`g|1n5un5fb0UrQ9{1y&Q)P>+HuL~-n1r&dSq!)d+ zs+UJ!HUd~@ zwgF9A6IbnSJux?~o4*<`79jT{yga&{JW#_mrwf6Akl&%si~##5=SB65(NHBhuKA(C zIst=3N_sj$D$WET^rwxYt0b2;<8@d_DJXOSiFlOmFM=7*f7>uXz=dL1a##oo3IbCL zl+*$bAHMvHIPg>g(txA&K@=N@fR%=w-5$38U&E1n)myy)@O}k{aygGBS=;J|>R^Sf zzeBMzS+NG4%_Rv530YZ;S8hyc&9`{xe*6&O6TEwO9mPe!Xa0P^$#u$)%%-s;ipl1*xQ|n^d1IT&O6_Tx3@28fU8aWNkzc%1*JzGsS zk>O7A61PU&{5ubG6lRaIv$Ih{2hgOv2NO2@b?9QhP(8OmCNV$M9!iys+#*>YFJbtV zl%B5t`t@sVZFh}@4?>>j07Li8*;(MGJ9MxA;?H-1w)FEqW|B_jvbh; z_=e(51I+Ec3@P6?H3mT7zSI^rd}fw@t#cO$Z3+tuFE*d$HUvQS$sfx&HwlzD~Y@#Mjgk=V4fOF#rijg|prx7i4= zZoE)ad!xj^wz|4`DDR2i=_Lu{Spx&lpIEyT^7)r!=jWRPzPlG-gVVdtdxQ5d23}?J z9U!|~p1%0^*Z5j3y6)8U6P-Kw_kSN9*SS<})YQ}r4Hv+Uaj-?+0K>m^8RLmB<0W~vO!6eG z7hLL1!6oQ12lWD)5GOsoS+M?AF|5D6hH5g9TNfW4)r)n$J8lq*Sh^%h|MgPiE4)Oa zVYjxD@$vIdic10R9qMJZW9V#b`Iq;TUVD*!MKoZJ&T(EF)t9XROME;&o`Iack%25k zndJOSB=sw=x@!Y-fByW5jEwB)=50^(s9`h4t$ASUg|9g0Qn!EltfKLGzf7U z#7pfhEzE3eOf4Q&7Q?K+F*?0apKA~cYEMl=6N2^R$&*JOSOoOveZVak5WuNZYuA?| zOxxelar?G9!LC1ShALPR>Wl5+ynYm3K2WHzLDxM@Jh+0VNb@-8L>Vj?66k^GF5&a% zyj{j41~`d3oO<;d5V6qG-r9!=35%dB;4~ys2iBdxFd7lg1pg{4C;$&cY@e^&omXBT zNJ}jsW&;HEbJWPlmDXO^*8CyR+ftH~1FL}4&$BH_)X6;BLn4tI!+9u@f;kv!>{b4V zfEd#qqNdXx#ry@TiQd8Zy8q;GSCp|HG3f9Wb$J%pG1Uc>DHk5s|6$BkyJg*uWYg zI5BYR5WnGHv=YpKzeLSbp=dkY|Jr=0NgW5#%(Dj*0<$0DhdmF$zSQmQz!UAmoJRxD zx~ICG2*Q&*KpEHJ5R~8910Q zj#HSw?CtM&IeO14O945AmD5&BPS#Krt_UwLc+5v_8#Ofaz1A$y@MPeis8O`M@IvLdDv=F zVqzb5h*?Dpr9v;iqqw$icU`aDaVeufcy_<5CPI2)hT@l79}q)PhL*0**?|< z=t0ZM%1*t(asd{1hu(`9(sD{l;q135=z$^(0;H%_m`fp}pkQj*#H&X`MNW{H0d-Ad z5*Skm9F&sya3*E-BrlGJ{c$NAW;}jT1f&6Pi!kfDY&LLr;Ox%N``o}Kv?*T&bGp$G zt4j>YE1CHUWQ91TxVX6LMH)PoV;r^pcYoPb#$l(Bx`MjL|ARQ-SyffljT<-i_xBfX zKGM+8P*S?(X$(WTuGeXC-71yC-EP9;+;TJGi$lku>zAyGx0ul(~O~_dQJ}~An#Ka9tQ#qxNj>p|UUNPH>e?aoNvDb%u`b5oR zgb{WBOGsE4%QeL+BM9aKcFmWq}`i2SzymX7)6NJ$}ZmhNqIfsd&B=wfbUXlSUVH3i)B zehH`E;CY$qOzJ$Kj?gK*V|3SzbJpPM@*-KlT0sT!x(_0r*Se|1-}8-!g@llN8?R!d zyE&@gkA7HOSjaS^3kt_bNl&lbtT+&I;0Yu`U}ZLg;y#gLe*V&O9~F!|!k-=(xIM{vq+e^d0K7p_(C-k?*bXe{5A+*6pnNepI!Y(P zro=xlDqRmL*_SV0fN2>66BD0KB$fbtlHL!;d*SGJB-{>|(ds#=?YUlgiTgu-)BPZg zMKPXm#b|FYoRCjgSXfdLLJXZTHK&20#{t@7=27_B-$6YN=Ix$8Cv55O>blR#xvH&8 zxgi5qo~%*gdoRXtr+B91$krS}(qb1l=GBTcytRcK*PrK!fA`v+s)G0?<6s#b+a5#; z>K=@YjL)&&%F2m>MmpFn;TZi?UEu^R;WZ$U3r}ZWOck`H_rcwO0|V|h>B|sOwb!pF zb-O0)KR$;v6m?p8HwtdO_W2MT9PHPUlaqsOZ#E`BD3E{oUYIfi48Zneg?z3@r!&s` z1!}K_-$1|>1hI9LZhvkfBuiu>idK=)(b9aJfWqW4^yO(ShhM_p$54&G->oHId5K~< zaxIvC_#l3^3gwSXdS3ZH#Re)evKzbD0j5p9@gmuYSP8k-@b0% zHc)0pf8`Fx_w?ygND^pxjQon4;+^i%2w2^I3Si#1(w^zNPQlWg(YckE$;g;mSO!?4 z{ai3&H29-RnG^JQhuxusAw35v+joYr?2qjj z<^>6@HUuzJPyBFVM-w*uF4(_LO!?~dYd9pu)tpWWY+&HO&$Qxf83O{4z7g8VR$D@3G*NfBcFV0V zouGB$6F9`5GYUMx0Rfnf`4trvg@c0wPIg6GC(y=b1N$ptTmI$CVW^>OZEb<|EU&1*!M6YC zi-~vh=FLxFgqWBYR-iuJJ$&DU1qbg=JsX{zN9WecXkb<|2L0atP>$kTMSAZ|+dL`y zi72*H@FIk_MRfGgPY2~0AVCf7*VNR!6#= zz{bOi>y>fdnX#}c&+BWtH9F^v9>Q4I+ua=_Kb|?8ubPd0wT#a-BL*bo6m=^1?YZtN znU_K6ly#9oPIxUZH$9mk%pjgV@IXKVJQB}`(f_DF5+4f_^Wd`*^TUTE-tiX?#dS4pVMWse6B9u>QTv%v z2g;A!s?ZpoU_$1hkhZ=d;w*&yVQX^}dRWc>B;F&yZ*On!PD{kN@?7x|!yTQ&zwuR7 zTU)VIS3{%LapMJstbi3pIk6BE({}ZX{I)&#uyjv2udCw#DC?bTsBp`t4Y4sKC@8HirE0RQ4s-w_}eWB z7>$*CPmbi&Q#}svgQ^p9JKWU=Z8PbN2L3zc1a7p$fa9ZzdJMuPwh_l8;zw5)u?W|F z#=bou@d6jpd^-==VS#ZElJ4#;<~RLm&kkO^ek~icQ*#Nv!)bm11fin59DDPz8=4%{ zGgD$>UTSG=u4Qr!a$HmxwceE0aZ|mAf1i~#;8H3n*!9D{H!g$EW{JD^T|$i=2+)8J z8)Mp}))AtG0jOpKKO7z!Dt#+*4hAl*xS+;2O$@#rB2Ih!BW8)1Ypg;-LL3~o-aUKz zR4NE(q;3Mqrw+jjtzSbvW|spH%+1W`xXRBc<~6m{*49EL2pzqPPEYq@dS*!XaRnHa z^0h~fj(m@4#9X<(yMVtCN(A@}5*{aiG`+%IMe#xC1NVs^9ZW(gBb#D^``&cq9hp)S zH^U2ncz^_#5ZCnQR)(>u%s0r_va+&zdXx_I-Ojojy{`ikVmAV;Yb1sEX@7v$SA_Cy z8gr}JdWe_|fv}U=aU%E+MwRCUvb?Y z$Pk)%B@xF<&iR+sBzE76i}9T_%1xe{m@Ma$htde*Po_$GNyO_wSoQk#=&{->XWkC; zy_6^0P*`4nOH6ex^W+KkPdaMq`y~<_TwH9=cMmR#kd`4p2uLgGsiSu@J|W?51q*PD zTP{07?Eg6SI9Pzfn^0X{J?5;7yu6%}mz9>!9AF&15+9xz!a?C} z2=MS&_*toh!Bj%Q;J6?s*?+TyB=VP$1Bf@cox6X)sGu{EWokyh%ntSZ$ZIZ=DWyO5?jKzYa1)RZ#0tGH$X{DZ4Sy}hTW1eN`(SFxdV zWg_>8m3^PzymRuqz4+pp7gfQJA3uhMhJc6@POly>>e(BP*<^n=(uee zQNKzf0Ee)>c$|j%ilxkJoTLWJK)+0 za#&+5syYuzS2oI2NT?kMjdX`lwZZ^@e;zr=?tW<(YgK(MFCY3hH?gjne<`3p7x}Ha zy1KSDK{_P(Gm~+W#E17#Cj*mWqJ9EFMe6*)osIx42NE<<`FBf8OQtH{q>Iyj$4}6IF3l#=F8XPRg^``Y`o_ z0;pPd7d9>94YoAG)h4i5ihG=Ln{`<>FRvYV^32a#sA#G`Dm!v6yyz6xuWCGL^*`w2 zYRI~)sBpIg+vHL3b+~Dj<1`2_3e`3L_+3d@Ik9b#7p^ht||HCoZ_u&2^B#tVQ7ku2@k!840DtYq6;1r)$=+TGg zZ&N=D7^r*E@%)`~a&&(18;j?`tsz&Maj0))XJz^L_`vlAAuTN}1qB;Tx`PkLmr9@~ zP|<&_u1=C8L+jylhEIy%)$yjO>%Ln(dXMf7b!diKH5-jy^Wux!tT+;Vc#^l>12k+3 zpO(>9I^wq9`;^{oZ_iKI`v>b|xs;efFRO69jFVYHHOUXAV9r&1@LdUz?@M@Gx=f{71OQ z!yaDOi7jrsW5C_F%li_~rv`4%R##Rg8(#TuZvU?PY!ZnG4dJfJ6bshcsTEFrdk&=qa8rRR8six!T)IQe3 zciANTx~(V6Z;%g|)E(X$$g8Y$gnMRetm`~rL7`koRM&M~|3D1=>O~Ah(<&)KQJJw} zM5WrFz7-Vg<0SvVm>AV}D<3+*ckGKT5bN8Q&Rb?Qr`T@gj*gB7BID54gqcxTQQJn! zCUS(d51l3IT5Bfk)YQfxDZJ}9Pb=C!d#gz<+Egg z1w4+Bmi!1Sn_ub!E#~)p6+49lL(wF;fpGc{nn*HRIQOl-Nl09ZhfeRjicZ}!VR+)^ zz@UP?1$C~6TBWr&z(Wry>Vy!Rpe4r?w;4ZwEkZQw3d<5RCZvz2RPrq@}$1DgZm`T^qK)VPZ zyCrA}Uzbp%%J210JUZjQii9Ruv*%t#Ogww^|3Cu*=|7`JRylm=SIl;n!Hyf+-*)K| ze^9N_=ECJSEx~wA^b`v;@!wsQNAQ)9+gwAy{MnXDt(uI{VKE)V#+MO8GO!CqcLB>D zUUqYr&~Ct)uP{u9s&`uKw?Bg{!uTmB-enO ztAh{(`xE~FF}!j3KIxC^f>E0=BB=XchkYj7@5k!uK3ORExj`Qe{HB9x_4ja7^>|+> zwk-AKuL0YAMme7hKg?I@}Rjm>}RVL$hY++lH`j(fv#uPTP}=YsSA# z%4$f`gu1;_Pizr0jV|7Xfp24cRm}GIEflWlyoR?i&;?u*!oUJ({|BJ`Ma%wmjRIM+ zPv{My+~XX&=O;;of`H(5SX^l5;gq2YVHTokZnlbiH@<# z6``*=m9#13*{#Q%q>TYbdFcSE)&>SS(~?Z)-w}cw5$);jnV!&c*$ulOpwRfCg+q}= zAAP!fCgm2?RXN%7t1pWptaqwiPP8}OW5)KwUpB$s7RoVb{X7HvWeGfT9SMJl&sgEH z;>J(AU6@}!+}WR@oQ#N|Ktvmg^i3IE;#UUpCZ$K%`>Ds?fwdi9kL{ar^Lg1#A%?>-H=6$EEtDQ@np^GWoJv(l>uuWk{c zpm{F{UW>KHdIxVcEPV&?Ebovfg3)-iPqzSa6%bbyGyO|@f>zV_gK%3BeImJ?_!$Be zIkN+ty#-A{^lk?LbA1L5&;Xrhv_EV)!l=?;Ni~+(%)ouQ_^lEn7JXr|r!HvHqS88A zc&V5KY4pMC+uYU!1p|Bl7^>{Of#yW`tXHc@twj9Jp$sG&r+S>2JAxjSM6Dv=vr%`s z$0HXPwbKjRmW3yc`mm9vswcfZdxZ{{bpoH~L@`8{&j7**&NmmQ&_4AQ;=TTGTn>3K z>z)BRK}UZLWDLiaM1K@Mt+bbT9ogW=8d+IOVl8OzfuDP>Fe%L$U~vpM-Up%Ox9;<{ z5v=;M?dXwf;wAjSqJT`7Ft{Kn82S?4ryn|f4z?;(13oEnMl{ITC?g2OrGJ5%$ z#^R|M_Kh%hV3Q;!B+Pe=#Il15#Rp*co&f_iaOjhIG;-(pS3t*8M4Yzg5G(uyDM4le zCW%x^epR2aq8+Z(uIc-D@yHL za3&x++9S+|A(S33h3WHo;7~FlW8}a0yxzZfx*AhV{S?NFAI85WtF~qf`P57L;&Xd? ztyOwC_}=IB-E)CKK^&*v%#~^eCt^~Vz~2V>PcFQP#UB+D6HkBr^`)Q<2n`*?SAwFb z6&1q}kCiq{6lRg5_h&2q8IqlAnBL;ki8@v|+Bjcdd*GYBa~%e_02 z&Sn3*r%|z?5gj`+^>kCJFLAVR7QH$hD2NgD7?3;|fe0a0-E_d7^?;JQ&9_hY**#nd zlEc7ZfO?-z{VOaoZkb*y=hDfoj7v7Ty`A&AeR`0V2)*EFw|IXG-#0k+B4@(H zd?ISvce}ElLyW&e#@jo~A}~BEl+x>wkG0s^5x*Uryne$<_z!R0eKoVcoSTq;OwiU_ zE#W%z2`6vwuv@$E5sVeL2pdfO!~wo9+T~@rlmbBd%3HEhO?llK@h` zSO%DwnnO3*#k&PZ*`JWOK^A7F`!gO0kBy}GY6^7^LBN?zX?Hhs+}siux*>hmE8cgW=`YZS!K+l3qf`H z-FBjZWN`!fq^D{$0vZl`ST+H}o6_D+V4CyV^Z6;@`zAulRoO`i70*tjSJJ{hUWt-g zn=XnXjz8%F|Mhw^A%a?w?D`Lzv~vX9iqY=!;l)W886{DY9FJk>am)I9B5o=rlQE@K zjS^|Sml=5i_$v!V7*wl$gK`B#D-m%hXDfzaAuT+LU8hgO0$Qq`4J&ZS?q`Z$u{Y_s z8p2w_vfPQ{x!Kp!oXS=2lTmy9+|)nUP@u#mzoc-X7Qt#9t7jd`KYz3^+P0p#EAzKU zn&G`(Ex(F;_)II*-L2&|=*y|hsx}fxB*+wgv^~t)SQ`bl21s1jI|eHcA;PN_S8vuC z!qT>XmLfSlIOj-fQbl5uus5I>K)ZbJm&WO40I1vzB4dPXHYEC1v1fmY^>qV%I@eKN zKJl4HW*?_kw|}`2Opjo9u7@?=%pn$x-N8&|reoUl+)taz=|%in+F@wN0y%dA3Aej; zSs}|#NXIY(?Xc41od!ZtJoE)~!KnR`h;GbRGkOTpX}_H#w$r>$ZiP+9rouRsrIWh9 zxv>#CZsFh1KSf_%Q{@kntO++SItp+jd)cLoV~-j0QbWHJp!Uvl$2~eAq2h&7Cprg^ z-3Fg;?>OX=Au0M$Y|fcol}MGc^T-)PjAMrtZRuklgg?i00KBp0ZUU#WV|hVJe*E&6 z^2?V-Tts&Y$8e*jAcZqhQVY)>V0ZtiXnYCiIgvpV$0zo zY_#the{ZBb_Y01-Q>r2rpI0u&&M8qoZ4cFDsPU8qNwYw!2hz=(cQfK5hyM`ulpE^R z)i;@kZiX0};jn^=*P=@`WYqpiz@5_jvll_{M%z!XI-HvC5{YrM2E2b%R`*IKU+KPC;GdjEv>$(0OK_mg*bd|AyJ$4^G4t#EvM9`y|-G^ zqxlp1bc7-VSwb+HmcT^)K!)Up{{M&>{QB_UEBBx~+I!F5QxNOR zh_@b`qAuA!99$gwY=IW4OnEgWT*g|es$2FioA=+r;-Tp$71bmo+UL>vY_U;qdUG$fJIqiD`68l`>`9R|Q_`=mYevqr={m$oC>G#d`U(usM#hKlPnhdVH zWbO}d*>@-93%aWD`j<72`!FM%WuCp!_4_FKX6v#+}VnTBdoHA1cI+3_t9vm^Xya zDgU;#D&&>0RngY6lSrY)RdUt&`ma+q)>6fWfIXxIq^j{~-Ey>pg%Dr$H_sVH{P=Yh z?e3e$exRc2dOz%-ixy7XP%6N9l$7Yy5)(b{I=zTMbVMF4GzbkP-)p=Kwc2ZyYn14x z_^gO8tq+;2-K6|y$-J#h3X62lkwo3)=ku@8XNa7RXwbm!r?o6REYaa*gR{qlIyz>X-3#agTwAd2qXu&}17JUB! z*lC`$GiOOMZLp+_QxaxZl;DmKW0XBEPR!O6?jw#oP1_|3?>7>epg%okiL-r{krPh>{}fsTdX>u zn-+A%7c-08#73zXtDczP%c>@~T&i3@B+bp|Gi^!~J|u;fSbzn)jV%>FoR;y`ZcK${ z*BFfi(h>i&uSbnM8Bg+C!YC|y>l-H%^q7VV2I$6xjZ80Nr{6 zS!t=Unyzlc20wXvy92EbmJZGcRWVRl4%^u`BOz2_s` zKm|+cw6FXmKU8k|@gI>7Je9&d&M=2h-FO|btOT&R{V1adNo@LJ@kn&)GnUw0(pC)e zNl=b3!6&#+YH6F6lzYaWp`uScy)~pYIJ-p4EC&Y^@b&^%Vc_6p&wv_fI-WE(T1J5g1$m|IG8QxIPx{a`n1Y9Psef|aN%wze*=1$Xr+=*D4dF&im#;6O;adc>#a@42@WJSa=6$ihNX0p+7UIx*dCc8tcd*_=$*XR!s=IiY#tw zE3lu?%tTn~EKs$eXJ%!|t$|swP5j)&>8I)?#?FEC)r`dR1F6P_!OBkp4gx%Y|2@9~ zwfyJjsm}+dV~I9K=t%jcKsn|_#C}U%be10u2DD}WId;RYP1mwuIaqOc&cbF0Z%wOH zYgL9SWZ~8l|9!t{7byxoeQK|joT}x+U<3w+R@4-^)HLu+CNA7_=Ak*YO&_pKWm8=9 zX%~ll<&x@#Xay#sz_R&XK7X$P$Hj6P3jN%dABL3aCMl=A2ZK+Sz(N6_=fx$@iM1y& zju)^5#HG4Ko&98Rmm*E-F@`2I8!6SR@+{q_ej0JjnOLr7y&Pn{3}iipshc-{nnNdd z^I(gq3pZuo|9CyeYknsOqPa_Fan?i# z68_vRx$QClB~(H-=bhPr3xx+3Z0IL8qxvhw1HIz3*TaH9=?!(^Y~+fz%fSeyme5^y z+@-JK5VIV|^x_+o8NKOotu>g`5 z@}nUQa=r^8E<_hhyE<3UcGW$0slV<`ApN1&TbEH!-VN6iE)E%&VZGt7>xK%|s>nl} zoW4M`Ip2XF5T6ebSbpm@!^!=@1w@k1&z2P-%Q@({xVN=1e!6<{-SGQ4FBO$L2INR$ zBhwt=Ba77ZmlpTMhzmUMi%NMz^s+ApN@oa*wdc(r%(omND}LI*nIg5MP2^H#|D)E)`<`|i3b&armjAw&ZkSco*qJLQS~CI zWAdk=K(7IjngZYW8l*l38b~tlok*g<453ar57jaWVYd`I#%4js9QSVx-kWpUhiikL zIeLFW9aT&C~zpq%x`jyYt?btyfzg{@!Q(o8-> zzT^h-4<)y}fY;zeh9`i>rDA!;h_#C~N95{kD!5`P;ys41uY>;UQ59k9T`I;5b7GFcyl zY@*0%cJS|MP}+G7EDgez>S7`~_^g)0*Dofg;OI&8Dv6iS5(Q|Y1v&oc(Hf$Wf!Vw##c6DZ4r-;YsN1H$vL%(1i znq`RNQ`1NYssHgjjpaixOydNd+ZGX26%1ch5#1U8OQC5L=8?;yRGX?W*4-B)63 zao9vvGG;p6zQU3!p{OP(y6fKV1>!KsJUEBw2!{kKWwQh?S&^-cF)gb&2jj__#&S9=)0GkR~ zY@qI;S#dzxIgh@SYGsLc!VUrv1%f4a9cF(byBpM6HJXry^A|f%5 z&q2t%@pLRDs<7^pj%X~AEquqOF$x;SP#jx#?9|9TvBCIox*mlc&Jj(vGhgE-VedHx zpT-LqezEBpkjcbf9}|{K6!Rvu)X4J=S6UNqX~g82D_+NcFnfn>z0K{t;~MU7E0^mw zgd!^-HU5i1hqM~&9UaoxgtwfYrcObFHGZz0^VN@Av#};u_rfL-{)nG;(zJhLxY=OF z<&gZIAjq+{tqK|ZAA4=$Y)a{7xkv&rrf+XK!`Mu*((80Gn3%Puzu454JB=2oLca4$ zcXGy!lGV7)l3Nc)aEcx3dn{<48z{(;Rq zlcP-D>EkT49 z#r_hw`ui7W`qYI95q=&=8=g)J9n$tW0lt}=-G`eaBl#gYz)e@5@A3;yaBOp&{h=u& zdy>~qq6Shlw0a4=wtb6)vNw$s^zVeS4UceF^BI*5Q|}qMomTGFW-DSzCB+r(IRXJ$ zo=j(rG#Dfn8e~;egb_-5S5tPO@j}am1x1}Y1cX|-_AZ3leHuv?CkJh1JeeANwMhel z-2D ztD%(9TV6@EQSGXd(|+6?gl`*3XGYSoV-}X6HPn(&d9ja>~{xbcPSb z+>#7gX++HXYzo5AL|SK#6h6)swoNJp!oBQ2gnH3lh< z<~De?gTLU?h9laHhep`FbX$fyjXT9q8PK_F&mF9X=|6?ne(ljsf|Pa(4Ps|wc=|S` z8)2>TciNGjcX?EyDn&JG+PC%t2RFN-xZ-@5A_u|JqprdLmUS{Qxuhd591)dpgiP$9 z>306I+#}tqw%AbO*6Y&{vla0Ncv#$Mg8#;%7I-_leqV|{mws_rOqJYY2wOA`5XTA1 zANW&rc@g1Uk0-ZPMUoQ%4eRuaeYdXYHnd0NgtS3A=j6ByUyl|4hac+byirjZnc1yf zKxZTo58Kry48N8^tN?sbkOSe!G}0?gU0xBHIpejsvMGlOw&P@T-Sj9K0d{C!9Q&%% zl>9G^46rqmAzK zVD@Gad#oT5-Mz-hj^u@mLWbxjJ%{4DhRb0joDjC36t7g(&8IMbH3OoOi!rl3c-`ydJ;T-@4 zfW2VjMWVeGoiD1W6v)QJgx;)-tQkn^~PV?;x;hWmaxMAW*X`F`i~0Q|2urqbb$F3}-0qVn~Zb z_o|9J;S5&~*3^?zQQmVh)HYC!0*S@w=8D-zm0kqb5)AleH-(r2Ncdcig<7?yqFibzL|@(%#5+W{ zOj?8saY^Y>{x=Q`Yz;qv4_M8vlT;cCsi3YK!;u%@7>IcB{9`k>Sednx&t``*5@rHhC9KjsaT}yq-NxS z7i;qXOaQMtAgO(mEdo{7f;zDWLY(^ukR0_^oNoh>IB(YTTf^hbImjf-juf={!ojHd z{-eReAn-$r@M6EH zI{yK)B;Z9w&XX8|qzgo+?4|N%u0N%)fP7vU)?*nB$a{g|QuyBP^&!{S!(%A^hJO2I1tHs7$h zL+lMjJ9_K^3q!ZfTL36cTlMIXV6?!D)u7#@J^}I}nuzD}cF9)q@ovrM75rxUU|IkK z_;V|dar;L$llya^DBXLrUjq{VUp}w4X(k>%(KAf710O5fHjQI-Qleg$;#KI{TvEn> z7Vmi>?!K`>=K4!V0oYv!D;^Wz_sSc4n^nT$;uU6+*!Ke8LHC`+#N5Ff1`S)VzVc@9 z^>Uu?1y06V{WlGJNN4x&qwFQImY>#zckXV$#^p^^`0u*o5jBM%8u`@iIY8S`78PVb zWIzA$fB~e9wuAJCQ-v|8CA5WOXW@$_Lgj?5GSgbTDK-mAV_=(l;T%Z!-!7Hf3`&9d z?4Yw0l<)O`bs)Un(HxJa71&+%kc`=uGe=4RidA5v_5v0~z*^Ydu-2;QB4**}`}3Bo zqzG}LAjnVt{>C@smXZ^YSo&BAa0t0&m*FckvVkgNi-mJZ#Y0wO--`5Roi?d1r#TCy zsgVWlYfxYXxwJE!ZjtIuJV}MZ4{Ask!8%a@$-=n{5U%W3wqhlvpTfWZxnr%#0A^9d zm&e z&R-?dw35)%J1vGNEy&OqHHSMp?>sv-xHy$aKPQr21Bk-*X2NdeSmKgelY_=Z`yTGA zMEl0FgR=Pjwts?`r?iUBjD_OT60;x8#1;sg01`6hYT^aB5bs$GeaC<#h!?DU23pp2 zJuD{~SMLFbxQr>=x21R>Nj-iEi~z#qzCv)geyY`(Taq|cL~qyS>jW*B%`%8`CqL zM(e2?Vy70FSdmw5$_}d(EF#c!%fP}r$N2~-Bi2i11p5zV4Gn8b{Y*-FuQv3fgL#hq z=_qZ{q~Qs>cQ(#jHQ~M5(%$0Whg@#8DLyi&Ik5Eyh$O1Pd_V>wY{Ow%QK&cq)P2oabBy?TC3F&ly3cOnNfrP$+`KVWhrdH_hVX?XvGDCG7D$u8j4tJ^T z5bKHi!?K!|MXGw#W+M`3M9fthto1kGsQi+tG5h^{8>v|}zndcnyG%7XJ0b-4&Lz(0 z{YvIEo1&s}{U^Qc!rlP3C!IUto!ec(Yl#@^i~Ps|806}j<7 zH}X>cfM>M?q?IQXcYJ7qiA9ldyApM!AEDk<{|(`WoZi5>_C+YSAE z0Q&PILYSFrHpiC<;>6s| zGj~}vDgCGnm%{YSeiLPUzAPZS2BY-8?i3IE=&rXY4%)WqA1C7L4~vR2Jt{P-p&pB$ zfR!rzGf+AMIZc~+raMi@pNw1V1TLPgwzr;o+cR3mgleK!=NkeE+yYv@?OKPfCy<(r9~2j6 zE`kk3Kq8r7Z}rOR^DH|3rLMsRSJC#LB@Aq0PT}aeE!*1SMnTQT`d0x}xP2?)TBxwz z$Ydnhq@(otJlxGoLMATGZoh=7m4F!`Xi`?|K0QOd=aOq}>sQyK}2lSRNXEi>@K=?<;q zovd1{4`-H!qLS!yi1M2BUqR}V>b-_IqBy#~YPR&Ma)sle`uj$W^Bm>ph^!W8qOzYR zg-%rvpB$)IzdvrE`OE{}keL?%0t}G?dO{}j$j91_F$%~?pa5T}{`wz~meyTF^PS=^ z(hwwg1GC>|tB}cumf+MBg%5=1w()mga9+xljQ)K+mOG6~`w!dG*C#@qD>=95k}M6) zzFOhZ)_uC=UeLfL*k|eUD-YrsIHwLwOY58j99Gj{gSp1uI3}arxkGw%8=T%`G49QD z)~|ggl7g#mc?)pUl`7mPM4uzZQbqb=G=oyfCfgYa-P_vB?0pdt)n`I~Jhiv2R37K( zNr@C)%tb)`jf4uXV~ya&1{)f?Gl{Ol?n$HuBB!W}E@02tqO?ed2jR0aJL;?j%0fWu zSkE!di-7mjJ=o^QX$5Gjh3~hHW_5zt<~2mzc3r0C(?=@`;rEcLG9erJf8UNRSV68H36TC2m=r@HUN>y4Gj;$H5F9ud zh~}ryhZA1jwl6=t(L(6PtV@>Y+@I}p;U5|&ILXB2!_n&F=0tm`s;73>5~e~~BjP^J zU&^FmJC{B!(hAcm7FFEb3ED(>4Xttcl}Ti%NeS;q!ThS!to9!QTCY=J_=|Khn#v(d z)(CXLZ%(@9U!gqoF%5efK~r~t!Q?NH1I%%BTNCpFp{gW_y9p4X=+(=5Wvyjwsd^EV z9#Orjt2BJ!rL5^!?W3S(?k~#NG6Xl-r$?o7tSmz5_hXF|Y~|#_#m`=PTrCPr=vGXPxr$2=*mNY? zFZI%!S{07vAv9)8Aw&dNrALBMyrr=%2oU^<4UT)G9N%Wo^8kP9JR6)(pfK?OFHLtd z7~EvZZi$=Mp986tDg9w{k?XRxyFBRHaeygKJ~yc4uMw(9cnos3qAr zJfw`2S1-V_khllg@y>Io1-h}x&FPydJ+NPuxqDL&F79NV#IZsy41$u01mp-nAAdeo zHje$9!JtD|PNg+QD=RvN&l&!8cBO=3Yb?kH{@vl}gfc&E{ME;u1al{@cwUG}yKa;kGIczj5qKTQbzVb(Ix3H$6eD694w*Gv2Tx z7D;99X?k`69^n6A%O`f(Wj0q@f|bEbWCfU5kEgq7w!7Fo#s|P>d<{IzAnjChjp+R% zqqk=LLQ=wa|8`D$8AqC1WmjK0I}7GFv)#np>BJzFz`>By{=BpfZj9Z^=$%rb*Xz>g z1EVRTF2oZ++Wi0^n0Olb!TVoN(E|^z;91*;J7@zgx+{&+TMN{=JAmvI@bc#Xz-B1x zf6gIjgbP~^GDu*@zv9+XrVh7q+s9#JtF=n;J1jnV=GIv-LF#0xHFr43`-jLVX&evk z`y0dl_-9U>VDK4dhIVgyM}1pfK4tl%O@5JXtQLXzk3kF(Js&hzjSfX~wN!Q2Y8S2W ziwA(|vH%DUP?N_>z_x=|uB0eE`t9y~=_t1V1$$MryEPEbfZ7KLVB1d`mV!|Ta=G46 zADE=>+ZcjO^QYs2B+fr-ANYr9FcvMZ&gk50rFj=DVoMn0^}ph%cyp=#y!W)InCz>! zQ6UNa__|1Y!O(eC((5;T&fv3_NnVc{6~KWx{14>SVUyI~K~_of+1tyVlT0md3ve;W zQcvemFNk8TH3O}eM7a+w0S?tK z&%m*JzCV4?*2=45+{s-{L~o#OPqpX7Su$-%ZNzwEch1dNIQp$B^j;7eto)(3mQQ#Q zDiKw()Mrhhr;{aB?I$75-{`_1&|~i$QF2m-WmAc{8hFOO?&3T?0)&IdRvNu{NMl1`LX@qpn^6PQo%;1<<7Z38{xSH~A4n z%NV;BZp+;eK*rFEMe$r{j)i;rzSQvU$;G1T;+WW^soe~@Nn~*=(LBRY-+dfltM*3H zK5VpC$kQ4DsVJuYx)){%iJRhnP2yIf&nY7k_o8xeZVgej7G||2`FXj?+~ZM>agy*pMC! za-8^}somW=h|-rARhjI}RoN6!{_6cEV)#PP2+;I9(!yH&}Ja4n=ayN-!*n^QU3ItUhT-+>IPsY6~O69118EYIdP zP-xBwjMP$_H<{D~o?`tK{NJ|zVj|4N>|0+(Hi-c&d5(dAb^}B|>Fr>g%kx{x!LK2D zbRuM`P*L&^xb~)S*@S>b{3vAMmvu9k3zbC$E@`}>0&H<=TB2UfolfwfY(_*}w~37JMX zTBSqqE(PsNfjq4`5=Py`>loP5OE*Mh=+u_9NasrdLAYj>q42H+HE|}35mbCUh5hO* z%6zR<(*U?TRH5bRh_tUk&~1pTw=TpwlT-c{V$&?RdkQIR;uKy}@?55g3m`!x@jPZF zsJ`Cw^89CT9HabkZ`-q|roR&kTaMztM{H!Yl)!w3_&e?OHFgU0+!GYlaIY%6Nh6jH zaDhOe)zVy~Q8}spC5}-(F^DB@heJT&;j_zC^8*tyHFg56D%>wRVR= zan+oM<)=MK-MtkJo@#)X0$;mS2-b%lyBvq*s~|jmiwq`LxIxeva~=Wo-RtBoOP~a& zC?fh<1*nMCUrOh+RYH|cz~gF)1M19802r!W(ZWrX^7$2eEqUq)1pZfy#Da}GJ!qf3 z05cX4ySxBuF=7lk`}_>NZGrlgZI|H+wodt;vK}fXt;}F}4_L4q&WEZQ)FQK5DQy>^ zuX?)F7=zqsoUfm7JXskdWMNN}tqbS9D<{-3o4bn>TNwPujfes%350G-nS%C8G?b_xI8jq!KUxJl86D=|1qA@#23@uD=5FY>(%bY+N z74mqg@eJHHfQU$=JcGtA)WuwlTTMKM=mZxb-MOC{Fi#kWM=NS4H-M16A==;!lE>rP z!fe9#FL-&rFfV&ujYzB6RcF1mgjOrQ%8nXu9LUi!!;=C|Bha7sEl5YJ>QoyCSrC0` zm;{D;`_(8PFBiMlU{P(>Qr&u)NR4PVrD?qd0;Xb39ke<>VdWaV1b zMFg2r04PFWY`JeC^$L~dJvfXY$7D9g`v-XoKm(}wED#u?Hz37Bc*WmKv+Y<|;t=qm zmNaJ6s)3;am{eTU!T`{7{UGN73Pl6A4OrTV#g)_Lcr?L#2v|_qwRYC)v5#*W9qkzESD(fN!Zi!oPoD)bO>bOEnq${yF8tAoev(1522dV z|B(UJKDH0uJ2r(i!#&XA*OypM6Ylmd7ky3bhgk*+r=^c{DH7Xb1Lyhv=% zl{X6c-(XL|{XxJ;5(>Qx5}MQZEMI>DasC&Z>EPEGfd=Ozb@Yu?kh2y2e!Y^sY_I^w zJpz{zlf?W?sUuA6wDnAI^${en4H7bG2>o$_CPfbb=}5%uv=4HTxN|0*205nXMX!2l z^Jub7@PD@frD7!b8&az0!B3O26+`-2U*hR{O7U!|k=b+p*2Q$pW8)q?7_-P=(g9et z3K_hK4Z`0bk{ZCJkp&3)l6u=$cP5}U$T`=`nmA#~f`5fcubX-oAyhn15hNV`1@2X@v*#kRsR;jVCjyX2I*XA=HNFktUUc5~GQ-${KwEQs z*5;vj0a6w!gMN~>$v|)h%+@+RPn*qq!*MD*Up$5iwHh z?koC30NwvllnO&IorAGVN4$DS#;AW6w3&FGw?>R#NSH9kYtqKuK_sm6%vci zq_mO7=BNBxK+6HmMx%6)I?3GO&w$&?D7;(lb-SEdm19YG{}##aHzPp906nUU8c{?Y z$*vJ7_u4>A0&rj92rnIn2H66Pnmtfbeg;U(w`?68bwGqAtxWza0C=nwQ4q4FMv>_{Sj8%p#q5lSEoX!F?#MT zuT}Vv128q`fSt(-N_)^01L(>}e*Q-mf0Z}d5IZ>L0|*;wCNNnbp{e^~!jTk|x?M1Flg&|oqEg&4q!V1!Noz1R79`Bs z1R7%?OOL{5%>`YHq#n=;9vL0=2J>V<%K}7-u42;ge~X}!=yQpPi0E-Fg+nF6&+IPY zOP}+<19SL`n)uLAzOX#e4G#T{zTf9WG3U7K%AWTIe9rNn3s5E6DR*%_Srp#{ zt!%>+<|&J0AyDe}*oY+vn_b2-aZ!-C1b##ZW72lVJq7o5Ur6XCJ1}blh%3;)q5Mug ztgFeQ@dvs=ld^V(A9)G95`z@|Qf^EmpkTmd)W*)tBrlBGv!|el>oRq}0k6~F&~l{m z(4ItXKyLj8P)3rYV`BzwZmja_2K!$)4tz9VW|+}@48Mu4@4hSuH227RqKp zBFze@3(U;S+|1qr47nH>%3+3o=ZT|b_qMmM4`*aaB9z30Fkisa@O`l^%t-Bdr;3A5 z9-*?$ymbY*GOTs9JNciyrJtbr0lXTLVn3%Qr;GD5a0BKYo3;YdI>4+3;i31(f*kH2 z=!sQzk(VoZM?Sr93+{_~oP$(aRbYSN4@Mmaf_+P;9 zx9_Z~Qo?*A`vcj%lZ;UKP-wL+_*8(wt3-0S1pya~Q2*<80m<>k>B_mxEI^x{H@&5N z)w}oHt)U^vT|OLdl5G=q$$zcxCWL9!wp z_l%uMdIN#>6f7&&^a=twnAuwwZgjKgz8CarQfq!nXa3I>N}do!jK_=W1A-eIC3E1bc25JFNda| z58(a0pi&j%fTqdhw(oY(3?6_1I_L?2KGkP<0pr}@Oz_3}kcD{I@jNJWooAbQBA&tM z0>&kj_xT_Ai|$_Vv%oyQ5jl04-~2_&%E~|!GDK1ijOXyw0Qp)=SJ!&u0m4?>B+ua= zaAMG&ba(}Cp?0vVtPmj?VGJYOs|G?-E+QA|t1Ox<=$6zl5;GN7t7Zhx_a0Bm>x)q?l7H|5q2cW|J znaRl?xEgT#reev*GWay;Nxq*OW!y>5pLck=bbgy-YG7(7Witu2eg)$Hsyq;itAS1B zMx_dushF55ewhjY@|f`uG4cIHl~@LVxb*aN@T-E5dUDlij3^D-uPp%bEcgag{~m2x za|D>`;C$+w_cdNfh^f-8GeiJd8v>~=n3;mYfKh7A>q>t3WFqfBa8bZ;xz>J50`uaZ zb`?L-PBNnoC#Z}-BGUM6F1jifFT$Xq6+j^AJen#$lbA$@zHz=f0>6<1cB2+cjftr# z>lYKmGv2nNupB^W4S}DQvIngy^FQw!0F-m@Er2m-l0`)Smq0;L!X2A{5dv>zFPFkj z;R-M`AT|R$mqE30FUUkuN%;FK&(wNA%`}$I)1wK(IGgP%|F!w%8yy#ps&|u%2r>dK zCMuNn-F36W&LF5|7(-0U0rH|c_}v{;zcwDDHt-6`IVa2DdwtFD1v6=804`lj=UwJT zuQ0rpzg#SFtK*^A%z?1-0hD>rB!An(0_Tn~q=((>oVU&N?ZAOwInh>jF1}I_g&A_Y zq~;oYPDqFu)fpeKLl_5_6`-2s-?_PRxN*pdi8Vu|F=%srUk>Gtn+J>%qHDaw?jiN< z0VG3;wB3e3?w&V?iOI&mZEmM!#OymYpfwKAK z&A_6~ts2(WOIJf8M+%K%azmXk=sr%-h4T|Bt8dj>r1{ z-bcvZJ7i~N?`$$7TguMLC?qR;-uB*QlRYCOo6Ir`*)x(&Lj2DC{{9}He|kK!?)!c{ z&-0AyT<1DzN7Ah?B%=`s_8SJ(sohZhG%T3_A_qtafO4@{F*L$V7|U<51e_a@C~HE{ z(dO6Bl9Eg-s7$SXZs6A;_9KZ*5D!?pK_FBAI%1cYn3xa;ypc^cA}1})5dIo6#|HU) zB*F(mYO6_4nVAO3$7cajg7m5djtAhf>f}2r%5XP$;48>|B*(|cki~33$tC8(M1K*9 zC_$-sRGSa$N1!V<2Lv^r=T?e61rZSEkS%($;Y{IQu$Uob9#rJE3B#bjw(|b{dvF;b zfZ3ClsHiBJ$y5^kPC_d7HlnghbjMj6|4p7Ae^g@a7oW3%Ml1=Qivp``-@0L zcJTNA|1Vxarqu=S?F3Mo^B0Oe%(z!TATZY<`X|biZyCRh6eL_~2fo%G4+zsiEjMmT zo6Yn3_MQufkC&{l|85rr)Fxhb%j1qOl$Jn$c}D`zFG`1q*u`Jv`~@g1A?swW*Z71# z*CZeRB_%|5Awa^Vq>yOG08Pz90-x_cj_wv! zvl8uDBj2aWiJ5BC3%I$69eDA9{{DRj_OPuGrn`5414n}nx~R~lO)PE7*}Z=XW@;qx zIowlGv5@KHOz^|~+Z5c*zECj%Gk~?&v9x>|LYK`*<_Tzw2tONFR2wcx%2H1REpJen zM$%*~wLuPYrVAZ#VqI+CCu zK8URGyFiU2ua$#(GlVZJ-71`tl_dodX{|cBYA6*u!I~ zgX4=PDQI?rR?mXpg_G4Cj6?{HS)%rnNW_S-+NOGZ54;NG<}uWQeMn_%LJy+y&YQR3 z{!5kgAbrg4+}4oiqy$rb&&k*2E3+Byq>+IEV5u3lxc`;_=`Kh?!^3M|%)aL!3jbRL z(Ev1lpd9@2fI&*iq>=lk5)avGtCaWg&zbr=tEYxekEnGQ5s0#gkEYi?zrNkwoq;fd zB-JgGt3YGYVZKFJ0=5Ia(E=-Y3ZENNi3Y~ZKae}M(xhvcsRgLv(Tc@KTd|B5sZ)xK zRIk?lL?B+z`}eSeoen<%yP1Yxw||FyhN*`VQa= zYYU`fFQ&>;UcEPiX#TZR|B)A64EPh=KP}f->y=LTKtmdCIndtTJI*9x?17Z@n@wax z52k;13>zKRKl=PV1QezL*Z?%6fe-@!?r)b@7Z(+&u1-Cr{QLKB-SJsT4gw*tdo2Yr zj)uNaxMq8AbKow+2WHDrv&BX>Fv75F9xFa+b}iyg(-?{wb6)FD07fX}t)-QEQ7NZL zXkTp`VsDS_lIax}IqbbyOXq(IZRWq9>0bkoWkcm;LhCpG>9y$5`Vin?`PipP}Sp*QBbf| zJ6-}WB;l8`%(*uBQ0~B@CU8{GCyGaaKRVs&^-{>V-X_L}YL8DF|69M#BJ2||(BTDr zXXzW(lKu*iS#Rl-o*~;YRu5SZL66RA9tu2`x+iOi#0F2w4eoCJfq{dHm))yQPCxSR z<7P4lei(pqm$T(HF|j_OwaoP(i68z*tzu56B7OFA~9DjC|(4Jy{B+7qs&a2tWZP>Hss5W9)Y0-=X#xg};#5zFH+GG>s zcu>9u!noG0&CSj59dGCe-wg-5-iIR=wHq}Dp}vEnh5w$?#U8*^-GSWL?w%6LD7Q2o zK1|EEwYElrp5MTFeRA%4QrDVIrRL|YP(5~om7!ooZmzO`bXpYr3aru*`rg$5JTU-0J3_h>)B)g%l62@tM6coH&(TJua@r7%L=E&z)3nydxkkB+1N~Hya zh@51&iX6nyPCo>aqIT;%FH=5ef#dc4&Hc%lkJ|yf*54s|)hxsHP@Cr3O$y2-S;l|2 zJ`FxG{ASKpJ7h<3XoIKVx)C>Het<=FscRs+0;zC^Zx!NM9e}`J zeu5%o%|uc06-E#g?*uadmTBCCCbj)Pb(~_&w`#YM#Cf3BL2yZFTNY(vT4{3uPYX#N zA-EO5D&RiTNqRcNeSgwmpOTa$2-g#i7G4pSG!$-hz{j7$OXD@$Xa)~RFJOfw2imU= zkeeVaFwhpNN^sPm(5jIkU=0Aw!FxT$p5UN26yE_WJpr(}eZLDA+MO_k-~Jbv|3AXP z!R>-d8xpPsjm4E4D^R5H1Dw|arU>5R8nh?QV0l~g1<>KpIRItogXW+jzRy4qY-{rY z`ToJ@j*5!Hoe$c3bSU5f_t`Yhx6pTF~`k@o-XV5Y9F4(kwO<4PkCC4I8{ z0Dn0@%D$ikIgh4?=qhrff$itz?cN(1wtx+f_^f)Bz-c3(LOtD@*c>vuI=tq<__YWL z!{-m^aQ3g~08Rm%LB}QRiTuqg&~a#kbR7Wp@*NTQwy1Ht-#iJ}L?2RZ;jPu&?SEsp z|E%p{znsB`488>G5gL*XDRZ?dGb+P@VbCdaG4mC2N{WZ__Z5?GLUu+=6h@9p+`&L*F)v@fTxDj5I^h=F zCY6T|KOFx1_MuG}GVW^wcjWpBP8x1x1&?Gk8nzv#AXzfds85;CN2mp}PD2op0YrHp zg+h#8n?MY8?uS0U%g%N8{$nG6rA`tg2Iv>Q0-WN- zm_{2pn4N^U4onx~1@kS}FaHMp%_)Evz%*{UAWE22>NX(IO%_}}Cn!=c_5(Kq@d556 z==KlBvfsvgm-l>pi)g2;?p* z2scoh5Sb*5>Ei>uPeHm^ww z;AxTIyTGx20H+L@syB1K&nV>2U;}NyNuc@zDwCp)(=~wNW6q_e%)htCD&Xw)f_zHx z6_g+FyU!O|jWk)x?BhFtT+HdVxHy;{iMS4x^|CO6=%{Yq4PKktWfQ$0YQ#(u!r`a=m#SI1+m zeshqYhbAV&*9^!v;kbq(6!r0;9moRnGJn6qW+f}4uE4bajzBK=5)3Rt*3Zw+6V1n3 zlyk*D(EIF1yZ<#*e$IW+`A)tGA@q0xSqoAN^yF7SWdcuD4B8)Uh{M3VQzY)IOuC;} zQo`;-eb8ay2ojU^;6flv7_@;Pip)2Z*9QE6eh)78S-s5&fV5UboUb{Vt|-yg|LiTk z`27;PJrGBrh*G92(aEL1g57W9F;H88nlrrq0I~OA4~#5{f&~2;PBy|m41hCe9o0hk z1^Yp+ief&Dce=WO9@{A>dbS$6KVf2`w{)Cu(La4|{g^%_{A3UO5fGH`0Y~(VYz1MD znSBqiNT}yDa-{zO7!`-OhKzQr^20*iK5zSx0XR>3h)b!1spZ#?t&hxR4k4#q`CURh zg^f(PVK`@hcf4o_$#sHmwR z$GdVsP0z}@usg5TzXrsu03y@eZKM;0M}~|@e*%BDkNE>udyBB(+Ap(X?}SwUau`=P zr5Q|X)Bmw6JF;5|F9L0{U>yA!2Q%R*ML@F;kgFXPcD1LJvlfReFYS-7h;HD->77I4 z0`d!;K1C`gU+o?>0|5bp=zQwu~Y)u$=vq)b?=kRek`F~%^TA`R=K zlCWQ?A`5$}n(PDqL;z57q+U0sO9Cy*WUCqup1m_|C67YWmtRg7=Ul4{3lUnt7(gz~qJUol?X|nIbJ`#3=h=mY@m5t*2|E3hUhVTCr>nc9XFDb$LGZ0GnowS3YK>7ZY z_jY5z0)SrGYbf_vhN##ShG?mMGQ@W$!T}z!c;kMq#GF)k8fdB24DqA6BgCgOLH5di8_qWg}36|daH zOf9v=WAC{u>#G5_(5G^xErG;v&*?;;)9U$9X^#fnXEoGcmp-gYYdGS8=ugaW!7n4PDflV*Sh!8Yly1=eU+l9|DzOyv4d_*zeyAp*u>_hkMJDfIhh@tCFU_C;CL@30 zj@A;jpu&JzKq^Aa2v6@ij`?%-`PYig?S^Dq36Xzj^xX7VfAx8YXH}|wHP^ypxl<>pxA>(G*KgU(uE#ajh#5^K{r zMov0hI$4SoYm8Ph{hFgVD^KedJXi6*akwUTKBqNgi*Er8qy2oU8eFtBi;=Tu?wd^2 z^3KmcM;nii-TYl7RnX-KY-z17AXV3I&v_6sOO&HV<>O6mUvTMuR~{m zKvEej!|Ltsm_A~=A(P}H|P8V*|Mwe#)QLr!ZljFt612bal z^9S$Ev6dqZHjY90FHGp6`Av(1bx^k=FF?uvqbbidYUGHHX`x$#eVZtC{t*vH2Lj_3 zaq)OyWvO{X*8(^5nE%zL|F>#0v#yK>HyoL+W;(&iv3k0XXq@2|C((HCE;_$%N^HAh zYM@Vzl}#6z*vSOZK0>cpf z?><#w!6c*~z7R_YZN)_2eVUUxt<|8KfUTVV%53Y=?ZfJZ$IF(@8HB+F}T=L{GeN%yA{%w|4S zVRVytMh?91CF$A@dc%nR`z^Xz`dbDTT#762LY6QG-`AAJG~Q6f*%!o23~uC{HX&wG;h{o%IXkJNlR~2RV=S}_pWwf zPCT+1Yi8@MqJ&l3*&fia*uMN$Uw5aZsP{}W&RSHJ6fwr_ZkeKwsrgd(biux<%bGmb z^?Ll_tmR8z{&|5X*;&I}cEo!GgvPL+&WC}Ris;`5%S%JMfw#jIXL6{6yaCqj%T&n~ z!#lVBb{J#cDH*;mMj99qG&i}*>|2bzTy{%`=+KEVj~V6{n#w|?ZmZ5W*#Fixp22;= z#Jg;?*tt}KGfz-n!=T6>hN}`z6HRh&x}~8|YPQ-XNo1dyn$0^uAd?c>eF0;ntH+-Y^SzD15xFWyElsOte_`$PHp*83UBZz| zJTYL8ZhhT|kAw6+2anf?(T^;t|cL;{)(0sF);EtvKNmKa?q=p}CxsBaoKM0YU z!V%{&XADb}&wN7tiD-CxOyj&dAUzKgnwo12+smzkQA*c1ccb|(?AR@AY<<)$WM+&f zv0wbt5wza_WG0-Bp7%(s!HNYkoJx-tbH`V6vC*pA2UA2jvwwkDX=1~)j+GY4*dQVN zRcqS7R-c?FjiiYCA>L5n)Nrqw%tZPj){7Z}>=go6>W9hLkt|)Mv^tN{eSSSz9OsJ~ zjW3z7KRQ9GS%H+o7?u4qBA)^hKx1|OSd!Kkhq@Xjb^R%gq4wWx-n6s&57zZ zA>2~9-pHx_r0HAN@WLNfR*(Dt`LY{a0==A9E7FAbN%@%-i8*P*@=uu*`Iw^!gUlpi zjKx@`*CVBuu&jyh_J^LSMf&`r>!dxax*{RAjNH2M0;ey$Yvb|LE^40n4|o5O9W6)l z(ihrTJbbV-R88}Yl98{e(Bw?t>t3D2#=IP9*Vr=$oXQ5~rw)O|g-u;@s1^8a57lKu zxCVHeFpH^(o{w_`e!>39dmB6X{qqJ#A%W$0kl*~Rz%7-UqSGkTefI@o`nqk7Z>q(X z@L)}=vv;!67S_CZvLPu0^Y{+TqK2W3iZW`ipuFX&SO5K8IZ*}SOs$}wWz0s!B=ZSW zM=PjHBb>ziJOxm5iWFuUP6UkHwVC)30b5!!MTZ%Z0tVtbHa5kxc?&4q#liCkA)Ppv_m7{K zruRW&kI9@&CWEG9{?dMky*Wea5Mz$m@bbRtV;%4>*vv3e${UK0yS_NGVKYgTryjEZ7e$92ilMzT|*)gfMrXF2^P zp#1iDxkqhO>yes9gQR58z~ZE1=UU{W6ra71G-nWmMi%5zJKQ1ifQW_na?dn3T+m?V{OxU7JVJ z`gHK8jd(E3UYUf3o8rRL(vhE(nTq}l?M3$KMQxgwmVp@lz9LjTqDNbBTePF#kCg}2|em|LY219U4Bcm*2BATIi zM%2kU9xg!Y3-y%z`}+{QHi=jfJa#7A7jqzBtrNmWT)l)W0KV2UvFTQ-^+M zzOiI#)b4z#4f*cLT&bd`3i`!2Qlj<6c#Az+o^=e+WYpymlBJx7W)WD84gON!P5|Yh z<3*g;SD5bU#;6ZVn+SRO+kpGWm)srsguchv^BD3a)$-B%N){CXDt!zV95o4#n^ux* zKI8sS^Y|B1(?x=z=5fc^%&H25>PTMo9?sQJ#KHp;%B2aZAGQ#d^8A=whc z8iIWQUyTZS-BMhm=+0c|Z;sluE9CFRI;1{N9Nfa>I8c)=}sFr(8|9bo;TJ%*FQrW^7x| zS}x1hvr9Kq4YXmuo!UYnU@v%RAjKlTi2v3sG-OwoUWPhGV1eSX#fMtS!mB9aWh*9{ zbFPjq^5F@FOe|IOGIURP1A?^Cy9Q=oKB|cPK6tv9Gohg0|QBF1KIOAK`FZaW!Mf>QxmduHT&ooECdjRNB`o}13J&}1o!q#sspEOX$$SS>&Dg5%K_pNVOLW8E0!v}lY?aN=Z(0u|ZsQ-QF zx=agSNt416F~+ie!k4KL^5@}Sl3>N4HSx9KVkMOyIM#}|ukpV>2rFl;4B(a=d25-d zYFZFhXVx8=m4~l-jsMGxXn3HM@QO=Jn^09aMPpI*jCUnB;M*Y1w2@fp-SE#emnVT^ zHHpqCL1;Z81T|0d)L)``Jqs-^dvl0*8Kb-pOm&<%x5y?A3LoV?ytJwrMb5+>gm2z0 z7nQg7{0dv&813mf*qj7KOvGpfr2nAgm=H!?s_uJa!1AK8g=?}v%3u&VpKJ!~fwj?j z)zLdX48}G+RvE=LZ)z!nyYCI(D^*><(av|RV~Aslc29V7?~@=eh4qHiT)Evs_ZZ4L ze%(}Hj@oT2f;HR@r69Ys(M~p#mTe zNK*xxCloWBy?C@ngMXVUpRi20rfT`~E)5JLx#Hxu*U&mP1lQs() zjMY7>@^o*!Bk+iSmM+D{yRQIqkLV-cg;FxIV@HXK}6nXneGESXi~>r z@fj&Ki3C47H;~B1$Ng26e01-Ee9xzb(bq=blUP%)RBl8pu{EVhXt<)%Q?Be9=gyRX z#s*cNobxzoFmnyLf{z2L00}1}-6Nu^c9MN=ywU_TFKo&-yGovZziuaiWVwG-_IpYJ z2IU4Bq9nOCAwiS3P(6qPkVROWbg_78#IP$vnIAGg@y>!OL&`b2 z8CWseEjSo?iyQ3{SP9^@a zLtNtWs9V$Fpsi~j{^Rn19(w($j`ao&MeSgsmgVt5h~~+j7?qeyWVjQYvrGXA+Go%F z5mFe6_qa9-rP((O)%7%5%ByG|aCwJCF$kbOe&_eTt7mDeY--*4>Dxg4CfEg4(!<1& z?ILn-8HdzIj%WPcccq2&cl5;a3JQfbGDbDIDF+E5e7loE>6c|@rj_!{PtoxjaC8YH z)H6cV#7wH32=_OFAdlH-zhs~LdI%FP-%7ND4G%R6=&C~dzQOXZ3+T=CdDI6GB#Mdz z&addsvSr_Sn9a@Cbuhlvo9GbCGdIyEt&@u4EIv`YYNL;xO}<0Z5&5JbIugH2^2Yg@uB%BY zepv%=FCJOnvs+QE-_O7Qsd&@;vsypQyGyS1`t!mkeIjbL z=9jQ%TG2;DYbj@sCwD3Mc9KTs1v7GZQeb>7Jb27mU9>VPKlb23D9IYGJz|i3@6XY0 zxxvQ^ar3h`o{x^gZRfr&0441EoA0d~k1uLc?VoPWb>kf+msr_q{7PO%7f}*(m8xgZ zU)>kEUz4uMXnOLL+;AjECa3dn{=dKE6*L$YEWs~Dtp0M-|K2)eNsy2J}vkBt`aGm*73kYKUbI!*vQpfu*dAbQe z@F4DGuZLDzYsO9Xzu&UHm_+3<`lPGUi~b#_*q4oB-d=VHR+2<{#)D2@2|5*lpJP0G zEAZHz6M2of>V_rgg!o8#W#w606DTk6-Jbob&>~lZ#y19E^rl&jD9X2zPFaJ)ge)Qz z=^pl3od9bBsbj4$o#D{Q)L8N`1?3*;N&d7Ax6eeDK-G#}Tb<(xj?%(k7LrOlkGUYYdYu)q>{_!bT4D7Ft4Wl zWO0dd*=Y1tiVtT=60axieMHZaMv0EB7{aArsC&efs0)98jaX~oS0-jY%uV;)`b_6R zyH(?$_j{ zhh3_35m=%Z5Ze#cm$}{*RFf4#whJ=#@ixPq56iN50-WoXI?U1jF4q0tSkydH!4iSh zNt8#%UX4C`&(N{V&CbWu#2$Ye>hx)#F~c<_kUxXgkw+W(x`%d0R6;;!>HIUADuH*!;2HjDbbn+?`-uIWV}?V)OQX2#fDl0)09iu58WSusi`1%uKg zKqptiOLE2&xAO96Vxc=I6_n$%UA|F4$Z)|Wt9ruMwluiYHXK%y<3MLieXLFjOE-*H zBT;>t^EVdrhTG*IGVC<1#=hRE4Hq(ePJ$!hbA?nV2FBl)DE#Kd6j6S~(K#j9Izu2P z8{K{9U&1NC!8vI+0&f-;2}-H${+jXLl_?-lCB0#FRn8KRn}be9D-cC7roQkZncYHP zAzg7cg*8ynOd01xYcJ!w68z1ftK<;>yfT}SJnqZ*B3;%fV}fxn)@crW4sPF3&OJ%> z&yVCA&J=fY=OkYxiW;fPZj~A+N>tf>7JI#+|A5xcJ4h4X_?4Q4x4rg66WV$U-<}Mv z4$iU9cP5)L_O=tsk8D4CJ{EFN0hf$DYXbk)+LeCxG7Z6c)Uy~GUW>_A(O41wxNkEC zUh{hC`f(E5>~x=5ymW>B{1GbiTZ?8oM0uNSG{XE*osY6iZm*T1tMe<>jbOR^W*@FF z6&klLvrbqGAFHsJH@Ia6(yrC`L}vtNOdYDUS+Ck5f?|BEnIF~F@jIYkD=yntk!ih| zGPsw_HHO8dIsCbqCxpKlGi({hb+R5B9u;IxcJD-TG1TSm%&WKIRgo)LW|p8wD&CA1 z&zg!BE=%+w*{x8#;rhh})m9j}kx%|X019DE1a4U)W&O6$2U9vLfBxqWvfil(B!>~n zQ#`Se5?pM}D!d;WGxcMrNXdAp-@8nE!>RpKuERN2A1K+Yj9iWU;(xnAouSKJI^^{) zF`|yiVp4PUT|(83dbKWP9;>y(bBevJi?zE17}GH> z(;_e22zPky2wxLeU!`!H)AK2!cO*AI`DQY}DManRF>=kSp1S6H(HgnMTh%{H78!xo zpK$wVM_+BkSt9Dwv7^*O!TQ2Pfq}6z4K|D&pV};s3syC~hd)~N9A{bIE#XAO9eUwp zd{oUB;FT}YK(V}$Bs|9WZ@t{~ph{#ezdn*tNX?R-j##Vjbm>lCeMJlNUx{>r2P`V} zqwf)X_#C%+H_K@;3%y=*bLQ925|TJGI#PadOOcr3Zq{YyvXR;ExWWGRi^-dbXLiae zIQlUwIj5#nlBR_G{*wPcoMrZkV9ZxEbb}^TNDFy2X*~_#X|pdghITNmw^_5R zwJPZ&w350zn)I>z_i{K_#gs2_hmDq`xTu4O>Py~jX1Q)}+VOKyp;kDOF3Gc0(__>< zD5t)CqWDH_vVu|gp8U%`RBSy4iJA`vl)8A8GMsdU?4 zz08tbQq{|(JELFZ9hnXPxs}s&Rhgcqs7RjA_g@r_<-wB^T03vPch#2oVcVbEPUL6j zDe`YEpeXSRGW7QN87hyzpC!|JVDbD_q51&#@1ih~WxN>&!~1ZwKc3yyTX@Bnv-9e% zdA6{6{W7zL>ycpEJ8(X~Ei-(VD!;4hnr8H$*8N7CvC3=3%iz5^^x4HIuISS@2|C5_ z{QIg~O6t|GhQ>IK|E}fl zOWQNIQ1^$57@YD7vHOP3tP842yR_5XBbp5+a=YjJ1><`0+=A7GXTdHM6WAb7WV%!(<&wu^>pq65h zoarAfc5P59kXg8~$f@7>*#4>#`HjldO#gDd8T-<|AO81s9c!e0{qO7l_d^a>mGE`z z*I(LiThoQLs!0j`uwXcXU8P9Pyl1uluG|+wE?+eJ0(BC}4r;d*%U`=4b8P*@*S|X9 zkZmnIrirE6;Iv^;&0aI3?eql=d+A?~i-GpeZ6YnX)C4&Uen(G+ci#Wzo<`+g7Aqa9 z9(Fif9u)e=zLLx_N&Yh~bD1UvV#=r2ZQctFyC>x_7HPllUWa8IWjwfM72gG}&!B{( z)Q1gf=K+s8n~HPS8|K&xiX_9AS%YMs9z&qg74)|DAxM?%1MFcM+d*4d##-&(HJO zD)t;l+g}v)w1FI+9|zlws#l@AdkJOe?3?RMw`c!AGd|CxBqzk-<@99VVx z+7V_QjhSys!34R-oXcl;KHHwxDOUGmksW6;3bz0c$n+OrL?Qo$9mT6oD&#~CJA=$= z3qmWw@v|h5_Cd?ebeV^s@Dhkd9A9<6NQ3?{XnqVKH6WO;{z0-bpd}@`%0sf)jGW_% z<};uF#VCCJ{NvxWdX$FhDYhsECzT=Tu$9_R$rB9N$2JN0MhY7vE0R|@PM#FJz^eY$ zj;Tt~zD!iXW6LN-j*|m;Pub>U{b0su4rU_Bj2qLI201L zThZCLLJfOUYfnxp>b2b~Zp;G#c$ySr%<|6BL-84)pdd@z3XKj5y{@(TFQGKVI+QyJe$FBW%BGK2k zZL1$(`+}C2k%mO2m27%;qjFdCb<#|EX1(Z73g6@Q7_xoa)kI+a=DhqOepbfP=0lM4 zs+KI4!}Dg*16X_OwLY;!uK%UXcrB79m9jsP*TE_n)63{Dj7F>ZyvM*4ajR_i+w{{@ zGIBGQQ2KfK3$_t>Qx=@OIk7X5(!+l*J@_&_)BmRMbt=&=(==SI&jX~9RSjbD7)3Rf zoZ9*ss4fQ}vw^1#_}(=gI}J@N({Iv>G#Ax817%A@EMC2OwF1qTMhy!^d=)TN?jxL2$LL32 z1PIoVV$0OqFa!O)09d3c8v6m4TrN?^TFMA6#y1yJHH<9vW!aqpUs9D{W1m)$O`Ia? zy8>4qj-IaAVGQC9EoB|5JIuDLIdEJB0paaU3YrHY9G&$3kp4Qd{4Ef-w>a=-Bhrle)L#7Sh%N}p5MkX>q%$1VRdR9x!>`a zvYdQF)i&30Nm=2y`-;?hxC&*OP)~b>Vh0xv^?hLK<)EP8J_uEMbqy7St~8hCD(#mM zqu+fT$wC+DGX7UcJ#tVwpytpP;CnQM_;!-nwK7Cx`0vqB!#LAZ9NYM(3yv{s$qO#2 zLm$J|XZ2IOh03UE#Or#qUO^l)z0$8F+8}-u)1F8da_8EfGI14V5x5m2WdkyJgVBs;bP7AN=^H+i_zg*uvHK3QmMEa0Ri1rXiRAhswufa!~os;2)75-MKu%BJ3<9dX~!}wrub@gYrd9>);Ou%KA9w z_U9o7CvQ*A+f)9ySShZXEv$KK2@PKWhH^NOb46CK9T%GM3+8?>Zi+t-69#_@`;8f# za$`=?5f2aQnWNeG@MCzI*GM9kfNuOb#^15W`HzFy#VlyiV2xW`I8r!+@M@Vs6wcD7 za7@YXdojrx$&s=I`WC9|RfA}IT@)k?M#hMlThE&qZT}VFVRe!OuSpAHH6yiM61mN6 zVZn<&?@w_U-`EP9pJZ;Tt7yR*H=?qMge_hD4KpwKewWeK5Q?wGBl~<|_%%45_8Uei zo77tJkMz@zV6+T#G8)Aqr4a~tlepyLGlVZ6r0>zx-ue+BR06|9qUZHB?)qOCxSIj~ z@Vh$7dDq%j6Ks0RhqK$|y|q>77IPC>hhNYn*%^pK4R3J!&^U$iUD#f|Mk>W*2!sy( zB-BJx=9f8(&6_Os!^tX4zvzxOeOZ-D8n#TTk4mn4)QdrByhLI!!t>OdWqL}oAR!|L zsm7bRup(jwR;qJ#pG-16J{*5Wxit!xeoRQl(s7r9A(r>?dn|P_+dPd?43eKC2F=D_ zS4VqwjVw)wREdxpZGUDRcm;(Sv2R2S?xToN`;{}|G8Fi(x{thCUz8lzd6#+pj`;fm z=uYW{S`@OdmKs!fsQp})l}kG)8~Z5csu(rHFLyeYU+;SROCI@AaXs0DhP&8$vTlg` zF5jIu{^M9&*hzS0MktHh^DqgFKcHG1B+ou(M&HBI_g+t)*_#u=hw_}5WBC4)sW6h1 z0RrOREaU?(?P(D)Kw)uZQ~Hm%8_VUo_nV5s{(O4`uHmR)oX5W?(Kevl z+Ln`v6ehNY~k0rRX=6r~7x4>{91P87`eu>wzIqjJ57^!am?3c|Qrzi6x-#;A}4$1fUb{+c^L2 zH9j8wX8I4?aSF{eDaH0_9`FX7iqXlA_G@(Vayr&n406664{z#N$l{W))c`td4PA zA6Ia_FGI?xA~yoI^-dj0c;#i~ALyy&k}bua#uC2WaF0jjOw!4UJ2rVc!-}(Mo(+19 zo{;po6YYfF;o4$O+Rn5GsG{UqXgzjQ-j3$I--@>S{L(3xOV0!Tq@nQ)&}?nhiH-AC z3g&*H@nnAJTNuVhaBrtZ>U3H`wRf~`e}KX!(t1z)ZGKte0=U^``)+ zd9i{bZjvQ_3VHWU=4%>NoST79ds4DWd^lAw(pov#hf_lK`9ITk_x>cye%a2N7RB3& zN@X5)yRJx+N`Z9-M_GEM4v<+3n4$Z+VIB5ldyH8q|8ZK!gVX)4TbjPWVnKUXb@|II z?`8{viQ#()MKA9{uoO;5nHa`9$;>>y;ih=}Kn=;T+z`AJ#r$Lq)w?UG&xl*7I$Iey zl;*VcsUo9xC{tS>qAmy14(|z7GS=gzrzQ7_6?8TZ*6MmH?ZxYe9KOs$Gv;7v_*J$; zm96~h@a?zz9zVvMs~7`Q|Mf;YABSdF+>zHt_eBoK(7a#ECU@c}t+6D@d88|g)As{S zEBz(A*}iAGu4w(i2aZIZ8oqOq$?-s4J6d_ZEJU9;E!ir2F{hqQA}D*SMGF=a3^GJE zuK~yXvo@zT`AF$9rzfAVfvtPUBr#i%bIN{{8Yac^eI?B+VS^A-u|m8dnFTDdW;HZR zd{WkKaR$*qOCs&=GraVRHznf@GjXPqRB92Fqt-9^lxnUb1?bo46h}@jd1O1fUy#!@ zVnf{dGJ{Z4n9PRlGKNE}y%Fa;k-zu`*Uv2} z?>!b3QDVlPQH-7D<>5yyjVp5Il`dyunz5+Eru%99i9c=l!*rS(?OrVf-jpY#|<2ggY7_ z?r5N#;64}56PdX~Sd*^4SE!kCk7+#*ZS@g8HvTEGU4BXz-pU7a3;ttv9{2fIhN}-p zv>#cd@D+H^@+vQ*f45wPUd49Bs6jd|72n5&i5U*zV4QD}jprq+JUxtM`0uQ5Tdfsn zD;DzVt<*&e4ZESU)$mJGEwSPacyxW!FMcW+`XnUJZYq_>Ts(tG;@0bzqd?2kz*NND zsfWQiw77EDA8)b;%f){%)L9gx;1%5`v*PKlzk%3qjDB+&_0jX@HbbDUD^cJL!tO)L z0)8^5dS&cij8YNNE}o-~?4nP-%&0$mP5k8HF&}Pi*d+^Ke3H5+#A~$9h-m6tn<;sW ziAo+L&(IE!FkJfqW*P)y#L{3Cv1 z7OfQPbop^~zk0{Cg0`KyN^BPv`OwBk9e93Nr>*07>MKE?hdz%zKxO24a5DpKf-R+9 z@WZ%)t&!Lsc1d{N&+4qY8eL1HUvD?67-&%M`Yx_S6+=Atyb}H^EVt^wzJj0)kyz#k z>5N$I=ra~DW8E)SEat-I9L zShD~996no|m^!6__Tj3#mFyAhhqb|etRCzUtm#}{6YX9Ij(dVZH6%NJ4&OWOef3Gu zSxD*Pt4mbJqnf^JJW_}jSjMAQ=Qh_cOa5G`Oiuu>e>WzR^yEPqIUWb+QFdWFn#gQE z?tEwY{tcB4;!M8BM8U0d&o(UClm8v0Gp{5cveAVJHP>>ioZWZJGP^LLmpV&eR17GYZDJ9hLcu zY^6qAO63v1!Rzx~Hya45cYRVUNfDT_X21~6kJEvI6`>K2c_&!s#%to_*10Pb&c)#% z#Y5h><4pgfOUiwsSOn(dZR%N7C7U^Kd_IAX6h;EPFKW^!MnrB>1le|9!cux}j z@v-AEX$G>W2Fo*sLMm@_4>L~}zx59#*Pp2=8LZ(<_A!dU*AGn+NAPdO)y5EYd|mg7 z4feywb`w9M3*TpH3e~Bv+&^iBRylw9@?}l-|;`8zM$q6?f z;_)N}89djHG;SslWalUdG<&YnWtIJ;RFHQOhihL~;GT>6N2;sOF$ivF`B~SJwetFb zcG~$Ae@688ibfX>VsmIyJOjVUWEiM-P)W8AZJ3v~7;ab=o*ES9-TTdUjq`VH@$}#3 zn%OgTV-@!Fz~;%EED;il=fh+j?HL4FG;8%(pDpfvT^%pUB_(L7kfkSyaL7y8*_qwZ zeF&SH-}?JbGRzqVF&;7A`2O>Co37@SwW*cnlVF_LNaa}p%EA1*HU`15`){=)vs2^P zoYClW8+!`($Z~xQudJs*6_jD1;%lx6#Z#+&Su!tQvfmStTtyL*aT7vs4<5er`b>y7 zelS;DtU4fVW4nYdf+7-t^CVvyV|<3#-)GZy5KUX=f*Dg>WW!-R=0}eQk6V ziq6r|us$LylPlksCQ%*B6obf!Y( z8yv21Yt09qzt5M=PTw@GBAURE8X`|mYHyCJr9FLks@-G zsKg4dgH8+;bvx7HzepOK;J=)+hf@0g$UEz=D!=FL)7{+-64Kp`q|)6bB@Kci-3`(u z-K`)3(jXq#tS2yOK-Oy|7db{2ts<^I!E?nzrb|t_XCj+ zCbt1N;i6v{?xXfok-gkgw|tqs7hWsFP2w(v_0$&c)qojaB?kBSwMof)6Y`&bXK&k+ z{S&NB!!v_5jEW~6)UDQui?~*a$WA6E$=}>5wb6(i(qwmCXchMIuQdxhjUYTHIj8aE zMOV=*OP%RA-mq7r5csTf6U@Y^H1!AvFK1VGlCzY2xtw*m{mzY5bN`dUMZMp z{%$_rWbC8|;IkZ#GL^I4kK%0VJn;uB+mYH-qG(ix+4ds5U6bz*I_HZ}sOr1f_@yRA zsrPp3SiW4N-$CVFr;`r6D}ha&kr|?*Y*ME=0cUTQNX`5EtZ7K(w~7SULx1ys(vU{B zm9%GW4iM_)F?Nd}@A?yk#}*%P?Kv(w8g)>2kGqSEVCb15xhbiryXB)sdR~5@R_!vr zY`)~b^-=NPqtD$BWoQw|!g1xpFiS3u$u6LN9&`ExVFPkDqmbJzaKf?KQ7=*m<(&skp-ZGG~WiCP;GW%X|U+NS+W!0%Ot zg<9`@uKdS~Cper(E_~4K-R*xiQTjE^InXB9u{_-(VxDs9PA7NSL< zQSHF|SZ%o-qe+3l*e8CAsy6(+T^#+`c{-B3?Q`*@>m5a87Ns`6Xe??U6?|q6L*xlV ztvvs2n>;S@koLG9yN5*V--Z~_v*xAva9rbUu+dME^1P8~QOzlLU}i{EDfwwfSu%w? zcF*#c(ibvIGK{BXZ2xTyOEFcp&aKl(REA+`;!EhJ@7%t07j_t(STuDJcKB*lmNpXo z&=ebaXNF;OPkr{1|1{Ce>f^o7pA`l9%n2pd!+Xms7*LVwat^gay707I)HSw0@&9%$ zoRwXqRz48@?_KPW4d$tRrzR)Ayi{Hfqeo<9WzhWzbIbyPmtv%P60haj_0b~ro2mnJ zBE`Dr_@cMeUU#09CZ1oHR;qCkq82HMPbm30H43dGj=MRQ>!OXg%(3k8lm}g7F?)KB zgC(Y%jB|B5tAnR-4BYbajiXq4A43zsCbnz&&Eo@iw5ZQGa~u+RkRRG(riUfjOP|J~ zfDgjh73t$C6y=k9)5hmVJ(D1`+15i__EM4Froq^M{ADn$JPsXjs)e$cM8#&5=_n5> zIJP9lzddV`XwK9wDx;L#!)-z<-Pv-nq7wHk>hdEpR(+9r2#$%~_9~c*N5ON7nA?hy zsLm?fftKU3-4&bmmUrC^okvhvusW9%4ZGQ%Ef{~D{$Xy7F=3k=;y%zD9HB^HBw>Sl zfbu}nWubB!IEVL@zmVMvcIktHFj4oDP>jz!G?-i@%8(X!&-JQ5y5CFYDY6$qvVEKE zLH?0z%+61z)*CF>oQNi}w)fNeEN8+E%P|IAuximLIRgtaN+yd*>i6`vcB<~>2oeeH zL?El}Orp8U>z^Km@2(L^d*Se%C*jJy$5Z!3V-^@Q3CW!|tkGCnN0S6+$0XDB)GY!t zY{?1~Px9E%VGRQE>l7OMYp?VZ%y_W!^cEG^8cW$b_L6K&KE3&O~=iG%} zLN$kOSG={1?2W~}1?=HHRpk@auT$^Ki4tA0lFQ8R`;_^_7)anSeZnZ>d+0`oVjHC4 z@-o5nBd56^#gJgE;a|j+5zNysMMBFY&uHGe^D1$9i6e*QkPl%isUb&Md|S7XYIqle zS5nemW;D)=12)zTya>(~^J|XpWn||s<*%xEZ@AJ;cINIr$|&I^ zl|#5Dx-?kbtF)5Tqm~nrnY*`q(zU7d$%`!Gzy36t6LsXNdz`9&bVjIfY(tl@rfMT@ zLuyF24oQCJcAe5B&(m+8UL>qZP96GI$l0*}xw@HBn1BRa%<*C;N2s>-4$Ab?^%FzS znZNHnf2Iu{-=X`}x#EeT9{RWdlXM{c(B3dJjZ%f0-jYYTPE*bMs8fxsv~X_*Q)kCL zn1q?2y zz&5|*jSGRWy<+iYph9u3=*DaNgXxASHUD)L3yFJN+_`k@#{0^~k&J;+ve`YlffPIX zR84!RU!OD`-k+ekLJ4r=k&VI37$sQ!Ppqq~Ze-V2>L}|<_#x#R2^}F44iC;>TW6J$ z`DP$hxA9Mj(7erjIug=qaD1;=C60rVpoY!bFnz}vJt9XV+67Z^~@pMh`Gp$NER2=DQ(?e4BTAwi}$72l=s0Pa*I}FT@UWQ`QvSld{IDfGG zr4x~e=VVyN9K#hQJD^-)r6suj;9*LwU(?AniVJp5CiX3xqyML1mmy4-i04s>WWd^T(aZ~$(u~lj*p74YNV_3hAuILAGdCDC|6WE zJg2-NPkU1EwD6Kh`}=gml$m3~6W}@5-rbKUG8U4;;+&sEdBR(sL3__-s!l>RX*FfZ zKMY0=gVDix@%W1>fqWHvVopJtoaZ1DBX-EAQbw@&KiP6mN&ZU#|M1L3V zv8MRyAP0t|gid7okM;Bfg`|7SJW2wre%pL1!3=0C8(IA)qOxedD%K34(4wkx)x(}J zyN-@ZmLdO0hcC&qhU0|oe`I@oBGZkPIF65_r|>DnxiF)KpR+WB z6_FFcj#k*fTu&qVF86y^^Up{Q)RIYY(#^b^vsq;Vcz>l^G6uArXZ1O{<%Dvt}6@iccJ6%+si#w(_ z_LXEm>Kda;;EAyO7%W)#HmhxIzyU>z{r+sy@f>H3I$A5TRV$8%ZTcg!F6-i2g$tQw zbq3NYGNvZgh4T(H)J~3*xBdR8Z@tffFpu>p1SLl*GF1qb+}|uq zU(Gw(exO>fTSI&yP{w93X|?fahr3i~?ewy>z_nI#a7H&$%P4kLjh>|dnYhltEKvV2 zTHkZJe9*EG{oyN~nEmQhm;2gw<~uqMsB>5?o9BNovaaa6Bn6wvJ)6yq4aOUBS;QdR zqSbyYkT^3$=`mwTmi{-L_Qi*}ei1!|v;~d=l_#CiQ*>x;j_>h*46ZK@8!=PY*sJwz zy1)ILxZIx8(&t#LFu|UiMNWLK7m=P2d!q8dsM#o?(u>c5d;Y(xI7S;a!`l?j=Q?PM ziKM5-cq(mF0+t+$Z|y9?aQ&55=tgYIY;#bG7cXLep%B%WSO7$q6t2)>u-o2FI-u~3s zuaRojwp6#U&SX4qFLw~3jKrsz=q^x^oZ9GK+2~Ur%8t4t6Udb`f0=Qfuk|25iC9;q z3mCFg5-;+SnV3_+t8uT<}bG>MJftvpx`D&p{ouBS`3 zHw@5~jm+Yq7G`|hny_X*vC(Wc@`-)l>c@b}fu3DXq7&z}b9fdz4unMFAf`VJe>0#- z>~%9S_xFQZdys9-#N$;QDn;j-4i{@rKj!FON_veFo$!a~;V1%>LunjnC_zY3Ygbiy zj^AE8q6yPXE)Pv4zrD)k@XqTgZYKW$e(T5l-aGrro!!RL(Twye2KJq+tM?g;M?=k! zrPpU0?8}5~)#%A)?iop>3_W7E%KkY!L?~rV;a4rNXh5|-%8x&#)s#5eTNiz6X7c7o z+#&m}%OZ?v|U;S^ihsFty zJO3+o`+MNf@-vX$LwxyV$bVl4t!g+~YhQQCuT!wAeeZZ|wZj|8$sO>sq5$RXa%&iu zG)hl8&1eGSvkCud0ht^HfP;8yr;d5`9*;4K+IM*lefqZz-Kc|G+-JxYKP`I`xicy! zyyU3AOPc?ZS6}Jz_~V$IhE*9FDXaJ&Wm!i>MT^|;fZi`IEdyGIPh^eu+Fm?+C0{<$ zE88=7`QVg7`c=ozWeHZj>$Um?9>O!#v}Xy){kDTBFN6F7NgtXmdUc%~uKx@Z7dkzp zhHT8nD+=+5`pd_Qe;B8Y zrD0gaQor?%_gA1vm1c{*evxQPwN4=g!}#zZT=EP&`W?2WcG{x2FvZ^=^1bP`b6nrx z%8T;J+T0)C0E(OmGz9E!nRj0N=O6!d!0-0DMPO}}31y?j*w+vk+?9lz2D~oEkKMg} zET_(w?IpBxc#R$vmnK>Hg>XTWWA+NOa)x`QX`D%|pJQm~y-mM7OUi%O(myW6wfx3= zIk<)!G_v)W+7mb_7C_i+*%SC^_Dck&ap(NCMb)HwI_Q#QL6_A2b25F~X8s94mOACB zYxSKha(@uZ!_@Sr@IO!-1YDkMuV~~p7*cyL0V4z969O2S!I^$DA;fGK}Zs5MfI0ks?KPe&?Tcz$YWXu61u^ zYPC}u^_4XXQDv$Me|4=~4nATFoWc1QeuKEsHMRXn{_-)Pw=g~hIRN)pTW?UTobCH; zG-iAFn76y(wmcg%f~^F2D0&b9|9sSnp}Vyvf3yDp;oGivk6siI%?M*N(AEHYtoP+4 z)A*3uH4OZv0W7`lC8_UZ8)JmdB0RhfpB+Ah86N1e zuMp}eG8f0LXZqV~`sKH&YTSg$A{yhX-oyBm;Li&u#7_VH4~jUS;J%3W+xd1fOA6W+ zp>zk{MC$ zj2~^sVci0CEI4WlY!V9YI@Votqw<0F=NxqW&Alg@)OG;M1M-~oX0ov9Q()f!IEumZ zr&{5(CmYY(zkh&eX9jfa($ISOaz}XJW3=!fQ-0wdm^vVS_&?lx>&VR+erXaLScd73 z^pBAesXTwyUmK9{gA_&xU5x%V9{1KG#<3>(Q-cWtm^UkS62~3I3dVgwFlyXhCA}~4 zEz96O0>lMpd~Ksxixxee0gv@7xNz+AbGc*X6Hcuf&G-LW#J2$P6P&V_!4b3$oa&`8V~ za9Nyfzd;3g54ZLM)eM)ii&`6t(>vp{A23vtF0kT_RY-yUXjNAZ*V0y`pk zU~d6-H3$f87OR6bn%UeH`f&4X30_BeB>0TWuDmy?(|6L{hJV`+=SiqadO^q}|9jCP zz%LQD*#nSMJ>&z2E5E|*B``Y>UOh0Z6S}I}S_7@s0%E@h@=WyCmLimfv1*_|)UVR4 z`QINb|Nr^JEnpSzTK8VSqB#b%-_;5b?O-xZ<(X0s4-4-Ef%bsitN<;myQY9A^KLMx zn%v9%pXEjzLjl;Nl^v2kkg=1pOx1cn++YFmEmr&XC{ErI;!^E8ycEzbh)?;*gyYBR zwQ(D%JqMKCw`>z@*c?@QzYzR9Oi(PrI_Uq=J_SB*ZE*eS7LLaOzY)qd&=0z;8r2Dz ztA>Mt0tO+#G7muUXAPz>5bkv&E?Za;Ha!h3eTg_*8-PP2eBEwTpG-n*00;L&*s7j= zmpkC;^Y40B{snj{xz-emfhmA8g5qcc`o;&c=L^6?{-2!m)g%JB2G(0l5r7N+3T{uJ z9+d_*FkJtV0lGan!O@bJ#zTaBd-g$VyVDeYt6X*O?`3!BP<#PfJJ?)L8A30<0j%c> zcy0%RJ3J^F{~!c{Ui~-srS>c17(=@Vox`-;Uj^&OSq$e>d#<^qGX;i?@IJTfTRHUOu1XnS#WF z33#XoOb_rG>%V&e8;$eFOm&Vqb{piIARq>>2ZG*=4#b)W^=a7emoIM4YUDv|;1G6J z!C34&$pw^8usTzN^1h9bKInzy1OVR{ zKAfJyx+-H|Z4ufoX(0cF9YrzH`ArMTk1q;Rlj4CH)h*=GyFWbPi@2e?zW^)gEG`4b z9yIaw7e@o2GYx@9$_pfMolpZUu!*0Q{}%%Hp2ffTKfnHy*MffrIuRRKKc1lGjQKLS zps)4QWf5K*Am7&!sqCHO5(1~}-uxz3j{RtErEeg7o}JgfR20M@bT^e_W!tW??GriR`i_LRLo8it^J_eUsv1&vPN zf!afq)L#eU*{R$0)U{v%X|;n02X58iw~zy1S{JB-3i+>AM?5HqE^Q8zjpZFWs%_DwgAsx zDF#QUPz;!7MWY3R4r-IAsw0ul?Hz|~e|*graR)T`+dp4dDm9+NGJ6WRQ25H6vboC$(+v9& zEbko$uG*x6ZxMiH@|^)A&JNfHw~=80i4FEZd;@!4+Zw=yBHD6;R>f(rS>d)t50qiA z6!bqM3W~aCYoFgfeH;e%6`$ZCfV;$1;k4fm&7V(2{M_q%{P$55%Zm}-ge<*)B-GDD zK@gt-=Lj6*KB(5D0Lmxq5c8I!?k3HQKzrqm!&{-xtlJUgj%_S}GIjafm`}A2!I%rH zsJsHIEj${LTHwecTpxf6I4&tSoMDcfPyH1>7k+_#iK=nTmkJUW01=Xyf)AG`EK@q# z__0)agv~^DW|~aj^~n@TnBF_sw!p&r_ad46cR}Uv{^>*jW|EULq5Ud2QOFSbVW$U- zL|NzQJix|*LrpD{w`Q2AVwmbw`+B7GRI#~RjQzzUFrbCy5PVQqGa^%^5@rMT1kCr~ z$$hMug557o`>e7TG@X1BH_zZCaSK5TQC7RS0d)+0Uem3PJkiHX5wU!K!#e~J=SQd! zJh|p=F8NhH+U)WD=&Q^z_vwITIr~DsAC?lza%8Q%MOYj@ZB8gUQ%9yFVu=C#HI{(h zT z_#Yg?+2sr@&$5OdzA)fn;;EK7D*g#tSXj0*5Jd714vA?xmmp-py31%<((0tGv%*?h zEB~R2wvm)f|LXbg(2}-mDf>+TdcJs zNtsV;9~%30ZAbNxEgf5)ZXBtCCF$g1F17Tv;AI@rOUR`R+yl`|EuRu`2t|*Ee;7@` zdqsqyW$O>w2ZtGjZ2(Jkfa)3WyehEk{{A_XhpHbah0?(h69AIT;kWUeg6(Z65-bsW z1pW|aXGRUiKfm;dKjq)Gf$9KI-`0RP0EFJ1UN`AXvsT+dNNpJSG$Igz!4d^lr_g2k zYbPtf=(mS%-Ai8rSG%7e?MFDVZehs8A-ph`%>heE!c*O)b^aQjAkbc6vEbiA1XDl< z7@>8yzpIj`dbSDDINXnTseH!b1W?`5GKl#WfaFZX_~4;~H%+qu==pqEw2W9EC`bJO z%q$wHEdepalK810Gr<;#<}+|BlH?t_1w)8=g4pRk;_+Ue8c6&F%^w1zJnQ@Kxd@3~ zq4+@Q?hd&Cu$G>0wsYVgld`(0j|>VH;|m@jKlh1;f(3tOz;!r`YAn9bq( zokw=$IPU&|*uoo+NXAqx5cih>ee?w?0tiEoR=e65!4(RiqGH(95=b$Tbjpr?BE|Wy z5q^lk>jV}iA?CoMtpt=y5S)3`CPK0z+5DnmKm0Tm;+}I5pjT5#S5FC7|FzHN5r8g_|hV6kRXN_xh!&LMqBh_FkFk6keFgcNtt;KdB^2ok)XR$5XmLfs&_<|rg;F85 zh$w51Z)SFp=TGH6LciZtuWrjn2VQ;EwSAUp@`~L>iF@uypXP^-3PWs4ybq2d2ApPSd zNWRu<7^anfo2U+#Tr@3RNcWlbub0Ya3&kI}a1W6^ZJEh~3FL}a;@UKox{~`e`RfQ8 zBuu}yhPMJxCCDkXPT4_?p)1_F;vRc5BZ-}CIv_(8>w#Q+Cj2|{QSYMSHsoRS#V9_a z&WH`Txja*@#=fEhO zT=?2$zc;>QQXQk|QLy%t*aBrc#YcU88QY(DBc&pmtE{ZX2@=S=drk9Z29JQKim?)3 zd-|RKzeowWF?^NLRitOw&Man}pNYNd`>Qm=5(ut+t(rYC)_FYHPn4D7FdgF!V@W1L z;8DJx-5!5M#pX0w#8|yB{9sQ=Xn(FON)qj0zT#e?24YuH_Qvl1#}SiM&*N>;#TWx{sG+Vkb36|pBO$ml5uNPG+q`LFKpe7BA2 zP@PH8_IewdE4Qu_n<&$*9_wEu8gw104%vP-U!;nR*$6k^&hVWSB#$tYM?<|1S*X>k zHe}NeMa@uR@Ao7ExVa$RjQMRt+bgTfK7d!(`T>43=MIs^s zpNWXph<6s4lp!ntwC%@)5J2YRWS{6oU^lx}&uW;{S;IcxV z0|NzxnTBZX$WNGA2^&L^XjvE2D$$;_-KST)LbxN5zkI7l2g(Kp&TW{Od&TKqPY(OPc;|tE`y5qmW5x_o*lli6mYHaqQ(I zdo$H#zJude=JbTZCQGA$(JMSGI(?73a?!?4$VC5PBh?DCl|Ol=a30+b#p_(zUC;`! z)m!#Z7+yTmDbu&jFB+Vg+G~j|w`xTfjA%|~c#2iHiOWTtGh7|76x~RuVuMNG=Z+x5 zZu&7`ep-X_bt50@*I$4{q;Q?;N%03qmC--1{Os#)a~N(q+9Mr<+4trr#~lgX;Aq`B z91RwXpUIgE8=%M-X5CkOS%lW5V1y;*FoqWtEn)8{G*Q$ZeGUh?JAw9_U_qlVB3aSy zqUfV^Hx>OSm~~A97n{iqOSp7N9r=gRFx2x|MyMMxk9l{zTcQxDZy5sVH)J0a7LwhS z)B;`P-0GRU=s> zuKuPtCvtM!Y@b5+R3__o5)%$--2b2s@G0`6I6MyXOcXhg%-Gq+3qDrac6$53as>hv3uD{J( zU|Q@DAzhEt8BL42HvmSJQh`6PW~AmIkzRahbBG&2-CL*p@Q?orx7TSh3(c0mHWaG3W4`Cs+-XupQmM&LiY_&UD=uxQXV*luz0tE4IQ@3veDZo z0hsTy?GLzoem{o$s{|CUrIAd+@xH{F&2WTld$fu{!DV6o+Ow%NaKAb*8$DzcS_E2$ z=_KVu&JXYP&ZU?dYK5#g;r-r}68(MDGEP^kml%mc7(il77n&#CjqeABP;v7%t1PmT z(3nA|BIh<#K#%3v8lNH7%tky@HB(7$|D#7=OJ4qYIV*>XrolNcqOI4kF_Fz&v{2p>LK`*-)H*i-$#V4#OTotH6Y}&T@Fc;_1k5_ zSGGONCqj2VGtu8He( zEG^_Ytt))3`CKnYkb4|FVSe5HCyK^8#H&_ma>-ANjoO-ytr+F3MtG?fTAxhIA8^R{ z?;@@MKd!Grl*Go7k&4R&>OI<&zIelg7G~YWL?~GDdT3??l zb8Cgg+S3CAi;+rGVB$rz9s`bLS-)`vx88IYxgs$-7k`njiG6*xi|qZFCC-wqPf`O7 z?7fbBhDKgSqix7{dWLKDk&x(H$Np`f+gn+E&~UE|wR^M7*<*F#2&KuvAcj_A?XXV;z@B)buj@u&mozb^>dNBDnK-|nJ+-z< z+7{QYNMjg!!-;)_pa)pG#NlPAF1gbY`J&nw8g*&SM0e<-Zmv^2073Mn3X{G%e$4H! zrI+^%J?d{_jGI2LZRJ}k3ME88dG=h#{S5LpN0!fNjnp?vy;4f=nSJjfa!lp)nnDW0 zuq)?e5io)>_-AMQULZ-k@N9J_V`_Pr%NKYEMm)5M{4EwlrVK8 zK5GqpVOkqRWI<(qg^`;|ugZ@q?3yYM(O+a`?_pPA>T>UG9`3;Y-aG@!g{i0ac5JHRc8&RG4{7x*6!}y z2Xhp!1- zQ@weSIT4+@6k8F+x3O3_5~o6;B#d=rR=7KZgVyC5?a;T0Q9cT9Ki=VFetk8s6n}RH zeCunkb6+XS9-mmqO0oWDTo|Jp9T-)%1|yJnu$I+shrCWwOMkQ-88P5yb0;Sm8@~h} zx`Ad_SwWmDcPzv`+gNZoIZ80-3nlbBFF@;t6VhXwm@ z0jfeR8h#myY93s-c~?C&BKQ|LcdvLn(aT<@vb}?zOE1iJG?>GYI(S2spY&1;6Gg8c z=M8{0Ffyh9^Rfq)Q&SzmczZ``uE;^2L<+`GtSgBMhZJKLNtTnZt<5nsGpnKXGn8OI z`3;gI_l*->VfQ!WQld2)ITh01kks4`Q$AjG zFiw*jcgo%sIjp?jwz)BKfnvn=h0w+$(_scUBeCyMO&lLrNje3fer~-gO&;_(DOeTg z)8zU7sUgmY?uSiN5yEftMOa_68(E?%RxOh?aX6jh#|5*!`#dpo@m~n-qEgnqxUu$N zObV@dXOZ|{$)iV`Mi}vzotptMO~r@0O2wwQN%P|wOG(IL_ChG+9C zC3Tt8%Mw$?Pao&G1>4@<%T7XsoaM9J3h0b|^o6WVi(?x%{QSP6#K_>&UR-*S_`BC* zmk&cp2yel;i%4>0X`e_lQ)M1XL~SGTG_C2-&FQXj+%IUvrM9aSG)=x+s6Tujm_Tj~ zi0W1>Co?VnJSsSj{L34Cbzgr*Xu_`UA=C#c=;~mF$<)zeSeL2J)a>_Yu~pH?jj1#^ zKQCX6iCQ%h$p?j`w9_pp~*rw(^L%V1o3R`<>+SDAh5}UKdaD zkJ#1tS9X$o85OJ_m8x&(C4DO$Y;9u9vo!Az5VeGJ3}zVb%IKI`!XfWNb$>9mVkL(q zWqKiOK5my$Nt{ejqmS@{De4_3{CN$t z@F-W$RXLnDigitH*ICwcxWYx&HatG`^+iTz4&f z7G?p_YZ?z;rC!mYDo#i%XeLx$J>43+!`fA)lWAuCUQaU67c=8+2>I28UC1I&pRQVn zYg-sSlFF7Eek+FN2e(jy%wrv8r{}o~;23YSJ*k9asAz;zv%i5S1vUg5u2=;Ohs|W4CnGx=vM)l*e;zEnh&JK14w*rAjyKv@i4>YZI~l#sm2c zRgtz_VZR^?1)*P$;{O{y@gv!J&H4tvR-e!O?!D@J5zmhBT9HE6r_Q+DE8f~R#ACgP zj*%eQfToK6x4E@mZM8bzWt^H!r$lG1+a5Y*;;{hzo(MU|4oL@}D%08X2(5?x`P?jl z+>Nwj&9^^Hz8uuwFBio`L$!@kKQMB4naH(x`Q30*Wt5F>r>>dAweB_)b5lU(caK%Q z0Q2My=jOLH6=WeBb@}kk2WH|J^e)=;*29N-{nPm&<0n z97bOY#5xfFJ)HI7EbQeE^&&PoqU)w^y;Ugv%DqDLGpTHlz(%sFAG+JFVBF?!I(N}@ zRJi=d9pbn1jqDZ2gJfx0^H(m!UhS&rQJ91|KPi?7@oNI9pdo6y2)#9Et;@TAzN>f$ ztv1e<^JVZ=7L zC9S-6IS0^0xVN@pWf2s9m_0L#k&XC-AnHAO32!FyH5NE*RbN?f#v*UrCJy~lcl&pT zXYh*F4u&h1~on2F)*cHh-{A!N1!3ziW>HyGZuT5;Vz?w<83x64oOt zq+;>Ad69g+=6Z<9AQEc}NE|?Q+x{X#D(e|$*{i;A51La{-8j;VJE;Fz6cK(wUWqDU zHmTA7tUAjq&X75oM&F_MdN>j--BD&B`(LZ6Q(S&r5L>+|mJjwv8=fwBKG2~IfH4*P zI)wAzd~nla8Jg+so!J*JZkQB2Y#_P8S2yC)Q`2ZOmt<7kn1!ySy0UK*wSFR!1OyFp$7 zTf24I|f0umH{;x z*h>AHD;oy0(6kxa*vs%(1qYa{F4;7s-3i|OwzQ>6iZTzgE#|nc*KXiI&3Z3&1OvR? z-eEv@e-wi$PAkt zH=_pW-O-=d+u->kuu&l9#2}!nHJn)qAd2~BkqYvxO86`S(9bZE3=}P~m4WyS zq`xwM%DfLeS#B`l=Ns(tD6@gJ_!Rj3dv)pLpAjz$ z%F&^YiicH*07qbCYl}wvhE{x5UN0Xa&PlS0;atEm5t%dv)mVY3d$kfCt7Zc8MZ<8& zSaUZu%(zO>AAPJ&$>7kWUy~JSGk1k3)mu0f?LzE4v7x6P2+?rzYU+CqpB0Yo0J`Y) z(cWqknIcE`_xTD;B#s2CA1efuox{E!Xb)=t6QA6(?e~JY2{agC%0BNEtP)Z=Yoo^# zk-EG`&;A6#mcfpvBWj6Jc>?WsI^&73uMY;2X;o{;{#ht0zt(XG(czMgZ9D7IioS~x zkF`cop{4`Y)tD>b_jK_sd`~}1>B%uk6Zrn^wR&z!ssHE49LFX;6y&}u?x^_Zy-i`h zAR%xxVNeB}C>jXD$n!_z^^pT1>D~dh)kndj zZ)06re>S4Zm`Wwf(BIkB6w1Sd6hZ-Q^3Q!w%+$QLka#X7FO0$GCLUeOxSfsIf6*fH<>rsNJNKbDC>t6U5}utU`>ett8$;sblQ z(L|CF`$kQy)oL-kSb@Yh_pVuH?l;U3Ph9mxcBG=yD7$#BVA19v11F-al6PqOMj9%m zwAg#ef>(Cy#|b$>=&zPqi7#vClI>&+qV@4~liZSYajK}uGUo+l$)@vgd{E50AXuYj z#+{|H*s`mIWrWx5M2md?pv5oBGIQ7PIh8Nf_m~68CW#adbLc5jR=7;LUG(xqHU|Y1 z4ug)#mh02{ACUc&#iq@(VuCh6Zdc1%&l_3{5D#PTiatCv2X&H!u{wtzn>L4K!QC=p z+T%LUs(O=_`xT;1!`0&oUF@MeAVkS>)mxqF$+r1yY^Q@APe>>8vwJQ)sPQ7abL@UGFRyJizBp zZI=>**6Z6(ueRfRhvzm{9Ait*gIwnE23QyMwSz?7cB+Rec7alD#KdcpOls+8zM%Yu z*4mN!XpSVwAQqGCv4Pal0V>Ac8wXke44J;M1o#J;h@vec7>&iIu8 zw{4WLcuUE)c{m=|+l7yiP;Bnej7J6Mv!nIF%ICj*LN%iw`LpspmXhdOq_yFmzDN+bpz z71Kc{9Zu{+G2psM}M34JCQ_KG%>lGy)>WF4-R-K`e+OE9)MEEvGFu428!~0?D@s&T%2hgQ1hU(5Ox#*%qZ6)2&%%|XuZPu93 z$-1WT$&x%sHHTIRI`BxZb!D?;N1>Pe!*w|Kp{R4utrL6g`%t|_uOc?A zDc)5?Ta}2++~AGzg0q>Z9nEtCi{N~BwXxbe*GpYE=J*CTyzN)+=j;YB2Uyy#dBJxd zHP~7aSHdkw4xQK>l}TGMKShl#9}bFAV{h#5*=JvTK&Ef|OeQRcg++iy+`rv_Z(c26 z@?_&X#vn#F+Bi3|BeEY|GT%C`p{TgiB-xjA0t3=35)XxBB)aRih-Ce>a4U9o+UJh_ zp`X2G4s9ErKNGt22qneywH<8p^O=|Kvv6XBI9>4uWiwTzsBr`UqS z#g0H_kwQZmoH#j_#=?2mNzK0D9F2LgA$2~1E5|-2$!6qwoD6?*%Lzuv*YuN@H_-q3 ztZ}Kv`rHt|-BT0eIdB^>be4V}mS%qIK97k{C;@b2YYV8-_Ihto=y(tE=JV-J!{Z48a%uh$X}i6KStn?pRJb?-YJ;K$`kc3p`S`Hx%b0A_k8Vrm2KiIeSMJLjb0};L!cK*b?^I4v^LOvD$j!C{&EvyN80cOs!O3V& z84t>;p*4~&c7N*Ao1*Jf+yvC0nZjS8L>lTHx3J64Ef+!`R&_O=xl!%CpZAC`yW%gp(wsjCZa5XX=k|SS7=@-#$`d;??q@isb zM|+6PF)qFOoN@z09x+oF6#WQH4ntn&q{rswx;GPj5AUvsJmTkj3+*v=J{=Irozv4^>E$RZ2QcNb;uVUh++Y+qLSw@yXi#A26SE6&vAJqW7a> zD-k9%oV^xa-sItdh{J!ULzCk;p0RyKnfc z)}KZS+GT3LKT06J+?0pe(%Xaj23s9l?UyR8xt6}zm;&--#z9~{9WWE_`tr?}1Kbbc zxQqJ%AhCv|<|=Nmpz znW3lZK}^YpiMNV3aF=Z?#rinq4s-g?#bnO!foRtk zHc1>R0+#V!%rLvOV zRN3f@>5_amuGNhou|o}$l)rDFJTif$LR^k&*6kDB5=JSEO2$3l6{PTo>HhWsGLM5| zwi^8(zw$|CS-x)q#N!~Fa%Zcv?d=jw0F$pOXoe>@u{V{wOXG306^j@u3 zZ1(7fV?hp9RXO!VHTS_ae`~J{q`iFQNBO396XJ3~Mj}lkdtTN%B1ujiT|Q6V`A+fi z5@B}!a^!illxGq@vO)Ux^GyG^O1g&WWiN}pLo<7P$HssXCi!c0?V!kH4>%?+#lK9F zdTzBb#aJ1%6&vy$BmIQ28B1>IvZel_5=r5^;onGHzHlAcT-;%aJ8|yx@$cg3r(f*P zm!177O(@}0d|sx3reHC0|FdiJg&4yssk(t+8?peyWCSlGiS*A`ANN`abPu*(x*Vv0 zq1dn_jg3`{WmNpXuIomtN6<>#uFOUvnx}j>9EGW=$%<(PQg_7ll zzr+6^qZxDD^dU-WSZ^){O1s9}9VgGX>jFj5hZ8sxf^1jfzpR-C;WS-2vn6^WLCbDt zsL^^4C0?j)AO#1*x{Jm3#ElPUTzA6Z%`+LTyjQf0+Ik5pOuyd8&&Cd+OYB9do$mH; zVUQaPfF|n@MyHrY+U#L9{(F&+M=c%(kf=%Y+veReh+IsE31^4R6Z=r2UJxtQVP}v0 zoTI{HH#hKL!jR+CYe3slB?F3SCId>>UC|!q^YK7Iq(ys-39irO7ebx|p1B;+?3_~l ztGX{eG$sUjIrJY&s>h$60G(MT@;Y#tUgZ9~a>Dl>B-?tj3Mz(z~Yc-z{ ztyolP0Tgr*V(}C4rwJSx+qVA3XjG~$uTm&-yDpG3WiT7w9fvAMt4k2h-M zbwdkGT-@lh{GnfoCQseN~n?X`#$>+%+5LYgTX^U!@ zUMw?KBP@tbGyTuQ!SaQwgpw}V0(3mf5Vq+RyY*G}8!0g4tFsYE50eWRINo|-i1he) z^joFL0Ou@w2O1VvzU(uK1}ZF%p;G3*b{PKpv%JyUAdFK>RllTsOJ(c(y}Ipa`odla zsa{dUK4p3ujL&uY&=b8v3V#=oG4&aJ3ojD=UpdG5{Sb6*8iI=96+;E%_-~(2NyH4b zY)DGr=GxwA&P0k4MRz>GU*Kfnk2>zEXE9EW3YMsEn@3){pZDyB(gEu+G0KDA%GcWb zx;W3$qWpe^sgc1&jQNNfVYN2{q`&4=i?(-sOYF4-?qcA-vks?33E zm_onMKz>ovVu7nN;xVd5p8x~5hl5PFdMS3|1lhz#o9{9DCpOunChM;&eshN(It!J` z;r=W4@XG)Or|P>4iy4m*-lW*-EFz^_+^Bh`Pyep8ZDly(jha5 zT)}cRVK>2_Jf7wB(*vV?SrxlZ-q$!~%IOczKqcVuMe*w^+9B|1ZV%8D$C4^R=GhZF z_2#x=8Y$0Z3lY_S)=IIr;AU>dL$0Xxn>RJq`BtYoD&lSF109g|Wu51~7%^K5l1l5b z4Anbryih~WapU{VCh^81}@VdaD3Uk&Z0Tk*NP-wP;>-Q z@hG#?ndD157q`^i(trm^16T_aZy8G;>agb-itbu5K0$G^_e!Z}+(TPw*F)95&&HjK zsa_Vr#;HIC?V$#%9x;=_=)j1u0gAV5B@V55b7$qAS?=EkaN=rt{|ex_>ZK7*}vPH&OBw$#@*u!>)tN?cmCGV+B+w#d@~qFzk_sgIJ)@c z5-?+4HEZ?eqJ5mC16{rZ^#Svc9aiG-CSMsp7OvPxLu|66dN1GTn;V|yE+sy~npd;k zJT5IjH*53)!q~&Sw3C@(mgC*hij_2bGj=TDn(%4hf$ija!`xHBi@N zB_u^{PLn%_SM(-#k9Jh5ThY@+h{(Qg>9;gAo5^qLSL7@XdB zD1qfT-X1!FuNYo7-SL0^JSwVew4$$wF=T^8cz3o%nre1VX?4FeFePS9t+}krWeNFs z%Xwzs@_-}boq0EBUh7hrlw$exV3U!lPKWch<(G^MvrZ^y zsD9L}ye)TXa1Kz{xBRus`^4T=#q1adt6KoBQv zti3zrRIIaeEVaL~m?P)YOqjyr=7nOC=3j|st%2KsG1k0K{{TyPuYQ#8!@#trJ~|yE zp(_zw)<|VoCUl07FzI?QEbrYJs#ABFX&nCOee$=rkDBWxkFdVH3e08C5!1XM5)s?% zOZvH6^wV#Eon$#viH1dx2*+RCa#G1o0YfwnmpL=9AQZbSpPUGTKQwMgv#lJv3_C6+ z4i{Hehmh=}(+X7kQXdAJSlgye+71cmf_z@wUfs9Yf8qKZwtZW5X>rs+fY*bIy)IWG zWY6~(RyQ6x#DI^zbThI-=iNutUNt3ll{PQ~d-xT47xr&(g3W&Ll@!U3fm7A|Wa&XN z-b(cfM|z^jQSbg%y8@Y=n&TwROtT%&6_t8Kb^ATdO{Mc!zE`B1B##FB(SpybAx&E7 zn-BGq zI6#*^+VIjuX6gLPr0chBsALbKS$2M9)#z>Oy=q2CSkm{ye`)?n?Z}g|J>V`%aQtA_ z+hmGsp$cgtqxcZ@=3lX^eUx6NepeU5lbp{NJgNfpHIzY-g+;|7B3pak5{q zl4+RrGtk@BWJpi`SrcpBwnUL~jl`o=E~;#poY$sY``WJOdqr53YwWwsk_Bf6@M0`i z7@!e+oL={5sHN^PFZ~(ebHX^uK^7Gk;TfWFEvak!C?Xiv7xG81TE2Jf%)pYWptetJ z5;LBkc7tOh_Cs1JzKT9~HT2l|ITXE}kElfgYiV2-q%u4!YFk)Y8#gAb3xS#?7+6x} zLB$RIuk8|gHS-H88SvTMPg?7o2(f~7Vx45(2#8od1q<_F#GT%oj5>ai0ncnzH+n1R z3+heW_TW=DLDF-V!Z7Qh5C=jluCMfwvrn@sk51T4#Au2~%ye(I6zKn&nTxF|YEvbQ zE;#bC+`Q22i^&%*_A^HuG-T>rPoU?IZO4*4E@<%Fob3n?Jx!PjBqjM)_ZEn=a8*i! zN9DG1UW2|1o(QExn*OYh0e_ zMJHUb&xAvaRDD4yWZqk@$|tfSP=W979W|B^^&>f>kV;JS$5r@qL>6|bMh3RWuZ8J7 z;$Z$gYNC^u;ZsZ|q%Xe6uWWvKT5W7tOf@mPKm6hGe&LKFL=n@mzc{qOq_Yfts_4u` z-v?y#|5OsJb?PqW%)lLReBzf9vHhV8f+*2Ep=+Fri@r?UrANSJ~wnLL~< z>7QNl9%i}@sBbwn0KSp&;}> zaS!Y&1_Z5(C6NkRHE|`cDjTjQSdr_u3T?)1>Z+zp4j4LhnVL{aE1Pt1FL<+%x5?7l z;|veTrr+)_M;BU|OU2Rk3$jy#A_hsguU+ZsekisR)vuBxolhzKiUTL04qt5kg@*eyu{_app=$RF8sB2D=)n8; zxh3^-diZLS!e(w~yi(?ff0zbsaw!H)66X3^6ANMIR~~a(&%9KpI&3S$$K;-A<>oq1 z<(edEWU*|x*!kHQ);@KLhoUOxw1j=$ zFzfxAY4G^Vo3e(G{vNsh86!tQa#IXay4_!+Yp50)5NWavHm*Z=#X_CZzarazN|MC$ zNZtQUn)iy4I$iZ&r8PCd8$!yVbT7assdp0(lO%JU;f+&yMl1XKZ&?`ft9j@Amzu2! zon9Kay?x~f*JRC#oq_QcLSq${S_W#=tEjTgTC)p7oSMppp`q6pX zA`o&1I|Mmw>{Yh8yOs5k3p`}E`NV6kDilmP(CTo!8Z8Sc!s{?VGiZ-c{^gb>v~LqX##PMDY&`al9z z1MJ8aIPcQZo>W$ZvYcS9@`cX8W~_>OA1XL4?Oshkh(HDADUoTU_locxU4?fyk z(ku`zxK5V2V{0KD!1x9k3VTPTicTXy`J3ezh^=#yv~#?tHe~?0@^k;x^WhOsVe_fd zo@e}m&P}8yb)x+OEJhI{zlYw%tZ43p#%tsi0ge`26TUTEHr3cp-Y@I_gts{r4*_<4&&neRD;`p^|^fl+1t$4Zl8F@1;?MX{nwNweIm8caY+fyD4PVj}usbs*s z{GKO?&MvR_3F}|%r<4J9!Njzm?9o2D`P-O?EYTwG@KxX**9aY6;V3B?gqb1ZgW0uyfHt8;L!L{ z`+&z7JL7o^PT4e!YjC*|VHCbRxV8UYI+vzFz^w}*_A}a~_ixjmTE~SW)N$W?U*s2u znZm2}DhJ|!f#Sl(Zs-PTmhXPYsD3Gmp;OQ6)sjWzMOW41^$C+&*onU+d4IEvF7g5* zo}v4;^GUJIp-1^G6fBnYs;f02>e|xLmA|TB+PNno=7#e?$4SyO5ibw*)q5}s)Ksl| zRa_qLMBVI-6~UNI+QU~XJ?2^@+Rlc3pVu{1b_Ftja$C4cug?#A+nTbKzBdn3TI1cj zQbB%UJwi-LoMvHuW(fX+07_X@?B*0SS#~5*(s{p3eO`(%Dv%21S!wN3K2(E_h96%n z8S9Yu%s((4i7qRhiykSxrk;d-_3r2VZ_e(+B+mu0De)_gIByr`3|Z@5v-hSUKiwCt zgqe7A)*{%F*}k)u1M<}gi(+HTz5)Jl-nmvGyo zc&QKR;L?NOi6}!N8q)B&EEi1;OMI|=bW8Fe$1QqF5wVGFBQttpBJ55gdC<4t`d8Re zkk8Ta-tgo=n>7B1VVi4?LxmVm|I^QvBO*fY+&F2}wtkC=X()ZF_%?pN>-qB{_O%Q$ zREhcC8?r^A6DaF)u+}L#ao{rJF)@!Xr~o50V?9~MKl9|vK5VWGs=numrF`3lB2XXsD%1!o7wu%VV|ML&4nvkeLpqoDchETvAPy9s% zag7&T_GTkHZad{3goWH50{IiN90!a;HP0+m>xPIRl@nZh3FMVsua5zp;~p4rO+`OC z8*@tNvqbz|r(!Z}p4FWTG>*^ky z&s!POG;q5vfI>LZOHb4jvgheIZ}qkRXHTv)SK$Ozf~PCg#|xO)KYuF3^+-dQD@5Fw zGj~<9WwCUOI7K+=IF8xWZid?vtps)d1yqTMcZKf32S#bOBQc4<#cU|=j?WpVNGRY$ zFc?=>%~jM#SPs>{wJgux<@W>rOW8g^zM#AG2X!L~KJ!-V@8QOl0mVW+zS2M8plAzP z+(Ll=DXr#72tZwcI;$VpwI)!D?g4`=U{ja@JN#6E{z3Hh}jQYkA>E!bmj!C@}rsBf$y4PMu(%Y=eZn0JCRhS$-+7`!?1X zRhcm1a3<|fR2Wtwz3O1)Q>FvBGKZair4LPz&4ZkFV3&%1#DIX^!(s8{yglE!QY$1} zHpSF@Xqu=#$JqIxiBa-ZRSCawQ7=OHS;DteoF;ziO!uXrS&lQcag>nuYjEfx-S@x_ zj8MCat5HC!Y6Fg(RyfFcUJGxOJ?=6TDj;BXs;qczevGt(m224=(3X13#RcvqF!5sZ zn05G7*VaJO2x9S*_$}IJyy@QbwE%g^pEV%d>HL%B3U)YVTYEQ^4S-?&rX8T=H53HFuvI@x(< zyZkVuiV0|u)FR3fk{T+2|Ka|h^nI^(ob5&|wzO?u%>7NxJvC64T}4NjPway6+gb$W z#{nuwz^IT$noF=A(FXyCbVXtul}4nTyyi^TA4L-2!hO8*G3q8`WQP3PW6dnqB&3;= z#B4T0AscWGRq|vA+@tn(DcV0VJ}1+7B6fphIU!qi0Sy!HzshI~iZfGwg=D>e5Wy&F z$-h;?BRlgoFkq}Z!;6UXckr62A)D|+dSAg50gR}uB)fv3Ji@@jM5h?e&PrvQaSN~S z7=L0|a2`ghSQg;{Kd$p7OhXlZ*mfZ1h(dE+_nVhJ@Whqa z7(VkA<;9Lc_4dtZ{NK6V@&E)a6!=G9fg0(SZZyCjJ}fIPH*IG`D= zQ*~KPxDmZzE0Tb=L`@>wjjKq=akh%pvk_BInT9t@m z<{!&wu{G!VZ^YhTCEu&)S2d_PWaMQBez$35kdUF((8YI@udo#Wbfj0IU;0*w)I|=9w$|7 zurtS-lCB7B2>Ix`uyUnkus)Ky${Rt_HS!Z|{_Ft5h*UZLEavSPiG6dHYUzIq z@6di?WthvEE$v{nrk^b9SsqS;Ff*+W%?apK0%Cv#V_x7>WF9fBe}CRWAP? z_(+pvk+_n6tXvpJ#3kTVUEhhd8a*g=I^%6w>A43G19BpzKdL%cZEC-d4=oI}dU`8;PZ>AG-czO})%uK&2xkLg{6PK zn|V?`@wg)UrWLQA;gk&PZ#l>G{82$QOmo}bj)ZDzbd<4nP#HDw@X~l;-ld<$K7$k< z8hz85tk_|{8O8s~{GuJiur>nW7@|2OeHe237;-x98A3wAtZb;~(+>#&445E*Z7IgE zPvIN-s}pPFBe&0AA7!-x{ru_aNpWihPieoJ8Y`dSV)rrxOawjhE>_q8|FiR&Xai>W z*Kb!m^@3?o-8x4uYZdQ{RUu$v*@1C%KZc*b2oIYG>f#a>!M^+ac%MR$&2fgDVp1XF zHSHF!3b5$aK>qsX+ARPu8#B(EyR8f>v1BBmM<$YP!r{vw%H(AKq6#23t5n!0HkEXZ zQa7Xf?pmjaaI2!Uu6hM~uV+(8%|E254JqaWug8xUV_%+|X?PXTb{}taWd0)cWm+UB zMxt3Xj00RHCoI8Ej_O>TkJFc_VSsnD>k!Ee0Umtmn?mWErTH%QKNR-Atx+=)UIE5U zlS{l}?%&NP-~L1jDVZ12$#J;+#I9$~{f$58dYAsv(4y?Snj144fD+{|F((*dOZs^s z)_dRmyI#^&bR>9@Ym`bCe&C-U+R938;=@IP5%jX*#fg?WgMl8x3welda%S~mln6xeR?U50mf)VO? z^e%6YXDbKwdo6O_D|6qBLavBiuLZEIxys>lEnN=9los4hoV(q6BuV)0lp$Elbk2!sjGjOk=$nW2D8XKUe|0zRIF!!ioou7Zr~ng`e;dz(;$sZIW$KXa!oIE>OQrs$L&Ys zqyF(e8+x4$xz2zv6`l!RaTND;$Ul@&_{v9pWxS#J#ePC5)YJD*@@ThFUD7g(;sJG! z_e{6uy%BYufYJ~>+gIk)@2n6fr?kWuD!SQmPZi}s(@C-{!q2KhAr@3gj8BC&o^s(d zb4@v|ygUE2kgvLB@h8-B|KZ;cD)rn9wSoOoCy^y2M$ZkGiIi!XPVxddh6QA`#ixuE z3}u=UC`Nvd4NRsi1XuX5FlOd;{<@!(f23<4o9Mm2+`^yV;*_{V`k|MD(Fq$>BEpV|_9V z6k&iL2(wE*Xza>MMBT`4YEu-SO{dhCk$tfH`xEt6JMeDXW}_y7p;s~3_>j7`lvSvu z#f|3>@rpDj-VG%fC@;T1L%}){aal~#sfeq@@|R`yHriLhY$6y11v{=IQmoHITz#aD zle9Md+)-?giH%r#pEO$7Nz3q@{gIc+P0UO1}2@id5v$ zRf^1YfYMHd@m}R9s8Va)SlsnUHbZI=@m-GY*+FeD>)J%>=e|S>GzSv}Ii)xQJ-n0g z1d@@3{Ax=)gK@Gu(LOEb2HGzk8+a(9nYQs?oz>mjJsZg=MVxfD zzI+bdQRs_Tw@k&=?|w8Kc=+(ER+vWtbgAj($0Z^iKBlEXmwiBpdIsnV#b)FYzca18 znf5gUSRUClh|U9`%Y-VeEZawC>v*BT_b8_KbLCJywV7JXK5y>75X3e_NpiG(X)U;ovRp^XBkk2YWtJ5}B7#xlxkzPhgo=fmCA`&F=Tn@(yk`<`aexvneHMxjQWb&b~=Y&Ua zT0<6`EsJ1tjLaG<4z3RlK2nz}m=N+&^Q{D`zz-8{;%`e5;6n0?p5>o&SsseA${=v@ zVzgh_%9AR}xP)khPR3#DVPzGhj^s=mzV{vZoMTuCEv`p1qx*LOG%Nea{bf7YKulXn zPRm3wKT6V(L3iY>*XEYT^dOfb3_h+wMMZ7DHZmb0pkC0dHm%^r57||>s%O@5-!e_j zagiVUpd~pRsPKlP!$nazoZk3!%DlBqxbuVJDh2xc=YFd(k5N@3DelPh&{0r~2z8c$ zx|{Wyx}Iaf)sh#TN}gR+ak6&3hmK@b7P1(MXV6{Lz{=OCR%}~}BK|&q*q^fsf4m~` zDd(S>W7~k@J8*9B*B$Z>)~-w&=@2T4Ki}ooOsR(7Lho;-?|P+mEHz3MQXb7veBV&z zz;*BgVsEaU>-~mD8f;yea_Gn{*x*PEs*v9&rlabk>!%jooaW15Kivfg9d`Qp(8y`% z!TbvaLdOKOF#U$#vL$q;c3krrOg%d_5lxFt-XyRp>W|5N3kSlf_B38qMBfP$`^>2K z$~+tX793hz`u>J7>K*61M_^V3xei}9)dU|R5m3M1%LCwqgCRF!W>?PJ zHDL=NELg*pMX=`p6V043=3M}hrif0rH)p#oz*Tzp#h&xhR!LUN17aLQ$Vt4FJP&cR->F<+>7|8*dNQ1$t_mX(|Mm5vY z<;M2O#^&A_rOc{z2vIdewZ+#{LAQ-}Qpc*^vA${-6>(D(v1ct^=e((oGWNl7{eDh@ zom%;IPygPvL33@Bb{xu)@4(p)>quGU+N7CTzBS z^*!&iJ}&5guUHt1u5DVZy21D)_v?-uUx?UOFWona5j3} ze|JanXE8DxXdE-GyzU~2__4_;F7LIKLbo40p#SqbF~&j(%fp)y?|y}6FV*w+I}kiQ z;H3fHG9nk@ap|&#(^V`d(_c1h9E(bNjQ;v3Hn*3MQCBdbni@j8ou+Ky+<_*fG3`W- zAB%Qfex8M*peZon`zT-~hQ<=oy5#cBr0W7h6xoBtda*~|_XbjvvXh!;^9R_cK_!zg zpn&n2TDY(EKe45M`yGQqr?_>T+EtauF2LLg$gZlY5!18pFxd}6 zsLZ)9#;*o_vHOuFsxGt$R73_9|8$KU2;+K56g&nL&wCubA2k{F(4^oI(VmeBo{CE{ zmu?*z`dCY<Co^w5A3kW9`8gRGsuQuGI$t7(GUF3X_d#LJ()3;Z}0Aa;yf@_mhAh8Q8`n_o!!$!B`^hqS!c!6}P^@Uvm3Q-KT*)yp4W z5)-hKI)|dp!5M>zTxAM_0i#4amoF~|9Axs<;`&9`kX-~WR8d|FZGyw8FsT}@H!e-2 z|75bK9aR^L#YzKO<1EEKspfvxGb?7Mn<=a47m-GM+MwPQHRNJc>d%IyLpDr~zTy5> zH=8WdAtuR=5N>$d_*2W*XqKI@Db^XaiCV62_IQXTnKEn!b~8zICjM2L;%(Ry4RgpB z0h{n4X}}SXdiip^5Hb}vsYBBBn_G^=GpZi*w;izoy`{OPoV`nwXs51E8Kv~RKC{U- zf;+N_-T>mZY>o5ddg4tN3&DHm`M;ZK0WPa@j|#~M(Fw<~;(pYXr|x{W+ii{LibLi3 zhF#K#4fcO=0XEZt4xOBEu``%d=hkKk|l176;K+Z|7 z_m^>eqFqBFYsuimd;bUe zjLawj@V3LL^7D++7na_Se-ntapxY0nlKZwbzK^+m9(YzupRkJU^UR=0CvjC-ft;V` z=L}knVzUbg>fH5nIR2&&vn%P2~~ zlp@yLZHYn)*AIFe_pg6~V1|&G7abiXDvc=Rm4uk7u@rsJOT$<>N>YAUp>q0I-7xD& z->8NOb1ntEXRGS+6K_D-zYwcZnKzR#y%%pZBww6lu=L9%KY#h+_wNTmy-ODzFgV|| z1pTAB>4&NoPu75mCibBfvENk@1n?r1dZGj#ZZ5WEC*E%1%Y3H_}jc9W3*UNlg2@gS2nKIx83f<2HOEtzvm92 zkj+?&=c0`DTmHJ$LX<8jNzErcj!e3QF>iKvqE23P`q#y9VN;^CX?7kiS~L3q0$z{< zhK)vJ!Amh~@lsrxp>HX=G&o{(1Li#uwi6DuS%r8$lw<=K?JE$&$C5FK5jbFahSQP0 zf9v(SKp^1Mo?F&MPBJmVTo=_Xk;lTIJ92==G@Q;wK!Lm#_c$Hl;KloJK+qN|#c52j zZ9M-Sz1se)M#f2e$8;8(dOG&Z5Xa*Li;Uva*E6kwgQ9n2NB+(3HaiJ&6Z${WJVYVG0-Z>n^!<86QQ9KXo--fuy0^X* zq{*o0Q{wan);4cKo8l44_%C(5_8_Br!c7cl-7$=zwOWH7Hag1q5B>UP-Rk5f1riz! zX`cWlViaBfNACjBx8_&^6yY)}4lf6;9r`NF>zIu~HDq}7lG$XWAO^@WDi|wJj8|~; zuzRk^z55W;+vgzzNgT#BZ@DRtmgWvf60?5okJ32+lyM2q-(lt{F~>?v6<-#XyWh=M zo0VqM4jA`kv>q!3f+TilEBw28cpD!y5~8=Yyy&*Bl5gWQd6q=~Jn@M9G@q2b2GVUx@cv*0_AYZ3kvzPY znjubt{r@7e3+4cv;=LSJUFfp!3;;lqLvM&`gp5K-d<>>S3zbA-N^uM-$%=k$_e=m? zt|A&PGbL`b(Rb5MklUxyDW~&RA3YV|8)#l*+{x4F4%vOf7SZep` z>OCiFvU{uAxm_{6Ty2J-0T-=kr|nmL5Tbrt!h{siJWqcO&KAEjujsn1YTWr$$nv0w=utm_Lh_m$D> zl?{%}*lG&oQJWG*Q;cg%rd%Y8-XWAp6dnxHQ7<&=ek}CqW_)DgVbt}Wdg8}3x>p=C zDi!7}Y+FI*pQ1&g7>`0v_H654bp@v>1*W2Kx`7bK7&)#jOg*#c=+y^3td339wTggb z$M_&N>^$C6+c&fPjp-xA3DER=+%nA^srjyQ{=Z6!RXQ4k*4ih3SuiUlj8=RyW45bB z^{QjR`Lt{vwqm={v z^YJW9FW~YB5WvQkfJZ#o!_${I7ZuPkKfKk>64~v#{aYDqR7sL=wp-)W2C8rXM}stC zAG)d*4A&;bsM~-L;sopWB6U)S z=Lp!y9pa5FVN?fuvQ1U&Jo%{{2>1pNP)d8F4W|Rih?5<)?S9KkMOH~hNi9OBhe*sX z0B|-|Ailn6h(NASMclcC#w_j!zn5R@s zhWKC9{|2;mkLU0-ron7Q2uM4>et+)CJjI;X4DR&T0&r}e01wgT?8c$HftfWh-BVg@ zA0@C7$0}`r-L6%mQ_8s~_qRT&IVf-t!nH$AKY$vaAAm%X!npjE3uXzWUlK_5$qyd7 z>rzD4t%7I*7*$^a_sWS_l+KP|(rZ!6nrFuC^!#*W;%k7$d3BO=@#RC`5#y$evXahA z5VwKn{>k<457psj5v6CB{S;QT(jj+%z^NS$>Q5pdg8KXV7dUvs9=EpK8C}SDvrg6j z>IIDIZh*N3zndvM+6w#{!`>9Fk7p@)z`=GpWK3{SrGg+lKU2c@<=Y>s8xU_RjJv1b z5;pwbnq^!`|B9ysWc-g{#n%JOcJ(ET2XOFz5_31LeGOzaC)wT^?55O8qHEqriaB8C zd;$0d(71jLl(F#r-)7HY5ETXk7@_w8(#wZ@f`DBVfBElKK$S(u1?U~{1XPUJW|0_q z0>w^u(W$?`K1GZ?0n==J0`xTroO|JD;WNx8AB{iUz3gort}z%zVYvW>Kz_~yN;4yw z4q#TUfJE(yFDA&BcS%j*))ID{4A3Yi(W(+5GY*$~v+B*l0A~-nP7E6#x?500>Tdn$ z%u)wj{Y_Bn*(>7z?uuG+&XsiWL%t6o_z;D*j zcolf&fgw&F`E2NZO#n+yJnXw08xWEL+P5aMxkZK07uCjx$f66ld|Z@j$PbBaTH#wz zuLYE@uip;$vP=N%0%R`7a}$pNU~+?ZV-@`*%a-$illwZqLsNBn-PNU$ zn~WGT&!**UEx zNA7Mxm6Yc^7$hy$ja+E2DEy!`Z@#9;F0KS>En+ojVL=ufIch(0d(KJ8JI%BayTN1Rzi;hXi!*} zFp=5?APLJ>X_U|d@-qdXCP{JfEkdvp$Lj5YW%~#DF=8ObQz#e*dIuF&HRNw(BUNfD zACbQy1_U?EDICS%H{6rJ$C!21kY7yu|L=>hb_$c}gO0xg%DRQ`xF{&6Qc8Gu#)Aj+ z)Ecm80o{}s_b(V;z=CLMk%(1j=>`~PWNjLdPow~P17t#-K@bNY|Mki7OB*Pgg9s-C zNHXHEpoVYbs;uqo0LYbtj7|V~3j+2nh?e4@a}gM2wcu(99THy*VkBF4d4H?|#Q>5k z4sa_ry_gVCcUlEZgCvl<0LT!qLHq$|*8pL?2H1%$(9>H0o z64cgyd^~vzy?l!-cW?fC)AcV0sC55eCMXn)f)*ikq~u%3($IEXZ^>gTM%{+xs=P;VCTc~shy+>f|N`dfuxie)4II3-{%qctUSI51Nkf>>w zTP(;imLkU$I6ESlvei~l1n48-;fY2P%ZEK?+Um6qp6EI9rd2NAKrY_^cT_OoO@lxM z7Q0_w0|+dt-r{@6LiMAk2elv&fRMd<=x)k9(z~ddm5o#m!hRNvu)7CvZsvDA--5UDEZ+w$x=>P0$wd zj$6I$-qNJ0ur5&}i?}td8*%)>yXqiy4TbFFznjPs<@S_&?Fhco5vWOkz9$&;m}q7< zMFIPsw_p~|gH}L*PlNpY{Y48D`LA6-z4Y*=;#>887PjM`1u&^?-U?S$Gc7hu1cJB0 zhbcPTp)R|@hYTL=yCtBoLj#mN+Th5=0Q%KrR$VmnojmQP`xmZOJ@^w8{4=GW0YM2E zJ4hZlxmAaP3jy<@7l2&u0kikj0+_>~f(e*BUP$BwLM?Q8xtlKrWKpP(!M+B(L;kM5 zfw?~?ek}q51r`!~UN%vaAQNajh*B?oHYp!|2zt}Z11Sxdd^@F}u?g7hoP)RmIPv0+ z6`S4W*o;6xg~ZF49`UUMfmK8)z~o2+?(_uU38;@yW;!`j&LSg_AD|%nfoT2(B=mvJ zI0*uRNh;dfhCmTAN@IX-T;P>-c?5$19XcT7>`6hz&TsZ>kR0)yvBA_CI$Rk@l zi|O5{!hZJxwGBz2Ayx0FlV@Q&V?xDxKOO2T0Eih4_zOzqASg8w$4XLz&4=?k983Bt ztZ0cbvb5>)Gb=*6M>+}>0?#IynIinhtPjYTFfe7C02y-b*Djbqoggh(XE%6pxNNL; zakZHY)fs3<{)bVPSBaVGNUu$>)c|MAZSZ%>1>mfL#B>>YZoQ&q6xw)(Ls@gs>w{43-hK|SJV zLu`SMoT*3<4p_s}Frb%vO#(;0Mkm16aa+}W`{u67Dk-S53r5ppcV06_A6zp(q4z1>~JwG_$4bR3Trw_xtvaB(`K7OuXQ$ z^>@29hF-2SRy#-FGmf9$-`w6WJZ<>J@wGB}`>l$3fXZhzwns0xw<3+Vnab7rnc^+h z+}*so7eedm>P}EGX>7Wch#Bkf>SD$*8*u7a$U%>K9&#KiRYrUDp(;!q8^U;Wa#W_{ zAgHI7H`MQP%;{y(RD#m>y@2S?;M=vIEkEw>etZk=P$trpaqp-{4D|PxBe+Oq<bWsO!8(;DXuWjM_WJtz!`~jGm!RHx z@S5J|Y)6;t4Cc?EdG$5!w7PjhTR*_XrJ`D3JS~Jl_AH{&wsP>2^Y#gUbHjX4%Xw`z znZ6LCYmTFPQ$Qungyc7OPrO=%gtHwL%nE1)6jyOFMg*=H+uk;{N^tG*;jt}fD4iYv zUeX#Ui+`&=d#R~H#ypyE7!30%CM@cwOcV`Ip{#Q^iIar?Is)W0G&J&*)VRf-Y^~+~ zE5P|+Yyw4dBxzg*YdW;*+;2`s4>hU4w3IQhV@0-iFS5~T-;dh>VhACN%Oldg8O`e@ z|6t)!Zd*vjZBzTPF6m3mk3LmjYnt== zino^c`>A#75`0*-L#r44x9~!a1NV>t%ud~nWWipsC>z@@lC+G^Rv#heH-7}^rZF|0ckKUVYxw?Y@Aj`=@*FNJK}qf4=;P&YIl5l&V_21L zzF^@|6BR@0Z*>$F%~`&-Dw_`1Z3w&1SV6L|RUqyc{#8~AFYZRnwl-Q2G`rOdRU=OF zlQ;WJ3~) zi@!Pj^HnPbKUOA&Dz$^!@z^3w9}-10ch;KyR!`ldKIZ$t2WJah?RptKoyxMNrAHxi zn~oI2brVNET|Js;b$wX|jZ4M!)nr4n84}MQ!{E8gXC%{vxeJ_O^FKcGGAevT#PLh~ zptk75Pw0 zPi3oq%4}iDH@ZeL;Rtqy|){@d#1)pfzkji-5>auQFj|9oSyW4`2LKb5B-|ErV>F*Zq!mR!urCD3!NRcx4Q3=T~3U1%E!(GP&NGvHFA%dQb8(ltd>Z zF#C0N^c!eq|aNj zH$AFQ9&&cv%~+LQwg1(!k%)+hJ+9s>$%PY2+$>-4y?(*Rtz~OLxy!<6mMh}jv&p3O z=xlXamSy_PSmwdUJYHr8g4_H#@EDy8AzQdNf4M= zJM4TWS>XwP9WIo*e*-I~FNW2QnLe_x5rRnXUt-bk*4*qXsGQIHWlT03^C?$~Z!Ud> zR!ddxBqOq%e(^9i^aT^YfqW<}&=JVd{JCbyr&$r8UGraE3U|p)t``nK9U7%_Y;v)LV^bK^NK>}L}l8_uh|qn*|3tC2*@v>!j^o-{C+Ru3*S zt#)?5L-=*vzRGW6k|+;tpRwyG(fzlY6?sc#e;27-o)`!}zhK4?runtJHP&O<$7op^xBXG|zgL z^}lJQ55ohKsp9uM%X072D0*JCJc~K(yxb-NbN-W?TL*%AO0%jkZfmyt2}Z!5T8szAA% z!oP!Ho3{KqBu2;y#J={wQV@Hs4-M&^^OF3$Cvyp<8dUq(>h#Y9&3V< zo4Z58h{G~y36t~8#f>P90c6<%5+MVv-I)AZ@zobEt*X1sOi(X*^-9fGT(Yu z0)OXt|8*hskIetxf)!lUu<4un^DJJRhTM!ZS4&UT$)nnN@!9TJS54JYaTGdglP$Z9?y7R)jO^`W7+ddg3sqWD@=c}>>9!eR{tqC2MD+_|2|P{I_8IaO4{j=j0z}%M`$yyYKyQY(n9`p-c4!#cj3Qr=qjneE5SG$%mg+W zs^Mcl1Hv7T0K=Gj-_kH<5Ra_sm(S|jrSgR4xcR1LS=`oFbJ?Hum<25R{o7Wczi%0` zBhdPBX2aU?>}$JyA*TjasbMv>C--I|Kgx?`uFjmKw%OS(!l%I*y8m4%@kvaJP~+|e zT((Xnjgw_>W%b(<>_zf3d~RLIJPt0ofiU`U!t4D%Ca+jEpPbLXzAP3g&@XtpdeqgR zJ0=|WM>AHTb^OBU7CCQfs@#;}uRR`tr*hj_ZOzx%aGu8mXYmWnR&jgEtPhdL)e1;` z6S*v@=or4|xie=qT);&u^I6iln8To7c8U~olG;m7WPN!1G;$>IWbQ~Wd+-ypDt?t&_>SJs%0HTPVngvY z&zERLe)n&t>Rex0Wj5#G?bXOlS%PKRc`v(~Jn}u5(;*44wZ`tm5 znDr{_jCFfwlN4A^yzZ_}^h>q8Rb-T+={{HO)qv|JqBVe2%XlTzKW_&h*MkE$DUc%h z22R&^cX#IExOjL|*rXCWH#qZ6O-&#hB-*3!d}jiPghxk5`7Jvs%~!et{;><@(j$N} zT}N`+QZiS#KthX4$5~zk^k0l^wFafCmNCD^G_AZIAThNO#{3Lq&cLLSDJ!Ujs}4Hg zsUK~%$wCho>LNVspNg4ie`rmV{+O1A#2T;nM1k59=o|Rf(9kd@{pKBN1yr{jO85@F zzP&vwKE9B7s^HXl=%D=hUh=%L^P4_`px zvU7OtOy;Mi5`T`f+bw85^C}Gm1C;sB1hCbjx`jgSuBJSWpG!$e2?`P=F0^>&>i@aJ zqK_&mEiGk{oFv$?=nA?9VookDuBxs>X@O|GcRsqR4i3fc{I9=&G&*Sf20A@rp9ND0 zM4=hiSX!8yHV8Dk^zmuJO7!vsT>ig*z#< zGoQZU3z-HTNI)~(hp&-c586XXfI3{K8?WFDNbU1z!ea^xy!~11dVg(*q2yA(=cQc^ zz;J$;*7spiy&J#mCFY+69EWC))wn-dhhDrA5>x@>Lqnl6_}f4=rr>0YuYN=aN+O`_ z2+As@#~csu`v?{;K|8#Q{rO~D!bFoWV?tlTH#ZmHOy1K2axeP$PxI+bqf2^6hJJ(? zLJIXDZB<2mF?dyjyr7-;EZ}Ju;HeCQGIop|fR{#MyE})o`T~Bx{{%R!|3ckRNu_=D zXW064AohzLijzo`lIjPGQnLPFdL1ZA!fQVLEMj039noSHUnWWd3H$FmU=9!smVHP- zB^Nf3)p-(Ux%ad5hdTc^g;GJE^~Z0^-|+ifianqUCD<`&P>gdjT$Sm8bbdN@LOq+_VPy^u#?x)dg$B>3QDM}PVo~( zk3mOV3^R~uKwp#)J4Nf*^EBAwUt!XtdmSOj$t8a=#0Hx93I}k~*nBFZJPT8JBH{5M z$EH1b?fo^giM;CcOLJg!*acZdworkiGs9R z*0QJXCFJ;Rw)G$jRrf@56 zD*{MiDNIKDv&Zk==Ysq#+Tg(U@Q+2jaz!(uHyqtBhORP1DA#*a`}!12^C$E!Az~8a zFQ2~PAXYWy)8p7qQgw5jbeXksifM`@(h9>P82|b6XZ3v6;leS9K6cVgL{vK>ak9^E z7Bw<-nV!eCbidF@yzBmYLz8y1-`*jXs!d9$wSEk`>#>61QCI3S!yL!zx)o_N5Z8rwIRLfq{WhAA+X) zavn%YSQQAlf6<|ki$*FZFrzG zWn7fu*DgBr5Yiy+&>-C*-QC^Y(%m549SV{vC-Fu(k@0|1D zd^z)JU}m1UpL^A{uC*4;?OxtnnTFWKlxzzkMn}%r^d2$K8&CihHR%a|JN#+Vl!_Fz zeq|38DWY_wuO_D^UI4&vbU}~ItvASeCHO#L8VSF*J@=Rdn!htQ#=4e0`Xe|?`ih6A z${m(sOAU;T$-E&#*x=23{`dxaI#KSu7rqihR`Z8b_(-bwJ^?VcQ+_F}<|U(rx;oBd za(vomk;Nrr2cmU(S-sG9H_bX^9 zY#-4MM)2n$iS7r!l=6$s+bVD>mGDgYn2)Cu0q>Xp%BGMRqW~4Y2TkU8Rg-vGr(Yp` zX9SwHM69DS#T}TFr^YhH1_pPPdf3HB5c2GL?k$2!PmuJX;p6*BSWz9}gyJnJm>-g) zC)N5$0z=y)KLQ=E;u$8Oc1#Ki_Zj?1KtsdF1AS03FYZu|g;M1Ly&1lxAF# zT27mYMWW)!b5HYjLFy()yepY3BiXxv4s&YiOk z(v@R#BPzN*d}fC@C7IG0GV+#5!{R#px(%eh_=&#e6cnh+L>p}cG<>)cC%w&rZ{S;D zqE>DQt)*1u{HHW(N6TE828xTjF!AF!m_JL&UnkLPV#q2hDq6l0WnyBYWJD~bK#r9o z_(eB#2iTx%Q!&ghV+$>@f|A56E0?~~zP5Qzmi@~`L4$N@y(z`M+j((+8e2aIBxefz zc8HIXy%5sU8bnSz5Wq-+r~xU080OpfTUWPrwoezJ(eFDl1bK@Nm0}fmF(FEl3H1oa zMy5n!r}g;$v258~2~X=qoX_pX;vtmKgg0s_lTNZE6V`iT+@Z11>7h&}N|L~6lC9#8 zG!N7lHF7T#=8usRd;zMiUbB!bb72eO!F9lyl5<6HzBPz^J@Bq0zhJKGN%N5@o z?o1+tQI!TTjM=gg9%vI%($h%`-<44wrE8nqbJzMICSom{!NiP;g)-HM_UYGuXkg(O zfpG^2yI{aC{+Cma4M=1x=~F@ru&E=q%S21*W?~+33qODPvY+>>glT~dlI(8Y!M3poz)}85iVe$qM%gVey2Oe3CZ4LzE_!4y4H^Ai zAr@lY*D*nl9gHRMSUG%DO;$czH);QOTInoXDW}ghU`hJ=`ks@(Y$C?m!M~7++!r*6 zU^`9f3QTj*NGsx-l~DC#6Uz%w;)hJmTI9v;LBcqXO9CHb> zR9tv+`6J*0$|X=@rddV2X{DL3#t?*sTw~}97Sr)*n=@D?Bqz%*m!T0$n={H!DIzCT zQwm*1G8$j(nWPVkV%D#7IMsy`6M^iewe>(@4Av zzJjLI3?r8p*FJ#o&2;}uDvWLi`J(k#1Qr64*H2R#*|@EySAlP5A<{#yyDR1&yF&pI zRZnDvIS&mgL@8cON@U!nCa9Z}+QSuc@-2F=6-l&b5}7LRMvX7dRIJBaLn79{%BoYw zG+4RmvuTNmi7_xyb2w+!1*P%L*?|{4>G2zR6Kb3?!MKZ6&En>M4UlkE;8=4$O)K%j zWQQW{V!urFme17G(7;x>j{i1&lLvhp@23VCDjd^7La`?a+H$R%_fALRHQxoP36OSS z-olkgRS}ap59IV1*};c0+dL9qrCyCgnKl$5lq6;^n+Gn;Um(l5OPo@^@6a^rYATlO z7{qn3T4DT;OYw8Yv@!Fdjg(!2mvhG$)ax4{Af;$+qRxc6r2NI@Q_6|V>A}vGlq4fo zm&mQFn&7p$La<5V#mwJ?#}gBTj^7G1xPi1qbHM<|qL{997jy}o%+ zkZ`Hkj2Q~)==JkS8b2A6Skj#+^k1Co;ezOBXfS?3hWKPt2>;|Alo%lU`=UQumSzQFc$sO%G;g>_QRIg74Z2l=$)N_i z4yjDvkXFPs1jD*|Y|~6IRh5-{?aOmBateSp_GLC4ptOIk&{Yno7hQBi-I_Cm-#Yle zX`)fbS8MMSOqwzzZ*iE&3|E6xE0XNVe6KaFzZlv;czSx0`x+Q~e0Grk%BKt3lU*n- z%)6d)HSYRi!Qd)eoqbSXnF_(A_A%FDivs)E=P80x!kt9Ja}0b!cUCEOl5nGm^!G)m z%&8w1N+(kCDbhckfSF*0x1=?Dg15sw(nnSgTuT{=-|7(NHot(RxOK;Xmu#bkh6ap! zrgnuf3aW;S){V}+{gE^RNAJx&WyKy_+5%u<#mE;HDq8y!e=F$%!X5m0vpZ>#<}nj@ z%ZTAPuP6rd#50jgD<`J63Pl~>@{>t3AHwTlkcqTo0)3!GEGX9_nV_#@c`-N$8z>#q zDt{PJ^1B6q$X3g7b``)DgBP;rMrjoxSw8zYSK|>R;mP`j655wo#Ru0V7m)S^R5-w@gS?f_Pk2#88rXSZ&R|cE`Z7~&JTH%I%HGJ>=DWG@Pb7{H zEW5*q{JbI}@BP;zd3YkJBjBB~NZaOiL!?7$@M=?oYwZ%_Rq)taFL5*Ip|1F?J^E?_ z>k_|FaH_D4h(`4_F*fZP{-eR3PnvoXK4fZ>vHneaiED#vnIrcZV;BbCgfLl%oZ2af z6_u{$3j!gzPci%}m?uPGRQWxKm+115FtV5mJj4MB%2yRM3u^{@Y>eDfgOGGW`O+GN z-!*A-R1*NJ5UUp!0Q?TqkXGKn<^HJtW<> z+#v4iLS$}XQJh;+Z?;;!w>rwOS|jQ>*lGAa8u-~#E2aJ&FLa|RyXNgJeEAk#o>-*| z#=83Ckhr_y)0tyjsWmQrG)M0q+1Rkag*eE3l(#0n(J*v!Vm`o_&UaZ3gC2D`89t)E zut$1VgNQjdH&-k&BS|90HfewQ0D_2*d?RJVFT|7v69s&5T^#7BiuQ>lZ_j|sIu*vA zt-y~Xfq0C&BK$Qvf%`vZUxEtPm>+4mV*CXqnv_aR)XqjL6eM5Zg!l0ljR}Gk%n>64 z36eH%fG8Vz>w0)=ECuCCJHCeL2>fn&7%?)bC08`?&(vJ54Uoy$!T(Q{KtACKFifILd$^SJ5)OyosJg*|2m$i<#hoK*a?-l&#|!MsZx) za8nG5SfWc7qmSv7F)8@CIEt~wM-tf5mp7;n<_?nC! z3=^Aa-kCs6Mcjl|%7SgwoOJTdG`#>Nv;{C&b9&0v+m92`CIO4VrK9td&nkvy^ajFz z5JlgO9#wGe8Tz9m{);5XB{Vvto=~o+!lu*)OiL8qX44&@VU(#i!oM9|fySY+D-`jz z*Mn5Tytm24^P2HXC99!9Mi4j~*rIM#n&vsl$ROKB<5`%{r?Jnjmi;u% zD;SRJ9)4x+6i|dJ6q)Ht=odLGq9Hr4u)z{ifqYf?rlm2f2fJOM!eA9(%=Gw0TN;!uFk{|nRq-`o*^>Hi1O|8GqHfB!^K zFQjrj;w64Qx!gGLwE!VSM@!2yRa1vyfgE_oMRTh|LkgEZfbGwu`}GJd*^{veA5gJ= zSoET0Kp(EI>C(#(Z%Og+(o<5zZgHFq@#DtOaD6j;0LhYab`>zbFkXllnHVk{^(jl+ zP22}XEasI(db((8P6MKp9NV^Zh_P%)d|aGN0#Rp~>EpD1KFid^kyrzZMj)2E`qr@n zpiVO~FhFs%zkL1-3_6%&Hh|5~$M>V6qCyXcGb1B|jNdi%R8FE7CjC8Pv20HY0DBL4 z@8636y7-UP;8!dx$AGdu`OqYUpmGl|T{3PvY}-+vCW&R{DKRn_{E^R_lXYI%GhwJT zK>eEm;}}eQea}W&?BL~f1uDr+0*-lTd=F;IfqmF)jQfM!D(JEzB(iVihjIiE-|I%R zPWa~_4s!?^{zRQ2}stYP306p2en zbOCVPdc}rgi^f%K%%jffXan z(ZNANY8Jr8MlHT)08A7R5CC**(E9R%R~njdv>!r4{|P32!T;|8Vrvwe*OBDo0~g0I0$AB(%>hM z32?Hoyatp;rx_*!0kLQ6m^4&gK9BXXuQs08*Fkr@d9*SdWRE&H@Z7w-08+gG?j$H{ zFjJF>L)ttzIG7*!>T3A>bqXtiZC_3Ez&9o){_UL|n_F>Wa`hDcP*p-g!Y5!)ts2Y= zzM-ZG4{WH^ychl2=mr>6tVF`aM2(G&=X{;Ly}h^2h>^vP;p(&CBm>=`uFJ^O^o6qr ze%zj{qN1XTib`PMJwUVpb8uDvaloWVt&VMIco^{Sxj^FO87c3~>}=#BStQl%?IICuo`HrRbdF~Lg!C4K_e^1A7@0&Tn5*}6<=E>jeieR#OYbJ^_fX>(efDCHC7#;S3(ed#YK;+#DL0`Cuf1vRjEk2;G zM?+6d`M~7Bh(`x9HHHOrkS_t^2Kwt=s)pQ77yP^5ZTH&rX}=>cxZgroqB*HwAq7jX z9<{Tx6Bic;3VQAz|F*Y-y8`V$Ock*qf&Y5hg3ppe?kz#@bI#|L;Fw5OwLohmB__54 z4ny!--@gXR)qmhu00J%GaBmpZV!$twwzRZ#a5w-BDy}zQ{F^640qws;VWWWEInxPt zZ5t?3L6%$t*|gtJ4U4dtL!-c$bAO=18{HaSg9A{?T3c^}v~0))7$UcJ=L;y%K%Ift z3ugp^I6DM^*Wl&ueqQi+NuPf9bnyE_(|@<1KJW?dTS9(`AD1KsHkOP`A83H&4hXI} zzp70i4s<~+f}r=29#8@d#dsj0H#R(M3B9i^cu?~F3yOxlK?1BGu9L3Z7 za&I!^e)9z_=2J^OKfs0snaAn*c_Qa&{J1h{U@Cz4pS1rAUSED9!`9W+fgXO*i>yH2 z-NbBvyk49GEh%CV{x18Ng6*41E!*`vTLNd)4%{g-^AX_mg322Y(4nsl=yUMQts4@- z(;!b=SXj8ezBUD=&k^DM6ag3lZEl+~PoEW&d3kxo#>R#`UI3E+cnGUOU0G2P-jRzPF@2qHQ1**Ie|~v;0D9oG`JNTG!OE7; z0IPQP=TBW-T~HL5_w{R8S%-ah&~^zPqEeF&*eeLg7-pxhfHA(m52Vp6E-PLZzbvb$ zpz(+4tp;8we|r4+4p^8D%`rPboCnEdFrM)i*j3Q(P_Q?#9PI?ubwBXqu!<|mL7c&OpCz}SCLRrvngz`y{=kXjhXRF8qCWki)w9B_7V zhNqeU6il-DTHA4OBlL81Q^yTH4a)H@icODj()I65#o|8ex}f$U2t3Cq zOmac6^brvez(bjt(Td0^>H))eyGIjLFsyul{s3GGni@)_L<(UNnRMb{kb?m9;;EZ; z?=WD;9b*2ak~&dx+Ufpa+gR?1M*S`~3#K7oTLplv4)Pi}-+&JAj(ZgfNElULztRbV z!MLn&DR}D%19NipA`h3-pm2$caBO5`WN2tet1c=pFR!JgWogN%?bHK|)LZ|~P@KBm z2U^Gs+=2#9{6O1U!FzR}_Je-Ypu-)o$bgk0o?V3)0k9Mtzt5jP@99yAzD2Jhj!e}B zvx-9Z>OicOkbtp&@7gTp8Ge)s6Jr2UD*Lf73>$XHnnFKy1^Uk*cE07XL`F@vI|ErO zkS3KzBN;qdX$RDG;Aj!Mv72L^?9CLKI`%`*O!Vi^oaHPlnf(M_IM@vFwo@1DZI9~cw^oo-A7VMVd;_&N| zFAMnUSbdE#&-ZC1z5`-{6boQc0Cq_Xr@if#QVC_7RTrpAh)YgZm6HP{cV7DX#Env# zn(0ye(({wRM9j|*G&BHvLeM7-s*ajKJq+-IV)7NxUJV!mf((!(ta9}g@Z>=k|5|lZ z(XV?N4IaCg5>a`n@k3G*z?f=iYJ!4YT+{<^AbOyP0<3!u&Mi=6gU^Vdj(VC>Frs>L zVSoH>+E`^JC7MsPE(wUZ(j+mw&ECffDk^j{%!c198X6ijnMKqYB+&Z0K$r@oE9yDu zFeHB6^$JZU5G0{+RzZ0Ju!z}iw_sOl2NK|*=Uss10690}Mk?xN@XvJC&xnRk?(VHE zSkp_;J;o7;|A&VXH7HniEDE7(P$SBtgHbhmC;k<&3WeE0r+ z(lzIKFNJYh(Vmo@ot;?tCs4g$KUE4O#h7-_UDZ~63usL0Hej8<04~oDX*B7G;))84 zk3jw@K6>6;jD7t05kw*&mI2QH$>I-H*|s>9Xb>u~U-w3Xo`AXr1|$OLB;D}v1K>M4 zRVK^zKk>sqhq5^~jJD?ve7boXs z$QgbpMIZK*;K_mT3d`k4Q*h8rj7M<{L`EKl%IkSs59g zdd;9Z4ulZO05w8zeGtWz-bH%Tc7A>ia_ThvqN44?V-l-PUSEofB}{k{(0)F-u2hj! zQ*e%;Z|NCW^<*%5nS~|M+`hj;nDyn$xBPAvAPNE9us&AdYIr&rwFUZtv509(#l7pi z^g?Bom9**fsOF~upk?6`-vk`27;g=f+JQf4{FU+ruRuWx#??RC`ntNOQdB$PxOS^S zT)Qt?&+sqjK`L{=kYovn%;!M!)81K(I?=%f=jNj1AS4vxTgo0Hf?lSMR-q zZN0%ELwRa9AjK;y4E0ZVTmf}-Ah-g2sgGYiWJuisat!eOoxn`*+1Xj+$0H)*O;XQSbqX}qW*yZ+Wfj|_^Ti?i08K!fq$FN@3sL~7V?LJGVI;71E?O+Z$FomGq=%FI~ zn?6t*85;o1U3qzVHRIBbCmEfa;TNEEnK%+qPt-$X^W(atzt`GL76YXO1Ub@KT+73Q zOOiz>A__bRoUo8?{2Mc0q-B$!D{ViAisItp($XytzLEP{*F>SXh^!h^t=rW((<3|9Xr=X)>I#J{dLn9z7GB`!9Hh&U4(kzYO77NJatn#zLqEix?zJ1ca?Y;A~2 zf>Gz-L1~P;`*Nkxa#I;zX!ni^PhxI2Z`H@|eQiZ$<-@2>s%B3JAmO2ob#`|8+MAAv zzp_{x(ACi?7H7br7+f{&_bD9b=HXdit&Y4D3kf0rAeQh>IOvu;b8%uqESr>^oIr@3 zlT)IusHwLQ)A>w}JH2~d&&1?${0#M8*QucY)fy$o1@H{rkMT{z={l5Ci_R}DT76J+ z0C9E}1fnRilXK@zpHS8yGBPqz5fKw6zx}4W+T!x!$EX@+)*kNu9v&WY)X{K$du?i3 z&}?1KQ&amIFeq4(91sx^3%jOp{4lO7KYZ8*i|(svs|^U3i!^5~Qo1{Qy)^jW4rLWxk-+aAFR)-~T{0+)@#4Y^zYG8M~S_Fo?4K2nYzt za{fn+);Gn zwGnI0Til6r5GaTn=`}gnfh5XKe&c6l2j9)S_ar}s3V=MaIV>1D;lZ+yT55>J_N)es}bu>0WM1Z zjUMoH%v!#G=iRP&X<2Vj)iANTTGi1w{@4XPjWTDYE8tx4UuUp;3knI5@lNc6sc`9a zNXp#>fZK!`uDBA05`44${5?XL+8rXR0!2OTv)D7rl9Cd3c{Me)*RLzdLber;j*rcA zLD+yTOSD4zBJ6RB2}q5kgv1_Y+JP_vW&HWg zOZUM2L_tJcYW7iWiQ{bzeh}P_!Y)}TwG^stFpym~531?pjsxf5@b>ZPr4>l>kd#D_ z)u7DQE%X#LbaiD9QW7X%J%RlL=oD_S+k%xL^NXVTmX7sh}6;1!7py#+}wN;sE~b2jgX)yASMb# zO)3fsobN`pgfSpkYF!q$0$z#KKqP&=oG8cgP;6~%eVZqFWNmQP-OvKi2@)p9* zxH27{$|LYy>x= zB#AO6_@_jMhK7=olE9MgRZZGyjV%e@Qi$eKMAmC29iF}_^Vhos;7+x;uI|rZinY0Q zFqMj?9QX=gq5@ebK@m}8VthO`{@=fUFYe>w&=Lemp6%i#9ULA$VbH+oEFL(&tzrwa zE=Ce~#KFN?c_*d16L}AysWW5t_H2=DJA}07KRv_4!{sWrx3>lJG0jKE#-2*v2L_i(r9UEGiE{XEiT^K8~+?^3BQPit>vfq69oIxEP2=eKo}*X zs+yb=B87YT_vaZo!xjj93*jp(E8W#TjKlg&^8sNT1YQjyzJ=A*?0kIBRR)HKyV2Em zT#;sjc1DOZ3^1TuorbIlNl8=_Z$K4WY^>fHfYZt##vmNL1~@7X{I86*9#BaicI5B% zIN98;;?}JWLV>N#tywB8c2Ut}M`@Gs{8#i*oHDYqmiAp;T?_?({&?5WysDQc)-c`B z*0bQ?2;-!At6!-@LnPhjZ0r+8NC_Q2`$8dEbLhg%%q(`|Sp*nI(kw0erofQn1Tg;V z=;#1mK9r)6U=41*u%f~Vz?c>m#Q=j57S4aqs6cvG`SY+hwrY9Vc%{dRGd0RLljzmx zU5vHUb~%PAyvj$Oa_WlIzl1gGny1DV7u0^=hqzjTA4uLv%{3fK zMr8MT;U$CEU+F)yv)UB7@7{S-1#p36{P2glLgjE$)xNW#-V5PAfSsI47Znu&vp^W% z`{YaL>FbA0t-r3+`BE0i_kgb8>*iL|-2Bc&)|2H9{S;CMY1TN>;tu=i#Oq<2!Fyz0 z`>QMnDsDu#va-_0Dggr_EHKy`E!-_Sx5Ir9m*1qLEWdI0BYBj?$Xi)h*f4cR zU&9KU4+-0YBYyeWQgRJ!K(OHv8MZoBO=TGiwaT4eW@Fs(QLK4eT1sY!S|_EsADU#i z|BQSveK8Y;ZC(~gV#4_e*7u~95j5+-JbRrR|?N$hZc-_0eA#>X#RN-Bc&)Eh8rb@i;FwsP~T~m(k!Pa*CP4^ zw*rZ!rVipdj(0ddJlx!jS)@ysAN!TfKO^RR=^vz}l_66?OhKXZv4G}}J2n*+mGf%b z>)K!U4_-7hm?*%mB+6KNdUCILd3w(9aj6}7H>=$P7DuCPSx*u2x~@{_@zIgi5#j+5 z2|Z@QBuPCzA{@P%esPJ7hciG7zq#?Nq4SWJAKcmb=(2P-H%B<@58!~)(^Ft{r4w~i z`4#jG3_gGTDic_5P^qVCSfgiX_~px&?m^(lVm*5{SVRsMlr%%h!@~n0WPSDR?W@@@ zT5D^kr>1a8N#A8<^~shDwB386#$uu5e{XFSrlh3g;kg2#71~L6j^Ddc9Rq_nM9cl% zot;0b?x`s$^U0u>1o=A!sCKzlgxac0Om#zpo~Gs-L|{UIo*&q*roqJNDeDE;RQc>d zQc}{@m6x^2%`%o!{V%6Jbq(0Rf29cmxGMckGkmMx$Ho8`H>q(3qW@+c5sn z(-2lJ6aEZYQbPmxGF$*y@C;jI8XCkNsu3Vx)v|7G92 zI@T^8yPrn&!1X)O6gY^_*E)id=yk z!@|r=lqgfF6VJoK$ViFw0`WsP?!41?0PNPlp`?;USGTlaEX(gQulr!TxW$5O7Vw9G z%j!A#RNBSIcNaX+LkTZi(cUgfP5q`=MOpc^i%b6(Cuip&a|+xzc-*Lz%CBDyD(4Ok z9LUNWcH+0l$-KIe*Pa3ANsbVtaDdx6a0VQ@ZQcyrN2HCrqhDOU1mC!LH1Z(@P3XkO|iXe=cqWo2arTuE8k{;jQ2BX?N|0id^t$;c|o%faD_u&aLq zEp%~lfkJun_$(*l_wV1AmzQN_3}`wflV(I~O{u9k#e%EEQ^0U8E-c`d^ujuX!Cvbw zU_EO59z|I=iMnqS!4uyru?{2t@Zm$TcX3Gx*sHN#?ipPH;esFB-R|atF$)bUK?>k0 ztJBdD`pbz9{vNaf!JfIjy&W4Hd;Fe~!q1GIuaieB`FrszDiy=xJyp$X1oCDw_1yhgLDar*B?HI3N zPC5bC3dj)f3@R#)fFx=ugMa8TWs=FR1_0<2j{7SLF*bfFw}?nmHgK)nPjHf{N_zcU zDWWYob1QV1l*Odu|8rr5{ZlTswzmC!eT6Z1e@}F^wJG(SAL3$T2L}e$&OlzX+x;A+ zm^uhVmIQ=^V68XE>I_)g!|&ugzDM-@Bh_cMY7(<889rNEZuS9d2x7xLl~3)C8?Kum zWRIzsw4=c+Y1x3r$7M;gxFJ>-2v3;a@@~umFBB_n}y5XKSQ&2 zw({_Fw>E$MpSLa+4$siI1vp{su>ZUj5@M6Fck;A$XOnR<_q3L>wsf(wW>d0uw)M1w zaq)5izS%Rh|NgyiUN4!l3;B;TRxO#7Y{`9_3yUl&nN-n(9x{ z!_9UInR|nxi16LT_wa&3q zGoa`U-waC4-eZjL!KGgohHUD(YK1&LoD_(lS!SL5YrTh_j-J1`woZhQoscD;{JMVo zOp(lGqj_pAF$An(o?5Jvwh02Z_4CTy%XldBZAsLSSIJHw#z>D(X6V^-rHCEU_bc$c zt~-}RM>2t?RcsD8?nLr-c&#_c?}P6OSJ=I#-_D0#z84Td@>_(@a5R&E3&S(RPRwy~ z{)~G53B{{<`4=`zF}z^_#w7JNi$x}#!!*;)shwJ7e%I1+bU(Y&cn3>fEW?$hP{Z1L zeSs1WpXaO*3U9fPe>Cqv&BZ0@*V9-6LKex4Agh2NJXM}o>?wJJ8ANC+^bk$+sLwJ8^J z1G*h=14YdbxfCM=q@GV&#S^g>5|jYHPm0iQ5Z}@|2CE5{s+H;eUT%TP&TePP0Im z7+=U5R%ZHMtg#}4TWegEym<3mGuq7m5_w}JgPX-T^;(YaS)p@FK%l(*_-j_$IA6x{ zZB=}S<*co{2EqsoYUS}YMo9!XmvhhC7CPHFm$|0nnb`7Yn$pkFa;@k-;V7E+t;4o= zIDccIczcfutMi5kMB-Q4m^5}w{54#f&Z+QzYxf)Hv5Sl2Q*k*acDhc_!nftDdy*o= zJ7Iy|eF#NQqWaS92!w8&_T3vu{*ZH71)Ao0_`=LX$o5!39U8yt3CpA}{%+Z@TH=^a zY5S|Pfe_=7*&8jm3}SZ*s`hYR#h6x~?U%@jZk9q@3+D1DhXm;*QX?aRdVeMKU=@vX zQQM90aZmp0h^Gf`WweYnHyqGU_S=%jd=GS~Yl*n_ikpLToHU$|8zH7tETcwtZ!?M0 z^{2u(*)aKWv8d{${~c0gV5gNKZj?gvBbJttj4w3(if6b%hF<@*PgPi1!$d;vMM!I# zQDUH%4dvRi6&54P+}6~eFMnV5AZ?dBuHdG={w|RpWrT;UjoT|2;g?C&BgnCG6lC3! zDU|HI$bFzG_Pf__l8CHZE`hDYtscsbiMM)ULLC3ii!05=^Oa3XS}Nm}lk&GWhM3LO zd|_}$s~8)P!P+tWQ{$+J;%_3;L=<&?(b(yGuS_0)R94f-oPG5E8$-=%xU5f*uzv&wS-WL%M+zrn(A0=4`4P%Dc`4+ za&$BQU~jlhy~okZ`;jvEojxQ9KD+9BOE^DoU2ufM=%Z#rp9v%BRP4qhsFH$=tMDUCW#>80(P z91trcLTMYB4ZB+8y#G#PrtP!i%$wIl{UO0PhW+s$8)nBfesij!iHvIXSSUwL8l*Tb zW`QZe*WhB$lN*7*uu-bVg!qBWc$r;(Ry&mjJG&y%jfsq`v*?oO>WXuUyQKdMC-p)X z)}9L^Ot+P(4@Wy{*A7zm``53l!;$OU4jF@~wPzd=;&sRo=BC}G&jncP$f6syq1ll* z21jK&CqnL1$ZCG!dVeSFaMPL7{0vywCER|}xTai9t8kRdq+aqEg!5a@#+;fm65RbFb@u$4M{Db1oA86%BDs$1ipy2 z#t=*VA}IuhQ6tZ;BPQ2ERk1s@RLc|b1({OiE#A1)NoU4SZ|qo7Dd*3I#Pcyy zk^~N|9-CS}7L}I*onLo;3GP_-NGmTE`nccVD>f|8YV((l;yE=|2;7EeXI&kH!?G}BP5>`%pyx@&@wHKcx zdKb#@yOHPS+=Z7lQrFH{fm`c_E=Je4(;WM|e2XLk*2wwHfO9=P!{P6{IWR%Y@pw)x zbIyUgew)1PQ7VNILzN>@uuEM=uq zh->n}@tyjav7dB%$Kt77MK zThH*(X6p1|6OoBcj>|j=4ePLIDSmo#Q*8pB8XcU6k&55%alh@$&1az2e`0X_UZNv2 zocOZpx$K>m!3ESmti#P2%ffrj;^`Kqrx-_4ra6ojIZ z3MrQGeuVqUA?z|Eu;CDR@QbPrYMl2yU`)pG<5I+@=w7>*h}W!rFd1|&Njo&sx#P@7 z=q#=g2Zv1j9O{I|?+{K&J37|WZAe_CwK=Bip5PtL5aq5l@GIy&VT#-pFWt$yOB{TX zJG9&p9Bq;gw%E;%??*pf9CTIXcia>jPkF1xbrp+VOC-}zl?D0yWu(bSGF7M7HbN2~ zrNlM$I@Sp@b@(i_b(+LAu_OA?+)SUc`Kzm zeo|wvuMdXw4G!Dn%1xo#(gB$8JYXhvo-A@YD{ z{Z{SfxY;opB5o~LWG6fT?Z?mUi>%Jy|16GFGSVJ_?W%e+31MU!vj18|8g3CMNus@6 znBXc+D{N>!9c3jaH*NJE{f)Cred;8c^eFaF$8OHIbEo1c5>BCvTH9BV7}SAOos)W% zXZwG7exRUJ5A=m4CGx9_mVQ)-(I1LT-ZPKmYkytEbHEjc+!x29;zIGqxiRf5axH4+ z%@SVYXMx25RJBMiRH{hm2S={E<$M2D)=!Hb?pM4xnDZZ6B8s=OjAf;luz#1&ex9U% zW2pUM&X%VJW7;KWj?^qOF3H!kH|yB+ErNmhv*0aCtPVnW?FG!!@Aif=JrOg1_SYE< zFZB4U9Wy0LkDBd|wU7Rv%{I7S5-1dZppEgKZ9LI-t zQdxo~G_Yu8=j&UyJBxY?7`1i|_oIxo{Cj$-K9j#hex8x(xD!-WnV*DnmDcM2K@O`* z_W+6CWu((4ZsthhrwkDt=Fr1$c1s+a4m!*chEJrbbfXZ~^}&yOb&C8SemS(+6Rq(U zKIbFiFXMSN7lC#t6tx}499hcG7L3_j&oBSl z+}4^+*WL<*zI^PwY;xB2wsxLRSHULf;^gA4>1u9i4bJCnZ)vR|E5RmV@9Cjt?Jnu^ z+SSF`+SwDv&nE8-LTOi*r%)PZWA5Z({r?hObFd3=@&8}L>*HlnJEmVZ|J+iY+|r*7 zE6cg@(O_rs#Ng{|$D&8aAmXOtGl|DFJ5g`+mFpmi&matfI$3c<=3{htV9YHUp)jkr3rFN52%K~ z!$Baw?dlD@v%9XY>hqsPbr*Sy$gNBWzopXol6jQfLW#t?$W8U?N-ce`N9X}SN1%I< zw*vEI+WYPyx2Z=2IEa`+>0~y$S#V~bad?EYqFD-tYe)#&XluqK3@3-mO48e3VaUzu z&R>yNiT{i&3kH7SCa?LG9O@q?>Ekf%GGv$CMYk6h)dOiR5cgJ)nCMENkpdEH=1(_fj}jhD1J`=fwPNXyYi0PtKQJ(3%Ph*y0q%hj$tqdM z3I)0KY`Tpe9|GxMe=g4JV!8~TWejh@xR(lQ>q@GZ*MARZSEhC(3K&yQo&JY^w}Q1aX)XcIL!zRA&l zy-5-x$N8ThUHP{Lw~OW~fp+R&0O9u&;E#^_3bg^UM`Pn)lLI&+5sj!^+&~i{cN z1A)LOG!VfBM&pB%Z%|5uQS80*{N9j zpitxPd=NAT@z8t(jBj7!2+oWmC4r%*zT&@1C8IT+SAKh89mY?nXFTEq%whuR%k0qO zmt&tkPjO#X(qC<0MEZ+|J=t_vd~X+ifUj>MhBYSh#m#QPaLCvb5B&{2;6{I7{0CTC z7~yJBoEeO=xylYS0&>BpmrZw?5&3xcj9sE?(>UqJ*C>Oyw_jRV{v5wN??Ya>vUin6 z=ywYUSajn3`JdgWCNYKS@4qa}C}ALPkEU$eN-u3^-a5Yy^IN?%NPZw>e5Q-@qcaUm z^e&l;(w zWJx?ujEY-g-q98UQAoxQ>t@LwzFi!4frA){#dU;nODKJsu3&J) z#({_-%i+W*KVHl@@K7N__~Y@ze78bWT1~PG^mP#-Da0Cx7CECf;8G!s@OM-aKsPbM z2TVe1j96>GLLsn#Qs|Mh5CynO2r?u5t2F4O6K^aw1o8$y%y?;KMIl13>gRtBL|qCM za^xn#0bdL*g-WGEC!K%PKHXzQIRjgdg7iW>WQZnRisu{3_Hvo`R!5_k;pv(4a)!d7@AkR~&;uQaT zJs`6GgR!rGimO@H#exJKBsjr>gx~~sCjj0& zNl>RS(4P$i+AKjf;dcrB5Ke1(773vVId%RBIrEY>3xiUaEm;O~y{aVW*6BYe0<|O@ z(o;8TDhjz0iPrIKdd%qcjjED#KC`l`We70^FXVCJAyO{TGLH0uN02H%VsDX~{qTn?=M# zLwdmCLAwq~5OM|iVn^YGV^q$*+Yf<)!T@@QbyhR|M_e*SBthNO$1eXOiMKD9Ji>xK z8N%h8f+3t#kjH+M1W9Cr^y@T@fvJaz77F2v_)C&l6xub!e~~1^P!eR;(AXGZzhpMrX_#l+v*K4A`ORvD8b#MXa0CEMA_wpVn@|JsX(BF z^lMI#VfDNv(1R9GP7s`wAFv_#hgKA1Vw3K@%=`P+SI_g1<{b8|H~wXzSy8h@t|3YcnE!ZP-w~FUB>@1$qJ`JN7Dvz;q?9) zD}-ENW`z!I5)u+XyrF0mI*yDhXLomL0S1K<3z>-$4RQtCr+<(H%0(z-rqh|13V2%D zdm=RIm|&A_AogT^J=<&BeE-aHdU_gRYW3(y7LD)*?7}r%C|Uirc}npKZg$*d436ugH0Ha5eOsGc(-8dvG|g435A-4l)WCS z@#IhMcK#}bNd4J|cFkS-*Bfe6l~bjb2_46|??QX)!#IQrqB*0c6Q*nsTqAyw2dGf_ z8ebpJ&E@{Q@rUoWmyfpGp}l`~V_kP!#6?rUE2=JL-m`f{^?5lioduIj`?qsc`W-}L zXMVixi&Ya10SBlF3p@26T;v2zn&;wjq7{kghLqh4UVQVn+D{xPTLH0`DA-oAK!NXLJvVcwF;Ar5t_{;V+l4~t?;iza zeA9jp^m^-T*tBhcItJb>wTcQ2)sdG#*>;pu)~)td3i+vl{-N`#*q2y%<7P`036mSD zorH9??!LXrIrBQ;W_y&ylnvNzjYniA2xtEdNX?1a3m1`x~6<~ z{e}=gy(3L4wuFA8?9nocj;=!vI4JK%&Uw=hem|!7pFk8tO^_z~2gMaB{3tjWVWGg7 z2#S6Wz114w0Oz*&Xi9_5t^q^&_B%wlXn6A#I@;S|0g3U>sf{83BR{*9pte+?U2yFA zx&8ARZiOQ54%@zMpbVPX@K^lWynGW+>C{AS8`l9QKx*{p%y<3b1C*p*-ct|93XEt& zi*k+q?M_1x&2|saG;7aW*3QeEP9?bmJFbg%P};Kb67fjeW|iS&9br(}TbuF167EB|=v&FN^RsCjS?@*z=g@dMv?iEFKZ`+gC5vfj`A&q6K z;D=rtHb#;_IucWJ@4#gAm)^QbF$2 zA??9ec5lS(59B~TurTSC5$FXq+QcVb_SZ_E0a-jg%SUwMl+dj{Jo+ZnQ;g-QN885w zBqSk`sIy7d*i3OywkZvI^wb$5k?@q~LIk+}t)2;L+r#NXc$jZ?>T+Y5uf- zMND)B8gTr*#hIiu<3-eHm33G<(RXygWWegc4(~A$6U#d}m8_EU8~cmpp#rm92p(GC zmi078--1cKM)85Z#%)=9{5!FWUl9*kXU(}Pg}^kPrG~72J9`nFoSY0~{FrDV6PYh> zsa+**sYxKgN7w^A9YKY2<+a&dCD~wcT_PD{DL~-3+G78)picIz>^@_pH~z8kQIlBz zXN=g)@Yvpqh(aZ!4$9_ythBVdF@}dR*kl{rEKQ zF&ci8jqfU*iIh&S8~U@*x`Kh2tcIdESW+P|Ignjf%(=D#NdJ6+kUXDO>YZKQ8YcXQ zf?6SAa*sys^g(05q_&aHRP+-^FbX!8A*G33ZTFODo*u z%0>|0F-+)V-Q&PrJZYXP8z_xuBeeQW^xHHW^g(V0t*{#-dUN^o@OeNFGEmFI7J135T9#n*R&m-jt4;fTGxls(9XM6`=&K;TH4Xv%Z+rlKFVDm zztazqyo3Q<)&ZDTYPc`iEj{^ zGb6XvFt4k(3uB|XzXq7}F+PSqjLYHBiAh@SU%LKuvI9!wssibnX`+Zon7fTHKVjEd z7Hk&!xy#zCG1s=IlMlRGppu<&aHU&}P{R{M)TE>_fKluvAL zGkmdP=hsrlha5`|7(HVVfEoHjqqyQ5x^BN77<}$68q}#D^;K+z%_{`#sPcKK#lP|3 zY%X0_oM-1^@lIxWTw5YmseZ*+G~^SAwoOsw@Y@Lg%X?**Ze8p*VmsFn7vz2<&5Ks{ z+At4xIF#}IZ|rMG(H%59f;+?1X5NV5*x?qxJYI5KZ@G4Nam)!>Z!*mTP@<@? zOP)`-%$J!qiaokc&TAlas5*U`0yMt$n^Cy=DQ6TpGy9!(vnT!YufsN2QPRzl4w&)DPt~N8_lbKKEKRC)MZqE5!|XvO8X6o9Q=9|aHzx*#jVU>He1}@)ek1jNzd-xx89L-3jgD_Dpz`Zt>t4xzg#vNpl}*rzmo7=LjrH z%4Yyyl3L|+5IM8v4_!+XcZmJ3((do?%RW;_P+5l?H!olRmPUxiN`3GFlC$-;xfS3r zKF&^wRPq z7z_;!%^~+oao_kfY5x8MGaztV?EE|cN-RnN3@(LwiY)UB0U{m^br3oIhK>!Rz13gY zpI4#8^SUP(z98lk%rNb(_y&8P0l{ zSt`0DVw8FAHQmG8DLj6;^H}0i>(m6sS z#_ED4uhyu*Z@u=5XoZutopym1sP7zOaL>H#HhmV;wSMasOt3X{c-n zLka{`D|n&|^7zu;-Y!yM^mGr{>ub2pH$X-UI@b`S@B~nCK|JCd@U?aDN61QAEi5ej z1`^{Zn^OV5n-jhi45S`HLjPt{_w9A_GyO8+#s-G`7VuiTRC3;t>v2Bx*|o&wNab=iwBDi9JtoAnNdjpTAJ`o zJ^rCgnyww#v62xD8F_*}q`{~lqnGVSlydt{??xo-5Q6v{dVDyBoN6iz!}wO7XM?5@ ziA|sTh=L>O%&uC4-q8=x{dO=??Bmho-W|I#uXrM&z%Z^+`Uw;<j2RcpvRys}e(19RFJZZQb00r8w192`6(-?5=3KDHsS&1J!MfryGn-JP9- zh{^KC&48U32kv0awi8k@sNhO!_aHRPM(txD-uD{l)CyWK!tm9o)L7Z^G-uN~TT^8t&@OtTFN zT+>2ZbVy2;Zp+0Q`xOSdg}5LOkKYC#QoqL_y#>7qRtN#}j+nAJMq+S3R4iJ}kN+S+*f)iG z(N>E{syZeKazoA1W=Ket%~vTj`ikW1#^w#PN`gwNifPS;;M;nXZrkWbCMQ(}xJUtV z5nARt7y`6@-OHZz=;_tn(EJti=SK!TXFw-(yjaSPLIh6IA+aa%q;UW)768yy3VCFc z(*egUm{S36B`D_)aB9gC0CN=m`YP#!-7*J})HVmP1r*Gdbe8FPbu|ze7$}~7dItpA zF#c^giX2LUXvVZ;`pd1T1bTKfOQUwFN?~~S`V3<%u>JA3Wf20IV1z+qR&HSkkxzl5 z5q3P2^uS1ir5VMT0KTRxCzJ`t04*ZhZHQ-+8J#&I!3xfeNrRy5-e`xYF(gD|w2}M4 zp>X*C=BB$gWGc$OvO&du48tY^WoefNF6I}+Y)FUn9KJyTygxx65SW^^*zz(hS7WOQ zxg_@URLu~?!-d}H)20=E3AoX`ATDA64((FNwcA!mP%{K;C188v771l&)k~)zA5o%- zC^Y~*{Y|?K!iomOs4XBK_N4j)e`?`(uR?(Zu_@ceXw_Zs@j4Br^%|1jW=`+V@`Q$BLsJ6&V@~q=QDFJ{ zM<9WsO!0jFO@6CFAyAkSAy7o1QDD&wES{)@QQ&>et6hQE@!x&KFaBv*R4uyzBy8OP z@F|cUF}@qSju`;qpuZjV3D3)U1n3C@w65fV03RP}it$S*nDPkt6-2KPCgK3VF;SpW zNV|A}n$e*q0c+z&ErtRQ8;mi&3k11e068kL2~5CdmXEYwD*4^x6Ho~T7YQAcj8f9@ zb9r{=G7b$!7qAs@7FRdE3lODw>b#itW!sf?AYe@Ycpk|T> zb?@`*`{`leC9a$O3F^x5G=VzSxwad?+dyko3Uh4@Ie(n7SBUa9-!C3lJS7ZZ{-q7z zk!@M6&4Q+sl^Zo9`c6k6`BIe)43PnV+0P87Y#5F!Gx-7<0BCCv5AED)&%zSAe4rR_`@Sjh&h)0 z>FF<`9)VuBuQKhwY{U60Kt!#NQ$Sh>kQ?=gHOmOnXZdcZ#Z&w3&-D9bM{SVOwk@%y z533f&&4SaT>41&ruaUDgk)%AEnFcC^xqo?~W%Nd|14uyM+|0EKQYrlE>B(SZm_N%d zB#hi6QZahy$a(|&$>yI2$~c68{d67Y<~4LViIP$aCqG1pM8aKlauW!y0W&Rul}heF zVKMI@O2dWL&H_zp50F1wib)JHzz9YVSir(c*h_|RF0;r12|e8Ju%Mu7SHRe(H#a}B z88%5VsSTfP_nSEE@1$i$MkIYQpj6fS6AOzu%G{1XHxtYNcAczsUHFfH=d!eX$xldbi);WavXw=^ zgW>Np92JY$ zj>y#{s*Bj;w<@filx=LL^1an~YD7`ye*{?e>ZuwR|Y`4dL13l6z|cOkV1w z1*uFiFSauVFSfjc-4D!!uNU%hCPZ0Q78mRS=}1Ijwzaz z{Fy_EDU=w117o`Ri1)~~W@?A?at8&RM$PcBF&N}%`T;y2?n^B1sO&WT##UeEiBQ;R z`tgAker9G8u>SbkU<26JBTuKMFpzajkSZwGVAK*{#8e8wz@rUa#&)%=lc!G|m z^JZhcu0jH?j1yDJ?&DqzzU59@JE0@s?prfm1OYsS3i)%(MZBl0t1~~b2pK8Fq(V7J zBWIsS!?14&!e0HJ*Iv@QN=sh!lNOMQKO_N`hNjM7CenFsL;26zsPMzUZu2&fk(jG6 z%O_?k;C&P<2?8P`!n$X8FU59BgBP;N5CrC2X`XJ$B|$B#02503exDZJu4THHY5$pk zb3qo2we{0)clA04@mZ)!hQk1JfDT@^V0GE751;|N#4OISO<45EA2=Z+u-M?mK6M|A~7i|Jo}M# zfo-}+YyS;k_bZD0%yAAb>(P}ioMQWAos?GptZIT~g`itelx%9WXVnZVC|*0HXVo2; zn%aR=YldrHSh(1M;f8vxa-jxdR?kAE1 z`Kw6)TcvGMfbwO4>A~q%gu6C{GP5zCqE&#?6c2%**}e1MXA1&%NJ2Qkb^sTe^BeZR z^pen@t0=@E*atB%Aa(#4m^Z;SNsu=M@C?AP%JBh|v;=1w`AZ+*X?hSHniKRuA(mkS zQ8sXY`$+^$0nw^+h%PZIDJpOiXWJ=uD}b;Bf3Nuil8|QpHV(iuaN}R({IA{Nq!i=j z=daNy#@@S(CrV@YF};-nogt+TZ<|eYkczb!^^z?aJVL25${<@~7DZZ;3T2>;o>pMHq5W&?AU?jH} zsg~cd$K;HLhHKY@~McHKOERQKkk{Ox8{Ju%gn=z&}?A*~EdkAf{SUIUIxCfM)1^BQXWnUGiv zMNEHBjdZa;bI9DY+bN4^+??khWXYx8Ta&VU#k~y%_+qvsn@p-j7SS<;DSe^E^47GU zS}AS64g)_N8#;k2jeM;*J5!ZGDOX`MVtf#dR=8(Y%I%ZWG2H~OfyHBP6p~5jqxLZF%uh1_*x#1&c!oGDRY83;BXxI=DQ1!Hh_0~l& zt3f;2nO#h>jRT<^S(M_>RKc30&h3W;pv8kNZ|m;qvmpHKIW;;1IaU*UhRM5uRd2xl zcUoZ;{T?mFY6fkJS`NFrC5WHs1rC$@J9L?V1&$9R5TCHRVc3MoOoTQ_Eng#J|GJz$ zeZ=b5J`y}&=K}kyCvW}=4K=IowQD0#{>y=z*ejkC7~EM5c{B@2BwgHx%GnDOq(8FJ zBNYO!{AARh4%|hPa+VAD^Xb!N9>J~^fH?t7)CQ$k&%Vk#$3G49C6J3&Yg7a8_mKW0 zhkgQLJ%kFqfeDN{eP$sL34AZ+4&=tGd$BzW6aWDl4EDTYL=_R@6+5AV-vK@g?-<2} zz5(Xo%-0q~69e!{)VnS*C?81>7a$`vF~GD-5&^RN=YTtKg#~Z`_8hnZkigTaQBD>G zxR=!PU)dtU{?6r@eZC3Ye;$kaBeQ3f5nZ4^U4$4#nzSaVFGc<>_>cua&Oe6J3h@80 z+4LV`2uN%cU_X&>oKzu+(rt1R=m8Y{z7A}?|DjQFHVDu;2>_@8@gZ%r%iIK@|A48p ze@+8~gdjdFfXop#ykdZT1%`(}!(X8JV}Sqd1jN|>-_hqUUO~DYk&g(p0fF;Bm;~5_ zf~$be2S)K15+Qi=4LiyUU@x?P9{k57_y?1Kg~4A;`ipzrkY@fjFwDT%@Js)S^D^=u zm=q)f+!lbNSPvsPH5nFrSa91#YE?Y0!c(mhYFYE1q_Uf>W41PeXMKA$J12uJjI*5{QGF zqGtt6U8r6k2T{P(_2A>>1-}N=BMKlP8we0h`QQ9_uLB#&2||X?Hk>JC`~*-?htZ8g=EYe1M=f~M`l9xRmi08upgzzZ~Az3+g{y57G7vnuPk<>Ip=SHv4EWC>qTXld z2~mu}{~^d12*UmU$TDSSVP^TSVX%#A($)*?7){6{UMr)tWhjBxFv!q^)9iDfL9kqK zd~ia0(&Oa4K$a18B_wa9C%Q=KiT8SOUqaUhp+D_;r-9}j9usvPIEgFWi9dU0{ByTO7%bd$^7)r8aW zv25u@>NnNq%59zdBk1antle-3WV7ep*G{C@>?m#a zbkjq($46U&nL5)0L$`T3-|AS7)MTo-Vpw7S4dRQKO^0pskg8(yTI_wtuJLhbQ(>?HkVJeF`6p-giu_ zPBH0v_gGfw3wY}ytp|?dApJ%URn#aIidlhU*)Tm#fNvSJxjImBNdFL6_Z^Tm&>Mk=cuKc#rbRvTl z!-t17;)SNLilW=tD^y{P2vo9Q-0Xm)Z^O>Kt9kHxFhW!flSoN1IK^GtVpZ{V`TR4V0u>C%9%bOCh**(9Lh<_h(>rw|sh;-j@wkjwK+SxpzhrD!3+9YW*dCY(G}p z-rhTHtVqn?sXr*A{&UukoIOPO+3BPoXP8R+5sLMjg2ta4S6rH0I5nSslGkDVv&Gkh(QS_ zlR7faO^APUmUyeY$%e8yhl~$M(Rsyqjl(OkUijrK)SX6^6I zb`0)nDTp;WsPjY`kCX2D>1#K#%MdjYQ~+ZFUBYN&?%4nK@aJCn*R61@)}i2x6Iq4{ ze^Ir1JcXAAJrAd^CL)D4F;oN(pRHRJQ0D9^o$}SqEp+RcRFm$}t^I2<{|6vs|NjF* zR*wHC-M&#>+M1B#A0R{`jTb@F@g@^=+|PL7Dyg3lm=Q>7<8G$GNk8)RFl19(=wU<5 zqcK}nrES+^&3Qg(FpWMRJ{sVq5RwsgHv7dyS$JgjXQ|WtpmphF`uyPJq2qZw>dzwk zPruTC5x!!7sZ=$mro`MkfQ#vN&j0hi+05jt&XGc+TjipAEgSsWlhfDxe#djH!&}D& z7f!9fAAAuGG$RtC8H2=+0UjBj39op{E&`>g&0y?hS~47wLo|P=(q+#QeLdOs`I3ll zIc9})6fN_+hHI4T@N5hkz4WB5bxvFiea`RA0LOm&o77h;6A|ozi4%wU=(xJ7foN=1 z4kOPh5}`lN6~JjeMX}gxEUK18Tu`HUuW#!m>MvsndC_$aoxYa_3$T3ESTaxS_?$o5 zlqhBX^GY4TJ?i8QZekhK&0;r}`o)iM)hTlXb>^tlii~l=Pw?t7EX>-c!N`-tI{Zt^ zKG%EQ%@d!yuJ?4B;LwZKsR%T1P1SosHu#FGn+R_V8eDXg$SUjoP}aT)Pq5SWB<+pL z$K^}W&oh6K`$AmyKtT($O}mCYW8u)jelXzi?G?->i|~07(`w(5=_g4&&$4u~B})FE(2uyNC*O1GCs z>5+*BMtvCT9jvScW%~3fE+fJo`zM_uIiP!9*Lrd(gJ{O7t@C~A!SmofcfL`{w)w0> z+rUJ7SD;LBwnoWE)C2Y?Z|%{F(Mn40=av;Fitj%U|4j)kYI9I8Bu!+()2He zGjnXq2mQrWlbG)UZ{sOzkl(+#WtdUGk5pzbKoXoSso8qGYf_c_rc8x`4z_!$4?U`0 zW>?Fod6fj8GhTu!e(2*QQ^uMy0FP=}Hk2FBADD^WG<=yN!sAp)s5Kt`kVfVB6rB6hRI=WL zW#|6>YKNsRUENOdRxvWH_*DKq0d3P=KP&o$64spTYhJk0;hLl%Qj;T$?v_+6;|Rvv zwNHE+eB)fix;vMiZ{|y!E5hoUu0ow8)*96Ap^yEVZTobr4E(fhGzzR6d2?PM+WV#y z!$a|@*%_tBwyC5cV5MW!=ms2rqC~>ciq{JlMfSR_LK%A#ITE<;f12da2vXdcHK{&6HQB{8*6aAlYh5~wpExyy5#OOPHJ7Q&q#Og zdgd=rkVDYicHRBd^Rc=F_DAm7N^*6?H~yZ;yIzMhB{u&9SabXbSpTepyq^DV1IY0`mFtd@eas6`;I4mq|?fe;d z2oot68#@CRJ1H|0(|ZQ?_dsr&jGlv?8RR4b69+pJE2K;d@C$rle$NH5rh%lk|1G18 znTwh0znapA9-kvAdF+<%q0V3L*=`2foyqcRG-$Y>_Qp?|sIptMGj&CytX>d+QErZt zZH<^pSwnXf$0xg>#)EX3@LC7faPfcNHpu;YzP}xBe(Fzfz3=wAeK4zg+}xJqaJqN; z^|UOo$iE4PX{El2eICHC5T6^L37!gj+~Ikz`DyP{%{+5|U$%p^gV7@; z^;W6>t_IpZhhFppp^@?S_BGV+xBXdKo;+m}_Y*wh1bY#b_xoc!lOap!V&{Ca(dywJ zjO6nl`H0seY2sN)E8AmU-TyE1tzWB zCc|nOETiW1pv({Ncm_IxT%L8WQMx0|#spzUI2J$r%OxGv?Y_n8&;9*;4TNeF2>5wT z-Zr)OEFp`0zvSa+C&JnWg0APR6sn)&J(LDTGnwAM>$JdDt!DR<*GcQbhsMx(%UiWx ze(_P4RoFjw$#*^?twCV(Im6fF(uIdV(za+1rn(ysKOZW4v$4oO*Ru+-3}O~cPt%se;6;^ zX9vuBb{u&XT1%evmJ+8^oZ)V=|I+L!$#NojZ!QrUp}a_TOR1hc)YQSwbVMd~2#VYH zu=IHN;-QZ*TcjNZ?5}vLJ$pW|ubqf{S&eQUe!gID^oz{Nxp}m>iELjlD&09&7Akf* zkMIh|x<{|Z79dey45oB^;YecUcN{fFf%f!$oZ_+J6uHE__h@?a^W|2zTNd&B%KpVh z)X|3n-fcJ1lTP$Wfi>x{79YZUf*4k1%H#b zo1WW3)1jN}c2bddFX#IOs-5TN2i@Rue|T`c^Mq_gwU_91;z}qDY*L}V0Tyvg4=gMu zBeEw(CRQBxIlGG~3+(H$b6gbJ@ZwT)H?y;?lfw6SU1`%odAl-7cm61IJ35z>Hq_YC z7sE~F9-*M7kwhVq$y}$FE}l#t7=J?5^(T|!ty`He*1jgD;VqosD4lwV+B{VwoC@T5 zc)>?`A%gKfAulRWlrUZkzwqSA;cr-)r>PUcu;Y~yd_uwLcyjYa`IK<1v)`1h`~&R2 zn?8BH)7l5aX#Z9pS%2x{HI#p+4``$@iPg};|Lsk?@$6HmcA1H~I=UL6axs>VBbbS) z{ySI*9o#8`@bYSOOb2N{%|(kpZJtr7wXnGKzGi+)eQC@zN@L^;gDl>dY2$S9i;vgO z4Wz2s44B2)UesOqMz445@2>QXiEq2n)ZaVW5|Hd%huIpQHbzSoB8L~8jtLy3##C|b z?8Hf^Gzzk~$HL!azyBUR@r#9AtWqr|dMiuSesAm@Zl#zKP^%(+x4~)MHKa;BQeehv zIvxD%i(-Zs*UWr7t+TQsak$94==HQ0w#1l@rb(>`x-i$$&D!>Gt?Jq*Sn!)_@lJQw z=9Ob{MQ_I&WkEM{5uuu_h$16ln`llg5k+sz$WJBgkqM9!$k*Y_CdCX$}t1Ak!}GTTVOv=2}|ZZQugv|?~r{) zrLQX2rBC;nG_up5M;9HI80-Z^oZ`M~mYVi~o0tw?mkjTw*L;2T$QYP~Z&!`C zmA;7aXwCjhT)rq2jN^|RtUb=W_x$kwRN-hcyW3AZb6~f}vb)AK@CjXP?h~8(&2SqV zR#T}456Tv-ae!0P=J!#p$rH~|d-@XFArbM+XE-ToZ!UcdFtB`0F_LKor<$yzds(*9 zUq1!pS#6EUYM)mc?%(k}$Mc>w%cDw{Txwn=47xp!HXTsqA;sx@MUw)@2X%FGX`epu zy&bOWcC!~04NDE8S}7eCbX8UUCd~bDo88C3xiZE7Vkq%b+n78Zp5*oIl7UvHt0+RB zIwuuVkFIMhhgwoHzCwG3E6PbU($8y>eTu$=9obLkWNx}0?@dqln-D5dDFwz`=USj- zQ7o&W?G1dH+*>ZOm110_u_K4l@JuGy%Up!7+0VaW_CZBADbuhz=m6zoFUT^(%%0!I zGH0n~4osVFe(DM$31s1J+ShGv+hY!3Rhwrf0&#ZWXcWS|x;HPt_+``BxvkwT0F(Z# z`MzVK26_@bXt=R|I|qgtFVn!16^7M7*TKRC#yRU3BI-jd3cpWY*6T&knQFu-tqMBb zJv={j_V-mQfV1#!u#+3QPbV8Wl^AquS{PebVq!2pt=i~IqWa27dtGO*DIQm3Ec+kq zyvh_XMyC;u=`6h`8DMAHkZ~wuN5r6KXHX-5_j!4SG)&`o5IMlssoZ#c9&0^pPVhqU z&dG1IT)*R*3eVC(N3ROU15SMYijiq9L|eBChi~Cl1kX||lG!!v)Sx3>-ZgBEzC^;- zZ&A!{IBfJSRBpMyP}$B4VUf5M67P}w(hc;m5$1$litnba+f_*{x&f^wiEomBGTz^$ zlq>tnmKo_~D0;>G%u*cqtt2u+yM>?nj=1`p5cLc%`3g2GgAt~BPlbjJOc$IiUBCq1 z3N|vTDV*$x9))GU=TXbK#DTZZ5cBX(wWBGU)EO&r5h{vM#|RMagwX<@%oEt;2;2DM zm+1M)Q`Bai5IRg37ze8%~RNAM6ZgF6;;&B7)WuKq*fY?>LBAU@G;=06i? zOx%i}Jct;vlf3>iSqw^Aky$iC$9J&tgDV{SaY^)3R3_IA&K;&m%j{sCbrveyJsU-X zT*LTf%kUt%qN-9Mc}%;94a$oPenLaLq>rmnR{EmF`enV>j4>H2*kwtGf$+xx(D_4U zic_rgXYfhFb=yBj;G~-$Egc;Yk_8OWQEVKOMCQ+RD}3I5G%RChf&qzWph8axTUua< zA0ND-bCx#=-WvTgXW!0Q@0<{a(5_{gQ902U6ILulU&9RLJ<{2*XQ={T)?aWEjvCmI zP(0urtDY2PbGBFFcY^FaHXFCYh7@G|wJVC>0t9IM@DW{b+oR>dXO*jpx1E(0FKx97 z3VB!*-5IS~=H%nWt2CeVRA}l?IWyQFeCXNyUO-1Qn87D|Gow1NQkcj2$YhH5JRnWl z1V-p8dUfiT%~bi}CsS!`pt1|4sbwJ5)9qoCHPpS>j@)S$W}UxxU1>3d5tvqSKUou<8252P7)AM!r=kKn;4rH?gJn4;w_esL!>Wv?&Im<{2FNwU1h9kCE& z1is|io7Q9nj#!9aGfxeV@QT}fGv@gQyA-fRZ8~N5u4q<}HCS7aRY~d=dgS4ATsU)} z{p@R)q{efs8qC3#1C3o=~C-JiOQv)-?tk~~H1+jjd)zkF7*X)u|Te-u!S^@?m ztQcYg5xbs^gck^Od3TJWCYp`=)(-3~aACCh4f>Md&@v=V3g2|G9MmQcDDLYzx6o&y zUk(|b>^H$yqS7>H`BwX21mTapwMd;S_rWhwp26x@uKT4{x%-DTTW)C|=b=sTm>NF8 zhdm9gr0nxa6yC$7*eW;SJm$8}%A26VqK)F)E_@*IWqrJu=5D1?4LMru+YYkCAtJxR zyTE}q{>=`{1AWC-28(i^J6Lx_Ce*2yHK%IWz;}SX-g$A4SK|fOdldZXe#F^9!Q8vu z${6{|&1-g(#L^Pw5dn6YUi=Up`mQAQ)-44e=AJu}tdsk5>v14mKlXc!Mt_eL+++sF zvbAQ~z00}xNPDdjljib_o42qg#-hb6Hp82K(#7BR(g~EuJ0s%1qOQOOJW{5bMZGTD z*5!-H3oY-z*bgRNTzl$1@PU4y9o8xSoKPk6lPL?x zHwY*LBLV@A!k#=;u<`98W$Akw5&rd*AKowuzBbRfkR18->fri;{~G3^cF5dd!vqojQY;0f9roAgLum zt(Iy&;jf^?AY&=c*siT~pV4;{GF3fNLT~aFOjxrs#=2$CkgO)OfgQp7p_7bbpY*~>saFca2 zQ_$+&%(2@uHFTZ)Jq>AKcN#K@>dNjInw}wN9gg@xuH#EaVjECtgWeu8;`M7y{7#3A z3?-wN@3Wa$9~K*uRkU>Z#okl+w=FQ?R?2Hm&RKNLnAmO^sgdmU#YJFt;nc3`)e6Vj ziiPy56nwxoV=wWu&_Wum|8r=z&q7OnaDV%3DV2HnMFn`UzG4N3E~^~c$k<=d$xa}2nZGD_nbzdha_ zFT|aG)SWAuNpExlHHE8_6;%h{RhpP{W_6ktr~qU|mvE0P3w=7!W3e1f=TmIQqBqWd zbzXrwSeSoy1Q~2}5*dN2rdiou@ca6`l?4H-|N7wBIMOsuZoZG$Snqj9^=pF7H9~*M zZKgKpr%64FrV8V7`i#4M&EZfAt&xbe6%FZCDCmn#7s2iEuggh;yVIoVl*ALL1=Fv& zPOYR$oR#posOS47R3zc!!sm#&WB;r%hFA$Jqno}lmDNg43+paKBam?wy&f5}S zHJy4(7^vI0tdC2*4kMWCnT#)A7=~i@y7kKx&L^v2&fPwI1zhL#V#9OIMAjTE`ZFq# zJtE>$L0W|#(5?cpqeC+BQzBo6ls@~-Ws7ewd|!bqpvi3XWAUQd4oj_)EPqhtzeG+> z8j7_u`Ir5%5eDFWHa5$<;lX7O5B6Me_)#Ht1&)X0%UJ@OG~t@)ZQ70Ep|5QfsrlgI zZ|m4+cy|aG15$>pwThT71Pjc}zx`-~dEqjujHJ0{BW&VD1KwU{R<=%kJ9&)vR<9Q5 zu&}YEvoL`d@!IYzNW_P|vmZN+piC?ec!GZsO0w?tcO|IqY2nmJd09Po)uc1<+hQ^RJ&*;U1D3I|M6#=&vETt zs<~ZYx&HV%#0*<`w&!-nhH7CiK3j~0&xLB)X?h7&v?Bro^`*rHO83n$EEHXxfPst4 z#qB2>JSgDTh6w@6I4B=VmtYNg!X5`H0xAHoI)i@-69+y$c_8M9$2gr@;%|X`z*E#ox3JeyQp(-^k(W z9flqKelZYnREza_yuISZ$2T_Psx?X@6Q}q$ZZkEHEyvHZ-zpJKELW_n`JGYmH_Sz9 zSn-gF0;pJ#9=YBbr1{XW`jB<9iL}1|F4$=Nq#tZE6*Hl^vM+{%Wn|2hlPCiK)LLq9 z;`L!);%nc+%cRsDI-7lQEV&QXKXxc-A%YFTDESe2pbYnC?wfb`8f$Jr;GvA0VT>W@5)Sk(r6 z2c_*_Mr@$HSzcF4NDkaqcUnOx%LjglGP4RC0hmJev(=Gou95t!+wp8HCr6izojheUJ$dSlyYC%0R-T{ zxT-QE?$he(Ye!!2%Qnj z%8uh}BD8f%*Vq>66A)J@$>4aaWAy>mXsRZ0$J`Ry>3QOCVmW@7!DrNU8&zhNm+Lk+mfFKElvVYVk2fUw z>~Xn{y;6tm)CU`*{cj>srtAi@vHRaQX}35876eu z(!vlIC}vq^xph4fDGs&|gg_ZcQ~3#BO$V%r&_rasANfuN`qJ^jB9aRkBm(%ML`HM#G!WVq-04zxX2&f>O zrH{1_zDvz;f3E7Y_CFK3e$z)d+MV&6dFAD1_zn*6B^#eh$c4i7+ZjuR9L3|y_3j%P zQtNJOXv@a-1bJ;EQ9+DCb>%$F{ODEx+aO+glzmsfctqnby~Aahc*G z3%zf}Mx`n1+&!?E&?V*3kBp08#gqjsS4kGgKOrPUsXSIp(kYv5ERYpGR`}D|jNepf z5pDf)!O&iLy8A!0y=7P&U$@{Jf_pdaP6v1C;O>Or?!f~z?(V_eJtP5wdmy+3w*(2= zSa1)Tz%BmonKSdAJLkDGA1)twYFAa)_O({6T6_Nx+2T-2AJt%M$+knEbp zw*`9C`5DGNDg@#N&pWtyISR647#N_GB;?SbMPf;|F!rkBl9Pu|w|h@>njACFy}k3c z$Wu4;4w8FJ=%#ea9sljvy|UZ++>7-N1ZzPt3T`L-`s})9MrqwiR;}B3@WRf&uqPmH zG5W}JjHqa?G6?;rcKGP;a{y;fu~0sPUL8b?RFf6R=NPa=>=W6pm{;{!O4GDTI;_C@ z%T&oFXZB)K!^~%YQFYJfgI6tC!gU@OtE|c%kF_kcMx6^2GKXqCj9e+gQLUK9pOtjODLFa`?{)J<$?`~(mJ^dZY zX8{x=^Cs}uUVD09cl27bCa~zBJ>~RAaA3p_%kPld=F;Y(I;xUM+bSM9nrnnfLXLGI zciYANem$O7%F;8$DS2T2=9yDH9SWEl2bt%P@)CVYt$ zB||Uuq~fO1IdkMuMs^slcL#QorGwC8f&cbdrSywNx58Fas!5}GwsO_hSx4Q3cavb( zH8i6sP5p-4!!@E>@w83Ey2ZJ9>7^#cj23s#IiyfVYlX_iT!M#MNfIztdd_#%5^wi2 zz~9##{5SlV67CTVhMcb<)x;mOb~KmZ_Bc3nBbyjbV*&|zT~cn~(6VFh%%5z{rbD1q zVB7uV0ZfZ# zWA!C#H^atuG<+C=BuFQl!Ll$0>`-Ar+EzE-&~_pE)=E^g<5O;O8bnpa5G)+69}%Vq z3A!_CleO=C+?S`A9D}iry=D_9*Uw5cGRihTq9!3b2akblQP9b0FkA03LOx$>%|w_U zuHl3RF$`gca@s|J{-$4X7SInx<#x9@1s6TSL z)1e06>#=v@w?#Owe|-BI>ykj0oBH(co10VGb6Q{rR})>Csc)_FnYORTG<~bU?|#vc6lz~v)Xv_S@qnL{#^y^^pUHwD8` zB~Plx(-6t9HMn(?iBj?>=?iTBBiQR0>-FnoNwsy_s&H~G6xFQC6v8AsLsMmPF}Cd+ z)gVGGIBClJHLUq74K!BBSljiTP;A)jkWYsf%rZI{2W0(goNAG6i_LpB_O)m)rYu*K z0ae!K_G?WfN@X~1NI&+zH*`2pa%l5s%wjub?O&)9?q^0rQ(P56Y#sttEE0)GWGp7& z{kR?5aR|lSJt_Fstzv|7ASatluhFmrY+`-{xVNI4l!IqW*H9dQF8|CAbh!c0<#S}y ztU$s>aE8*dN*xy3#51B+`ha0Kr10vsgS>0ctB(g3=x>d)#53VS3Fra&hXZ2LhEQ9Z zyPw8g^7oTxq8n9}cF(mwpl*EOaqhA3rQtBSaU2~Icoy5zjq8~~NKi)8y~&dg9_>!u z%ujeHyEW~BBGP#@HB5dOaxrJN&8kUA1RtJ?mZ$Y6l`bP^Hc$rr@!@@?13h>PtRh46 zsr|^8{q5Kh;hO%|5u`hx^3>%Rp>50_4k{qMGn8LFkpfE~Y&v+=1%|?R~kM|pdaQ%HPU|dMg+W!kQ7f1 zJ8%FCu1hT>HS(84l8>1Qmw&*ESR=AxSP0?ZhkGYhyo=!pRN&6-*RC2^l0Tm?CY?mm ziDx`e*cGaW;(fuWN#NqAFh|K%;!lT(q|?QH-~IhQr@TAGU0vlnOeS`iq1>K*RV>x( z_?-_VDT{3``C)({-*1WN@D7v&}d5t-V;y>6I+$J1!(UX#t&f+EL^lvb-Aq zZr1O#buXS=Md8Z#_Jw-SEflg-kc~$F6QOW9Qb_E*t0ydzXI0RAF?m*DvA4Tr@AEtUo0LRH{ zc4q!($G2{C0?pcU0%OVjK50+mh=6jP}-aM|kphD-TmH(`n7B&{LtOTJyR#|)AOH|ej2HIb% z)-3CHMTzTp`rzZY`jm3cLLhn{%Cu#2qRq0e!1!$mXc#N|#suW7`^=<6pU9j7M8*pe zaX6%-Vj@=vDP{A{z#J%H3&Shnu2dIv42QmPW5r~Nd-eNdF5V#TTx@hq ziC!xq?9O#CO?l1T_1@uz5XX&or=|Prfw_6aCDpJg#<1>LU)6(W!P+D<1Q*;f(H_UcS#B|BqwP@3=hxWq_2}$Bu8MS-q%K0%@eGbhpc9*dc(Hxcp89Y(sqrl!6*lfgd?7(zlv+KX&%_lmfV%qK8@JXX z`V{4uX&5eW-#YLU_m@Z*yWzdB*;mIpWkU>bPovsyFP2>$qFO;t4N8V9wMJ2vy{jPL z3VC|bF@PUkw0R=6)oL>bonYFKx&lVex26)@5F^0o*)o{M1QPd2OB1y7EDI4vZn4u6 z%XGRkC99%N_w&n61=*-Cdk#%x-14TBU8hn!J+2gQ2x0xmkEJVsK` z61^>R6<4oKoP^N#QldCX73=V=Qm*@x4n?#HSw^;DBi~><#ai*+cDc3*t`WI>K+p;! z5VUeKCez_%Glr#}D3)obF<{lorNAduVzeaP3k_`~QTm}qmw-*wToY;ge z20iuMf$&7=+x4{fIyjYKrf{cgDSxBWW6byDl4{ojzn-mx4Z)`0xP;;hlOd^^N-*bV zgSO1PJ0k-Jm^6?{BPMKmHP0gU2SWmhB2($Oh&lJ`4(ySbro}_ z`^Fu&>Z*+k|1S1hk6^)~Hc9l)BHLz(gq!zs>!cy$nUAeU^7^(khuST(v;F|Ss~zkf z12)RyultS%M=AX*q%eFO#O1mB0D660I+hu;;Ab0@@ zM-X(|2n{8HslVA+{7Uzo_zRQht@`2mH>`3+q`kjV*Y5>Q7)hNp@C$;p2LK0&-%PPF zvo(2JMbkoD)g*ibvUPq?JA810{*G7{kHdsOaToPKM?KqcC9W@NYOymXskCy>jGcBU zl$-`>4SsiNA)O%WS&HsE9!ICG@@-mLV*z=bKqWv1`=!+5_|ND*<+R~(eKKj9Bx*Ry z7RS3t@+sp70iQPPR$O4_4Y2smvs%yNY8wCwSK>>kJ5JR`yk=@L!ZB9=AV(5jZLK@??775$*2mY7Og}#nI40XR(n+gflr7|&>mgJX|vyuhU;#5arEX!gCJYegT-9hsOZv!XsE`>UF?vf>I>deG<A&BSX;ruD&|G4Rv%nm~T)Ne>n zauEW?wjOs+#-Q&$t+mwV73!Rk3M6t0@sfyX{s3ka6y(-OVIg~SBZqqZItf7(&N$y4 z=p^+SckdCc{@cbpBJKxBTd27if!W)l=upz-x9nNHhKn@rQ4n;Q)*4`N?mrpOrJsLY zIK3D%IMp6Gx?khQvf=6m;`{FzCNOST$fr$(CS1SkADs)B;S7J?b;%nL|I;+b-%64H z{o8b=HjE-5p4N2ek@<=zOo%erddNMxT;y$Yonkd@$UsEqBl)80Ga#rx_v2~Ph6!dI zwaD^hg%8FDx#f}pl57Q4$eYIu9y%w<>zqDdXHa#$mWHO_J&>J7LWR0u%*^!U zlOsCjYr%M1-xRBNE6cW{R88?c&m~VuBKp@j1l{6H@K=nl0tWL%t_vA!Ssr-4@x_5y zf80*X`d$v0So00RSRgDJ-;_t{xcGS66-t)6SvP?1BRY5mBXs7{GoKUGdQe#OBwV7u z)3A*2weny%?XLTR)hxmJGTB_fw;gD;z3NI#>uNb}RIyI?tU48`Jt^jdfOVkdC#yy= zk?$!7#(nOFB+23jEI)QXZ0g1Q(HEqa5ih(RxtzS%30to1K4>dTCg>R~eOI|mK}CQZ zy9)n~5p|o!*@!_EpCoN@8@Ls7{JMuf@B`xwjFlsAQ3%1Fq6`4$FVnqN;Y8KA8J2ELPi};bw*Sdj)aWs}>pfKq zAELiy&UhEz#EsnR-X{nvcW-4To$y#2Y()shLF)R`sY~rv(89>;!e2}6Lq)2&SRd4L zoi8)+Ib7}OECjA(YhK`xpOvN?)^8m`5^^9bTNH`jnoaeM%0AZ#Ty@mB*tI54x2`K_#;!Hc_!=V*Wq<~c z2J<|*Tl|t=`;YY2ZcA^iHJ9wa?7b*>WW@N+UDq)k%A$*6` z-njwG7I#r@1!s9x0V^%5&8XdiXwUPfTZzqA`_RVuRlts9x{*WUxh6S|s@-G%yxr>r zKfDQ~r151sGFd~L1{A$aO9`%|JD})G0PhBn*rS-P+8tgg5=xu2ypP+nY=tPaZ1S%W z|NIla%hEHzulD9*J{ZSi1`%PU`B9X}g15-9DRH?Ltq$3U`_M|5l!xwUKbBy{%3IWY zO_y*3^XNsTItfgIS1vMH;1BoqZ9R$=n|m~$c0^@hDHG)BI;&k;y<#kdxG0+d{efHS zd5LG=iL)z1qdn!`IF5WYR{|)EeLF{Kdl4*g3rG9I@B<8qq&FCW$Zmdn-Qf!XJ`iR) zt{*IwxKs(ofp2@?$QCfXi~IPpS22!?^9%Pj|6AM!qvz+$2RY^|W)iag*T%N3AY={_ z;}ka8srHlLq8ON#KSjGKyJNnC|{BuEROH-iL^Z6`Wo2pZ=iL13jyK2o~ z?q?86f2ru)X2pVbiJsr$%uqkUt=LDmrBh-j=dj4rw_7Kak2-lc6kpUfOcPV%fwb)ej(q?65CD;h3NNjJ-Xz%l&L zCyvcO$L!pEJe<YXXK!Hn=o;LrY((rR}0ZRnD|CIn%4RHOFo$kLlHGDu)?>~OU z!_NgkT+N@F1AyT`IW^s_9Cc`blTU5&Kh_^S<@B`nfQtsmC8a*Bmb=v823Qb3p4jPaf@2WD~nTKrKH+XQ1HcJ`h$EPRyS!m z|A)tn=fvvp1u48&(`p-TpyVM3Hd>335{hM)3WQ-nvbH+&?B&nHmw~h1vWjU zSK4H_&L~#FizNpuNqmX?o6AuiH#WGik^nu`b} z1^93DIhSGZknQ8d6AN(ga&@L?QZ4w(9!xY9?9>^)mpe|_?n-lEx6GYc_`rdC$>rmV zd%OAO2}?3Z?h{KkD-@#W-?Zu}SHi_JngUSQ z8yrh3o`B7SgE)rI1e~M%VK+)`m5nd@I1p0`2pU&#exgiVY}!p-oTToDzZv5fT}XUb zjkZ--Uo*A3A;t@!~Y6*m`vzxv-TAmZl% zKv~=XAxn@$kni8t4{`JI|3i6xT0SJe&CUN*y8o}$Lp%Ue>wlfPO}dJSc|ZhO%lF5g zp>XK|juSN3VA^C5p3=++GPG#;ji#C&q|Cuhj($(cT1wgW$?3YG+unlwhfCMRpr%5^dPHrxfJCQ zW5;XfDI#)LeWtZZ&FP-&?O+=E9=e$kBzi5Fh5mcMZy%MOgR6i4MOnIU)6KXvI;&D! zmco#osL2JoS68388f z5N$Z>ln7Krq$4xc!*$rY8%H;(Gq+6V1+~rI5d*(<`U+OPGfp8-a59Mbb9LSv8fKKg zDQ9i}5^-l9(zoh2ZCAbaO_FlH>EDLT z-ff0aeOF({xO*Sg&z}J7XfhMkputgVXmM_pNTWGd%&=Zi=+YxqM({gH1C{mFillG( zJHlV14PeQLbpOXhX^r|o76@ard~9Wwy)h>ihK50|5x09O-nSQ;lI`Y_AJ6zJ_XY;k zcXB+im7=-wU|dCu@+BUo7bbC>#(amUS^K-$@AtDIf&ez(ZiZbg@jnj6 zDRIP8`uE0Z1;%uKuYQw_{CHoEN=czkr&~Aysk^R%Kj6Ed zHR5D5)+_8&E%p%u?MjdQn-g6l7z0Bq<$6JcYtwU4)pQk2c%|KT<$STxDOO)DM=yc< zEsG=0bn!YjC z)D;X7Egq9Aq;`g1?lH$r?}u_%R>l=#^pFqmHkYhT1hMUvA8mR5bmkG|_NkQjO+E^R zJ031UPI#P3LN++^vCZ!rO*s{^uI#8M^w?#QZA`X_X-cNs+T|tbt7;&zd@r&2GF&^uBAmI`j0ME?Gua&r>j0&nDqxJ+ z-w&oQ(vYPMdp})X9d&0eP4xykeo>UwF+ej#k{kusL&_3Vub}hPU!;tn|2B%Ip&}z8 zbLsc86OW?h&jEe~$2GVC8kjK zWpH?37en8QOy?2}r2%}HXwdFonl&b04Zc*O3eO&WJ8NyvxZXcf9xoh11fcXjC;j~| z@Ub*q&kbs6K6V9)QFT6A-2L_TzJZDO-@gCY9hxup$5o{JjabaE;$T@p-ca(|Mx)nS z+urP&%db66-}BqOm9x{c0yp@CxC(XWORCjD(KTw^pw)yhOF1tn!xUjjbZ+I1<)na^ zlAjnl>Er7Mh)O>mehix%eC?1m*c%TDaSOQrjdR#1Wem63ekA$La`#7C!g)y-AdAS*$`(jMGvX)umSy_yOUnG?fjY$80r5 zxLF+PYx`+CIL^SRNvA^d%|0dc)|##pNo7W&YvTn>%k=ST^J8HI_pEM^$_YYe_CpA+ z1Jb%TOr*NOB^z5a&w0bZZg-$&sLu;MT|sA?|CzeyOpc;>Om~TR#U~NxIU!0!UY9C7 zuE%#VQ@)b3hTSL=c~oJ>wI|!>B@o)EqR+W0inO9pjXCcG3>x6?M=bQ80g#h?~Dku-<_A&T&*Nrg3S=(c<2Nc9cNOs%fps zO$qY4bU|Ml(rl|=(N06A;h(z=+U=WWy?t-J1>&uuu1)Y%OS7*XFlFu%<+5cI5UUhx<(rePWQnyWm>- z{K14pGM1D?%z4tLo|#Ta^yNlF#UZ0p%e7nk=yE^=$UzN_}Y;)_iOhi5}j|2MR2#?Nr%$JyI3zHAB z(RR+a;V>1!reNg-?7s#m_uny!S({mojL+C1MJ{hDjI*}`W&axgw$KkHcUe_5^tn{0 zU(+hmxZY*b0Rtfqs zo{^~9i&@r=&Ac7hcB1;mZwwE*Y{L(o{k<4ecjpLx#)fo&9BGPO{SJtLv?B^WC)e|1fM*xwst_2 zHv1Ue5UIWy>E`2--_ZkKAgS$SAGR1EAP6?J&**9CLpcRSITXbT&#nNfuSQb>^Su6bZ&@ zEPA^xb;_BaT=xx0SsJ_T3u%Fm`l*WW*S8ov5Y_UJdl1?$gqeI$>8KqLlh6#Tv@+Gi z2#GSSm`}?;4ObBm1qTZC}5qEo0DFp9AoZ0X=*-N*_*zLR=rl7%TVRBZ8T$Qfn4negf z5{}qViMJg{{(fF&dxh~xu?@lQi4jt-Bhz?eMl4wHH58?ed$*aSrxcfRkPga2o+}H^ zqR9^RSw!akV37gMTO>n!TC}IOm7+#MH3M@0;<~m-TA8{o$o8LAI^{=jte z)GpKI;+Ip(&G7Ze{``D!l`K1n^z(J=V)HR2W~o%8AN!K>FEV36U&Q%16zCHJ>?j26 zTFcr$t|))Z8S-ahV{Qys)WXEkwTtXvBAm?$+}e*gmT6AAo@4z`#zc5y6!FKu#l|D( z({5YpDl2Z}2l^-{458|GMRjRezmY2-N47PvZikrq$bkO5c?n+iBdt)n`EkYZs4dgAd5s{8_v}X{s{A;@TeH>HV*NcKMjBm*`Mvkm1E|#^W&@vo zHsKMaBVuE!7GvUJ-3A-U*Iz3e%Q)W{PG6G&SbaShH1Rv=1Q3GuV&QnA>TGmhj=(7-Y) z$zNPqiM!MN|V|A#iqb(+@0ckL?Qq)shegKINGW_dhc z=^#5(ce(uMaQ+0s$*)($Nx-MqKTMM=?ny*iUU(IQL!GV_)qH6PEgz-`F-uE%uM3YX z$Wgs=qX<=F-=&#$j{R;{6!u*;^4McjI?GV|nxAz4{t&0yd?H4~LH^i{URo-|0GsqJ znNE{Q0tGUoz3K>AwBy3@<6|stu}9yd8#B}RHZJrT3p&Mkq+kRM=Dc`ZIoZ(bQJIoh z^DoaeQ^}8dp56FHHd$h~zr|sLSh992kL17BrR)DAz4K zD0?vk_x5>tU4=75sIKYxpfJ#4?XvTkmyYYmag%!JOS;rO@15Vn1!6U#TUuk+f zh}r9tzM_#)p+7%BE+Y;zi-UFd$4TDw1UIQ$z=%vphCrNgk=bZcam3aj6v>)6Q0NO0 zOb4sRsa|jGF3!J{`D@zR9v&WE9xHy8orMv*)zvI3)t%S=lP|ALQ_yI`K~m9lUsjGA zY$lzq^$ZLQ^z>R?w@1FySla;M=o=e!oc`xq!>g+WCpC28APc&@yu8=UX1C&ZyY%0X zE<>-VhfF)X5tvE6Q^Ud#^V-_mM=>)qGk@*u&@kP!cXr}X_MoRevPhxPLVEA7Pt3PS zUmG1umUT<|YaKmGE!QST!*Cp1(-z6jD8OCJt$+8Qsgo_JKN&CR^8Dei$*KM z(ca#!TWzFoXc+57Fne~!9gcX8KzU|NL>q3)v*P4cC!amt01uO}19GK9W4`?70vUF5 z9k!-4ZTRWL-ku$Kz0g>fB!%T(4Ol9gYjJ5QH$UG|O`NA6ywn-Kf%cBkRpa&vus z{bWy|r!jf8G~%DXr<6i7m*6KNB1$VJ`v&ZZe>V~V+SuCK8cAn$%>B$w7X6J+P8W?f zBO{|g)NiMqU>XL4Jr?YayKr;A zRJX9V2+#e!e>!%KcMc9;UN|qenhW;0XqFI^Cz{>flm6LAIn}IfXh>Cnp1*G8hP-(3 z0vH`im*|p4aD|Dg>p)z=I~pydFLxavgFfCf@al&1e!+GG;s>$$^-N~;h_GJ@Pz|Q# zPe{m=QY3umS{P(OBM8g)pKm?fUN>Gqdx-(s1O%y??v19lr&?#e_|St#ML42zuLCn9 zln$;y8>@>xV@iwVHXrkwa_jC90J7AE5!vebhu9)>F1w|~2|XKyF3J-S8#z^&8(;aW z8{w_O4-exwja0!v$9K8!rMR-CKZ8&COVFmof>He#(L8#D>e0XY&Yxen7RbepC%BS- z*MevdbUG9DDOoLk3}NKn{<*kVe5DA*QkDJ$5>=s9s7UOKAj%S*h_PH{=is`Spg|sD z=iXj@uR@J_p?-CBdYU8^NsOqx5r}*>tpLShpVOrs(LtBQ2oD1z9kns0Eyqx)&{>uB zYrlI7grO!J6b!#+oL?u-WZ>sg0Y{BqUN)`;u60s29jCR-{#yes9dpCEb)GK-ee{oX81h z()wQbt6Rl8v?$}_S8Ny|0NY{ptKYmAFgr`g3d92LPT|smCVflk>4b^0O6TEWrJd}` zq?s@Y{vygwWk6>BINcd1?M9*l1+tvy_Q zEDTgSYV|z&34nu0Kf`Ds^>9xxx;)y793UDAPk^ON!`^q7dr`R5QVQR$@e{WEdp`)D zz3_*>u270LhjaN6d)Zp_%#kZPw~9sWthTy@&EDbJm<3%GwDsNawHbE<%* zQ!N2axj@eaqYur^5zz@h%|OW8!9sY#@MN`3)=F((^J5Xx!p+zrOZ0J9t(#QVb0Ri? z=^-#o14v3h9(RsNFU0ns3;Q2^!O!ck{2lJ+(e`3pih^6MPq@YJh9d&REeYqkp0u_* zbL{#dtI;mz;sNfYY)x@I&e<xinYGIUVp_NL;&;d6(9Y#YNJ~0i7uiKe@Du96sYJBooU0b&v&;MgWD1cG;E3h{=HL zw5kR4Lb+F+9AKCLn8r?Ju;{-e#!qGSXmdq$)NAMbJ;$XS0;jL$_wIT*l{DJha@=?c zXXxev@`zJdK$c;Bhbv-e$1f+6ip>tNnPNR)W5VQ~FtZ>GUFfp@GYh_ThCc1czQcAJ z7hYjuKNxKNX{e~$wYkdTT-Y+b!Ix^p$7ZC=2F<+#?%|Yx(oh3M zB1M}8eYWFD2bJUl3S(kof@NESs_X_(p7$W^fxElAxw#BLPSS?kq}6f8>~sM~2=HM4 z8xGm2!{cK>!IV2lqtV7W%vS@StBl`C01EB?EHS79kdi-1l+kE^4v%JX3g=H$8Mdse zuXP2jdAWol)(!Ud_6n}~Zyv86x4)J`gQF@8?(gqQ;YN}>I5_N|zC@NUirz`DVSK#Hnj7c5n%a{5_Mk+_%5ksM<{KCSqi7GY`pb~A7z&|$t zjtkk1vpf+h{w3d-3K+jP$BWpWv_#%I2ck6XV()-bh=uHJ-c^%}*1zZodJJ@QbhNYE zySZsS?)BNYyd;=JLXbUv>x*Osl=H^V)3f=@mkQErpgahr^Ly@D1wa(l;^Lmy4q!_G z)|2_GMagBYq7*|zLqLlR;P|QM9wfy_o+V83)x5@n3>AgQ+7qwryf>DZb7yAmXL6{ zb9vF5;vi!3Vp?LR8X6ai01CUdv9YnXwzj23@LUztUR7Of@Suc7n}e!Cfwgjz?L%Ui zWrWlIt}^lF@BK9{wV08Sk<6~vunB|r`}|k(_(I{Jc%(sWNVU{;>(WtmRh4n4KWX)S z>I=2!Cl3;zn(FJHEVe&Y0$rEq+oOgpPTJmp`GbZ;(t@sP7RlI@$s(a(ZKjDs?<7!NGe*9>(HocL^1wBmJ6_j@%6kp zxP*To+HX|PVp_EY;JEkvMVm(A%+Af-tXBsb(;Z(1pz%Qi-QBm~l~+@rC1_<1y-yn1 zc^#4L&wCL@EP4@)Vd5;WL}@GTHexwxC<2F|s!pI#kd#UeC^9DDVH zASI)u?e=%@y#U;-^(?jU&ldIL8TD6G$`BEM+HfW{sIvKY2nCj)j*ia5!^vYoF1at3 zP>QcKJ8d{gA#CQbX;IDJ^xO9EFuE4~UKRO?i^8)uuM?9V-``SbU>r&8!}7&50XQ1M z2@q2bD!~FwTuV#(yhGpI2>QKr8xId{Wf~0HP{!`zQFdaS)BPWn%lSSLAUdSE`FUwW z4#i#ss;g5#!iFns22`7J;NjpEeZZtOc!lQn*EJaidg?zU*>Aa1iqJR!DNBEhX0sd$ zDrpMmo#!M*k5Yb3UlswX+MdW4o-7o-LY^s?*V5>Uya zOfqjK>AVVLz>2J;J1eKuV2)Uc~s-ur*eFyGO zLdiu&m)GVqBSoN<(dP5>p+lFCcl$!dDAy9)h;mta5rG}u#j?zZXRpEA5o zRsq4YQF9WCM*9Z_SVMd6IigMmwU5EM~+XG{XPyT z6M$5>*G_;h-^)#Fpb-=flB56i^wca+w4QK&f;BS6G#>EQ`cKDw4-dC=mEhOW)%~$Z zE3+A56;`@XpbjY7n3)@OAE{{T$$#3xj*saPe zL(@=z{wYZ-2f=4|{`HWC&d${%&j8?ZvfAiBZFHIt8G#9AgY5&2{Jkkzsu%pcB2Nk_ zI`2!M0`$2gycQ&hAdhpLH}ee`I=zyVFsbk`lxhMRI9e27jJ^6;N*g5QEib(tn+!vW zfRLiYDfy&ZVL5q*!Qu3LKieYeKp{nKfmTT{r0A4Nshf@eoW4`Q527=ih^jQGkMV3S7IQPBfwwL#VwVeWmh=H+wrf)>oQy zQ2;;l_SUB(KPRWxv||u#;sNl84z>R#Y_2nOX71c!M}*VAp}P8XTWD=q$^XNs6v41Z z&5rMb@0&!Mc*WzDv59D|o|>>VVr`3tp?Gq^8#mtQZg9syk18t4L~A%T90!m?zf`@| zFh+J{Q%r2AO$}8M@s$|uhFgn)3QY_S4p!>c?EgtD*NJPf-L&0P9JEaWxDxC1e+J~C zx9YeoXyW@eD$h)3-!C|(7h#glAzWajm_+n|M?`}TjP^dBwNPK%6?tD$KF$*- z^mp8Qf5LSks^R`{X}7;}A0B*0FflPb9@+`OupgtV77SVr?yY7C^c#X9xXK$> zaaep1yo6}jY&z^asU+8n>`OIeR|UcYMDv|@Hh24*pQ=>(ana@3zVA@BRb_d0H3X#+7UumK~lF^*IGxbcJ-612g_G9t4Kll=A9`RD8TA! zVglO_+@3|n-{PTJ+Ge_FuXZHwQjx(?_w2ysWDlwv!%`gvA3H^1Qtr z%&48ac%Zf%_9O@?csRSEFQeK5D2NiLg46ak74)gl<&ECEi@R6{Y}^E*T)+8-8fdE! zDeObx!RDuZ{Fk-(A^F|7bT61P)#Q0{71S?$Tzsi}B~Zl^*3HxEMPjSs9V*ux?F;nX zSu(6Y+P)K13b*2q+@Xa>gR3g(`_XKxO|=!EbjaYL!NEx1>u{!+ojdL5aC#HIg4fY0 z-2?mXLh|zRotQHq1`74CR`ThVC*Oup&VepFUcx8IWo&UJ2T1hKg_EwvK+k66C{JoC zDyOTpk73kpsUwc*xfNHNpVmhBfqESNBPdx94|iKC6G#z+F9zeup}p?(YM z?_UI{OvPPoqUHX5P(E<;@$YvB%p` zuDY0zE1`Ct=5f--+W>){*Y_^{3Z6TyXS>t%h8#36Yq&n6AdgOD!Lq!P&-qfgtrHxo z*TR7(gkjhqUm3b2;JgnApRycT>}OwEh(RA38;FQmcH?ssp>w6jne}anJdL7iRg0>M zvi2yFf`1C4Zp@&8+oDAGgA?gls2_EZ+xJ`B(5mwtMzO8vaSED)I&ss=pdz{;ifl40ueswy{3IB+dIwhWrbrS zf!kT5qcY*QY5U^gmdMv69-?fdV~wG>ULqg3Z$vLRpS;n6vNvC7$dH7DdWS{ud0m5jws zBmdgo8i_l3^^U>zqjRad9U4uYb`Ge1^^^LIB3vA!%c1p}&-!Ck0%}HF*l0*B{{)_Z z-e{9f#+~KYG7fn~L1HsA+imPoPwvU!GuS_|*ws2OcjbCCM9Tu--TeeGx_zn(Wj(DQ ztCqeca4n6|a1fGznE8GWxM-z7ILfB2YY{yVWidDKJ)Ov6wG#HJvazh@&I9pvsZgPW zXyfv4JV!wKq&ze*k3YC2dQ%h^T9+32xVrbkGv+*NUSTL7PbjNSSh=tBY0soAM-zGC zA4y^cLVjh8>-;tk!jEE|TDFR6l2Bsn!*7Be7OIgdD}8njo;{>Jw2_OvW|dYBmsVDc z%>a~LKO6ZE^M;l&;!>=f_6_dTX>?S^V6H^1qzWF{TBqgg)f8WWG&(`;?T4WZH2D&Q zqY`8-o`7=Fw?eb0VA}<>VP>0`nLFrF*l^DoCNyhUv)c5?*IbRhvbrkZN1hKG%j3)(IkEcs2bpom%ZpgA zc}%-ROFI%3mkM~nT>y-WPbA~NmCZj)elT~PDa#i2#9q?#CFksKIYdxoC%^|78=^1Q ziHjjbXi-b#1$kQ%0wPS!V&D!a99x%_Zjtr^BR-09NaBy+k z$k1CNCs+F+PBJajLp!%~X5~?v53PR-@VegGpR%9K7W{>d6zR9n(Lvz94sEXZ3%oq3 z@?1Qno7=1f9@cb*H~pg+oUgaCI~-vz>o|l(eP*+p4>{^H4IrW}0>3;|eTPF`BCx## zF}%PpGRE_Dscy#3mif_N3NGgtZd9X-xVfld`zZ<7aJB2eDz7$~!sc$l>RiQR_Bzm3 zw3p)JggEr=24+go)>c&2_;vv;QaDWcn{X?cIT0KGUq`c^)-vJR_PQibYD0lJV@rWI z@T_6O+T@0vm8eaz)X$x%e=kInF#|8huf{1(qI}6^*HxU@<>M%2Hf)Gg1uL zaw3A+@F_(L2yx~SspX-qVR*mkJgj}-W}yRw`CB54$ikB)*716hJ>GrayR0puP;dDi zH$R?6&W8alRi{YUNJ^1aI%nuBqD~7W^sQpSK`0 z&U|cspUZK=rkFd(zUd;pmoR{E;Z#Fu;H-WDUTZ`jhMQ}9hXF{-$?-@JJ|c`rI6J03 zk)*@1;;FdRoJhAVe^OZ3V`;I`+6|sKF|eHNiTCMSoVITE)BM5hISQhDXOb z;9|w-4gbp=bi~UOVevfe&rX6&cHtxXn$w2!cy_9g)#^=l5fR-NjSl3`_~puLMkH8G zp|xOC)|Yqm6wNp7;l&t6rA7!+qV6w$Q02G3OP)CTLKPV2Q{q;cZM5Es1 z+^58(T2N$2H)LNoJyo9?$(e?r59!!EVfdZs{Y_N?(hX-W#!vO*TZ$m1(9vKvXa_;j zJPi<%(d_HCJd-0lQzN=d-Wzvs2^^*Ze>|ZX#!79v&J>wKiz1}))<-e!SYDOs<4>Yl z4tqhfamKXtYg!9y+hL?{yG-fWgB`mQB$2flg!# zp!n=Hh9`wf?_O~AGJ`qvkVQI!2OLA!vEDc77OJm3=)5MMx{%j;kc8LzLYmLjm zA?qY&1ulvlaV()XiYq}MhzplawUS!(j`b=+L@Sbm(2f?%hRZOrJdz3n*HJaz1BH(P z9|BN0(d6$S6u?0)0wC-Qgw4X32Zrr}z2SkzSOEE~Xkox-lK~7lK6un9l8`9Te?KFe zo1HO$Tgb8qSt4cKU&zA3rQ;(z<+%uAwX(y3@9|gjMGRTTi<}G;A1ID$#OZ{17i$3D zp+Cb;rJDr?_G9U+ppej&363Ts@EE3gj3Ssv!UP!z?Vx-cN^%@`rm8H2mQAuNpDtoh zjh6&9stoP4ng%0b!HFMeK5Z71arl4xI6!Mu(16o8r_}H8CT{Ivfz$6s9a<<5$WR3S zolN@^v9r=-f2EL}urT5Dj?8Qk?}#{YZ_nB@laK0M$dXj!l)fJzt71WyHcCA0sKw`5)PYf?nBM*$;4 z+~=a#n^nfTfY4ir67lOP@FqSum(=t z+I@^$dWmxmML!*%Q@yx5U2T~c32p!4LI4xARIOiy(Hpo|DSG0+u<9TS!EJFXI$Ciw zY|-AL{&A*ZjI9kMC{w?GW#;_YXf8|8UvGy&wm&|Okp;84Jpe>36KlamnOoJX(HF^n zaLb#ULy~r}e)#r1{=ym{Edgl6gZy%~0cnLlPKrE4b6f?xTaGW|2r$fxzuS&qu2l1v znVg}XsaA1cQ}~VfVG$&5f6iz}9m9$9>P?CeI0oJ|hpVST8)jNfwP^jJ;f@r%MJ3ow z0K;{ajKj4{tYw{$Qvv2z2Gt^a`55M+&^ za&%A@*dXIsbO8i@+pzg>gfPtCL(Ps~A*x#IbU!Bq9+EM;%x|e!D0r~S)zVmkZP8yB zfGP6|+ZFstLfD_!%C3rV+SmPwdtA&G9{KwB(!x^X@+#Kb5RK^}i&x^)WC7O>H8d?< zE;=X)O2C6oDfyc```uFRjNARD1x>&QC%AE4dL4+{{%lZR%;2)!q?5p~(H1yP*dcj8 zK9H=uzn@t-csF+U&Fz=NF6w4l<6{YkN$w|XvP-;#2L!CJ(6qY$GozYcJ&d!yc65J` z=_aU3?px#Iz-=ulB)LD6{3C;=E!I1j7JB~YZ_e7eWHGbdU&TIJ2!9>rZWFUW`%5fr zd$f&XhB{O$!%UHO&5O*W%hQlcHsXtD87}PZnnP$$fUmdw79Wx2eSGNWB@(Ct?;B1S zAka5BDA##~N$Ao+^);_0lHTcQf}blVDa=-ME#=hB6f&!I^ByL|r0BZKFzO7`H$|zt ziUSDKD$F^QWDWlE#yjHVa&O&V!p#^c z=yqdH>+%RM!apG_zsTSpf4V(e_Wo z{%SPPV&4KD7w9f8o3eH1!m$B$Ac5%#3E~Y>c;2h_{-$y4lUr%1V2{Bt%gB0gP>9WApI&sk=un|X7`$n++U>}6Zb1*U3&aR*U|lIdz{|?-=*x!ts-IS?0JLYJYgK`MczavO5q9wn}CM`HkYV z5ugSxtuKk2tX*Aaj(!1&FSFf{9WSgLB4f|JQNlGSkjlETaG3;XN{?n_OcVoe^7gt< z^|4djp|yrb%dF6Z@+gJiB%3kgrc4PNf*KB zT6$mR60ZtEgi}|;yQE^rTvH8W{w~*M%TdQd--IgVRh%%VM+25(MuXt0;ggV((DB;( zr<+gA^nM=xv@?mI@@KJ+p}`&5`_J$O=ag<>VVL6gg2W&& z%aD`l$b?g)CMve9?#(R<39kU;vrQ4&$pN=Ru0%(Bqz6BmF=2~fDo}Ko-56X_q9g)mVqju2!7jD5kL*Nc zG^!tBB@*!G1~8Bvd7M&8HsPI7%hWW+6@BblnRUuBq3>Zmf>*!rl;g`#G+zns!6$h8 z`Wn(wF*EVB3yk!J{Y(7%6IW{jT)sYs<^@J3JNq|p-po5$qi)>DM<4xXnHHkMesLV)k;R?{gZ^Z%)%o_*@$Fr}Q7Dq+$fO0HwC?177VWpko|8 z&ofDZbHU{Z-)aGVrM6j2U*rX5_vXX>M+~2e{Gh*&J+Dta-m-+xrvNJnsLO3f2qeh! zC&$O1AE$!uUg(h#I_zm{{AD!e^IuMEyMr1dFMvwkRG#NSulGR#ufwolM5&=Ute-EY zy2)7xc`B#mzB%r~FpCzLV|T9U_|g=>~oUAV_-q`}=!%AUxUu8=t4Z z^I=Xk?B&`a##d2(!LTli1#1s9%o8MW2?`6(ro4sM=|d`OwfBg&5Cnm0HIa*`FJhxk zR|0`-{ngb~_~A`xN9^4^1i0ABy~3eb+}Sb+GT%N!+j zwmD3*E%DEtNuGgtmQ@sihILUyu*jnL3BDM$P8^Ywk!FGmzsx1}0W4G_`=6E~oK(02 zTjg}WHQ)*vlwuP{&d$yN_U5@(f5_LOo!J`jr&{m>%e@w!^&Oj}V>1I;H@8@uBauNH|;oexfH8yflqbZ|Zx2_^UCE?Zb@Yh(@s!U>Y)*vJ^)kSJ$&kEra8%<1; zv<$4Eo6jvg(x+W(=<{X`l^c0POCA%z5iLT?%*}23N#_}-KL$`Pcn!*6_;%4WcPOJF zt7xv|H8t!ebB_~LNmQcS$v`ge<>h7Wqllf0Z_8TP!!cFW<1vX#X_KiLY#{z*xzfMj z+7rwBmkT1~Q_myqjfzNaVi;n?#Mn*l@bQc(+gG|X`SRG*3g&WUx2bVPm&)H>mA(MK z6R5Jz$HzAd&+(Ap!+Cq^Gu=yn>Wve$?zded`YhD8Ui|l!91);I%1hsY0t*Vh7HC1M z{kS)_3%Uj$0pDGHeYC^NPD&njM`g2@L)a5{E(A!=2acL;nu{u$e3&0$%l30iyQrr7 zARy!79{?m^vxGqpCQfUu;1(RiSW7THB;C`R_nyXiY$zh>q3Y<_R7N`i?P5dwP!CT> zq~&WWF4h-r%8dw1M@Q9;>RZbk)rc~+-3)%ORgHoXDjIJ1R=pMl>K?n|+h0G9Usd z5$7a}yf&;bTuKCe?XzMD-EBvB>wNsdcXpNSy4ZLZTzi^rk^?b%7_J{)^cUW?oP;8n zC|ue>KbuRi+LKV^{bebfc$u|)@?-b(@K#{Q#J=HT>6x@ggrFcpFcByN$8g^6c!2gR zf(;4({=vb`qFLcG4aN7F*6D4MeLDcx{H8U>gw87yyUGLin z6Tfow`Y9%fhMlOhW2ii}#v19X8zh9dHT*GFB3ybF2A#x~L5>v4i7zwe5I`X^yu=_l z<<9-#-S3@f2pf0wwQx?7qRkWgHw0^c8M}2VeM=xtO^*YWxHWHu@x9U8^A!Skm1{-&a!ML!tFGflmv;iIA0IgP44Ic{#Hk#8yz@kSEVT@q!`)T7zZQ zv)I~c3)rm6+<$0;fU81sqSS3k#Tc~jWznM2!$rCguYHKJ+EzXZ z3MsiihJ~O+{Q;q&R3y<0CUJup26uzM#kQMMWxb&o@rAGRRQ0f8JHnIMKs< z9QjtTRLyXtR~f#xq&ns0@9!8xNa^wwP=r5fEsHEj7&ZGS@&g_9HpVi5#Ylx3#E%&) z${JKn6PK)ZwL2WH31a=?z z>_J~ZyGhS8Nwj&hAWuMUfAS)1K3b{(O2yDsoivV`lf2 zox*NL*}L?EhX)@gCpFViP_OSG&s>p&H3?W*^H(><5ncsC;|IGaA@(@UbPZjWa;->! zl3F&1qOPb{NAl?ypz{3V7;k~$HwR+K4F^7aueSWhSp&E}e!=wb2huo8nzxsggx%VF zulE7!2My`sPm@0Uz}us5=XkQ%I`HaRVG;ETd~C3gkRg@Fr={$WQ{$TFw+qUBTGWE{{xWIljzwhxpUI1LB z-zIP5m8iu04IBxYo0=lXn~k#sslxaZOvst2|7E$A7b(gnW8DEdaA?KtV$a_uqoIz~ z?yZP_1ZgHxr?3Hd$quK-Kkw{3rWX{eM&ZH$BVcu92>sn8yP^42NKfAAyaCQZQ9_IR zZ&vMom$vt36}sqowo2R>Iy^ef3zL$;%JDVgZ5EApKJVv?!w2Koq9WJM-%E=b9S^7y z_^@8+uB0`utgeQ|6-lzryLox>bG{3vAug0(jkGN&fxchlOh!v0o*;F-`Tm;hT|n?q z5AZu3AL=dO=1l=2rQJ{l9mwWF-N>#35>n9x&~qXUl3s+%+?oQGcXlj6P#*~9q_O1N zf+Uoh6^#funt8D>KUUrTLz{(gFyex_DGstX% zqoZiuq29Da@P&)v)aXs@aw&04@xNnc+KW~$>gJSyYmP{sKG9OZ${n-dg*n9<5xj%r z9_qy;q#wMw3QP)g#>U2eygNB@c%^$7W(Sw@5qIEStkO<)`9E^M_aN}4YGu4o2mJc* zo*BWqDp|ev4-0Hszbcje$6^m4l~q!MC_rUd>W5Q}AmdJG%YY?eXFx5ywRu;oHN36Cb~w!G!Pnms+<8p5ts*oxNGKlYI6RHlc`t1V#u) z@8ka}y5+q}#tpWhfSJShvk-=|b=?c;L_Xi3)LbqSA_mKiE_xZUZQDh<;Oqwx5fjgt zn*Weq+JYxM0iwKR4!}yH7~aaX4<%7uHUXA6U?Djk*^ps53QQcVu*dv@9CZ=@xo4M? z(+3UMf9t74tQ1i5+(l2xlCZGxdpJZy8#jFzTd5nLJ+1*WLFtG2s)zW8;z=9SECvds z6P~J@wKa;x&t?l=omk-SIuY~iAS$2EGPkxF8SxOiuP!8M z<{<--o1tbD7S5s{iJt92$`9vytD4vF;}`MjN7>uXd#CNIr`v~;G+XTkJdrht_;jsU{6S<=W1MlDLINxkl5)v9y@RG7V#yPgx-0=L*BA?`q;A6c+*2 zCff3?Y)tosfm|poSW~;ZA2tv^hxlM#&3&b9N+T*jm?rE;N1_plf^hBh{w}@*8sL>6 zWOAs_j^;{k%{oN_IO)zZCuiG(hX=2O9` zVH`)I9v0B;VFH6O*ZT)43c(hVbt$FOJm|+%3`^;{S1=A46Tz2CLMNZL<%08oTh>+- ztPR&y0vUa+U_t`L+gJu44b21q$&F}|tp}pt zh?^@HY}YVA5Rr`p3YQHAA04oT0w*sl{JtY+>DPJt?G!D5#J&CyOqG92pnL!T{zBbO;>}k8)0PO1-~@$Ayd=$FkUG{UI}1DdL#G~ z$bE{Xo^uejK_utg!ZxfvlJ6_yE5Hy3)&=}O{~&;AHRTMR$he6gjz8{)O4FqgT{(pd zry1fswCs82*V1?CBiAh2S5nDP&+=y0i{`7FRnof3wdQqC$Fh`RF8VCWDyKUw61Ii~ zy`d(e>n}GSLlggOV4O)YLIuBfKu29Zx6jpLXx;^R#TNa@VAA` zd+uHWgQ|N=rUw@&qn3?GKR3m>sNkH89jwr|KLPm_lb+tXFJ>j|#aiiEC zy=WBh=N*F>HjU-&CE;ggHLnKPyS{?EuM_vC2*4+WU7_0 zv=m9tb=R#YFoKtCEmH^LB-WKEmh<1}WgCJ0E%fi%VL^)T1D!wbx#F8Ix;~Ut{c?)- znpToltor-%V6pD{u-G3`5IgLL?BOVt)VCRWG*h~28gi=Sl2|a{YQP(Tmb`*GMN%>-0o_PBkvi;`fS|OuoamMg>YDY@$#Y06RlWHLK<>K5yWy0 zPw{6B4C_HVQ z$`Wl^!)uD{au@b?jJUsL_}Ay_^K^%Lg*%JIF`-=+@_w?94{Ew~!%Wnsf5yeB#q6>d zo}CSCJU^-L2=NDa8zIeCtshPRc5nA{-{C^srD z-CmQ@rxo76t6Am-y2Y)?iU&Fqx{o3^pv!=9ADt4y+tdd5G&QEg8 z&R;&Up8#dOHgnBA50{;Y2nl7f-<~1A1n{k7HZmy(Wc@Hry8AODKKbiWyKsnZy`qffFmT^9F<|9{<#kpwUQ`9@ovN}!N%A*-Ov4l9^L|yysp7HpawLDbMbhG%&85|=> zcy+zyc*9f*SIN?kUpp&4Czp2NnlE!K2R5e7It+&4WaM$b64nn z7lLuR&?8xMg!NgsOZlL?l%u5 zYMcY;rONLj>sSF#S!Lknv$kus5~U)xDlZ$Cw6-ryn9ae*G|x9mNN0M4Bb=+0t?x0B z*DH#y)~CzR+rOEDNNIC!3kH#R;>jDqhU(^#<+Hq5-ws^E!~&{?r+sdZM?8v&#hqS# z0=lxCPmYvuiww&|q}CJoBX_fXMeO!J1X-@~UDG-5EmRAAmcHpL@N1KMu&4{tfJ4|L zUtD!VzY`8Qa*P#($J%qKvuw-l9UqNk1Htp!`=M6|<5#Wo#~{Lg_Vs74qxY)i%8|98 z>JAK-`~A3^fDvZ;hCMmi*JSFGboJDN&7Asd>j^w5dzwarQ?A3CW$~@KPsW0LXz$P5 z&c;-m@9;)hzG!qS)c>&OC~TM0!zz(D`r&AQH|)SMFFvFGbSe-t?i@jxhto8Q;E>;S zTIYB5NPJ@S;3i;izqlEb-B-bBWLNhV6KI%%bUI=85|L8xyFEI!=_@FClsN z`Cy#>G-7Sb7v&niUWUO(+8V+fW2@iclE(8N3iKJk@e(B*~=}8TYdHRCm)YH!=h!NBY$Qng<43AW zq8`d0A2@7ct{(>SK}#$yB{vOimm_UFFyxb}Sp2mZ5IHg|WO8e@=18K2Wzl;uZa5}O zSZ#7-A4D!W6*#4VNk zMPR#R!8|+yvzy=PXdTfFgZ!uEMJ*Ve<)E{7j~B&YDVS_Ddhw#Rt^wZMlFH-sx;Dg$ zgx@B2)HQ!!2$J_{bzhO0(u!m5mBfk93>Ud}e0UmRRtRvd`pLu(E^VH(LQl%r z=cmViPvEee=qAo~{h&=4Rxg-NwKcOEoocWeO&L;?l|el^u-#!Po1^BdJ{5zJG=Swp z=5UF@f}@iB5~;Pr2P64G7)Fw*i86m52VsOWR3!lPtMQ34-*oe>zPbTM+uD3Z^P-8C zezbH|_S+~4(ms?OFU@zD2Mx!UNBZtnFL%i9O~=I7i>Ee=W5>5g@`+kXbkn4Uejlam z$}SQ)OK3<3QyD1ow3TvHhSX@v5X4Dz3KA_)`1p4;>5Z2#KS_}^D{DYI8jB*3Gv0s&bz`%sGO>W&-Umj4zlBgd88j^+Nea^f0^>GpI|Obg9qosm_u) z#m|V@x_YGCTcx*ya@J`siCSN9H^QUrCd2cipMHDwLHAMgvBg#IXZE7{s&Q9|VbW{i zl=wJYMlj&=l)ps&g7O6`e-I8J{hZ)U0<;&l; zl%E$p#CRJuW98yZu|g_d-YF3QWWX+Zm-(N&YYu3M%Y8WtqDbFFJp zmcYiH#fphP(I_Cp-ys1V(qzD#mDOqO^ctqm39*yiJ|CgLQ{?QWQ>+DZp>pEEa#=ED zMHV09L>WL9n6gTWkMW|+KRiVYpdAsprnu~-x;C#d@}@fX<0`Y5NJx!b;EgfVg&&c< zC%yY>cP1d9-8Z-S?g?3|03!3jE5{o-CqG;AQ812m+^r4Y zTZ_JuC!sJ?NZCq6il!@32Fq}OYps9`?sWMw$LRP4Sv|wS#MTiv!>CLPwD4qRz zj6UQ2wP_D8t&=}`AZLyQ4cPCqqmj9tS6dUo&L#idtqs|%|LM~}9>!*fb4g=v8?fkZ1C~ui ztopEYrr|0k&`3Ne?WaO4MXnj3@)kG1vtcB)d!jS>jWyx=5a_bXo1zX7^}5FiadD z7%7UcHyYV_Av%Ag^r2#qcA>WEQu~F#Y!B2=w))Pe3OdTVxmp4b>__>?; zP0hme>fDHVFKqvdUAeAn05UIn6|_#-I98LB8&|yIV<|9)Cf?ygEa^1|?AMmU=q)DJ zd$VfVR8X*!82D=3ER&ML`Met>n=64kQ53b#$vEzC6tx`1{aAyfL-v#0f7jwYH)C^3 z$FUQd{19GzX!|0tv-pP1cwUj0*Sg|iQl`SKs;0DtqmtA0ojJm7f@3D{-EeCcd?w6NJK_=+xDHHu z6%?*8Z-k_r0*teM9p>+Ja2>?-s?nA)TgX&`7L;p=l`^$x#88sXfSV*&(>5`cuaUcP$(`@nmWI9F(E;fD5+ z8>-p0PVUKk@AJ{l2E;>gwh}B{X1?B1u)0_)|2HFBQO4yzVl&)uos)5(bW)SyWfEKO&@zlK7*R&Xq!5gAe@ zM7@U#qWTH|%HcmiQIT#!Wlah;S4hLja=f^v(}3pYF^~k79=K6E70YWt?c{XO`VzmH zFTvVC*fh#1PGERuRus!IP7rudyh0gagqL(=jylWjj9N-)P740WHuPY}Mp3Q?_$)z3 z|4Swa))x)E&hV(*B{Xc|+$S9;3}J}~i&FiG&Ysw74)@X9Y*NTsU~Aq_)6AZiFzfs{ z%F5==Px&CZ6U(mMCFF9>CkAk8ow_7UVu00h0T}WWasscD5%3@BEU5wMf{E88?j!*k z>--~2Qg2<-TUuTRTRggCi@S1F1z&`IvHI}o-LQP(5VQt$Jd7!^^e2}S`Z5<@$zR{F zvVta;hm=H~PIzZTMl(^ByIkZijqz1max(1_b~&UNvpC<#6RT)_GcyUVv^prVyF)6W zV?R)pZSeOfX4FL7vOXpTOL&~_6|6UxG_6P3Qvb{$3w!5nh_(0j3Fco{`rO4-Uz`;G zDIr|Dl;l3#xYrxTBoxOwd&52StQLVr$ew*acw-bF@NaOdUTh zU@lk@F!fL1gR#XV!-X&s=2^>8z?#KxnR%gmZgjsaoT?^(sf&**`Z5VT)1tmRjOdPUjvBqqS<#zkSlJ@OH}sbn><_hlc1pGASqrN*=I1jEC*q_S#unSF`Qz$$F-@~QK zyrP&M5Qqe>*AZ7oH}S8F7BupBiCQ?N_At=j{z^N24{hsS*W{3VM1?r#|#LTMl_4%Ay6pu_TVxQALqy(t|uA2Ugl7DN}8kTSf)qw@Oj zM&#r-mXOuCCM{tk_QC5QJlx5rh|%vAn*Z^{L^hke(1AY?;faVUkgH zQltE5UjGO9mvC+R5?sKT;gk%0wc5E!S11%GfXdzRV4}q%jm%vMPnDObrB_Co;D7MN zE#o|40AJL)w_zg-hoU`^)?K$)f|ly=Fob(Cs!!X0=dmCQ_r{wMctZI}k%hq+p}FR$ zy;%Hvk`$R&v!990aJS8ofjR?!P5e`$!~VaNkEQcQ>Tup?TGBzv>!OV_g~zedlP6b1 zCl9?L=rHC7%3~(KZA9w~udgnncBKq(QNn=Yc-W$Z;&U+c^=fB-iAABfM8V&VY}-Q4 z_mQNu$@|YxERY8*xSD?!9OvEK{&zZrZ7Kjawns!jc%=A~-w)#cgd5}adPK^8mYcI^ zw1s`XZRj}8-a9Hjeypf*^Y-d4DJc;q6ZA#P-nXITiZDbi;WUpV%FU*ewg9BAPNtNw z0Am!@nxkrv@bBrP45uDoawD>0%uiV=5)i0cG`~_9(-g^BBF<5C_A&~gEWJ_CkS@O@ z`-}E#600?Ij$?m5;cYxEX(ZP*9rWzt&lrafNyi!{*kBt8oZ*=g!Nn4m|06fDFCfh; z6Mwb8UT9Wfi_&64iu*xw<*U#lXjx4Dy^*be4Wc+nc>z{nY;)NHq3KDK9eSnej{Q%- z$$mz0RBW>EEIY+-jF>NJqnYhvz+b6Qi2%~o5B#ej4 z7REY1QJ6%_*%bXqjdkh+z9xEGpE zFHxKL+y9L#XQ;6M6zqd&;SyR8^W5zOp6c`3`E9Qd2Hk#j_qzM-1M8FPGGOJM-zvP& z;MD~dHT>AwJWig0CKNIh)F%`c;jB%50TiQ>kNQJ;pgH9RIiN{YQJmZrGVld95ak~P zLao9n#;W!aH+jE%1Xj8g5B-!Dz_F?4OGow1F|-0~z2ZNX0$*(1ra}~=V&pUcOAqTK z!hGVsD8SowtTjO0Fb8n6y>e>f5Ec+sCBI`9f4l%Q%^cOC)?6Fc?Yg9BM7X^R;#dnn zr@Oz$NW`jn9px~+;vaI!;7~FI7UQ2`p=FFO2{Z;WkC${8b^>JC1BGEXD1A3b_vqMk`ix?kv9kCfI*&O zv`i#+?10ApgrL+)};vSc8LM@N(y#%Dh5(=drm)Ag6 zANm!%G+Rf3el|byr%~Zt?mM(cxwz@D@(K$G4vss)a5Oa;dashcZo;@y>?(TllE@|jD@MG zLrsm7x{PD-6FKbnY6;-9j<->6PQ}QIEN@I9PB$l27v!QTfB$9)qe!!jbL^bBfQua>l!6n3aefS#?;>z33qX*hg#5V^MaM_Gl%H|{ zb~-PShCHy__?Zv~E20Xa7zc2;+8Em}h6`~xchV)4TR{Vb8k}JoECz5a;i|qm@+HsT zd-?^;K?G8_*ABJ)i&h|l`t>Mz+W%wGq5VhU_z=WaU$A^XRb3XAREW^DJIQu^{b-V( zWxFi0!r?>KzLZ;7*evW4Z1cNWd6ry)v~6QBoOe);jG_If+rvjH_{e9saD*Fu z|B3jlLU46JS!=(gkcQsrg|;DPpxU?U?X@Ci#1M&BkGd#xhP5&pz^#R<%JxoV$J+e< z4T{?#(&@3IOJc8aS^Lm|J@xLtXPTiCEZ%5r`o$XFduW^NV#R$vRvGoPr4uaM_`8>1 zDo@xOpOY=p;!N5$ud~{v#y@o7U@+Vgm2oxzx`ay^u*(erT>=F^aar1Y#SB5?QT~rM z8K(oZ$#!Tqw9H+>$_KslpXbr~j>1sNu3(ZuhOX~D=s&JUGq4d?;USVZ2Ot`xTvgr` zrZIwkSdQ0>&Hvqy;#L!d6;suw&Y2MwH~u?+oqZ!UDTf1kGs z^zf$d$ZsZJu4vN_I=(AD_54mAlH%JTF7v*7Pr+SAb%WR1Zr-h9jO z(xt!Q_^?5Ay#IWYaOS(3^iuMQr*G91>O4+O-MJ89tSc~ZXkY!1?&|qRb#XUr^Sr4G zc^%INe*dLe;C9=~fyi?yuH*h!+|+YdscDXn@12)@tNyow6* z`Ip|)5f!&f#sw7 z_U0C|p^;@*XuNHAwXTQ}^9*v#)cd{b7)63>n^QO`fM=N5m+rOwB33`h+1 z*lZ_dHA^!2!X6E!ulGn=|3S^nbGhLjq}Ww8WV%5)j?rUq$5ot@nQ!_yC4o|Wd3fr? zJI6C|=TdD~vK%?f#}>~Fr@!O?e;1W~-EwYiZZKG~Zt-U|XtkH~?S7^zT~liXQZddw9u++?fw)j99)6Aqu@Kj!eA0DwO8(ZRvG`hS)9<_d*9Z>vByWg&rK! z0y(KW`Wzcj7`)sm74}Q0JFSey_iUXSkTMDrj#ZPFt6*)O6FHV)v-!XnD^;jzy*8Nq zd)}nUtUd1}OSWtse_HgI_|3xq0 z66ECne^(-#&r)_K7r+@hhr6NlX@rm7dx9l_a}dBJJ(<*CWKo>uay;Yx1inFZcV_ zb^rT<=+ccZLmaD^v82#`w9#<7g@H8NFI`XOBeQ1HJici8#(!>{Iv#!cNLrrEhIf)y zC*J?Qe(}1#^{0M2f7Bag{<(R$&>b~sVxw2y;!c=-3;A&JDx5Y|Hyx|uR}S}V{o~oyK7}WyyA^V-`|9I!S+H`Q8%BW8Lc5KT61nCgb9gdAfwr5{mnyJ2qsxi_v*QbDdpcd z)dSZ`JiK=dy4%?i424F1pXLNIyDv~OK9cxfUKHtll+9$4(ijm1%cRM;9HCh}aj75`gEv==R_!(cm;(DXg*Y&lyI}F8|h)Z;+%66`zeR*IW$>8lAFv9Qug~_?0sceT-nkt?(XjH?(PJ4 zcX!v|!QC}TZ~_GP;2zvHxCVEJOXk>oGn0{f&bi;8+s*T^yL)%9{Z_47>#bd@R)q%z zm6^s=B3Maa887p8MCr{p_H9|fUrWy`A5wl<+DU}PSPctxF9Sl2)!h)!BqEp+^5ch& z9N2C}5kRozw)L#VRm0|;DWjS$GfOFrI7IK02Uwssd8uZ*_QQX_gT*oniyDU4&S z{G?zLFhXw^U`73LPeb=8ArzX1Nq1I-xoZI|1f1o35G@PZs)pj}ocod&J1I}vj}I!9*vT6a^p){aI4R;~8{iXr z$O2~i)D{nd{k$#q#Ap5jU2~ZMq? zHk=@3LOhZ~7EreQr2`n^g=MadjzMrO3>G^c=V%GwzRb$fM>e38*6b2(R*^yO!@7h} zs*b8B4Qw}!G!oY_>%twZPsZFwbC@czOs~zSe#A@x`Kqbi;|*K}oNNhv11BdBCZ1&~ z$TG9mms7@h9oY44-boT`?7~n3t3l;Mpvu122_edy!Ty|NJX^4O@4Yj1eT1?fv3$2_ zl?M$6U*Vg?0@vw*4;1Jy`i1Yh=2wgiN<%zw`w{}-V2j`uIU?in;LYeY8zTs9ramoX zJ-%ODD$8iu%N=z<89U_QJHehT?;0I!;u#a2{wg@}MRf69!HEMUyxJV;s-Kq1r3Y1t zuFC{E?MN9X+;pfls!tuqw!oH+azqetven5-BkUe#geaL%Ei3Ul2ZnI73XW>70~cJC zX`qcO4{?iJL)yl5rPOMR^q8`cEWP~s72l`9l3gzaX02|6jI5ix_p3NQVM~>Roe%cy zI}3xr?Ps67J{7I7HSr*PU4*9DW-KF?`<#P{%&clqk5|Jf3B{I@8(>-Pvjd7dmTV@J zk`Clkl>s@~|FPE6|Kpl7B^5y$&zE98ENu*580S6*C@0R!LV^|^NTy0?nQN0{62z8q zlmX`Y8Xf$Za-^kOk0K}cSZ052VD9m^EBTpVr-1ZU04YR0PxC``op~iQ-|DEfNZn~| z^FZ(`mkDpxY0eKr>t_!ZKG`O%C7C}~K-vm5;Y@1pFL3Ws^Q;Tn!uQmEv5}#Mob6-- z2)Zf3MWG(Iy@!dcyA=7jEF9ghl{;m6(@G)b-LTcCFE&QSSM#}&hP&nreXNGqyV zP4C(;)I~34Str#;(ExC%tkq6kH3;r{Y`kkbLm?v839#W}9m~ELVo7$V*-;ADra=!C zOKnjWC|!E$)cU;dt-ayxMP6hJhnA88-x6#JX8wuC4=y9>D9a`PdQ?NaCZs8KFWY4p zmt~AAKHq6p!F__~0D`c*vfljUkPeI_&F}y_Hkn%V;R_fkm8xosbz!wTO1wX>v~oF3 z*i=sPkUZhOwNsxmd0Sce)3cgpd15}7 z_H~SV8un*Ua*5rPA57oue`@+>;b3C=pVN1*&Ve0CL);6Uz>BbNB2e+j4KV|Vgl5H9 zNX^`e4mlv3nEk0oCUh;^#+Loft{$%hTT8}!%#yc>!dsa;C>o;G-_+o%cb|Pc2*vzg z&;wH5VOZDZsR}bVMq1t;6%9r3Kil4JpKm-rZTFg0Y$NpV1>fM))5ogAIG$Rfe1YLR zWzY6I9CGuyy~AfXKV{|(y1d-p?hPqzv2rTdzJl+5@NNHm3ncb*e7%Pstx3)@dB64qX@YZS;wIItT zy=AaCkQ(LJ*zsSC;I>gmQs{E zl7g>Mkm$keI4h0+6WHvVdx3G=M@!NMd(btdkAp6CW^!ssyb|*$Q_f5J$3Ep`uE=F9 zcfE|4cQ)@BXV%CINN}vqg>T0LNrPhppBMHh(}ggg9fb5k19g&fsG$W{A`xLGtb9Kh zVnp#&GA(sf*WJD8QMgh+KIyKu1&-glUYXLQX7@mJ@O^7^OMOnF+L=8<2r{J!`bm5v zCBB^?VA))EiZ8wt>M)){#3RQPYj^!xj1*}z72h?+LIOFAN57(F8YeXZ5@)Y*xpn>0 zULr{}g+&;RiJjbET^5ISNGflYoGCl&L&mfQQ@4Xk7LgZeyj4;-OYlQ;s}ZoxG=-5b zIs2k@LLO$`kyDwltTuNB8&bENx}oM3_X>_Cv8LV-V@XA_9%H6gotv?tF~++SY5lzN z1u-&^`rx!!zsjmAZN6jP_B{f?Zwx%*VqLcR%)GS#OAY+U31}oJ48@p-Hsfs+Hjqx< zK>YT|RLjK!(nQ3_-uf5isi-wQY&}Sw4B&kk1i>#yT3(FTSQMsjvWgV^lhgKD6_8(= zq)B{*;sSZh8E<(p0nI)j)CE@>yy0QxGuZEj+;559FaX5S71V?KQN%o>Ay+qbC(2J-8 zMKUB0*x5_k2&BcHEteN7hztRF?v^gB1{V1~uI6YLA^eO?T++0Q5ey)aL{K7t#{(`y zdA>7S+zcLr)VQ1e8(Id;m|1-0{*3KJco2FzPUqKrc4qWjfh4@>>I8P}Tk>nlq@h6{ z68Q_6aMhbxLP%U^vnac3T_aF`990=EE9nw{U3U%UAUvOA9i@5yh|SR&4mgJMZM6Of z#!GZ?vlz%2`gQlo1e7o((6}{PP+UkktBzsD%#1D?ys=#l>RwZnlh1_?o=qBYqA5gI zG&oX&Bp-}`R%y=J#^OF_${%}`)&=3LISoOn z>8d$%-p{zOaHT0N=zkMPN3wDQi!xomK6Tpe`jSIdIz3G0g2^m>tx3irhlvK*#->y| z3>tV$Vue`<=+0MvJugY1)oGtT^?8cs;w%3N97ev|jqcYGXqJ+)>;ePC-lt>3I}S|` zZ5pK`<4+5zk<3a9B1M#`TnIx9xkXSy1&J(8qID)G$Qbnfz*6+}GXxnhB?BwFjV+#- z_;8M8=&Q08uCiWt2$psq%Ix!a0^Rs}H*b`l%zY`DzF9`e`;MOve>qijIB?m9WDfLF zK*f-%Q>v9p=na}N8Fc)@oq2e^jyIpA16k|lN64YKSN`SsAx8wG;Mj?$dM15;eC%Yo?8s=kF)L>l{m?fV`()Ds2F4@j1?L8^8l2djz_7NV zT|5I_)Pd-|{4RV;^{@g%RLRd-7!k@Y$~T82D19{WW`nnxL~ptJO|R-E#2}Kkr7Vpy zHWzBExI2mKP7}s*T`LMWc^82ljmRp8pVD1UMfK^-s=ULn&f9C4u(6loHo!7p(zr+$ zzV^B^Q!VL*Gh=+XF%LAGm;e@oI4h{9w+xht-evAu0WlNfpl6A-T<}0OSMbP4vQ7yz zPm)Wn43IHmg-P#yLh+au)z!Kyda{xifE3g+W4@*r!esXRx*CZIHVrze8WdV%qg_9* zSI>;fR#)pzx>YbMGsJK|uh*d5swG*|^4>FcY;!V+~&>+Uar)aEM1X^t}bD)@1V`+43vG?o9+wT=+E*eiy0Q57RpUi1P1-c zL*LdY30t2dLAA;P6Og237a?J&Z*sx;K)Kbd!Fd~UbhIj+bc-x{RDSs{!PojsUu`jLzyJ@5y+e) z*1n233)+C?_Us&LIy$e&Thfp0-R7X*9v(jiPV83>aD?X#5h^wdXM7sT&wtHAC!bPG zAL*>M%}=L`9<3msh6gGG+=V)$)u1>R1N7woh=kyXDs7l zDJLfR3@zelubDst^U2A9NygMg|C@N|HGtarJyT$s?c+h^jKP;W1T(mDKT}8FzG%4j z*axpmDMQiVmDWKI&H?3`pTM(ZQ2tjU-c1?zs zbf~bD%us`!W43n^&|agWeqH#TfsTDAVw$9mpTadaCJQSZzRBdcyGvL5nui3sYRfMf$oH3BYvrCSn=v~WW>mZZ(_k}u z{js!A(UP=%+rbYY?V?uLF){sk@@u1Pg%P6=+FhI&fn zG08#ot+ZWth61L&im?vbWN(m5$zXGq>vh$l22U?iq_SYc%;CtAmG6~L`Bzb%u*QBU z(0~Kg(E6QHK&(5Y_d0VP_yRSnUhk||b(c!nU#ghx#>m}lRwBX*Gudz&<+zVBbxVX( z%v<=3O&C%U)6$qypArSJX{2+a*oGgkZYt4NJ4V-zKfiptCp%J5W47n?b6CLj$Q5f= z5beUMnH{KTf0-IRJSC^Lj?sZ$L}_%AVgOYQizOlT(CncVBJq+P4;hoNBjObX@54eR z!s8?oIwMezBq9o$xK7?d*)MPVt6L@q1noET8zS2yCBSr6_n z0hH6DVNZ2^$5Ifn4VH-oh0e>^pQnP=x5s^vY>iV5w=~3KBn;I8T#=OjcB6yFdM`soQhcqWXn7)a$_I48Oy^Zveb++lm^< zK#hu8psr$WAW%i@C7Q3FS>ZrTfxinc0EL0kSGQ+a=)o%NcYsB3$eL?%tdwbpBcHO% zrN;&b4#2u$4JQO>$Rv6MY2!&mYE@D$TkH1I95z}g8-Z_cZpR=_8zSeT$A=k(JgMb6 zArNL#++jb%GIiF7+E3&_vq}VhBabu{VGv=UT;>*3i3A&qbl@m^>@+{ZKUgJS?3ok$ zJpFZj$vGY}3ARaPkKkPn>qc<@N5O*NNmBMl)G!j{AfCvE<(we~=?~r02Sy)+;lTw7 zKPJSZR5^7U&EzNv@5f`yQzq%>st2!k=%q8kD1~xdrQdG0(A67`jm6B=?Cc6caI~DJZZ!O&fF zc?5ay{_RF99E-sOg!s!Y`uHV2BMhnW^NF5slPXdoBA+kl>&lisyH5q}n%LcBC3`Ld z(egu+QBWN9s5!Lp`u!)B@EoJo_*8Vv%L*!4p&}d_WC4VJ=x+QbqiY!~8o_$x(rysk zFJQ9qZ|H$(c)dH;w!V69vxfnGgriuAGfHbTpoQUjvT2kLh=x!+3@_|~?K2Ed_9>4x zp!PL5i}+&O^nppc)Jga_9-C^at_r5RUJJt%3b{xZzo@fg&O`5FH#nR>@V11|Z4egq zrFe*87{3DOKHYa*sUiTcOpY>_FJd;J0~MXU)VBBuNfWXSq(VV3dg4?IWBv(HC8_Jc zrNo}viTo_EsrxhPoWm0u*NY8A_gpvl8_422aC4kztHur|H1K_hU2mj3St))NGaESL zwv3}_biVi1+=`rBKthACc~F%BHi`gUmi4?UeeYN>#1Uk$h+Oz7uVfOao{Y&3hs$hh^fC=95&ka<|poNOPKOC%HDYIENj&$A$r|hC6eBoOr=;hmYU8P%A zW^kXmDrj5>Wx6t4CYa~hcQd|T4^~OfJE^o_AoB~1uaCis$H30nRi@S;8lI57_&jis zBPZk;6&meSiDxD~m_? zn|thF3JZr>kK{|+tHFo*frNtfb;xAEonZA-NvzR*RDEbizH}=B?sYkkv<;e zdu|@mb;v6f4W&}G-Yt{5gduyLMQ9c(u@tFA_~;-s8I&id(TklUo)^5yV!Ap@&!ap_ z!4y^LGjSg@@H!uuC|o4Axnb!*<5IElioimp8VTJfVIe>ko#+!nB>j$!FoP)r(mZLU zx$t{cX0hhDCW#lP^5^h!4W{0-l=OKvpQ#7E&4YQm9uQ=u?7dbCvA#_+1PS3(5swTi zH1?`bPGD$XeE$yRBGX|dd*^eCsEocpXLDV|MgUq%frXf;wAdNNr?cwk22#-nFh*CM zk%cc`1<+=UR#;Yykz!k*$LdA|mO5Z#RNl$K7D(CVERwy|Dji}-e;f2_=oq|LT||J# z%^$FdlHuV6(I<`^Uxx&J$M4oqDUq|EV#Y$UW|6wpnnc^p5QL;u5i@mId9GQtyBo$G z5L>23%&+Fu|Iv)sr3`Y88NS|Gvdeyo#AZLf{YEJzW~_@0TmsQF2ucuP8$|V!C3I@i zoFx&@tUyL*-crIB6d-EQ+vd;eMbZ$$hs}KYRZ74jlD7}Mnt^`s{4C+tT7jMA47>)j zoTEx9ZYtoD(Pt>L`t{8!f$|e;X&h1Zo}FmJic@s~mBFvU44_;5({9Rx7RmRuZ8jcU z8an=1v@D{4pq6ih#gZor0NEIqAnY*wzwSiE!pJK}GKGGBtc!?CDlfJq@R)G&7=)inmrJj;$ zDmXr8r^`C+1AXGx@XTF?uKDsp;r&{x8p_1h*vZ+^#K7jK!=JKdSs7ld2>&yIR>{TC z*~8w1UfROeieAyg$XSbkk&~H@gMgWnjgF0(;5Cbuj)Rea<@F!u56cw4p7*md#q6xF zA+%qXDdznBHklYWzBluaMmbpNI9UGeMj07?)~ND#l8OrRx$WK1%WCR2N0O-oOk~^}1%y~Wh zD-S>Hj&K0L-`4m~m-3I4>3>@g;*JI$^fCs{&L&!~2w$Jyt5;h%JIR|k3ftM(+u54f zIumfvOWHb{INIA;8#tQ~m>O6+nb7}O6wI9e^JeT(Cm`%A_^zBAIU6|koL|Vnj~-Zn z?uS^vm(2dZ!OF(|Z`8y7htB_I2tNkO%KTS={sQ;w#=kW`g#Gi<<9|iPzXkihq2XTv z|7T~nfzbPzhGl^%;(iG7FBLognL+|-X8lD(;k6Tgx90$YmkL`6 zf$xVue01aix^4Ur_0Kmv_)Dt&jZy6XiE4k_c>Umk$*+G1^5@Gg{t8Hr-vFs7F7)R{ zq<^UPd(i0jRLjQrE3^Hxs{N9p3=ideV-%#wY zdiHNZ&H^K7`w>K@zeuzGrBlv-f5v6{BbN0WGwz=^U3*KPiyy-N-tu1{8ovwszoFSb zIUU*CP$~Qn<6k3FOq~BTSC0RHYX68#{n}jr)P#F#YdZWxz<-TK{XXFThEjj!GyE3h zQ(GdDA0qr~9O`EX{}a~wM;wafSB}7M4oANjfB^vfY5>jUehB%m5vbpX{NGUOpBsbM ztciMm2=On`CuUa0e;6tY1Ji%NQvZlQu`vIN{{J>d{FP$={~Q0i63~Tp+4^Cp`%9$t zk2>9d!LS%ve>I%^)^Pd1D`5bDjtD?<=^qODFEZwTTJ|gW|A1xx5oKoowS<4?&dr~d zw4W64y2}qG@)s%f?@Q#r(Ct`$#k~GCiNV6>{8|WWqX1ImKZ48r*XZ?s)@5S;BYOR7 z4)!ms|CcV)Pi_8rV*UGo{|oA6{&Umy@3~COe~nkOv;1SaX8RA=H1i+vYS!1HN3c-; zh#R=S|JjXco8RoezwFU|dccv@KkUzcjbi^k_Wy=@S^q5hwO#u7ej;$^>5cJ9*3 zv^bEB0Zfrc5$CEZ3X)lNe__z-`SRweP50|^g&O~7!6fJNZ_gK=q3G_p}(EcuW0b@l&V)8&z8cg^$9FLe?0cpt+e0mFU#wTbOMojng;djQNlxI#$I z6M#h{2Kxe%n_#q4#{uBp~vLj-_TSqhiR`(jg@zpZ}EC~1#mbb z7r`r4q$q!*HTJ$E4u-IYH)3~ixy;Ao_JR1K zqSX@LxX>w7@*9&;&`MJt<;(n#b@#xePJr+cZA*C7Bf|7pMV5fA%poj)ibcU^;^ z1Z9?`g2wdimKG8&JBvQor=r3BGm(V&VC(AL;Wh<>C8a@Y_yuP&+{w`oRo-M#vbNB~ z^ek+1A7H(0oR6q%*ggE3%gOnY2gBsrO5aT#vaxOO=VxGi=U=NOmdllOs|Y3evAW+vgeiihgv^?3n2wA!5^#z}Fz%^`-5qy=#UK1{i~*ON_8Ys52G zA>$Ow9Ln1j6BdG~o-cu=m**jJ;kw{R_~ztQmFJB)Migx_B8~`5dz-NpWRq_wFh@s+ z#B-qb%Qkl$#JWxZpESdEYtjOL1SJB^wN@77UEE=T)F& z3J~y=?v?UK({6#9xA~C$*$kQjAAu|^vZqxx8j`H7awEU>dj+S+ks=|KlJd#>>O=g*9|z?xi}h`IPvh% zi@H0DD>=UgeP55ol{g5#yDy4-eDq>=w$86_i7^uVG&k|RKInyn?A-qrLuO`Vqhn$v zU}R&VW958}C%=Z59WB0pM#sd&&i4H(UY~R<%<+P2CAS(2h83`Vlh^zj$=ts51qZjK!$N;R>@7k{MIuVY zc(;VF*E{aFTSG0?t@jUSm3De~@EKoy+B+*w8r}`fbKQHeSy%yXht8G~GaD*` zNMyLMgDC6XvxL)F!Ge8OvyR8Nk!80H3!mjFVpW?6b~c|Uj<0qQmK0Ey?52foZH?x1 zo|e-{M->fXgT(bbFefu9EO$_JO(|sJFn$^aE-;>Gpr3KfTQkLo@%_ZrGNwX_zJ{`L zLF(7Hb;hLcjH<$G2S`&juhP*N*tKK;*O6I7=@9VKiO7{KRZVvw&_JUaB{f=3$kaa| zX^-SYOGh&|u?1e>_03!M?pee_xC!QsjlaY4Ir9*VJf7xn3BOqA zvyfMNho~cEc!XvSjIYe&*oRE1-vqnT!z332O1^L;LIN?_6YM7`U3&yKb*>!78 z*aWBQpN`19fyENqYltasjlvq-n``nSjpnRslaqx9CjmW*q3pLIf2A2*#fN!n^afZ@ zPns^s1b)(La9jFY`CxAsMVL!NQgRrglY5STTk#=LXq@i&mZEYhY+!P?1ga_HTCO&Z z3<84~FFDXtr?aRrVWqQY)odPo)UrNpaXLAagd4ITYp(^6nL)+`%IGFt>9F(q@NtGS zsN6a6O|!Lj1{4tx?`{dFmK}yOIC)fJh(+s5?Q%Q+0V=@Z8ndJI>PSduM{yoh(PO!Zm6n-mfOm1& z?`#d6*bu!dbM)Q`AEV9U@SxNxZ131ZYjvzIaLJn_(jP1yXTxFxV^9xFRj+aD3~<+r z!6>t?^`e*%R*g27qu#C~@z3uta(D7Ty_~3yJ~bqb&rxsjC%c@+z#e3Ri&jYD)@%@! zL75;^Mi4N3h>ff4Uj`u6I>-9J>HPG-N1`BW5vikSWF6>c#PLQWMrT&}9wMC0jEJ(a zzcwEDn`rji!HFDQM~2)aoZ-bca7aAYtB`Fl1SSDCt#(`H=z+@E?+6Qoge!xG7wgpO zqe!~URS?gWbAfmAX9WmZ`V(fn#3e0RlSm8>11(t{>?n;mi#$`9`Iv`7KFsA9k19tk zwN5%AI76>SP^j{yV? z@1G14P@GR>A4a#F%}g$fSzR;5>1xOBjTN-jvGfx$zx}9JZnllv3q(w^_?B3uGL(R7 zAhe!P_H`pw=xnizSwu}ZVwDJR&BOh3^E|}M*5Q6lNKG#TQYs3EN16lcfEbeT zw{nvQs<+Y?F~|X)*n3k1PfPklBJ7vjl&pLHMNM00X%CZqi-g!%jD$F<=b1_M+jMCJ zM9Kc!H58;}nr13P&)Si3$Uvb$163aAC;nUvEIsxkFwrYsDmBHlyS#(V*v#6r%1EBU zgmpn)w2~<1WhevtD@PF7`y0y!PDiRzCdtQ%;5-Vq9lGfsEDGE#~vah#xmH< z2+=4K?n(g&N9YDG=Wh`X=YQWO*Y7j8Idk#d=^}3wM?RdH;!Q8Mdk(6*O9lX7s- zTC49}c!h)fNt;4jQv@QWm3AY^1c_((7oxhv~8d)uwjSY0AD~sq8pWli!nDOqI0nGLi^PA_wMSt>)V~ z)HWvPc80^{a*Y1sdN@HLaGY%56%!)oJr%^Ej`8&ufW<0faS+RXEb{x_Sy1H595GEV zAEEc9lnd-4!S@Z94qVgIdl1UiPSwu|9&@8bSY2A(G095{%HqYqrHH~83TylBuIe+g z%g9%#-|k3>c^d6nAo8vJ{TmNNHf2gjxZ9j8;R$LAo^|mAnDVn!*+BTGjAyR{Yxbw~ z++{W>Iz(*(5G1WKMmz^~fz`BI~93?3%qFXW4b zDO=;8Du0$}?ihs-8A9hY-jg|rLxFNDLb=8*DM0d0RN$Syrqm)<73(}9Ka8!|`_b*} ztQB`5JUQEW2;5TV9K+nO^Zd7_9PEmFeXa8dJzpKoGWL>EDPzTqGpUu&((X0%*O~1& zsdn}d0!853Ao~x=5MmgBhBj*+7J;C(X$%qw<1w-P>mEN76z=V1Q#vkk0Ku|?5Nbk| zsxx4603DRA?udfV(K}`~Eql+hu9Pmd>{)To$Xva6mOfgB=_Ch^eJ$Po=IZVm({-#y z48a{F66aF5SZs*EmJNggBpA3eZp7e!A%YqJll{82{HIR@%0isFHh#7z%CpdwGzlqq zr&BaZB!_1)B93{qp-SRwOQ#GgUD6VR7nN4@c2XD9$OC@2L|{e;@Q1lR5n@f} zHm{lAGs>ZWdIacC&IXvtL(%pN%Jga{IuD&vyiM}}Z?!EnwqHx*Ji`JHS-<^(uknY0 zj{oPr#`jxzf8c9yaQ<@55cX2E_TPPtJ+$K7hiyh1ItlzmfSSFx%`Wf3YHVY5A0M`5 zkjBbPKQA>#9f5(lrtpx6zIpwtX6?1Jiw==%M2>NSP?c;~d^$Tvaoc&e{-KqB5Eh-BZfjr35k_+GjEe|l2TK)HinJ4oV z+-&_=PW{g=fOex5w_c z*K_^dE%7cw3V1toHk=vqIIpe-RpCxRV3D}fKyEebsOWc3gKhUi8UpKgPlGsWw4*rv zvQ5smY@vy-X%!X_{KT){W&s;L5fCQ3_e~aDZ8f9f)-(dQ>8GSiWZT)$*jSkUgz)W$?=*_X{d}CF3jpi z-P;%oo3HWZ)zKKCOA3;k)LPgU`leJ9@lJt>`UI;SnfUt5A1(Ofc?5x}k6=dW=s5C+ zZ3e|&jqtuzj8{LS&*Ya^oqTze zUJNbH8$4SH^};-&YWAeEL7!DnHy@M{5cit0(<82e1#Q5f)a`VS`Dt%X#>7AYxNq?| z8%~b9_4OuYVKTIO$~I&=)`TblI1_|IC`#4gl<$TiOKPA*;)7BVKzA!^fVMVchsSwK z5tkon-awYldZ5PQH!|*_enLqY2c^D;yUlZ{+*-?F+;epD3@TkavBoWC8cK1oxfzR= zL5xJ*^3XWVtjNcmL+UHRI<*XTj8(t+$=AT*pGRZh{0(0N7uyR!)J`(9W&^nliZr2P z8?eV@i1!;KtH4O|J27)OotHLf$wWo2&Dd#7?sW?|am)jQfyE@gfZUNZ2`XF0)-69z z#zX~2XY)jy$URcu_imIHiX!f%42^;L;i^6w|1WB`j}CL)>iU5q59aw)Xow;zl?Mnt z9z-}M>lfB5U_wI%`Ict_&>0mmY}lPm+4|)uLT2AxjV|5UUJ${t4Xoa#8~(av+)^Bd z5&lC2TKjCU{Z-Kfg60qOV@<+0JR^0(W6LS7vw%(WVUX)pvG`@RwD5xI zxv)MquZ-VC;(8Eak%P12pkk9&JgIAzJY%|bb-s+Wi?^fwmvE>V&e=W^c_=AN*&K`B+sJS@F(CEB%3M>550(u;1Si3$uMS9` z+H8@Nr3-#VUx+n$u>6JmwHVJG9gft1{ zMI5zYS{+292S9LH)IGZ?NN%Q!Wg4|akJ8tP0j`XNau?zZXqx=F4+|v_4l5&dAEAQ6 z5rsgB#iVNDF9R4pOIdADP%_|le8|Q2SP?m8sfyLBQ{?VqyKH`s@#!@qec?hePhp;D z$ww5l)EH^h()oQ0uCpW_qDp*I-U!AkwPz&4aP?ajf!?!#BGOC}6gUZhBc@Fo4iH1( zDOVJt%}StR)qf*#lByj-d{tiM!l6V##*b)JjRKn5Z=;VMC%pr@$=HGK7_J0f& zmjw7w?#&`SQ*kHF24@<4o?CQ5X|^8DF?z@YKIgI|sd(}2IbBh}?Wd2_Sfk1f%2RW# zlONb0tA%4iQ84uc2Rho zOh@hCjdroBr?X>tytFGh=tPF)gK)n9@M5t?Xf#>q@(uTz*w@TLq5 z_U{&S@M(>hafhXgmUZ(3L$W(G!ZX2|>gV-qrA@6(8xOixzIQsouTI7)ag5%mx<8tT z>31iieAaMomdD39aG=bT5m}dx!&0vgJnB#^!JY z!upDh2PafQ%K8nuHoJ2~z&bo$uy>PIS=bU9oN}lHX|){uN|u;qFjdBcrh%x2#@o<+ zbZH;n(pe;AWVa*_e{@+Xn2+gBO*0Iu;VH^@aE^IgDVpET9ArKpV!xR7c;9`Aj3&5e z6eno3?bWv7FSQSGXt2eooGHMci4!Veqp>S8HXp1Xa6BUsF%}al#atH{O(73E$2jWO zj0Nof22OU?*KDPn`C~K$oOs~i{;m@62e@)mf}=5@vHl3)QlGu7k`lEsT1>=o?>oQj zV_+~ZERqY*QtA%eKBxYT;-Qq?Xqb|aMBL68rnEZ#`NPG?oA=y3w4tHUVTakwI;?{n zxLB49xmJT^Ujs7zTeNEZB#GBRjSo{wC|y8g(63>&I*xU@sADHl0!%YAKn3}v*+_SV zDm6Fn1cU;|Zs5IZ-;4}-xCsZzsSa@*czo``t4@c7B81sZTSLJQ4U?BX<|P(G&RNGn z8B}}&;z9%&eM^L-?{(hl0#JzZSe3x_d9f|K3?!RgBpYAPTIhMy0t?)x^u1=7gZ%!R zgBGJk7TLWW(Rq{}+6(=q32H_zA5$KkZ&Rm~o(te8d^@95Ss2Ogoo=h{=ky3+*%K(I z+Wj}?K11hb;~BR zE?-NFZblni^T|=44rtFxNnJHS`P}4QeY*W2;w-V0UD2-!PbdOo>p!R`i^QQUC)lO8s+$m-4}$e?z;JPr;xK= zw{*1?Eb*q&4XqqW5(u8Xktf>Dc0eWq1mYj~3cp$c^gX=r&$K>eM;DWy*4oP&*u18l zF|yJN8915zbc&F$h^(k6wXlJ;g`uMbt&p9yF}-lf^$@fB%Mv ziIb6|g}t+#BLOSR_b|lw1Oi7VXJK;#M*?OhdTE1y9siU_=tRKD@YAZ9@5=~Y^Dlo2 zi2v=Y|06d3KY#qE_{dL}`&(BiW^G{R^u0An=hq8260|k5HX&f37j!cEo@>X>%0U19 zGQS_wGQGYKHn5j4u`o0L+ed<~W`E0YWMyW14Xn8TJ>8L=gYlZIwYf)K!SNQ zIQ%n3&(DOzY2wvBI(=Nh=LT+gxY}$|DI*enme6_Qd-rI8(|5VLStZkOR)2iJ5VB;H z7Rc zF}CVe+v1Ilwsp(~H-%x#|FV9wDzl!4E4OLX2#&J*;?vk-5$z#*YWgsZ-UwH^7gLUmzIB3uWfjL7k?wWf9U+`_JBe+&+EM&9~b$J4aSQYr^0g3{M_-u zYB^-G3EnlhZNUEP28kc>ZUB2zaAZpmH(z#A-hKnBYAQnTO~t>v5ywx}ZL=;}Z+L7u zJsQW)BzVN|g~R^}Im@t_b-$x}7yB^@&?Aa?<2;TP+lcx}?*5=nc5uGP2IqRoI}E+s zC&G3XA=o2H@3DVZuATePZXL~uwO8+}k;<-CYOuH&#|zWUBZc`l{`|D9?B~am_D2WJ zxh4MRIa)Qhu$1mipNO_D8{Cj1pNwk*4Xy|}MB8}>8MPZ6{(BDiLp{S!g+~rBM?*e? zTW>%2`O|~U92AV`u1fAKp-gkW)d;y-6rVk5A6|vMXc0?}80t5g7u!4c?pEjE&e%aA zu)k)Ffwh3q@R2qW$77yl93!^EQA^G~-*QMCyIXZo)KEHuH)*EC*J*3DGH=?V41CT1 zf3b@vH#tqpLYOs}VnS_e_0n+cOJ+;O!{mrhj=wOHT%IP|%sYIZVD3e+YT9frOxAMZ zonyMKAbi$<*T3CsE|Y3DG%#5i#QoU+z{pG-Go+ZaSG264^x~a(y7|Z<10=0AXZkRd za%F{vm$08Tb;H5uR5{H{y`|JYc9J2RG~b28Ul~`J$+x`81P4Ex_GTHApKtkr3-%(i zb!=&f zSZX+K=@p(S*P@d%wM7*LO~mvX-D+ubTSQgH4$GWe6rWC1FNa`8d7l)9Sk0yO8I7>6 zgzR@2-JO3vx%!5}=k7*6W630Ln|_s^KjgT;e_B2L*x#!$??7hklFUJfQoTr*bIOF@ zt`%4YC4^g}v_CcZbO4e>Uc_USv_N^-PpV>`N3h)bATUr#WO70hdzT)4hq!P3R<=LY zot7?WWAAECPqzKGe3oBnBCFcHz5lAqv4y{{wy4I|VqgB-S&<&@3~9LbRF~ZiZ~g(X zF6m9bP59>GlZtBo9lo&0CAnoxYyw|Xkti;}J}~?y2XjTgIh&rs(7tcmeYRn43ioLR zO=O|OnA24)&EN`YiFTqDOHeJP!X($2uy%^>Rcux9# zL(`$r$)~+`%2khhp60rEH{X%Op0OK@lP$SN_26)h>mGkI*`Y3uWB&6O$u^wreI%Og zGbAsn%&zx90oio8<%zq|*EFG+k{8S@vCOJpr24W@tgI`p2D{u{H)0c65|cT@vmR8! z5+NK()a5NFAQfq|SX}~Bvf?YoZNgFcYu7(qIp=t`nOE=ONp)+rDu9f>q?#HMPvWbT%hqU34@f%h%}b(J9JW z0rJaQW(3jbs{2rx!-9$;p(WsN+ybeXLoOppJ|)qXD#kQvl_=FlaKaVS-AulfIT^Jx zl_{hJQnAAVQ&e!nR#+jiCH3*}OW94)%#w@ZO|!}?f5Dr`UJh6atQLuc<$-LHTjvBX z6k`Lb_>9qOArRqr0imPQ_RVYWg4x2yF(UiPFLh`j{%PRS32qT(y%;)-TH(bHJtCQy z_VCC-8>X$&M8G<_i_}sH_#N6C)qt!M4`d2!k9*B?e#jxL<0R!k_uj)Ac2uV7DLnlM zeWpMgZ{Cgr3COo_2I}N)^CoN?1{p*+u7q-=))tr5DY@EDy6tb!k{x7PK8?~01Zv`b zt&n73WdH|7@}@m;&I`hMc=#Au_GaMgC4XFbwjx};&stFnZ(o?rlRmJGT25UHl;?m@ z1JI|u;Be0o0ApV5h-lfIxcX0kVq9K=VqB3G-a?>lE3avT%N0z~K~f0;lasohCAC4i zRAIIS;F&>ENFM}{Kwev;SN9YIM>T*v=(Dx#Am*sX)bLT@|;60_4Byi$~G z>XyrI4$%P1Y~Eye`xSeFMPlWcw3E%-3cW6tHkyHa1zf~Jf2;@#uUEXnseOo!nQQf1 z`9y;0)O0mC35lS)vwb@eVuiwnVygC9b6?C9GC8U;o9`DEB^+j_G3(P2{WwaqXen7g zIx(`7s*g&~Xk?^+tZk{wdi{96wZ>UR@?w`S_!cZN8Ei(XAnj6d(1#k-3m7z>k|Gx5 z5~zoL=zW_>*BzLpbX%A&?=g8>(+ZixJ)xJ=b@v_F1LG}NgmjxiE}54RCz01Cv{ql> z4#dT)guL=VaPj24kjWcFPXJ_30`0ugVi}`c0kn+Iqbh*iaS_`bf_3`z@aid{I6k&IiJ>(>u#jNq*D_JC?tkFa`i%}Lan!?PS zubcf(cF8JfZm!pf*W#|X6p9;>jgiHiW(vkwVOZy>vL{**dRcI=So$a?!e9}oOl(&N zrhfWeGnmM+ONaIws||v*f#Z9MVE%;}uP?=b! zNEI?r63!i|9FyE0Q6<(!j2(eni^=;+en0k70lOq9-nUM*TIVIKN48V{ONQj)A5LAQ zj&zx*$D&vX0)^glBTJ4C!Cywv)}w2PM@U160|l~sw$N!zI!J@bI!IenwizmXSl!6O z`bk?uz3o75*KkQl1x)sq*Ag-$Wj2irA5graC;kK%RLnFLHXZfzv| zFa{D%F&pwWkrRKpN5(x%NJXb(DQ-rmtEQ-N@{zDjItM=cmvMB12*poqH59AM?+-X4 z!Sl^IoFM)0RKn=l=XcWLITmz75G!;#xWAF~ZK*wg>-~vd+UW)cOuqCd z7Fgp)v-{H(Il%qBxs0ZP8}ii#9<6V{<}mG@DX~e;H|Kh8-C~`PPqPzRoDFPH2z~&go>Y8ll{84phi`85N)OG^twZUd*pgh)%*%QYpjFJ97%5z z)qd@hdh81;85CXdmu|H<8ScCMM$J7uX0Obp2g)Whmj6J%J0somdUi4D8p54HSBI0< zEZ%0a?2qT^DuY2p77-$wdzjT@Z7I38PIRTlq#oPF`UO0~6e}r+2w= zC5b6H3YH@5`V^zG({Lx77?`~E)ax$f{y)ov|^In}T zy9ObK<+CtSeVD4HrD}^tnjFjLUkz&B>7bX?>Z;_(dx#e4bfoKgXh;D>3H}oam?Kxs zK7Odcj8L5~I|=FT*a$!oib!g?J#HUQq<|K)0CE!_~opS$8SWQYiUL>K1SqH!@@D0LYjWC zV`)@syu82x9=}Lp9p4fT4*fT~NdAXiB!T@&uQw#&xn7Uw?^2f0=H6gpMXiT`hvzY9 z(|+}m6TM*%lMYJ*M{S3Kqgeh|TH>7lnO5%KX@&OuGc9T&nqQ3Lev?;v zR|~&{qbw^HQc+VGOR3<1i(vu&;avu2J3@8MYnk|RL?viU-5cxL31HDUs1EDOtxXX) zyOck`+1maT6`dSn&CcN}O<>`nQ3SZtGA*sN7~oESzrqHn|LZF@1kUVQ&SE`=;rwLz zOC5R`BDz>bF@Nf8eDdt~JDlQ<+5SXuoa!FxhBmu~hs>Ru4<#RcxGrbUq?E1`4F=1( z$9v^i>K6LT+j-_=+Ib)*XTy!$8TM~v0KPOF1do##w)zt#*n5cyJb+tIyWa#7+FCs` z=yUjX@QhRr{v7P&mU_KPX9(ZwzuUMPkGf8*&u(&191U6(jq2uUMPGB4xCTT6PrK65 zALPYW{Z`*j8kZ_Un$PxMxNP~MW(xB%5?WEduor-ays zEABZ4A35g-wXjrxbj$$Zum%W+Pn!|*`@w6#QwaFmg0`S`tEzJEt%JD_a*v43r19)? ztW9gZC4No)QMHhOUud;7o4Z6Sf1ByqNKfHxR;oNcrGdCQ=7F@(y3e$Jl)ltEc8NyE zgjSj?&#_++|DcIdZ=Ws7j))lVr{}QJN?&o2vb)K(z5nO!-Ip@q56AU%`k@QfS(7!y zMjjGK$tdiz_}KbxWi58o4MH(8PrQxW74_PaH=djA!113ei3%}QyjkL%>6*c0PU^?M zLe6zAjZcX2Qtt~T%YCLjBvg8?X8gUT7m_gvInt>jT~&>9Gm~PN9&gsS2NzbnXckFk zAJ@zLJ3;DesEJ8KZPpEq+_uOO?t=zNUgFX1~)-Mtt z2ri&fuZ8H}a(JjYOuT;~uF@LW=Kv&z2LOg&YQ44s`H{1eW?>TFBEzUYLi>tkeuOfy z!^$i4r<7O@E^qVxO!TwTUSs6S{6mhgJtR-~(_K?BPEJ)`a|FTVK|Ft!g@R5W&*Zoy z8YCtbC8a8l0um#%1Bvm8f8*Gi$wF4Qr%;o7Ph_uBG&iLaIu!Yau3-O%Qq+aJJQ>KdaS$u9V;440TTpna@fTlq8m8 zlfr|ul!MhT45)zkDzFbEmt^$QB`AJ`%7Ls=v7Gd9lzCF1f)sA*IWD(R@(1j*f)71I zwcR=1w^4KZNg6x*4Q9c%xZ?oG*Kb}Bb4?Hbj-Iri#+he0U}`VVo#=%@&Y4)s2EY)T zj%oh4ybD%GKs@~$I^<7SS2;Eg^%ebZqW&qON}d`3Cnw4xdjHWkC1ks@XDjQ@hfg3tpUv!s z;{Mqw(E?8CMTFwx+g!7Icrr)Z8UK?Q7AkgKPMH*6K!uYrkqzKSt)a0Ga(z;|+fWXS zbg4C-qfGFX?M-QGx$u+}+4g-PQX3;~>LE#|TKD6iH67dGW0QJ~NY6)sbCFc}67i-Z z3AvD;5(j|`5AnvxwTS{}3)Gm76h-BttEN>s6UT2=SbjG|A74-t|Cv8cZp$ZdP2b_b!TfwlGkT*b<+f<*@!W9^MbVX-ENn|hnKsMbgF6JaxH zyKz#X`P4z+37rmR=+;Y}*I5UlV5}(!bn6-9!SMjdOWDno2r|=KFAWQRmKp9FaHvt) zW)pBRt*i5gKf>nXu*nLYDOO%xN)#35cxUczRa$*M1K>OD0n@s7E`aaCnEy)8%dmg& z-S09isYokspBjPdH8N9$J5$#`7d$n{a6QF?NM5ea@6ek6sI8DA=M6)7` z0dcM^JOytF3PhbX$?8i+B0RL{1*|?2eb~G}EtBQlW96`oGyg(r?AnAI@8Vj<(J2}- zQ=Fc7RAaoP!Q;JX-kU}b$KOJO%CGR`QLQi-I5Cm!QNo#^$1rbS0OS0hDT&gOp1L)^ zNIFzplT(4`gU9fiPwmC`|5C$(E;O%2s=4lIfR=+SB9BCHPv?fF{}uyIwDngX>qN%* z)la8PWN~e~v3d3`@o1u0TMB(m6Isr?NEHjGxOn^yDf?dp9nvE>i8)Kk{t6cC@f?U1 z4${2+$#AIwr8Pgkz$TAu(CFV+I7p@RWBO!r2D)TKDhzaRr}Xw06TU}By5l9RQBPKH z7gU}}HEbBQh&s3*Q9{1tQ2&fjTp~XSv|pMauL=)7C?4C=vqYPQPgFuEl!9?5h?L&i zc>^uml>Owma!wxOPIxZ&@gu*8cTcN&OiJjLw|393PYNuP$U5Evpp#ckW_%7PW*;>m z8S}jkm)^hVP=02W36k|;s$#ZOxqg}9&WHWTaTnw9ebQfKQ9pRF*-0i^4I>nl)ttn5 z_t8j23Hkx8+4L--O{mQ0lx3h1fMDZPCyjfcDz5s}_Y5?K6f%9wCcK1iBxziR1>V2u z8mgUMH>n0!O)?d|jtEL4>=Cb(UM3k<nI-_u>O)%qgHD+C@C zOelJkn6!Nkc%b@6S!O@vIp44FYh!S|KFp`p&4`)loGp3p13JujaG2@$L7i!*OmG3> z@{n$n$YI96GWvgT#wEMQgp`KN&*j?{YUT>^;Wax&C+QJ!eIL+@4|Q1?gN3>PvMML_ zSys(F%PL7oFMhou)ikCdX>k?s`gu;I0C-j}#$$U_M9L4OIa_aXHfi+?^~u{EY7;Iq zQn?}sb0Zq)k?Y}Fd|jeCemQ} zY&M}lb61X2iH;*%m@SGm_7diB=q{tJK@9T38gxivudM(-HX~Ae$7Qcwv$Vlep1JIF zLGQzR3yupCPF^6`gD2Croq^4Z;h-|T*dr0UXeWB_Ln!1IeGoutHfi)=m4<15ku z2mbHQdiR>Q(xNLOHgQ2B(O!LV?Cxvmg72S_nAw4nyTva{k-p0Xk0J_-qRkYrq*Ai4<-ER0&q30EaA^E zY9N(%o*kumAFBgp_fwnHco5d(XUDJ!e2e)uK`oEuS*=5 zvKCl6%nvp?GnIto^L2CqAjM{R0~Y_(9FP)8^xQ5%2ff75F~Lg6#1LU?$%z25@g=Ac z1U+MF*E6P00+`wbU@B_?KP7NE9@}5y=mLmCIn@wC>}%05@;$%ITY|W+y_~~JS~C)m z&JKr>^Cduu3JKleu#7{(F7uRVdOsr_YtOv!piD*ST@re^xu1@?O43?+%|!1{S1gAA z($1y!w1)mBC@9phEiksU1rj$smY!v74G9yStlX`c2xMxujWmmLv<*lkrXolrhH=O; zy9?yJ+EF1I=`Q3BfHH`DHH;Yyl%hY{COUY#=bM3~OUibg#$S_b=f10OZ*N z^lYC$5w=sJ7r2k@9^405y-kuMM8NNm{PjCdKw`4}P0YT(iAfG5<`CI5rawt>74RBJ zOn(v}F_RV#-jgEmX{aS->JZ0aZn1JyLl&DfrDLDn&ge`q5&|C(o+R3N{1hM6JN5ys z+Eh{!-Uet@kduc3fs+%NL@fM&!wy`ZKU_Z!jx3x9Y(R$d*b47S5ZCLiuF(r~OwK-k zjMVxitUkU*E^VcmHxeBsU<(Sw{-{~L zZqcY-m51E|zApJ+!6&B7|6u2RM+huZYUd;c0-DoWO)gUdf|IfxS|0$SeeIOkP9t@| ztb`dC%HaJ;0Cv9s*nJDA=kv)6gSH5KKwH;FNsiIa^(67=XG0Fh2N?4I|G?uPL_7?| zPfj#7XN~#v7FeN|mzV(KfAbY5CK^*#=IC^F0;mr>o^hm}GUcmFzv_PigVpvrT3))2ixCcxM>do|?Yk#o%c#!%y9s5;bUo=hZ~ZEkNbd6LEF>o6Rd zR+OXFD(r)Av2+T%Nb6Ukrx!F-}9CK zuhYFb|NBUf|NAZvHdc=RbGLj;rqbxPtXj|&&9v{QWO%g?-!dPY)V}5)8=4^qq{KnU z@j&f1*WgOOteA7NU=G_}KHAbB1YL?MT3^C9LA%3V6RrzSH#d{#r$bK-wudLD_bK}4 zR8eG$oPv6ry}l3UyDGj{yJSy~H*bheSgP^JYGLGls_*17WTcpBl|LHcz26I=6iiOn&nf|d!G($9yw1Y z>%2`Vnq+G(Pv5M3p>p?BR5AEiv3d4qkoEY9%65CSX#XZ7q(Xn@VYIOBe*St>=V|-% zQ%A+slh9*s-m7C7p`lJ=?VoR-4mL+yYnHFI$p-JAjCV5ROi_ljt1Qq~pt?zE>HmxU*nl^5}RPp2xT87cMmSrfHBzmJ7t_SvF* ztK+8}y?um|_EpZ#msQ$7!Ul^7wB%;)?4M?c^qj^8&&nM4?HiN4(xH9hn-a6N?C?nZ zlxSYpcH7{4zbS%oet(+$bb87&@B4V2bsuB;>Ws}tJL_&$=d!K7S=jZV`Dxj)UgUZf zxP?!`i7jQ8>D6-D!iD>6c4VE0RVsQ%szwaDy|czEPj*DrUr;g6&ow9YjqW^zXZjmj zGF$C`v*dAj+iiO8WzGmjtaw^zw=q}Trf2Rvh_o?@jOovP%%ma=*)@io#-UDLxn+=T zp3u6GT%D(T^}01IP_^An`|GSefs*b61NdS@d>-OuR#0uN1M68zA~p9P%+>c_-0j^z zo-dK@+*rTroM-0>#H{e~d8x6;d)MB_E?5hFxlpa%%1~{z9~EsJ#9_)@k)D%L4j-gQ}AhB%(CYE zL)(?(z2>|q31psP+r4}A(D-+PB^l|!s7S%>Cb3-*8kwKgocj#lT=>7CuT6&(UCkc?T&EhM=WqjE3ijLk3rOw^iMv3Vpo8Y31on znVl)2Ba6h~PwQDO&3)8W*zLx|SI2C&+ihif{+CxQm9@!PYjUi=IGf{PW+vr5_pQv^ z^%Cy`{b8-fpnVHqaI~=oZs@HjEG+mCPtATEjaV6Db!?jH8t49DCcU-28hDiN}61rV7G_hD` zorV`04!8AchiYXWjeO2dbKuBvOLCp zhs{c*g023}Us2%QFfp3ZZi6Yut=D8PjMw=w6%y^{Lq_|h$B62WOw1{}Kf((ilknx3 zXyYJNp0Y`#u_?U<`Av7!zqgpT${LQF7NBIr-E)BkfHFt-#cLX2LoR`0qphMTj8$-7O^@Sz21&``qnv}DY!BzWql5yA0*(UkSeyI=On8kia3 zfer_8JKogA=Fjg>L5-zzW5;OsV!5(8#DTJJRl@O{2cH`cYjwQI)3 zL@5(hHJHB&D8c7kg6JviP|FKL^7_o(ZDUNEvqv8~l*V4SyDu# zYzwIYKU?8Mzff7sSS{>G(FqWhD(ew{x3dsBJ9vf;3FS5EMLQU$|0V^a!6u->cKx!+ zXL*7ASR5VIfU&il_(Hc&3^Q74VkQcUd8-x#b_$1zqDL5Jx4N`U1(9HFiLRDsy5KwA z5(sZieSs%`QI~X%sD0Q7Q(ian;VT9oMD-;Uk?Sr2Mr?%JRDKPRuUJm?+iZ&XeT}P1 z$hY9W##@IzdSN)HN?Gyf1g^l0JD1iyHgI3qyRNkyB1b?tBJ(LrMaw7dd$IIv=|4m) zc={!f-Frzz7|y9i@~(+SA&?Z|teCtyKixTV93F*Ae*8A3>do6>5GR>pFe644z*<#{ zMy#FH0RleS&`9ouH>d-_>DcUtWjjb>A;x8oP0|w-2w&@>Lp&h}^~Y=qQYL;O2;HY7 zhn8SPvT{npAI&HTK@G+D9fU@jLRgCkU<~C)#X#`dhc{}fC*I` ztUAXQ1I5dNQdeXggTcG~GqGs?v0u?bVjH6Tz{PRkjr<{U1iL`>5K(e!O>|Q3o0z;L)qZuX`bhs=rac3xHOIORW zZl_=vCO}5G-;!h^*C5Bsa)+6c)KJ)9$$n3t`m(%P;hyGD$o+;dDP;7KZ#kh*DS%Z` zM@vXWV#to=pm1jQ#&Z6vmCzDVa|Wj^mRTH;j)=bNDU1 zFI7%2)9lQAzmRPmy>q=?{ZQ7?+u2Sur>DYT)sPUha70aV6r}PBeFGf!E=snHB-5lx zmcK(bVQCu574JIXv^aecP)TJ2I?}b_{e?p;CIF#!-2GCcAYeLq;Na_~d;ta>Lk|ok zWd5vw50r{`lNCCEFKc^Xu#jm?Sd_|9n;X;e;yo6P+RF5#03CyfI|Ql#-2Y(9``aK6 z;R|F$j0{dNC*PLcav2#OV@h@dxqzgWqAQQ%*i5i;doSg5E-8OO`CIQ#7~AEZ2I_Xu zvQvn?+yUj!2;doj-v%lP(E4wZsPXdibem}Qao}~+=t*CENQW)|<8@Q+%6zZ+^`kZ7 ztaU1Zx+#Hiykq1xd5UtCQn>@op>MU5~UZY0Z7U3IApNQ5bE~s{*7b^#yVnDZI zgc@`rOtr8ATXm^Jb%J-<(z)_)_OmezKZ}m^HYX|Hxi)|0&`?W`-*0a3`@!GYF^Bc$ zLp`Cpmbq+(@k2awdKBs5~ zsdfhYpkcxCOsD+19M=F12T}k`a_l8|W@~xQ3L9%mwyeK`@4KD2li6tsMtj;KWem9A%EYET2#jtc`H1)^mv*%K#|hQkDYE&`||&Rn^*BFiMkHXGD9iiS;lWvpo&6z-?G798`s5MLqNPCX^v>Ee%^c|G=~pnMv{bfr&ac zV`B6JGklE6zRNWa4^}Ub9?JGzlXbB3k2=Ata#R8bHFfyoRiaS0T{F!vRNZ;s^s69ZOp)W6W@e zH`?5FRmD<%m1@)onh;cp10~xxlw#&`SgKVy&Tg%T&laR z3_z?Cg{anuAcM=c9wxu~;TBU=vNxMA-f#FfdWJYY&S@;{p5K<2^!t`^nH>&Kou7Np zFxLls2#+zqe-r=9Nr7oMl~?quk5%l6KW!AE#%_!H4~?8dSF=_wqMkL8iexZLC;UtjAgY@ z7Rd_K9~sjEXFZUbA!;Tk)bo?)oI%Bp?IvGW5wQoLMm7jF+l~h(obg(^`!*Xm@;MK~ zt-9EN;OznOkRUG-)YCOlpHoiY7zxk>TUCCbFoH2ai~ayDMgp!E(1n*$1h>R@D#!szF1|28NT_eW5qHEA?JEfe+EO3|)y#736jQ^NQ z86|hA29>f1gnGZX1e8N&D!E6SR3GKn9Xbgh;_crxy}%x7jGmqjnZ&@8yG1r5<)pm8 zR#@EmJX6H_AR3jQ1(^^d6BqH%__5D|e47(*ES84zP|0dbm`wc;%e&k}CwFVtzj`AsD) zl*M}Wwa-0*|DGC+v_TknBLd+1s+VS)$U& z66kE%ErUPdl8*Url6>tcCl>ma3$GH*i`!{e`o}?F?xc(@dOgm@yT;|=o`F9!B$!_l zP3KTZYuI1ay#|?%1ih~1z^?+@*vQPK*s zddu2`(<9+h>2-nXOkWlQWeNH$9PIGoUp%vh{vVz>-V!?B@B~As9BJEtI^w=Kih|l$y>7pocXWYJ004Dgaz?-S$Vcm5s;40SoHHBqx zs9$IoUy^(YF)tWg$BP;o9u6NDYNDFeBpm4%8p5y2_q++MI0oupe8Gkw31GNt+q81R zs#UjrIZSBwPXQQk~>wS8OR)&=IP+bbtS1)pM43rM$K6vw@8OG&P6fr=96ESmg01h6l6%Mfk zSy7{PKMN5el&vMD5L@C@Umjr93v3&f1Zye(+ z?GI_iqM-g@&s8N_X6LGVL~FdqS}I5d7sv_n=LcmJ29u4!XG^1y~iIqEvSc{km| zOH^2XzSYBvSER$+ALaOgq(kJL)Qyak6)Fo)Pnaqx;nyg~BH0vQc{2E9PgQH79DsOV zw@rtyYCjALLH-BDMUy=*u}YT>AXI#`ZJC=*#Hc=H8aLn(z;ln#3kQ6xly!dvgh(>i~JX2EupMOS-J98YGu1mFXd=rk6Qw%LV6!aoV31{?tNeaSXT)6n{o>FC!l#9v<`8QSEft7Q4P`m6_P(m z{s~F)A^N*RzQ3Pk`u+E5z$*7UVT6wW5`6@ah~!Ot%BIPY5xN(B9VcxHHe*l}_H^jq zu{z2-_L8*LDJ*O*AGaIL$pX-`4R9$?Mu0j&F>*2h-Kz*t7EqB;Q-0RHIT=9C`2xC^ z{)H9w_FvsQ0O($&k4Dz`$s{k|*40G>TYlwjtc&R0pAm7g*1`|@FhtMb!zLqXmCxMZ z3ar*sY59jD*=r-^edACkKqmd~)q>p?^?!$gQU=ok)4XjlWG|j}5J7TQpKZ$fv?alR z`6X|b!x#3`)8fNj+3(+cO{3SVYp8n8iPjE|J1qQZXDhx{<7n2@=SQ-xi+f1=&iP)T zb0A*Namm`fAk?X&{Y`Kqp0tw*wsr}v%*W-wv-HbQxD7O^qCEjWH(DYt|3`Wz#(u<8 z%g9~Jm|5U%RfRL=nlhQ61o*0i#VHD}x6se1>lDL^`jHPvZdxzUBjU$z3Ge=_Ux!Fx zJ^dA#kKGgXVRe7#BYjn=PFa$i$3oWh$#;z(SJ&z*H@G<35j4|8#hwT8_ z<;T-9AJxr=7n8p7Yo^;U6rw?bDMhWbW8+bi4sv<(s(!{3OnDi{N}1#n3dZ~w5s_2= zLquaimHBP+!CYA36AznG*QPQ9A)){dOT0z#%8v@A#^HOfp95-LmA%EH3xC%h9Ex+z zN{lH-=Tr)Y=HA^=hi%{j4`DVN^;@8WXaaLP2x~rNh-eo7bWAnp}N&(-={f~B! znIf1a{w+(Ruf<)ymtEFmQmza5hIucb)%~}FgTeS`Lg14~iTBm`eRI2q{UNVP6V__9 zKBBi>(rrks?H+Wm7kwi2TE`)$P6Tghtf7dV)Xh@Z!-)gTIrerKmEjmS44DSZhl?r7 zIrvpFP68MhytC|-et{sj-dOQHB-4%hX5L%dp%pkg`hkU|d)Bv<}xgr3M!C zk8$r}!^?PEESkdkL)}|n$$bDkIkHA)?5Z}lmu>tR1*^-Sb=G%YU7xAn#Dlj@yn~7( zUv3xpFa8l@^x9P-jSoTHa5R=MGzahpaV@te5sDD%dHNKWu}}x~H@4|PKtT{cHCy^j?XOQS5FJ@IN!1*Y z*Km3hImrMC3$h&SuVv7rbZnZ|)W&73L8@yK@Q)(=-j{f$fY`MNS1DbkI>2)Ny_E^3l~eA<0I%sr6|Yn{BPdk1DmMAg z=OE1?@sr?mLFys#cDU*B&PckKm~loF6`}z_cy~h}Q3`iXJzKpyl}OAOtSE?+-x3sOi^x$7qr`Lm(xx~-n~e^GuW^C^ z-n4Asj-vK$ zXks}KTU3#7Oc{#eIJzy3;Oy8F3Obyj#txv+Lmm>lVUO^w?_gDP4aLjAUnUiI;0fwg7cyiVM9+joV9N*bfRg^_zp z%Bhl^8wrnUL`0S9MkYD$11@9pr?vADth}5F`D_&+ko@K#FOK9vJ&5uCB)

a!v3L6n#WYdcdOz5(I-Y4}_y5&>C70}#wj(I0+AIaMlp`e%YsRU_ZEOUt40r(R?hu`N*m(PPbwW3@>423*g`5)+Qxog_JCZmrv zVj=>fCOusx+y$JZ+~kBk?m0cO6*bKf_~T;zju-UJjs)F4FrboakbDQFoSgE^2su92 z*@RvjjV&>DDW*9YP^#I?&!oM*$6dH$s`_)0J8)M}y+`q#r8I+V5zG`CWz!w{5peX! zrvs;DzZ$%}A5>dq1SaDVV&GUu_ec=UzZ`U?zKEbc@A4shOVuF=*g9@YA#@JllAXUr zfFW$a*BapI0Hyw10aRpY?&xQfI!3p0?`;Su5SPY4$7#V z)MZY5(5MKNa+DWqZF+^)OKvL33iwVmOh8LMw}lswa&=_opcUG7zGvIWhv$lS&d87X zJu~$~w~en=nvwZFG|xdq`bWz+B_xjjjTRX?KZIJ0b_O$78o?PTRN+i`kRXv3$7TQZ z0HU-&p=y$*&Mi{mV1u*2#TZaam+>nCJsTv9`T1ATE{+6yIJhrJG5ZaK_FIf8r~IF# zG6KIhH7Y+4*JD8a`@iBk8~&fTM&KV!UJ*dzLU8Ws)$_k+kC;vUm^7u1?)A3r3?VT= zWuRL_RrwS%5h&z4eUs^=mm$O)01V2e1lPvjHX}=!D9|=gd#frVa2)U_lH*gJkmkEo ziQ?&4=}u?!Euzy7=O@r2i{^n)pT3@f2PS9#w+h!p)I(ex_v!ECPF@2Br_JTZ*A)(b zj()@bs=Z%Vftg&k+)%>>hT~eYU(^RXN;pM2c$t@hnUc$>o+}g6FiF+2@C4<)i_ z<0^OU%DHwEz}FimN5GYw%fEb9FkIE<_gTDA*U|oM5{UmX2{6rs`VmVQ!CVLYBi9Sc z#2C$oyeCmp19DFA53>W7)oS_fKvE@Hu+nx z7(xe8kZ3zlqa^UB!arVLGRj-5dO7K)Y^x_Y z63K<-U%y@Z^``{+HM*s#0(j4e5e$$O0zg)xvw762N)8cwquk)de{^uhS{xz4T3kwp z1gz?|%8w>NRID6+(c|aN02Q$TB^apKze_NI|0%(+T99siV=jv$sQn`1xM>LX@~*9> zA*l5kbn7pT`T0CHXCb0!v4Kzn)i<`plrv)XXOxs-VpX+y(${)gthU4>Gh%kz9Yk{c z$`c!5uTc3n=uWVO#>1x!-AOMrnJMG5u@6CSfnN>)V1xpoa3K1W?ATuv&VEMWeYG~W zfgjtz?n%cqWu3-m9lhriop5JNb{mZ7=F^njZ~i>^V|%)rqM^AoeC13n4s~Yo@4E_6 zelTxly_0)GGf+-us#xe{i00L449)(cNmqG}i0De024lCKOZ1g=67tRiZDoLKqyl(` zPerv;CPI#BADyTes8x{PNXcN&h{>S%(|$}XN)9yl@U0_VcnT(K_~)O&iB_-M1{k1N z0H0ptalpw{Va=&RhPJLW8a>ak#m3oB>W2NLsk@O0ijm3r)g4*DWz}TPPvu_D_Zin7*?jN3ehs6K8}{I#Np<6+ zEsaPnjr80a^=jcw{RY2nGqw;K2umhwS$3`ykjz|u=rb<$?pv+mds?k8cPGgDUwZ-3 z=UMEh?>(B6CR5sy0*Q-eJ1_5qKQ_1v>rI}V>iag|x37Afx3h?ZJAV4j^C%^A>U%@- z-Sq#mzw*By>-B%%>d3{z{eK*2HJzyxv-LeL_&4H(Z=dm^Xn(G(JkFSGcBv58T+5M% zpAdm3E2<~+A(ssqaK_+$C|Hi3l3@9>=_j-+jd$$vEL5^cWNK)G%(=xHLt+IFk2fg<5f|Ipx3(dyG>&HGnOHao24FL!rEUNM{7L^9I4c_wG%ZO!yM zu6U>Jnx>oY?#$a??LNG`Z(YfuA6?E zZ2HM3aOD3R4toVxMa?mb_bEPGE|cKN{glV7_H+toKmpaU4^+G0TI{1EfXnvykn1?W!g|EpUPryU+XA zho?bLHy0P_x=-~>MrDd#mQhM|4c&@4CEuG z$nw4;e;-PXmz2?^tKAp+-n`*1oN(sm`ZrV2^wkh$F76=7Hri^q+iQFI@vdq2P24EY z=G-j2_FfEC-Ho-HfZX;s?!F_bQ%S#&Q`svD+0MAzcH~!!aCs`K*$zE?uk__7xL#?k z*RuCs-3hf?{A7*zb=s}ElCctpu)NSrfznhsRI%-S&N#<(!k=U;G2y9HvKXkR{G`8% ztE^C_66cS{oUz+asGhs1e%jQd3r1!$t9#hlB%`1~QoY|~`PeC$KEaT0oz6E@o)80^ zl7ny)^=HihdGeQsgKL7L9J%y%weHU~*$3m~>(SMQc;XQX&4!lk}NG#l)OPG5R3|f}rPtKDj=Pl+K+j(6pM|_@`sVpz*19e~07$ z=6+phv6`htGA%jvhly&#j%pH;hjEX3)6s+Zy;~Svf#el);*&u$&bB*J(iuCV06cc1 z6*j}qIL(1U)k8ER)d{E}qhI!CCy=)Jx^eQ&x8RhTws{1qxBRsDeAitkxn(4x9T2lN1tpuNuz3<$$en1R3D~S*!A=Q_mti;MwDnZ2~JXpP-{mngKtM( z&%@M6`Av_~*PPE`^i{kfeQO=QlcXBKAI?UkqqS zZq9t1k(o+k8kPZdp@02AhCE)#+1oQsYx8}q7cm-CoJ%D9wKuHI^PS)W%@->}cFSHG z_sI~B#OWegL=V3KY4Dhkotq=-HCX+`O|vU_s-UFzlPk);2U>}CYG_GBXdPQZU}(wt zw!u*fdeQKgCnO zc8G10UY!V-77Ah=?ZTr}kApN}UmTvz3*Jj>g7&lcBv2GmZSoq9=PY!&Utlz1?*%53 z(kRhk$_xVs(BzmfV_*|LKx};w%ym8B)-qj8y?Gv0)*G5U^CmgCCT_>Co&@YpIr?zT z9wKM5+xjTYPS%jAeRdY_oeNx=o)WM(_LP66AnTFLiFL}W^v>}Cj|EAVlai#iz!^1> zVit$$c)WU;3xEB7=-pa3JukTzE6}{4ivQxO-OYlOP9+R&d5;m+fQkoAc5H)xvf3D> zFUw_!*VBD$V~1+9hpi^on=H%yK9cGT;?Ab@j3%01$e& z#-Jm>I`viccSCq@j z?%b{jNmrDr3-zDOG*T}%mxgO~(bZrUlO?hlfHTHUjE_Ku5w6vRXq!p$@M=(~aCV_v z9q?6L)nd)VPHZiyV!R!5G0w|ehl2C_6Hl(9rTzPpLedAsvvF@y?3lNctxWXw-Ukih zoHZ=p3Ls1{k#%cD?<_%IRCLz~gq zVUY;P+@u7APR#;o#nC)pk6|Q8C+0nCFbNj${n;u`G|sgurP9+ZqU=7)l@>T6VN`6-k1Yimza=CsvVzh)=i>!bYB^A{Uk@ zKkDC9^2&k8Xhp&`H9TS>9!g35Z7fs3m<~MaS4AcW!2tyH0I~mL#veRm4-IylcxVGb zm||G3kvCxKxiTCe36jwii@+jo0m9Nfk75c__iewm&YjhdI_-6TP%wx8RMc9Yzi>43oR~m)~+Cpk6f@Y1fNTg4&lU2 zj9joOi5H^bX-h!5NI#GcX~9X1oUtm26{3O5%Mzci;j4f-9!q@~AI7M-`?A!dDX))F zQP0lCo0(~AXDJlOiIh*O@k?{z$)zc{IE()}+ z5Sq$A5hAc$Of~moLhB2kw5iGZBWLV%X@K~QfcOUg!3RPMmlx+sFE~3k1ZRM>DWQ|Z z3`=VZ*}m8)|M1l)q-uYyd5r=&z8+v>XjGC8Q~z~2K*}ylS!OU&=|@0$>kAJotsMbE zb#lVc0GtZkr+AvN!CVRUsrE!aoQ*%IG2-0Eihodw%i+1d8dnlYWi`ci#&Qu{151@D z1b};Tyg1PeDt~YTfjh*pqwj~wJE&ENcya*G_w0kkn#BnzLk21ymi&4SQ2~;#BzHfA zB>T~GX45+xW92Bbf1oJ15MG)>a47R2d~Y2ew*)rxL+rd!K@tQg)J}&V6mg0+V;WA* z6X(hTmO_PZkIBR+1gqn1i_#NJwzYZbHW4K>*xk3D=7B01``W~oNDqOHYF$2jC62LQ zW%;%!OT4hu?w7+vSQWOOTEqU+4u6=@@xftbl+Ph`sgswtNBk z@Oj#w0mpGb%&QU7M2Pv(5ENC_q>s=REJw(CyFh%um3R<3TE@qJ4QwF1i~hy8hYZAr z{TCndjOjShKluFq;;ZfGAZMqC9DW$d!td(q=y7jts(>fZk>BQ>-X>_SQEsAedS?4b z=;(@TWw%b9?dS`7wrwG>{-VT_)j21_uI8KD=5r%6j;0b7aYw@+n1(aJN?O3H-{blNuzsmZ^YKC%c{!_DN6`9-Is0`Aob zkPANiQV~0`{m>)?RM&~fSuj^uCJQ>VJ;yLQIqD}Yy+|M7G~;X=YUjCsSJxSBOjcja z@D`j#>F9%Ft$Zv@1t=b{>}*$49%>$N9-yYVhTA-%{GKIl!f`1tE6kkxxlGZAE~4Z%foEFJPDz=!jWpY`d8PG2Jwbi*&HYuO<*66 zhf;?imB=<^odFPh>CMJN+54p$ps@W{{7JK($ezyjiC4^}IL=9-W{{zK8ckW}P{&Vz zZz*};TM7~Qmckpf39ywA0Y;LyMzeu$r*+`OHj-=!5cMR7rAKttwmb~ZM=@ZhF9UA? zFA#>i3#m{ADSj`NPv|dr9+r8ac%d@T>Y#;7oO0BDah1Cl16qIxiPL6)Br$Ht>~tc9 z0Pb)a5wG0)JaKDarxqR`?(p*5l^0YT)H3cGdb;t~?0zX%)f+-STr$-K$Le2}N;=Ta zU@8(wW7Pj?LWijTkA)3Y@%EhierJi|zF`EVBfLr{WW6B2uja!@v_Z!?X#}M_Z4iFb#8C4J{H$t$O!oHnEb|F5f3_-36 z(3oaN@q8@<8dHUTjOpLX)o6c>X$a7mM*cOXv(!LiN=`qn2zh^8Z-5X~7PtoIc=<&_ z5%L>5{znRvCr$ZEzmp6zDwlXAxD`)yE*u&S_Zi!gJ|%ZaP0$GjHa9|&53%8R3l~QRIE$@kTM}d-Bse-AMI@~#_NO*f3`FI&L#OR6 z^7(V*VwduGD8nIN$%^1@SjE!5aV@1KOVsQ!Qd^ONQGxQXtN|ypetsIECLV-?rwx_j zBK&Y5v;`+H=C4Tw;Q*RccBV)W_P2HANn#5}Tjn@jpT=?XQG+oq+S@x6)waUPp;DshgR2$~UX)6v!< z3XJT)3xrCZv)8-09=p=2h#otrQEVld4>3g(!wkzyxx35gs~ztq2==M9QZ}e;g&{;Y zHl*)4*8LYtDH}-8G_*_Djv~`=mSLnFaHw(qYIwYa*CR57*M-_G2}{=MZ9_ODKiD|} zb(0jYEmxYYPs6rw@g`^Ka@6D=ci*P3^a{i39$qf9d-oeD;gbY)EWpP#MSkb^KjJ>p zAKZ}HpO6#e0}f7BZviY=+2jhos--3@bhau@1nB(#h;AaF}ixN>-DoCwXW5zp!=%zmy>T8{jH+` zeB-ElYT+1C3;it_EOKmVPiiWIrmeA}(t3(}8FIPft#YPsD^sl8r2-_8u2~qyt$Z{@dHpmrq}WFQ-oS18;4N?BH-V zp+*T3vXu45FT$evISzB2b14lg1aes$GV`(wEE;aUv(3#`)7yjs^c^lHr2HN%+jK-p z_6mVSDfTlg@%9TIk2->4Jz=H?k2crsPS;Z3ZCKQ#g}-g&LGgN*l+Laq4zkN$ucCV5 zjNQKqO=Do^$6wSog-peN`<0rl&0sP$*V)do=?wztrLgQ`ym<;W`D$CDkBlqng&$h# zkha^TgqOJX#E@r#n2y$p8&)!#Jlt*H)IXm@{^^!!(B+P*e-(p%M)$O`a(ah$+ZLwq z7#xJhtRN^T=)%)3MQ_EZAQxt`r}>@hz=I0BTsC-a`3BbnI{=uUFq`FD6!=zGAPLih(p}{j(;%1Uu_LLYhPy-6-uR(+(&*Ew|Y@7g<{e z8rU)WqHd&2Q8Dt_YtRpTzy2(%^B;=-6q=UZB@v^G~KyHFes&Kzp5SwO^B|fpYLc+9U(=Ps-DIQd0BUFN?qX zP*&SVPJ?D;%LPMi%##>=m30pVLT!N4Ji=~~!FYVvAU*cUK!2o%D_1pkV%cV)M6NBS zYweYm=)Ncc$G=h1ZX}JxSx#)iWB?P*s|?U^>o*~(A$^~Dedhaf7j1c6YM%6SV(|6Z zu;#HsrOjIKZs}YuuH^bHNK;|KCOy7BCyjI_O#rQ+4hpHZb7)?sVw*5aQ=lI{8j(WC zwz5tj4~ec`?RqfO;ZjkY!Did-=6*q=;JoT^*e)+lrNa+bUVPr=N69XF54+88H^ITN zE38Jv-$$}t)~ko)2xOye{4lWBXH?!!aF(3uT)qBoYoce}jDw5Bo<63b$2w&IWJkkR zpGDzo_iLr#q7wVSS4mmq;}pYEJ4)!O^Woa{x0Ji9r=vbHYUYsik|q3$WF^xmNpRV_ zbK^I17$R^wd-xqms})Ca z;^QfXK_^B64D$Mn=w8X}`YEb#HUM%FqC0x*rh4|(;g;v98()uCg zkB@0%h%A8=6|$OU8z>J(CMf9rMeB+}GBJyO=)t3Ny&Afz*E7CG@aC4PU_Y)Ul-@;I z0d)Y27U!Gy`)b-pS6-jN?{9vuZ|A>n6la~j4WAz`I=s3YG3l)ta~0?^jF$5DeHan> zu}rS|ePpE7-Dgej3heCq_~s{fJnp6{`gQbqJvjgN@OvHeB}7ne+$Tw_#mLChed|km zlzzY6di#^5r&~{t6-{IO>>q_Z>7MlCn?<<#Sp4OgrjzV&_?GD0t*ws?Nw^~^DC6!A za**|LM!N?Ey-cI|Ap?NMgJi1g2;YYWniP4J_sPwxdKA&hx{KRk5 z2PF*vX_4hYZqzCIf%uU@oCZt7PHe6S&J9XmMCFUwzCRDo@G92t`+1Xb0lnJ4@dTQU z1IBnoKby<0%S zA36vHZ-@ZtXkyVwKTJ6%U){V=;U6QDx8+3O6u*lCZk@sz_$9B>cLbo12t1?T3tAN! zYm3pokrRm&vXD!kOHcECcaNUqY#j1;iPpyQAAjp`x5b^ ze#LWoAwW7v+4-wAjg2T2zd)Wmwu}5XzOxwRc8l3EkHw?@Tvx*0kA6Wdq?`TJh}R|j zG=aTFX7O5nu zOk!!_z0Y4;ON>leq`f+CB2?Y}F!Z{D{@g&?E;_2tTnD z1E)@mJgMUpvI(c@iLUjCoaV?in@M}joOUyyfx&xp0nt|?fR8G`l#^J#`m~~!e-4AF z#@vnAI5=1EY7tavg_~oLUBj<}OF(!k-h{4a96^b<9SKz*$+U+}=rF$*_HVjz8K8GX z!ZGw^;dOUP{2A@CZl>BhaUN>(OhHA}hThl?*VW-$Nr>baPdP_RC9PZ8GHY+HVdhp0 z5AnsQ#2=CE6v`ceFso;ym}c(a4tIGtUOmp)T1M3F4GzWNy<=1WIW<_L^Q>`;mUf(& zqm>IZuN7jeF;yrR{D-bYQ6o-9BQTLiAkB2O1=*11uas5v(duRz{(UPF5(J~khfB6plEol zRWDtrBz9;`{?HVg9z{H9SVp6;7RaGlE!JC)6u=c!%y(xIC#F@SC#V*>>$iW&zP&_WClCAY(3>hB4B zlDI3Sc+!mX?2%V_adWI>j5&EY6zuO)~`rZ^YgY3iSl-4R)VZ;CyPr zH`+6hxeF?w7J}YWJ89)?Fb;u6jblOtaxB0*& zrP}k=3stkqSX%mN)cDF~(|Et$deT9h9cPDVNalpKg~(REEd>9aUBz`vXC_$9mAa|| z|40(>x_Bnk>%n!Q0x^j+X^sjQpD6?v+jWv?wW>;{9HaIk#Gn-M!Lg-}aJ#HQo9G3* zx3j3v*LGTJ7pd=6?hQy9{jQ;8izn?-0q5E5n3Lj>OiQh$5WD7rhmwkFH_AbrccQX1 zEVy3M9^6qA0wwtBsV*pI(T@eK5F?&@Yq_urWdv`3W|?NILVY?=(DxIwn1>2BKK|+j zfkhjWzlf|&1jz-kkxjGS4yP3}hX0M~1V(xb#Ayl6Dc|`Mfr#>ow7i5YkSXrxlw466 zTaqoQ=|Bsm6m%JpO9BOEFu!zMRcD4@EmoO?nt8=76JH1}M1`iguDGj4kzNMLbRoA< z%f`=^Ylj-IA5o0U95D+7UBf?c!~0abj6YCHR*mKp9XXYL&$OuL zoIuT5Kw&hLHm#WN&Nu9Ev{7WnQO$6kbVF~Ehq*rv8CPNxSbQ*G?c_*2EcmNJNTx+hUVy7Gn`I(1vMi4m2m*Dj0y{_!bhejTDk;^A^P|Z$u1Tr z5io^;oYr0vKKatt%v$P@H#WnYcAlTwSfS0&H7#h6R@M1XpL?cN)p zA=BqRcMIS{s*?Z+-f(tN>_6t(pilteL`$i)dL{$YY5oxnHY7{p5KDUE+>t<*fNev|el{?JF-h;L5C<{|6& zX!nqfjv(IiUn|WGapF{8oNYlYciLijm7kaf=SmGS{=Uh-0lV$B)t&N{DA1>6q8a&^ z@RCc7>{{`=|8Xjsk^Xa`SSxH^Ya>=gN*YE`v}_`P)qn@dY>6U;?B6=^&RVg1B6IiQ@6j-S)h)gbW9&(?- zZ8Y|rsZBwxZCDl#b2}7$4#X51!-Mw}M1B&(Jp9XT8RsVi%FAUfn?<2U#JvLeza` z10Aq+aJKF}!3qvci5&;x-dAYb)Vres=}+%-{;I*oxQY?QITelXS+ilewgRfl-Wrv$ z&p4Hn0a|>jbT1^mK4N)~6_4onA^~>h%GCW@`JO9#+DOnDp+&OX5GQwRtxx*cCr~pc zC0O)MV&4T=SL)M|rg7O6JHmD>WioUyIrHq|r}-GBNumN1VItJ{p5;-a5Zu@m4HnJT zwC^jv*g-86UUmL;4c1A42 zE|N&kj?jwijE6(^w(Bxu8dyy~zkU8uP2HS~WZ+9fdA-;{wy4d{mzpE4H!DF*5Cy$v zBug%_Q8c*{&oGd{bi^}P&_G}?BcQXMSx!4+B~=^R3D&PUX^)rUN`SWnjkrd8XE1%! zux#7@B|1;gLefJ92`%ot#91`Dh&pOEQw&Zwze%fS$SLIRgbgsytQ?<-k`}5QPlN{P zC59Xss0ZMNO+FK&#TMsL(fS$qqqbj}MoeU+D+ z)eX8cPu22U#@SN$SHpMQ`B-pdhJ=vSnq-RZOSK&^5)9!?KIET}UiFiabE0@Oci*f- zXKUcXutS#~>~n_Rg5}q85f_dr6s4eQ=iTgu9sKP7vJm0;4-1ih z*!wgUjjhd0{+-tE|1bYHkO7bbNZ$9)F=hh5AI5*t+5IK+V`t)K;AAFdVPj_CUmCMK2tow_oeqlSF)7n=7Vi zb$Q#LZ=a{%->+zi>23wPbfzAvAb-D(JeURv`nkP6Vlmx#nXmiPYuTh?G3IRt@ZkM| z{)0W~RHQTcaPXxPD(Qa3VaNA=q^Zl@!0}JQ_UTA)J(RKE<*NmkiFPz}NXDaL%;Fw4 z$LKPNftKB{LoI}f2HqVwop+>jKj`CFaDi;z?pPw^qN_!r0llx0c9A};bsnLdl^nx$ zDceva8MqCWI@WS_FTS}9#PC7SCj8xHt2q5$jUd3_2zkKE(CZ#P|92r^$lOpZ_@^<) zjNClf!z9yG95QATS$Y*y{m)TP3!!*uAO0)EwxfD`;uUSp-_73d=j>f`gN>$ZbR-9Y zhgeuigSq)8(kSxE-D&M4K7# zI=iULHr25Q$xp=WR<5T%^CUK!vN)Q5IPflRQ(y@E4a)!6FE!)nR7@uG=vDgxlh8az z-{Wvjy^GI{>Az+3FX9sxRLjrxRm!QauZmm%PtmZ9=h<{L4h^`q8Bn~|%5p3^b8YV!KfpCc#?BP~m9EryoKkcC_HI1+b=4KiA ztZ$dDnDagPl`_-6{m#&iaA&5_Xf}rOr(;!IH$P+A-xs2ZkcGduX zsfeUCiF?rBNzlNeFjwuT3=h+VK2-zj3;E^`PjwauODSw6*KE}SGNTXgl-|ApS^IhR zO0h4G5~deJR806434}7MOC+Ed^@*QN{HuzdpF5OOIwVG`>o3+bvKqrLY_~CIWYzV% zu76Bi5>eQ!RwzX%AJ=mybHIWX&4(}drxDZ74=?Y3j7b6mzqq61N=ipF0iQ4)3zHYq zU>+k!>)$UPvf*jd$z6C%Jc_SBvSg-oe%yEaziuRBq-|zaA9_AXr;mT}xA;&$vepzF`<2&Yh>1h!Kt%Ee`Gz5;u#fz8I2AlJB$Wb$ zB1kzl-()2CXee!E6qT5|XmYo9jVS1&QA#Qc)+aB|{$qr4Lj$ptsE|4Y&XnCCBGi2^ zz$dnp{^WGkVZI_Gk8m4L;a#^1FLv?FDHn8K05`a0H1oND+gZoM@dOH^lH-%30TMTp z#^gv=rFWA6G58OE%H4Ug#=2I$)M>?^44Jo89D=hW&&hc#f9iTGquB0m*o|KXE6}x@ zTilc(9En&!?}s7AW(0qsqobcGVtjj&bF2sTkMCbl6%jgxoGBuJF|BvO;*!vUXJ=Oe zQulb^MXMw9l5^E~ezb=L9AMAW61*f#LWx$4nk9GbO0~X6Z#bNPoQbw{{geQN@#-et z+4q6^L>B3WGF4Qcp*ja^&>O?Q7aoGh`FCT1Q!qLeZ!3w3z)Y!$o=5)p3c>;VYjJqM zO;SPHDLhS^HXdC)10DDIqlfEDYwd*CgH{TQ9CnJb9G#%Oan9u(stK5U#u@Y3Qo0rI zR@IKHU-Sdz1|$=)g3Va4GVjRn1E}a|VGDdcLah}R{`T-7{$8bwXXOTpms!6-Ab&x! z(O`MBvGM!f*u#6pP*7XsYf3X8*Q`9&ox(ngD(gt_qJB4EMzjA5`??S_bo*!E1U(-X zZsozfN(8J+{?IHhoR8L3(swMcExsDFd17Mt?8%QFrW}}W-fQb45(r-*egyWL?b+G! zYiI$d!7xN4ZW>Z)i

h>M^euX=3~j0!Fw51 zBaV``rRp#ZKo98*QG%+>FNmi{Fizzy38dnBw>_bm3ZzwG zQX$tgRn?pG6r;yj90cEjS4MkMYY=K+ECD`9wBN9ZeD39-5;i8sb zlhL?Z#a5vqX2}p<8;a6Yve@IsvLjfXn84&{rbt6oVn9H@?s2cv%+#=@vor8E_ydpc zN~})zxI}SRX5>OnR??vFd3cD2~uc1&d`Xy`BVEI6gy|C-EJ@0;`mBQ60^ zD9k2XBLyMfED9glbh7~&B{3sx#}N0fo;7XvtQ1}3_`$T7R2N@ei29vQq&!V{pi6~y zpjE%$_D%Of59L~fJGxs6p5e_Hr(FWSWf)KwxFT>JVzZ{rvU3j8%DQWwRdk7XGP*R| z4e`hUy9Wjb!xJY6D-c8v3q#^)AJL?h0Q$2+sZRz?5p=&xWd%77Ln_~94i3L0d|$zs zZjozJ~jM#SZ|Eb>f6mZbq0_iEXtLy2XgI|GDVs%N%g9*}H zU>>Dg8*D9LgTQL61d&3mjH-|WvR2jZ(*|`#qakXX!W7J}rezP6j-5nPGBQ|GPGi-T zLFC0(J>es&tdZ>GxB=s7RzbfgKBaLSTRWSv<5Zy-g;@8Bc9L$G%ai$aLSqs3Z8h4J zhqkS_y{}Wh#*eC;`3hvKT)-sL7y=cIG#Z=yV2TDBHiUR4dUp;|RZfHoL>na-b4wcp zH&GHs60JnMS5~Zk@i#t`?rNt~6ghem1u(bdM9N=mGy)sW(pu^=_miHFDB%&T}=9RW~A8YXvhw zIDAPOAA^xq+5kNX?^o9GfE=#W8zsOrN2gEFH$kh)RMyC_9 z4DX<^7k|0mu=+$BrOMQ_-mdya;g`!q>B6v)M>-O1n!RC6U>)^b^{M%~zjzaNHwHcg zi=|WR+s|ju6DQZ*;Nv-HeAP3r{SvV0fgQcW;SEwqd0e(we%o9p%2xOh3vRRG|IRec z(}P1eu<2a7cQY^gc{dfP0AZ+HLj>`ZIL`}BiP?{o?K5db*03+a+gK9YA58Wh+7c6+GNCQHHGIc>f`nGuJRw@|r>JCrE7#|gMDH~!w32Pz4_1=~<- zU{#6OTt$pE3J6EY(oI!OEU|IDnAA=cEl%h;Y4J8$M5Eo{D z35E*jSr)jZ|7nt4SHFAxo%aYEYZ3^GP-qsn;3Wru-(P|acl&+=n&&V3D?Yz7e*Fp} z)2-dF;tgfXPCq*aEJlQjU8IhD7#l)lonP1;)u9Vb6$1T|iA(aJp`X<7Y}`~@a3M&N zc*&)Bz){1&1f}o{2_O7RLJkO*poI!7)3v538aI7=fP(q`9*kWpT!{18(1mDi5pE~% zS5aN3TT)ErapKSmZFX=-$z+&n&^Z%L9&H);T==S8Q9NaKu8S>c&0K|Oi^?* zSVlhRIRN+=hP#s`mx0f;@s_L92DS4;(fFy^5 zI$*7q@}ypX_HJq#-aX;L<~HUQn#F=k4&ZBIfl?XajfSU2+1c5pblx~{i;}q@n z{8omk$!`4Y44~QHB9|P*ZHqpux3!45$IA=7jk#}|$DY&@H=QiUdL-N8Y7y9VIIu2GRD}TeP)!@H2%XWc1T7>D#*3a+H?E6Ux09oYm zX7hTd1fYB_AdAWQem!1Tw0;AbIF%!O>$8V*j;-C{R_e9R*RTKM=Gn9TTPX8|WX5x-6+@)s?WS~b&u91}N_<=T`Ujq7HknjYSyafg8f?TD1P14vTq z(RnytnMTRM+XL>bRc$ybRxo<*RN7bUey#Z$wGPy42S>wwL6`ba-F&Mr`tqQ$5SmL8 zxGW|J8-MZ06AZloZQo+}?w;Mge?AZ1v~w#~@l0zt&|PM+n%!GtGX$X}ygw-Ep~d{o z%dG_qVFttV&F8mu-+*QJ`z#UP^0t6G{OM1^-{ETcaA5o5k%H>7ABI*el%?uI7-jeLHZ`hE^R&J%X1+vRiet z%Z8u{dks~mhY=7Mf26_;ib$=2`7L?fR2Egbdf$kVW)U5`TBUK2L{J+oEHw!$EoL{o zpbm{WQvE5tSAeoyyMeLt$=*Qy@Y^06PmWL3eOqc#Wk@FdI)xq^i7|GQ#V%j(!_qqE zEwk@hlfzC@-%gVQ;B>voq2;d0Y`0i;vbSM8*C&bD>qWl#V!iENWtpDgF4U$F;Q%r* zi0M}+wA2qK&&fAh$4Z6wf*;bDj*P4AQ7L~uP|K`5)V_ZG(?i_kg^*_I7Pp@SpN&mw zGNTwL`HGF}uJc)FZ@*r{s#;Mt0- z*tvo!gK19IKZ9Xjy{_p03P_==@lpqeviCDDvDT<*ss#B}>y7Yp%h2~o*x2w;12!~B z0DxlrhJ*I$Sl)cYO?52)$2mW9b3;v=EF(R`zd_XZP^>N&eigmR)PCF9JHPn1g?gpi zGEbH3JFuZ@+0i_y>EI#sqE{Iz%h~>?)44{C2VVOHFr2q3fi{B~%kW z&ow2Hr3|B_?_7B&ChS}g2LjB;j1e{TpeGaDD9ii??@I zZ3~!ZVa5Uk|M$y;{{=kvf0(QPJspFEgM;}$#~OQdb)v}HzW6$v{ZWc*3RQRM6Iz^O zeW61-BJm(WmL-hVx|PK@p^X3KO+;{BuBrx@jn-igy_eIdddm5zQT^Dw@5hPz^Zj;s zm=Ya9#pyx2p15qc4|w>`oB7Yz`@6SukGILDbTD|gpHGkXC|HluatbUA&qv-rPp~v! z?x8t-K9R5Zd`1+3gb5ye-oEX=bI3B@Z>LY^bz?*B^_RPGVY@e_U~@HkN&$N~kB64+ zd+9d=^4YKqWr~76z9>#?^?SKm>xYkjhW3NOI$rilyY^h*XIQomZ>Bn2vTde2zNM*f zo%UTH7a#1#-5oOijPAR$0iW#Xj82VkjlLyIg35-_X*$_f%?~o0c~v8>b^p;6VA*b3 zc_>rX-u5cssRDuJPTMT)Z_;bxDix5*f}US{cPOEgoKWfT;-@_#Dm6L3E#$FGN_{vb z_a~~a8ueRK&>@|idXPm3tYI`n5tGZl!PpRTdtVazxJ*Aed~u~T+(}i;;)oQtFLT{* z>e7;1zxVq~ETgHX>pGRM8bJ=Jo2o^%Fw=7Vi7CbnP^E&Cxk3b+tF z5%YH6pKUIQBxfSH#2S2V&bKn<;(=X)V zi<_1l#ifu)Rl6SWW0UBUhG{_nY6BvFR9)bbB+SBbUY-?m%2cNHi3^G)@mo+9^lQ3h z>xGX``4%?~sZDZtir*uyl%U}!xhSUD$=9%qfBikKRrx3{4rEmLp}m;mN14@=ZrMlf zU&uw`52sL{63=mt6kIC(t7$+j`DrlAW&5tRzQx{>71PK0<<4hoMuY@QUrJUynHrxC zt*`ttYVrq=v-IA{%Vekl-@xPUdM+|bo>Z)w4<2^P-u{yZu1o9o2?w^8pfp9%xpJI5 zQs=HBO4x=I+U-|u>}7C>*vQGX?yGGCPD;!w?Z(=SZ!nq%gj>-7%-h4fesX!AZiF}H z<^*4or~n6hM>y`~l@430bT0$EOyc^Kxa8M;`P}TtwomVYk$2&)D8(-x%Cm3Gfo+^H zb52}^Bg)cP%p61$#rFN#SY|#P2dbN8@CC|7`>t@g8%m&Y<}&+Vl|rMT4lcLT3b=GI za3(o$8MiN}3&b8s@X4^IjfP8X&zk}O;Z;GP;u#(5On4Ji%u7b}Mv+IiwY`|Bu06EM zG>L!~6EebnmtGld;Wnq#U5sn3aOTn(c=ds|=5Rohcv;V7a1u_I>{cNUv6r4Z`FuB8 z70wn|VY)hV{a_5x1&^eBnlx@t*w7=*G1NGq{GF6`RrX)U*pI9}9 z4^e~5QRGjzo1JV%r(+Fw>2}%H?t?T8gGz{u{n{q_kM$>obyQwgg+}=3d^qQlher>R z+bx*X^HzyQ1$U~fHOTA9vo)EMZ#A7TUB#KR4f&Oan7ug~D?VWvI8tQ2jSxC^;>eqM zPLK|(w5!65toW58h$Z_}OLad9(FDen19rDq$1>{o$Eshp7-O-wGjLn+2;&25mSW*D z=qKoC)Y(sK#3iN%HKUK>WhY2O31QemB{gF!Y#JK&hg8RkEu%_&%OeJ$w*8nu6Ax8( zYW1mR45u_RCPWY!-Kya)8QRneQwm#%I>4uy*cn-6X;YiyDbqLZFU-NRBY%nySlajjDcsp*i+tN7=UW&+c$s|&-=t~cXy4x>i6v0W~aJS1l$)4bfRshh$# z6M-gJ6gOUxD?HVu*lh%00)p8Rg456UN@~bATeQoG1)CuSk1R^M`(B-;dH7&dP8~dKX9&<_F|DvzlYlLRGVIRwZ@KQgRZj*s`_e z?}gVkI|86-wBM9!L-7D>0VbD+VlB5JMzsn*uvSRxD=Wlr3r*r(LF3(kx?A}sBS_;+ zEtfR0-b(!u?jRY)dkle2pttMgF~XL~kWpBrdL0lBUxb5@sUJ_rIY-;Q8K&E$ zv@Cubf5U?yPN%O(v<=nW`HUf`r%C%t;o(PYu<8-S9)(3N^iL0cE7YI{9W71t1<;}} z^+zu$Gt~jex{CYnCE3zP&3aUZIg%1ZVNg6wK9dwLzNn(w1iJ#>l3DSXaKy`!a-dfS zJh;8iVyoVuD$JWr3lc7km~sA8RtLedqA?YNWZb>#@1dMG#zgu0fwXnnk{o5j>8BF>l`8mm;4VzDeTf4o#UV#kmzKXS@?MZEK=9yATUu-Tphc);PaI+LT zu*Z*uur1=7_Wc-wgFhZQ>-5lm%*wL7Uy4gazQOctKv!QX)=sdQeJ7Du)-GrfD$nxn zsSjQPleK?Tn@6M8PgJS-p~>mtXSAF}n=$IRB`K;r$RwHD_G6x0IPW1~K^V4EJnlVp069{Iny z>;D7e7zc2Not>DS6?k$J7cnz42LrIc&ceX<*BAaD`Np`J|9Zv$#y7^w^56N!*#AoW zTbKXeQU(5c-9T z!oc;HAMHP+2e5K6|E=*iJ%E*)mGdv{+W$R0fQ5^l{lB>E+B$K%ZHT@^hJS{>>$a6w zf0Q_M;My%VhjUu_mr^B4+j6F}i61ok^%1#p7tWkb&D37^`(qs_VTdOXQD1cXxjTFO zY|t)_r?#v~?ZV;=%g2iFdn+>0PDESiIKBzX3ewdN{9J!*@BWn`F>8<1#+bY1WEz;6FLuQmtyVyaDOs^ykvOG5_LEGpD!1hf6i?=VeoEi zHxU9C>7)$Mh=0Qfse%5kfClyQuk^=PMyw{9l6yUmZtoJ98TcTl*w32VzA8Xzs%Jb^ zWA(b|c@JmCPMrO-&0vGUWjOP5+P30-zAx82?z_Ufw|UK?#6nVFM|<*Y!%|vV?wJ56Xy`A5+FBQ@nn zmoay_VzH#9co9#I7ICIe9u}K@s$MzcJrCAk-zA0!a5~BC{ z3ajHzi&(2Effzc-Wrc(!N0?r@9-YzQvc^+)9=CtdNcOtVLef|3s+yZriX=gRixXqS z-bMs_nWt5fp3-7W4q_F%Tc=W5--1`I2kC$~^ubp8t_uAvy$@bhz#Q7J`WB|VbR~18 z*SW&r_8Q~{W6Dx979$*uE0E>=L`VwNSq}hPmVz=a?^88}i&eN#3dJa(X>Zzb5|(G(aU}qo%>sb7t*HHfczdTH(Yh^LH*MRtZLYMPD{b4>T4~$1ZQHhO+q(JhTXmwU z;_Qn1bYJIqnK5HN^wHbbT5Bn=rGDa<&j0?U(1Ln1Xj2R%d)%twyL}jOkQL$h92Wk7 z!m)qtidm1(Alo{QN!iXk<;%e0Z!&gJo9?b?`_ zE~)fqqL__e@n+76=iyMhr~NcVM^bidCt}mAq}wGqtPiuNBsB%%VOuH6&Q$wOP4a!q zoh6vke`-2dK~NhoiR;b#=aMM$wYJy1crHy#(B2oAsN$HJ$+fVYP2_&87j3dlePyD! zxKe^}w9DD|a7(3L{ufm8xCnqKrBlkcch<5jua>Yku#kUVl0C^G<@ zMgrb+^k_Q-&t}0DvKVPPSqHq>9dZ#Bqdc^sW<>$Q(tC;sXt}116_2YI zlAKjMuqkDF%FvtQlnv707cX0>ft7xd_=VeRvhl1XUwfA^ypl#5`?RhtK9r$SgDPpGe(-V<&)P`nTe)M+;BX@g)5<&7;edGO8B7E`**6*qG)yu8gEjO zquvWqs&<3I9x>cKX+T@9zn2CZk%Psg3>~b`oI2aqVkQcWuHEPiZoTTPY~$Lno{I3m zAj?TcythZh;(C#EjKcD_#>J(n-y&B%OxVW6*wDNGROpFFL^Gi3YbNdPgD|YvSxUWcv?DZ5%1bwnq-GzmSrqw5=Y_&hW-V%ZYG%h zdDXWoV;oSJR!*;;c4*s#`)TH)Q+KR`_Gz65R@g8#i~dtx<4>4M9pYmV)qbLS^;AoX z-wxKK0L#B1|8fly@RLkJ@E$hz`~L2m?papaIg(Xjx(c%?dwv~9NT{m1yLM43okc7q zEsR)aQ+idIRU&25K`q54iG^alp|in@@(Q!zTv(qk2>@zIvRch#C}C8Itg;FdS3v%YiYZ zx}z;L8cwK%44q^%#xXWtP7oBt_BR}RAWdUpg?ZTrZi&M+&#rZejKP=s$<_0$Z?cguh^{XAFp=X{*J0lh7^o+l89KhLe+==`3WHvG{2DNXccgL4JuV%Bo>GQ6!8yT1;@;*OB)kp$-Tk{l3v`slh>fra6_uo{ z{qA1(dHnc47|{2$Mn4!AMRCZEe_{j&pVzA!&&S8+&G4<=w-b+-Z=64|EWBJdF1!yT z?uy=zUlDF7$d<3Sj{SP#<^#RM-EC;w>f>_q_U0=|(S`q$iRaUeho_Hw8xKCa`Ccz1 z4jM1!K{~c~d~kr|gJyyR#A_^AqBr=jZ9(P-a$(V|uOeXd1IycDm|XsSHriJ`BtB+0 zaIz~OSbSVfq9H|RC{ekBlQ0X!7ECpl(*6`eVefyTN^B55j`jl^ z|M4{T{CFB+w_*l+Flz_e9G+-Pk_{%XRbl8cD)df8%UQv47|0oBpBTykKYNw$PyaEHeE_zV{FB$5uZ7SBBTzPucdOn{}!W8a= z^Rz~|q@qisIwjZL>*0QS5+xN!e2M^v&~YyDLdvI{2I~(^oCMV~hA>HMV4X2i_Gk{2 z6B)ml0!w3|@WP@ChlHW#D??E6j?9rhC0Eo^Kf&9%ZtFXfMUGN zJ@Q)!*Bv6EUQJW;-fx~xC8yte?C519t_sj_a zNBId*W7&B1My+GD zPMHa%N^3T_j-SCA3$y!q5BxgDi)~|erqE8&&h28vQ>NhRl0|g<`P0`dn4t##+{xGW zaR&;A1!DxiE*h?EWxF3aVy>I$4Ba8;)4)cABd9YnoR>&p`=GruKoTWYOp>&p%gyR7 zbY`Lc@%l|UwgH}^2@G?8Fva5O)GttLc*V1Sr}o zfKL4tLV;nq8FC;wpa7KJJHs3SA*UM!Qo4v9j7gltzaa{qEW*)3ucHC9^srUhe#&D!boePQv^+B5+%BB^zzgZ3glX2x1oD_&`N|`Qf={2-oV7A84;@T zzNaN&b>l%C`$Z9*sZ*lv1@voZ8U${Qa+6ogZ-GEINq_8wJI3D`p~1Dp)DSLXLk8u0bv=EW zNQRzz84$9CyN$X&rQxO$W>qL3GTq}USV3ltrms7n=goZ8V6N&Ed>{2s5Wb;%lJPFC z=-G)pK{=CA(M_53U))$xL*o3xdo&mB{2jQ$usY#}=wtnb`>F7fc7J@#!$hrP18^~* zbO&m#NpVY4(g{h}kkkiF%BV!z2Q>nl6}*02s+B=I^!-9gvLdoJm5nkqMkAf%ZeblG zfG#G(H1vhLZcGZv51LD6R9YrEu`A}-H$dGhJn_ytU7Pd$MX=RhFB2`LS6{NEfn-Y+ z7%R;nmmqVFp!WJP?x*|9)Pa{uQ6w7)McO|Nogopshjf284S~kL05uV(u(d7$SYZ5r zYeIuu;Pu z0{Q)Hk`e9UD#O(UMg}({cw5yR9GhVbZq!t>w{Wkgm|pViW45MrT)Vd^E4wXMA^I)2}vJ!Bq%s6)tqI2H!r*9r!f}+ zS{e4k1s5A19l*P6@YAD3qkr>qx;lI*;(n@>>kaU>j7?4z{&v7XIgys~{q#;%Q$kMfA-wuAQRnDH!LL-mZaoOxv8Qp${y_ zoA2?v2h4QM)wrqlmR>+o*7M&CA^)%o|G9?z_d^IXBNM~_So~>8MQ^Ynb+I%23?bw_ zcCS7F{$NGqc!uaJLP)@z7@Qz~_Qy@oWq~ffJ}M1jku-@&AH^+z_fwN3E5O5bdBq=> zgON>fe$;y5VeTKbzV7}HP1nBd`SW?#adS<4)-ZEOd4Kla3p=qj(`SZYk z==I0LHwj-&$6|rLx;w+!k4hh?w%7#6XC2Kl6^0)K>B=h-H>{RdY@iyNWE#+rR#bH9 z7wY?F!t28^`62Zbb|#73-pfY>&cOk?#CBa#YAc?+1IF5J{ui!OnCU(>_0+b-TRKhMcxPi;3-7X(Vj9 zcSyPWQ|mJ!q|XfoRD>@>55W*cd-Hv8wD5XW`(810B2v{Hpnn7CQkH9xJG!*Tm$)3| zFs4kMo~G)pGX+6C=LoKYJy*6+Q7iP1V6c{5K&%#oj3nttGE6?E1i1yNiE@CuJyB#+ zpoj{Sc-%K|9;gH)*U%+cK+`{b;n3cj1nqxu{oSW#?^h7zjSP9)JHF4K_D#pox{2F) zO)DJDKi(N8P2+&!J$qLDWnU`_xrgU+Oa~L6JL&B^tc>9F8qu{jLp597;9-Y)`fQ%G zSLe`hz!1MMZ7zYyBtJp-N)}7qlHZ}9Yh3Vo-wIQQ1Vd^`=`4P^xdVW|o z6e?>q)32lzlkh#1>M17#7v)3NExU*uWmA_emgqUfg`*Et%6Siq9btkS$o0z)0kMn| z(ufq>GTew%PL)Uq*lB>`Q}R))f2DLM2(Psb6Sd2^3~45Z{pC z;b5w2%q`^625l$amD&g=(ZL?z7qo_xuNS8FOD>t{Hr|`$LP<9$2ZPKb>_Kgx7$yoc z7=^ZUQA3dK-?|51pRaTDdGb@zXicXe1SKUk>K$sZ_b3mIlrF*8vV+w@X*hXQ903{V zE=}QCVg-<7vxiy=A+ztFzn)Pa9G*ZKf=)L;quFDhmmNkgWSD%NJL-bI1QaSVtGph^R&otS=AXz1Ms~3Ek!2hFpMAuK=S4UmMujmYUu2V4D#OW z_nRylTc}k5tKSjqc-_qrcpU`cY-;8Qj0ak)eYsg6)~TkntoO|K6)2x0$EvvPq3uo5 zucRrXsmUQ=>&SKus39fJ9qt>bwhfCiD*TDEE`-_fO7P-pv-HTWmwJiHCgIjF&oS8e ze+Mhg`unXKVuyv(v6l1mWD?OuF=Spl?G0yIf@CX%s|3$!WSBJ+{J&rk%LO`mp>@F@ z>Xjq?$%B}xLOl@9V{cjoG=O%M=fxA_8_CQs(kJjvF2c-nk-_V3T6& zVIs+Lt^PdJxJlMr^{?K%EM5|YK{~n+b02WTq8AkgZ$}#nGgaYC(n{#)Y}rc4J;wpD z<-^;*otYRvc%tGsT7+tM7&8(H@Dk%FVWD+DJ>WCFU#_b>E9^M&Lwbqm->5SBLHBM; z#ukX1gf>IvM^Lz790YK7#*(Dti$hsU5+S+9kcM$1ndT6xc1k|}F$r-wX_tyBWOUi| zC{AhUdh7ZE z1|;NVk-p_D21LWe>4L78eT-GAuun&MN3r`zo?>KdTH|&z?c_gvecNm9Uq($I#Heh)_DKR7$j*~-;luC@QNll2*Mg0 zgUO`JQ+{D=_s>sKGlrhsWaqt$7!8ls*5zs@Cymif+51b=kW>AX<+HZwCCL3_M^N`g zr)PO)DP@!Wc9S=U=pRDkl6)Z^^!m#H^LMR@lv{f-1F{SQ@))QKbPd$f5Lp?$G6(IS z9e)_6gwlQA(X@k^@&KV?U-o@*!bx$~@RfUpv4(P^rgZgqKVNI9ee;5bU@P39mdp+C zUjkgrQYLPKKL?C^izza)&ohFU$>WS~IC3{DC^19eo@4#|@7Wi(G3Mn#7o^A5FB<+l zRR&SMJOpm|a*NbzoQ5R9V+%*f+gyo);HW2kOw6cxasFY}rXl5%P{R?~cR?sxArtC2 zd5wyQ&hq-g%d3h>Ftv^7ZC2>FL9*#x+xZ7`bhc>-HsoHEQ~p^0_8!)kp*zkkPI zt}l1Uh;QRgWe&wV!*1i?Zc015A?iBx3rAs*^=-f|vGNdJo&kM6^cN^$sD`PDM=h;^q||(TQ%ho0SF{c$6J( zVga@A+MYqgR?=8aYb7T?+d>Ig>zx((G1k+HC-i#&vkkl*&=CZwSd|>}xt_p+ga*f6 z9~=>8;Z-oLcXH|xxM#l2GIj#?TdUNLE)h#RiLXc7_sd9ZNF!@85EFh6e22rZ+xF%TaG@Kj*41=%Dhb=HaH=F%0#)8 zt`3E#39-tCAUwFh2CYmIj{>GUH7<-0t$*2JUE*Ss?anemvmd&ZGG4ym9tJKX?cgfX ztR;E8-`K!eR#f^3TenoyXX%A%`KX+5jFYewehhphv8PBH*PuKsd}1~>9To2#D;`H5 z6*?oif`K*E+s;bWK&n8hDJzR5E~nzmxR9{RRc1w&)={$6$ZYbN4x(QB2Vl6nXtXYY z1=Vg88HiwIXsXdk$`)bw>fA^uZR}lbqe%|FQcv?e3{-G3hjIO_ykxaF38?4=q4<1+T zw@v)Ie9v^Sco*T%B0Nl$O2C0#+qsZc)DPvIvi+o89d$!Tpa?X(q_H$RhZ7tk7dk`Z z`Wc)ZBxRr-G{F|cgz6q(kUuYe@FyoSfOHZD$)Ot#()1K979o=360j%>ub1#A`B1SA zjixFl_YIT*i=AMYXd<7eRzq}9y-h^9BE=XC_4iGh;GpxHsVlk8cnOD8b4VELr#02K zd3bD(C5H?30nW(n68g#pfz2hfF%L?PO(JACs}HQK2atoX1E@nA7-*$^m>wq>r?yZ8 zH&@iuUNg7uykypqqr>@6rvfW{bEXCGwBB0V$%Ar$64-HiFEG&`=DI(oC` z43gP|4GB)FFR4qBaoAp0XC z7zJA46o(R%0Eu~dNCME_h(OgCBOVoMi(z3;W`wU<-&w62e%YuYsaX6DqzB6we4~Iqki2{M!&KF4}Z5MfZ_eY_^XF& zTQ_&-bA;&2OvbS}-RNBPbXN=aY!CCN*msLrv9NYV=8>Jx6HdLCyQ|0f>iYDTs4sF& zO9sUA>O07%Snyjbu1()_U1Ue>{+2{o2;x-Dl;>Z6BSy4P~YhZv0aa%*?s z=O69y^~mHezh6TtEP*wUCCz7iqvZK<0r}5`Go>?%<)?}UMma~QIE=l4Ln^RBvSjSX z21XBxF4W7Y31;{s%*{0catb-8{Z!C_yqsO%_QY7ukt3a>K@*q|;iaeMt)`5#=Cr1k zWEl(Zm$R$w^3tv7xH&E(#yHMqhJe5rK~1R2ymhCI@}+%t1}AnhLkIe1Jmz%Cjz(t( z8b#C~X$57`sJpF#=cUU*+=;vjRr7M0t0=85Jz25(S?uvl)sV&Bv$*YZr8!;qegVzX zukWJmHTB6z5o6v3PK7``PmA{PCafF_H9l60K|e3Uo>WNfB0O$?;uNl~2$gkhaStas z>2ql+GJnZ;e|T{$tBLtCC^O(+#|jweeS`)0UgXV1RVaLS1Y=z&Z$LS>1b1TsW?wp3 z1|?w6Zu6YrZ*%R?Tmc7KigF`AzqPwl=`Vhhx0lSoP%m^x6uq6xUq=<5@C5LEVSJc^ z;OHpszXqcZSD_5EfVOWXH%C8_W=bHEr0XOPcv@AG>Fe$>D<_Nc#=RV2bVJXTW<9U4=OEETKOIupbW_d-5} zcm3%gPxxC{{g0ezT`M-kxC@kNNufn=>G}sqKiqB!1dfZ`2a4tWT3S{I41RDqvriU| z1O+GE)zM(&W!^T$wS=6UKlgCz-@q&=h=cN@B*BsztUt#(pj(uCpN_FQ2ds+KEXEq` zjz+enNx<1ucc3F!cAHVvlMk@;nwotd%O-yH(ZzF!s4=e~%i-NHz&VL$m}>z+X|{?~48QFVfrct;0BR%Gx22=fc{! z83-?@6a-TArrO@KKqZb>+wvG~*$D9Auz)1ubW6u->+sIo2*ZcRJgf>~n)ib)wOpdK zwS!%0r}cE3DK zk^kT$c0bvDxFhp(3W)5n#?z`P_;w@t+_7;YNRhwV_hpA0XKyJRb1&s&^RAJsw=ZzA zOR6?O%5(E9&Uj*mjlwpqtI1~fL>FTd#`**+g}0**UNgE*hT-ptRmn`N53%P^-$@r9{|@^auBgSutL*YVQ0|%hvnm zz%DM)z{A`Ni_o+OZLt~NZa2iymT@$?4smm;q3xf>lbov-P3hjKCmW3_pnliX@Y+AOx#;oD1L3h-fC8mqSOv-*er+TOt${_4{=;Y`RjCn(U5gNkxNiHT2~w^d0`$n+_iRV2;Q& zd}l}++_rs6&Ecda8&2$H8R6}Ex8)miuZ&p4bZ__6ld*Gbji~$FZP0LRs6LVsTWHvl zd+%oryhW@m=PG1xHRVPw;tJH_;^$x4mm07p0=%b;fqPlEWjK)eaM)^y59?Phvj%cFiCvEh>*j|^nL@0vs zs4`$WsfWqB9~1K40mr9mKo8O0dGd|Lfk&J09gruaUa4ILa4`|MI3(0b z!DIMQHVft*16!bm$D(`>YBVj9M&=jHIMT?Arrb zo}=v{CHuy>3riyaIC}zve%}p{JWH5#SwaDCRSjyY)j|-clYVHOMf9lLh+t(C;DZHs zMJ1Y3?nr(066spS%u=w~N`(eTs733~y3xUWoKPyRr<^P!*e3*aKK4G$>=(FlepMpA z`{|%qJYW<`e}pvB#jm^f)PJF792&%MxE6{<}y(6Kxj3_KIe;K&srg3S7DU?`7#aa zTB^zxP{8N$x#acb%N?$|`Z5IXE-O)b%uZboY7#uYwNbM%yFTyY2)nJ;%$ z&fHIDDL3#pI*}*=XmQg^RkI{UgsK!0ZvkV>nndHH6Rp!*X}dDYMsq4FN#iCgGLX)| zd_H=O0$6n7^|)kFSUfo^r2L`UspoVzL<-2e=X=EUVd61TFvSoG1Vq+Vet!*=HQHWY zXHaK25Ax9kM+#b*fi0qOZZauNka(=2c2NSBJQIjFLG4J! zs=wv5h-MV|iy!?G)KLK4NkKL^0dN3XnLzua>P1Tp1OCDU_QZ_J3Z3^imx2p{#YseA zj8eUL$sp3YouGUX;0PSR8DVz;I~+d-w9h!>7<>#zXk;68GrGq(L&_3BEU7EO59GQoB>+^pF$BQ`@^WC9v# ztu!?~y_u|qwv&diyyWm(hRzG44YMOP*4YklLto&8v>($Gl*Zd<9{Mzk<&Q{J9Bi3G zIbd7Lf*4~tG&VgJl>6)VAOwjigQw%43chPxDuHN#^(??S5n!SUS%~lw;1nKJIEw?J zAc8hZuY^H+VJgZnukh;7j|5a_%Hk@Z9GGwg?Ht%buu3?nMKT-xOtgtAKo3F~%hLHO z)pfa9t?XWVJen%1U8h{S$Huy8hz!vb3$c32MPEt6*#` zilom&vBR@+PMe~Aizn=?mCmn{gaWmZK6c>ZPtCx>1xX=1W&Tc%iF}lJDfq`Zc<#9X zHAJUDlHz6pccui&TY$Tjsvu6^c^sK7!#*Urwzo|R<*hT{UBj0G26xqd68?53g z9~~5mG-T>HKCNv%FslPn>)aOX<8mT+65?GVM@fXEQJ^@ZE)s|b2P(p}=H+x=TfZeV z9j`(_-<=eArPeukA5_3os7gP^!e!9hhsgcTfgmPj+jV)&QI8SiJQ@#*)KL{PNXqmZ z;-eo^uMUKoemUL=+A7QXSnD?X>n_{k8CGwUH*P8fa4a2%tM*~S_C({w-vsMF&JsJ$ z$W?VGNXJs{NZziESv{*7{qUaJP5rPXnl_D4C&&2;%Yb2Af6UKlemSMJrE)JP9ZGc) zuKPuH{EWMyEWd-d7pX*LWHmV-x@rCF!f-&Y>QF=;@D=Cnxl7 zs6%RJ06BOe$4Kl}n~f2z0piHkW#xh!=Y_xtG&$!}QOR*zwLB3kDV#%Vn@g5AVnYvD zciM1uA^+57Yz+EqQx6)U#(1$$ag`9{4s!%$s;7w(od;=U(_|uulfM5*zDR4R&vm$$ zZIWF01A(LB_X=TTmkO9u&7(ik&5hp|rNXYy2Yc!a0DoD8CUSep~w> zSqwhkt@14NbZXq0KsdK#*?}bW*dK^d3Bp`dzJ~FR*>okaA~;xt*nUJ#56Owwjjeee z_z7{IgL1wOm6?dc^q3`sp&lQGZaHO?6$CBSM7-JORc=#J4e`WRVXXgYiTzuaWswJO#eJ|`x8Uqk8Pp3&6D4Diiuh`*>%CYk@UM%{5tMZl>=I#6C$8k zsVWrECBm@3#ireCeb0QT4V~cvv#m$wx#MI5^X05C(uixwdEHoO5jg_1KIEe(725Hf z`)_~~r>qAxP$@v&My1zwkxOmK5CfF_u)I-MS?_xA-x089JN*;MICxOP^9X>5Yyyd8 zPy&o)YjkS}-bpn(y^#)Qr)ZA1)|%2#*Warw03K5l0Bu5HG*mnJSUP}L?>9z1lX3K= zATxN-)f$=zRqJ^0=+ALNrH!$8O|sRMKuL|g6=>6?Rn~xZOd)Jt7`3OW&I3Njl9_q_ zrm(|rPd{EGTgtBISfofT}R#Y^F)!bW^{Ev#X_g;Y%WXSLX_y{I~s0X$^cSi+cPZ}1`%C0a8@mkLYe-x zrBA^GFXygB87~-G!SBc+9wzQlf!`^f7tGAds+1GFSD0Q8dV_I+?#0qPjU}FW{R7FE zb2EV~sMxk7Cy;C9$lPFOU~?8ZDjU^sp0@kVt8on%P*N8;kMQWS5ualJ1*O@WB(!w4iDQ%M6qgr(V>RjM z{xhGtRo^fkDR`7E=3M?q=v2J38I6h^`^=bmp{iX@8XA%`fAruw^V5faVa-s}-AonU zd8v1u?K41+^0acVn%ZMf_$ecikF$pFd!54I=G?25oDyUm`Ml@;3 zIMw3e9w3$4^?h1)&XY;bn$}2Jps>!Rl3I<{F0_n2DyH&)s+rd!^u@CxE&xKyI1s(F z#7rz?^8t<4YYO(Y4p;X2>52bl$M;_&QU5()z{JV)Kf(Y8wIpm0TM)bWdwu|eXMpJ4 zE`T6E)9B5gZU(Y>0XTmgF#oKsiNET82gk+YXy_6J8@LLxz*ooQ3KfAWy0ir!>zjc{ zXW#0UE5hW5D2QHlafrjk+x%PFU$2V~FhVuIT(JvQ|tP@^s{e z`K{*ES9hDhg%|c;%MZJ@uF0k;_v!89w!`iW!lR(@e}0B# zJqJc+$Zlw6Xu#|{bJd&Nov4QT?z{!B$86;uQeNFpv%%x?AJ)-l1&0pvTNr~+4Tg+V zpWA@KJA}ychjny<*pj;j`xomtMYt^g59^56S~HcuNEt}b_XyI_8UOq@@}C9=`OW-S zAD$o9F?ho%@TbCIJ{Z5N-PDW295&hG@0TeDD{<&K=RV{R6o$!L)7Ye!@kW1=Fi0F? z1VAxQ#OQE{5?%_x6p?Hso9!TI?8l_?-&hgOyu_&|CqE@U7Iu98E*yH3rKd@spzwh@cv698x+wv*4oUY9G6TSxH^!}i{n{2<~nhb+Z zZ@pF_H2hl2xn2orG6wGBD&yk;(OavsoUa*>U0S9!b@>E_G zSAha)Ak?E;I=hdF-O&<<3>B;*IVI@cjDe8ZH;VBx$G8E>iotyHy-z%% zsMpBcNK5!g=rYD42*t_zLp!6t!Z_fXky;_M!32^ML^r)r0CE{jLTsw;}%aIcG z;JyGsV|OIDL2#|wfR+uiO$C*lKHH))<#>1D@KFWI#gH+$zJ}%^s5;54XdvdJB&R}_Yy4v*yFG#PkuM+@{ z9P)HR!h7iLnR7<-F^R)sU*UM@xC;cXP?!&eN4f;TL}kBb#1DDUi!)Ntf|6HKoOF#H z9!Lq{=`5K{xI50rX!Nb=hWi2h--OS)WXq%()T0GSDoka5_t)zH?s(g# z)>HCBf~|TL-pNhxrPYbv_9W2Vk3rSNxDyn%hSqU1b`*z@#@~TVUm8J0#{PC`;0NoM zE8Yhn!C2^1NWT5j%YY3!V3^|DHO0*cW;XHq>26aSnb$kYD8UsACIgrEz9<0$S}_Y# zeKoP&4vd3N?#LtPsoOJr#nQb(piv-hGj54tXT?u;(-rxuJfs_+Wf;2TNq6X(xMc(E zHPL4WE{$ssD>SBy8|J&d-Fj}*yMT5-7;Oz>t5CArl0Ptw$n95{k1png8_F-L7e43x zBNd5J7rg}c@k0B&a&W~&N`0+w^%2C+QAu_t5bDyun`+y;e^Y@pf& z#C3fS>zuoUPHfrFrCH5N#N#}%T1d@JC#?i#^HDO9T-X# zX0$BuDVeb2KaO$&gbp>Th|LB{3G*HEwKPgoW`n{Y=d~@?TT6i(6~BOgybLwAQny_3 z7~9Q%K%I)3efEC{K%#*t2flK2k4?1L@T_L)Bmz6=E%HAQP>6%pPaitq9_^XGD3=>9 zmVQgqzLypzre)!F+w}p}IiX*%80qg-&UcXw-p3S@=giDv&4aO&H$~u;^WjqSIyF|2 zDQcU{v?$kJEgY6S8KGZ#Rxs4Kg+BepPjU@cq_1_Dtq8Cg;=o)>F{MdZ;f$w( zkgjoTnni+W%P-~bPF&CR`S{Q!PI2o#kMT6i1c-3aulr0mP|+jU#RzavED*JlWJ!5G zz5}>upTOq>U&+CMV|}D7((wyEOFlulRZE4l?z(Vki9}8ZLXvV*!2%y%n}+>K#(R0X zr*vs_tijewE?r9f6)ZzBk!0iKT>$w`n6H4b(6eS$DTS>D>q2wFk}u%)U0j}wOkxt_ z_Xt_FO(#xU zvBYmKiJtCE$;lR+-c`8~%K059uXze5$G;1V1A}mWQ zPa_y5#bKyCn$=_5uKJts08X2S7b#)fp6dHD2i9(KAQmkOhF=>fYuMmCj4>9zPO z+gI`6#JGMgTBK0qqg{G;`sGFBa);4{cYaDQ)j~8E*qb>1{b)~zs=R$MzA}cmP>wx? z>0gxxaL(zewarSMvB(e@6DuOl*y$KWiW+f{D3+?k&4rN0z*;JYVNwX-aaTIUD2b`}&l7;dtZY_ZkAt+XY#$W>0i?af(U1OJ*$-*))c z;ngd95ifJ*VfzBK9%mc_v>ip}Z-UOeQu=KXc_8JmOSosmucoqRfrL*Vy3L@kb>n`9 z4NU`jn|tjo{}zRPv-lHT)=BCTfLU|JYl5W!?(_Q*D|O{XTOggTI9C`qM@?{W;m4EQ zt^zO#-SNJNPANE$pGy?fm{V+xeBj4agZ})@0A)iOYhj8jAKw*7xA#?Yt#e3}bQE7_ zfY_Z6xpGbR0XX6QOq?dTwaZFeErg>$yb%KhbE+c1liJ2kyePrQVzQp!OaL7d4`8VU zoh;LOljY4Jnf}Pa0&n5avdO$3dco2ssX7zy`nr)qsHtQYMP{6A3y|Gy~nY;1HajQ_7P&-mY! zc_wzoe}y3Yhcf?9j@kdN%(Jp_u>B8ZUi(LxZ?^a0?|Fs4ry#X!cPGC$S*_anxHy=fN`}~$FC{@u%4K~#d)RT?mzVBad_NTM% zPSd-2_$rF0@$hAj9-_(Lrt#(A*-}Xhl;EVMjvn4>0V5{E`L*%zv+(fw+(kfqY-G>t zl8h=jJKx@J|D04k@V^ZoHek3iP0^5M>U0i?b-8=JoGcDkf4#iioKh3!+=LU(L46XB zrwH@Cup-WtXCvD2Ug)4H1$}i}eco$0Sb=20LA*55*puAQiRdZ~H=ajFUhKefwH`Dupbu0(IN`2=~{} z%)_61JBCeaI<|&{#virYPC(QWhL_)qRv^h>4P*&(Ip3^8pPw)g!stL9fvcg;Mky)gv7+P9hLWKYp5vpEQOgOWrL_M#!^xj6bU;4>fSIdCo41HW=jLqioz z$+dXz)UjO@cjhX0foB-k_rfLI3VZYPxGvVhvDt*P&NUObO=S3OK8m+jfF&go(P2l> zpstd<zv+*HKzBTdG5x zR;kp$X&w-|a;X_Y)guEs$KN*vZ%v3^c}t-`9bC7B??&e3x&YbPAFyHh1DG>{k&QkQ z>YzkRj={7DwGBZ9`tK*<4cgOuaoBaies^4`T8AigFO0GwGQ>J&p z!S`*z`!5SlR(fx4N-M*q;Fb?wys_No+SvjM2Q+DU0#n$kZWj5~UR*79eH{uI!vZ}H zjLdy})#t#Cj)is-05QR!?q#}c8hJy}?leXpJDI1k zR7l1bEH$;3Ya^m~fG(!}c^iehu zX|@|EuS;aZjhj@h$FWC>O4s?Sc{WivkcQfC36PrP=LoN8Gr+$ zfsLUVgUjTfgF2j@*aS#(sHXztQ_E}I^{_ zF7r`o7xHvv`%MhvkQ6?$~jO43(( ztpCg`=i_TkP+n8WY73pj1kmDhxuLYb6tpAvnaJrmk@KlvVt>^fRZv-|ZO6^WU=7zp zRLQd?D3?x&ShX8^cm`Szmlt3)?5E3Jfopp12qr^%vla+O1j$s{J$UrT7|7v6;phq2 z(lso}v+=v@uE&%{xHI%zbp!BgOM(j5C=xWM4R_7Kv6Q*qt|9_pw7rAaS3{rogXkAQ zBSC84?@?nptnHYK(HW*07j-SlGBt=<+;~c0lFW|WAQrdj2P_MaIq@F?zRKu&F}sJ3O8Q2 z9=NtL}grCTaT?5_)=pp#6*2_0OXkZr2D zjE5(B7C79_9^+Bjsb`>fm<<7em>ShDA^}1~MQU}qZMw4t5)1lEzX*Bsoeu10@n*i? zc27~a=IB8v3gPWpsc=)t5|h(y0aPf#~%v(^JMwvhU^tT zWL`fzME)qT(36zZ?Kt7c-(=cg>A(nmzuJ22q?hwM4Sewqi_@EyaELCH*^x6R=PR*l zu&NNsO zh1VJJ$lNIk>Hr3yjv0jm%f@kRwLDU3PmeX%kFhMF;TyUh2Iyb^$IDGzd(b;#FDg9q zr(q1848(p7Am77YPRqKQi2z9p9@tJ)h>){h(h9Wk3l;h8A0^B?s zgZM}C(7vB*SafTI&;??ma|Jsi#;*966zsbg1^3%mQ^DM8DR(AM$4GmV$j#B$dAa^f^lJ`n~Yq_9kEwColu;@f*BAW$`3=qS4 zk`;)513MbkNZVznxrHZp)2_BCl28PPn)!-ocvCCk^DK*CKC$)_4_L|e&qx7QAaHzN z;|<5f22?zxz8BLDh$ip40$e{c;SyUl%mR~tC9bCyM6F}r@Wx@Y;CQoq3()Rxx*{q6m&{tVfNY z-aiy02n14=-Z)o_igHMIW6fF%`+=#oFIXs!DAB&T&HUg{w+4 zAz&uR)?b>!+WRoo?Y9v&78&N@>=e2wBE^kf1VOBAo*2sQ7q!SXDXWatO zi>`vINWXNU#}gozjYm26IbtoMUW?p$+sT1_`-magXgW97P2?i~%ksIJv{twkL7i@q zRaLnDB7P1kAsfs^&z&K(;|zBan4OaQ^>^Hnw2SyN)S2A_Ss|bhih$hLrd~d9Pf`H( z*+K(q^w^OgoY?_HD#vM-K-D78B-~ky_vR1fR-FAlMnu3Ql`A1+!nw-5X-$ zmV4|qZJgVw_3~D14XwO&ztJkgO;7XREDG*04BIZUwfnolvyN#{qa3u{<0X2fubU1= zKUQHNP&ZYpud9o6+L+z%jd1jgn&LK(-3R`=2rA(X9eac1lZ59(k}^qq3Btl0CK zcbPEX&9Ue@irP;E1`^I_>scjgRm#J1%4?ZKDfTtp>ZK zFbR4iHi)&JUn8WmtK``C`tng_+yv2Tbk{L%Y%g6fFiqOYf3x!QMwFNvRr8*G(MP@I z3$Ne1n-9?|%B=msan3`D0rg?P;VFdTTX`rGXh~=!%WO?fP&LZO+XKj)xam(%5N(%d z@FxjU!u4Pgx=t!w!^dE!mgL-}@#5V33OR>XWSo001fw%G2z=e3UQU){EGAY9zap{> zOUR=*U;~p9#OAwORK8}^gIsWOIX4iCX9X3ZQ1u(C9|@bG$wL!>l(8}*-Go2dX}Iz` zJ2L#+a_Shw@4U+G+jHsw$Wj?bkLA9eAQrGFb&iam9tjpl0B?8~ZgB37JHET;knP9< z3t@j#m4;ly`hnEGUDbT_Ar$2W)&QpSdXscK zr>jI9X<3SAe^imTj5YxNrJ`}hP#0is8lG6I@slCjEAy$bjFQ>*13@*>3C=wbX#6X*|>1(_J%XEX^^SA)=jMV z{THTRM*@`ocD0{dY~MZ)0A~0hQmb-H<5dH;0Y`K(2Y|?T$m17(6 zw)9+q9~``A7Cmvc)ln~%r5c09V&W#%C$yi{6FMm;BUS`E;x}q32B2>^tUcmHA3J%9 zOEqzlH8*$O2KK@t(GWvz&Z`RINa(PdR)vJulvcO-7`k4XcHyxa^SCQIp-!b+i*Kvo*I}r7ctd?*2v|}zY6fW ztD>CFf9d1NM!J=G%$AA4QxD2a@1Y>T^cn z;?dm%;&ImXyacq);!7Vx=YXj_lE{a9x3>V%;r6k67NZGum;>;s^xvw1LU=(S3-g%v zNJN&lRFaN;*mLT=HN*Pd=lj`}v*|iQR)kVMTDK<%Ur9rr7jkS@-*(gmSE4zZv&6VG zmOkpZV_I~7Qi0K^*LvuS6MOsy6?Av%d-c$k?NFK|UQm1d| zrKqY-v^g@Qtx-7e5sHcSqArjuC(3<3j2YmzDk6%j#rT}vynHRc-Ym}c>|IE8sKF^a zbECHxC|9*7{F;W9AD%hZ_Meul&IC}`YSN4j5q=|3E(#h_16BVO=)Z2|cxG(}DWV-- z1~51+a3P6?Yl4~F&@{bKYRbnaR$`8IgR-2fz-;>FW@%+q2t<|IY=!NQx2qbrN*1V| zBKG^mfnr%kH32ct$e5bbgM+agP0zAo?1ZOXW|=dOpA*x?kz_B298)CA9U{#+jRce0 z&q<~@=gK~H$k%bdp)_BvFX~>e^ zB&1DoU*{MF@yZpRsEg&rjRnGAE{J&m0p#;t>KPE0)2f&2WDczdV&V!@}_?f_dO}9VzR`Lq>(qYuUdgz(Gv`_QXzQm*CJfTCYniK

+z6L9Yb~LA-n!=uXbjne0t0+ zaXi)-u%J3Vb*AnUiolSQSjnO2Ajf7;UGfmTqu?LUx?Zxz^*Ay1L2AdsdK}}Xr;r>6 zK96^kbtR~=<>&deLK43wlYcj@5H6ibypP44c?R{DL_G7GyiU^`f@J0_QPbRg54kBw z*WG|F=Y)-MoSno*3&1fN^H zoOkZ8^nmbAjSk3mL9uEBGmuBEfGtEUPt&?Pby3c_5p`yc`xYwridmDA9Gl5wXvT~$ ztzE{f=n#Hp{A6ZoB*BXn93HdDpw#_AV(AH#(HzZrx7@5N{^vUC1R zWbd%1lpQuZLJ#z;@9rEVLCPRIppf6yh=7;XfBM0^Ei{Y%!w;4^yhYkheKiidJEr;j z_!q~ADaWSWJ8t3RcjGdEfiR~CD7h+-OV-DuNALR)?W<95r|(*}~!3#P5^- zC;-MRCc5x?hePUtZC{4NSG%nAU!itvK3=^$K3(lz#C9NEugSkY+jhDKHU2radKswL zif5JxLXK(2j&>T8N^2jYu#dyz?co;eWjVlKqrzii+Ls+29O!c$NlZ07k<}q%JjJCo z%3&}qDT>nRSKG!zCof*m_a~n3rNVYdEJGE-^pJy9uDQ@!vbQ4QDC2UIXESM>qLR4{ z$phtP?VXuSL4a$NM>v8i;>S3Y6N*7Se#|&M19aq7E(PkKAEb8>;oe+CDM#H4Fd>V# zxH$GMc4mH`;x;4jf%Czby!MZYYB;_=+>(-#!q}v}*ffgjF7|sC>cg0Ou#8x9Kg1bi zm}0tI{Xn&7q~|+P*oD+q zq7rg^UzpL71yHP*##9@`lAcB>#{lH39G5&5_zfxw_Dm!_oP0%G4eQCv1Y@)e+d-W;I1E=MmHTd|IKMy7K5T59mtHWXmi_%zT!hp=9 zq9~=x^%?+itv>RSKL)f`%#@H>%hZVwYTK18-e>>FqWH z6a2xQ-~z4QA~KKEC}vRU;aloU8-LK6)77=2ar5h6f%#I-x6f=op3qBHjA@7JOHTpT zPGE^?0qW$xTg(_J{W3;ma~LpE9_C*Ss_RpR8$jd0H~_(v_rM+V;h1{6U@NBb3QXIV zqX-U@rN}M09z_C*W@~OZLJItZF<$YWyY|fvEbyz8N=m@5i@GC( zL{iV?g=d<|E08kG*E$33odb6|SI9=E8?*e%v6Q|=(2yQtYTQ3$UM%Clq|TKWq;qBh z|CN%SXci^sfIKsqS0IU##MfquFnHIe!=b{xVvOlR{vLx9i;EF|?mkl{SS*k3Fh(K> zJL68G!bp2OBj4PDpsF}0-`w!Sh(y)3^>QF(kVcI^=soQO+}80OxUc5|2f@=BY%m}0 zMjlYUfI-}#UXNqO6_g^}v_VM3U=yGy);0`E9InM(#Hg``!9 zhnLrh-F3UF$D%KC*bdS7vvzu>FFC|EYI~lXD;d@epC@YJKhfUxNH?gMdkZ-#JXctD z=+d>j{sastb0=?_0~NnS7szgM>IRe6J$3UNzSWERD`-0R@GDn$+Ode-(6R7dbyA!U zsTm!l_GOHifz@E!iV>E(4rHiE1QWgvPJlR96+XB%Ty`E;?U z9L{5reuJViCuA{in#zP;rrC+i=AyEZb;jO)XfrK+fIDqHEnlSd$16)?a&~_bwWF zJ`2&cx_>EEFAcuAew9~DOd%R?Kyi~sOpRx*;1smLa(To4P1Dq8yGKF!V7CW_ePR~% zLaFqPu7$vx8P?tUGGl1eas8Q7C=&~kT5L)=Gjs5e*R*&^Q~uae^*vK5S=UEU>HeLz z=ljZ5@A}Z#(l|85eN3Nzro|=x=~$a~HP%x|*{6Oy&OH>E-_yhsy9T)wKH`(bWiI4?M%S|7f!R z*+bXBOGT+~Z3{MfpxG zx@6hAQIsiib{`O;H&X)QL@6JyF1_!!>D!~H$D4ik$C-+3iaM*$%d4kD?LA{z(bnj!gm5G~Ck6Dnz0C2eu zdE*y*M&@unXdY<)+&d4j4}cHRj5Qs=G`s6WsIH>pOf6XN9*t*n24~ z20@kBlsWr2GuBo4!cV28TJvK z)dWVMKL}Aj@@xjOT)`|yf5<_NFr~sN>>uf7Z@Q$RDWFu6H}dl^ZC-`Y-)}Vfs^lLi zEZm7GEjx=aGMw44B6zRhV92+=>wr8}?fYXQHkX&l?`&hI{$Y0(5&9`g>K-0nn zVq;oT&6Yp5EjJfeBGOUp{;?n+_}HGuTQa{89LC7mNTLZdTqW?F_Y`)9WgE!U_gyO(}*K$Xp<-hG=U?Ris9sKbJ0R60j zClAYZOoHT#bV~cNgz=b~D3e!fMBo%yjK6y?hz!Q8b!i{dTp-u#olJ2mgG^^tPGQnx zgNwj4nPgHJoR(n7Wk3HcwJmh$WWs3TuJCD#ej==4e7nfb2I+O+@{HXmmY>^#elh@s zYbQ8hqwOp05}EtV>K^ebnDGxI#iu{+s0%r5XtjBTs6feN$HxgCv{`c|TA<>-Bm!f> zOAGXi8~!l9z{EobbE3JRzF3~?h(@n#S$}zaLkc<1dd-j%mA&i;bgkVH&TLXD$q5Km+T6}j{8Uh)MdTXnW&t|4BqqN zG-Z*!LGZFfOC3UQBx%gc+-I##k=jpwi@hPMX!Z|Z3jPmYT1`Xm1||B?e5@x7Cn6u5 z=RfX2C(DV}94)FE-XZafDw!ivY69=Zhx%5vE>0{bYYjI^PLh45QLN|=uMCD(GTTKT|*bZd-q7wjK%ru^_sLHrhR5j@n28DRld_J=+^&nB5 z=;cB6?%HGp9X{4c{vyB+2D@_Hv#-_%$qdV5#r?~baQl!a;sBA{sBOOKPq&0zFyU%x z7x@k3a1zl!6lrOK(Tn+Be{{~atb3e#%ae(oYm{VbM>;u{%K>G4jHV%%bSXMKp)Dq}a!ESm#`>gJu;)?CAizU8ZY@ulNHKlWxlY(T>DfPpI zr$wtxee-GhdIKvF9Jr1GZZ}JiN}^8vO8;id0p(Fd3a8=lVgtUKrt#VKEjBq!ho{?t ztdk4bHdZJrWzNYt4`49Va$1Q`6&B|&m1sOw&%(Np=W?jUxwbrYw{tLM=5CR9cEK-o z8Ibo%x$bolgLIBcFXZx7lY_(c@z1OahUJ|IeT80o(R+}ZF^r`BB_~y)uCu2 zFn#8qIuYQ29*INK zF^x_js7AxHF-g!6dyTHsWFjhuQncFF$TS>FQ8eQRBeB4^A7lHJM>YwvAb}Z zvyAu3_F6ge=Luc?Rb5v#2}!1`x8jH@p;vY<4~-(V^l?}w@>K4bnr1xbsTZLl*sWi* zKaftKofIM3-MP5;B)GMJTDaDj;_-JY3GUA>e{eG8kMTFS2 zQRImH1D1yWB(Q*|LWv-L-@Rw89hG-8y1hk<6@;Lm0V|SQT6;x{{X>?L{*a{>KV+%0 zXWfat`7e!FU8)|ya=5b*T()bD6A4VAjP9uZnLik?1!g8uXq4zD#;(WFR;Z?yc&A2fhJpM+u&bx&GS`_N&FmHN6v!X2(#QO0Es0=`=kueDsv$xY zTf!mFNi}bvP>lmagZUqi8t;<43abyUFF3Ay6~}uqV4$F_B40(YzTugUEj~Y{Qt2FM zMoHt39*Oib!p<4j*RK+L%oPqPEXSOb&&-;Em?pk7^qPsTfR&kOmqA>iiN7XL=}ll4 zkz8^X^qBQ^{n_m=8D0lWFZAelN117N`?9o9a-c4p!GC^eFUf)MUlPb!v zROC|K*fK$&fpbtZ4Hb|i;!%n{B%eN3LKon9eJ4-szCP?TW^(zmeiTg$KZ>S}`#*2L zroR3|(bVf<{=XGXZ}y(v96omu6yB!O_bjPD2x#xy+n#Wc*<0*a+i8hA+qA3`N3UmK ztoObB+dl+oj}M2>o8+6-1Itm-Enh>F&rM-&>(@WdCZJd^ z5XUTOx!qn@g?nSJNu8RAtG~b7B2P;8xfeaqlgY+i%6iv+R884}q3!JNzhjg`b{_}NE`0NAdl0I{;)q_ySOAbt*0Lsk6}M+qXA^}FxaqlYuqbCv;K??_35umh zs+Y(I0rQcr5r)syl4HOHwmDB^^vuKWWsmRLx?qDmw>i*#tr_%M-@mD4;P->PIc zS3vkaTfYhr8-X*(;`ZCI8Q&d9jWBAfwa}J71fR%lxQE?B0TK5H+IO2 zqR}pd?MBWm^4SgcltyDQv&>h6g>q_S`|!MzN2cX>!>zR$^Xs%=zORD^;oro)))BC; zh5Yc>B|K=}d3|m)I1OHGoCl%j?<+dXjD$1!Q0VtaMEe;>EvXF}>liJX8(-h1NNjFl zcC50ki_6U<$Cd9LR|N}dR^0O&vD~-Zd|JGTB@c*hJ;pCPkdMJnN1jb-*Q1O{WQ|%| z1>T-~;G&aoHvP=)R5*}Txv2pI+_07lUn%f_GkHGDySIb6@oTugILUP8mM~ElJ;2 z&CEZXtKxGx%Uq6;byh)?Mc5_Ymo{+i9rd`eynJ#wKX{(4#hkmw2-|@lgm#G3U(NOr z6zMfVu)03D0f&m35`dcSZ%PG|M_S4R0xH zxrZC)0S&U9{wc^?na7;HwmDw2D}wp{l9a*-*(!F3o0U_L>mVsAu5z6mQ7$_v zDUzxFjapy~QAW9Jdk~BeE|lb+m(r)9g|#)zjr;feA)(O$2f0nRPdm^38llg*33tNy zGA!tuXAk)xAS_n=IyM_doW1I~}#V4NpGbEV54dlPi}UOmA!)mI8m zFFjx0x(+tc*Jk@*qPA9%_+}{X*Vgr4zI9}QJL=m~b?dtu`u=kY=`7mPg&%j*gu&gF z&IYP1G|}9evssosp5#p0{cVJIb7_3~Cm0EY($Vg{$+@$m7l+%R_mIEIZ_bnAl%8H6GQ=Su%SE9teg>2A;tM`r zvlqi3*U)PVPTXdzu}7s**@u53X>5SUNI$eJh-5}r?LYPll-?JPECQkFYX2l9=|fw& zxLX4vDy+v@5KPB3>(jSbo)*2bz8Y)*7l)cSRyE=ZKYj&g+fV{jRd~eN2`v(9dt*kY zob6Xkx?hS%Dc-25B?X!WR5gTpEr-rSb?INrDS_c=E(w{U)Maq;R0NGnW$E8wS}`p4 z10n_4oIoOF0{h|WNi7%riRPzLt`<|6^9u3wT8>`nz8{mv)HEXpxMrxb{#nuL8R5kq z(VUws^(<$g|Nb3OlT9a3_Fv~+HaF4TSU{3qqE52Pc zLfmiV+ie!q4_P;a4tO2h*smE-pfYBc3{Nri@E|CEfOZhwO;4L{rZ~D=re#H1=AZ~x z+_x-2gSxjMVgm8rAT0Jj*9h26eFBV5tPSuBnvqZ{iHu6G09I&UyQ5(|*xJsUOct!S z$H-uhEODw}6h`OY-e|W@8G#3{PWYRcms`KLP-7V|8}$4yPnc~9UfWj{)h3N}31}I! zUYg(K)qb-TyyAPw-brr+bxwpI5hvZ;3=+kfdbxRY<;UI==}{aJ#k*2C6vEPNqFN_J zW{RVZjb`RTn#e^D5 zRc-M#Z58exwznbi#vX{4gd&}Y8J}}6dWkq{xnQ`M)Mm_Hkl8y8&weXTjZx01O`7cw zgt^Tg3e?Xvb=e3G2r+4=n^Q#O5tO(B`;kyJlgt1eaAd}xf5iwuUIqE)qhmVTwFT5S z)8x{q7)O-@Yqvfu<>7!DH*JH_5y3|Up%zCpBi;2V+8VPmon#s=7La3;2m2|+_5wb< zpsQo^z1(?z(9IwOaT$6uRi=o6ndADxx7nUu+$IiUDRL9l@HUDtT34mT1VE64qwzl$ z09W;EALzMHiLA%a#2S%%vpwd*iNK=YHk)OQx??an_tyeS;FhSjC4;IoKVx48qRTG) ziEV03C(x^#zZ>8mt_GMG-8!`hzOcU@b=D{;Gg&kFz@V-$E7o3(T*z%Bzf5U{}njYG3DQ~vzWFo z6as9!mrQESDtr0MB3+{(;$Z;Hzb2*2tL0ux(3W(1zo^N20v$4lRn1aYQeIZ84&^AM z;Jw?LSxI9;m3=H~2#xzxYPP4`=$&fm*MHbuaM5JGR}K%H!HEADynrrG_aE zu%0umt_nhI8Vt&BiFZC?)`BD(anVgy_HA216H?yje?x!Zcbt3zR3&eoqvVC(9=KdN}S}+ee&AJTEyRR$ef^$n+e?guUG#YQi z>i5{_DX$r5Q(kCi%=Yz-^~}H_cIxxUB2bIW=^o-rQ>sG&jlFwHR+H2pGgxixEh%!K zaPs@;%mZjleYFS{%my`0dG*!B`jhvIu9Ed>9>7n|z%Dg`L&sR*q7XoEbPjuS-^QrM zylgR5jo2B%fHs}-96)Ra($+9rX$8{oTFO%HaE%eG5t0w}^2X}*#3Lr%cb&h?i49Mm zzwx;|yy^R9*JnzKJ5!Wh`gP)ZEo<$(s$2Q6S!(2QjuW~=!eW|sc=A&-**nt;Koy1P zJU$xeyqd&{L%bCS{dZ9_4}BOYw9k)!O3On=bL}B`3FtXNDLKW?^rxi(5_IyzU-1r> zB$enXz;@iAS0$0)l>PcO34)ru)Ritt`b=yV-MW}m+y85A7e=Gy(@Eqx-#AwHx5?G&h@*U;Gk zV1lj|wHGOqP$2rr`j*eQRVv>tpK0hC#Fhg?LCH=AVV=WpKEjeh{yltTf zVbt9Q|3iD3k5QxvIv-m+T)r2f%{-b~{G1_qJ;ry0qL6wYp`>(N0l4dIL!0%pNQ8yn zzu<2OK(wA_yz1VHPKv>+#JB^uoU7c+2}DdxI*b*Rm$O;rROE!@Iak0p}U%hNZn5HOX_s!jTks1m{wg zb}-bZ?hW@Rh}pZ$b0rZDu04Bm4=x?|SUZ&6aWs5&uR0$%c^}_a5U&GLW5I~~hH=cr z$q^9oF4^xs0!v+=Pp&;@U^VWQH(Fo2RmVB*j;RR`RC>B3-)Ea9A0vXNXef}9v`lUI zM^0tk<_W0zH)~9*L}xSE6%zb6CDH6GlrxpzhZ%LP))F0BGLtAE73}bFC(-scH%jL9MTbOXhgt7 zZo$suRQnDDbELOv$d!@-HI33Mm(hYQT1FT8yGbco*&O|<7mOZtnOg-qtWCLj6rgi0*4ikV+c)T z=e%M`pl6m@g3Vzdz+#4m1;#NeqHv7A0tHAC?Hhi=l9yVy1W)oC$rIma#47EPu&td^ zbqG#H^_KUp1>VT2Qw9$~iT+&(&Xu^9MF*BZD#Ebc;Y45#at0Y$ah?6Cwl67i=a zN+og2budgYQ6`a{&vQWC1V)p_a@{kw5tFd99rJOTJoeezn0-pwtR=%lJ(kZNlfpSu zGcGpIOsvATabLT`H5FUV0J4F`YF0UX3nlpZdwOcX7XNVIab#+>#QWj}jvLM2!{>e< zY}0?S?vJjldFz?dq~A{BUx|!NjO{Rjj;~kMHHc2Z59&jsvKxX532f2=Ez{{p3`5T! z9u+_#%FF|k2Rv>6^-UeSsVd#YqRH6)PyzIk^rwMy7Z}F^QX@-S8Gw!~-E}D*W%Mtu zR6b4h#9R5?4DexJ^YfJ%C#?BSe2SqEK=g$C#FGh#r{9HvKqlg$gGmdeDq&^+jPOch zvL6FJuVI2$o=CH4&k5n!WWbN)^x=`o?&hU1YPbwNu6S!A!!JcdP)AA=EO-Ub&~nhO z+Qb!$pRCp;IlMY3)#7IT9d<-oFh52tq6s}rUsyf?R8*}%X)i=InO62Rm8G`)pg>wEtcmHB!@BSC)?gm^RqHc@B z+)f7O0pUR&B%EF*vMKcG#O)=atrO>3veOknY}s+rjw9>!2fDlYf$nHNoTeQI!k#iD z1+w-Rq3@nkKd)C>4`)8Fzbty+_u`S|x(VgCZpYdruzQ9e% zW^OYlcD8Taa&51-%dr3Ke2C`!-oDxHuj;*Y+flOuJRN~)3fslJ|6I1;Rt)Y(6y-!d zJi9N&rfUH^o>U;NbNOoJ#7WgxgNdmMP@?4!LT%~L8VNFyrW|SF!TYfJ+QNZn`uGjc zcU@+CAcnI{Fn#D?m5Cv>2EA4UNZz*+^}Cr?eq25V6lpbSr?$ZiTES^^6o@Fcb8K*0 z(kscK5kH2@njtb1H^)4C(@(-3gbuN&dF=P@Zm8G_1YN#1%8ol`1~(Pg1OVUGDsxku zdz1DRW@cGRVgQ(`s+Q$Q8QFITe4$60wHfB)5Cw#|9DpdaKrtQs*Xj-L$ZIu$J~dn{ zOc_=9?xG5EX{f+GhkeQyPQ#kq&TrqZfo3wkFOh@}D8YG5AoT!q(Q3j?rQ!-qbjUsI z31@u_NZ<33KSuy9`^&=*1)oC+R`UMd!R7_BPnVA5@$N@?f=pM{3knh|Ci7q&YIT|* zKD4zFqRX>HrqN{D`fTZnD?&vT)%}Df7S`3Rm5IjCh(JpFeo#nlZBxoH);5DTWb+Ml zs&SMvnRhx}K_-2mWxKT&n1nEoEk;@^wN|)s2m}l(pn!tB>RN8_bp^D0b!z{_?;eM3t<6T0nX1D|xyz8qaC`EF7lC0*xsqn&J1DoI*yTc(8 zLzrb*z5uK7Dj%wb;?54%f9C9Tt&rtRVMK^D<4u4M zS2L~{!xHV`j}D2?7Y|!n79@s-BggP+iGp3zH%!MYFG|?u%DRm}2$Vjr&4QXi11+>U zob5s?*C2HIgN>nbetFIWyCz+Bn=W2NRqApBTG7HbKuLwDf{oqJG%OB&OX@d^W1xKW z9r1}4HToibz{v}a)Zbn-Xht!eI?{L#OA??GRBHwhkrM$jNE&b5<{t!hzGTZ0-l1NU zMrO1qVNs%f|(yoV^BCmQ6T^S9sJQ@{}`4BZ}w;RjKm+9k0{Xw-sxY*amFg(Sep z4``PINP0l>1KN2euq}{fqTx-URjd9C-tf#Eq?=O5SBcOTh!UYDb70ai%Qfn>bLOy4 zXTd|9t;b8G5yodXO)boF8IvE7USMEyf>#gSC3Ui?mSqeW^c5?*fZE;%EQLyQ^xvY z1cSX}fkKmMoJ&18=#`u6Me>WLRGJTU4YOgpxChyWJ}%WT6w29M9h54A%1;~ZJ|EH zHJxs@WVnA_iF@hzn4z6Qqur92^1fOnlv*ZxM;5TEEn6 z1B+xc9@Kb@$j3!y>sjgTFTIG+y zVgqJ19Tov*M|>z1;H5q*yKY*o@81EHG7wMl#$Ve~?`B~ZOx4DwvUVCpYFLZ>2T|I8 z^vSzGiO_Ysc5i4f_2Kn&{S5ZHaOpJqgmT2-dAlaxe~Z8l4^U&*7K&C1P38wIQ7^sV z+xi)H{F{>c&wSed5xe|*@{W<2?O#+X|CH4K%(?a#>-1BfwtoSF0n{ajTi3z@=E2}W z5jdAxAUezce8uH0O71@7sxD)#o2_A)XU{rePx`5Qk`*r}-N5-lkfN3-Is9NKdVE)I ze7@vAH3JU*ee3y|BmhSB*GJ2ahyf`-M-r3-M{cdRX}ueMmit>|E=|rnz25KcjT=0> zq=3PfNcR_qUayH6?;o&Tqc$)xBh(Ak-@iD={k02LKooXvt8*?HCT(5hhV^?LQibp(C1=^6fnvd8vWO^de_8 z0f$c>%10YT z>#i{Kf4i{?KGKm4mcnIL`oKtWmki`KpLY&Kp!=w92?q}kKxXvd-z4WNvN-%7bh(y- zv{U_FkYJp(^?_-SDT9QIOwm|Zg$rX)s6I!+d5bfQ?HbB*MAV9J!`C51i58d8K8z*n z(IxVaX1M+$gA*#j6}CFeVqQmw5ceinXxB{`#;a;|1l%x^dZKHvGyK_%(Xo zx!{6IlBHmRt5pjqm;;}2ESsK^$(Ph_f~T4Q3RcG({M&5_hRkwS(Z-}e1yN_;jCKh2 z!^X(TljjMFbmG`GxbaBu_LsaXY|!-r&JI9L{_fIj=i5&bZjA+eqV>KchAH%_HX4|G zt%((5PJ4Em9byckPa28256cRe%7pQUV~8*~(lo~Wso*tyY1aW{^ft$%6oSluVk z;_AfNBp;pfVgl!Rsr&>QduyG18KIfyuB)RuFeexTOK4$Nr;i?+|C%gx+N56ed4^)Q z5FZ(n*IRX*J|A?cgq<5f+ibc-qva4^0rM`VLU~46A?;l)#C9@DpOC+^#z>8!R22dcEE zM-FErv@JU*+fujl-49_yS}PICMQladp%n7oC)%o1-)yz80_G@Iw@l}3B~vtCejLo8 z-=8R&XdkF-%ieYsp(L6S?Yuv4F>8zRIlQa)1r@a^cG$_GzR*oriooZz*fWF^ zjG3NQzadf!Dc>mlHMgdaEXw@K%tiea4|;ZGO=X6hZ7Gj=dRA8%*hUO4Rqz@-;5-f^;jBT^udwd5d8+^*BCK~eD{ z1^C^pVSYY@OS?k*z8?L}FW&z$ME{>bT>pKDW@2Xg zU&YZ{{|5zl_J1hA4Bq^IML`z!u}EMp4A{Y+5HE-zarNSL4uF0rz{+*v5s9PC6!F&X z8wRGc2P>TwHp1k)vcKD?jD-tArdIo%#eO8R}W z*M3|%_6#|L2c-Loa?=Z0dipCJ*BcMFZa*fym_4r#mz%E-pBW=H4>)AqZ_f{3cE=0M zlW(#2r^{2Cf1RyxX!CDK?>D#h0*pLKZTF<@IlI1Zf3$H|wCeUVmAF;+-yM-C&=*|D z>^8_HUVFb63IIABDKSn}oa|R`h;*lJUOw_K?{K~!Dtdcjoi_R$YRg?VhIsoBtA!-8 zf|VNgL)y7bCWeXP`brM##xvQ%B*Tm$$RZE zATuuxIA9V0ad8H~jG~go(%m=ruMawz+hb7w0|8b6kb>O<2Az>uW7&`9_zhX#pHc|; zd=ZDG?Y_DHwPP#JuR|G+joBYvkOD8Xr{6Y!N8?Sv5l01xeb%?~{H+SQuj^cK!P+E7 z>s1@CUQC+NB_Oq&#AQKW@H&5xZm@~UBjGhyzx?y2s-2WoZeU!At>X}6ttf0fQ7f8y z1YJy&O_Yo@4V2A03X{|y7RIur&6d&`bBBBb~qvOG={97BfK+kvzc~Ld$X8XLNF*o4~Avf7-&Ih9oHV#uDGkC=Zdz83jhn8`IoF zMi+#6C%){IDXQpfRq6z*7qyrI${ug7^03M*qY>O13zRMH0p%#jqe3qjd@e+qJ!CiN7auN{_d9*7nB_O|CRP?$qtw}!dk<>+BR&EX2 z6xf^NLp?I^2i?tr0{*&1F_?6PlfpSCShdp|AvzIMZwGfvFjWc31r7SO%c7JlKD*8T zaT{2d1_EHxZDOlEjOd4!i(l-lKg{k^Kv(&L>aw!x?^rkd8-`)E+SuSQ^hX+85)JM1d=|$nMAZ>QryGr7IDOtSQ|bzH%E1zp^Exa~^cC&zkpL zGHR137G)oMkwD*-HN`KI^ETnpSb@MkVui;E(bQfG|0^Z}Zahg2l1avClo{}j;7XgA(cFVOlvaCFl z=#tX`IrddeeZ<<9tgm^F2E1-1i#AZsRk#UfsecHHn3RLO%6`X69A+;TD7Mj9l_*~o zTS`vg?&qXbJ27mHsmYG170IcS_c8dGBQvWO4kWdt>TyjQV`lJMm2%gz6sTRMy3iG8 zAH^9f&oi>mf9D#b?%6Vx8^zaAJeC??jAN6NfKrN1&zj+5X*^q5t0hYpa0p< z3<{~llhf!el}M%^rr*M`%z|W6@@FE+q~Dt_yx{;sw0I@iAmLX7GL3pOA)^E z)m#15kGUKbtsQ2^&8N*qdRS{7zQx~tjypCtPO2O!=gJm!_9XF{{r9TwDOb9Gy1PO` z8E7YxBEpaVECeDdzF0x>${ebHRTWUlIMKEYjWHL~ma?81-9~HG7CUcGj5yPdH;EH# z{@s<60ALapN68%M=PlI|j6P45W5(%r``3-fPt~?cw*|F$J82L~Yx&_%m<`woJ9#Xb ziy*GKa%&9HDV45~Q-P=!U71+0by>ABy_~tl(cD()cvyte*F8)aJkG;CSG%H{rQZ5D zFh}TxTvM`-C4(c>MWvKOGNIAiK}dnp$9EvE96t8P83wQ#sIqP#Uc1^5j8D!4O>B^*dYS=R#62ruccBv?lN6!0 zXdtg1C~lS)u-(WLL$tiL*u!RwAd8|$C_;ngafK+o6k~}Kbu=(6b%$llQTBek86KtH z_n7f|PPsv?@|GdcA!v*a;A{?0Y4v@Vb?$4+m9$tqpma~rqH@G~ls(KB-tWl2)6soz zxAJdtERU17R;65CrF1Iu15@0)EpFipa_xdsy3P*Qnn`Uaf9FiAE3sEv@t_x9v@~Yy z-P;N&L1kNntR2*EJ*e9N)3>BQ`I78|-WSMoK@OgHUr`tjX%WhJ@RaVP&i{2{MAbW)xh@x5zwo5vqSQ812k9m7jN0 zCEwCHQGz2j@K7iyyNX)dWgy`p1gT|cO#n7>*^4~h*KLz2s9f;|E%)M?V=hQOoBT!q zS(vrvy9Dr(VzQTTxgtVMBR$pg-k2whs;16Z@_`DK`cKR1R zdbd>NF=v_8SKUrE6c`|rHh1#KQV0?G;+8rQJs(E{1F?Q@tvcPGkD;NDcMAvz7;di% zQt#V8#-?>9S4;bIO5;-ON%|zeCO=U<9=xh)BI-$x*PL;lW_~lM_F$bSf@Q!IXv!q`gFsY zCe2L5!r4(acbutGe6K}~s|x$|XoaN0&br5sp5a!1b8?;^;BFBJ@Vj5}%1fjNOtZa$g_ZdGy|;W zYK4QC{T;%)`)DflLIgL_ArRw2A}0APbpFP*TU#|pdLVvMTQeBa&a*y%;oX)37^j&C znot?H4UW1W6CDYb*T~tN2(q*?4e)pTU#EeXd$fuzw1}Pi%O@TVBagWSY^^r$D0y59 z{k%G5v6)_G)w@Kv^n8@6C(mFmLEQ8GT5_IZ)pX{39e0tXbn*+TUo_8a3>Lt@jK?Q( zby5 zPNMl7QVT6CgZud7<;eaxaTIzt(qW;gjV;bUZ_9PvkCQRzdpDzzI(^^c_v*`mH-K_( zEy-nfcHB^0jCXT65xTP+5Uu5U#a83yday}7YV&sN(EoK3)_m!@TJXnZy$bDqEYyoG zLN@Aop|R&X4@Px$St63L-M^eQAeVN&6j%+i0%|IyRZgm#s({ZU_WLWbs?70qsxg4` zY0&M{wOVxEd%Y}wDzGv7Oh2l8ywUIF_!zHEWqeB;j=H4CCPLz|y*ZEEzeEyb5gt_m z6cP_sZ|io3J|}Jg?c@2V)_>zo27|1>uW0%+aOMzs>B|Rr~}qF(~_p;*}JCc!(S z0;rqz{dRFCjL!b$T%cP%oVOC}BuWWf3%E}vX={z0J$<%&OKXs1$Fao1L{MME%OM6D zDF0V}mTy_Ii@(KTMma#10JdpgRf#>AqvZ-NcT~!y?LH17`^fX7owkZmZ%zGOuCOx% z?QPlKUeRq6T`%-5wB71_YzdJ3@Vq79W^>%#1XzQw0curdBV6`R*N)_D=h<0+GGmN8=wZx!cuzulq*8*4Pz)GjKTU$T4v@xFyVE?dERPk`l%iUHF0H8qXKv<3fhE`cBy z9=Hnw8r%}<3^%FvHn#4I3IZ!VFsC@)k1o$T@b?ShU$wa zmF4C+13i<$Xdgh8MfmRhikg!;upc2UzUTvBP$##-4B;7g!H0E7YTcq4n}4$#d!x7i zG}`62Z)Z(=5nT9>HFJH|hN_m`vXm`Ov9hO=)}wDOZ&y{dEv2889-IT=r}+C>BTSEV zMM(=leOSV|sjA+X8M--wO8}IedeLiTe7K^_#X)8^1m}EjBKfVZd2!8z$Ih@~71|2p z1?2TH`7PNKzXL{6ew~*@k%^8*9}-VTwI6`j%dNcF;@;f;0OEe3OMZ}xJk{r5FCSiR zSgRy~nu7VnWr3z_=FKB0JOUbwTPalxhn5JupNHID5M^_foB)w%nPkJyLlE1{?f~J& z9?RFi3=C22(85NtM1DVwGs^e2S?&T3f@XBHdnZw%Q0<-@Zjw2!ec&n6*;L*A`(<|V z@tBP!)MO5+!=#V@!DQFyxhbFw-kz}n`0F%VPCsYo%-u7ATDW)R7!J(BJxYWVn zmY-v}!8%ZeV zHc|)Y4F3b?wIS9KPp<=W!d4TuQ70v;W{*zL64!fMtJBlD^hWwEUEz;UZR1Z3El})< zTLMw`6_|}qE87D*_kmK|YLGnoIVFw`qwNJ`84CBpl|fPWoBI}tP~T}7f!{b5u5Q!e0LCN~~ zhcq+qm-LAK_|iwik@ctYJDCZAt!rPRKT2r-bE0`;&_wZw9Y}*5=QajT`WkxI6--gU zE;!OZL@;TEyxl&nApg$4`(Xc)TUiXA23eD``1S0xn>AOu<<+$72T%w}BHjjgkZnQe zLxMM~(@%hWKtuQIoTf*%2)))^?eR0i^}nP2;Z zq}`Z?sS(?876KmI{5iVRmtfv_)|?-(o1%eDCi^VX=s+`?wA`ifewi39)3zJI332&T zD{*x8p)g_dCxaMs^Q|7J3gq+^h*s$J6#w3=K{8f)nTcq#xe!BETZBR=>Enr>9Wd@~691!SSyF&9B9RKHyDjdE2? z0iSq}Gt2A%yTHmL(*2sg&kT4tw$5r@%6RTO&-Wv81RKyB0;3OUr<^pDD-j#4j(i2lHkG+#!kaIV;$YBi z8DxXS)eItar|unA=KUbkb#obUZ2f8iOjJ7$I@J5ps>Vlc@Z8Dz_=aKjVYbRJ%yl|v z_fhP6-etMyyiiJQy~uJsN!K!rb&XC2Z4u~F5yDmN^842(eOLN`E%Wk`-IL`S3)@Wt zQ>|4E`N*)s6~Gvc;Y(SlL8B>zn>znE<`U4H>{UbFv$$13)z>EA$vUJ{V|gFiwdT5k z$%r*%RnLEKgeX#lgv(%oU+1wb!%R1wcc6*Wy0%isAh=;0F3Zcm3&U&;D$g zPeJmg6_fMJg`JL)@e6W zCpODHUYifSmIm+7U?Lxu%?m6pn@+nR5iQ*qy+qk1(X8StXS?<3AQp|f!cpfiIsBWH zxG(=Tih)Gxh%Irv{fNOV!F`=tCeQb=6p*Ko>`wwia1xcfjy>-#3|--T(MN(pP&#c? z6uJpb@#24%B9_P#sts(lUXmeXp}(u*G@97M8QTBn?TGV1OzC2=l4DuT&yynzDwZf@ zb>OubONNSm9@cVL!&*FAf^#VdCpLvLv=QAu8>?OyGAM5S z=3ohY%pgyok<2r>!=ebpI738czL=FZ!+&itLhu80aBc~(&e%bOdS~oG)|azkia3L3 zaD8mFNg4ewSyMvEdOe_VN!ACl#(zg#$_@k|LNsYR&`3u|#kj59k*yPY1b(%S5dwJ9 z;c%vsvN;cu3PfZ%VtO=?ic!AS%{b1z+lSWq+wjoYk7tojrPLue@{g9+i&8$H?itj}|rsXuI=)BX0d)y|vJ4f&aKn;3{hY2wL+6y;}YU z5jU4ySPjy^94Yr7iVA62CLKH!L5)DHD;68*mbxGf;%g-|Tq|Vtz#HOdba7c{ot+^9 z1w@^L|B3Ui%$%iVVC-v$d2^<1Nu7DtKo!Y!RL(h~BE(te+X3DexRGru zi4h!rcPgetX@Vi8h=PJ>j%&R@z=(55#0gWhf4T7mu`o=O8gf|#-G}{{0BBXyQ?cO| zFrR!-nBnX0I;4BJ!H=y5+>Ol%jH8nl@ET<93PNQQaicl>YQnU!6rf;1zn*_eCD#8H>STtN0H_Hws zJc;iKPSd`%q)_N-?ICHf5$BO?se-nutAelsl(VT8QJ6xlebO&NtP66>I&h%FOw6ia zV!A{PN!OXMv;gdW2tiV3DS23Kp_NPb!4$E^4P?EH`HpWhIi^yKIMrD1EPdNLj173 zmDeHB&F+7cjFdvQPk{aNqK*!2S8n#}EX>buxYDEj$Qe&cmEs~$IH0XX}UW;EPkp(Y#JMYn6<8-JOaYasEdi*p#yon)&CYkle>7VW-L52mx5 zhMaZx!;Qchkk>BQ+hcDt_||c?ov0PU&LH|Zp~@F7Se<<4heBQ8JLWbZaRvX{qbsuB zFs(Q;S$ps~SK<@FanZyI*WGx(Bl_I@0f_WSzco4OWBbzXOru{4RL?-i?Ka#}MHi5> z?SI_4Nd_%~N4T@4UG_eh8qM&S^T$9d-wkJAC+(euc-3xPQ%f_SMIbq*^qPn0*Y|Lm zMRGRxwtduCnz~JuScZ=4zo)a!$G2CJw_ooq#Jn$;??c?5&-I!J1iSqgR@M35Ice(^ znHlS?0i6+`++7odZ@k_l2nC~SXju?Fkn8mQPb&ScASS5w31bav*CaM5l)szT686~s zZW&F;h3>?(HXUA%u0LM^A-C3C{Yq|ih2j=8LVyKIJK+IY^+S6A#!!1>n#h~KVlPWZS&8`VX zs#QOWWm&O5Asv_`uV{GCFSbi=R+c46I+=2>4#k4K7jV|gMQknhciD7N zPH&DtIxi~i#!IZy1MAJe{OZP3e5t!5qf}tom%?Xr?fUSVjB5uMt*Zbk#7%z;d*E{e zDVCry%Jse(4iChBv^ZneLg7*ka%P(gv9GGtjfrp=iP>iJbRW8JwdIPm*cay8YE9&s z+g2<3qE}aaBxq&B1@HDHFJ-=)WQ6s;4=gKN`dC0QyArRN)4fVQuU35*_(pwwb}Q@4 zs(r3~>G`y$jhJ&=pFpPO$g-nRpo*KL4({1ZjK^2s^KvrtvgJ7Fbh7eqN-!0+ z1XKf^h!$XWWp1#5RJ^)uk0{4ke8g*uBawHqKY?MnldS7F&NnGp4e`w}Njqt!r1C&i zb87?{rRRQsj&z5yAx9cG$bB$UP?)q4$6X~221LybW2fK$jM+u!#5d%T-hKi3MPs)x z4Kv&qVZ?^Ta1&Z^GB(8m*Re>U zdZciQ?=2u~jTZ1{pro@1{WI~T5xITNNi&GwL`9fwmXryGw_z7sNb&jO*1B%8WvvXK zMb7*o0c0#~3=AizEmN@s_G91RReE*Y>$LzgA(P6#fao)WTYGNhBl#%D5Tkqwx(e08 zQ++JCIm=jTYkE8cVGOz@=v*`?;}7XZYU@PH!KEQydOZzEyTUINT+%VQHFFEfy?^G- zq|2M?l={Fdf`!fYH|uqUg7_bse;EZp<2EY z1Q-&O<6m2Ho{js*Z`#(sgdJqd24?WC=9oO73A)Xr9Qb5t|H4AB>!IRf$e(=O!>ou+6e1D(?e=VmyUZMG;>Z_BgKN&RJ9mi)sLiN=JX`?B z^N3phx5d=>SS9fE+Ws}|HirL<%g?uHK@e)H_v++kc1BLIJ~((EH^Gay2l}=%vWdoB zkVG81k8v+udTe9T@1DIW4gz%$f@JWn@Q&^o>}vGYV&I484Q!rz-VKwv^Z-(dFUf(y zbVg5;dnOk`_`-gnQ%r0kn7f}G6P;s|>nU}7F2whGG$T0xkx9hsl-Y`kIFk!fVrgo5 z)mt+?YZqILna5#jd@}?Z$o$ccaPP!xNKTS)x6salK?-|!169dAEIdTUbdat>;f0=nK~dMf{rT@Ph069W}ICmsZIs zw0_4w6^pFnaSqGEr-~sz^a-85I{d7$!isc7_3gR)Kkehn@8#7@hjy*_rN1519f9&X z;S`GoMA6fTqr?k{=M88g^`GD(Th(ItpKoqtI}<=^Y646YQh*fw>m@}hWgUIwUYeEg~uhK~SZgps>u)#^5p~H#Nx|i** z)Qm?0xz5=78zG%r@wv5yF8|x@ss;4Odl$btgB#RsYReuWOvk0UPk_b50B*$`k!SOd zTl9ww-mN%lh>Zt?$~w?B>I7@&98%IuK$-EIU6V@w&0>UM6A8d)eXuP7552mw^oR-1|JBqsHTg) zR4BFK`A-_J2m~xsJQa1AZoet3YO>kH6u0CrfQR8>O>X9_zm{Ce@Fxz)1OuNwiw6s# zmE3-I+T%Vji$6BdJN>s^T7zX25D7BC<+_8g!x{Lij7cYhpO2CA>ItG{42dWlMeV#;2YFk!n z3!yOddV~FScjJ#|A~-k@LO~t51i|#=2W^xQ_bCOazlAc*i*fXrCW>%mWe;$0XR>QFQ z1Grq1OT~yLE+-%H$7N*|EzG;Bm!|%XSmaI7jD`nk4p}yGWfGoN_#im2_d6gLi8>5} zSYgOI&PM}WizZqPlgolo+NDD zfL*$aCZBuSDmDplqc8{8FR{6uPh-Irb)`I65D4|6r0CZy458!vUdg!OjF~DP^-Da$ zR&j2D?A8;FNg+u>z^FKM;$#poQ}_v+jnPSG`=#(3K^cE6G=Rw$=N}=%>gu^LeB;`Y z(qzUzJ*`BB1B%%@h=r~p)qr`2sB|4|h1>!RaZeAb#NAaG?lUriW+8ZVphL(8&IW>EItq|Zdu*yp!5TH6j32HZeHfy9~UNK6RU z03>zlEp3!c=T%^#k$j*qJXvk!S;n}AEDJTw5E1)uz=@nm4$W#yeNHHN% z0PFP(c9Y$D;$pJf37oSq@MERwQD=D(pi;jbf*@+LgwcWX%h+xg}j2X6%-9; zYsahE*3*%QgFh%9Pu0!y?37osZH#4v(Nosaw*kEJ%7dFuLti=ARg{B&q}rZL53mnwWl0D$NP1G}4ZC5pC->LXo8 zt2wC0MGL3YRkQnIyd}6szABXWavK{K`z^@ozepiMItim!7Y%U1MXgj7^CVSt)W_7k z)_*2vbjOJ~2zvY0Snl^v`(7~g@MOxGm2VVobO)aC0>JB5;ul<2*&)v z7N|iEKR|iAt~quu{9cM=Y@-R@IzkgMBTOY?CtK|69rvHV_r!EC8{TvPoD8v?#RMve zF7aW|(XG*@kO#D3rAgi%$u>0VxwLG-)WXQjjKUHNQ|PMTgyCa(Rdf-jNl5Z@8DGI} zR;UNq4}wuUu>4Nc7cjziG`(b(n|B>56uuT|^@b;(1U|4UCSi#NT@5%Vv}SfS5VD)W z{pgvh`(vRZk!TO{$_zL=3YNhZ`>+_YMkZMBo;h97H!rMqA zj&I*|ufr7fu%PFl%{S~YoIvZlTk@^dGWUWyjd%my{*D$B@|ZTW)fsjz&T%8h{g{ba zOd=O4XpzCwVC>t>l^_-JAhp{yX!U<5K_TaXfnX|D!gqn}gl2ylom0W$Azqu#$24)K zctaPwm%~9wd)*wOzp6yEvJU^K4im5FF;f_+5We7F* zVCYUt-zaniKJpLJu7LrR9*NSysBWhyK-F}eiKiHV&|w^));d*+ym5r+B=g|)GR&8@ z1nfG-hH;l=gG|TNY)vH>Ua}}kC#L1y9yu9Hd;MX30+(TLn_xQR0ugakC}f*?t@;9PeM?P%OE-(KV7Bw$zvNW@XaykuCQ)cZtUq|FGDJ!eK(4z-jP6f`i#qL$w#oia zdikq!ohZOHsYz+jfWUymg+o49*=|6Iu1^=Fh`)?P?T9;s9?#l}rO8R?ddno}+^`i| zS27!W#jfjgC5XS%z-l~O!wkigsoT<$rZLhCF!zbVJn$CJ6cVCt%EwDu=yRfFPzcG` zfHmHFf-i-j_{fXSCO!IU4rz_nW^(|xhq#VOD%gA6`)8z>25ONlwYfj2HQJo?*{-z0 zQl|^A1C4RH$!>KB6`0bq0*K`k*DT%Gg1Ru@E5_J{WBz6El_0B+qJC8%@EJh9MgWQJF=fFoz-S z&rOFRk$?Tg;q&1oh4@<-u*eDf$T*)1eB z!wXSB+PSXaDX#>ivQv^aBT>EQtmQ_$@|L%LET63Kbgd1pgYO$!9%g!fqTYrV!%i_6HzQAoM95yd-whvvlPsBCqZqyO4GGI(sZyz#YBt3> z3=&k}`4qlf)>jQkXdN&I&*vpBo?E_Lx?~gPZet)VICs#pby*iafBdXh1!=j{bnKK_ zkG(E>a#G>%ZV4~0dc60_m`u2T7Yb41W!=`lx@M|7!mba|04eepZ<-6Tc<8ho-GfZr zt*ZXLm7cbMdCL6!&#C#51=V)4g`AA#8fvkm2Hn9b{kQyzf=<8p@XDOH?<&^$BGbB*JmC(f9z z+cT|96j!Lx?sKfMPKhcCj;ZN1Xp{Z>H*L#2j3GiRuF&;)t&X_2>v+PON{|;!kOV#h z?V75eb?5P`)!0eH3;dYL%JA}{9Of!FBS;d(9@ar*uU3p!{uqW9>Q!jIq;Ig&h#hGw zb&l5$NZwGZaRN?#(1^z7!jc-c?E`5U)n; z)BA3+zZW+tM=e@1Ap^(exf*G=q95TfB|A#fn?0U@5U@N(w6$VdR#TSSF}@Y;-l5Wf-If|O zj;r-X7(TN`-@{;V&V8vp$hYEXv2LFe`Cn*2qHzYbaDpDx%f+aP#auu6besR=)7$!h z^n5EB1e(ACJl{cK+?3;9u5yfzn7v<@OolD#?s>uZ1WE%(Ksc3K1RWcO_Lah4?EM9S zqWOiTc@56bE_w7gg(#qsgv`uUY>?(bm!&&GlitXtXJsmAKK@+t1&n3?^4jTn)ckUd z_yqi0me%08Ay__qtAbu$Pv?d`2ZpWSte&(umYulA{&IPPqj!@F!F9uTT6^cN8MH=R zY=kR3*7n!G9Lf989?Fbp&sj;bwFQ}d+OUJk28%M3H#p<9zD9nM^^_6hY-G2i-*GyU zT9*}eDczA3tpdf}~rf^_;R@$$XM_=&Z` z8TL09k8M;wu9#LLCAPD~ZuKnv{;OH{l|3wc`b$huK7UB{r*5WHY$Ou+l{muL&d6%3BANKs8CUhyQNoC*NjY7GvKd7@i z1vOCFb0DUFH+my7svma*l6H%?fR^%2OFpKVHD{x1@1*2&zxuAj6IWdJy|c)7eBQ@I zg99da(7kc|QS`(zV;etF{8y$7v74BNy#o$(&k zEhgruL>Kr_do0yL(HVhE{@FG%Tf+Q#8{p9k8t zB0W-iTnUskh}@7mx9e;vqD|m+|BVW*4jlffyE9^b-s+HGc$6sy3aNk`NByawpI-nG zagZ+#-_GtK6p?m@2s>+omS&SD^5Xjlr>|nv2sl7Z%;6^?eDYflVKv_S`j^SYNvuN+ zkBwU!_WnZK|-2(iN-TtwnFMERXx{5ka#TTjj%<6CK~WQy}0mu}jJmhTQp zUhFwBp&FC7vCom2M`PeLjp?2Lh)YjvwqrsG_;bEE@O8Vm@w?rPiU|WUwz~N7^WC!# z8YvlRm}&8~_yR625hfmX?M^)2;=1o&J6c8tIdtlCE5~UfayCsOM)?)^d!*-|gbu_S|q3>QSq*?_nP|~xQ(D5F7g)W%WI_HAF z+%ew^PIy58)TvCxR=vIaoOIp$H=F~e5BEykdcGb6%R4e$?IW>IdfZx?F_B7#UO*Gj zFq>zcRA`SAl##T^QAP=){wg6E9icf=2SC|}WVSy)G)ux1WYdy*nAHhz-2a}y!0)?& z3{*ovXd1?5T$iBAGfCYOUP_4XR}<{S;+23)$$4SDs@V4aI2^E>UpuYfFS*Y5;r>LS zpB_KiH%Qxcg6BKx2la%>QEA?I`E-BzF=;mdYzdRB$<*#s@{bYfziaDYDa|>+_V=4L zMR|fF*~W%xO@?UvF1B%fe!=J0W663;6~Rmn*6kIy)x?K82YM^4+-}EKAyKKNzGRkh zVyu}D=Q?tcOuVw$7=n#u^Cf}NL^oixSjz>%ub5mP-68Y6R8%yVLUlI08B4kbC<%iX z|CPVvBq>NlF)$EBVTg_?Yv^y( z2NR2L@OdXKm{o)l;_1aEu8RWVKeT~o;m9{oYuSC}*mIV4CS=c1dCzN$yT=8Qo9gU) z;qQY+sGS;brdaO{WS-yl`I?q=mkJde=ixUJfOc=9(Un6^=+Uk`(q@ob?=KF0t#TB6 zDMzj0Oqo32*>wUR;3XMr^7_^8kyb^QG->GNNO%C&pmlfh$B!%^q57?JX4!m!Z&{KB znu&VPgJ(jLC9eJVVAMv{AXa$-Vn$YA0PK?})PjbLV{(5#*ixeu+qPe}_Ic@SL;P$m z$AIA$O%PjO756CFJa$Him>zS=;Ve%NXb?C%u*=Di* zK|6R(4o?W-@ta#5pT1PW{5MyFz;xPzPnIvwLBIg;w5#O+n#05O)^#(tJOsGjkT5yk z1ou|;r95E7N-jUMGxkZZGUI1;k9i!vJyC2&G#PXzG5xTqQ%JqCyb|ly#7Ovh=+_9k zV-RYP?BSXMV1$5bP72^ajI59Kr61)@fM=)s+%Nru7$rF{m$Mpwl*Y8UQ%F^!g3V_9 z%TH0OlJQ-oWTqr#@_Q~((gY2a)gv0mo0^1`9=O3RG&UYYQ(H^>qn=q71VIm%2E&b1 zsv!7I0Gx~FQnt|6q^pZNaWO5Q;)Zto#f-Y#JU;!%;+JYT-G=rJ5q~?pU5bKFzx(nK}nWD6kYbaSxc+hgy2EM;JK+K!#3!8({j(LG_u# zQfI*}1niyuIJ54;z$L(S^k$+)owa9%RBY&T5^th+DmvrX zmp8QoV6o1psqk&hBPgH5Ty$Dl_X@?E;ZiN5w@R2StRGCa3aIk?@c}M#Yz$K3iaHjn zI{|B2wwdKymXagOD{x8}{F+TXF$Zi+ALb|{0Bomnkd%4jUMVD7KN_xrM){Sb)0lzFyIx*r zWq*2*kD`7BFglC&p0!gloBD~)iltqW=YZ%k{$BSSYJjzQG#EZ>XR#8AC|>{JQ%k{!Ta2YV)ROyLdC>}ibWXk>sp=|-5F0#6 zVn$rx)){T~RHMpZp1CPXWwEkaij`MSL1Eq2mu=@qmlmzWvoNdNlW*#xQ_Q+2Ok&fE z8rYaOm*Npy{D#I_agJ(5ibij{-AVe{fX^-8CoHr04NhU zuRg&>1)2I2gNmA>1_alpIq(M_Thvlsc1a}Dd3iB6MrQ2|p7oY|UoPkhckeY>`ca8Z zcBx2M#_>4 z076YkE|xP*5b>szyXLBH^TitQmL%uppwfF>gj6)$8SC@i$^o)>8D!pfT3Bml@kzxw zgl1?tgnFs#l)8A0mV+iwW~kfZefC9-1w{}x$sF#N9;R6nFD+?F3wRqc0PY{jpEo6le9Ad{7i zf{u~2gb+lbz4Af79Dt=l4~|1c&uxi0K5Yp6 zSSFUQeGd<g4 z0G=PJD*Wt{pm1hH$@ym?Xn+cP6JoYxzz8QvGlO#Mu-MHG7FZ>c5Ys%U5f{6h!crg=Vf`|bfmU> zH!=n7KBaS*tIj>K5zv22Y>(ef>GlnJJDcPC^}b9mU3U2CmpoeikZb$6XK^c{VEEAA zNi=FXq_(-puU_6O2K8NPBS6gzq(IQrns9(rzUAYzRp{wv+M^>e*#D6?YI=j^?D*OUymbsCxzBPIAp;kcvKk>;DS)~IvfIQ zKh?21q4`G$0E*1dD?oV&hRv+9qkh^$;u5%uH~5t%>u(~0a( zP082?jFVq_)^DgCEX|#kqgeA%OLWgDX7vP=k z;{lt(3}J}VPpP3$Sd!12q*98If(qGpxR&|F7&;Mnj|V z(u@2`lr+xDBZs}d3T~=J=LJ8%H>gI59@;=tCk+BZR$=~4yp1Fz+AW@4r)q4HES!fj zKKNor#8K=mxFn|BvOM7y`g=gqtaaek0Cu2A7-DDWH#e^a>vgYwog>U(Ww zDW0G)m5EHoC-p$gdb@1%jO9}nZa3MfkUi`*Fcsde)2Z|sJ7F5Nl6&{5rC}?`%j)45 z&3KmSFQkZ)Z5y#Ws9@KlMD$YPr1#Nt4A>P2?gMxHVH(z&v$+13vhYgkF%qte)EcyH zmdFLY7u^}sFbZWwo4XKy9jFC!`=k7#sZI`5*U4};YF4mg(DX}rY7+}{qGm^A!~ByC zbCppdj*tsR=kkR}m&HU~3n@qInC*kFj9N)qNK}Jkfk*asAiqNx-jaeg`|YF0w$xgi z6Jw@^h8rlf&hQCO5IWFe_o+qk6%hath(7H2ghltd%{s9aCn4fpnw6UT<3ms%>=l0% zfI}r8SQ900wR?qu7*Z}kc>^jT{aXt~2U=j)wEPB_gao_PzI=;%jm$|Bdxc^|E>+%H z=Si9fQy$_NWI^sIuWFDR$M>oTU@cB95B#v)$E>#`<><(seU$~LjUo1-?{^bWFfIGq zO|Y5<-pf9s;P-rH?|3|C>z|~GF;~K&XVYpbQqDIN*yLt>I;-N<-E3Qg;SV2JJxfL)A>2Zw)5~2FNYg<)Ywe@?M1R|k5 zt5>C&r1pBOAh9jf_Kr}`XnS(i^P7IyJ0U;3Sd$~(`1sWm&2ki{+;I`t@!;$=x`EHK z05;McpsriHJiF}8n2N@*X+h?WW4nIe;lN)Rp3zWYZX$7U&;Nw=!ce~T(hUGUF_n&q zwnfGcys}-?44!0+|LwabY-DI3Mfx-GlL&iUao;fb1bV29jH++@}ShJZrY!%{ zyvb%Ut^P=U<1oK`q8p3TZG(W?MA?*#E5+y$3>4VME@J*|0@A5a2cf8)s|kq&pI@Ga zvMyhKOSA_P;i3g^{9;8ZV`r(kie0npZQOW!e-mOL?yA^W+1#h~LX`el>~F$JbXV3L zDz_=O%w%{14spn}f;DE-?ojE)qyBj`GPOX$27)E5suYSSLT43cei3JsjiaK0&{_hA zn%5$1i%Q4pJ)IAIEy_qxD{rSUtuWLMcdpGWBOW|ROVEu&Ej)x`{nd5O>7v)r@{pkx znR9W%l`b?PDCu-pQJNI|aMTm!`&MzlQTtd>zN4yEy7HzGgEh7k zODO0d89^G(6Em1`Xbnbl?YVoRiW;p}Q1z7&RpP2~t&e&noDX z1&xfA#;;pcCV7Ia7rkt#>H+NKN_h(6?a4$jO2L?@>Ug@}K`hfxMa+1E1~B^dY(uh8 zpnGetEre|eB0X2hNr8e}>Nc8kYxQh)-s57<@|>B>1&7bqW5tw`po?@~@Uyf2#jyqyJe(iII_s_5XQK zv`gcEDBVG?e)k@5`Dws$4*bZ++Rv|=`{M;*j1r#yBcqg#W&z#E-@{ypcY)gUthSD! z;nak4mw`EbK-_bMRS^9-gdu<_8s6AIMRaPilmp*!JV5>QQSIT~W98E-n&fehFs)%Jb*jq6m)6Bmf= zt4JtJ7NRP3Da>KD9z(O8?c8yTh!rPe{bQ?=E_BGnSB@> zb$29&7u`1-6hRs4`_~FnDs)>FVeegZbBewtmbnbI?<3XA6@1y!ovk5|PT9P97Sh}K z-$DC*Z*&qx2Cc`{t8q(60j*I&bKyZG6|IA)z%dObkHNT#71rLF82CEMgK?Z*?4~Nh6~{h znY904vc$^Z&)%?JXqL^++ueRDr^*-?67n&oUc2R%UQNX_^XTZ-I*m}Zw16iJm>f)H zAf+DWh;r}mXZ{8h4AifLqKnq=O(mJOYSlib2lQqAb2@cgmb9yr{3u@IL}dlE4%Fw- zf*Z+$4W{Eah2*{YtP)$OoWl_sph?SmdzezA4rpN@c$6Lk)dx2a4Lt@E;PxSj*Yw8(^k)n>wI*#W{)RQm-5RH*{OTt((89&AG884+b8W?_z004y zWHnO>X4IHRyvnAw65Hn#lWXSA1Mn4D8Nu}kOKQSA(2Npe%>BW`5ua`IX9?2M1ly7+!_RhC^Jc?O$aRvRg=p5 zDCm|*Xk@&cq%$a(n|6D%>B4#W*M-t*FA`aj#RAb}OXysL&TMn3DF_BJ+4Uju@42b0 zqjlWJT*F6B1=ku2p`2{3X?)h43@;m%^viMKja8mT?P}NS_FaH&nSoA9jV3QSJ>%r6ZR-+)jd2fhFAX_EaP6_Ec!nq>RQkE{gjjI4C5 z>;#OAY;->bW>z|e|36uh{r|hmpO~Gk^G`|^V)Mg#JJH{{0;Jp8_Z>ESz*K zKQ4bvEOhLQKWY7cQ9xm1VEiXn|Gem!m>Ajr<@opWjsKjpnVC8N?K#$!wstJ3IIIu< z{AZ}orr&(oM=-lkJvD(~943NN7=lba{lJm*Tt!M-aEer8yhmK8E zx{rp6_pOeM1@4)rVpp3_*O&Wal&Ruf{7**0t7U5~%}=M7r7209oWR$}jYjXktV;B@ zax>T4?H~8Yk9?;dFShPn>%10kkIb_9mgyu0O;ZrWwChAplN9}DFKn%G;V z0IkcS3yVIgty-Km)DQB?(A{ZNt#o&R4~hRhFyxUNx)jXo)YO?tLX8XzRo|r#N_vl$Gq=VaRt*`-r2Z7o zvn3vj$DM01U*~S$C(ItHKSF1*_xdUL!`@gNIH(=H=$GQ-%tGDqnMwBa@!p|pJIF)u z+1*27UzhB>=W&x;vl6_FriM+nbRtd}oyRrt+C0fgBeoogP3VjQQvxm zDFZ)T5_JnY;IMihgf9^g^a6*uKh%4oBNBWAQK8d*iQ5VmM`?c(fgyE2AsRxS25+-5 z6?9GJ0rhf;4+G@-RLHc0Zy2p9@oFpn6u8*&U;g$}B2*wO;O#k{FcfRW8#o$*Iyri! zDQvr2J672Ka6Xn7JZtn@s;HmQDz9bW!SfRKW=6>I)_UK_Q^dql1GzE;G;>A2hsWUmlhE9=OsB`Qmr%^j}KdY}Y#9 zvwAjo+GMnkANtg?jq6Mt15Z}ToqHFb*^;$O#el5`*+_E9AExjf@)%F$spF_>f?q^51%PR2@31fk zm1q;8fzQ#UkAs9ThXEV>?$#x|x{ z&0EC~7N7XkNHM6Y3y9>RVF=K%#N~ZAD?l2o{$<>+rL&6BpmWaG*%%Lh*dBf5SG~4M zs$n$?j=d*YQ55a3MHCJ7s)1bWBhkW0vKDtSppH$P6u7>|q=gDLNtq(41gKG37$M?L_XnpyAKftKXaGbTaZTv zMX$SEe34)*c`8{_kdHY1sF227fqtBxuH6*}wmw>q<5%HOg|LE$TcH@ge$Yj;a+f;^ z8`kL&SEOLqRCdD21qr4-o>UOWxQJHYJ`7_5U@itG;$w0em2GXP5*-knA7hKEhPkxm z<}&Hd5k*d(G!cPf1>QF#x5Cs92ZT`5U^xPxMx|w7A5vNsClU%34aG7gieUtkVjT0K zRwTF(iujxa=gR#CRD&}U6Ve_I(z>Zs$fsE&oG=UmX~-PAvX-f^S|+?N zBMH(;mxgf|i6iW&D~@TsvABR=LHEA%G58Sc%3kIE-DP*C1a#;$7)s`d5t=mAL{rB5-{Qo!D6mSJHt4C;9**DWY> zhNfpGPG$*<61K_SQB^NL?ESIW+JQ_|m7UOIC$|7f$8d#MH+ge1HIG5KYe!~8QC{Gj z%b2ObXRh%jC(;1b;Okl`D3e?xZ%;9)Q4E75RlQ!S#=HTl#`Zocl5#5Q;jHY;seF6$$MI`R;|xAawY(@GkOK=q z3K)WiRTv`tmsl8}!Xu!kiz{(SU+SiN&4;Uq`^*iUsf$1;r^|BOW@p3RWZ0R6F{7Yo zK!P)=B-l^d!xs%KBpPFRbhjL9aI(ja&X0)QMuUGWm?9sHmyS>!^PWPn4`a6p_+<#6 ziTA;L)T#YC&bl*hHaTZC30*A1;f$;37z~3swVFCz!_}j}$CjQ*4`K>xs_6}X2YOSJ z3|*0)|0O82)}BS8cs7c~gNK3C-mfA*iEXKqTV)-V)1syizXnfji4HF92m_HD9&|TA zW+DQC*s02thY)rZin?8K88_Ly7sYVnV-T}3YHc`8q|p?s8*ym|C#Mu31l4Bb<>;I3 zy)6?ZA4Pyf;f%?Pv8}Nfk@Z0thNYtZ-3h)j?&>EQF)0**;_@h@l0q3U|@1I zXbbrY7+TXYUC|bUNU%_;tEX|sQ&tX)Wb1T9cr9@BJ|>s48+4&42+x2 z751Aj%;VYeg>2DdSXhi}lEm;;zlh*?&4Y8s`&IfuW(RBNyUe56BI++2Zhj96#7HD3 zk4vv#)Yb_mHv{F8$8cxh6%U%&V6V+x{xny({KqY;s2;hJ)-zdlG62q)%@M#tf~XI#+M4hd<^H;##88}1I^y!W8C7P_sT-b2yg z6dt!^sM-%fNml*e6&}X6+jf78ZXL<1^8;OP2D=_N&hfs?c+pl7gcIcl z32GkE3|QuqiDZg+d`Ra_h=rOyswjx;JHfHDvixR%bjI^W(nxEGdeaW1nce`)yW zNuHd#&fb!BlMUImz=c$GlLhy4@R)X2pQ-4)a8mi8=7}TwbpGVF67adBmgwYbNeRU} zmlIzAM2hgm|F9?h?^!VY*hT!i7Fn)n#LaGJ)lJO%Vdd;0Cnx7GhWOXtx3h^YJ&}v;o6gbU$SL_)wiXaW zMyEe0Qs-w6^8DS(?{gtZnZYZoJU_BnPUC~EM#se+lWWXa^V^IDX;tz9%V zN1nc(jAtJ&cRg>v^dC~ZRz=%bTEs^N!3lj!Qk^HyQmwYXcI5n*q}&5@fS&fM-Cb$H z^5P)n=q+wNvKJhsmQ}e5?yPw3#(Cc-wX8%02=DK26*f2=epr!7B;WQx-kC(1<*W>KzWR1@ za(qS)z%3cdn>mt*LNKbwlyc*u~;IA(77yUk!@A=Ak1a4gmp*|X_F2Cf!tbN z7OKM(@tTAFmwk3~hLdiXTt~JoML^Xgsc?7Uy4RA&TTPS`Vm4iQJOCZ4 zbyg9gZ8sYPCj$N+(r>rxtO+MfZNa6NLeVQLO0ASoZiJK!7k7$8t&8C(m=+}BzdZC% z`m=_H(WOF30Q$zGyAN3D)Gys?BzGrV^yQtY%QKn~ZsTpvWIT-~bNSxXYQn@$_B`CR zy%1#>mSB3g?gDdm(|62u1dD*-J6n;>#PFFFNOE{@>%GG&iR@T)79S1$i$M^@l=ZfUZQWGi$PrT=el2qBESCM5J@kQR%#;KC9 zuLU%hRRWn+GLVi?kG&im2^D}e^Q0M5-~hrp%X>}On}+ni=c37ZrtNszBd0&7o1^Z^ z5;;Uu<`Ykc%h!Ujbdgu)F1hxO!21WZX$khG3{sgHiJb@=<1dGk4%zG}u83OGZ?UL^ zovHysd9N~ou83tAy|HTR);RoyhJcTn)say zGWVvjk}mt`1=VAJcx7M*GC9u_Ds0drQo0!D8<_wagkE3Iwk~Z~`@nQwXBJJBV&!|fc-?O^S@pdjF^=E2FI}Gp+iVgg=#?X8SrZ)&T!z(jRTL}k^ z=>${;xgY+ekH(d{A1;2bv7cr3%?(IDzO!79{JV16<+H!=cVb2r!!MHQi}9QV?`u?g z3m1G2brS3ot*P-)m(+;%u5umvhVr~EITgkL&qh6<`a(lJYAuU}R_A856L<%*(H2Pi z`@BFE{dGZzdroSho-#b7y2S^ss($18(AnLYrNtmwdhhLK?5BbcgizU&!$WY{KI_sQ z*w``+AlH2%g19CT0DJpm(wT-LA7rj#qqCKnFu>2FFQOJ+k9w8EB+r(&ihvA;=O$Yr z;p`(_<*s~(*ol^R5@<0o*Y>R2jKzeEyjykyd-pr=(7ScJ4-U0=|A5j@2KaR9pn~)6 zWzfRQi9C^wA6Ch-oH4Kpd^+H*>w3`VC8+wfA`_a|a&gv(UU~_yyo=t&ftqJi_Bi}l zR^@yuu(DU(&xkEP5&P%a_M!oH^u48cfoXfS{Z#Jmr2(*GNEM+)auuB$Qx=J40jfHc z9dl_q^$qFW9UC>b=hd=8Yar8Fa>^N4VgnqZNKhyk>ah$in?$xd0UM$YCQ>Z20qT|h zjGOqnV3?5X#HR`J2#wS*lXNJAJc{NCE4OW;z;YjjEKThoa^zy&;Q25h@UkBzkcM#s zy(Vn2yIwq3vbs5%&af)E`2ikM>we%ejo}%jR-Z$F+6Dkcm4MJ3)I+|1%OgpZ7CVBL zpai6r4N#`qDZx^_D?)&pV+UtYOHykXX;Q*Q0X?otR*R#!4N@-GdZ8p~MuxUKv}CD< z)RVEFVMLRNfaTA>lVR$l4QEFPi7HL;d8sdk(tS3=B>`YbVBoS=&H({f>Xo{?@a}*j zuPHbOd6zp(h+n+A*y@)nS^eVBA)8};gW{IK_j6VHTi@&x$>wBaX`~nBWYb;WrTqwxP0dYe7Si2TAHp9K@DRu|lD~%taUzLJ%tb@wdbk3d5Mz)HHo*kOAdsM< zf37Z8BK(rw)%Lj(V@S&)ZCDHD6fs4JHe~;rL587}Erw?bONB!-M4S!F1g7LaebADR z>8A^1z-o{(cvbVMAj;Mak{22h&&2+Mj=gAoqdy_B1lPRk`e(T=Xpni&kuh|81IHnL zcUfRHeaITt!>K`HG$Uh*VQ^|HKi|$)36J#$8r0U-<^Y;^IR#lpF+3ZZ=1~AL6eDI4;ZItPOlnFq@NU?PF`Pk!z{c^Gux1cp zB_@dp&Az-{^{rFwnE&U8f4s0qXfXpJfjl0 z(Dgnf3~{ilL;`Fa-w8Vgk>HqR#)2Vc{ibnQ4aWhIAfsUY&2%Qjzlt+JAYtomF#g$Y z3W&Kk8u3YE#5K|F5d;b#6KsHRjL!aRXgNkN>%)Fvzm%wvjF?D@icwu748z!@6s{~% zN|hS>?UG8vOqKXxL59G^5J9kVcuXCs|EdInnem?h;69mX4F%}|Git8hX-!VGMIvG8 zX*Q(r@sHR;-Cklh2-uL? z4PmfbvS^Tyb-yrxj6_9+qwY%yJNMehvZOf%31;09mmy|}VDJmy=i!7<47VXi-2N0s zfogtoDbMsN#ooYcT=z{znqF%Z8-f+Pr^a)k{rWODV8E^w?X|Z5OFGhr2G6WC(hoBe zaxpWY6nh)nx(2GXBMW5iPLouKE>#@% z+GRuaS_D?)vATjsj&24YNEW~bc~?LfysW!15J8|}Y73>af<%R8I#b$&6z>B54b=Pe z;H&eFZ?5qMqa^&-2DXs9o8*{A_S1m>T@<_MuC@I=>41$VI`j=4B_=d84|@0h-rwDK z59Vu&6f?fA84D8Eso%-|$Cwi4*){_TJiw>}%>4x+&rJ3_KY`})tA)F8i*DiCcG$H; z#yadgY-@{Ws9mNYNQX_qAa-@0E7|Oq9DIJ<5$5~ncbG*!A9s4O1z7Xzh&3jmbfb>| zLw+;{aVi@|K*Ethl<#CRV!h6Q(|$z+%VP^r$R|m8qyK4D1y@+@tO_usY?BQ}gk`Q# z=}*`Z@k?Wr|Ad`NpoBV!3tprR%BykRAj49YE5?4EMum(IH`2;)C8lH>u538*2G}pu z0Kf^5^_XOfMt{og9|s$n)%UsHUN(cs-Syp1>Fhw-e^oBsTE9}2=gmCDwn_@v5IA4t z$C!!Fd0G(Uz05ZomUgiyFnU@9iBmr`1g%N`bpK_ZU^c6|4pAc%%v?Z8(f}8EU@A%O zPlrXN@c|4K+4SioHXvISnQYa8oCtS~@y?ccrLg>R>iNXci?UldeRN!C3{Bql>1RF` za=YDC*Q=RQd+!Z~+D@Mp(gXIu{KU}qjvh}Zmf1%G*wII+(~j|}9q!Bx zZ_5#Vg;(cM3r+IN&icI&(_0;55&@ZAn&HvZnG|~t;r6Wi9eobY_OWd+(}@7j-y5kU zCmW+;@bdSLorIV-I$XOmUn0b#+W)XO{qK1hGBGf-{2RhUOF9;t9i|)m);IOQ7O>(s zzhAH4l}X@p@-Kh92)qb`4vN{v(c{^_pHf6cbF1@>+R|_dQM?6+l4rzk@%JfLAxKz% zHLO-e$d6DEz3LMXM@mvku8v3Sius!}4h~!&t^zZEfZ4x4T{<8G&j!f`AdeiG(6YUr z2ey4#79Q03A0BafG(VqxI^ND;i)5~Sv+;U%Y`5Py`K{UYt5^CENYS}O<{CuiwDVDe z&O-(*(8*es>JSyDamWBHr8Q6Yt!Vv4gYs0DiDGfJ=_}PwgOCL-lYyoX1 z?Nd!qwvifv?tG;vLkR|1VY;`bxCK1vsg$M0>d~g%d_*=3vJDPtjLEdb+Mc*cc)8va& zK-e|TZP{NXUrf8V@|(D3)OZrU3D(1oNH*e8B1J|LNHJlzh8vMOq<8O&zkA1_lmMlt zJE64vk|w^g%29!^qVU<)0lNEK)8R0Lag$C~XkldvEpp7WKoH{Q(g+5tlSgl;%S|~4 zemc1BBdfJwkKYk@qb=@2e4=@?g0Kf=SfsX|kjC~mkmsgjXZITZ61tpe}^jp<6PiP~+J*XP9mYNDfdVs!Qc{ocL0=0GG#JAavAdw)UBKKpM z0l=0$NZ#XJz(qMmd=0seTN%zm7ayS_zhg}p!FxiuaAZ#KX#dd{xm&qRG2>CLke9Dd z2Y}c+YTrg^Fiz^@GSkBZ2O{8KgC$~9f^=QW$ykLb$#3*`ywErGMU#`pa|RycC@UKs zIMgWjIf=IulEk zOrxdm(z*uhJ^ZwPHS^IreaAo;X^qS(S%kHg2FgK4>=8 zHPl$|gAj*8fSL!sg>ckqBJ9LoQkj4;J z*_h{HT85FWkSwCllPZ{6Mv1GfDIAb9I1!=MhEv_yGb#Z7Rtv@g93qjE9}lhUPuJD9 zZ(}zIUI3*kWsApUvbk`0IjZ{b6Wh$0#@&z2m6@l;EgS41+`Op3RObifjJSb)V6Ie{ z|A{GCG$zoU2p3XR)*qr_X+_s`b;^u zOetgujBv68D0$Qlhu0EFjIiWD=VGa#kO70tWCnEnz~qyY)I{5-ZHei%0aTVj;FI%y zeYh0?r7AQB-X7*a{!5>)^X>0XF9`Kq+|@FOmb0R3hXmvxjljM`cp}$15lJmQmkr=S3F-YqiYP;soXn(xEO4wMmLc$k{5VOc zqcj I|5TPe-Ukw*NBO%1L?5*L%MBbPnl+`tDzgH1966xz{LH9yysZ4FZ+?b(@A zRpep)ks3rg7^%B}ND1w5eSgjrEHgFmfcsMq<@)Eh6%i$)Rr=|~uk^x)c*~fC2Ma~> z;UWqRPU6XxdI%2VUeS!2(}W>X#1Tz}d_ec#&E^DX-or_M>cJ6mv4LdvF;T(3Bet>M zxl*MH64G9Bq_$(h{Y+zP&DmWUyhZF4@Fry;qM_krwz+0hNMoSkXn{SbZh&4F^I_DieCV{1rNv4s@(1)ru}j>}{6(~wcjDx+X_OaZjpUS0Y%KAxt3HEOD_mn&A-4I*gg zHodiKL{>2w# zMmjC)a-95e3Y%73w;dn4<+5cL)YHGy-`)@Ab57UT47Rb$88q1n_nP;6z_E(gvxc4p z*EaBh20(vn2-lzqAr6~xtqsPQO~y<~kEY3XN(QDmC14!vY3o2swdO;*By<%g;qmFT z?~n|T(WV!tD!j%bX%r8z+B38P63aM&yGRwxO^>!{SxV`rC%>SSWgYr zyWsfGO8QRFpUpT{eefx)1SS?;>)PW+SdOa9NGnZY=9^4dLp|nOtp>>Cm20&2TVbvc zKGtlOoalCSy?C*6O|ZrdJptJ4?lW zX*4pADmmg7iHl)Ks9oB^h0I(rLOiG78MX9+lFfpsXS*{ahMszleE;1OWdsm=K@3Uh zDvR=D`{AY zUNPxC(yog-4PTPN;90AFZ<9v=@-sW07VHES{n_Wl;13@&6Bjiyjv5maIZVH8S`~xh ztCIxrf{3Bg&N=0MoG_VM##>=}f^3JCv)6@h={mQST%)2gS1~$v7Aw*6Y2PF zRg02Xl5}(Chlj5n{IHII^$8iq(^e z_hOHtdlw%CFZloy%X$H$9UgU~+5F$)W@O!*2)o%{C1m)2%p#&PdWn#Yi-lA-vZ%^0 z{n=uPP%X?9JhO;}aMSUffp*(uI^rq$3~ji_i-#gA6v@Yz=gH5C9`pEOU#`7JwV*i* zbk>@9?%d^DcYs0`8gaJQWMt71;OGcAU>z+8tr%uk4`2ilsXZl?>GIWI8+fO|>~p!2 z8dTDm-;=nlajGesGH*UozpJTgbxz+5Cp)h2_+EOo;6K2@OTeIu&M@7Slj6lT;j`>; zD}LO;ke`+zgD+a#DtyfeP%?5M)oL`U{drqa&Z3$nu9HK>uH?0WmvAn;+JI zlbwsBv8mG!4D~-?PwD?Rl{_lffQf8Xv5dA;vn?U_n^ zIp_F&Ie1$SQw-$D+J*`jGDg5^t+Wz;?D_Ds70xDp9Bic@10*T@84iqy7<8ee6UxbQ za9Gb&#%S1B4(^MC|2Nq?t|xi`G+)DT97_IJ+6s^e7XRO z<#=1q#{MeRXS_8&f9cB@N)f86k)AH89hC51;@9_`e&of#Dka9rUk7q=SmcXO@*e5K zKp9)6W6Pm&Slc3c^X10vcqg;}N=;F^_POGK0U@ zM<`y5Hm==;CnTMjy87LjmHhIU%;XotM5$Hk>*wYJP1I}_**D*>y9g_G2sf)(ClB|L zfiam5k?`92KDlh5YwuN(ufj-nj%!y?@F9<2jWN5O1}6-ln_D!WXFh*3QtUi>IM*GS zDI0EisCNo@`)e)X;WE;j3e`1_xN=Mg22IqaaSH^}be>fc-+iQQ%F5UZ-&P!n3UP82 z(omi0w#;l2f?1legsg`m8>8dI1XQ?Pey!d(964>b06-;zRq4-uKnf+G*K|ADSNLGmO%n9VJx|LH@|6GyWx6&5td1xtYlmf9;%~r z2z<*4O>JbgP5q8#SGlS5G2uT_{o`gO<{Ehta-LDjJn=4tm;}$r4Esnr>9&ftAf0-H z<2snau+vt1xbm>wRzH}3fv<%$t*yk0^+cC56zl?tEyaEeIH$;9;Gk(COdL8si&98j z;*Y~@8NI1wwnH3FF1)z`t2sh6!`CR*rF^{AU16LDUzv+i&M88wPh+bPJCwco7xuWz z2tW5jpELMI?wYnStN2{w_5ewr1#p!pH&>qlaC&X%16|h7DZA)!iFsE?3`3CJUie)- zNS)={d;bPHUpS+i?4F+PRr)YTr6OKJYI=U#OR|&e5?KI{RX%%2ah1GwPSAI`74l=X zpjLi&D|+(iiEL`bAqNH;-q*$Ri}#4|Zb}4{&U{m=JMb%xRf# z2N7A=sQM3on_7i)xA0^r8}8uuq~C)?pKs6R_xG_m+8%N|QBhyB-x0ZTsHr88e90Z$ z_br^h`*=moQqUhTiL$9z=;P^X5`g-@6SU$MQU_ifEgC)eGm5V;a5yr$VXAN6JcNsv z{Tcb8HBqnaBDz$&Oc@EOdmYAdlU1~5aO)*7Y2X9fEarOBF*RumH^LEil!X$*-bRQL zGvX*788@jfr&}M!5!~g~!V#TcCP65)QZ3E+O1ONPOs-Y;c$}~%*$Wh`nxS&S(+q!D z&b{S;9V}Lsvd9iBUqCvs3%-`~(><3xgqQN>bYGLTvUEkS6P+hzSv#)z>)Ug8Xf^LA1d8i|l~3C(7v( z1Ge`G)e0l7zDi5T+hW5!x?3h%&7Cel@Bc)EP_2Yyq&L_Xr9^=X%I2F z$LlQR-NzwBk2*Nq1wg1*irx~@@q+g8yrv85+Zz;S8f(}r1i@UY>`h4u7A9`5%5ynWz!bnt zmcE8%7COtd$T+RynxQ^$gE>ROnowjVD$;SjVL}M9lFM7Ym8L90e#j{9edkEC5`=xY zDH9e=b);#b46f0$WVFOCz({wahWU%E$?MvX6S7i&%%($lHSKP_osU9ulK-ID?6PQK zaV^BBbF=!iJl4run>DnZq2;x9;rFw|4*+`Fn%Cv7c5#IxKp~VNCK1%7fkWI~J(@0{w9Q7T0aj7kJJovQR_D|%49h(- zt?}m5Lwkzj>&xJoVP(!6pdfXHaXFe9sR(A3@PI%a&H&~_@*hAnSP?Njfh&M42Nt>_ zNa7|o|G|;6Ymq(&J%D25IXb?@J(P+Z8DgS1Q7G5qD(yIZ#s%!3LhnKeQDhA-e3W9=8F^P+ z9$rL@|7QH*XCz<6Ev|hRU&EI9m}M_Wk>YYh@GP**44wNr&ZAA zxkXS{?peax(dbAqS-kgLHd|S|HZ`6u<7c0xSDn!<#}?GP66I5`;_l$3^D!XM$Wc7E z(C#fK33cO=U|p!pnR@HWWw#*!+Fy-p2EIi8a!W(#0xN>vWmH9tq&vjWS;JO+N@?w! z(Jwd$ah;&sfsTdH^3U1&GpC9&v4BLro!z2V;PLoWGR z9IDBu5nSZh@S9#_3aS@a-&)parZjy+6}BAk&9=|yI352l+Rib^mTp_qW!tuG+pb-< z?W$e2Z5z96+qSjKwrzBMr~5{C-{^Diul|!OVnwW&b7khtoMVi4JTHMAFQU=1kB_5t zxo!`^kt>~Us-E)kBBs`3nY1)vv=$&ytTA}yK|4iZeGn>uHZ&;?_&59S-{3cz7NWzR z13Ju;(f8d=1?Ie2=?Aa9*V(3|Jh(DsC(iBf12XypC2&v+c!nNwlUQluBl*)JB)t@q z16H7|)Lnf%GFMN4Y<{ApGrs6JqPm*3*g$abnaJ1`SdU?49C}Xc2Do@^i4}t*QoxTl zjhqXGwhXZ=5ht9#NrCjpI=SUOJ26S8!$~JNzz(Z+YAe8Xxua?-n32c}_dYb>O5-PX zd*^_VaYI8Slc8#)k^CY}h4i&`Q69@ok*in%R3?Kppqr;&u0XR{KeVyFvN^^}gW!&~;|u z%FK0v*kAbW&5bnklP7JG!{q!L{pEg>>?U9P2!fDDYXaw7N_To>{`6!EHDM;2frD4Ku6KDe+w9(Rdni%P ztxirNFZHV_o#3dg7V{`SV_?@8-bOIaT-}1a(x5XMAS)K(*uc$N>@L-}G z+Y`G>9xUm+$KfOYI-CW-Q}`qSq@*JUsLWae_8SD<$Yz1<4Hbs~cjVle1=vNWr1c8h zIfUaGH?BhJU0Kr=c@vV5wiq)0C6kQjLmo2DI0Tl*ND0zC%6eudqTuunDi2@*CB=Km zRL3Gf=^;{>8vWM@&5zpMB8qm!1&~4Y5y($A0uF#Hoz9-K#o*>DDrg*x;7>1*dLov) z4kD_+@AEM$rsCU(Cl3H2Yk*j)PB^NTu~dNGLok7-(ed^_(|Gk1aqAnZVWP;km{t(0 zBp?iO7SXi5E3kgZ+)mikSG3V``fQ-Gp#8%_!LhKv#>(5re?PGgXUG88_TCu8gR%Hb zpNLHwk)}XqD{YF`thD^nQU!c2gPJ zoc28;vykNU?s5t_dIjSrYt)tJf_hrI#o#mcw&m%Ri33-E_cism&oJ@GxhBildY!9W zSVr7=iyy)NSUS{X|E=-PXi`|TMY}9t(yAv5d+S#b(Ktd-(sQ$9`IoK_nAkKYtR&@eIqb&T(pv?=wF4nw1%rmu*Vaz zgzzlz3WeB!K{5|@1FT0132XZ~kI43p!~^@}l2IjyM-EKrJ14ErMDGR2%Nv% zvg9hKj%!pojm^hChn?2z0mypn_I4#NY*lrT1yd>xff>4ZC$*aHMs>ZqedW{0o@AeD zefdcGQKQcZ{<@OiR`Z##jB@cEq=zqWVZ_82syVU(^83z^-jL z%UDJEj!`>)(XKykfEPfXrvGEh(US=jPdeco(cc@rr@6zK-i>9oq2V$f8|BH&RAM^J z-oNzSd<`^eV|*U4A9RQUhe?<=id6)NL{_@KekXyj^Ov-tIg9Z`ThOt$qwrZKWU0iM zbQ3nIEx?+!Ic!UU(^_0`@qOuL_(pS$OQr`TD6)l2kBca6;>8oq9vrnLmAM=*9>d;| z0|iBLEe3DLV;4%DEF_)z!r?@>gXkJt`u)`C70EMEqm~n_n6z~Dnn}hj5Q$3<1SN8r zHZ)`dxpo;;bMolBx~w>#qgWZxPspIhJiRb_2xbcWz^ldxHwQb`Qxo`HH_VAuGwN34 zUTIwkx?5+LKD9oKLOH6|YnzK}d>mc4zo)MRkgV|7d?`Rgigc*lVtOD%uQ}aM2KSgS zqT&~WgI&PpH_`1qRr<;1cg`aAo7TIaTI0?S5^ju4W2~&4M_Ne!iv~}i_C}LA*Oc>V z4YP&My;rCiRNJMBdj3q9DMnrYAlrq~)2FLKaarH0iy2yF=v2$=9IL7W0PW9DKt)W) zDm8i<0gKsa1CZ+dj=YD!nwUw?$N&#ATS~2N162lSe~Q)EYcCR(xGZipyWHu45VIfk z)G(5(+JLs4QQHH$K}C7c3*XXW3p9d>jjCv9Oj!R(^UzZ6;YQrigWE*0b;GO8qNukL zi<7!vFyUrNij^5#5+bt}>N;U#wT7a#Do{zz#V|xg@cP#0R{|JGlO1(%=m`i2D6MW( z=u^3v&x;|+;*d0I8d*n3jBF0vda?d@QM9m|X|=6eNjp!DI#2PKvqy|@4lnSk5BT*6 zu%5yVvGUGG$GBRw?H<9T97wNgi>=uRg{c@wD=b+d3(d^(ul>E{oVqYz)JPPcLrf{fKO^@?(pS=o`#+3Hv8l8dblM9r3^3D%bppAX#@fpv1Ll zb2QNvxu6eM;@4&XSsu$36H+iWfNhgme)osWz5U^DQ|bd1L&Z8AVZ$qH!OU(aYcK%~ zf%UmkSLz}NPCV?(SA6zR|6{ddj4mfrO8Vs`EbdafHB;VP!M@OPc}^R*Oh}hQutuBy zX?lpP4XDl4JDYQ%6=35HO;&3Ha=$Wh3*W;`dniqxidwH(uu0ZZq5%$c+E+=9P<1(V zy*7ZF=ghd3;7)JuzIVF(fwfTe+G0tsLpWZ!%Q1G!S>x$Vt-*VoRzl!dow?8)i6BzU zy=X{E(Oi#ku!?~}Q8gLQ(h7X>ZrbgmotZd~2|Z{Z*7Z4gOju<}?Jy8k3D_cqg?VLv zK74l>$45a2*n*_tTm9fD%G*qsI_0lsz1teW(Ubh%3K~kzyjPa0+C8V@AX}ZX-^|*@ zgexBN>WxTUITV=(YmW&4ZbuNP|Ijw!Nh2aU&Y>2;hs*g&A3wqhUF18mhXHE;9{V@kR6V!tmwVeV0*-}xXS(63~i=S`<)YMZ!PfH z>)mXUPG`p(2p|ooOOmE)-;&f|qq#T}l@WM9>PNE8!~l2ebLUoFq9)c9=>gc7zjf3+ z8++p6;tKKS_TWD`1z#iWyCGNLVT@Cbehu^=VN$aM>{tDWb))fY{24vt(`ty|a+E(g zD75SY124(KFKVG`FH8f}4)5fVCM`&4jbAg#3hl+Vn$STa>%*2c0G)6R%O^VV>vXU; z4;+@a%;?$^V`pXnWUQm-+5|nxtO)6jqINcwQ39A>e(3|h^>JUkh9Z+dGdpT) ziUTS)U0q6G1l(%9zN~Dc%jmg~%UVE+9pY2L;MQ;_CuDk_m@6OGGJX@EKic*r=;c)* z1^Rpp^4w`ByP(+9Hfsjt-}DK6+$gS2ao{gfjssO8kA88rlAWo$cX~Ez)80G%%7jD!E|N7)IBvjj_mMQSSJLkXGdo1( z>{Z$T^gbzR<(Bz5RI@dW!$- zN}qdm{dH4JHq)8T_vzvbK72n&PQ602XpF1-%A4l>u_~wcg{zs5BGYc z{`Ik7PZ1|}{7k?pU*0cycr?Gq#JC$vc~=35VV&*3*9WbsU_&1S*yfZE)HLQnth@-TQk^=E!_!&JVsN1*?Ec8~U{&jb`B5*134C z5+EkKtO@aK^6*%EK7uida6UKl&L4{q;b;kzx0^yjh9aJ53HQ%w-MeUhaOOZhj?c5I z7DJ}I!CvHTx%vbXlJ-Yb!XF4c;pi#bFQ|np_`ctV6K2(q5BvNjxd3|d-@)hwoG)%> z$2Yvl-KHNX7tSx$v!{VQKNkT<2ht!}4ls-*QBkHf+!GB&&EYUjg+C_e^hRf_&qn}p z*v8NUwlX(e#b#ydlH1Ww(fHFKhzVV-mJ71aBvUv>3LU_aN(>m`YY-j}}e> zB9Ms%iC;g=kV2^5fx{Lb<`Czx6Q?yUlh*$18u2 z`8g%$GKSidEGKluVwj2awrW!xwGkEB_BgFRuCky}?d=V5&fXi(sNik}Xn-`&FKYNa zt{t6i;IDlZdoSRUz#NML9e&+ZAwiLBa>3o2I(?21L{v3~3++V$YEkgVH9XrZ+*|{X z#yUev;4&-@EN2LZM6vGi+@6E;F9&x>8R6-{ZTC)?QT~?F|CZTjXaBDmm`lM$Q&{!)t8wz=iJs!o2;47d@yD|%{P%nQRSyW zbdf?;L^;X+L~^TR-lw)0v#GS2c)n(BT%XiY8pyl@KW6b1Cam$G&3I?FKbRv2(Z!y> zZfPjaQ=pb|rhMS(beYJ|>??yn-31(9;PpSB2I41n=yb{K(7`gaR%#{mTDCf%VMl=@ zBs!Icj7$*ursP6A-Tz2NbnZCoSrR`qzaVYuv?*C5P`Q?E&i0=4s}z}|VD$f<50NWN z=ak(yP`VgTt`-3xS83P$YUQj}Q2h$Z30<0SXRSv2E%Cg;MjYP~R(6&I4J07sCJ9o0 z6yLqACQc~ofWUgypim4*uFpfH2OzRKA{B(0M>b_7a}utmoqCps&0&h%6R zP`L%Ll69D$io+31wasi`Qb3y+imf0#UwK%%ojUJ^^*-gcA>y}-;;?9pCTHDNZQu!i zF1;zwem$mNtq&z9XIv4TaX6G%W+#Wt1dW1&T#izJ3!mQjTb!CBy|27M9OY)Bm%?At zzem4v)0?K~%*sG@7L(<%vctPbn>;ZC3EWZAey{{1(`dbz@2_sU`lN=SqQuo3$UmSclq|15c71ww}imozJ+4s|O%e8S0NH^8Nx zaT=hxfm%5Qi>XS;jThvY1Cd)L0GN-pAJ>*H3&QGsk;AobfJmhsiw49T`3jf%A>0ZU zJVB~+_hW=?FEj&-1ib$wI4ex9yLj`*QW#62yEj%f4L5YDcb5U0GJPKswmDEZjLl%H zPAE6XDX)-XvY)c}fo(E0=n+fp6+ z%bFsY|EclJ-{GoKXd|M+wQ^&WbC!zo`54KpGV=gtXPbd!GeHLBDFH-tBhV(IM6G_} z8!O_l#3bQZMT)r~p*FVp{-Hjtjz&>#)8zc1XS%mWoaM6bA%M4@MoHXrwgj&-Y>Qdt zc6>2m4L0VIY_*Y7xYbKs7HCI+fDW`$34Z#~kLEVp4uEdw+UenYFYK46KkXuppwJe! z$caWFfdg_9lT~?Pbgy`TR=7#*4#c#^fw%Um@mH;!xibg@F)@c~oEinB4bs_+G92MiUsEdw(g zrxwOjK}sAZjCWY3;Wz6e6is=_bXhQw?JP`1Iv3~D+`#cvIANwCW5Ziq%H+#1P6tCM zr=9;sfI3*(BxPN(CvKEwDp(an)F^8sw}74sHs~lW)n~;?CyI*;CBFx?$8PK?jYL@x z#J)BPuo~|xbm*|zhzMJwZ=>rsjVg0<{Bo`Rw#Xt@6|cZ;S}&SkHgBa&RweJdFZ<=O z6Ez(@u-xh+<4nFWm%DW!7l{WU9Vt>}tcu^Rk}iTm175+a&_t-fGDgx~J0_Eu zNr53l&Zm#bl*IXEUex%{UwW*}VEkn;dGh-lrz~Kw>jU zGedcU#O&y~%~8KeIvXhmPkS0QaooiroK1gPXX6POZ_CDEq%+-rxRH}--DIjr_}naH zpOcU=sp$PgR^d#a{Y#x=ULscFora5PopkQ2RXscU?~*EKyfIa)r5-;~;F{v%fkZK? zon<8IN(Q$Uj^v>lQUQg`ZEt|=-V;L6)kx`BX^Ok|L9vNY_K~lM>N!nWlYk)`n`1t(O}N8q|-UA(d&-5yMTtRHS;oIZ?K{5@?h5 z$^%u-RePRWk4WkOdrQ-RUQE*rb?Mk>zdOYqeFXUO(}^7-iS`^^JO2A;ldkVce2i{@ zi)%~LEYkYVd?_t7;zIgd+h^E{6^|f3`ls@(T;!Y6FL4wmG7lXdRF^12_@J_}x(o;3 zlVuf>fW6jQPCWKFD!Wq)xMpa4uJ)vBNQE=Bm`)mk&2ELgY1A4qRXa(h5#%7#@3Ui-YmoCYa@5t!|U_41GS%lvD2_A{E@D0XOyVB8Sn!qy z~Lzj2%*c&*-{MN)<~)_l#}Wq1!L+VsitB~ zS(;cAH$U_LLNS#O`Ag?)!U5W@^|!S8`3!55Y&2dJd9xj?CFc>25~pEp0#*>J;n8J0 z3vaDY+*Z`5v@jLR<+dZ;0G3}42GcAHUCh+e!r*Y0-8k6$!AFq;Ek*4Xy@uu9 zw)AAoxb&pL6fA+hoM1&(B>WcZi53JFL-gwd6sWC|j=U9NTfB?%j34V|+ftsG!R1(;4cB zmk_|e=ps#kxW{|0llKh_gU6fxZ-T4;hCckiu$s*OXczwjtI5jtqiX#3@Zo=CivJrv z%=#~d;Qs|5X8%9o!~b7}jGRn#EKCH<>Q?}Yz@VQ4l$8KH1Ccx>v!UuB(@?AMFOwzoKWsxs>BT%#ZGR=Bcepwv zx|8SE7hkTsO4{xM?K4W+Xi1h&KWp^1;?0>q#lHt}1o15L_J4bP3ziJG_`F>{_Z0P% zxTlv*dUiZG4x!=%L* zP0GvQ?dhnwn2SNXV$x~C5X`|$b0XX1`iOP?p<^J5?gH=%>$KFUmCGV6oc?;9%?1P) zHL@r$$@_ro##F*9@zYZ~FHVA78=@Z@zJ9fA_d|fb`(i-Jt5kVH|mFVv8*nGqS%DpgvyNnbN$X>=$| zfM*GP3T7E<&wSa&Z@Nae(~Ie8T}oaFP8rd89mK+}z9m;(a65kSwi76XZ2~Fz<}wVf zkAmK1cExL=pi^dbAg_$|f4)B9yIp zH}F)I8{O{EdF%Te!^Oeiw(VJ2^r(*#-Kd-+wHp3N&n~H!wwmL_acoOn_NczZzeQA( z=wQn||4L>tY%!7ebuT+Vj#=hhmbGx#}&32Q=L&?p@+R>gR zWj26Q%pvRBJaG!~HcB^gilIYLGLDUWcy5unpwT5zBH>%R@Mq-@%gL^n1A<*=Fqy^< zvVrbP7O80hky8nQbSX!Mgm`81UAWV30a|P0IQhI(N4=nz8@nM_V1%&g$mNq<{4M9K zG4UT`$?-}ywV|gs&U;1L9PazG%2`-KMl=pRT z*x+r|GgyF`pZ#Xh9z0e!#(L@_)fSqg`R_p}`&_i#Wwxh7G7-6G<>cOS^osIVRvwDf zb2&bx-_5G|RV72Xm%OYDidK&V7fU-M$e245<$*nTQ5tq3Mn=l~_}DWm7}CzeOwFrF zJVH|1>$`gKOXnfQ4!OY0n)xs7m=329r3Wfr5nhJ=hj?h>0HJob4C%2$_)uUF75gid z{B0~Jm|WIPUip{i>1y}m^9SY`j^!lgC^p234pBE2;nG25#&wUgdVh+ zfe(rCBOYdiRk+1ez(WiHyk{r6yU8jX$oy}iVUD!8M3hLmq)%aY%6^~+9!2D7 z*nP?Sy7tS7UdCQUQsUZ;JPbwxd)4CfCc?&87*~sMRX`HjRu=snMmhbivKbJ$#Lj`R z;_v~qM2v*yUfd}56dTc-uM7iUJjL5dj9vAdvlASUbc<$-tc2bDQB?RVEZte<8**vAFmUx9^LtQ(IWK>uk!hrfP^lru2`)Z@n942(cA z33lffaYm`m*fz}(KvUX#NYcwEzUDaY!yuBKml#Cz^+sK$qD1T8sl@OlEZ^#rHOna> z6Glg~FU`$9UIlvnR4y`xju%(tWYMr8 zWfTqMeacGr{zvWfSHrcPQj+v06{+B&7g~BL>X7?{p1l9Ugy32ktx=kUkSMVD7f}kobYZMv{uv6J?X>Exja_IBjYX`&kts~l0Ww=@}5j`(2t^tAdH$*#;TNiOpDyG-m>c?Hj6Xw zy?mzA%GNl)*HmfD-l}XZsrzYvQSKpPO514FN89MMm|_P#TDcr9lKr$U%bT{CqHZl$ zCfQr5y0x=XH?@mW8@8!*Se-XpDjnRMvjv#n{k8*$7Zfghqj~5sDTZQP0tX0exeSInGk(JRR-ML=8N{4)%)+mT_x~LxmVi$y0fhi97b$@A) zwat$xj@21>E|^fiHI9bzPSN%Fi1_cWHNMLh{WjmnfGThN?4t{KS{0%H{M%i>4+fUrR-v>Qy%9?pY z+1gzp>gwh=_`t&AaYq*D9hAq-OYLdS?(=niI1S9>)7NvkVcmK(5cZcVElRF z_BueRsNZ4|i2`@uBRW5BY${Re>gp4+m#RmXZkoV+GtV6}uBT$T&(n80OYs4t_ZowHF5k(_2uB3sk9<&Mu?8)6{D!1g70DqpWmK zc$|q67aRXH=?_0e95z6bin=oC#ZLU~W~_X0vfsT<;4Ic&&u`JGZA2O?cL(g0V8xkg z1FbxT_1^%3T(v~R(Q|>=-8CBYB{}*FSV&@Re-&jXsZH>P^r3RR9u5sjmd(dtFQY9W z24Ra%2tgMP5YVgsa7-8lpsPY(+nu*wP zhB#>Ck>ulKGBgokg4%R~`^KO^i+Mutye(O%IEmS8gz->*11Bg`15dm(!LYB^I9gj5 z&VnQ*wU33d^a*le+*J?6KPxubuI_<9xZ^00!7$#P?(ilL{Ek&5p}fq8`-QDH3Glqg zH~KfJ&>2H%WFACV$)U3CHdRa6dVZyXq7bZH1s~kqnLXtDbZGaAP)_{RXamV;qlLO; zyCwG^wPyH?xXZe=NJL!5u!4(-u!NRyjtJ+zU477_o&BIn;A{_Q)_@0Pa2n+TRlbC2 ze9Gi#7gI9~LzQwFex$~k_AK-{_rv*Cgjx%|$h6#!nEh}l5naU2`%D=*%d1BlOv$x9 zUV2J=Av4Dl(2*9n>DGO4+|Ryi!vE3sn+oE>=&>XllNRE*T{NbuXoAyMNncW{a2bg4 zyn%<~jR`bsjo~{oFphKMpINpf$tF&s%VjH!oWXZn;*D(cjIuIPn;msp!i>o@u?tJs z=`U#VDu}aWgc=Lbwr)!kBWbmSgCYsj?mV^l$c2?^N&=_Ro4ba2#*tUANL6NN1W+&2 zgbV^16FxSK(TloHt)rDwF>JF(>=+s>dsa`@KW8psn97-5X> zff)aZOn&n$L<^f-7Qy&$bj*hWz4A1<1_;afcuO7pybDL>pQI6_-UN)i48|DTK%kgdVZ4!|v!N6n(b|cF=eTj~TsU~W(=;8xes2Oose{0Fs$| z@NjhdQt!i`P01(!?vF*Sa`XMKOHKUe@yCnGQvBZ}X>z%<7dSmmepV`^+wqQG(xz?! z9WBk`*A^g*vAH$gSp&?S2u=+y98aScDaXnhe&l$^ShfQ#_pe0q?MzxRXW6O3qeK-G zF)r3=(d36!+*v&D3o)0jwk|UI+abibE*FVF1mzem+TUknr@t?%?ITgtoS&!KpFbwT z{5#dpLrp#Tjh{~K~dvo>lY(Qa-)ZTgdbu+l$$9yP8GqRs}D~{Y$&8vo-*l=M; zKP+5D>a&t|Hox2d7`t0eL`4FZ)PZkP;mfG$K?dkTxBv=}<{`JR-j2>t)S7M&W%anr z5%JzfFDo)F3WT@4JQAZwkr};CaF0mUurH7<(IVjXOP_&qgspyJ)K zk!U44k#6fN9!FR-Pu7&v1xL$%FUyKFO!?wOSWGu;9;$mtEeTu@)ht%di?4p2^AJ5J zsA~k4@qXe2O-n7``cGTo{vd=%WGxs_&&l>5(E>Hxy88E}h;c2y;kHxZl%J`l_eN!wS0;a_z|T#8>=2|1zn~lVk)rX zv9m(zKG`ynE*Ld9XFU7Nk`uvQV4x7yUUo~p&KB;ypq}xvJP*X|S;)$>7a;^c%obi@6R^s+C!v)i*1*P-?ugyrt#=^Lk8ENqLU%o-Gx-- zuCB?hRSkq;F3P^*W3VsHSu>M65x<%KuLL#9WR6>63OO#ppJZc~QwAKd#ytK(RY-!) z+Qa!%QYi7>U-z5-D83tnVzRkeGI=hR=&2*6l0)#o>1z80o(o=2@~lq3v78_h_-ir_ z6%>cln)_xGag;)e5pTJUuRACK*K! zm-cdw@Ey#S)QHW-*94KMl!}jbiH$&F6b>0Le!9DY3q82ki9F{~Swfn^*Ub&dE;ARon!Bd7W^v=q890h(}Og3ueTDByus=DpSyb7OaF%LNj3E%5G7!CN}L!o8={#z>L~qL zy^w}JK8sJh``jZ99H3#tQIA@>KC<#iHV{j_%>Kt z{R4e+===(G<5D#QYPGfEyI6KjhX|dUtxf=)%m`ih$Hy4BdxzgS`H*iR@uHF8i23zGzRtW+>kKG_knPwyM zK}ajktLJIn8&YVu+9{BzfKH};h)`{qBvq#KY)gOknLo=NkX*jhGHe2Vj^f_Ef9AG? zjKbb@4ZpY=kE+h|@EF3FQ%s`1EWp2bUcnW!(uBm&FV9CaoF z4Oe+rz&qYcbS+U=eSfn!s@J7NcdQK?4_|e~xrIc1fh{Bskd=m!kGZD)cHrXmGo(9g{d#7ZvHSGo_3j%sSB%7+db>gd{tQ(lW)ZGo!D3j4Lc93S`m-Y)+2v(g z5i^PW^Z9xh7yI&Cf_?O_hMfhLVS3A?*}(46(G`SWQv){;%@5!O4-h4e*e}lZelhiN z5sj4V;63tO^f=!qIH!m&rL&q}lKcShiBGNh_lM1XpoQ~k<_&uV* zEFuhPzJJ)cI`k^k&^jy#?}^A}5#={^P#4K4QGpaGZqq&ecx|^pyYlz!q_%}ud>?J6 zxN#n~Y;h@M%nEXqg&Cb#N#U6S^>NhAvH^yLK4>JHhwpGB;Wy~Z5}^;WDRb#;Ohq%& z`DHuV^96lpUR5Auq~V$!mv@LzJ`G53(5*?PupbFUoL^DT23&Cb4eZlIEe*2(wHhZt zXr^^yYm&z=g`1PdPM%G{u#)xsIGVkxZ&Y6*O;MS1G)Wpg<-f{I*s*n)Pg;?LoGf(_ zVoYG{H}TlbJzjYJ`pS?krS45dp-YyB38S>d(RHGJwwqM@KG_+?_jy}Sogp}U*z75( z)%NE^?E*5XlT^%K0!a%LaTsycS!AR6Q5@NSl$IT$&+>KgP+Z(&2&e>5z;K=pfejmuxR;D3N}y2G9|_w*F+b zMh-c_r6y3a*(G>yK@T`dDFUZJSAxEH>FIrvBKw99pWY?KX3gQM-mxUa`tYQ2F>j8F zPot$bg{;6BocV3fZ-CG?^Nz3(tktvWWPZg};fE=lW>b;ZqsAEdB6cO=1&KLKsGu*o z#8_DrjmAj0+X}`(npaw|3RlNAW-j`Q)gxSMZJG7yt?ss&J}r`6a^%rX7)v?rtvRT& zGwx(!&?_Vu#AF86*`tOM-)e~)VH953K4~DL zD!V}_qUxPH5LVgVdQ~V(RDZVD&8v5?a9s2K5{36r<=b?SlT#j{i-d)q7wYtxhd6&p zVU+?H)FzGJQHxM2&W@v`6qrCi@m!K{OCR6PE^wDod{r z*&3SqQKF=n%E(!NA!BC_p^4R!{~J?j74=rM>vnU8w;vQAf#PVXTGLNypM2P zxkS+2_0ZAiU@>1xnbRnxlyrBi*zOdJFX;-dkfaCdjn=@Tb^hBWcFUw*cz9h`K)Zn! zSX;9%^UzQ@AZ>q6&!FB&Tsb*QBQ;W8AX{jqv#hf^H3`32j+nSRBlXBA2z5f?blQOv zJKOvdZW7lnhhIxZm59E5@O8`QWVjDUFs4ft`*8aN_%zVPSwU0&yE86p&HnF&%3Z)# zz{W|a#}h}7WeS+QT%zgyG`;3P!C~ilsjb-)%wPVX=c9^-b%yH%=m?>yIG%U>`~J3` zILZ$XX^94FfF6hIleq16&JNo&lx06Qhs-Hzwz3)p@rBu{i# z&=?}_*$g2{AZ{e-&e}mwYMlrsQGhS7?O+r@qKq|{QwLW?e6Vf4Z)8GiR%BWN{qm4> zlwg?qog!|n;bh>q$3uAiiB@Ok_2%XSZ3;YouV076Z3X8U&=k;B62UH*<>~AOCX%!m z+1}FcC`}R9?RLj!3VC%=_9^ys)uP(s1jeS7^7qd8m?3d>76DT@)u2g+{8vaPJT6Z? zXeW8^GCv~V$KVvBajVoeMQ6Zevx{dM0%y}GGP$<*Ujtm@#kS1?PrP~;O0NAgxa0vL zNMHy)c|#ped;xdN<5P;*+?VpN8U%~YgO4R2G~}u+gRn&(7zHAN-1{)Sby4q0YY8Vp zjVCgW?WrNQZ@tRO+5<@$y}gjZGsTjM6w)Tr2jTuab785QW|P4biK~K-lr~uHT3w+;FA)cBM(I2hFAZ3 z%wq-B#zB(NpxrdxycvAB?>4B=TdGgasdEdTz5x}GKGRL%ewMy@?N$cxzLfJ|79@#s=y1`Nq`7qq1l}*Fb5Pf2 zWye_UKe*lcyjoJfOXvEr8r%gX49e@+!#UgUENcA<@fe<1nW&bK=8H0Wx95qB*uZPxo=n3$+>vF%Q$b;OIsXM=vZNbcoqr zBj9m?s`W4yM_ygi`)>EA?ND%bI2VsY0>J)7!>Q}Ok<;enn4s5k?CF~$kLa_J6U?7I z_ppzM40Pl+Sv)v|2sD%MfIm(l{op{g{MEZ2PqjQR>z{!{d@^wn7;5bSc%;aVCK+De zGLb64$lF*(;!3YA#$HXFne=0TGu4EdWXBgle&a+!V-C1@jrEt(&s$ltE(zLMcm!H{T z?3V{w`%)pmqrm)Z1aTc)SB^kk;gQ**xdDhEz5xW34AY0id4z{IjlUTlsV=r%7uShW zDo)$^3C$sI2AujR`t8=2hqx_&?Xa5yF~nb+N_R)zF*sJisKl;5(1|7?&l)i~cc4Jh zAdCo&whtNE9%f|J)1YVK&2v)jzuZE#^Vb%yYdh%Pfk^?UBTqhID1IqE|m$-_~?*ZvYN-T$x;w zDOyr-+*j&$o|BINz`#ou;HsFU3SMy7KIlMM-X!>x0M^e4=M0l^h%c#*My@_=>01rl zD7*oS3wvV0yJve=>Q&o+PAX@XZz-dk>1kM<*8M`Qx9=#-`7~c?=bEv%$0@r@*AIEpLg8H*k^V6+O_TzSpb*PUxS3>d^3 zE_*l$dt0kn!wN*~Bfl-jN^10$Yd+j3g)k_d*`@GwM!x~9?`>cI&1U)k^dtWFoiOZd zjBNi|s%)c5s^zD5tQwWm~iR;T8YROIy#+W+&Xzu4zP&+_$-*mvmT zapG@{&x`0b_4`$9>h<^MV}<k!DJQH)Y$WEw^Sy%3W6*Y(h5MydVTF*NuJK_FRCPu2i;4v$=eWQtNb= z46AG1u2OF{100L+k}n3qxKf*;xPs3AgSfMRsw+v?HW1uHaDu!00Yb3gPLSa4?(R;4 zySux)L(m|>-Q8V7;3qvZ-F>@d54CAt~-RXGj8_v|^$+)4cV)zz!<0W)kfK0JWR&$NT{i%yhc_7xd zf_y<+!D;YlZsw(I)x?NqK`Rz!#;`$fz+QN1MD!aX`?!h~vyg*!O?~fl}lTz3-nQDZYVb2@|^D?1PvMmRR zdLz}rHDG)PRWe=LayJ#~{%%OM<>u(qk>`@?y(iZq`R8kjbJ<}T=2RNcqr4KkYz>F6 zyWzt4H3|%mlW1~F3MUgb;NxY?*2Fy+zbEv8f z)YO{r>Q9~VTx1=wFEqPCnwyQ?!i|%Mqb~Bf&dH9$akU!)7{qOkeF^3XuLbte%0(J1 z-D>gz)=SN78c?a=k+xGglYx-Rw`*p&+Yya59fD&NG+T+8i~>rLa3 zhMN2__Rf&97}b7TmBI;EGU(?tLzi`p`yT;4Uv#v@Bul#C=|z$c)DjkLokaJsZl|1U zPF~#(5gzZd8;9#Etf8de5_bdecAt+@hX;T6{#%*2 zUc`9+LR}baMI%b9$vk*ql*8UIdLHZNR2->5pD)s-t4Es>YT zGv&esTH7oW&g>R=Vm!PJRj1UauxQiZS-9}Pum&psR(bCp*K1C#Vz{7g!u?W)f1;!Y zJ}q4MYe7XS1KVX&XI3{A%9moFdpLwEK)*OH8x9*u9m{Hmh@sKyt5$VT4AmECS_JzJ z!mv!`vm4^b6`|8aFc)TMe$XTEF^4Jxrt8?{(_#cpAUDP0hz#^1*hr@$pRU|y-*_OY zVe$ZD6feW(RDjjTn}Bag&A@KP2(Yt0D-i2O<&S3Z)U6mn8uFm{#1K){{qB}F2=y6r zMg8FnXxlq8a2cURldR9uELv!Ek$Up<+=8l=R*f1{MM)yaR6D*EmL@9$CBDu$lRAKM zGv&Hxc}l1>c8e^J%SCn26D7WL{*8JoN()oCwN&%ZRj6UM2qstHFS#VMgZ2vk@t+BA zuA-^t8OeuH57U+x14OA*V5V-qBEd{`$mxRz=L55GaBV%WkE&GEQXBme7p#tf!MK58 zidjn-RU3pgIe`^*gkHO7W60H}9q<*%+(d`AEz<7tS(B-eKp{c^C9oR*klua_Ta)Po zg@<@y&4Lh#?qqL>9PeRqv)RODTZvNja#&6oZXJSrfIYA3k2swx^>N%~;4Q5t$DO{sWk690RBj)+5P3&CP_m1k1RqK*@n`T}PZg#mlWXug{>hX`F2mThY zDOAP$v3x`ziVhR{Yb@JIY}9w)cEU%QPw`OT>cV ztRWE4>>CP8yd=d}&>bU$w!BxGkpj2ij65;KEZ#&A3N$f%J=eQU>47AvEG}AC2VKCO z^HD_T1cGNBG>jj@Pqc_!k#9KF#Ns>Dv(HG(l@e>h4KTTPkQ!cK?MAqb6m}jhmgd*r zkc~GiNukI}II(4Q?e;ZDN=B61mcGu?@!f?_CcyQ{sU>WVL`^0j#3GK1gdvJ@@jKys ze=Gm>pgt2*5uv2Fp(pPWk~*2WmpX-UdCn1SX{!}iw5FraYd_4Tj6i2b*LVJz=}iRG z3lJnN;mTLupnXG1ECu6*+%VCRQNt9Zn4_G^Nqp>T1|d=mLB0enIJ>!%tY)LE9{3y( zc&lL5%i1Nb8a`Ewtn?baV(|=}?nz(zsevafkw))G`*F`C=G2)=?^z{sjXq92GIRQKFq9Ns7%E!WBAJuK!w@JY?$<*`u6gMU*Z~?ZeF5VJk;Mz#BD-kNYXQT&6|p z^C9gboAfRetY9GjrDlRqLA|j@*!7-%c*sV zza-c9pV(r$e@XTt>r~Kx6R2FAQ1d#>F>=~jOjd%fcDl#Z=@>VroT!qFNpAAXnLbsj zkeN&^n|3NWF@rX>^nNn+hZ5VPy<{No9YC)Isf4p%W3MV8&*bt`R} z<>NZfrKTzY$(y~H*lB4mcT5)&>W-HkYPt!cMPuY;kpwFftvr_e4EWL(KF%XHX*(#X z58uZk*Y9G z=H_gR?_oA(2p(u;C*4muRKZZytdtYmCTxexuH*>o_sN^j9(5@tCW!T%nSkGBY40Fk zOlMZ^2n87j@8#{8Dh;z>#1KIh(E}^gDl!`NsFl=m%qSJjc*v|6d6%%4@tjluUK>ql zb?(3n$IMq701y|oYIR25r_b&&5G#BP)exl}J}U0}NjWUhMW`zH&}v-q$vT z_xvbxR-SlMw$n6-{Y>IHKiwgO?C62nevzbP8M=h`nCUC!nls3R9D5HQqSr>V@9Rxn zx9YKLLKdP(@Zl`^Iu`{^$Q96aYhOrUqxD#BJVekh7Yx@^HJs^;Scq+tE7aF)e#t`V zesA-sP-L7uUS06x5HANn*hpl7M{ICW8B{-|sZ(#@9JakNeU4AmU~Cj}!&y!2?1Ozf z9~!2Xf-)K>a}4RTqUS?b2G}WjNsaoXG?r`dnhX*nt1E$*C7}$W(;l)}bn;~|+U5+wSs!s}hsFt%rYQdTbGgX|p*GF7B zu?!FUFSim-h@;gajBh|9LRHqQ?w)H;m!4gjg+V~~me@}I-jzwq<5-Qyc{Rve2~b?_nP{UQBnWm}kN-M}N(pcJMk(gb z$I{-YAC299`Uky;qF}jqOnzW%cyGH{-ieKCL@iXmZ2l{L6xSX^jb9Ebx z9gvCL(=?h&ByU%&rsd^XKQCfNtFZXZ$SUGEZPGG$zru{>b2I1H@DYN|9LT}1cnrg_ zAkbj1Zj$1)+R%m82>G=byV5{LB~{4{I}5g;&V>t8a5$%6dX!xpBd$ps8NB*u0i;yK__JnQTYpk{j?#pt4vozDMr^o zaVE2TIkQStUAaAXA1)rDsm2fCd4H@?S{nu`&u-@H{*L118r?Xj!5eK4CY%rWXt0%D zYS1|pg&_H-7`pI~9DT7db|_mcz`g5A7#$fd3h(*`HJ+=ZrO}p(7O64I;E5DFq8JKP zh`J-Gx(*aaDrevLSsjucA#Zu5Q!Gci@yhASNflTKa`Y%E#8zf!zV*0Oq2%SGvV#3Y zk)1iP0UO1hpf`vdAZ@Is3&`Q}Ejf+DBw?^n+Cjr`J{N`dQ{88jxx z>}NIpK*mji53KTw z(i~MN+Hh36C(0|#aP(PkgHZ?}=tLz*KEqKCklaF2LLZ`bv14?#+ZVK>U8WobG>aA^ zax_>up3sBO=>-)OWO6wnm`L<;_Q>3`@?RN*s1s3yqAxU>WHE6oTRIw`TW`H%EO@pR z=w!j*_agpLNG>V!I9Xl7jaC~h9_I>y_yjS^e!9`VSeIUgL^K5-6Xqiwk9lCh=Au;| zf9pBKTmORof;8EiAO_NEhi*3>;6-?yG|nj`NY=2&?-{{9i?t4}gGWNE5ol|a!yQz; zDOb>bZD@1x{Jk0`X5S~fZ8SwsP#)>W&nh{SmMSQM7Kt7vW1KcC&4Oo1Diqlj2q3UM zqnOZWvcSaU(OQm$t0QRyBLm_eS(QuE^jdxM%oLJLvZG^(UMDSyYY@Mn4R5Q|1!0Uu zJ)21u~*9pO5R(h^eJCWk@wT!G@57TJ}3x+W3xr&ImVajt~p4CQf}f(37@q z^L=wTl)F>*&dMx{#mc=KblO_s2oOX=x(A~!)kI!emmV}h3|2o_VIsy)`=?3a+Gpbw z>eF%P6w@15tc7_Xi-?4M!wiQT%A%y*OJ9ZtT1;8cD$xXxB{h~_oY`y@0Fn%17`h16 z4| zLZ7bPv$7%v?T5ge@Ot#Jav)r37uzgNXL)G9e2sH((P+7Lg^fxD;M<9hKuhO=HiXbp zmkf-cfIU4hY2EHFG;u=Fq77>6G#C_L$4|nblNx7G<^Xyc;|&K-WLP;*@?@>+o7iNX zwpqMmDl&r+a;Q+T98;gq@la_36-e@kw1qj>S#m(W3fCUkrBPO%-e`E5}Y>hjyejg5(rw0Di`F$wveLG zi>^ESyghfzxo&%AMHEEmab{XmH0@Pkg@v#>UGV2LaK#Rcx!9ArvjT`v%?|WZ7=c?o zYGk%{(b2^@B#C7lfCTE0Y1^sulyriEM;iO4iyoOMko`?-e!?of|nvchO;IxW;sQatO_t+EC8$p`Ac2> z^(J31ywo`qNZ~E)7&*O=uE3lV%Tv7O%Nap>*U)tmHU}B-tgSu0rlt{A5H{m8!0?*v z`sqFBk}Q%)I~`@%LS}yVsq=h^1{b6*aIEtNzb{d6+`N$=UESmEhIje7!!RUa@kysz zVeN-3r4nVUR~00(egqaEXwY(gtHd;do4L3>Z#Qku7>f>R@3k%>x7gGw1&kM|? zT5^D|Mt|N+*J$X)+aD+>M4@Sg!x!jByEc@jwrMH z&O%?Rh?2goEU)b4NB09at+Oh@(dy0xqJ0(e4@CG5-%6R2)Hdp|)aW+4$v?z7MRn~L zuPurs*Gcym>p{lKm^_9^O@}^Ez58$|OPUpUtsL5zQq9|4+jOF5rO+zkSTwNFYr!a{ zb*QycAV6pp@|>mR%L}4J@Gfg-`ym}#!k|P-)1G0E9UtV(7&UXulBLKqP#UWc%fz`L zR;&s_6(AKmXMGf-?q=CthAn~{A%TtJm!G{Dt-gIVj>*M;1oXc3dU~V0ZAJ?HF;qw@ z)w%`^SSF+UW(~~vz-b!mEW)lBFB~q^$H39aVcaRJeRDuM2CY)Z#2suE*++=SA>E1C zr88VOpm1#kRg{I8?!?h{ry6Wts=H_HqwbbCL<1gc?@Kw0cs)fpQz$$UbPTuRteC}d z^x1b`={N;6w}8CM|41Vjb&htToD66rs*k%@th>*z#g^OtBme?%ny=P@d15uT_I2)f zGtqo;mSyRy#u8^73)hr6?tCIw$)!q&wz~RTQ)fHxInbietJm>~;56KW;`KqbQ)kX5 zuBk?5r_vhWqlh0e=ZT1th)3#nHqA9w>{AzWSVBKeNuHZGJKMfIONjKFVxO&()F5Ku zFycH-UJyDmivAQu_!LrmuO5*l#iC^J!kBAq|byTZ! zMh7Rp!lcYMKO`+Z*G;SUsw!&jVm~2Qk8_L>aZ%6W?p@DHehAEQAN5}B7WB>Q7ZSz~ zJN_)K&UfdDo5)-Nc7p;$vfF^w$j!RqndvirB8vi4lcLK)V4bcrXoqzi%TXS{<^Ib~ zLF4mHd!jIRkM28^Pg}XngrS6HVwQ_$v)r!zFA<#Dg{kjnlEO8Iggl`nlUDQc9DSX< zL#4u7T^}xaF3dSUyWfM~Rt|pF24%Y5S+O?{v*-s+J!6z4*w|$0c8Z>Sq2iJCK3Cl0 zbPn?h)H@T`{cLYA-W5pQT2K3VOR!}-ci=*LZ@fuGj4$#;SgZf;TmS@f2W>0k>vw!% za)Cb2VyDiFRa)ruJ_Q4@HJYiVtKB-Lf8;n?6=rT;>AboiEcJRQ(<=g%l%K@p4%aj} zd1Y~V-7v36W;Y1M6g+39h;VTBk949ca|cggB$T>00G=b4fKHmA;P%?IUoZTKr-jYYmv(T| zs|}@FC;eoOre&fDSZ)Yc2i8a|^f~l6gK7@VaV7XD2(OY<7%FnkeD>l1;<&5WgnjbP z*+WzOnV-C3_Zo-5(1w)twA8oOi5_~Dw*j1{h~>z^d$I>S;fQ;gSg^*40R((nl*W0O z*%m14aas|OnvB{13+2sZ3_b?LVdZB8<%okbXS!VRZXJv=iNr}5rGh~mLZ1?M9lCg+ zD>URnT{iBp1kWQ*+IWVUI8ONu9-Q9CKGZ?q?PHBfx8MiMsI1#Lo|mM8VcZV*`$nm3 zYJ}m~or#jPY`tdKfvnDl=h*hC+%)v|`_dE&WX^4>a^bj^&B;PfAY8KK8OW9DW#|o+ zrI1c@Yh3%7!H}urE$6XuhN#-dDxT&3__mo<1ppN`ImXMQ_`^K3LMO28cJ_1;+JTH~AFL)6b-*;yE~otDnh4Lj4^!#Q z7bp42Qk~dgXF4N zy!YRNfn9lER1{W76e*@cQlVgoVdJp!My1J4tS z!Qb*%{A=grzfJ%XGc+@PJONDGMj7v)nLFrz;s~;Dxj=r++`+*5n8-sF?|)?OVEz4} z(*HJdhp?%pzAml2p^lBgW4aJVS|MFSeFGajCOQ^cUUL(3D;Wz-ZCzSET{}ZDm|4FaHzrN{ z^uqV!kz{{Z_ihJ<0|El-NV}3WMSwtly#4=wC81-z00Mr()8C)E`Cpf>pp~X0t+=L* zjjr-z!9D(b%h~dul=ssm3}E?V0n-CN7HLP?g`_E@dfLyF?lPb<_sMej{qcG~E(a#& zf7LT)`oEx>{Ud?R(}lpq_{Ty3{#FQHcn_s!LfqeO|8!ZHzRQ17?6>Frt0DilV*duu z8R`Bc_t!=R&yw~}t!A(<*Pc}P`wXr>R>%nW)lRy&3l4Vb7{e42E|5oeY;3mT#vlzAis@4>m>z`_u^)bVq6!^pJP5+}0_{~fvw*THt zwr_FldtCt2(`K5&;{H1`9WW)(pVIovCfTY_p9^I(i_{4==NO5R<*)2i+5Q)d z^lzZycP$ji1L2vJz>_ui=NRexV*ds=|IA3g^{T%%(d9lM%#J4&{%;wH<*x|DKVl?i z`ad$#zp1Uick{RVVQ{OqCq@5nVd>wA{yS{_v+RFI`o{(&+)qmUIb335_*XLp{P*k& zp!*>%J>9iD!M?v3>%pQu{e<9uJo7(irtb^>J3M`QICHQM{OcS63%<~k3V#Zk7}@^i zC_O#Xf6Yt)x*stU<9FsjejBd+!$z)WOqfrq{3$H@fy%$ZM~0`Xt|^3h+BbpMaL?7A zl=o9C#Q1Bo{A*175eqT?X^P>u{se~h7jfSN0s$@SQ#=)20dzlwKtGW9cR2W`n(FyY zQfJzY4DJeu++(C|V}XAvumb3Qiho#sZH0`ie?cSs5&kg#M;%97ATS}#lNI!*kmvjQ z{!h&Nt%m+WJRa`PU>cqj_fxdw*jl(=6W@E^m= z_r?7k#{HpN(AGc)%hW&)@_I`LEdbmM3@_luGhi`vqaR0-%b~5x7ARx%?C$;?)sr<&%e~EKH0+l~g*lz>Ff6(S~ zClLI{C$;?)qI_T5-{2elAHvD6zO5htf$l#k>ZiyA@ay8$Ut-vg$mGvW?T>~%M#w%O z)Y&K1{S=pcU)|qe8N>HNWcxA*5Jbw8%6<+?{@M`vBPjW^Z$BF%e~wAMtM2dc?Y|0< zKgA@!tz!HYEso)bO!B8;&L5VG+ZurpaGt7^zkK|EOeEiz_BYt}WP}Wd2LgkBQq)hu z$fHaD+(yF8{NIn=emVE$dy7urW5{3V7zoH?80f{jC&m2~i+o?)-{2U-ABLvC_Gym{ zxeW{iZuO+DpW=|;rW2U|`*mLc!~cRq{y0;6Y=DaQq_&^pknd~zJ3RYdrv_a8$o(2m zD*GuIp{M&b?*I2YX#fmAf)VQj?gpx+Q0o3yD9*TKLQfKA5v)^{O0R{AynGG6#nq< zW$;{ur;6a0FYzB^%a4lS@9_CM%Ll*igZgI?c*Fv;^ggNdr&xymx20*oUl3s#e>Ahg z^c|W1gqeTmGb^m-(UXFIif?`(`0sG`4}kN#>TUYFwG()7yzD0>{uJua|8D>3U$D5q z_#@W&v!}le;lH!XnG0MivDW;$>NU}a)H_p<@lA1y#V0jGk z>9NC-T37QU$vHUU@vr(pdM}c*qWO;dfCytGQ`Kt{Q(NQj=glOB=LTyfO)5SX?A+8I zbT5dJ0t_X{{Dl*bKM6J+9I$gVyR}E=%0I-ucW+)WTRaLuD0{KL61#Kbf3V5^`l9Dx zb8Jur&o&!X#bGskb8d0Hh~zT?%i9jJ)D*6!CHlogx=cT^#fY=i!}U1s=9*q{mT+%O zHvTl?1;T6<8EEeOasOu5prr{-+WD&&_lyt@=eYO!?`vf&w~Jq7Lt$q{LbHV^$efyy z0MS5k&M*OcC!Q;2l97em=j1`6P$I{7!gU-3Ep2F)VxNx>Dil}}oIJjgyMJPl6C6ks zLaq~VatKSt%SUMz^0o!_Bh!3OU=XQ(d_4=dIXo<>3I8Ur9sns)Cy^itVYG`9^-bal zJ#4G!T^0TSupb+Smhu)5VZ8yw!UMKOLm8?uj17`l4K`b+2jkZRPGoSL*Cv_d<&hvk zdvz$Vht zGCPwAA6(bIKTqIh?6isE6tq&D!!x{*Z%yVOXT$a>mY1cw zk^=3`i-qNm{3Oc>T2na;pgr$*qHrvm#T3H%*kyBcGF}&-l;z;*6dT89keqzdN9t`7 z3HYjnH^Vof55wxT#LW;k={HIft>p*5)@k_k(;wE3?rUkFHTTKw`tgN8i%Bx8OS&DJ zw#wJ!5o<&DV*PX-?%+ z6d6cm{k$rPyho$%nN(ATo`VI&CV1o2X9qj#mV-7@;JqZ3OnK{ypS6bE^hSb537L+` zte46k68!GwrSAno9?|o_?GJQOukOPh6t*dWdIlP1b6;e?ZzfRl=^@rzZk*9cVQ^RS z7;E%}3R-uDwrs=2K3E<{T!>GJy}Y<1X}g7?u*5;2Oh<>?d5?>>7OBX)QKwtO!JAFr zfJg@T6!I&BQ@&1$+r^PTeN8ZHjDdaBjFggIF6x^$Xk z`3fWG4*UNUFgJsm)0wIb3Ty_ zeNB;e`4Gl{Q41e0rXL3efhzA-=g?FaGvCP=Pao0gt1tA?Mr(81Bej{-JAI`ocBBr~ zXRc2*jZ6@W$e9pM8Ed~Fe$)*PB=r-C$|Rl=Rj zBUj9Q6u4M?=rmyyyucbCtqzb;hsEP zg`B7!=SzTQZ7Lh{kGRKDO+!>w^-J{VjV8FhHLMagRuHI zPL%clmxx%tTz>p8KPHK>u`2fAouP$7II5C_0a$cCs0~y$@tNdEo+LK4A*xZbx}?uD zt4=#nY_IK8=Pj#nrs*{lPr&<+u-yugdmMR1xiveq$g;Ot8*tuUd|}}z3{ttYTb|w- z@;DDOGV;;}2{+&L0y}R)QZx(DN|&L5+xi$D3v$_!t%52X7|2vVVGX|;DjF*~rns=s zSw$cn1gtUFNn{6}QFD&HscHM6^K}t99{c5znZf=v*6GDC`8>j6!l`ExTAZa^bd0ef zdCDX%zbKx0;yXEK6H!=P?MoCD%9WhRtBP=V*(>BcE%cnB2C4p`<8{80ab`CqaF-tDawmjHw-rQfFCbk6bAI5~4Q7 z1Ii3d#;Os zc76BhD>ihf_sb@vOa^a+^L2T|FNY9ZE??1tUb~1tK;M-f9Uk4EvWASR+`n?UAN#sM zihlOxOXE_=-ZG!UZdjUm0D9DCJ(#y0%T6yRVD1*wa9+FQymYgxA+fS~lV3y9`3#oE z6`k`TDGJ0l>y;|7q0b(f1=f}*Gj#pN-I=MZ$VWJCXHE@7z0Q1=077ct!)FPxfxhaf ztFyPCc-ulj?iMjX?Tz?GS zzUyjFOwh$+6t)|F>UnYfMT^dKef-$PF(By`o_Lnv{r+){$d&ch4b2KjufWQ{QH6^p zw7?XwORXc3anbWkY>$Jgpl9F}I8n&yh*=14BhNK%x`!3+zn)B`wz!2)!Y|!xU*nt{ z9OK??6!Bpn-9a9)ISh6IuTwV_n%pm&U)ggJ$+Z=~LI)i&qZ7!Z>m^IuOpu!)ow(9Q zMqLD3WHjcYk@hK-`S^UA7215ZY~s9`Lyaj4e(-i)LDTs4%?ZyK?y5q6sRhsMxn*ea zn`-b#%;J3yjv?JTj$GK%6HSy}&ZhBL2ytJ-v=4C)j&(Lg%uw0{Eh!Ya$~a<1Tuu() zFdyZG&UOiU1Syb4?9Sf1X-DeZ5r=n(uEG#}AT6OD?7|lg@~(;Scf1^D0A4($-lqC#GB1Iet4Er^|J$43eL2n8|w$`MKekH&<3c{Y=rQ)3@>+ zUM=zT^Q8k`XQ?T`7}7_lz$55#PkXd_wudw{y%vI#w2mY2KU)^CQZKN5*AU^qrN_etdhgmMao^@g>H| zCMtlKGjhmV?0w5y_D+uwMA5I%&I3W%;)WoJX1fJfLSkeF7u82yA3O8uJ?kq1qm%4+ zccn)lTY>fEHDh`EhBvw?)IJz~IR9)#Hy~NnDAajYtR~4UG#B;$3;2YN6XcK~@cP)W z1axs%GUau?Bn3PVuW=QaYfW|;q}O35vq&zlg;a(?y=HRCs5I5s>fTNQdfK(PxJ!R? zOT5$Ad@dO&_5lHj14^8p($rwn+^}ELX!I+028Or6QVKior28q>O;!S%h7ev7t#enWH*7 z^iON9Ow1&*neOS%SkRfu&Ksg!aXh#8&5i%$U+4pnlN-$25>7@#i}iBU<*)}=FGU){4rDEua7zF{xQrX1)_A^ zjp_rR`I$MeXnB+n=p!K$E%hP{P#w2B-ZbsfzEcSFne{sde9i10Eb1{J&hF!PP?KH@ z5jZFkio)pZdr&WK1;Y2;5XpR(PcLSR$t9QYVJXSmBCx()m5uT%FpkECAL zr%DXMR)$eE$HAir*|>1dkpu&7a>Rp?F395EPK(yI4TZiiH5q2$ck#yQnu}U9=JZ?P zeI7X+KvSMH9+sphKleuBD?4mmaNv|M$8&ck}7B`b3Rw%1~wrFrG-e<+6t?3FNv7am4TxqvMzZOi6s+ zwhaXow>vi4i>(tg=;Fe=D_PTC-7#Cv;T6vUBTD;cDPsa{i6{yap92gCSeWyJdf;fd z_(J9H(i$yv-!_x;LhCL*d!C2sjTUCkowY4`89a8e3c7r3kza2A20z#`FW&RGmZ1rW z4i*p+URp4I%>NJYKJNnpb7WQG+Dy%dxe^PbwPVthF6HPvEQF<)i0^c` z37e%(7HMGi%n0E~K67JryG(BWKnv_bwG0FHXnMn+e+ZPV&)+c-WHf(-WJq8G@~u(q~;8=(%V82T7o6MMbP=%@p2$B zj=8dSNP{X2=Fdk=T#qbkjGR)y)dO@mHu0rG;bHQC#H*5IvdK$lkB}Sf4v-6FYImXP z704wx+3Q^(toB0K7oZBl=C0=0QuN3qjEwd7Blgt4W)U?_lFJoK;jdRPPpDB8-%Fe> zcrV=|DSviQD<30mqC+~wi>uJ1d?TwWj{WhJaI+EhU8dOU4;vO+<%aTR zAUTCCHs}JRdWPiaiz&1vt~rCQMtPAsG?!!Yc=gS_wYB0GIhJD>QV%H>EepmyJEygj zhls5e4QE$$U+g_X*@nrei%egcy9}`hQ6yhQr5LS;v22gr8eysNn^DKz+U%vZJAjrX zfMX3~QTa(&Sw%591$%cpr4^S^vLhn{x=&f#WnW7Yycnj{(aYHjmPSrwfbyaPGPZ;wIJj z&VYwV+WhhpRmB9Yd9d_3oV5B*?3QKCt!~WhVJu8E;FYGG^};UduwXP?WoF2IFhRT< zH<7aic_+?3IRn?BCo)?ycW=9B`u;Lhj@bgtbFWplJc!k6(0oJqm^Y5_dYJ{P9&dc$ zG7Wd(tMD(nn-A~NV(^+*XNC;*6|jVkJ0^2(E%As|Z{1(00N>(bON-+_F#`DgGTe7k zX)x0;vpiIt|PHE1^i}uYMbBBLqePCi`p`l~MV_;#Xp$9yE;m3p!R)*jHkA|M< z@l>_P-+26?VR$?<;@ddrTaJjQ$3RQ~wm-f-qoQ0&RQ=qIYxLgV&4 zlIqXf>ewbP>#N6#s`^biAeg}zm2=s>5Uc|-uw&R>bsjKuf~AR{bVd3PyPd3S#(SsS ze_3A%zDnudIWvc%Yrb07lpZrDy5+j~;=?T|_rXwpF>Q4BKWd-5LgxQkUpP;OJ_AmV0$G~YJ7Nqv`BJ8}#C zb=3}<6AS*!0^%!@mCJ+MJG+V&Y_oy5q7{`Ty5nU1c$kmr%3N5C`5Ies2w$sPn`#2F z^pE80I=k)-9U#{-Zyd~NaP_N6_AdNx(=He&?}~&Xxd~tK1|@D944x0>2G0W zXU~kyzh11Y5%2pr$oYKSfVV>`%^k~hXNqw7I<2MPb6wVUXy`4D+L!o+R*1&2R6ZA( zn`MuM7jO+7*k=Q4$Ic$3>d)=^j_C)~8tFSJ?b4ar33e<*Uk-G4M?Of+Z7p6}Tgo(f z`s53B6GHhcZ?5J<*(IsoHxF0<8^|zqUX7(?H$F2`)R;+tqB*cO$2y(#fs)WXC>q%* zN~+djX_)~_lPtG{P-CK=j!x^_h+`sun;9Pg3uNuP@20us3N{TCy{~s9w6*D%of@4i z!Hsh8RiKlICC(?#O{@|sGDX$K2Q!u}eip=RJWV_jw=zswDCIq`U`iws z&h-~|fldO{Djf&|7{+^JT%0tg!TxvTh6CMss5JP>L;|i`(7>#?#2g*Z#@pyM#XnD7J&czUKr_!Bf-lY+l0nGEMY44{xHDd+tRK*tS$qOt z4n&4@h>PWIEEH?w%sxj}d!n#_u8z#RWY_F3A~L&;vTJdoesn1qVleSg>YS&;G@gLr z*VB?42iv!(SD=pbkt-9pBgSJPu0smcXbjpOo%^e~q(*E&oDOp=DS$%mBG`O8vS#~b!w-ys z23v%8M7FktJI9GF_QrEDzq-5+>Q$8kqGp%@NE*vAP^3vIHpHM5p-!uor0~ih2Nb_B z$P%FL!tamM3%Z{Maeww;jD-oGCcdexks)5 zo47&wOfwI};0}a}VIf=vZ-a{J?Ir@hnijAQ)g&MoABzM-+<`&@rQs!P6Qd8H8nxcU z&L|GpXrHKoVYvzW?lo(dCP@?sg}5F(d;mb6Y8%9wir&52U$`VwH8bQaTV17>@pNsb zmDEFgoxAgDLf5w+0SHsgf{HzW1`Q}X;2|PRAG)K@C;UutL$L=|h^if#C5%f{OF0XT zFI+UKi|w;VRUecU9|t*=MM{vm78^nQa!kqUJg{If!4L&qo316mF@?M)ZOAUu=N zs(=j~sJ*=N8yb3~>A5D(tJrbe8Nuu!f-Y7w~!0-vx zlxP>P=I1fiKtSzH&=QE8_+rFbPnuQJJClv;!Ynu&tG9AYfCi_X?#>!yx|af0QN&cK zdoUdA(N>~G0_v5!7&*5!*n72&&Ku+FB91#Rhpio~n2tjh&+R9fp(e-hfh<>*#$W$E zjXuIx0bifU6d@@8o>Tjt`VFqC`>aWE-+O1KHhXrgjG*kpy0=XJA=_N$L&u?&pVyqd zSMs(r`<-E%Bj!u=d%#2BoWmzT$cog(?RfjGy6hOB@O2tzeZovj5-}NQRDBUI$qNwQ zX_37Vup?qbIxKBo(k80d`e>ZBB;0~a8iHj#`h|B}?c6i^ELCC(t1)j~*cWAY&9b;3 zZKV`viY95BcIuvv3`1&^j*d*}L{9tJYf~W$aIqbJx8_2i>adBkeeAG31!cz%FTxms z{5p-nGDQOG+omRZ1M zz5&{ds8Fp#nBaUMr)6Tw^4lE5&`8smnz6Qnz$`R3?p(uwS5YYsA$phyuOu#U zj>jf*g5vFkCb3t)oC?b>=XJb8WsS%y>v)Ys7W*#G9y-bsf#k~(jBKyH0``TY;p3N!LDVp^O-2Xvg*yH z&mTkLESR2@*-q+89rA!iPAc=ql#7a+)_ng_m*u`l`=Y!VTWdSI6QD zx2EdA3@UGX?k0K|z_x&zL)lDsT^Ep|-S(}t)w9&0Z8v;*(nAQE?$^_#wiclK+GzP5 zg(UR7aFAR_?NaEB0u8bhDhOyR-!({20dE~#$BsuATuP|YS|5!I6X7iRIQE5`rS~ku zTXf-@Jq|WAB#x z(uA!-GIENL4H=e+wm6P50-7P;?bC+T z-pV@X2HgG`I*eQyNlsD`H-n{}7pgWAG%E~sb+jRQU2xQeMl!M@_}c=F&`)@F;eNV^ zS>P`Yk-rA(B9hJQT>I-H<}D!S*~juAYJa*H)I}tcK)N_&2pE*TisdnZWTo=PTjE^} z2_ALB=9`wL+{255U^3E6zIZc(+$J(3L^O$;7YQd!mdK}>cp*1Kq~nxWAspkvL@TQa zoK(A}ZiIWQxPhdB-1Qb#9%u_(s;;bXHqVQ-ekd7MHbxo~fwe9YWMSK9)xxSv2)i1! zN8~Xlq5&AIdCwB0T0Y)nR!NY-h>9Re)xZ z6G3*-#6OMYgjDetxfKOpTF^v?ce+A-@#2BeWx9OmF&p^I<9e7Yr>$;-&Ij+QooXu7 z#>()LSN**QO03R%wow)F>fHfJY}=)4WWZsbJ$Mm3%WTC%%ZYFuPgtI|A2#FLTH(kWw~9)gT$P))!i$aU6 zo13g^L2z^(^?Vz0iK%ypf3{q+9gqB07d+_}qI^ULJL1E}2e+4`;QLu`y| zeBjdm$J#pv$J(xK!m;hF*tU}u+qP}nPF8H&wr$(Cvtm1wJs)PjyXKjxshU4m|LCsj zuCDv;^XT)CmCpkC%p-(}f3v$1Z8rs7_umtgb}^tWebjj(`&$=3szFxgxd~o}dJAoA z#d#4RNJujI!?*>-Ci22&hd#04sUzZ&OFc%8VAaxQpCyonRDg|bUPlFhiqWP3jYA7m zq%FcHW(ul7?27ni=zQb0-g41us$O@lFXPOrdR32zY~dnfEmX%SSywkBJQ(?F_g3GL ztbMsr_}_hV5wufuK*CXLRE0*=>XSEOqU>6Kxda#C>4uWMcAHjBdNr&-ks|V;vFb<) zs0WuZ37T7zp}9x2)=w^XLk%(k|30|-KRR?ABJwR6G#%?)GyAQvd}E@uNZf5@fF25@cwpLgX(0 z=D%k`hi7)?@*1>vD?U(+@&`v-!a4ak+0vyQ$|w#eT=77D3wY|HRQ8*!`>ekp}ZVob#F2u$BH5G9Vb=zad0H#Vl%S&QGn z5<}N|N11!M$BH3-3|!ZmxV8Ybb|oFJd$eErj;1&0tkh?iND3{&$`@; zKGb#dPMqge$jlgm1HSomWLQ;U_|AgH7d&0C@WUJD?y}W>ap}9Sj4&WlvYvZA9dM4y zJ2+^MX*o4QFo1@-;{Bym@CVRG7yPGa8fNTQ&Lp>~rxWve8(Q&g7hXLx)Qa-{J+Njw z52pR38>DZyVurd_f*8~lcg7zqeU4r?g zG`c?MI||iyr+;13I;`pkk}$2LGGh3+J8d*;0Z@xuTx=Q~-7ds(p*EZBRP@o`zUSw} zp@1Bz^QDBfOHE`MdgP7g`n{-y6ZC#?VZ0DaJlBp|=>rV0$uAvbptwWe`5@<)?%#FW zzOH7^Av|NNQNFT9@KDGH_nA5t$enDJs$8ha&x&G5Lmfq6MPf)-JcbEBa6BL(PIhC@ zh>*t48X+9%F6AS&$MSu1{Z&xSECmi|_jQQ9J2=S`Uv=-*P=^y8ZWuHL;^nGjqEIy0 zDeMUs5fb>0tdTssxq{W#Sp2~*&ubt*I*k{dz+~uMMm%}Jd45UaUC_PxBRy;kGogNe zlL!9Vs@|s$oMp1kXO8tRx_|pb)4v|xI<-8xio+u*2edd^fquqL4qdh))P8as zirsPcBcO41Su-OlDhIOrIvg2JKqq9rkCLtmG&-ShlbudTDcbZz^-_P4a(OVcLk*e^ z;Pl2V9V9NqjiuH!F)m6TUis@>0>yIA{kSOb%+Xw*^P^O zX7kVr@55VT)jl+1n2{r-GsqRkGhq{ep~e29MhM=Ll2Nc^(t-;+1;*e8XIM=u3IJ@0 zLd7y&?FS6FST-zqa&h`y(?=iL%#Jjq)`5~{bVEDlYa>oI^1W{{4%62^Ea?7SQ2o#A zDMn`I|ImJRX-Y;Au_1J0ec-*ZBiH6X14s64XQ?BN5*QJLl7u3#O5(%E!xHoIDtk08 zT9=uUCX>cKu2!ieNhs@jE1!Qk_7nu|`!4@tofGE}2Fa;38oWKLiFx08)|KkHc1?MH z-%5$Gau_^2`DsvF+042=%F=u0 zmAdZPzQ)yCwtep4wOs}(wCtMghmK*~c|<#biKDScCW*W|`;^7I!nmeMm6v3;t-9a2 z+D`@OXdaYi3rk?JPaj=Ak4|(DEK5wJbmVL`{LsvS1N!dn{eB{j`5Mqwq0bCgw9POR zJjKsY8nnM*snW5AF03D13o*shb1tq3ik*eJTj@&B_p!=N-2j(pR z-qUJdV42P?DFm%JJH=P7Py&3<^L$)5d$f6$+X?+2se?P zE}viQdm~5{l5p9}y;(>Sl$*g9xt|G<y11!3 zTHxFN@wjBm>+?36n7;kR0P0KvlDC9Bx}T6gcpAn*Xv}cX0M^8oOgV@G*s>m#+rhLy z9^WDc+IrMU`DihtbM>RitEH%yKr6&Ixg_<;QyMseD{CO#1d44A+6gc0B#dD=&TFK+ zK1G!B0D#6D_63Lxc0%hW?EV8l_oc{@v%Pt1;#Q{qM;&mFb&wC$OM2QbS7quc2HJ_2 zzRzS)I0B^ch-tl8ep?3HC%EE?JqQTmz`nJdgMKp*lg}P77NUVBZ;OI~hk#~&6W}tQp5(f;^vh8#0$w zL;)KL^6xql;tumLO)Xa%#;0MfQrwi`u4q6^rY;V8sb3NRbmZ*!_$CnlP0A1nW(i-e z7+bm6sT&YNZmNU*3d{%q`<)kMm~J|}@Ei-NJ>3VA4V=c1es|#>zqJ3r4hmyUGU*6{ zc3zmgZ0K9%BmxOa;FI4UAgt_i!`hU`p5m;N=6!zg<7a#$>v>iuo;{4`jdhRdv^M31B; znY-qe$Q}hZ^b)gr5BBo16pXXu@%braFq|`cL`TY_FW%|bVmXYW*qQ+8Eh8LB6kVRa zYR;=q{l|ZjK?PfboL3z%Ian%Mp?y4=LS``#aW$;s-h#io?e^O?h-D>`RghO-_=)%J z!4M}}AC@xNscciHuqLmU5+i=qME;o`Mx8`xZLrvsbJfg<7xn|Pz1h})5K$70U}#i? z5D&7H^g`O|UmnYB&85ArDnK6Ws#eCn>4L3D%sT`Y3@ywcAnF*!ppUJ!RrzhYV>i(* zxuRpG>G566mQ7(LzDU)ALLx>GOM)RKjNG%&>ww)kSXfvE3guh%DeRtwv3n}~oNQe; zrjpVn!s_C=r@-sM3=2BUGS%opSCz2LtVVt6H}kdyNplcV+guN<22zMozJa7lY`R2O ziiSf0NeqYL=ufjpm&OqtmfCiX^Hbzz1ef_@`g@^q2)na97uMNEeSgpaTO2!10w)0vUERmvxe{vyTX% zU~zcZ?JdEBY>aJ4tDhKLhiP?)m6nJ=Llp&gEk|}={x(D#d=`l)tR9OCGlDinN*-t= zm&u~LEG`sKTd8d;P=}#(L&p&rWtmYk1HVHOX5^}-bvW@T$()&X+~ApEmP?bFO6tP~ zsW{lOp7@V2=4Y%2R-Y?@-NZ#=c604WyvFS7E4U7OTLd-}&-X4DN#tX(R;EM0(7^8l z_LN$o#pshhUc!Mxhafh9A>(=iUw(_`X0)fU6C)zWY7Pk8p2?}0*{k>?Z{dy#He*G& z3@7Qo%bv)B%na*9k`vRa;B4ERgzB~ja_Q(aZFe^kiDY8b58?}Gy*uq@JcX55;62g+ zvG60E_&N4Ut9*5H~ZEXZu-(dtE}?op)2`mO&d~BZU8e zMdL*)&tb<18iZ)-+BKok<6RUN)>R7JmT-hRk^PYpKO~jjS_W5Ke2z>RT3Xt3gkr%m zWHp{>?Nu5PhQII@Af|}BaQ})I2(4+>hjDWtg4m#UA%gI<1Wbxnwx&S~HOX_w_|?y#%-}^Ee879yY?@g;*l)Im z;(34X?zKeT&$4WMq(m2O*`DF(CDD^@M>Zo*pgtLsd)7OJ$Cvs=mG(?5?i9kSY(HJu1*uH4Wr+_sC}V-Xys?}E}>R=xa|=h6$f{23X_5H{n*a>=MS zv>IUvoe4cEX^-YXyOGQkbI&15BWBj%Hpx91C{uXtwRH}|!HP$Av~B=dF31$@6lAMr zmPIiho{}Whxr6-8LX*{3`4%2Mkmbu-OToaOY4y_Qw+PM=!;;?;qW?>=@F519lgqzb zC|ukOO#iDB-G)6{Ndu848;PywZ^%SuzW_^alm#tvq62fMCw`dRw3L)US6Lsy=UJ=o zPpx>2?{}&HNiaHs1OXeZ3{)^Pka1E~<)frWLF70`cgby{{3i(lIW=E#oLe!#e&u(l zh&!+E?IuB~3pUb{4t#%fK6x?2wD!zHCBHn;cVh+Iue_+#(kKL%4<+_Lm4M=Q|Aj31t@2b&LlC0Tp+VRKjKZ^PDd@9)}?;lX(P6Hs^DpUlwm-{!Pmo zIUhczc1oKlP15#TJep5^2A3OkvMtfdJOuPQei}gPm3>bcFJpVzv zGXHPgTK|`5S4I{FS`Nk^+Leixjp@J8u8iy~?Em@{{}1iT^ux{m?`T&>2G;+;wU@s( zqDe$AKgI6hKOugjIQRUHhZPDC%FQAe(+na_gPp?+sMi|YF;rbszH;>9WFmwB6{fn3 z+@jsMyz3fC-*55V&hmMk^WNI->fu_uEa+G`Zr-uE*}T}azBy!sp&#nK`Et}0#8Fup7M z>#Rqqx<>9vHFC0UcycwAq9Rx$+T2;+mPKFJyyiwmuzNVfR}odt*n1_e2MqpKG-^Es zLB65LOm7=7jsM8dnLqk2AxWs#*UB!W+@YC3Z40qesC4YpB5Vk^mvLe#FH?)WqBB&S2(po)nPl<)Z}I;kuY=ef{tXLoten0<|Qww z_mqupXY0acdJZLURta#{H$I(1PRKjpQ}73mqm7n?S@Daz6AkE^~?XuNIU{pGwk9HMQwJm=<432{Wo}&epj{ z$5mmKf5FSE+e6EtI(Kw495E{=RzwiAGb&P@U-D^l#mlrZ*!Tceyb|G*?$2*a4B-ug z8;IHEx3NWYG}0eV=d9o1n;1KZO#39jmkNh~0q`7TkcEu0k zyVwyk;=nmuuTaj=gB}6~m~QX0%QCL_N%QgW3#&?5vi;L{$@YIERx>Xv)jT{9{ zinH>!7gtK_8|xP168$b~DYz3_lDW@fbbC?}0C|6E?0@|^AAqd0^20OTL?*2MjIn*=(y=2}L!r#JGfMWa=> zW;C`p58o+&-+s;FFcia`7T;h{k_?zV10su2aMj;RXuT2;E+$JhL%)UiqAB2TH&iWj zOUhu-ozTH}@N+OM84DXY>{!kpf*u8519Lbi61?1quLWp`CpV4^#F&DfAsrb3{bIkn z2)%t|6+Gj_{VYf=g_h!tTX+QJlN5{ayxp;7h`!n#U=2hx1+EaG_-@)zUq>Ku){a=f z%w}IkT!5N{MA-CV`Gb)dnDCXn5hS4)TTQx=ow-E_h9(=dx}UAeDn7++eqk>hkPZ`M zM1(=%ouzd&3$rhG^ryeaH97lw8XD^Z{Y6I^rqpbDpc5>R=F!N|@@_0j3+%y+*=&QR z$!uh#R{kcrJ;yTtN36IL_*VQmiFNiHo2tZ*I>Gke> z^fTqtbAtpwNRi<>Tg7naK8^c#Q(_!^B9qtFYFOB;mGRx{+| z-OM1OBTvYs9&7J?Fg2nEFsupY6iGR|Usm{E_mlIsIKwhOKQA(+pjyB2VL7|h`{Q?z zN&&?o1hGw-Wd(cRID7~%fVY{6Vi^zrD+Ukx&g~8zG>@Hf>}(~CtbsUB?Q>76XTBc! zcfW&}_oYY~;)^$M<>u(m8Jg0bGtHzL8>%do8L?}n%IvejZWi(i+OhyzsfWxi3pS`i z9);i}Xb+(gGoXOd?X{3C*6 zrsLJ#D|4<%Wdld6jk>?O$^@#y;jWLALp3MdRD!k`uF4P@LxhUIA0pPKP+~swGbpw_ zFTzd2pM7@zv`MmE!0DQ+`|D&J_@ueooq?7UG^=VWv6b~?-Us4-0bUT5tlMu4I|KH zaoVfK=fHiw7J7A!m_KX}Lk~pe=_k7g5tB)H;voa2xP*w9o9?TxpTP7FX^SC0U-^6X ze{xN!0uK*+OK%0GYwpp55pJY5*n(*q@g3H|f>AB5wi#_k`jbIJr1T2C|7q;INP{$2 z4W9?Iq*$LH-vuT~E-N%MR5cL>+)GzNY4uZW$cJ$j2ESBLqDP)TY+W^NYEwFjnTRHe zMVyO2{+V)Z^=cESHtT^sD|WU0ukzkNDsA2SSmCtisG6;+UPm53n?6;QmA~Jof;++u zmINgFr$Sob&O1sqlHOHxw)8`CcCGd^sZqWTFTnC|A@A3}0?Cs6qq%SVC4JxPzDPv2 zzoQWPO26N`X?b}A8RKU4+e-18Lh`+vrFzZM`MvcNXdmFh-NdLLr&pE~J=c;)RB z5L%i>qUSVCFo-BfN2;SZUpkqBFM2|KoB>iWbzq_uPYk6p;Klw%dc1w`MCa(->E;EA zK}6y(Xj=0U3^T(!g?z!q8E4cphB8^vqm3$=aLe*qsS7*~vv3Fc%%~dN&IvfM=l9jB zU~2(8eF#MRUYr@CdniZ3g#6{7=CR~Unx=oiZ~txt|L3jT4|w^Hn2#Y1N!v|Ugl@Xp zZ+}s)-g;~}U7$$N%tp9%O(bA$6mAs${U6)RW!~p&7C{-RDj|uxstoYzUAW+MAkz-p z;qhOelEQso0yhMS)oF=ELX6lfG+*!aYabtyuhnZE-YeG~Zj8CH>9CQXr5rRBV83Nq zBO*^>93An~Qy{vm@51;#g%8y0!p5fzwiwO}J}&Q=}vJh~-O74(6#i!kGx3@~1&zFbk*@g83Kx)`jHc_9|E4M#*g4i9y8mSu4 zr-k;gmbQbJ!{<&XMGbTX)%EBi;9)e*uGsfg2j&PQE;QiRwo|bEKbAN&@*@q-9h(~3 z(OEfFl~vf&DP=B?C5MNdsU~-#4i%CfzIqQjZ!Xm)GObw{5D?Af`+GACJgwo-l@Y-d z`~D#2!xkCa3i)53Qv&gOTQ2bkkD7^e)_{So%6mgsB3oeqbvWhILo%?%G&{K4roMV^XFmc~KP*jyE+H$;{2IgH zG9FW7wu9H>IMUS2dpedmjs}@2#~g3fHO4X_B@LwdZaET}4re?FRY+IrJ*_IV&pGEd zVS4O<&_#HyF3<%LowifAsrXxl2pIoMSb+27S{x_8{1Uk*WkO`iT;1(@Yeb%rQfAL*SOo&*~L)gI2#{z?{rM1wsYu?0iKc zhm%7GS0VQCQ}2GOAGeI&nwvt&gs4=Gs8^SoUb(H#5%WM)L|X!=;J8Er$hBKRlAC$0ipr10GjIm$*wJrz2X$&8!ESF9) z0mJ5xyGkNZ4rN@Rrx7E`=$(6?g*L~-s-H-<5L92DgK@7G^h!8~ytf`>9-pZ#Ks$yb z@~nboYND*G8C@r5-NrLXV#b zQ7b}Dcaz5@Tub>G_Fqa21n?M{`-HEi`7Nd0gCkEmlDv@@dWQ*~xG%qRK-3nTFm@45 z343sj3sbhn8D5cZHUozLEljS4)j}sAB~i$^rX{JJDus0;)HHG3-v#@lYXl)j>6&LD zRz%Vvlb~;-*hZdE3`SImV)>p8QF+WI(_q$B zo_@dWpkL+0LnNW1JhGc)AbrAArL=IV|BwYXCt;QQ8e0<$&U1GMm?}|qozu|`)L`~? zTwt3S+WmSuG5x#Rt^I8By7tb-qgUtJ%a{v+pHr&|2lR%LZGhL@E5t7ZG#w^U=$O3J zSeSpKvmXXB$z+j(G`LZkVZbdxKV8y@YMjL6g#-XP$Iis7t4+izP9F%4EWi6@VT=|J z>(N`nd_Rp~>Ll!#FwoCsSoJcEAbo<_KRSb60Tuo;#Dxy$Ee;W1=(}YpTJ6@+>wph1 z#C)zsRf`0FV5Ckw=me6y?AMJ?W>Cc;8bxVxur-uMo@Gej^6Sol<+3x-YTftG6Y8b= z->Jbei~XtgIdl?Aoy-*KqiFkTjPkf43*LxvbA6AX-rR^)5WO(SRjY|W*=2@Y_5gu5 zeou)HQR z);0#@Si@@?Ua#U&xTADBebc^S7BckBTL!*OL%>FKnRHEueOt;%F8*OM{d<1LKToC~ zzUV(Jol{zpF<5Pg-O#hXrw8nQ7-%~nAb?B_Od?OsmcSes9Movhi1;#k@39{rC=o_% z9l|c1h-Fdwf=pFtf5&RN%Zopb+;$^Fd8gG=UkbZS6r^MT?+xDGZrxt)@rr%1;bpI5 zLV3IU57XEd-g|K2_e^;Dj6e?rq?d&PapCD&&t*pjQZkzO&W0-+@%O}kG9UIkjmt@F_F-C6x4+-^0EKM(dU2TYjZb?-v?*j-dUCuvzO8A=Fn)XleQye9ods_%yK$wYZ1XTA z-}3X6{XtH(6xpExfue5cj$0$s!mfmFi@E}R;7QHc)KuhSKQ`h52M~~BK!@o*oq*iM z_Ju^JAlP-NCxEtU4}m0Pg$zh>a`O(W;ry(|`8w!A7J*)yKL6$j&{mS%twQ8hmYrf> zSm^1Gm_tD0Qk(uTLb1W-&BLGpN#N9ddB44hUT!fE&;`_CEG^Fjp;x8GrURQgi#oc} z6<`cpL*gA6! zTS&D+_#p=L|CNrFUlIsIIBZ`!$(Yd4F4Ui;{}^x|R_T|Pqz{)EoVNsOA9mGxdnC!V z;9HE~*nuf;>O?3lNjAL8S4h6Yg;3KeB0bMHzJt6`b|{Q^xKeylqhy~cLpTa}_|^5| zMNuJc z8AsF5pqtG$Zk--FYLq9_pXQqk6n@??im+g=zwOj8F#LjGBsN0tXC--!aOwM|bb+4cxUn=vzvD5%t-B?;VJO z#YwXX%?C0US$e`ivuGE98hI+?{d$VM8>qL#yjMhM_0k$)c^~P#1oVK(H}vH_)(F=O zZG`(3)vS(p+;{7&Zgw>^_z=ld&7)v6fhDk>h8<=~BYA!pr)of##cI?c238`UQk;wx z>_)`C*pFK%78xH%x81h$G>J+r#kbBa32K^ism=kLy2esIrez0-q@|)vhcFi!Pe)*g zv|z5)09Ow-2qEe)fGd%?V;G0%P(VqNTSnU%EYhXjk)giNGLoBIWlf66BIlFen?hve z2n4s-kA+kkhcw;zHl51J@|14+BHM$|w=${X8r=dE`xCh43v424tipyucKP%3ae&GX za`F zm_-IglL)jN z=K>BhA|?9rV-aMJfk>{R1W6ClDi!vw1&UL42~uFzU8+ZZB@y6FV9T-5|Q_j1oNrvRW_ z^=)705i3~_;d|{n7n(uQ0Hm-C;U+`!XG6xSoTJ}Nf>I^&>FhFCm+Oz6i?qkajg?Lq zE(~dj+Z3ho0+@2Z8`=RD)dxoQhbwjE!_Y}n_OV#{9k0$!n z7(rozg(e$04Qau*L9@tdZ6PEdJR=kS=oAEG#|vadEDxX|+6(qB-psD9Oksr<@16t0 zTwHrf3I)k=A-$WzH~`K(TWKW)uiDKb`ZKB?$Hx|zNot?Ud?Z01J@|Y9N*k3?)^S#M zsfSr?kGParxcmluIzGf}&jA{+Nz8MHZQGLd_b<5B-dYiq^>-hsv|d~LL`mI^|0AZY z^^GjMNx#*2wULqF^YZd&i^mYr?Tj}hJ~pWRToIf8np~ImRvqdKV(D{ca9no&mod+M zGd5(km}I@NyhxITx&*)@4L;-?lGn+%^^c1sFKLO>Q7k~+ zH-FXm%IV-796b3#`H(w$h6U0Ir|`)Dl~^+pafbylYH$$JY8P2`RzZ| zV|EdchiK=82d)EUz(5Uzn`(9e=-|~dvW9igWuWH^UP44C=ec1m{(kuS1^I@-!KuS#09cJo7 zjsSVbZ|;UME+2Q8`yQQITO zn3t(Y#w8P04ip*;Nv%e)%!8zdEDPVy( zy-^k);QC-`jQ@b`{ynPfe}U~7+5dwx`Ii<5`$r1|Klzj6g@aM_NY1TizKVIB3gSS| zdWhfv(RWKCV}~T><0CPXyr^(3Q_K~z^(1DYT&DE6r26YJ+y!y{47ogM88Q>zoLf=Z{XRB-Z=P4OpqboT(@slar#8ZJ zl(@=0?}Y+ax{-DoxJTkEAjyWh`6ag>-O5c5h=xqE!Uy6IbJAd=4_~8@5L?X&e>EWcoM6ut`l2-d=;d2_gq5Kw_G*sQK6x*)K}k*Ci(8>IP~jX%3dJ9Aq`-pD4! zjEy8KKIqrn(D~?TH9)4?wv%OR80w!mG*d>P#{{gXJ+7hrF&*QG9TUsbou=10|q(Q9lq~)(BEaRy#ekY`izu z5^-AHPjOtz6O`!f{I0^qP7IzSvu=AW4hDpeJMoD+J?1TjFN<;DL<$Lvy568sP^!FY z-4uSUNvERlN5{)=p;wFYgjt>`4pYz3b}u#YW&GIsnnsIBAdl`A^j-Gs72B#B^j+%P@d>m9}+8mv$eAPy!&dkvtsV@m7#I0_Cp=ls?4a=Z$I=$Ey3N33xd z;%h0DkmBovTwL8K|{-pRv^y5PWZ#0U&hkG+BZ(DvG4^RpSIH1^5-U zLod7Z5}h}r<5}N}xuL2XC~t;Up)$1*7@LMMiX2E*6gpCT`VG(ED$) zzJ4HN^CvOpdDeH~9qSvCeH0RxD+CdYDyY~6H} zGMcs#$+Uxfy5Y2>nwBh}vr=Q3?3jsEp(bf2@?7Z3bN9(kR=loAD1 zShC!<9cY7~3+f&0+_ac`_nxYyPBf?T8eZn+TtVg9K)AKld+$PmblVK%%lq0F$k#Mw zo_RCG`R#Y6H}b-rM%Th z|Ln%X{T`336#E_i0dgw(mzyJ@SWt_Qu9OWE_sqn{RG{T}jtOo0BTdqVdl*m324hx5 zb*idBUF%cfrBI?EopV*&MZ>y;v3-O%&TH${u<7^A`C$4wuQVAktmWhzQhqdRK$VR6mDf{J z9b7>C7N45JRJyiRuI^3fy~&)vl)8CBgS%~S7NG*lh*M8t(K;Uk9!2F(Vc*G%UHd&0 zmfHsbB6e}$Qk*%Nl9TRA2gh^T>jC(=@9QQuJ@`R7c%#fef}jvY>H~erw?1J^2n<4$ zbq0lT$*{+|aM&~%|MGi%rJ_e?Z9=-n(pn_bBSzW3m`(TPgOd8_zA;1Asgz(f7Y-5C z_Hq7l2U69>OqMkO3@hT|Df*;OgaxQ#-5GPM(ZTH3DEn0n0Ch7Act-^hxy6($&8@VF zCUk|AP%g0KlJB}I^K`mhr8^c_Z-LE25V_$uRzSv)-OfU@gsX$j#%N_CdY1FZG+qa> zW}evbRit=_OIgnL=PKuuhMlF2g-=3Mfi~TH?VZadOHD;T%{s+|b@9C%isI_5Z_&FL;*lC$r@fqpaX_+|wi`IsLgZ{r)2mDKG!^F(O^51ng|I3I2CYJxqf4$Vw zOu%9Li8xSx$2*=oW-N7H0fx8FZ1o%Db5R5ZML}f^6J$R|B13(7cKXX&#ldZQLTxD+ zK&33Rcx5hL)$O%4AC?}N-QC6cak%E3snglcS$ej%q#tm0g8X%R8l*=N;mZOU!SK04-qf0s|(FU2H)+fbAXC95N z8Y+6t)BTF{?Ww}Q$7a#)9zGaDY_R8bT`bik=He033(EU;fL4-|#0LC#W?hPteAc@B z1PiEl2H_K=Z#oa^<+Ffh`wu5HaE*BaM}IQ^ITBXS~20L<&2CZfn+1DBV=eMu+;+%Fr@C* zpNKr*yQ3B24`MJg&7=Gkvt%*eO$W>plc=%2v^ABS&leafV|OhP$Lk%KN}8T`y?)9b z%ixIV3JI2Z@6~8JO7!tkE3Hy)}^s2}2 zh-Oa~F^zD%bT;#Ex)qw9W8g3l20IM1xO`a5c?u1|*jd8Uzkzb)1+9bl2qgJYO65`H z&xyI|E2wMs5p7O}i=|KE+RaSkn>nZ>TBD2}Q{7)`U~{1cBC@21A&H8cC+RWMR;Ni8 znLE76rBZ|b5{%9oLhKfD2{fR;#)J{Iz{JcIr(&WtA^!OyLYLGz<(xQRDBKMq&&{;a zTn*EwD)*TB1KIVvK_dY@XAk^;>pT}m6(2GfW}`kh)4_+u7p3hQ z$ZD{Jm3pZMY_hPZ65+cBNfYPa&zC%GmEJNKPay}jOq~{RM?!XDv@SxD#(JDBCE9OK zq660n)C&TV3gWKjkc4!^L>d=5b2A1_VZEP2-omUd3QEIj5{D)_=s<&KB}+is9$u_9 zClaQiNrW1tw6~Ypz^`0Xuy&T6GR@|G-18cFAz~~9agNN~H_>)KNJU&%PA0L3SKEJh z(o!7)({xO+kRw(-Ejb}9*t_IT0BLs)u~^y3j9uZ-6hr_vs&`g_n&tPof=C^4-YS_j z%^X?!Cg$^gKDi#KE3k~@$4`*OrBbw2$`?LebT^tiFS5J3#(p=6vu*;mz~t;NUdF4uH&4HpXt*@Ut@CR@1HlsTWk=Y% zB_N~as%AVlX3n34otVR`^CCkG40Sq-BIL#<`xl>z+K^l(43o<5Pn9>4gt5*XF@qzt zJy&F^>}JtTGmXy6=q2wvOm_c+B@=?kB~n95P~ub$z#7)RIcjf|G3Q?m)BcY?H|$9X z5;Gx?;3Reif1qY*0cNQg)83u|h)OIy#8xz7s-vjNa)BP`G#BCH*^@?a8v>4Ac?7L$ zpz9z62plPF=UuzeI}*20busO&gF0l6L_(O5J1Dvq-00$UXaX73QlNdN2S-0fOm(B1 zI|+==bXxs^$mGB{J)P)xZS!*?LRg%yiiy)74A}cS<8V}{t?Q#<MZ3A3X?u)9O?lVbyY5}Xw2Ti%QPIayLaidCA z^pf%*F;J}(tfP9<9#^9tscgtpjuj^bL79R3ARgEgEI_F}bNS`bi-;|XaWA1K3BW)TQo|2Tnd zFe5i%hD51{46&Zx-J)_a9Jmpw*n^)w6g%(Z@9|Nh(Yz-C{U`n9qAf0@Xv}fAi_So>>uEVTca)KN5zh#S#kpk_$POkfIdz=dPvjJd=5icl0b+Z; zo2XTUZ=tl@0Q(O^ajs82|NF-&ww}Zeki1SfK zb3?V9h{br*!%YAr&HB@W>BUJLB!GWbY6&v1OK~er(7y#9UD@{J(cWV(kbuWMvjV36e{5T_B7w@ zI>dAto@=g>s<&PJ6~ygNR4v~_Bxg&i!kTK>8Ax2G?Ge?0YvgQ4ls_YHQ-!}o*$2a) zetKiV7D;{2xDd%-8*_Xmf=;+5&YiApGO-4IXvFi*LESQBOQRbh1z(Y_O*Sco%CJ{b z#pRXT`V!Jx>=#bL`PI7;%uj$mI2^%NO+y9mcwP2 zPI#2vMNsC}MueY)rq0fsEuP#r+C-Szy!h4CtZKLqn}9b9Yd-O2s_n`?>nA-)drkn# zSjkMMjS=RM;P(0__$y<=dmp=m-JE!w8&9xTcI2|#Z&CQ$NQgZXlW5CZ=*6& z>&{{1yEbjfjp#gopW1jltISRb(-VSbp26iY&`*cga_KAT2x+rAec$7CjTcY|{}blU zNn^7=O=*K0knTUwuOEEUWsden3T>n6pw9)XYNI;Xou0>X6e_)L{jRwuiclSpX^Ve7 z`NwdiQ3WpA{g9%;!JmdP4JLH^9+T?h^<|IVRo-v}YflpNA<;@%I{%iy7>Li=qxd;q&)x=GPH50aOy!{5Mj@Nj?zmDS1!v3cW)u0{n5nQ-_!D4gN^c zDI|I72R%*BN`rrs0J-g~?22?>B79nW9Hx(b+n03egJxJF7LnKXREBnel6VF5H7}dG zwTm0=Q=QrOkHxg{?=<+JnCoT(f>N#Nva^UQn1BniaEt4~3~VIuM$GE9a%o<7Z|Urm z@xlxd*fgF_vvttcS@(N!j;)&h!8|h$@1b#}M+>V|zN(IAqCNrL>DPK~9)9h+8Svh> zMTE^W8e+}$X1?Pq;KOH&nh)OusMS|t?J%-6*L*vBwwWMQ*F~@9Z~gmiOj(R;?c1OT z@5@^wM{U=Ugn2vnQMZ0HN73Keq&+D#HWMo)7(PWX%Jq<~2^`e+8*_*mpKZoSc zQA=|LpGJ~>v1SlO_Pq0RNcB3HRTWlU{&~WO`;AJ|)N&tFG4RlFr>Lv&geQ0LaMsva z2wMJKrUnrraW6rRI#g5iZ4ZKWMldbD!L<7ovGqq&#L^eB4E@6w%Lx0OyPWt7mJK`T z;2-{4|9g7iKNAux%#8n0EHb5S?YPPA@KsIu4eo=zwQP4QQ$JorIp(>2q3qzv!PN%e z@|wG`z)`IhFPq%Z@=eLhu;oU;4+J|a7@bh1v5|h(7iihb&)(5)a>u*Fvk8L(+E*4WpFz9pY z&NVEXQ&s-%uVn|)^cLRl*WRJ+-pt1;#GkyMRh($aS}M>LtDh)p_616bj+Dr-Xoez; zg{>ufx3h2S>S&~IZ{Y7|)$^jyiS8=?%(03tYlHUWtT9qdc0kXm)0$=w2Mi68uq^MO zKKGS_ZLoWXTApjxG&Ux{A@vGQkN?KlHwI}EbX~SJZQHhO+fTcv&1u`VZB5&@rfu7{ zZEU|Av0v=&_rDx#t?tMZ<>nRPSsHW-WawKwOVZ_?`~q2Hf2Jw?lJXCs$5 zH5>0YIZquB{BvCc{u|>iNtxy<77P&ASOU24wtBwKCP*LVPgUybL!dWPT`l+YGs1HU zL!%w~sb#M~dA*<&d}c#hn;!wbSd;d(kugmoMyR}ABA|>~VQObLeW4f_wihqi<~?e- zznK{Ut591{vprX0S#91%313(w%Lvac*H^7eldiGRnbiTqH5_pI;o=R=EO4QaqGBY~ z$oUv477yge{A(EaEh5WgDH{PWF=}D7mi4B3-BQN=21=?aPY1=;PVbbZaLvoGLbMFA_OhEcjw-$9 zA@7 zL;*(6gOx?&O6ZUIYT@h{C&{dae#{)y(&PzOmU5#tQ9x=_H;d^7TdK69i$V41fKGJv zQvz4oCmog9?!4X zt4|MJ&%WY8OjX6tx$W>|;etFJjyI&he-mZ2F4f;bL6~s5i?c(!A6DeR++2l6LYfOO z+`dcc+HBr;|Abgm^%SAGOn<5X(XLd{L3cg8s*|_3JA4ohSLZ*! z8wAJ0AoQBmGB(;sqZsb>%ca9C{oMi{Q%i?_P(RQ;Y^z`M6P zC#o#OwPLoM;?DgI`|F(MQ>2||AHbN{7xePwUWk3u;|F%|lkRcWg$(Ukfy8NB8v6#e z!kp7ImNb8SLHO0d^+A584%~WYD;pssj@Z>Vf{QaIXH7Lz0lCz&nTc8fM@XGYM;Q!t z4g$W;lN8gho!SE#=T}IZi7AM%0(_1C<27%E(H*UJ6sU`Ww$3|BCJ`4(E&YO%{VHEA zfsK`vkw%t;5n*mDl05KKp89rE*6;pJ4mf_wxSKe?<#$kFOO6@84o}ZsPBkUUo=+6` zUZ+1{Y`iMk$%x4Jhr9<@x&Yw>biO|-$%;E_l>Jv*DrBk>>rUTKL~xqaw;H(oy7-T~ zSErwNRyhiuEQ+&_Rov_M@t_yFR`g&pb}fI$pr+LH2KhO-iDP4iT&BypOc(X0DV4E# z!)dX_TFcK@XnKwzmuhqolKynk1k)0HF|vy%HOiwL- ztne9A>t3r%dKPnNPlI9m%++|^Y;F(u7g~fv!vnTl97TCtXGxVFyW_5|eHRwBzvL&K zsp(shgL!DLIEEE&WFrR#2?xjJjeIFE)u8xit~W)fdW$s~{o$-+Xnow1AcK7y*8`kE zB8!}mT^u9laU*3Gm|{)-jPLiC7T}{juBCRgpDJPOt^%sng|?&QTc2%LV{0XK-eXEj zcS%tftDnsckRwYCZxj!3UFcqrhZuj2rd$GU%vt6=?xT2X^% zdGtnKkMpaUW&aTC9fBUra&+Q9UIeOeD7ZD{eyoAGU#K|{8O)|3%b7}IyE&U@MvRrt zLIy>OU!g67?I&krHylxm(>b(Jfk9r%v_^82?1ZZ{RrLsQWItF&uSw)$^Vw|V^THEk z6vKS}jt6Jy`d!m+*Ie?Nv6^$NiJ=uXz;Qe#BGO_f&?tKZBe@S-EMLlhW^&hME5w52 z`leljq6bvV@Mtp}2|f#D?Y`LvGaJC2aH2K@enG#=Xymyi2eg?v(|!@zxQIpB$hcA| zRWs$B=dy*tpoQFIJ5$RX**sP|=+v0et*adDB87zWA=CWi;*o%822Mp`^6^RI9RRoD zkT>a9BC(2M$ifct2Sc{YcGHu*zMqwvC-D#tv8&A{H`DK|P9m2Tyt2uYU&wV;&a3~r zIn4?zg0V>}v|sX6GSvHh$hvBa$E4azANl|+xF{zeZ?m1`5R*rF%! zG7lWMMP5${-iQLbj;r^ae?n#;Cri;~K`dJfivwK7wz%|n`?`fbHZ|5Z!(lIr*0hux zLwH{Erh#Fmtk$DZaZo_tFX`4+06j05!FE_uuAPHNReF3s`E@*gIvUPJ=V`c+r?MES zkgeH3nd9QJ1r00|n^4)RxZOeGS&iKm2aK*bO)55e0FzW~T@%a}PrnT7S}t4nWbZ5f zxg7HXORTM7{eVj}U0Dh772F`8tCt@L0jJpO>r)Q-2`eqqc7U_Pp8aHqymA&5aVdE3 zKb3qSVggBwrkP?4yHnf=ZS``X(! zjp5jQE&C$kKzbJn1sV4!_1W}B+|HAX1B6i!$6qIFCkuOJ#&f(!xcarJ)VlO(JTEw$ z6lO7d1bjPrT|#3C=sWsrFsnD;m;8Q$x3YtPr=I!i)TBN->v&g4mp=cm-@*48PijtH^t@N>m|cAdq&J$2c3MXn z^hZBMftIgD+BE5D!KNShDz@6#pQXb&I`i(eu6N~Sa_Xek@~K~j4({qj?K;ZTLwi}X zWsGQmvsAEn&g3_l1cnCh{P=}q#AY^(F@sSxU<*<#6b(~B{FTk&p{}yTc$}-t_=23y z#2Z*m-gm{4+U!L2n^N!(KQk5%e(Jzjc+`L;IZCj-Wg2DE0P(P7rKzw|W64ms0~I1x z_@XTN9+*v9PYAlQ5zJqA(aIxX6lY2}wmQ>E5<@nW2+R=m7!#oWh}I(4AhQ%aFzrN; z-mo8przv+%W^{(mbrYarMVZ;0i&P{0aw%x5@h>hdo!jiW{mcA@xh5;YT((I|5@ z7wi}_6KHrVo}GU^LpRgoBxe2y1Z}*m+dBBkXsfQwpe+(a=P5*Bh>&O+((UjS2jz_x zgQnXs2j=tAhu$Mb%=whcd5)uV#rZnBic_=2QFmuIFTfl^lBk&yALoDDf?e@_#2~I+ zCWoKns09c|ZG7Bg!;8Eh1%N+MK7J`%ScQCGshl3ang-4??)r!wz>Du5dMG{ePlDM0z}W)+UY&;j8}wnz{{_9(5iI(#oDBmC1^=JJa^Os%r1^7@Cu%}w{e294C#IR$ zbw6f~L`<6k)dIsF7mZiYykx^gzwC)H_?42~7r*SCB+#d+M8Wpl%mI#*5t+^%R?g{P zOI0p6y6_R4nOZdJhu}0hWmSSP7?q0rcd^oQe}_Cr62eO62$jRdgYAi_p@aWp z#O2G8qsK(Vd{(DBP|Y_Y(BS1I{q+fy3C;OoP~qjJnT7sBQ+nF%VE~+Od$C<|%dGOK z#uq`N1CE`isz-vX@f68t>>j=?^(~mv?GL+G?}mNXLNda+ZzjwdX~6Li4x4?4cK!@l z3Q=SuVL8bU*b7k%N@bpL?5+5Wpq6Z7N%RRHU8uoO;*WLYPAFF=RDdTPW^KHN)wS3m zJTI%-aiOWM*iLKwfs#1nODVbI5~+-@Aj>|@`Z|14#{cq%1w|S4oS+ieHqt~wh=SO! zADLEYj=a1A9+_t8{Hx*W_7NrEB|1BO#ddkMx5|;jsM(a;^5JW8?q=(Rs#blnamg;y7^ zj-Ov~cXT-(T^R>_JLrukx7RW#((U)5Zkg_tA@r+L&QzEwUvN?ub$xz37d_$emY zCVU^Er}pL*gbpQ63LfAt4*cd-NQr`);U|4e5{E5Dt8QKn-bkxXr(K4>eypBcr3M^t zLSyGuOj0%}U@J0-pHiCTA!fN>>t#UJ^nzVS-K05TF3&Z$Ez+p|Eb$GAS z{Ty=l>y6d6QgzG&R)yU6;T5RHYn_L&@7t*#8&rFFgLG<=k<(L$N%u;+RYTsHT&8El zN&Zwgr^c5GR$gi8e&u_Ai$I^i!W9dYr&z`ztR-0?%B`OQR(fxNMRLv>CZ!etskbzL zKZh$q4Q&YeN?C$W548$AlxmI|&s9;Wv79+c?-vE&FCfu@OzSXZ5zTK%EZSNQ28<*D zq?fnAj|O4Vq;rcbJ#PkVz?9xos6t1x4VWlinoqgVbw<8v=}N)-%6U89X)UwM9Zg)-CaRxz z9cum{<-YWTlvx#P&~qewWUE1^TD8`i=G5soz``upGI(xku0^y6FT=4aU?R!ojlHD@ z9L9k-4&Ck3X;LjB!0>b`9w9RMkJRr%VIi1(Q7r9CtvOcN_yEo_81OP!w%OjZrb5X! zWN`a&>@#Lw$0#uwFqS#wUf}{!%8i=|sh^3AIh(=j9lTlFxRitb%FZ!%DGMC<&(Ncu zi28N3jaB0liIIq(TW9nMO73znSvYTZdj@}X36|QK=0qJ+N0Ym=GQRO|gAXYh))N!Z zSHKSWc|OJI#zYYsXz@nz?9IS$@$DAr3X!v|hh4(uj&?4}a{o6uJv@ot(7n*ILszp} zt3_7r3jwu37gF@mnWi{yYXbkToM3vS2B;baa(LJ~;%relm7GZmzEB6*SiYQF4(i2ge~`!%}w z%&lS|`*CMr#gytKjHlEyorY+NDLr;A8&Vnu0XR77Tb**ggJa^KH?9t8Q9fcnRN->3 zVp6qzmeZ(_;(8Q&9Tm+8qX#FM$t~jJ)?GF^YxzJ|y`F}-8-G5|Qtl?IvBlC!2l>hP zmM`_ok7=5Ol`JSK(e;uzG{gPcvw{D7m3=g8Y`_#8UCwIhgdliU5pNXLK=`ecT5nJV zn|v%zwdx3fO|kb?u6&;nLbcf0soHh~z_BFGFIT&dNTONj{FpN{AEhLe*b}(R$1paYIDt~WC>kqlg~c!) zv+E@op`0ZOb2J`IGK*nmKFU9k6r;LPdH)!~?JQ;CrVjZh3H#3+*KdpN_Y29aW8FNf zKGy1uF9)2zx1Yy8>lembux0;$v;6wM3#t6~%dae)EdST?>!6Oc?Ljlrmrc*N5P>Ub zCABmu8E~2ZfIFSt$hhu2f}8*+#xSEtbE3=~`6u8r9M2&HnJhs>YfT1WMf>^=$&3?s ziWgVueg05Ph2vyOKZ~Fsb>GL> zvqe3627U-6J>B0QjoL+jD{#6LM=cG}YL&sAwsf<_UpnxrNjpass*~Jtc8Y4`@jj|N z+{!8vYvGnx;H{r@*JR$FM9DBr$^y`1Gl=m)Jv)6O#&(CaR;cfy8c%Ak(#FM4{ z{*)N|c=*_h@R1I@(!3I`HAF7m04($VW$S7&oHL;@ZTUAmd2g0@H(e4zHPXkV?GReW zIouk;u#jsRd?>#Mm=BPaUs|qy8Ib>F%^$)hekQ2<5pI-C2!q++fyb3g?rPoOuNCON$;Qn-|bZlxqdw4cso^6~5MNVL*Yl`K4I2y{j2?pp$d6j0G`j1Z8JnB^=Vx zbz*x7;nt%{0=FcHI!|=$YF72TsADcc7;2;t>rSGE(SPfk0_KaV*Z<(0)2_DXWV+NB z^;~3IS^nKhUKO!qH_tTF2A9WU@$xOgt4SI%&&~696(0;EuksUJ8D`UTbT#>Hrk*F3 zlEEB|7>9$iw|Nqm1l!~&=};Z=(%ds$FJXI!H}7GzoN(;I0_67Z?*5wX$!PNmGzjap zDp~3`^@#%oB%kwFhgj5_!c_-Ufq96Q3v@#jUNdktONOcS27JEE{JL|EB@`RTMQr+6 z=yRnhFVv&MZXFgJUoa_{Rv-o2T^k-5YGybYXg^vU6DTOgV-h}Icv$Qe zna0a==(TK&C-ajxN}5ZF(@J9|qK1{W29fu5VN*01HBud2tZ=rz0XDOEGg?8Tt-rlY zyEOdtXc)rv+vv*U?8>9({dSl0?mNYcIGztYU6%sd;v#XGw7Er?|Ic}t#%hir8b($G z;b>z}%h;(YYrROxILgzo@Bm68cGijC?JdR+gP%tFi{1(TKCx$5;+G*>&s&B}xZI7@ zvBV8y^aR)u9@4Qfq6e2AC^nO>5lcH&(_w86sOE54Vo=Xa9rc9F=cVVXn$A^*Q(7|$ z9>$b}{I&o1Gs67r31NXc4yYUUy~GQx!>H1#w6^=KRORR(Kc-iSx2+ngt%nLb%sI+L zNNZfe2iaAAYyL3*n+sg|W(E)qh>OJ(dvt&%_BevJoo8c>zzq5V#RQ!cJr?0@=*62M zwV-TpP4Q@f8LO&HJMzLM$Syh=)&M*c#lkYYIJ-y4+2ibV7>JW6razu9}vKIqVLO34j~OpBQ{9) z2P@hav&0xT{pDzyZpr!>CMJ+gFrbr%DY=E0p8ko8a0qMKctVi$`ACR5@u`UYJN_m92 zySGLmo*6AxRupfTM=H@oQcUkx#MU<{SQ-z~QW3pgwt%l+AV_v@XQP5H7$T}fK&4EI zm)tYw`isty1ID6DRvbFNTQ177U8@C&Yqy7Ds#vazTdt>$Z?Z>aS)mDUeail(EtC{m z0t$Q&JpYeq75F-IFkOJ+MuhTfSt4ty1eC~88~;Z0QaY*Bahv>xI6RsZipMsweRs^C zU#BmIxe+RvHbdc=>vWoWg63MBP*~mpgm8MoGsU!EbVEWTgx&Panw9f>VOh#PEtN$W zef3_I1m`*XMpFFsa@0|Pfx-}uBA2n*CR8M@OQk5xBOD2qF#W#grvfMU9$`JkD634< zotu!>1KQd`u67n1P|?MUe?6f~Ej^KTn)2u;4|_*nOj+soQ1hSCX$7A8Z>-zvlns~X z@}kB=(eUeRY;zwOGo?lqH9*Nr!v}e3y*29ZC!wDG5qhCVDF-HFQA69p(fJK;+xy2p#4?ez~2lCXegDz_B zjW12L%a+yb$Fa@F4Y*3I4lP`_xH1)NC+;&ex8aOx_zW^QBc~0K9X80i^zu%d3m=$Q zT=mraX@moSC0BhT!$IZMxxjQtK`d`|Pc6V|iVoFFEC$K(fOA0Het-zX-U=GrYWZ2lcbzJ^YUE*LjjZDcfZyzUFgbM3D#&Kp!JXhuIS z8MVg%;KOFkqlNb@{%CW@ zX6$1^d&=h4V~~{83OYZQxBgg;nEGRR>yPD#7+kWM#~PZXs~2<2JGS8kCP+bcCP>AJ z;g{M_j*`Y#q`D7+P$~~QZ+2FWH%z3?0a_Z;~g}pRPfi8n-LKlFu`%sN7`2@0dAIMUEfM zHA#b)ITZ-X7UgvEr8g$dt1+ZVB~ChaKC!#c%0?j4Co*Td-EOS!h~1M4r}2(HG9_lV zQKL*I%2NF1zhTdqF~bpB+@aOMWr_rf)2Lc({a?p9 zbLaY&>x&L_eI~hn0dlL3IeyZ-yNwFa_cXs4p>vSuTx92_8o94Ax>vMk`PuM?tIGwbA?dEzSSJ}w#S_F7TU}kR zU+j~^?2%VmjvNe)pb{fGU+Lwx=V;17<4Vj0iP({ptENIJiB;fe4)s;S@kzl2`;x1j zx=w@LJqKbvxO7Hxz*y{qx4;qD!EH3et*Ox*OVjqIaMGc zShSyRX1pxSN!{bbY{F;Tb1SQm$g%jVDT+w{udG^h7R;ESPVnf**zo{*KZ#KkH47ox z5KUDYfG=@uWRyiAj>h(_-V_nqXsQHh>^^nGy;gHeb?@Q8oiJ%Q8=C~x(~6eF2Eu;t zs3`i#SU%O{V3JUNtFw)%f4*+t=U^dnHSv!)`z)7cjxVQ#*UsIzt2Nz zW=YGNc=-BvyVw2uaBO#N!Pn1+e0eH^#Rx)@(eZH^|MBA3{BRR{b>HM4?(Qa^ecO=k ztn_CUHVLVCzOS7)|5oZzwT=^U>IUF&%V|CrULUSJYdv>i)wtfHytub+Q9a+YytC;( zqI^&4v8#2eFE@BIN9lg2dnx-RvM)j0XKsS9O=qi#8gH-m4sy1wG+&`Dy&Li#Yz2U5 zJ!IpbVj}i!tNI3#ZCqoh@7s2K2AJEmY^9xN-(XzzJ9|zFF0ANb<+l3w4f`aSNhx9> zHY`J6PQhIZ{QKtthB>L%{tq6AaLDfRfRa&pmc_^wH7g&R7HG&+-@Dk<+l>DEycM2fKZ{lkT6ZRI4tNX$iZFR`E987zD_b3Qr6+X?)Ar3M$D>h_ zQubMCP0`7#)&4+H-5^>AFl+9_;jq>&6^^yf8kNvF&5%DuY|);yu48m*ic``c6J#`( z=#06w2epMctuCkM*wU~ zwPfuZt}UgnWK@-w26zj#GjJBhy4S_Esv$y?aYIYc_Q@>K1`e+sR@?T54diH+XI5$i z!CfVqeU9{vD2kIi-HZ}lknfBL2;%C+(Ym8nd*n?>l4l*5tEVvrlP9Gt zm{k_Ek$;lg%e25Zaj_>{>RxCBYrRW5Jx{ogYvaLaf?{^vc4 zYREQi&HxrRVU3tw=E`9j;Fb*YM0ou&3wlG0tkPgTdo|>-FhzoyK*4-5Ih|r%z1*f> zt}bD5#)72A>B)YX%)|hrtYaz+O?`c>Dl+m@cRUVBC=%R(;aTaPkYb_#J>e7;gf|X|!bD>ZKd8JoH~( z+DeZIIR_2?S4HXtjKIg6pie`A*>5kN6-(ju4a4!s*r!lef$syBso^YbTos`QM~=|| zn87dNnJb{+PM&A!NX(SxHgQEyE4F&E3zm4a+Dk>UeKQ=T@*ouU=8s1qUNbT%>+`kFKZ1e8*dO)b1sE9*3BFwXte5qH>bt34m;Vv&|zl14-ibs zB*q&!(Y*dD5Au&f6RTzm>&9BmP?V2O7`7S7@oYgyJ~6?7wjbP%;w3zfCEPnSsQJ3eZV| z4g(Rp+I7QpNf8t zJznvzEZii%_c9G}Je|*1wYeWk?hnpNxUMQmW^i4pNNY&3p(VBR;87Nq!Jcfc&&)1t z-Kp!hO(yJ5)|j2=HI2)s!{ExmO9E0RB4%NLW#~f(mYaQEKo?oJAt^m~U9@m?{n9n4 zmk9%8t%@&X#S?L>Q0xwsTn&rn=hu0>@2lQ(D+;??(LN`C)%S1u>F%8do{}dTbdwex5gP56oAjeX`@Wsk4qI zwvkWQ#!zNY_&Sv&P1e7}T>wY{E3-J&wr-gB$2^ zlTmNOr@Ph5qv3UD`tb>vRv`Ham;_)=V3kVQ?X4(gxCUt*Nt6N#T(-u)GjLLmwFVj8 z%pro-0nv^lR&lE_EV`t0ie2l_C_lqC;`GMTw%>#Tr3HZ%$AxEpk#UN?Wj864Z6!X$0I)UqT zV@cE2*X}cfpcZX6;&!?F7U(m)rDkgr#;AmWmF}f_0RA$F^=dazmaCnl?w7GcXr*J4 z?qwJsOj_@-YcbGr6k`Qky_}$`Nx5}cG`-KV@cHVs7Vme4mDq(~Qi?Yx{gx>oxm@A} zl66!~xa#SV8cL4M9V6_r6)R>!r_^&`Gy1Y|Ic>x20XuGpVe@L#c!H)ih;>x;FTm&_ z`-rFO$Ew)N_C1A3Y!CR+vc6|MD$J2Sg3fUm0$bl4GwMZejKl?3BplnI%)|U*?J?xdQau<2zZWjImE=aOmrZ#1dtP|hAjf7 zbPP%02Y=1$99oc3m{RN(d5tz|1EqE1Jpn!6`dRfl`qWCcd8e7ZZQhl(*P-ai!ewu-uAxKHLUy z2^OFRfGAXeybCJo9kt)xe`hUTDk1VAsEE+Y9b%M2*Wj(N#_Vq~NCS>TQ(t2&UJGGr zVu$4u)yb={MhE<9hyyvXUYs#CFzG4!@di1zMomtvhe*pm4Lils8exlXWut0s)UNR! z4Y5yq$FA4rOZ5m=^}iD6{oV8Lx_qZ*v#H#@nX7&694U8ltn)mnVkxoQ+Qo$5FxP;B z6SE5L(Dq9d2rN8WP}p|>cx{F%Gii|Q$&hxD8p&ISx9@Yex(R!iB975SEuPz^0>$<{ zcS+P7|Afk=Z=2t4P=EAa6+6Yp4L+eI1xsOyIl=%`zY8uW6=4Veu;8aoVH%d>KFc`( zB2rI9RXVc;1#g-2PMru9Zn3knZXlW|ipu$@EQ5*=D<29~yC?=D2J#~>rR+s$n|^I4 zDnI5Vl|L1x2_b8#oJ$42Ksnhw@{(<#D+6tIL%HQNCkXv(VBXP?hrq)y0ZG*!_tWFV za*~Y@`zO{H<;XEGCfcz(K3N{2pL`oWqxJh}_45*F-Q?{E;{vx$Jl@Rgs;<_bT-LM6 zp2BN5f!%=Px@-NOVszd{k;{`m&7YYSqLvUN=}IP1CwT-FSE-mWT5xrgi|KjO>fTDA zL+)nLWnsSP)l`C*q0{boe7JBWQ0fRJOt&39scEpNrS zmTB-kzA9*Rt#E51xHe_ud(tR?V~_lGas_dlkVL*cX9k7Cm|ifdP4ikX%5xc5FxsTZ z4;&VT6xc#9fan6N^jIJO$Rs*C-64#t(lfC*s|!}`DMwR|LajnTRbEe%%Z*BU73Bi6 zz^LoyA|amqCAk>!(-|? z6l*ewIZ|~#uk>Tp`1)*;nD2wT<;T1b1cDUi8P(yQqE?&4h9>`5=lt< zsj@Qx;HWO8@*BLzU2mKrRx_H^JSnc!jIVU3FwL8+`VIAqNRW<#hnb^sWHHQf-^v3B zeKHH@GE@v#w+pv@g*#8Kb|@Q%?*@@EgSVxXa2I|>kv>8A%%cxCf!{v<9vms=o+*$t znABzxjf;R|Ksk00ubTKc(r6|NVL_jhfJL%TC&9-xd`<{Rbco$JD<^BvW%ls3znIj^ro0Ko zt-!;%xJQTOqScchkoru#)xBSmm`V2<2NpKjQ<$K1$W$VzLg-D&cP1IB(onk8sNvu| zs+CTis)f!pNTdWGf*LGtWx*Ro%<+GBB;zxzi_klR_Ns#7hHFK{h!ab5VuM@g2cR~) z3&3xh=|GI%V^V?D9hpxCC`DSXHLxD!BE$&p*ixW07tTPXJ9REcLhTDmqxQZ1D8jeB zz4jH{S{*S6zASw|xV#-sQg1T!nYKIMp%Koy+YaH)F6P-f!RgeKc`}gVED;cI4Vwb7 z+Qbi#!pXDx+F&okUlh%Y9}J;RhXpG}?J(nB@efU2PiG??4vc351#Z%B3bycYMQXB)1SZ z7&dKQr?C3M4%ZjWft7I86d|sFh*a0DK0?HsEPIkA-OyNO9a`y~4EJq4OOqQINTpVi zf}`Em57(hdk6^Q?Dy#3Y3z63|a@*t;Nk+mwSIPFhCrs%|S@vp=+-sTt4c$;2ABE;X zy^5+aMn+C|g83!1vrigCB2p^_+}RWfBv-46)r$A?SK%IG1j|em+Ah?9?Pc$puavLV zY@_aNMye1^)>jE~CwSs@b)YoZ?}(3Jka^?By+OY}eS14 zkjH~~E*}Yi*Fi(dD+hqls8tcOV*^qijpD8lTBpG&7%Se01gT_8R4bP4i+Xr;Xvj6% zESc&S!x_l2Ytsz#Cw+9bdX|$71LDikQPTPCmkVxM_+xg0|Lw0^3RI2(mubn^87^w& zUtYC{Fg1z7G~LrRk1+4iR-$58>NL%aT%|CuZFW56Bo_KoN8+jyO+K59u3IVi+zetM;(O-vl7+j>P#W1KC~@ z3nu!gLD)c21jfWL!=C;Ob{tgbB5#X3PpRcKQ#*&{g?iDOS&2TWg4gLjZyn1u7ZTqM zHbuW$PgY;2M?2b6T(gu#-E-j#Z)}G~tarj3{K~s#{4pw!4`mB#DC^gPMED?1eLS~p zTsRunU9mZ0uciYy+HvNox7Nl~;yQI6fo8-@q)i+U=ecdhm{#Ww2#Imo#t=^n#CiO8 zN|hi)2~<{p6^a1a`tPK*Pm+~vH(OBh#M9a7m)|H{+AB9L=NiA&)(Di5%+?54G~u>N z2$u6z4o3iFGXE3bq|Fsix)sVWiQFNa-#RRwkzjvlYuJzNl+kV}g@0YNE-73tJ^0g^>t21A-!fbnh)KsRl_-Qh3GffR-hMX7hN z7Q?c;BIcR7$guYe)mLN*!|~J;%4)AN*rtUZ`~662J>~n8JF)?zQh90HfWP23OY|TI znfh-3%YkQX0}M?51NJ?6nvbl@qw!aXTE;>7VWety#_OGl1XsNR1;sW3cy_A;sh|)9 zWowyOrB;kFcKo3v3cO~F5RNL0XAAkLmuhC50qBNiZoQrRC~Zu{KAg z!2ySaak?5}z)&#gy5;IIL=mgUPtBQ8e>fme^lBKD7d=cW5cFzPu%J8%e@8Hsxt$wI0Dn#0ou!YqP&ev1fK;;iP?FrU?9!sY_EY11d2^D2QVQ_^VmV+u$B9bI}i@+A`~$y1K=AMLyrXkq#>YeTJWnxgn-zBpI)A0 z{XgSKI7=3M6fIf`8jAk}^>AZOXSck_uN+fP#O+OXmQNrDhCSukoIenFnHMOj+`AAE zvfAS4#8ncmI2KGLun><@C$KJ*I_?QcADiVS29uwbC{c{M*A^!9WfPo{x|`$`F^8{H zE=W22z70BN$V6U{x*Jpcl^fmV{qxik-#dX9Ov|50)ZgE0s9BEm0V?#yk8a#UN;e+} zE=aB(*pey+oq-d4E|6J7T8;7y){fOVMiIOk*(4-sTJeEf5^%z1rkP|w7g=$m=pB^~ zE`o&*o7(mFtMo^9fwJF&xtc*l*;Yk0C-~<9yvl3sLJsPtf^J(;g_5Zx6&)8cjfpy@ z>(SsBhn)PxKMkqT@j|gO%9PZ$#@M{$Ui(b6GNnGB(eo1@-1pyF8f?bA$3#0Gp!6Qs zkI1cVK2TD|lEo}sio3VrB$N#gw!jVs33x-$AA(NDAng?24m|}fCeKn(zPZhe^vpTy zd0Ex2Ltj==p9#m}VP~7Tltj;G#-y2c5rRvFJSP9nU#X+NZ3J+tzlN!Fm7K@Ex^1aia~sVApI(XyM-M1p;-czKS4Vf_ zh>4s$!H6XU#ud#rp!NY9f?%vUhs~|J5^uH1k@z7-}jsp84yKKAv*XT2RrblY~fV$ z+;}h`w*}QM0GA5mE)ui*>u#v*HUF7{YAQ!vwVUxP{ZzSIF_%Km3P?b`d!?_H%I+Un zayHCRaKOTHnJ-ABKlBEVY%*i?q-Asezy>J-%8_jbY6612rN6z&vZvcrhdtGzoLj;7yZJ53VDD zfWZ9{HCL!5Hp>ky-Byzd0XD0O_eK!xZ; zgr$#jZn@0n_%6~cw(Pt@v&sEi!~1)V$SC+kKPzCG6jGKV=*!n zD&)>MEfxnz|Ae#k)GME45j^pEnQaR7gt~dh9Tfx;IIlRq(6fDv^yjRF&@BHjJXKb- zAAZfpcUXD4pK`NJDtllyQf>b*Jb(Y=)_~Z~v~K67R1j?Xqm6==As+k$BOEJgvVJio zJM1Bs^ug_v&V*4(f?Lqg?{ww%lN|V(36jCpaQw6_keW2OEZV-COF&nGJBa@GsP@>D zeAN!}(aE)$*?ho*#?T8)BH&N{ZQB?tDKPq7m=t^;d08Ao2&++j^0=OZF7jlMD6>4+ zd%y5r3(_je$cFF0T`&=2rfABI@iVTPq>$Dsqd4a!4okdyKjkTLUWYkt9o#(6R;JuT zM@Qy*K|-VZex*Gcy*wSLaG+L$RQSI|VGXdNg8? zb1ecQ5wXgdq8qL!@$PSVxb8UOcJ}a7?6qz(tpkh1UC%GCT{siXWD?SNDuT_+SG6=f z(Ml~$XofuSsSX>odM>QPA(g5 zNwRjcVqP9`{;YwFX`;K-G;@?dj!p2vftL~HY@(eR@8l&hrf|N3;{FfH-Z{FK=<60t za%0@swr$(CZQD*xY}>YNwZ0Iocg2AsT%9-HEY+Zx!0O2 z%nr}pyQQatoA2t$#(V4P{mPiBYz0#g6Hqr4Eny054VJ)DFUiqRib!Xa%?k?xQ~761 z-J;6m_;1ZAgnmXY!Obc+sfzUf+#5tAS8X4DHbUKw73Y?CE4+98Uj1}h5 zL1puHxsHyYr4pni)>>w*!!wWgPEQpC^0GJbvVW#N{^i!^Es*-*lQY(dYH7d|IWO0w zWpRgz$Zwk<I3fY06a_dfTl{~EzYre9&!5xkR>TIFlgXy9%jQUK#ZtmEE*$Uu|p(VL<`R1 zk)J~!kKj&S-*(pFxsp|;^y;k?)sh(FlpvxSI3A! zbeM>V(x-yc7*kA!qU?i0(7frcEMWcJ3?Y(RaQw~;*d*B?rtA%ZJm`pI!C5)2mYqOD z76(B5`NaJIqev)XHiPOq=4kvRC4Rzl(P((<20_tC6Rd6>nIvu>7LxV?5&moow`-SAxR8!7 zPg%C?WjQKobxn|spwk%1HGXgZ_S3h332+lk5x&}Ia}<=U{RV&kEFw+PWI@49u^wv> zDE*4s&e67L-h6=H?b98|s95h8Nn3Vw(_BLg`6WQMfXeVsGlIX71g*znGmV8(Z%O$m zdzA;Yd(gAbpM$DyQF)hIq5R?tNAb*yRe998mf&^O`}(OTP|}oH@29D{$l37MR_>Xx zMj~IB?g$i<)ZGTgOOCg}g00*6YX2>HnOdRxZ+~9o`*PjGd*2;*YEHCJe@uY(0l-Dp zOLwFYQlC<-o{vlv0(t{dLY%~wbSVcK0B2l@7qVlAhiqJG2gye9-feHi#P#bnin3aJ`_;vV zXM-N!t>f*G%1?^Bow|hnT=vf)s5(}xX*{#oftRxNLpjF|RIQ$FDOPzRMOgq)g^833 zLTgz)gN7>CkP=EpDuqO+j0d$r6p=IEmT5wVj4DEgD>HRCm-Lb7Pv=Z9D@Bu)4!vm= zgp8D2Z%XuZ6}B;zd^FMHsoaQh8>mV~`J!fc-UWm`r6&?BdeaLc++<^e#z{svS2!EV z$raf~uhO98nt$78WA`N5;IvZf!&oYlxug5VHX*YK`A{XD`DkWVE5byq*ug}9gCCJo z2nU_lK$#|s%GJ_@Uxhzk@*RIiny9EO{3$q`TQ0XJPgk@>jgE}X6rS*ljS$f+C_6o5 zEeYHHuDy6`GFSR{#)q?z>y^a2qBX2+X{S)3RYi`a^zP^1qM0FE z=PG=5P9;)KF=>;e^mu-g(T&v^OjtPDrYV@3_{KJi2qu{sX#)?@-cxZlK&BGNH0QZ_ z!!=zEOm%GMwrZzO9xEMR){jEZV4OLrp@21)+y}A3NrOSRjlrB|@G@hrOI^ywElNzU zN2oyz+^GJb{Xq>3devP|diF|C4zWc>6RO5`ugs|CCw zB7m{m275a$#g?~Np%s@~@J}mVP@1iDs0f7IsMFDcgq5MM2st}jLL(<*C?_)>;NDHd zC`K05hDiSk)cM{eUH`7V@XBv1)UAq&Jf49HcwglRWj|Q&lytZm^bV04AtYR+CmfSx z!P88m6Z%tYm=;eUaiR#?+pDpiLS92#so%5v?*St&tKS}qhI>m+eW2qvMyV*ZXSfR{-^d&8K~EPz)@ zLd%ANK%0sc3>0-E$XuGPpXvfZ3@A!@H5G}M?bf8&E(#R^0+21hbnC3+OD>=z5y9p~ z>(;*53&ON~2hu$M9tr=O#5lu?SD$~s7?@~`2<}d^;o!ki;xr2{rGp5561l(~mnLux zB}^HP2oArWF3H$8Xch69*=LSqILa(rK#cS6%+r5Si(}D&@A!EI((B>5O2CD?>-GuO zUt5pi7syE0cOK?(k4X}qTE-ne=BilKe-qIEfA(URv^6w0rB}B!aj_s^b+ETHwR0ihpqI3BF?DjV zw=r}vB``CzaWwkWaxYC7k#vV(_#fAVzAOVit zhuR?;{>3A32Ld0zM;L)bFUiyYj+7!1LAsu3ES_kJn`$zWYPufbta`Mzy`*MqYun1^ zNNQ?-Y2v@imp|~=fZ@T60b`*OrT5$jG5FfAuB*@Q^9@Tu1x?c=K@{zKD*pj{ElJwv zmJ7d|5WLnic#{84x9Z7@aBNyN+hxVA-8y)3KutxCQ(89Ogf`!q`mFFNI;btdEtR=e zBmZ)YB9u{(5hSz3DUv{YrH?>_uO-&MmRv)O|45EbxP!o!27DD@^gZNl#;0r-9MwJDr7CKnyYf2}F)M&7{wsHrZ zFg5Ico3$&A^1V#0&7X?MLWGb{i+QA0mV1b%uGnZIT~th##Bjk3Pmwj8)*9@F zhsek&h@rW|(ZyT{XbA?XoSAEngtYHpX}m$xpwfD$P1|q62#75AJMhIN##QpxZY-=g zR8ddd_hrppWN8#F|tOB&Zx}jUM=lPID>!o}T?w``+veLh(rL%HqQOuS6+a?v6sOuyfiC z1hUDZG`@+z9!pV9jjyWxCzuNRt&Ye}Jt5`+wq2Rv5TSXCU<~aHj_+vjEW+q48btK} z%t4R^zVrq5O3Y-;3Js|%=BSW)Ha_BK;!^RKQiD4SS$M%%6vKMsN$`JZ z5_AgXnV*Xt&S1TGn_Ab z@C|NtdCT-0IB1$q1@`-{GdS>6WTsNW9>`;C&|o2ID==AMH(f;oL?fsYnFBqn1>b>q zuLdFsp6q}L>rwRl-^FuFYizB&?ho!)uOn)fNIx~NMOv3$C()TS`&h>lp72KsSRj4s zL+Qia;f$n;vKQ@;rAj4b?F>!$Rpz*xT>Kw!Y?C}+mGnakhyfY? zAAM`7WB;a;S>detc4RfaBjwJ*dfaG5^cYHd!a)Z6fAK){-qbckq7JauSW<9)G-zpk zEoG(K4X``R_nNJK(tF2`;%d^)*1LetLy$YHN;9IOFKNKeQ+_IF4!2%gUFTRfhC`M1 z_z>1n)1nbBkD#~{R`jn2TM`g?nbhD|u9NTnQ*A9~FH;i{j6f}$J52)JR+G)S$F8@g|4g7l<4Qgoi^}206lTsvbHAi$W1l%nFu%}f{ zT5U0$nn38`+X@>6?tl(u|IrDTfV4ggF1vBi2Kx<4nV$=FKYw}lP-dq@1+m<$x#Trs z@Oe!vj0Sv5?5wlbC^W)^B3Hof7XlaZrns9ECgFLTmb;OUJqz5;U47+sV{z_aLM{wQ zK(D4xtPE&%-_AON8k*7s)YbkPQ&J~*KZ$Zg%W$GW3&3Fwyq)pSUYHql)G2bR#^d?r zdm+k#cN&Sv7p*x0nh=_&Oo@#t;2NVsHuaBHW0=kIs&=Cr?cW;|`9my*6$~$@|DAYC z@=tunL!Q6rebVJ$JTI$|-u_Dnz2)FxU&Ahjx=|Bb*I6!D^z%l!TD0spbbb54^6IHI zTTCw+caIu>@L|9EPJCw3XduWXV0P6vFue6oB}Cg79Cx1o)d_IU>mD`{Lb_9k;~_yu zMOQ;|n_{>@*oska`K1fO^xwBJx$a~v{Y>WWUqoR|Dgr$UXK*3gY3YQWcbjg?PkO>I zr1HJ0N9T+7!mPHPs~?`+cEIiZY);jGOwak~47rU=d^pjmEZ_1!q2XF5QNIR7cS$llrXXR=@sJaHXS zIc^MJbtF{0%VbvGsLGhb%6p{F{V9yEWXP911~8rN92kT49za-iq|oqneXlAOJC@a| zmyydUf~D&DuY^l1Pk^n_()9UU)^+H)Kx~o2P|`>sN8vBQ#Srf`~rinADD8#Ql)2tfiSg!(2`56gxyFbb(ib>TzG} zS)Fy?W&22lZQ;37G_&a%Oy|G^AzlOfc>Y>aUNo%N0rk0{({n{3-~Hm2LW63k3ilK7 z-`E7yO{8O#>*U=<&M2-iX?(3i&k!B#Ck9G)yonMFeXy#prAxi~9FA+Cc!McKx`Ys+ zxLRIEm|z(FD6wbcz!}pv-nChL4Yhs$XRH^!d!tFNjlbl0XNcr0RJqY<9fH^L&2YbE z(7wZWFp>OX^Nyv0ri;uw`t!*OywCJnl>nDq{x?_bd7s7#%fxTe_Ma8qQz1?u&fl|Z z6BF#;CB)8@j*@O$!Ul=YaPXhQmwOOA91S)bxB$x@nt!`((Y|K6WN{g=yWHd zxvG8lm$&K1eu9>FAndWnqRQn*iBDgqw(lP1zF|;dlwZ?M(`&Xrk7{bl-XakU9~X>| zzufl2Pkl^|{5E){&FEVE%Cc|Q!z>dHDAK^r-ARXiw^q0XbBp%xQrI%yAB?6cS!&mZkx;z6_mqdTVR%ZfaPQ<|GN40YEU|=? z?iq8$O{|hZLl^BIBNgKM&*dRa>QyRqe@*YU1Kk%!bZv%2XZp&~_BPj+-(`L${U_4? zuW_XNZI-GiTgcvnwARg3GkBapZ1f=cGH*DDMILAoUs0o4=kC5`DO*wPqJV5-F zm+Q4`l_K=rgAyn?I$G|b-bEKyb#3{leWp$#U>%95 z1yZyBFmAD*La$I2C9QbZp|W)=0h$X7FK*(xytT!|%1fQn*w8^6qoAzp?PsNhW$0x_ z$IpMpv9I8f?$grMMSh%DIV54CqE^UkPWI{7*X-WD?FkbleXFYOzJGeZXMn+HXM5wt zBZ=;eg`GvGs}+CT+LP4Y3yn+I@?&|-I&3eB79#X(d6|_&0*b(N{`zS6(d2Z*Dy4M1FmpqT(aBa9H{QX= zM`w~K95y2fk}eHKE7eD5cP-hBt`Tx@OJ_f3%Zetm%b}sGj2ufBISGfJ&!eJR4oy`} zEn>o#FK^opAD^GL5t1(m67m9@yI4Oy&ggz)gG=fA7M-XK6ojNI)?h)q8#3zn1ij#% zz0FM`J7b-*g^kw>Aq5Ssh?5hVyhjvmd6oLR5XW$S^8D>%5UJV5v74};VnFzNLM(>{ z3p+1d{a#Hm;T~#7p&Xy+^70y&JCy@?b7x+X>EtySh6W%yM}*+)X$m(kQ_)o3#(vkj zv#_wR{m1(jG%?)KrSWudL>hn>60$~*AX>y|-Gq|TcUsXwDqwZ9)<9(WDIhBa$7hI` zZbWrWTWxQXq!%E;V-)WN)KaiuW=@}+MxK*^tl5mB8)`3!00=fN2Z)}OnMuGrJ&(SoT3-xoo<;l?NQ}B8EUl=>SQsDzineI9 z;L;RP%Hj6clXV6WMH7UJj+GsUwrkpaR@wp|B&ruucr`Glzww3V>)f`}IaLJF8JL)G zp7&ja#6lTGxzkP%t*W|Es3MWrwoee%)z!V7J!3W>2#jDo8mCxll|xIgD4Q+EDLrQJAE9bC>$G8yT9Be$dGJ6W3mA!( z2p147iLop^nw`)^vZ=ZJQqlyi4rSX2Z$mr{mF6)DP$vJ9C$m;wWZp;4@ta>#p@I6R zjO1zR3Rg=0rm3Q?o`;dUk?hY?Qgoma#5{(at*N~$GyMbNQ}l%|>KHO(MSFex2MBwoze7wxmx`sd@e+gk3uW%>rTSyg*6_uS~5aF0b?m^#(9h-}z z;FFH!8)xeAAmCWB5y<}#&bS2BQv!1gs zyuvt`t)kzxi1M3s+By95UnjBBjT~digknV9yk}Ih+3u9c&7!ijH&IdfELkw4B;dVj zx-TluG#!z8n!GW=eJdU=AH511Oav#1(oOr5oKMQe#gPhHSluo=N?T)nk|SZl#P^_B z+H86t&zqX7Va=$$->)@YJY}(f_l05XF5AeOZO%dV_7pIf!v4l-z%#|kEdz7Vq=FFv zhKBA~WnSSGgviFCqywhB->U@h>e37k>tbCva7ar^tnc_FSRlp((0(QbV9ltg`K7nn z3C93p=-unAJJ)q04Ah%S1jBos!bC$MVEh5#_}2fTQAYUTzVLINooxOa2I@Z~kiC%= z95fFP{r_W!vi~0pl(>_jC%vqpi;JoD&sBf6pFU&%Ta46y&p|PY?dRHnC!$_Vb5 zxw|kD!mbZDLuGcxft>5=$Pv7fK#_P|K zs&Ca?2Ooys^hzuvjf ze4a=bwy|moM(V$mJ%2&s*RGNfX-R0wQCZ$V!vWDqYagxGWj;9&plvukDiqbLrO00M z>c{WVqa_w$gRR^fE&9`Dy0v5RDdB=IVf_52r zx#!k_QwNXnMa}h(;PVTD-{Gb1rK;jv1-L zBP~wzMO$4kyfd7KVHz*lSouTdLW|z-fG)g)m?C5?Bx%7=>aEV=vg1+<*};> zm;F@}9?9-9-as#bNd7eXPMFRTvr;sNx4Inf_@-RAvjbEM%wP-R^&p zNDRQLJ`eQOuM6qFp)t?b{NTWdJT)pd7Uk+!HHc`M5Zu;s@MAI;rNYsDOTNc>5)f^1H?*e?u19 zcKY|Fpgakvc5#UW(MusG$ozO*$XFUBfTQ9ytP)tMq4bClUrzicMApG!MT3$o39=O+ zJNMA+a0Kwdm50=?CE6={`n{5jaBrBcnvF#lnBaw@^^}JgZ#%e*;lvAQ^s@>m9um5% zbcn4&l=Gyr;bWtPE#W{4pXaQmPrA`@l!MPM>lf?u&CqLG1&NNlQR> zQ#a4r(8bH9dJmw7P*d7in@Zx=-8<~c^YuiP25bo81U*F> zPXyowmVkIMjja{WKj4m+mg?1 zX3q87^o*%3C^X(Q`>LPh?>{=MbcnzSkU@>aBvjr{YlyzrLsgDOT;x?->eX)KOFNgd z03luInZ7chbfeG-D&)46u{Axwhz6@(wQ?IJ^;7`AAdv1VQcv2t7kCDpm(ig9d$UeD z-?y7IE0I+(YcXElwhrFrEHS(cCw8&r07ZSrk^WwJ*r10zs>s_XY=Ge^=_(a6MsPyT-)AKBWVB~H*;$S;t7oF4Ci*70{(tY z{bk+8y(AKkZ>Vw5_w%fwVUM-75RsVoHGH|z7hHDWEjQ2mm&6NMe zzcCXQxxWY52<2x-xCW9^aMi#cunT#I4%}=E3is9c4mz+2h_(UzaSJL^h|wG%r7-Pt zF4#BplC4K3UK8b6b|7I2GM>{=V%Z^i=`1fV|3yrp0bd0Pir;_$y8g^&##z5UPNmD^ zxC4ZbAGXc}{2HC30oi|=mqYM|yk;&Uwf)-X+?d~bDu8gi%Wb%xk1M8xnLPyvae~@E)UM5|Cm*<+r9g7<%riCj+%0RFsSOfoIU^ zxb@}iF?O&6^x8zFTgEM^IWE`^{ut0UoD{Iqa8R*UPxw~uv zBZ&10q`RUpqPtfIwreC8e{h`*e{TcrtvvmE1>5tx?(`1m&9RYZ&VW#2&G-e#Dwl*T z@B|zuGTNXn0q$+_41|TFKN@KY?$W8_S0K&3O@Qs-3Aod`S3JXUC8I^{+|cRzF3<~j zW5;_>KNft3PnPvVG?!0DuqPD`>(*%>ea?3uk!5m14LBx$2L{74%T0#S)F1V?rkc#x zK>D-MtBR)t!sfL$>u>N|NXCHwCfa2FzeSt>ebC3s%>KVBRqAfWlCq=v+E;uR;B_JD zChNNC7MGSxz(VB{2r>a6hKJ%=h9sN=CqT!;?)+aGeJk#FHm@sxiA>7Kd*}3A+IoAg ztCpSLtf~w1uYISQqHWKesXiB7qLIF-y5Zy3)qUM2U4K3T&zl`cc-<(f_$<4w%c~7B%qx|p#o=`DbF}Pqy+##Xh3mmEdA{G|-+}bBG-9OrB&l%wy4ZIyV(~0F z?NoMwF3R!}ZMpWtIOKtqf(y>Yzh3cv@$_oPzM9R;_)ddxz4>w)+2{|u`@6uIaqRa( ze*{{PWL7Qv=Dz}V(D`IVBKDL6MRzJAwB^v7?;dKZ6zRyjO?+ zH(F)$c9XI&zg#XuvhYbFw!qU^+-B9Jc^?flx(suTm^rD4Ml|22e}YVILWOvO zXL@PIB3nB#?2}*f7s^g*w^+@Ep0k!^TS*b~t%(FpMvonc;Fe$h$FAaa1Oy9{h>#J> z+2(#=%K7qQqh=aQ0`8?Bc_*Ol79lt>a~7SbV^pv*&)) zZ+a#8XyotSDQfi#h6@ z$cqldxfZfdZUZVJH0X5!8Lkf!WkQfmWbh0rA1DCCI~v&rN8j``Z+)_xAs0a2LGr)e zAu#NgyufRGugIB)e>OCq>DgYgBrik{h=w{>ol;Db6W%3^UZgh({q%xZK8_6g8=7SN zgzQO-Cbx$!G`fcbZyA|{?@*RLAzHR~Ce7n^7oqD^uTc20kDfG%IS+u>qZ$-|xPcpU0CY-JSgY9&l z;M-{JZZ`Xwe|sE^-ekcav%ej`6U~!XF}>EfS{I7jijX!^9??AW&GL+|S}ZB|jk3g5 zj)^%)6Es=|0$XS5r>;6SI!%k?6*| zachya5F#{{dw_Ra(qBn@-r1Y7$tz~k;x5N&uuT(P%E=?G2aVf+fxWVrt>k_-yxK&H zwEdk4K)?{^{if^%SfY$ z9mtYv!cI0~Hmqd~b!edpSOXKcX?FIaGdY|SaLd(2s~_{astGscXBKz6zfa6zyPiya z5Vw*Ng;1&(U4OY?ARdyrhQMGa#QYSo4YO+FdJU*_yv&yW8*X zg`@hjI%j!&~#ci9*XvP)xxbOPN12%#Zc|KKg#+gGUfBR9erB zGByHd*YRr{GG>|MZn<3rZ-7|t#P3zOSsJe^kHU>mQRnz5e&(E2L_>|Tj|^ySeK_OR35jw8!hW+wQ9CI!1_}DtdMN0~T>&W22)(RN3B2-!pSp+>}Z08OYfXDFuBxfEr@toMIFh*IHm7tbxxS+Q#nb;Py+`5CiDPtju7jcRgI`wnK4BvHi+15z{@C(j7kVHRtzLP*}<=Vkr zMPq*ghSyOb*vuxX)NSg$*8|k#`oA+R1vNG9JwtVFBxT*J_T#MlUmaVx`GBL^npZv|7IR#pk|&$AbmM1CIJi484e23-;5HX z$3`aL#7Ef5CUg>|?1(~;wKwWg4tERjcHJx_+^I@IS$uMkbZ`ME@d5DxI08`D%~}-n z?IfjC?Pl6o^zErZU3A5Jc=@0M+lp$S=pGsaq>QQqN165r#FXt26oL+$nfS+=`-Y%t z;HqG0@&PpO->_xqTcM!C>-02GwCyUMDnNxiRss}dU_T=`Ad6GHCj_hIkb&VCh^*PG zIA9ti(lbE-*n9IU0Y#^WI~$c2ZZxJOZ9h8z>@5IE07F4SjRPm|opa`1D|<-`RytiQ zzM%AQPC;6A8CRFrlo^hs2C1~9+H(`Jj$sN~ZEoP1X%rhKrw*%xq}umTd4GYHtgeTy zm?!adnV6mYX1aqCVS9xVAp_ckvGzuIiTv2u08ex=@;0@GiG*?S3!x1&aS|GvSm~H{ zZG|J7_>D`ElzY|Eh=am4=*mXgYhftCuZ6*c4^4R#gHHxXqX`35I4Zc(E8|hbGxwz^+pY;?xMp*VzeY2fyH1TjMDM@| zc50J}FK5C8IJDJj3zB+^{1iFHJ5}-&^CSn)J%z7~BIr?ji`a2O76%6cTucyJf=!T4 z`oM-@=p!nqZpytH=FXM%`nd$57P^Y6CFpPKH>8a!_y4f_3uXFeBQ3T4U~PvKPt4C! zLDl~il;$7U6mhm*05(#5#Xvk1#j!W0L@5*sNm7Vm)o}XbBgM`;8Pm*%5Xs8teL|zF zLpp9R$uM&GdR4TZUfg;)FviI(Ol4<;qjHW$!S=bco};ucIKD=H{=8Mth~cC zxfEUXm433x$Cd%=o8B5=oOfdPbd5#LdOpw1-7nyD3Y;XUwC$OY>PiI(D%nMkLR;z3 z>He5$i(O3Uw*8p|>WPd=?rFHku7z8P(d7t>$Dv@CB0+&7HTi^!45gnr@M{qO@jG&) zh-mJF0Ex>8P<%?d*u`rx$e&-qqoP`G?EVhSmJm1z6W*PB)J$W5JVgWt#!W={d+PD) zzGG&vzrp@@5Q~}spCAw9eG_m6FGZ59H}>Y#rUjO=sO8p2>x{#=pi^B_1H{E&uHbpGVA$wS!0yLyvE-w$- zXU%}(A(0TA;(t-(@z$_CbQ2||R}#(2kx@uyswpM>m_+nrQY0)-^?!ZwFs+|(j9&&S zYG>`2?hp~CXz~Lz6FOiq&Oe~pfB!{p#RqV_hxmeESB=AxoCCo{7$Fc;6M3W5jYmW) zn}iQjG2v6zMl&K6jr)|Bi(y8pne5&Td=nMQfC%}=2h3q33ha6(fDzjF?lHz={YFMg zGCqB$Z%$ciDO@5ctz07O5?WLe^*;HPoWI098L9LOzUse@>pa9L%((sI7lh(V;c*{`-iBQe&!ISU7ur~w;zL?ehdQq+yF#B1`+)j)ce;jbdlOg7^HE% zZn2GHOGK0;AjpL4$A64-S@gju6b^)rQ|Rf%SY8iTQMgoer;aa|j2Ybw35_X?kHWxG zLc@T`C5pmHjRJX}G3ChUw9wpsX<_nVC8>nPF(}be;Q!N|Pmwse>|`lD0sDFEtsCMD zc4Vf%D&Lr*6|ZIf(EIT!@ zKJFKui|ifCJ9`ABy9~5``nx-QKoeef0y3n?)(0lm*9y6%pnb7faT=D{!iKj3lYj5_ z%hKmp^?8UJ>js~9U^nkMK^evsX$%t#`ibUS3FoGvtgH$Qm8EQZ<>UT+%vP*hqKbTZ zvwrp%>Wm=%wF`ys6d(rj66QHUlHpDKmNd+ooMz4Kj=WY z5wa6lhHcPt>9et$dArZrJUgzM=`lMDi@V+qor&wCm)*zoBdp;Ud(rg1%n0(NW?leP ztsOK<^$|^*Aj6x+(JC71YVA-sHGBRs;I%QH4Ay4}_R8!sUGL?qs;|ePS%GY-YvuBq zu6lrZKCV`Of`2|9YkNu|jBC4?mgjz-HMjqypGLdO*>3e^;P*Y*^%3^m`IXY->?ukW zb~0HVr8Mlv7;7UCW{&*3F5b5&Vz}{fItu-%hl;;V^1L~xCb7QWzcc~Kf+*E$IqfLzlx7#F2k@Ya0I`bFv{LC0J$BITHzBO&%rSmY zXM5@SLt+zze3nRlZj;7rW3jqPYy|rWw>LSGhvdFpW|(A+(*(P0(%@_VK!f&$1gAXx??^IVGzt0|IpA4vI#I z+dI2K06Fr8L?Or3;|$ZEfQ@ooSR#XW?HHgB6N)jx6egR-?C%)P%)UlYGY1&eO{q|! z!kZtv+@-cNDMz5pavonck~o@{qETg|Pn~VxIhvXwM|3m&Lf+I@S6s7;0|gKY{mOqp z?$@PNT#IEe`dG#exq|HAC?MB>ElwW-93EjDUiBGXdMg4^TX%x@HH3uRx%r^27?=#+ z?N2|im<8kO2jiA?KLMMGGBlwvR~E6lm=b9js76^@6>rd*+d?jIt{;(lyoG2`(e0xPqHrWI3o3t1U&eQb9!YhhK%efk*gE-5IKV-^Ya}n+MS@ zq_Nch()yhk!3HI{>GCV$;tegSSl6bPJ`i_~48>glhTgaf;v4EF* z--V++TyLYumS&?b>1mWoXW-FU9|2Qg;^REi+UAGYbodk>JzO}oZ@8K|Ku5n)?(Vhh z_CY7bQj7PuGBCr|*n?(aPF~Ga%`{x^#_tZbwOOYYtMuT&7XLfUO5#1p!?zLZ8~93# z0P(*eQ(6A^$W$g~=Kr~hW8^<%YRi9-segIgid;%A8!w1twK8FZ!v&xXLEtIikuAt* zr@=xA0q}pmO*eOXRo1;XbzKP*j|V;e2bp^HaBw8L`*`gCz!RbDzYui|?wOf#istF% z@9uf`C|>rH<0!AEqxW&w!9}~xl&k0C?`hcoDVa_7qf?wyx}MSvyhg4sSEkM{c(_RY zuFw3nN}h&ZhMkYR=;L*F#UA&jNAbeC{dMqfpFRy8|JMk58ah8-?E;U>XM1I0O+-zA zDJp_bdynScjZ?4cZJ3u|)igfy2bR4(_)AyrURrMtV(VT7br{ooStEW1UWUMRo^3KY zT{-0nmZ1Wh5eM?l*Zbm+4BNcT{Ng4e)bQbCtcmEMCgLB+3i3uL*G@j>9Gz0Tr9L>_ zg4vYpuE4^kZI^4GO2gC85UafdYgg8AXL40bVBxI&kHZfaXLe+lGnrnb`^zQb59H;! z@9Z2~rEMX~QF{iBAKmZM1}bs{;4|0Z@Zd(Gqer6gXhrHW{kQaRG^N6$Im(}fe$X_; z9+&*BzOjEHbPQ1<2@dWLIBJ&5k8dQV?BPcy;P(!RKg$yN@8ZhH+Vx+F@3H!*S$)IT(bM-fs|GgzZek)C@=&Tw zVy^y`qa>-iq2Pj-CO2dbVR#!YGSwl1Q=t21r)0WO{f@_{7n&3=npWge=FI2l%dA8B zpv{h#iGfLvbgEmQb$<2f6$juv%8<<($3ZYVHF!aprpEp>^ zHYigD=ayp!GWsM9+(@R)v#|nVLv{~HKMgt;s!+i0nIrv?2zba*13Kc%w-fhtoKD80 zn3}`wji8HP(g$t52=j89d=G3sZ&NTwXDifL+rOs$EmRzEjYo*ipcDBChUo3#5)&6X z)t6}|7Of(t4MBc0C5HpIo{Hbug1?+Dw&`;S$b?Hlcx|B3lzC$7;e#f&ay z+!kl%eZLcGFrpk=?&(0zfx6l?GnLY_d%O&A8eMY*!sRBbUHwCN&;C5GvL7t}VRy%` zwLe_mew=oCh9YZ3}X8McVU2&)YU^0B4l`FVZ!( zaI2l3u&=N8wd^kNM#fFwJc3t@&fCxpYPuq&u&wiA4Ri|eVT`Q>HMuGY#eltewg#i$ z_oTx1Ka3{jWR3UROz~rlV-L&F(w z&jW#akzm|5(gJLw9@YSD;CQKLsM2CKCI)$z{`<$5ZR)b|RMI)XLqI8QqSi`+Otzb+ zf@XYK;;|7~5xsc*_C(Saa+roHwqUCn-K_ZQO*nU2IJsWA^sOOTRs+DzT4LfXXhuyj zMb2^RSbf+;iL}jCTpqWO_Nuujc&_LUk5OWUcR690j#RQ71!1UmGIAT5!9OmfguOop z=L~uj`uSOtpiW-dk%_mzT#6~8pUSjR^p{CoI0+|zW-h1cefp$O&*n3Vw)M$W)ke*b zGcoTes+o3_)e9_sPO^!B|7I0WJ6d`c+Q7s`f{&l}Pc|_b{@~eVrw=A~O%hf=nN^g- z{yve0t!4`Kt@xio(c47|k#u%Th9aRD8%P}XUd&AqD^7PWJy8#aL3l8BHrsixuh$(i z;9hRYfSWp?dfe6lgScS=GHmPUSy{-w{lgFkmcz1)aKGvtpp`KUV>dc_C-`u_oqi^TeyJC));3UrzVdo^F6DnkN z%6A$V=G;o2(t6{{*zvU~+nmu1GNsLO`ilSIT<2j|QD;fGY(0ZE-b9eMhp3gox7IPeQWWfX~e5?4%P^&pR}a^?G}A4NeE_UhqN>w3!j~bEeWi zXTFv{u6{DAGJ3X*!Y;$oR@s{<3Ei;0t2qBLu#}E&{ar8+V;2#Jr(3nGGCHknzZxi| zx-jqAuCB-#z#Fw>iJQm9zENY9KcIPNy<>&_L|I$+sJ$a_=QTZiW{{eX5jH+>6qK`w zuG?opmz>D#yf905)wgIM3Qh$EnZll*2dPbZVC>8>QmF zQ4}HDrK>KtseAtLS79>MIW#R2K~CF-)7(=SbmM^w(%8$GR$?`Z(PHz@Fy!VKBj$|g z!5^?YZn9mB$+uF*$r-ehl!p?h%_gpwJ_2y3j!nUc zKf2lvpPfja>5sACs;k_5z)Uya#MyQO))E3t@9zl;w%Ok!_aeZNM-kxdPD%-gLex%! zf|QMMf>ccC#Eck>yZ;Ac?-*oB)U|1sZL>?KY}>Z2t}ffQZQHhOtE;=qwry8^=NY{* z6EX44kNk5YGxCnL*4}65wQ-Y+9|Zxt(1B>P3kZ1H_0Hz?86GE*N>g^m;?aX-!I>Zd z1C+#&N0LBIK>ij4&%1DQ(JJrwq*}CNM@1VH%~!LNlu@;FH_Lc33o3)L(RTFi ziRFniSSk!eu;>MMUxGuY5bY$OMo;jfBJd3AKQIEQknwh=EeBmuBpk?~sXK6jvGgb; zw06Xju8Ve9goCyKC?XE}{VGAa43DP_>2D_CDl!iHmb!jRF2WYdhnDx9{TN^(^6 zPn`6X_2E?1_1Wu?p4z5Z6RX}GB-Az$jm2FF5v%_jiuG9^7g={_Eduh^&AED^|HWIm z?LR?f%7M5QIhn8^$+2C{GviJSnCreAw|1nVj`a!QF5MX6Ze3vL4nz>9Hi40w0-y|S zuGxvFUcjNrroN}B9ts@x5rnqUTG+zuwlvXB&_fTpFrnnfK@@Q$i0y66;oc4blRCv) zp)m9Cp;~fxRUme{siaYY?WuJMWazhtS%NOUg|F|_%D(Ga*T?z?Mh8HK{s?5~Gw2Q> z^RN!0U})?o=%Dnk22e>BV35)#g@&|_lW#m2*S=)9=6=j*=YFqJlRPWaS>K1EIc!#Kel!*VeVPmxpWNO}ncY(;M@!RHz>R@0>d zn};g-7fLeHwjW$1t!yBwLSQ~%Dj?*oN41-4(+I%&X-%NHGkW@i_>k?*OQmehnS$9U z{zJn?T29^O_2^vW{#mtXt&M$qu6bg3f*!GIiatLe($Cu-xqN;-3pQ&`Q0t%>iebGsG1xmh1KKJ2Nf;*L z+Wsj0^YNKdv1L2^yocjyfj=8iRW(Zv5_Jbbu|PD#Z0ZUYq% zNen6gO&xHzy8nx1j`lyi@Xo|ECeRnEnKBms#l)4O;%wm~AU)E`hhw2=W(Epaz0gG4gi@(G+sbrS&Bt}3+&A&u;lF_Kt8X;(L#J)gT{Yaam6UgMHO!MM+i1eQLzJ6 zwYG6y@QYOe`&$)zAefHjt5E^sVU_#Y^7w*hr6QGf_HD(HiD4>s#3~y0{D7fDP)V*_ z6;1$g#gL7ZR;d}WFs_BvA1Gv_)U`s7(m0bG6=Q%#<*Ss@DDWuGf|M*|ObBY>zcQCR zd3V1LRkqQA7i?k5-S6A|5ce|B3>dT>=LE2}tyM!8RyhgY4ROxs&Ub5eYJ8kGpfd8*e(L&=lSjPA;T-&>>m5g&wXo&s48bu#F#=fa(yYi@Lh;6q@!9unCF?%BPc?+p&hzUnVX3n4-T|!UW zO7~~#w9Ay`06gFJAMEpsqJ>@>C8scyqsllzF*9G0J;e`p7ajvZXApoQG%9JMrfeRb zT(e;0w~}L$?wE^L;{jX>4pHyhU^@bPsM4Ts9rIt3(?!KBTP36WqU3yET_ZHwQ85`e zP@d1zc6O>P1_rgBENMz?#X6=)Uid_(6e55f9Zx!L*sry3z<@g)>~p4he=k6nu06-o`}TOR+ogyug~5&#a1GARZr8sokBOkos>6*=B6WzU{ko- zWS1FAPH>y^A=P@8na^|3|28;o4>Wb+oa@d$xKxYjw6pFp93)%RQ_H0w*z{prww?6{!M^p)F46|?xrEuTq3Z&Y z%+f7|z`o(zZ^OH%r(BdfH_3Sc1%_Eb57kB^oxM<5jHF$(f=06g2c*0i|sx9I(~kt zZoT?x%z{aGgZk@3q1NhMqYXQ==W=#j1-gJ|AnJWHv3^8MD{CFYE4C@INB-C-bIoTlL{mX^-J@qA>~$2Uwp+E&qhj zYEI~FFv_mJovpIoKZ5$RNb^`0BfWy~4_Vi(S|~tdq04az=uSY(!`D2ukl~MA%*R2| zyje=_#|R4^R&F$h{-+JLasr(rFroNgi??{SH>ZhWJ%uyKMWN838TRn$M#q034GBE` zECQ6<-v)9#t^`LW=llX4sl~>H!V{<)O8EhgGkPfsPy&($Jo0tuMlav;7m(4y7icP< z(xiLbMztRWH;GBbNR10W3e-eK$%1cS;=BTqBuI%0c1GOd1&3M`B+w8CNQOZ4jwwOj zm5f6N4PtSg6HH?rKS##~^LCgSM(LoE+lML;DQ;yHFsl6GgKzzitN7{|WIof7Z8s&Wcf-%(v!J@DWh+ZEbZAIhO8rrR+G{t*8e(Xd z&e)qeVqY%qNX1aTkkQ${w_6k6)A%P-vLhi1@FK4bffLCuI8pzHNY*}9+xNi^`XZjd zrBf^Pft!-Ea_`p@;Y%cX1&cuXq4@H?-DG9qpchO3NkvJdobjCw%PNi(?4QVCO>m>JWXW(Ji*8guZK+w zH8W+Q2djHzf3modIW@!#l-{|mij|9_&FY;3HY|0liF zwci{={g2n$KswlQ3CeVD5`-FP8yY1wN=xkK6Uk}t9#xo zb2srH<)Cq7gh- z<5REK$-~T`o})Wi`VK76<+_onS54<0+pa2Zxo7nZW3u1PeO)L=$K^M;bqA%N`Mos* zJ?NU{zay{KugDGo;Nyk0mXFoyfjHwDa3!XO0nSUvWwxSTxF-3J-T$&-g_`P8`M02O znp3T4Gv43)+)8a)hsWEYR3ByZ^_jeP*Yv}!r`qv4O8eR3HkOyB=PQ({?V*-i+|4)E zuj}jSf}YJ7^$jhN2fW-bM{0!}1D|7NYj*&JudqUcFm#Hz+RIf(hDZK7%=;HL*K3V` z(Rj93Kw|cKFll^l-##unAGhzJoJMzO5euWjn%yD@x*6pUo`!5b|IO$bZf*B;naZ%| z_gU(7pml8*;1BG@o~;>hBJf1S@M+-gVy;uOX2Ep05_hc|p-59a7Yqqadt$LyHji_n z{bkBKgi&dwu_Xig5+MEin1AXHYlgtCA&={}zc{dFqL8CTWn$IJggwI(P~0#v9nbq4 zOFkv9V}_$*_JaH2FnqKtt>2w!dmNG$GF$kGK=z{zc6DSoY@m8D%-ghF6Fs-5YsU@7 zt+**tNyxIJjjWlvc+ajOCH?*e0sLs^Iw*0)m%KPJd%as;X9%Wt6J|Dpb&C}T= z>3lkqxFc{a^X@a)<=;xlPq)1{u2}j~k|z65+yS-q<1_gOO&&ROl~+AvN((_t0KW@s zZoG*+E-}i}!2u`&-Vq!dddy(U#_LkYMW`KI`*wUDlS`g-hu?|r@&dNLAi=P_>h{gd zP#d2t2gW_2=y6B!1|d5i zn7jc9Y#U7Ao__cKz0A|+mI@xE+Ki!=6@z`$;&i?OT9)rm3 zYYVFEqOtG>AaH_8_fx@bYtRx?{6NH(aJjB`0FOImF7YmaK}3Hs#VQ!z_B zYrVozc%0Wg{eEUoUnbAbtq*5&{F_aDpe6cNY33GoRMKTYSdy7eMW3m$Q1IY{%Y|!^ zB^h?&9}Vdcjv1ZOdA4OPk!UOwCvj)hk}LYz@3SkC$uj{H9H|B4B)B)jN);7p;O!SC z>&+@Ev;@1&QIZqbm!X!GL|>U^&e(ti(?}$7OODb^Qf&y1qp{VX)H%wT!crFvZc=kg z0MLuvE4hyKN^Hq4q)y?SSeH3an(Wtni3*26>99p)^;{*BQ7Je5`{48;=-uJlbTF>o zWFfQ-h!AD$0E}tI@qSlhb=mnZdI~r!Jg-?iNHK zp_!!dcl+3ITzQ`?K#Z;$(?1uQnNVcbcfpWJ5L%XoViBg#nOt1>PY011GN9+>XU=G@ zNL)&G1|Nz`2Z`y3U>V*V^@2On_W4%AnmcM<{!|&E2K&^ATOicAuE|a#;hcGMFp=x8 zzQBp+hTs+FgzyyFh%8Ct=Pyl;9l2Vf-=V!vA{_V8o+RR{TX}x4RmjPPnHD#AaAwrA zdWpt2nHoL*cDpdQ4}{+yI+lF-IPmuin3E+F6!;lsEQ^3JCMTtdC+c?l=h+q-1I8mO z8IP5Zlost@fZxD_5N3>wQHZjR7smY`E5|-Aq;SS~?9W~6mt8Mx3muLMYK>eQP86P! zfkD>EIwn!@yDE~{llpOZ&zFaa%W`I#-RIcM!wdF3Q+gH4Lm z7pZSjEJX0`nw{f4-_!OnN^^Jsvb;r@hzWS+IaiPmt{}h&k*MH(E<%nP^b0xGEIYFF~)S4ae zk=ww2X109Z0be9YMptw3AnG`a*q?}oTkgvt(28(0Y`%A$-b<&b+fu^r)1bl@I8BM1 zqqf{*;mG7-Z)Cc~jqEkia0i!6cZ(h3aG#9bnyZ2xs-mLYsq_2GnUBNpe7C~;`txCA zy_jc%U^BfVX9W!+SlVJ{L}&^Y`e4$9f>zz_BxMJ3l&9n)a$5LmSQWW3SbK;hTj=JkdJxVK zYz5f?;mn9CTn*}Q-_BM!f8%PU|FZmY$3~jyvYF>~-4e^UM@!5ICi3$(>3xUQ)LFk- zOt7b+Ufv2X1~TBa@yh#3VabPn5g-Iruk+36B77Q3E4NcZiuxns$(<56iK!tTs>4a5 zPKFBC+H_z5g9S|B&}gP+MJjo{_>|RdqiH6={16+lHem)~nExp|wkr2OHHd8FY+cH-SP5{>n(ECtK`t845 zE%#`#oKb0F98j%-m|&^PJn91J5^7 zN-dGku0)f@hd)qPQ6bKuv4eDCv4lMM!OEgZl}Auv z@3{sdj~6(a0qm$1i%{HH<({X@B9cF9xqR0v<&YfH`cYwyu1J<674x`p4#)MXwN7Vu zD_|=-QP;1}YOOC1Oc#nb7vE26i;s_Rmh1oU{LK5?iF&&}tPGmfhUxBaZ*{d)mvHyH zHhpWeM}Xz<>_NT7?XB0JVSjx;QWR52%CpWl(QDBN6Oz^x=oWPzVKJ%`MhdVt-Q_2v z!|WTXq0*s+H$)V#Sn3*rM&lL6G@-TB{8uY2)i31#6WU0foPvszv}*8YX+nq7Cd@&p zwRjh$(^j_Xd#3M*(C_l|Q!`WI4H z5JW2)2N!_Ns2qSuNU#li7nINi`){ZhGqN)PAkPU6O?EY8B#}daF&VLOALo4#Ipqa1 z?hf6gL|>UNGkLm6flnQ@a8DZOc-!{nTVTLXUOK!uuR&a% zheiyWEMWw0OIEbZ7FDkUqoCUk#;u%;fmmLPAJ|jz`Xc`~It#*r+zN;35Xo;ibXMXc zkNd-QF}J6n*Jbv*xE(d4O^l&f!bWTHwsi6&I34Y%F~)s->u}uy;SZsKbHgojk+E)z zNR-C01f+_~OX>YT=bRL6WtSSVB5_IFC=|lf(C3c|wHLVNqLT_bA9f59o-;V2h)oD} zkBHKnfRe|=lh&H71{(siG9tp z&B#Kqd1&-Qj1T)6-I7ydE$Ht#t4il3X0O0u%DTQIVlWC^GbjUw5@jJN6(z}Jio6uD z<-RlPDvAinlPF&{)xiT5gX6!*1{#5Es)w_d*h~b&eosrUd%?J`*BmCoKEb$fWHZ=0 zSm(Xi@24xow?BJnCphiH@@NFFe~Q3qh=NEjWy%YZ5?G4Pn7J~ImDVDx={0zfk7htD zh>L~3UQPvOW~iwwyLC+aK0!_zrfAYyL@754el0VTC`K+Bn_@PrBD)?U@3#%pIMGkV z5DUdw*OJowAY2WFjmeKbqkGXVPYH!<-UG#>frk?mYDS#TFQ}Xr$zY|d0DA|%`yyH< zSYf^aU6tCKpqT~vst_Jool8Mf81)iYz=Ckh^&h0Y2;^^Wh#q%-k71}+`QPc z@v&tOtYaP&;xDv9(U$vE{Sk_xjFt_i>p~OkMrtBqG$R3usL=O}kr9+j2H;PWlq;TD zJK+3^%@N?*2X6E+J9W*Vzx9O^AX@Z6KQ5xTxFOnJp+{qxap-IO6a*nd4ODy7Ux z2ur&uxOA49zZ%XXwu()f;Gp=&=a#cJbN2nse%}gihi4Sl5B2m{vn=}wxhR=F$*f_n zp3?xbdNhPk4_d1pi~#OR;#V@6n9H!$QwH&3bm&W%n~FlEMVc9yny}|l2C-svXd0OO zGuh}Wfu%`q@xWq=a>GWJ+lc%E4u;w%!wRYz ziE^r{5?9+nGt7`Vv-$;-_Nz*OR&GOdy*|Rhn>HVK7ALL+MbYy+N9bEDsVXh{B+O&< zhB6{W6P4k28+7SqJi}=Gvj?z7(WydI!k0^E{a50u+0(}c?CO~=f>>@gc+PB<= zfTFn=JeEs_iHhY)wzcq?ca6idtb-b?Dk*K)YEonUaZ%MJQnW!WTG=bgB9X~yjZw@c zK%NVwJ5h{rHEjkY_l(1^egZ-tT5BfKysHomipf;X14T)fghsqr4tFhGw=phK?zpYZMl`Q3&&N zCQ3`j-L5y;8Ce78kfg;@GI9!*qJomnh3`%aR~&fZXj>p^jYn5p@~;{33d|vKDIOy< zCz;Hb7)bOWWJ!aY@nrtNNQKFoZyMEHqezd6#P;4O?+VY>!_DsfQKdmXj$r2wVbcNX ztKGq)d9w2uwbj%YNi_6HL8}G_C>345<>TqY`bJv%?8UVHAMoX*sfW+|i!qqLn*sh6 zHB$`@ZfQ`9p_J$U`p-MD{}P^6&IS`Yfv06BIBQoh(cXr*ied5@(H0oPu~SDJ!9O4( z-lqunBLB)`m#O!1pa`Wzuxyd4!Z3VPx?xkj-K+j#p@`Z{-+yN0B;I>A=uK+)h%z1` z5K}Q$M_4?mH=PrDaj(|svPr+Pa!!>RLkff5tDq$f5p*kWFLEPaRFf#)MmLXOQ;!~# z!XR%Fl4_Q9Rs*Y`3oq1+3WsrofiTf-i$}#W6i}wj3t|M}A(8cxqrkNt6ViA964G=a z9(jOA$&w|L@|_Kp0*kOf`KDR{ZjE~{>T3QwKD*x%>hopsEV@3in1&Kgv{~c%yPv7! zbsEOX9OoPS`Y6Qu|3YXu{+|d93pexsxmj0F*M6f5)sJ)MyI}kgR0EUR05G66;1)Np zS|FsjM~W;?l(PUEv!>w8ZQ=Z-`E6+Kp(}Hs^k9_?)v#>fmu1g|ha?!c^y=dEua)o% zA8IzMF0t6gdkZ0`mCRmXJ2$WAwbGM^zq5y%|8=<4V$4l#cJ_T99l{;an$4b<0grzr zQ8J*{r#2heaKPz)@Pngc+aNEGO}D_<%ffE!^RnkWBY?5@-P`%Td_FlpaBq1&S+QCl z@2yQ0Jw1kU40L#Ez@vXX?uL~JxMp_bQDJWy#L%k!a@V|aSN5uH20?Gt5r?&YNUvz_ zZ-Vc-R%eTZqm~SGF!SL|q38YA;%_D{YqJxpastqKHye31Ej&yP8W?`mRC#AN6CQyx zjmc}#^v>fTWLw3*nd>eW9#MVv?}=GEqnRrY7c0V;Ny1jDIgIg&oGswO*!2g9`;z4v zH(2a{zX@{Xquokq#@wYeYIWt0X_l2BI_k-^2tue;`V2x?f)}Tj7(44C%tS~%x8c5k zh9hmL+-*vo|1liV4fv1Y$j+&DO+wM7$*|{obK{`c75?uv60kMSzv!$?y?caqMg4qR zejUat9E<`S{iOXiU$KlbEDyJ0?hd&@(+evg21BiSZ=63A6bv#pr+CgudOi1@a`99jxKhO z13KI^z^5=`|HjKG6>mYBY{7vdw=z#7N1AtIm{t>M%69Z}?l}uZZEc4F_HM2Zvr)z_ zy0Ou0!KmZLiHNisA@^6zNE!U;&S!RoC^&x*lN^iOfIQLa^HhUcDBR*xLk@s$d#3i{ zQNa_Ez9jm=AtXhHFp$2V=q_(Yk$MNFo39Hzm<^u}6K+fh(&Z|o(a=i)Y((?CTd!~BQJ;=9MIDKPu$6aNXlyN-@uIp@>6$B_4y}9P(~{Bvz~Al}Gif!ED!XLu zUbA*jhS?lFo8uSfFY8^aLw_3Kbm+QRimV+`#9PHpZyLj(%=bZ&0i$E_GigU6<%WOUv(w>!2yLN5*%2E zGS@2ldk&px$tiE(i%AJ$rq;yWU*4uakE@E_+UzTIUdaAEhJkjzk>=xST~P zPwvDmBml6hFQlEHkyN`U(AUcWMic3fO6}zpM<&?2jIw7RZ?D|wGXf$AXw)D7&AVi! zPo%dsh{VW`FWN7i)bF>V7RMQ_)jQZc&XUnR^udLM1PuN7=|@cMYh_W-C@1lU&Kgk&up^+vT$j>t&Q68wOs4`pA^g7=ic+!X2OHU?=1+ zE9r7CR@S{II_&LCL5qzRgX%c;pl~xL1j{nmuLa|s=@AKu$l&?nV64U1I9YIiMOM)bfbf~kNP6E;zXE)+j66c$8D8to}~LfLc>BjZ2uA?BsN z{>&b+H`wUu(h<-0yqLV@HZcXRF?Ufjh@k|n4@`{b**GP+J?S}T(EcY=Q9i{V5^9pX zxO!C85#OxtIk`crR3fPGZ|*abkLm=I{0O;tLE>g9Y`{)bmPAY9Z#-UijbJiLM4ZY_ zxU$I5X(I=OLh4nfOWV=HfJJ(tmK5A`oBH>vF(E!;kx2J7D?bJ#-YwmO-9L8n* z;#{TRcgew>5gXa?CZDuv%}H?eiT}rEMGBe*a(%Q5iVhr+&7Yz5IP4ToQ{tA184qdi zYx;z}brG4f?weeRvT@z`*s=K1_@W!O)FL(uvMAUK{3B=Qup?nLCZpmeV5q86B|5D`#|jFqVb0z~ zb+QfOp0%-}f8R#p?w8lw&2@V_1(UO(ZLar``;Ao}uzueKzhY*Wc+LCt3a&@Z?h{t_ zAUh#xV$*DnbQV3esTsJI-Bu0JS6z>k*OwsFk&+&AqA=_D_8W|6c}`Tyn=OTISnE$8 zw%Xy{(QFib_-kMeHXv{efK$b)6$#L5Bq8X{1NHYhABWm-qK>HY11f$c8- zfrvTSvF%LKG4Z~T+eAd1>dMu7_%V$FtkH&7CA%J( z?EoA<$Kc*WP0d-}l%alK4k8a`A{S4Y(rrA(jFZOQEH7oX-mppfBRMUrpV3*IwZ@5HG8+(c8m*9CF|G<}d z3`lN=sZ$eg4%e0Fqqf1Ul;3}UQd@6}mjyl4=5(&RV43yB=v?qnem$6!zcS&`dYzYD zikF$|{OKWINji`xkRf8JDRzu%ciOYFe?Ek4dyO5CMXQ_YGd zn@1=k&=lj8`hm;iZ9qPQSs!|VX&(bS6v2UxN56$7VDTE`goeyi zXTwCg_{Tr4gez+hlS=F>rg4)IC3 zZ)ZUPFISXtXpk_r(9z#)l!G-!9?^{n84=$Y{vVT{C}bIkNsC|k5oU>Rn|=9yR=sF# zR1zax-XZsUKNcpi=aD&l_rh7VQ2^nN;6LnjhV(H2Z6>R^Oo$RdQ=NpFPipuiU~q^l zb2@e3;aLqO=-u{c%nhd1{s3HApJ@hIaWNAVdZF4%q|K1z1wj9_mI!dis5q(4CK3Os z?FaXSa&D=HaZ?NMy(U?AFB&TbBWML{(kF+fc1?woC^8PP4OP)EO^WR;L&`m{(WG7} z=$09TT2-gvLbC-|wg;@U4XJP+xb=Z3`I*lcDk80GKp|nT#R7am4lcusd6Ck)61{_5 z+7WOtZ7Wt6SRz!+QZ2y3N?^$iS4Ck{y~VNO3$=Yb)psY-XMYbQ%@hA9Ce2Ke|2Zde zWN*m=Dyl$1z@`VL+8+KWHhH@zR#VG z{*qlTgZ+mKa$SuCaj_u0qA(%Dkyd`_3_cBNlO&+FMVFZ+g0<5{{L zUWeP4le4Q;i{IgbzCz_ZxvOe<=Mw+Me|@QU%FA%Jd_$K>sJd^S zRS+uWF87SrW8lm0)552H-Jc6jZyEporQjnp-C@&!mCNcv^T=EQ#pj~5M+z!cIHQ-N zkc)E5|Lg9rwUFi4zv*#U%nIDPO%IF=qXYA?U1bOKc_t8?>4CSjw8z}ekM?(F+`|z5 z%y+w9xiy8p$6t#XMkf-YL_Y5ilh_7de0nwYDW4WiWpU}kuCk;!0xoIq@Ti}u(R$w> zo9p&l)W!_;ef4RufNWPer){bU5?$uX~( znIB$r4}R0i`yE^)A1r|nfSR8rH;ljE7t^alEiib72!DwpfS=4Pml z5f6MV+mPI;R?i^U40?v?nMRptQ=$b~)MIWhpM07Oz3M}A_Ap18T(tZ{*AJ0#L;pki zWZ|FK%KH60IzZ2Adir&g(t1oWM7<4nSre=K5)tt4%P-;W-d6S{Mf>a7P4{Qy`Ay#U zRc)&F5{iI)3wjOB~lw^YlT=hyvMIjXdfO4T(bg^iI~ncB_o;&YcY` zX`g*1@lIF9>+Yij&3qeteF#o=OkocGL@%vHqBUVm|f z6;`A+Lrl-*ME9!=C}x{xDZftb@3Y)D66ZteShu%YO@u{9171^_xD=+7iP0qMGpX#U zqr95w-StqosY@?s`{JDA03s**yuV!xx!UW!mGsJp#(x%dm;QAM(o!`@Tk9&Kr5N(==je{XBY>KD6FA18Mcw#9C#=4)*_fi;ldTMXe_ua3r9F=w_rqqZq>aj11Oq-Vg?*icn`}J3c$)lD zboJ=sVY$5PQsuu*x<=%%)Nn>NiNWoDJNE6xHVEgnz zcO?Qx7_pr>M02=1Zk;kdsw>~z<>M}wdC!$ZR6|yUJI^!`oKR7j{~BmD8K7I~Hnn8f z?l{85T%1lR3=;=j3jw&R0lwdDOslVYv9BZbHYQf{;>6 z3c3L>U1aKNT4#g8VVQZcYEuS8IrveyX))DhT5f>ZV--3IP9BS8rZYP&c(+5$Kehx+ zv%KQh4V9L8T&rxiW0z`a*yBNO@rw$^Zc#}tn)c0`k7O-wPt^8iBjZ-JKis4I+>wJ< zIN2_ieQ!!@FZXrZmz&}Y;LUt$o^(Dm{r{pB;= zIzjru4*Vn?D(rJI>O+CXQ(ClQY(9Roy3X*UduI838?!3dvUcHJ(&!5>Y@3p_Bvh+? zo-%&G?A~)1DZP^Hmr1WgFkL+8dPC1be^tI^oeEz~cUOMJED7|aapgUz4AuS(!c8Udj~x^y zWN1-H=tx&cc!;=I`#4;o2Qr?G=q%M#VFU!qx=8bZQxXr=D%Pa3k$mjaA6BWFv?Sjw z0?egyE@5eTa;=n__L0~V1Pp6NX*I1;nD=^bDV0KUd{J+VSd_U?C1)rGxo~K2!f`0~ z+_#)=1z+jq!i5cyhV&p9!+AU61Ak$-SM`8|aWru+Xz8Rf%ZGE&#SO&~_2m9ZmtmLS z1IG9u%@s`^)1XyDv;2&(fI6KYqy<%xQmcmb|HMHk6eo&zaJ{LNBc56);EW`GUrE&d znx+ic;QM1_wg7Kbk8k|1_vL^W*&@Yw~I`2F_d3IK4bXb*+*aAVpVS(GlRY?-fOJ+LgP)i?3D1KV^R1d>&R0pnb*7rQdbuz(i8Wad5 zVSN`1gV1LW!%$KWqkFFoCBaT3iC+;#z`g8DBY8w)iT>3#VNf19sfT=@)Ek%RJV+BX zixmtZpc^BzVuMQT(?(?h+ng01BHdeJsmQDwVu#g{09`Px4f2`;nIH=;v@=8%ax5Z^ z7HN() zDc>(7R3oNiogW0Q6GTyb69)0gO&WJW+`Gkl}QaaA$>+ zU~>VS;y*$Rusr^E8G}F>!v7@$S%-oNk&SAwif(1@1N6q)f=Q5n#V&6kDASV^(Mz2j z>p)l?jgGfE6!Jc|7X1hXR>HZ?RJhft9Lh+cY1vW6Y1vZZZrMm~z%>#w7$Og{7%dGF zbf~$YAoQpir0_HcZ>XW*+B6Vi_58%)iiaCm&Q~SpV4zT_eBZDt6Z&8w8>v)#lXEo= zPHc9(7x|I%P&KFp+R)1__YfI#H`WLT)J&X8MM7#=Poz%@)`5!_369$Eh*(!PT*fG9 zM!){F0#mNH`jSTM=yr#{WE8aY)B>ua&5z(TayVQeuOkSN(h)#aElbfGAamsFTZ{`0 zrld^(BONXUbAk*SxJg3%=PxQMN094SW+cv<^AfK0RMMYN78w2xM|LEuP~XSe4YCt&JVUPL@fIwpmz|gf>8^E zn;Qh8DMrMjDdiyH8i3%*ut=Uznz!k>&!ZjHL8EQO>#>BNVCn~V2=@-rG;@JIiRQ96 zp(3O{*>q8l)ik5XlG_+Q!LsH|udP4>z?JKy7qRfVOJEWvhk*hf;}fc6C&{hSnQMVQ zWh*9q=q9e8aYITY=b3sWsn?14|10E>s{_CG%!GQc%7pu^d+c{oDS7BIoh}E?M8hEu z76Qg9P|ONY%xcp`16G^KH3Y8-a-(U>u})jdenJyM96h;IPCfx3vycxUrbZY+G*yVXtTfWA!cf97 zuwm;~jrO}1Po)7HcG{BU*@s;mc_{XOq);`OniWi>6;zLSH7dsIhG`czpU6WhxUo{i77qm%-3zq5)iU8R^>g$83O6pC({!SuIrpxFk zfnO{QSU8g^vn10yDzdO5^U2{Mur$I<1;4fYq$*7l$jZ``vr}}yWaQ{gU5$pKE0_+C z*@X90WO1PRFQv@gwctT65V3@O2f-#LK?k-?b773^o3Rf6N5PD4Th^wxT6XJ>0V|{a zjAMQC6`Y~IA)a!2Lm-k)Su>Q5TrHLk*S|G^-1{0Bm;^d>?>chXXQ50uZ5foTJU)P& z5^NBt>xQjw`UJ}a>LiO*@&uX^srJ;_PzbiN(Nz5V>X2Dl5y3-lgz%iL%WY<5y;pm*7UyQ2BqWmgU{fKvLbHIvGNS z!YUhWH!XPGCe9UtrtlSD<&x`mIclwg2~N<~yGBHmI-Rt=-uiV((8RU*|_ z$eQ{1iuxF1=!Wlep{yi9|FmxaIfKU%Qa}O;q%TIvqbKJ+;Dr^69gT%hSjM8Elx0NxJuW1OrvI%}iGig``Cp|9*;KN;U$NSY5Aj2z zKHN^6Z)7w2^>E6!2{c=-yJf$mqU!J_QT|=xEe^%kI`!!*^Z?CW2V{g{ApBut+ z;|$K2-CB){!Dn3w#V5u6^ToC0pW&AI@AB^L&G@sc=PT4w`;BjbUZRK1{44tnKU3)E zE{rwCzG`Xw_Mz}=!&y-S;7*USG9L&#r;v}6A@0%}q|&p7@8Kiv^&KZ4aivI*wSZRW z0PAe_MU6B+U&nfHBE5^}_=eot{4bAsua2|)3!=OPJFqE~D1V9%;d$uhuJEDbvU_A{ z!BfDqU0`ClwAboj`!+AbZU5KtXAaLCc}lEU-rbo+AzVUk=N>GcloK|K=d8@N&K6I&Sv*E`n2x-G|}+AZ6XLw{alh#D`nL&ov>ok zQJ%G*)auD{yOSw$yLz!NLw+@^Vkl!yC4cc;%opggt(h5y z@3Qqj(Z8Ir`^#`YS>UZ9`9LJ0;R~+dK#Zt~;dax(0Ne-su%dC&uBiqmp{&Dg+vE&| zXBinuQX}ftMUEF3{p9({H`29Q7^XU-5AZIbFYWGP@HJThrdW$;4aRFs;L|O)xGT*3 z89}CAcq$FXaLmxw7g{E5I^!GzRoYr+e;t_Mc}*Zojcol$iX_yThgZGJ5Vhn-R)n|H zA_y1bGPQyglc!yG7sx+^H&IbY4ir3n;(t-~DS8HAlP3m?p}bP61ON5` zQNKixmDvPEm%yqeJX$;4ZyZqt{ILeOOL2BsOMeAGt6xZW@l@e$v3gtWekQQQTxGHd zbP=fp5Av(dqii6b4zFyjluPNR3e*-?CGs~*62@Fe#uN-}9bpvxTnIsBb(cl2!&_P% z+`?KyrWvo;+DSkmdb6t~a7H81iu8yeScGIIi9r#IISW85_F5npcNJEGkt`A?fdv#o5+<=?rH5~kZl25cz1>~4_Y0P?+GnrZ=E2z} zBe50GY_!pLc0+1zrn|XwPnHY%^RIm$;?o{4AP=v^It%xzT^2BSkh@+bKw_?u z`9Qj9!FoV>5gx=yM;=G8f)%h13!cRgc+84rubHIdENYRt_e@sqWP;R_H#>WK?xfo} z5_bDsxa;LT%B>jurh2IB5vleSWJ%3uWAcsQzBayJwA?aF=dC-{hDk$Z0@A;pscX%k zXm;YVHF(_mT#OBw6s3CGTT43s7p1=vX?fPcxJn{dOfd@ zUy$00H;j(IO|7Gh^Kc(7thz|iH+ve*PSRA2N8cc!Na)g!RZBqC*K1ef7vyPp-8YWL zm{Z-%=#7n>Fxuc1DjR!w+J6hvDAVNC6_mchBw{vsTUAa}=eX38L8^zMw~sSX-gv^Tr@eoA!r*!Wks_PXG`8drkd!P~{r>DN|=B1J%_@ zG*cQ~@dewNt*wk|1xqIcxo%0r#E~|8$5@U#%E$;R)eYhhjeo_K$wWEIBFWB*EDYl8 z6_gN?mY@TL#tMYF)P}N^E5adzx>#?;=cNvp5-Qp8^;RgQMjJ2O0A48|@@^mimm)R{ zYe)*=yDuC1;}gH=UG9H+@#C)oVu@+=)C8-KW|`vx^+2UW6OOWJa-!*T`ME$(IEbk}hh)*P;l2je#Xh4z^=#?@Pa**P z(wm0!GYa8xd05aM@`*yV3G_$l%4s_Wi2x>E#U_6x0#y#ny80xvoekjvahIa*m=>u< z<>XbgG~wl!Upt!2gltN0&9j&&8GKng0m$4(KwOkrd`+M0;i9<9K@ZGIL*w$omh?ZZ zA7~qJEh|?(32QO=N(4&ZKUI>+S}+%9Iwz1I&>l=I^$#^kpx`+IAq^2%Y{?Y?Nkr$% zr6ThK^Mik|B9)Pe#pE@V5y>$r<re_~0n!$b)M6iT@Q+gCUXCA}mqLfvZI4 z1=J|#y>;^zqY#)EsYK=FRjT+wlvx6=FV_<{mBUHQoMWxZ#!T_{pzB`qV=F`DC%}SE zfVK&8szTU4{Kp|!RG>r<)L@(w@kB><4DFSvKs!O@fG4vnOA5gUpxrCeA_LR;Yn7xb zz{wfrMRXMNk$^!mQE4D8CYIzWCky}N$VX<%ZU& zdfWNXw#=35BEj-!%T;)$Zw0-=((H#V9>dhOB2d@?MaT-ONhIHl{eW=O8_9>Oz(R_Ca(Q2;bk$`nzl435QZ8i`|1CbvXKT6S zQ0FGi!$P^jM5#c50N zLCg1Jk9z^`T_%pI2CS#mhjHDqAB!dF0s{WZXKy4F4l%{RI~T{{ zZ*;WMp}n55xRPl+$9uVnkZu2wStOS`Fg9I$I%l?6pE-Ygi28W>{%|X6d%5B9cKzA$ z^RAV1VuLF)@HeD06_6+fiRblN(!{(zBi@OZ)zjx%=^P_}TflvM}Mm z$@8&4mj_AE^2N0CHTQ4bZ>R5NSn>1jyT=c^c45iQP+etWby%&xNm9$*&y8!-uj-%d z=Fi#OP4vf{@ej0HThCV;#uc8E8`W2k*nWr4$xqc+U36Y&;9#5&1)Na9bpr!}X&y`i zyIS|pTM^eBywpP+?lw#Uf9(D`Lf!ZVl_PHT1z_D)_N-c|(!(0e$=9N)tt`(PotdFkAV!ZBNznhA>NEa(U3$X<_vKlUyb(j3b z&T>dNkPnCJ=&x;EPHsjKX`$mqqUNSE(e2Wj%$xh~g}h?RN^BcWrH6?J8^5A$y`1KU zUUp`7N?QtSj$t2b;>yTsvGLEXU%UIx-c6cCDlMFj^r`)sl)(C7qO)^$KiUHeb5?O8 zDV2S;pHXUg9Fm7)8vspkZL59Cd90`S{xJ`raM7+mgXFBSv}UQY4X$S2T5FL+3d#x> zHMz96WUs-y2`x5nk+|{>8LhHfz@n4WVp$i33HoySf;=0T8OWz9Zmwz!|Kf!Xf)^Fn z7)2;JmF^W&G1_yT9tir;d7-LBZ!4TaR$t2}8bcS-+&(lTcw=3bOj zGV?F3crUIOv~-ON8?Y4PGKu^vL+)z8I3%Ho7G`ogw)>X3pD$m>{1Ultq1UCju`IaZ zBCDqfZ?10`->6i{c#FkV!uRv$&9%kt_i*s_rn=qDzB|jtr)G2~k5k;3o0ZSGM5UQo z4wQkx#j|`pB2XaOi031XuvI122W_`KMFGxA!`Lk2Wx4%P$L8lyb;@@r2&Ayn2pUHrooW5zj)ZgN$@u6rvL|Hds<45ugBTu109S`84ga z==Y`Ebq`(?6JMHpBViMjcN#dQenF*&SbiqM^Tq*wm0z8xe?bVcEtI#qo)ok+v<7qj zXH3?WkT{elGD0?|Bunm&c9I;dl?t)>>ixPVOLHh#bFNs-J?61*%B3vaa4KY>s4;3= zf}Qh6?H>4R4GQgN?L5`sL3@LKv%fEQ#q5WjdhX)XRfA!~PK!ndXsh!O!C_qka0|I| ztR&naw+xekEOGDl$%)J947W-+Q%ezI=)D1pNYoIdSRomzKG6{-JsOW(9HC&7UliRW z&k%VxQ~_m|u|#`CO4ca?{xX3};==MvSE(HoT{ ztq++bU1akM0rE0PS8SemkrVFEr$>&$Sc@&vJ#=XmUtw;`HR%=geSRT~ZqSoWmxUVG zh=onC(~nHFA6tMz*D8c-o@4r)aPC~J+FJ0e1Kyrr$+a$q?dy+?O?(}LhO4YQHc!=F zW#t7sAcD@dp?)1vhrm$UaD3~{<&&G~%`Bfa2}|pZs!+&>K=kZOfSEOl3-iN9dZWm`QLW2zBFxvzuqIPTwqE4h>;1IpI zvpB?GEb#*P7}$mqrEt@pyMCbrN>}u*goPymVe;)bHocsn;V+n!Wid+Q=~6+osdJu7 z301Yr^6F=41+|r#A^wEMPD@;MRVH(OjVo=iiSxQxdRAkX^j@kVd5x=##B*!+Oh6(N z7nPzoiYm8d?g`6Wx+K#lWe1EpoeBN`O~#3H8y^6g2@^|5_syu+RI%mE^vom*7(y22 zMO#vY!U7LdvYO2BZq*u?(|&Onon>+{Maa0~*oHV?xA==Ve51Q>xgc?one-;O24Iny z`VM(j3W^Nj2~lOSal%R|X@dTI!$@JN9qU?pjWQIBF;wzWjMSMWn(}!kk;3MXOs4%p z8MSngXMz;U!ky-T))3?ac#86HTq6O=)qD|QLy_ZjXy)4ZOp))_zd+t(cRmW$U54xL zdk^!~Zw+bPRKk|Ez!3LdFcDY&$%)+20)?mW;`b7O|6x?(<+Fk0?@|5Yo#jR)ATfU- z#~1jnOtwouCork()VE`9&=a(0GQPYazn)1CmsB64muq>S;`C2o#bvPN-GE5sJ#^|N z^I(+r=OoXLaz4*bjh6CJ@MTA69Ky)%jOe;b6rU{$d*#kWxqYbV?I!##q%u8wrpg++Nkxl|LdtIHTSJY1{8vG-31{kgd`#i7v& zlp1SkA_49%3;YNoT+!h-Y&>AgHkd#sT8xf~`wG*~SfP_X6%F^iyD(bJo;H?eDXwEx zrS1;d6`iGev>|ST9xwE2c-`}uWgOy1D1}Bi#vSVg1#KvuEoObl{3biqKFiP1zB%bU zGC_h8JYFgz^l4`I0g-MRca0r-d3R%5N6NC^F&`O*wh-4Dp;3MUB6;Tm55(_w?OiEy zTfU~s5M$&>G%0>0!U0XOsDv^zRf;M#lyBqz<2>KuJbK~C#{{>xk8;cI6(7MZs1k(1khbw5?dCEF#q zv;#8g@ckM5i<-G@BYonSdStOjIM-o7&qz3Cs!oo%E5@#gw$wD8XJ=bC%`{J!}52R@|Dr?eJdF>mAr9=YXoBvbQSD`+vn%p=m35y`<3ZX{s>B=-r;o?rr` z^ov(M%9H)EK^1=>AmJZKs5s9!QY$7#D%t>vqZ%o6e<}sz*Z3|*aX^to=pL#dlmsW~HJyw`0Q@NUQN%Muq1S^z&P5#Nawj9|gr5kSmc=(?*vAsrt32kZfudScOw(JUqNzUSnTKqVPAjssng*70);EtuS*Gt9VzZ2QvP&QZJkDxY zPui+--^o);F;;L+sk&k|8C!&wo-*xnmjJi_rX~-^@EV9{VxF2ZR zcXO86^pKLJMyrEB)|4cN6fGc}NkR%=d0`0g7McP-Ab3Rx3Ko(o8VefI8I6n6k0?U( zqSh8{_d=tmB_hVA7w=e!o7ha`;Md4Da$65B<>0Ix(je@CPN9rz8#1hw<{%g zt@cCgti=tRqEcX@3B9^f0Z@R`(l>~p%EwMh$=kE)DmoJbLedTj{1u{#-wBNLu_W;+ zoBUW3^76wAQt0|;dP@ap<>iMCwv*Cn#Io>EDvEYKZYtvKg%^_0 zkyIJ6w*?UfCY=Lah-HFu?iN(X3O$nzOzG%_H^X<%VOtc3DH=i4+2&tUhsGrw@&VgY!x3aGMOwIE;2IGM@p()I_xVob z5Re*#5YQUol1OYxatLV6h`>l~VQO$#&5FYN1fcnLLdz+T0S6oO;9FIuKj1K3++b~) zXwcou(WyyW(T0UT^wN$p5dy85L;NH`B+#OuQa#HWp=i_&?)~}|t69RRrLwRXs6i!@ z^vvpz%Fgw{2jVQ7_RstJ5h_IV>Ye2puy6PvQp#P}WRU}kBF(U=OCf-Zvy<(+wk@A6 z5sGL|bsahgK1rSE-6O4w%oadbs6^dEt!d>iJYcLaJ9?WF<)0e6nFuiUk~$&4?C8eg<}@=m1F zj&3m;k3@4PK8$Ug(3-skSv#AqAf*to|45ux0-ik1tS z$`e3lSa_?yqg9?@4)7;v0Z1=)NROie%-xr(ppusHW3@Kf7qix$E8D%`5=WXfrjLF| zQ!F22kTX8?RmA1cgbmE4{HX1su@9OBU%K1mu@sGWXqkiI^WWkC6sKoXB2RTd>VxT1 zfNTPSlN*BJqlH`p03-JT`KwWeH4xsc2yg-XMh?_z5CSd$VFd>y2nO0JGlkNQ0381{ zw2@9K)R{N{?;+GVojWUW>jscRE$bi%n}AfL?P#OGA9-o#8OS2GbU{ALhV1FaA6*}s z7ziSl>v|qTNKn*6VmsZwk1KA%#1DCu>1Xy25rGlfb980pX}od4&6t&c$v6TdwUEX6 zg_Qu9%D|QMHLUM=V4@%>HQ!(q-mq}U%I$WHscwz3)vJ3q%rY;wP2H?8FqD5(v^_O< zPWH<43u_m{z$u?q7(h{^+ejer+6N_Z5%-EkPvNW07wr^Impv`Ry?$Cdv3~Zi=1L-osE$ zeA*B26LLy~qs~^z0ec>`coFD#y5C83+#wBbJD(CJ-T!GXgGDJ3s->@JUd;_ABuJ{1 z*%j0Wh%IqbvvC}Pxiy-8g@O=V{jwOR{M@2j5Nf8cNj$aF#z6Qb-H?PgT4rktU7IcU zMyP?~qtz-k1766|rJpp|3AQd&TXpR~3&*S#1v{KXT4dmYe?s{^4&c12rfr$7#xb=_ z1MO1QZAvqJu+_36dR0u>a&|xZy`#vY4Wx#(olwKe3&4I`zGCYd!2yMkf zr#}ZEItK;vWilo!3t~KpcInQm$aXY;3jix_wN#L6?j>+ac8$l`MdP)NQl!*Pv?P~= zg`OQ9jviit8=R272$r*{e-I|WYoDF2x7Z&B9)hDdamG@51+@*{i-0IjPfiDiXJ%+f z4kRJ{LrF`e72g<(H(8h&5y6aNwz#DXeawDaxS)+H?;Z=(MkfQ-LCiiDfR+rps0g2U zh#v{b0XyA}Je~$Jz!6K|KVmvc*M%4e-eU~N9tcC);s^RBy6LYtb&$ViPOf7KkBzJo z6PSo4^}C=$q96>b6cDoF@f+@ow;1^eNT^>m^F_NxZ}ha;o?$JO&q8QNC7b)9!-OPI znkf-EdhiYZN^&nkIh>Cx3oSx0M<%nU!)4-yaSdYhMvXoSWIiz}CBk3b6z;Pt?&_fKIq;Dx9tve8=M`_H#dIrX8};8&P1 zkygi1Nn0`^BVhp@O8Sa2{0%@S?Wh|*gE5_u5%%dhB5kF!*55B@mg1A6wHuMJxew2u zHs!NJ;Y(lNvQUt=UP0AKT-&lT9=BQ%4fL4l5e@w{-MY-=aOon}Jec0Wc;vVKn$g56OlSgSJ_pm5-$Rn%f1viu>taI0ceixr5eQ65@~ zW{zC4qd-Q^F4PgnRPI&^R~WCE9&HW_hZ?JRQ;x@6z4OxO`84YwTyPESK1+7N1)qqL zn;-WEjGQ1dB~q~7>`&Ne;jSPuo$UsiZ;ffIhu~g%#F;EJ1Vu^6CXkCh7)8lki5~|v zG7Bo@4ZO$2e}|p?3I&?_%1+7*I*Ic28@GWJq@8ym7voPsEiIiBXxxzFMNoqhqAp8Ct2|olOu}FjMnzKpg|;V~P&y}w$R80#aSZfR zH2P#aBpkot(->t$s2eL_cZ?hQq9=&Bl3A%6W5kX>T*NaG^G8C540E`WDFZ zG=>i<84D|Dw7^QuVu&XosLUDmx<$zbLL6vl2o4|PXm zbBx)%z>f~KvJiN$xuVIiTWT$Sw!Dh>Z#q$3_J(rJv=`{WKJCC>D)o3->I-G*He34V z{)3UebHP;sGs-W2=ynn6KlQ+1p106-+pmNqOIz%I5=(&1_~nbPlp2_M5Gh69WA>W% zj}vRF5V5b%>;pP&53$CEI&F7dN>p4=;{JF}q;#8k6FQdFw1!ES8c=25@0eNfy<(f% zQ03n3h?Rc5ZTlu;73~GT@Zv7EVa3n7-5fS09WyTq-D?8Pcn1%4d1Z9CGQdaMpVc^%tUFqfJ1S4gP;^) zTf*&jn9q{MOF^ONlQf3}YxnOt*V9yq=!B*?CtG2Tz6ahcTAGD9hrdXiC+H1eeQ#F; z;|Y2W#G-aeT9JTz16MAHLw7)$u@7LAvLnW@M7(9b066XzFF;YH3yRT?OV56neUY;l3r`YB2 z1|r^clv@i#{}#UgCDGG;O~bM5)w03$teF)*pLnLku&{gkc-LM7MO% zme!D*k+Jgpu$T6@OYHp@(!#wuP$@n3Cgh%!e!UXG77G8c6E%1N_{Y7$^S&){tW-Fy%u`K=?C~F z7OXH)l6QrX)N*p(HEH#A<0+4?tDe6nHe(?#EbW2c{aUui-5pzY3x)Gm?LmWS3MI* z6xt))!^fM4A5AyXzB+V z&EuMgsc$o42xkcVYxK(om%yih#xG`Jyq^BTIGw}(_GD-~j9JI|J`RBGGC=}{wle~A z^WSYx2r6JmUy?5cWDMB*YoQu7Za-B@5>N#(J8nPJWP%GVcm!B@p`m>wdYXux0z5Wc zaO{be+n2-EpZJ3So!)l*~oO-N`%?FRgk=rz>HG0Fi zBnQy02_+J{c9BML1;VQFR9PH)LcgT3d&%vB7?3z-9^$*PnOCfV*iQ2(zg8n@2} zk~pu=#dqdyot&LrFLz(}SrcN~@1@zdr>hw<{3kv;yKmQaw0s|Q)gJpvh10TCRkpaC z(xset*~k0GlMA{zL0zqevohQGCH9}2^Yh?8U5e+jVN3rKI|~+<&UmlAIG%e}eC$R) zy55XFU+n$LF8lEI%sN-`y7X$-0lL**R$C|NUB8&ti}Pgz>tIgIvi`8l<){Xm?FQI0 z$gZ>vbpNTQ8x=aCapsTeT_m~!5^R@nL85l()!W5lySfe9(J$Lc|KQrk#P#87#(2bR zH~w6MyvO6BfQwMV2o~7%Kd5$pc{}V`^k2|kJpA&996sBuy#x`Z^fbAA4ySZeXY3Tzcs;Z&q$lNo|=o=E!F<-iW1{+}G;Lb6si)=ctt zXT#J@4@@R0+`o_ks*{B)*0Z_S#OK7u2}I_L8Czn*$FeysUvgyr*w}}l8Mfmi`PmCT z)V`C_8HULM`ttAu#W3)!spLOJdP6s2KOW&?r25fNMogqEXl}t%ex5Vf|Z~Zti2blI|6@CD$WV%IgUNMsth19K&Q#UK1rqxX@&S3mV=JzxKY)$qH-$ zD4_0QYERksR&s#>cmD;6pcp>;T$0tSBP5562+HQtLp!QR(8Un<^5f94cv^>l-}`fJ zILoJf9r~d4dU10b_VvZ9m3!rb;Gq|cQ+Oq{t8f0=2VYd>UdTAEo)O^@f0N)ZY+;3A zW~h$()y&`!1-~$?;Q4o0PaWw8DEeRZ$7$63DPG^)<;G4xqE5`&w6IIQ{;I+G5^}Oc zQRf6Q^iu6N4Ke6tl*hJAKE zsb$;VWt)>bR3m=#9=A(`SgfPfASgyNgo3++d|%k|_ca%m$d%@tnn%}3AV*BPwW4LK zSsXUMKqsyZj>kC{&)W~M)l!xYt;-DWQQd}(Ed1j!fk}KCT#*a>xg~T&Ee6p6q&`}^ z^2Ad=x>GO=AZ!4FJ`8Q?F3R&lW8Uj*(%<&T>mB$~Vf&nxUORcx!)$XUdB|;l z>%Zeg1H0W@?Le#UTS{WeGp9Wov*yLm(Yc*`LZ0-0A7QbCIa{{%q*Y9teP9x8s&6bG zKvKGNEkBn%e8Am&J9pITmjBc5X_>8$n;N>jW(Hq&qg3oQ39Q2JWXqL59sLe9oPp7l zt{rhsa!wnyK5zDR&8Q}eIo%!L!-XrK24j_Z&LpMd%r^nORiD2wlC{3dXA)?jGRAR6O&;w&WvedJUL`Z-=^y#b#yXC% zrVS}%_2>m;H7T3IFSWCc)W%3)foz68)(A7f(F9XtvgM5OT>`3Fw7U^j#$};JZnvC^ zhgk!kG=D&Fs$0PRDm{4Il)7A-O9%@Q0@(&!(0rpGA_99y0DYq$5<;0Bl4225R&+WN zB3K{9_uj`c&M9ve66SVMuobL|4j`>dEnQz3HHg)S!5j$|DLT>c5j4pdUTpMlmbLdf z_Pb?(O2^>Zrjd_~c7X_-1WM?7?XvJ39$#BlRv%AsO+P|&i#0E0Ceh^*Q+pH>51s5Y zdWMWoP!Sj!Lykpcy;K|Wp0JTm^o24PdR4usDXJK}{;VL=tg6`5FhNAqmeRcV`C=R6oES0=?aCdqCd11L= znP8_e$w+WU{=IHU#KZRhJOt(*S&TJYQv-lG;eg@1FEuF5kU^l1qV%uc+{>*;=&mmr z!*ae|>!%ma<8o5acWkYG|6J!F=x6)-#AQ!Xx@G>{d*Qj(4Og>4yd5@2?X+=L+J<`> ze#>62i*YsBbJ@k3vZq1AVfVkvjN{vBD|5wv1R*I59t6uR`@1Is!q! zx%sIfkC;?ApqT*ta(0%KWmMbNnT@tJ6l!eD@NXHa*j9o~ha+V#bhm}vtE&T@x8Al8 z*qu}~90$xm0hKxEwZq7ZA^0VT08ko_64DyG08}?_PbWr$%hK;J1^Z#W^j>nJMGH@gmQQ?oC)7>$pX`YTvJM4>Ll zQb)ufP?Z_{DBr&@&PUoEfP7d&;}ugb}4y`?M&4I z++5Iwd`h@2*Aw%rzA~zDpsY(o#Hv-L{Q%~2N#M2|i*}NFF)YM^)&e2|^~ZVP_~E7W zy;d@q7eLoDLDDr=YwqK{Ay!|Zm)6@ zpT@vm-}QU#K%E3>Iz(~S7(sN-8E^^98j~0l2o^Hq3hlxnR0JiwP0K(t-^?wZpgDsaSh~*Y4e@(LSf-s)?pE%MYFl-xtrX5uxH8_txMHxd>Wqx0 z9@Ai)6S;4xNMf*F4$mvO z2(;?B;34zN2(+wd;&0()FSOs#rTE`N*JowhWCviBKvn~KGej7KsKhU#Au&i~8ZXad zB1WBMzg)gUby1_njo;A3g}&T$U9aG?p5n}+YFbFD%~JWYnPt$}E77Y&^o!XLVJ$EA ztS2I1>bI2Vx`cPh*^A?eK7m3F101-PuS>=U>BAQwFhmQ3s~veLmy92Zh?wrlrx4Z= zQW~$3N7CNAOE9>TkavzhIK`!CroTIjn)~O$HS$c4{<$qtkAyHaWiaPM4NBdoAE2cW zwWFVs@nC}BYI%KZoZzXk)cI`LvkYU1_JP0Na>gu@L2$txqC8KsTeq1tDmT)MK*D%C zYafCz_2(x0L!}htl_^oNQwv}P;!`VJq?41f3&B;%V$}r0!YblkF0l*O?WeBzQVrXYpmHl4(ARR1`$9Y2 zv@l!kbGl&j{#DrqhA`g&+#}6OW&g?;hl;EgeAj`fubCaM++EcyPKc2_z8qf!EL^D? z^|t4!ZAA*JV2G>Lk-llBKD` z?3u;@>`f7at5{d@o4rAfXtV%57Vq$zXCRB)@{4O9fB`N#4ZfV;MAci`TkJS3J}L#0 za2s1&=!6)IZL)S}*jd^2HcX9-FyI$xh*3H^vg}p9lb$Sw{7xR^QW<=Io@wHTKN;4* zxD4_{>NH`H&_h>I&_!+2NwrhHjeRhy$^xG)A3p|bRQs#@&L};_es>Grjj+dYJUr#5 z&3d&nPfKwGSITF-3EPHe#AN*Zuodpvr_`IP`0WIw%ZazE>FFHg6cXqB;H3jFlq-aa zBpyB>l8Demlm+*SBA|)l|~-Oi=JY<&GUZqK6aQ3Nhvd(5 zPXECMi+gCHd4V_nNgZoKNY%e^BcvqpYuPnW6C85>b?vfr#v`vgRRQnQfGkT_pvG zBy15Fw1PCJ4V4vkB>`Mw$EzCi!l)r_P0~y?r&789P9)r9x{&ctsRUl^2bX~&GG3-h z2VF78R41yk8L;0lm9Ey+S`(sdlxy0d)Uc4EhZeFC5-|2TxPVh@VCha@>HWj7z0_>y zk9zDsne>)Q@K3xDTUSdCobC4}`LhEulluseJ6JEZ%6?W^$m312t6dE2 zT&+FKM&IN@kC*mqRO%er&zsP@sD<}pm4mytS(P8#i4`5MuC3<}z|0 z(dY9mK8kPImn~>X+dq1V3RNL=;re9IK%-J95Xx`_U-m@j*-a@^1SsrT|ro&BHGQQOL35$mV zJ#3!dq8EoM4)5V^kSoJJK1tkK58y3IQYOl{?x-kKztVeb$ zv^^doUDC3p(*+W{YmxKb&D(ly>{Nct^q!9lgCZ~-4&y8%-ZqxZV9fdJVC3j$d!0nm zk_J6RIt$7SG8rp}^^l5weIC<+KW5I-_W@(q*{#GvA&(|R8;Px~`*9NR7NF1P=$Hqc zmbwRCU1Kh{9__YE8KJYt+-lvDYq-tJo>HC$*Q0kfiIftwfA*Yeh?O_Bmu4?jmSRh%J&sf;?DB^Y#fl^bnj= zUGyBbro^0ml%y_T8ri~c&r43jog{4#vVCzH6 zATo19c#p-MzSQ?%Pt>0VRksINGdsqlF|n*KGPEl?Eb9~|ZnlnUosNx4 zLTu3@YLP(55yvy8s%*=$mWpZf$(ssH=?~tX4uH-g>P-OW!MYr0<{zl1i6U@dIkvcx zTG5`!t2^FB%oytx@he_f!ymRQ2an&IGhlsQxwhgA&OID!F+X4q7VQ)NZ`hQT{(l9V zGO;i*{&(Kdwzf>&b}Pa*-`*D(%@u&^h#e$7t$vq}0F-mWFh80Inn1k!Vza$g{?{9h zqj)4@7|{+~7e7$9c3&A0?5RC_w$S*>HjR;(;& znQ?wU_7SLxR(A_wQ8J*xLsl>Kgqo_umI3duRCT4RaI9o%Y+4T{(+EbjC3z}y+SsLH z4;3ky%NtI&+g4wa5n@S|G5+({>z~heC!d#GC+8WTlH*}nt+!>lML!1b55|pP=iKc?BgP$hXQiZ@IqLms`b)piQqSKs0C*PQhXrcJj zh{Xe0+d|c>p1RY-$JN7}A^fUI$W3+ruVeE`?goBias~)rUv+%G?;7Y#U(Yv-ZUeuz z#^J3-D2S7@4fvqmGF^qZ1-(uo{n@XZlj9q%1*n<4&=+2pxX|!a2Q#gys*Nsq=dNuE z@Ja!9ClztuwfBr$cge^ponakv^XV>^5O19PYsy-~bN3$OSu*rv3h43EYrM9GDn-B3 ztPos$Owl8Od7RNXwgQGe$`zh$pYl zQbe4R)$*!Xw3Mm_sR&3NztGQ(Kdx|X=tNNoq(CP=ofDCK4uK3Y`6>td86bD?bCRP*tCuwSSew{^SG){(c zNT_8vxIxHJ{*JBXBsInEt7os7G!w9zvn&oL*`Mi!93oWoeZ!u}(C85Ce60-+_-9e~ zAXlP`joCy)pJI~C#|7W8NBAi`!~!Va&`$$n$!0p3i9;U_M`{prVTS1jWmaVw6Xw-= zZf4@V{CYk`q01UA>$)a$30%tv(~f4jChW%8gj*tig|zf9dDlPjU+y?{2TjCs+_!cMxGH^R?>T-11kHDa7&Gq~%@`NYjw zrb=}B<0wM>A;gR$5Re~50plVlyak!M;DTY9g%~uToY9?8P%5euXf`$uA$LS|nw{-^ zjFVK+>*q}*<*~>JCEVlxp52K*FAO=IIP?B=Y>*3(Vp?? zjnO$-I3wgxlF@^SNS@gTOCYpbqF|Wk^qE;V^yf;sGH)s4C5NqX$(bWzj(*7tBq`;?eS!BFyc< z!2c;X$Q%V@A*9>6tqPsUQ>_Zl(yaX{P36I7o@$LRRTSQn-p~tcm(R2z5P^Lr0G++= zOn}GpT@CC-O#u2+#H70+H^hB_h5zUjH(@ksaG&px&P`1X>S0C@p#hteQNb{*m_Zt> zBUGVn`AHI9vrW1RUwbL1C^%BfTWp(s!qJs(9~$i9^cf6I1XIy;bLJ0_Ucd!EIigM& z*$94UrXY?+aBn*!#Tk|OZ-eX8>owSwkT$tUM5Co@4n;P+2#M7iWmHS#7^p`pjDs>` zqOcHW2|+zfaY+EVWZ3kb#T9nUcBf#KmfBb5lH5z9A-QwgSm?16RAaealqtv21498B zk!D$lJ@cYCbo{L;^dZ*$B;f`5I1oWby1?swZ@3zJ9c&-ZYCJTCe{#Nv=iZ%amf-{n*Ei~0PeKId2Gae5H0 zE5=3t1Pujv{~4hAkTnu}@~TCL>$<)v61T@^pIN`Gz>VcWT|VWWjXEG2fAAuka6|J< zf>78#Gu)!+a=aw=Q3YX9d_61@UKEYNCRql}x~ML?o%NnpD470N8Hs4h@={C>XW3@{ zfJ_8EG7nLIc~8~9oY*y;*`1m+1|~{k>*1HB4kvJ~E?sCE_7_ZAB{u9-%^TLY1GrS; zZs@@M4#=gN(-=6yt77x6QtpTK-`Lnet2koV+;&BewT8qpVu6+CMoF(w-{zNx1srj$ zeJe%I&>6xkB3L_IJ~HLVQ~rrAB2BTNkc%mm${2aGR=1wFw(*{-ofrw`h6G0vcn<

3#n!Tu4dr}*BjSJdyuWE)Kjj{KR&3r%N(yLkm?C>lWA$pw{gzOp&YcJ_)BS+T_xWEmx2P=zF?MdL$pFB29NF5pAaOStsQ6+9pXb8f@cI?chY zSO|5+^t%^G5Jv?5HCn!G*;l#Jn7j&Kk#ecqAqqq9ySGRQ0~EP}K|lRg7C4pAEhMN; zq!ft`QLFPX0fPy#tUX-SZ&Y=Cs|@w#{kVwr$(CZQItgZA{y?jcLF8 zef!?q{r@+9u^Trks_NXVTQ@TEWS-1Cnd#aX{;P2LN9B-33?*`yO@%c`sVrKAW>6%u zVHTB^1rlHz9JbOU@`mDC)<7y-dj}|~#&BD*n-`HKN)4AZx}LX`hY{$za?B6zR!WW0J_x9`8%7UjPH8+g_$0{SVS)u35{EGZCN5S{e1;TFMyllEEX{^6 zvBfa^uJy)ur?NJ8eY0)1N9tv-SApmn66t|Syx93h`;y5aWr_8IppM$&pY}aG&I<}c z8eg+lj6Vhq(Q==*px0Hq4{ox&`S^0BT>vI>!@jGr@X07&RgiS^VQa!^)u9#zD}~ga zs#}k0>0yj3+mmzj4H9Nu;>PW@)hHozvv@06byb)JYF;@40&ArkD|JJ^TmHK+!v;m=B(-(spV4n`e?P8DANUx~8$wZSP#< zBT)MA(HZEKK9YmD7|b%tT;8FaG=T1>trI_-l&4ziZGK@L=cii~Bu1K+75zktAxsi! zUYd5d@h=vqmc~PZPog|w$N;$is~h5nV8h0z7BPMLlno(Xx@JUJq7F!Nd2!%;P0+TM z96;$>%({{1#Sf5(V{Ue3&aSNbMRIL+4A@*O0`=tS$Pa6O1t*S0m6OzQ;gpxr$2Y6o z@hA)6K*T_|Whx9unaZNk!ycf~BSrZDa~OxEcIk`ZPH1{xa>0jMzvSDw$0#QXFsaazN2Xh-{zWXB_Fb4mh`K;!%$>}@Q~mD1(!oCox&2sT;**NbYH*Lu zu?5J*7QCgFY_smo02fM2wu$%uV+11Rp9^wYn=HJEJRF$^T9r)s*BtHA*c`^iaHA6# zf?shdK=f@;#@A-hRzP28fplfSEwnW8tP=mWL%F=f$>S(zD13+s0vrBy9K!Gn!Qkwt`Evd{`0_Cm9B3jQrh>q`NCFp5#X1kyK5;9nPMyltp|Jh( zjx`s6VUA=f5Qh#bmCM?IV5!S(?r}3nL5ab)|Bmqe_o3IfS+#ftf0^z2k(2uvya3&X zbN%EzXBYt=6lTRh7B^;V>kYZn>r{3CRcV;CkPy$=WFlYJ36c__xgEqV z+RDv*|J`tqU3j~oROk$2hqlB%LwRl&E`M>$UC4 z>TCYOIi*uP!5pTLqZ`uZjQ@*asO({HLa#1oXlY{POt0i(=={&UsGXw?y`qVeor|N9i4!+By@9RmRy0|Om1Cjm1P zCml1p4gtN4fwQB{VACJWmLF{f@{FWEnjX&(s?rsQ2SqNl?v^K_q4M6hdrKcul zA~LJw;bCiKVSb`wYK?BGrffK>|8(N@`JC3-+Wv6iUAxM9uyERV`RUuWC0mh!IeDDL zOla4eCr2lW!VG!?glZ?b;T=xa1`h@qSr~9C<8m%uoo@~!4v#8;D^Zi4h-UA`o1;w! zVO_{ta8((92#km%m8aaVbui&m38cEKk~D5oMuZE=uUvgn964QSl%&QC*P&`$qvHKLko5%3m0`mhHNvL=SvytISw)o zSNxBXwvdVnnKA^ma>gsheW`Ltmsu5HUUFv?k$jy5Lb~m6X?aeS8%IyC${(h8_`QW8 zU0o017M*>~^t|349$viNT{L1sBHG)0>(OwNb2raLP>Hg!P8&zyvw6@i{pce-IfC(6 z_HKvHXBCY8Uj5*V?#NUis#I$FvRQjeIeIEkOec5iDVt3;W-O5cY^q$VXhX6JYO?|R zOQg{C+;?bl5IA5;U}58n0iY5U6mDa!jT*n*aO-xDD`U++&|Jn|*d@rp{c%iZZZ9$v zoqdmSVAC}uu&0{QK5gN+@hNQb@Z9lUH9p88wh_bx;UBA@m=}NP3CT_Bj7gcUd^7g? zX*j~+y@;MdiW)t;6!tQ$dxsK3ete+#p!^aSHFqTtwwW+C&u?s*6sCi?a`5 zT{}N*7hW|$T;J4zt~0s0on)pH@lxaj}Xx60vGvAAX)B$h=aOq zKNTlJ$o8%Gg+yZil@#HW#TFlaU|WzbpvskZfYvTA-)~Q~C#^h1s$b+GENnc`WaX_I zIMnxWtaNTqwFocRU-nq^MyPiHF1(|T<6oFe-j|uE{NVhdv{Z3VF1-R%K7C0l4zC!4 zyj&C>VO{B1h>IqG#7em;Xqs?hFV3_oQ4Qwhu;fS^(%~zTwD0=^bR9)X?}}9u|1+Tq z*=#iDPIepvc!O+mW!UcXK`5z!G}oTZ{&a{U=qsrU=qg2qRGp_mUBZ5!i< z=TFs3{}Tq7uTx;pD22>Nq#~9xVo{6vKWtR3t#*h{NKwe=A#Q?h)7iluwKt5?YISpa zrpc>+T8l8XKn#Box(#%vtBxXc-fSVci+dQ%79KPFy%Zqsr;p=G5f$`bN0Vu-IoDC} zpA$cXy3z-^t>uUV906;VFrtWZJT~HZf2_(fSQgDyGaD$#q8V5#MH2j76i}%&QPrq4 zBN0bwy;>*=dptE{EI^U|VK`0HhCeKIs>65O=Hc%o1*CADRZ*Tw!Pf=T(+HHyC#>6> zFC);~q%%v5W=Mlz@Fh$Z=;%~jq{WY`W0q<(KEG%z)v~!)Y!ozp*I7EorgH8{U*wLJ zhR8Rg=!fs0Sl~CM;}H<<6DtaJ{XX@m(E~@#tbgY{B!8~z(S_*6@$p#A&E2^%WT%TE zwlfayz%XZFl=VvUpCI%s&&ajRHm|{^PtiWf%$u zosdt+N>Yu^gD)*NF~HkaPJtH`6ZznCuMoi?N}NJv(5fE~IvLU8AaiWGzO64sYp*Ffx6`b!H;=mqLM*(e( z0*Z6q;CIm%hdg2K_}MO|kbI2-a&0VxAekHPt;YeCAH0fSnfYlYJn}Tpgy^ut5iF73 z;|%0~*FNfO0#wH&O2kFE>ya>sibm!>4O(S2E-BgHvX($3ga8!sj9F72yfjXToU?!) zO;xYSIWK_{6O8hloLJZ=u354#Ze;=nY)A&Y54BHNi>;W<9ELZs6;--I<*^gmArw?` z7J;wxHm|f&RCin!mwq0^c|{TTEDp!^*oRuC*sa4Yx5SU~)RRa)H?F@gYqlm`t19@9fmjz>gaTq72QC^HPGPJXov76eexdo3C$ja>r@!r&Em2LY)DOspx@Nfkc8mzH9W|>fE_|@2W9~XnmxF`DN^;VBQ1izO z<<7};9+OikrWpexMLJ>ed&PjY31s0u(?1H4u;wL?=Rg6wrNjTwUD$#!kW`+F9pW%uwMzR^ z#=AWnq1ZyiTa?Z#-CmoLzH~+;LUT;F%+rGEv}(ozh_2JDh_nOM52H zcSC>q{v8S%juMndatiIY$s|A1m&UOP!TA&Au_nh9b~MY(PS=-Xb0RA( zY~%IVhiz)Zh{DE~wAkierJ42+s!E@}ke=t+b=JeyLm^ze&zNj99T14LTqkcE{*kdc z?913LN6_xq^;&1N=tkG_qM^ExllJ_V!Miy>`$Qup=;{ck8`@lf`N*QCTu@q3JkF7@ zZXlWtcHf=L*boD9xP!;@#PMzU=rQE|q~)f)Zl|C6_&AMbQY=_elKB!%j47FWdSWg% zW;?kp%_IXOBs@7}x*<{`)whf$wpEb+an8FyH$YPCf<0lU%Qvf}dCQ5g$TBpSx6MpB z=*R<$tX_kug8^SznUD;Qc}_nqyF;d)V;d%8Vc5IDR8EKf^PtRIYWun=UZzIhO`zF` zF>Ad;go3<-9f>x|j!olEC|;;)*?Z=^G4uc%=x zARCLCeUWro|I`+<^=(s~rhcd#xn|w!rQYq1SC*;5*T=Q%Hg~YIi-qhN;I#?bMRauF z-H?KnzjD%|LhV6lGzj&LNKkIq6c(TcIwOYKY3v}J(Y{i0Sbe0BlUs>KZ6GEpe19r{ z^*6hR{xncPJ6($I9>ckv&#d-Ukgh{1O{x~ytimve4!B32-5yv_`{OYwTL;Ucg5Kqr z6EiqEPTr4<+#z3Lt*ZGT8S5{;rLMe_T?rm)#GaZEEpp2Q z+pXzk!x#zP%n?^(bx40droLnv8GokA#r})*byXL3cN}!knCBnr7t;I1x3%ZmI5H5%h7RZ#3=}wn5O#2L+yloZQ15-fj=%;5)l~8TdS-7x?f339A0OAINLg zHvZ#AdE11-{hR9RS?-Mm*A3Rw^<6nTdp?quiHUb}?hx)ZV7-gwm9ZWF0wZM{ zZgufbl33W;@kLYiQA*=7uIW(>|3N9wrkYDo%+bDB#ou` zf;}?RJgK;F7(Tgzuz=0p>|G?$cWujV!z<$TqP+UA>nc5r;fE#~>`i;)Id06*uvci4 zsv^Ob7O;Jk=_OGRgjf(pBQ_1&k0jr#i&E!gJ`$)?wM<5(xn#V5j@r7M|Be$hC z0kP3T#@wrGJBdKPxiTzg%B>I7gLzI&mPLB^na3 zEDhOE>ugk;QVn-IMwC6*ssT`>PFV`CX~B@{k|UNrvLF@|5%)ux;h1`zci+;8@Nun3 zuRg@Zz?%wF;JG2i+DIRgXt5nCrqKsS3+p++QQornn!=d^NPniH?K4gYOb=4dR}uh; z3ovm+Tr^!3*^1sDeUGMq$BYm|5!08+<_z3` zA(=0vA~Bu*+b%VBGs}%iBKSZ+D(UcxEEk!nzDvboj9lOFcG8HbqQY>WE^9j7Jy2|D zsQOb~T9}WU4Dcw~bWNJW)hmUL#h9KD;50|` zt9VEA>6b0ZKEM6^?rY{?44}?z>486=s|+AbOs~)(HU+TYd_33zuJ+2&2#qGi(TEs8s;jWcmYGN6 z!%%PT30>U^$w%Mh`BPdHm!hxlIL;BzD-&&s%Te5t;ykL>`3;6#ekUnG9lF$$!C%5p z^wJJ8os_F4wofH^f4OL^KmJyq_LI~7YWl%~*jmOar8^?c2A<~LiDz<7k?K3Cq2ZjT zRQj@n2r?a1n>R-Ldp-RagD){pVuG+eAO$IvI|Nk(xJ9O%){3j{XX#2+@qR5)gD7Z`*{{d-?2jAf zMzR^8MLa7sh%X*S8x)S92AfdZn%(9%#0Q}3#6)6W>|96o7lxjbPgdyHk6|#Y3wQBo zSWXU4eu>$yHg)9;;nnHahqcD{wdps6F*XoY@BVXFeH%E8cZuk3-ToL>Oo<8J3Q^P- zOde^^){bN#OI;Y>5=lW4ieX^OM%0Z#Ds{)viPx_?Si-8}n68g#w|q{o+xtCS6Xp)c z@oQWrB`-GAH5Z51!ffmlyxqB7#t5@FdHTwr{umTO4#6o~>5tUG^|r3t3BKq^dthJF zJ-wB6<8s~}kHcX1Yg{0jeFx9>YWiSd3yn{R zQ!eCJ!v`kLUD_y?Ld?$xb1E_OJVE-p!Xt3mHQ9s({_N#NeMkIB z2r^Qi{twhRV3MC!_z7^I^%zrF3UCBX=I;L0oP~Q0E$iZz9KP+a$IYc6F*Po>PC}qY zQYjdS3f&nNhC`0nSQ4Oj>w)y9GxOr0lcMu!3+jNG`x}{*Mct7tl}EZ>por9p#1>5O zm-z2PYZ|Z=aj32zI^=~0zLlb|hE?%_=}#*D^=WyPci7U{RsF^GndvFY|7a{ffVuFX zE@4?IZd$s%#k1y^6pN`E{3C4*ls9ru+@G6A6EkJQJPYXF-4BUiFQ>>7d~7!qQe0CB ze5|@e+`pQO5E!}Ci2B*;gXNv|>n>>l7adWtlbYP4Mm_jPEESYQ)YuXo(IIc#F`N^{7=r94tetYaT3EXg_!$-{9V9Z&*voJuI;F%($RR$WIY@KpcA@BjV>aWk$_& zW(b)%^JJ@SubOg0v>_YJhPu=fRnYH!~o?zvgcD&v=z;bL!x z?KO=)9bAS8F?!_6?GGCO= zhl4G!Wg735O_6l6BxxURnIj7_WKkD$Ej2zdjt_6gkO@GKC^qIWoQdqzn1{g6EKVGg zwg2s0s&*bwmqavCI8qpXM);gE^S&~*m`n^@H7zD+9ih72v2U6i;%>s0CaCIP1h8KE zzpUprfcpe{oqQ9%r>x?R(saQCcPomtMmZyJWg9b{)A{F5dzJpnmy_j{y1OJI2${u7 z@$Gg+PsRuq35RBb`3ezSB^9cv1Ytq_Fx+^sp`(;@1oSvS!&jx^egYV6n8?7sWnb+T z0$NoSe85MT8*y2Ok$YA3^*6=ti@ESI)y`61MJ3Dcs4_q@>U{*mYHHB$?1D1zu8B65 z`bH|4Ru_L)wI9%Is(2XsIOa%Q6EDp6mDrGM%Uw%IK>=`Rat!=fc%VVSqx>UN9c|zu z;GkH{<9J9U+z_><96x?g;emQcjfW0v>@(IIS`PcAk)Z0s5F!4*OWNNn~D)2OfDp-Igj$iI8 z!ht-rft%+3B;VBoYZ%B0GX>pmB`S*lX<28})gap6j{z-uk5YH1>*LlM>=_2(PLd~E z!-yw<>G%8*47prqmpv#dUP>4o!6um0P*RwJ@88jOoqitVyH)XL=>8CqKS}Sh9x$@l zB(-D|{h7v9q(59!((gjZBN&L%ID+tF9W9bdi!s^sbj#wBhaj*=iZy>KguBNKi*>-7 zE&VXkq#i&d{^dwS&7&pp&XeUI+try)e{$>3^6gywC$xW8$SC>_LC-nBJ6McICg|d( z)4t=#qxP;s_k^dv>~A#X-rvIbuv^T!TYcIjUUe%UsM1C^Mg7Vte?D+tsp~FzsXv+a zdP`YHr^MVZEV8!sQHcjwQJd{?dLeVn>CA(F`F6Hp^!|fehxvbT>-^tENdC7-$bX8N z{MQHx69*jwD*+1wI~_Cge~XZ?u(1IC1>i%+$j-+8e-4o_aWee3{O4)S$wcioxGlG( z4( zg7>A3&IPl)jixngNy@w(UoW?bYwKJ3FN`+iLY{Z+Hq05jovoayS1#$l`#ssc@9Z%> zzqz`9`@KGUeRwqeB{$mNSP}YbMe}W$d0VmwOQyI^mF0dn9c6O8Sd%r)wN=+?qZED9 z`b{jD)C5}~<#(#6N2DMAh#%G=S98lthoH|&_nWsfANx|ZNl&@=*U;085EviCIx3r) zTJug9_x&;ou)!3%$9chn-%`lT-^Gw#A6?qfLZ9gGqn~5FEsspU(zyrsEzxHCP-qnx(AX9^Gmfwtl~H^i z-j-E^y||Uxj*#{q37claX<>qxbE>t1)#j`TS_fLQ|7^}5n$}_+<`OPg3Czd1CAD@y zT5L2a!P})fX(kvDRAC0;t<@wAU$#MgF^crQLfza+57{k&^SUA~BRptLwB2-(d^sQ^ zGC9>GQ>=WJq?*t3B*j>>v@I36@ReK>Kj=t|Z9e~#9EUzq<|e9pyZS@*1RQ;;OW&#B zko6%DSzH%W$x}BS@ap)ke6VbcP|rWGN_`#%Gx1#v;5_uvlsxo5`fEJ;eRO~#t zKL{r>@Ni=$vUf(hqzU%)bRiWzMO8=<2AfkCXp6D7hE_3i;RL>&dr4ZNbWz6F zRRg4fIm7L#>e|bVtk(9DeHfHG_wEV-P4a&&znk*4k}%UX%M*ouEoUC9TQHvNp&g(p z^{>*t;VSi~O=Hxcnaf?b2$m>WdNK?No@|`=%M&-o%}0*dHC#3qN;v1rL?QXLgXhn!Sj9OUFeC+ zwX!$nhGR!lKUXx6(C+t}8@ChTfjf}p!5Mv%V+&pAW9E#=UaNXWr!6)|2jhZkK9#LHa^zba2`X!ut`!yPaE^ogZV; zZu0@RW)GUw4znaAr1JG7-+eJo=M&?;%vQ=zKt8%7%H%?Bk^0j#$xN{sCtdLG5*JJQ z@HD))^0izaUPtn9%E%CNA{8iD6`wHp68{gi!otW@${rr!5Jf5cvx;4rkk3VwoVd}>$M{}Va zbm||)$?2Y9O0}v-#f$^8F((DlP196-GAM%iBY$62y5dmG9#REyfqxHw zy_(o^sM>Yt?YSpeij5+#QevFFCgMhW+fu}5jI8D6Zim8qx!5_E5POG${7oyj1B0Ur z)Yajvb=1zT7}cXtTlF=fLZ2ePN{zW59Mq-58UX|s&|ctMWPB~$j&@~3Qx&sD-Vyq^ z7))l_s+nP4A&VG*(+FZhWni>m5Si}~qUG$&j%fz-!fQVIA|FD$0o_^6ipw#vU=hSM z&6Xf7e~()=!G9THD)f+F3hzF-zffKRsj8(^Rfo8rdTpX$2!h|b_^RiZ(_aFcz4%7h zAxQs_^L)I?UxT@2I{g}!#y6VA@=(&o8b53?5@?}Nt8-$nx+DT^B09U64|QiEza}=- zcp7=J+>(5OsAc7zuVm@$w&G!}*HRfhRCo%1LK`^xdCzsiN$#+fgK-97a??f51ZsJS z@KS6wemcngdX$hrt{Y%bxg+j(=%s3NKEZRLqKto)i`I~C=S!v7!FR-SL4NlYxXa?K zXw|cLWOWgC&M6|y#4cYUUqb?7nIBm~)SS;*-rcndT_OZ|TXAIHSOk@I(QKhX&-?Se zLS!LwOKU@JP_!V`v)8@U3g^<-?r~_a%WB?BXViR3ap(a&El%UhLe_)Fku1fdbqU62z9Xb|rYoVShR$^| zaY!uGuY(d~wS+IO=J;=s+s7@n5lHP2_*~DqayX^@b=+THOeIRE z6pFhH(4oILu%I~1>;Oy_EvG;58wh0rJY9db51ulu?M>p#&a zN$D-5|GC@QDw%LLlIJ6DUF7i6tn_mO?HLJHki8oywDPnTHKJi5#*k#5{t6qUM_J=c zwLJYgHu4NzdFnZM*w{^7+I4i;np%76pOc&R4?$2aW38pSsb@uHyarl&+aw#D?L{%D zjmJnikJh=I(?r>a7}){$f}h}>WieyUvC8>x5PnPpHEXG?T)ne412if zjyn$w2hbQywf!0QaIsKoy;Mf%LtA>gyP7=2}(NT|rL(gnYoqng8h83P~rJ0B2}xq;WzzQhmX%&UfcYOb$Y4k z`iSQ`llP0-piZX z_n{|4ujwbVuAa-=jln$M;8RK?24L;#GyQdarB!+pL-5j=au4W@l@=DD|F$l^AMO7B z2Jjj2&tH~gT_m8EkLz$9ptZb2YKmTYj!(|NA7a_tey-3v1o!CI)81N9hhaPX z7dOx)^E@JM$#p)8|6&EHiQ~~V;r>EI-E_+GXt{20lPJ?+eAd-v=gWYuz{XhJvfJ?+ zsjV&-q)EaU4G%AO@L5QYU1+J*r7U#<%u%hSG8ZM^YfjyezIx|w)4Gtax7X)W5l-mo zuhA1mkzctlbMJ@%)=zxt7~h@p9X7g@5rntyt6e?!m)m|Nt4LQX_7_nJc2ys}wx(^! z^By_rgaUUyx~Ke|4ay)$siFBxZ%@&y`9-BXwa%=b_faXe3bw4HMq7SL zsubOqcO~{w**42)N7!eD^0_&I|lCmAi@R z#9tCl5*Rv?_iZG5*cQNWX|^-tK-1ui6%GaV+w%pKV}TIXgC`Ppgv*@a@KRTb$#El9Ty^lcdv)Mhn)w`k|7P-97du#Y z7qr`c(It~LJDtaYL~i~9_#J<{WIuM#-RMvw4eZ&3%N!Tw8HF{ZHO3e@Dsjq2KA&9FvtJwBiov(>|?F)DSiEO^DT&#!bu=Wy!g?u**)F?+tkA8zdJ>xSLen%#IC_0*6XU zqd#E%NpHRKU=DAIA7Em^&N-)}D#=aR1lBKZBHOPsqm^LGRo10o`;6%vCFs$WB|YOp z&Lx(Pmpey4cV3!+J#D0(zeWk}&u5pMF1kC6Z~P)~;`@>Ui5om+9~RHJrLGrLN;jfQ zm9zec*nkXaBfKJb<#2R$U$^eC>gQ@FjKZ#(1vW1s2DfHfi~g+9R{}JWT%NbZxo{X@ z+Ehd~vA%+ez@aCe6=KJ9+o?wS1+^F*@|)vMFm+2`uyxFfl_V-6)7w*eZ}rmdS0p?h z{~j=q?Hh4lNelIlX{>E~2v&5Klbt!Y-z(2(fneUys4=6}3OK5?_tXCh7cr7^ z3@rX@&NeRdxMyWPL;HfwjdEsU7A#c=hvaW*|m_dH+n)A^>H& z!M8efm(Ey;KW&tGycO`2sw7rcmHvwebL>R>{I|=W9zrjC)*|S>mdsi_vMMs2CYzW7 zizV}@A98kIN6E{dyNl*(16RBHwUU|IS2=PjNYU)!OiMKa?`5=dl~`6w5~DI3Aq$6A z2N?lU_PhQ8)H`)DntZ9-#+&w%7G>D&_#d_y+&!8?Zfop;cMuA1I=SCYmL1!+$&C&R z*ElRkWqKe7aD|y1>7TsJ?he^Gv)kKC@|p%C~8EgM`wH$Wj5!8D5kFk zN=(9)=w#QV4E8L;OBr`tc@Be=C1l^Ll(h0B^U8(dP!R4>MdDgP)?arE-;HsJIEri( zUVp_I-r{}W3obLf1cPgX#DvwM3-(!t!VsK7JXHB927WZq=Tc9J0b3j7667=FsrRED z7ZFMu;7#PK(2nWDJcx^ym`WG$w%-7PMs+kfH~8x&Ux4YK8(M(f;?P3va%Kh* z#G=>eb80dKADD}aR&#S=;fR4UC1S^7{n#}Z7q9kTH432-1J#u|hbmahJTkAq{ULUd zR0OIKI|AbUaSId~=j&rmFgf@3v=;=}2an0;gDBJl%6RBw-1K5g1!>RXq%O`s2f)N^ zhwp;lI%Bh2S`nvYhxUi6g{>0$NTbeAn&ho=F4@BZr=;m@VT^y?nq8w$UU2}`O( zW`8QjrkqK4TQd1UMdljQw2

=$m+4>}<`;@zAYZ0#>I?$*CXtkwex-e?rq`Xc)PQ zliV|AA)AFXWK1+e^+i-$TcDa68>#pwjDudds@#~5je%5(jVXYXw;GZ!<7Ewv0m;^; zyQ~I+5b`3tWLdrqWc;JX#4oSWu+2n2h3bj0gdTPlK_`b}DQ%u);@fu^(3~nIr2(%L zmPQ9Ml<^1DF2Y0RKfV+$ACDamV$^^bkbn{yVdOneFd@kHm(Qif*$D@6hnP=`wIflj z|4~ejv*XX?ol|1(4LS~m7CCPmHZR8aVUgF(88hPLw6N;Ig!=sDzPbCm@~2!;+wxKTGjZ;DHb`DzQ}St0I#8q zRDIY0yml72HECqN7-8f`Rs(|r8UFw9PIZ)g-yq5ftYf8m)zI}2Yhym}`yeq)51+H7 z^+Z)j3q{Kl{a{3Fw6})J>aCAW{Sq!MS>p0&e?A1>6t_l z4cdt7ZCcWa{U8$1N3mCba4AeX#FdNd3(|fM4h3WW01b}FrvxR)>`!p0sbBkIMO3oGp9t1-mPjrFyypL# zXu~`aK`AD0(f$3k*3&yRIwi;Z%bSD}y*&=pjS zC}7lzNiiaZmX6Yt4k!gb&9fXUCl0~(BQK4uP)x}|bo_J2Ms8k~0TM_KAhzV?G4si% zV<|~PiJU=6Aq3D$DWFsjDH;jlt3XIZ*xd6b<-5={V202vU}8aTi`N8N9*Z+((UPMQ zYLS%$>WKhFegm}8cBumU!>a9>75+;JL{-%NObqi$Cc^9wyMQdl2^|yM!^}US@|; zP{#iz4JiQY&ZGA92YC4@ItuOV_I2}6s!$3`U_VcyBwEG=1{YKMKngPi77_CcRyc$R(kz~sLxtEhMXZzP0tE_!5N=kB36GtlAWmBmjVuJA z9+tufnY+ReoP2|fwPXI2)zy_aZ_6j~S_w9gKN7;lR4XP7`_5LvC!+L4|G~Psb zOTqa18%l^9b$wB0PqU+#`f{w2n}O4$FO!qK6$ayxF-E=Zf+7Fj?gF!X0{6X$A`@EMff@cc7CwzR_43sK zQwB^@Wn*jh&rmOUq)F~=@5itiJrV?S12V@c;{~EKm*6;=n&k8d4jmCPfG8kqbRzmA z3=jomhP*ME-`w?j{C|h5$z_ zNS`nOiGbe(FEJ^u8WIL*aN&OzAmHiY`nM9Lr&511M&Er*n0T2Rz)7J=$;m6)Ldt+z z(@Cxlhe)0(X9af*3>`C-b6(2j-y&*GX(`4HlBizr&??CbQaT5Rq%kBSOTuSSw+s`# zF~udzy)P-Q`5A3Q3yJ9AyW4Te$X5DJBs_DH-Gk zdkLcR=)(&l{4pIF8`rovQb7MkwP%n4`vv?a@;f6JDoqSI)q^v8^)%BY*1Mq+68|dw z?qUrdibs7>7+#|O9uCIe$&wqXwB62F`8=_7=sHTTuxuT@c`g;)-gidxfDJ_Rh-x+8 zTG;c55=NNRdb8*ig517m&uzi^nQhsvC@?rt_gY*zqzS-_rYriL@KHYAyA9KP!U7&( zQ5XRbrx6p&WYWq2MpghYvR!rmQhGUodO|sr=~p)13l9KNi3qS3v<1-FmMF`uovKd; zz{c&cA?gWP0QzM?k$n{ty}&We_bauE0PHca{zz+Kwg&(c$3Q{k9s2Z~Up8ga{&a{R z6IIP8;W<1cVQnMykhKbtNEDZqR&2sfJZV`@Pq!p9IpLv7_6vP%uR)_27yp+AR1`w@ zAIsP%52_@Tsl=g&oV93Vh-p=+`sA|OLo708{<5Y34yOH?#s9R`# z`Zjb9FR+K(t&pZ8!{54OGa zfCbk&qv0As%Lpd`lcgdeBf{Y}(FDj7Puu|@9(!r9VSia1CVX~R$&1A`$GkoS!p{pK z$_%Rn4)FpJki+0aO?-T?T}={x>2kTJhJ=|G@j%Q~B8PIpC=$(JO_F|!St3gDdzWzD zXYqD)=u}j7pS(xRc614Ws1F@5N7zzg^j6F(N0TlvRn#0@et!%_2#O2`B)BXPfg>ZCS|3=H@D9l9F#F zW8sjH1MHuFfdKXon?;O4#sp)DXAXN-+$>zP3a5%mDTsqeX=4jCGg<&$pR)16%e$35 zt0@&gvQSa{P`=JOk)ym4%lZks#Xl|}A7TNRSsP^kiX0o1wcY=Rwr>pb?Af-gF5B+1 zZFbqVZQJTXmu=UtY}>YNcGOT>8V&09pFXqepa3b==L1tv0%(HW^z1Q;Q6}nL+ zp+;0Ap{9Ulvg(y6yf_vVKZG0`5?2drAyBUkB8vE4<7Whs;Iq0!LyW6I;(I{-^#2XD+M-VQT#k#@wQ#0sblU@8eJ&Yzm!L z5I~Cw#-SzfEgWy5t9M)zC`bdTg+PUwW0XM&ondTS z3qnX4;WD?VL%cpefaFc*S=6WsDl^(>xMFIJ znccKU%j^gVy5&&^K&VMEEIStFJUGMhVl{lOf#a_XCgbTc(Gg=tjQiRMLu59vo5 z?)uodIJiBto6JqB-En{0Ify^cy@Bx6C^TXco0ar>UoTFH;PnB0Z(siX{%nWs{p9#E zct-!%r|(MA>?l6ua9vEi8*46)*4p{xN##{*;$=r>YTrmgjD;#$(X7qjaZjbbJI%Dw zzwxU}8{X-Crza*mI^vlhNamzKXP-byVUr4GmDzXOl0$L9R;~!y&qi|a z9B%C_31M5T&ng0mjBLohk(bfon0!taHS<77KuI7fc0E?yq(fF0)I1a3D3Ym^Obulqr!GwAX_|d;C-gC{)U7xFR6ygRRz&uo zY;y=tK<=~3HMsnb#gjuprNJ78o$^iK_*fK3UIL;q+f_#5c0r?_4CgIh24$8e4 zPO9fOO!dGYKX1AcGqyJ-g|4Sl#u2*nSo}D3Ryq72NDO+%DML8kYj{b29vgjO*vYT)k+T$#h4v1a zu?(IF{L5Re#})xf`5{Bmt0=1I(NB&^Lx?ek>ufBug(%98G%y5QbO>)3>;O~C{v|8e zGNN#Bp&fbBCoJd}_Z&A7W0pTNE(*tO`hM~Yv9Pc~_oRls3hd#(6yPnP;Co#sSEGxORG~!W7@4&RTq=;6L{nv|I`o^t;0nQdSv_A z8|)vHR=qhKihcXFIqc%m?()hLj#VTqyPUN##PzdNJ@eqxeVZ*ui+6ofPXw&i!wT9{b27Z5( ze92UIkB?~kb3>kgciZr*Ne8b&X2@*L8|P`C`J*C=$~qwZA1bk+l6%vJ71L9zh}DBx z?k%=tf(%Mq`1|H6Wf#f^P6K3WniWXma|g6+@Y%)McE_9KG=f%`Pz}3mtM!}*MI$Os zi%ZJpQf+NSe#MXU4u)2ak4Y{`r!|^;5BlM84y9N23#6fT#r(3m+#XCX&MgZ?Ylp9XF^1?hy4l`eg%H@rfN%^%w)2S`_&nxr0g#p|t% zL9Mrj9b5dXo}3fs6#dGgrw9vbQhYtZ(Sn+{0@heLxW-dm>awG#RZ`V-{(FapRW447 zxAs9KFYu2EL)C0pmE{Tr4xDFf&B`tZ=*Mn~avu9A7}E$1D(*c1+&@`Jl}zOrsW@gP z0RUELs}DlR^x|#l*4w&%w5%vKX+3F`6L3ccIHTSpD9$sPic`huY7}s*6iTsDw7*=! zFF@QbU79r+$(!PqAhho6vES_0Ekwk>LIN=q;+RtpKcSofRp!H?H^f9L7ldG_)yrc^ zYNb0?fG-Kc2>?H()looP?5$ud@zqVH`@I}(wxGNiQL(zYB# z>&m4{?Gf1aPEF>7s5CEfvS9&O=^p|R0qq|Uf zsKK@3SjABD3Y+A0WEKE2Ep6{AsLWgPeL-4qsZKB@zarZ8k+t_=*bRF*lbZ+#-4>Tm z+uGDObsieetLT!w)x5n~?b#^0y=!xvs5^mYtUOt^*aT#|CO#uI9zTDN_XJw?C_2hSPU8QCS*=ldKpyLtyIH@dI#hXL@)kIcn0a z@m~wJaaaQby1G_2OiTQyM6NE<^7GO|F$5a@9E%5eMnmfufMY7Xt<4u~Z(P({o(URE{kF{5%H~aW>+*fm%&GGb`#mFj zHB{oU+&j)i_`UAMsAxgpovz!ArI43bMl9M@d40@%7MIVpPrt)gBDS`5g>YU`W(+>n zWOyuIvO3H>(79h5C6xc&J)LrXAVXAIZm=Fk^mcePFZFimU3r!a;t-!_g{2*M?Yaq8 zO+ML)YZJm%V4qZ$p>Ej@)qmS6cEtd+duJuzpA(bGCXI?;)ijVK^Wz@|x|OIj6kY24 zguB8{_4sFL`08z_7)aI@=j6^vzIP>c$(9)R!Y4O>A-k=RP6FdiOe%E*eFwF%YE!vh z%})>#07cSmmPw)66dOo#F1QB{dW~%Ef{9C09wKdsc?D|>c>8$pwycJ!Z0KgQ<(LG_ z4+x|X8UBIiB(u!l5gx3Hs9|~3cMW_MhxW~snl=?H`D*k#^Jz(k_{$W2EKU1%%#q9o zvV7y&`Gm7uLG>WJ`{CYRe8mOIb(qt+fig8CboVw_zUNf`_HuW1Tf(zV*ZH|^wY+YZ z;R#f3bbjLIF($+3ZUfWCE9Y;$Z?OiVt(={Fq~KcYT$ZGyG`c@^$H;R-}0DfQ4=GBNdX_g^aA zNn5da`oja@XAkGY!ONX z++wq@OI;r)&s)-l*Xj8LJvOT!b4lqI27z2@(=oKR3!M~UXX0{DXS!Xl7GkTlU`kB) z;YsK3d&j#J5Z%cGE*S%10FK3oco&R72ANRAKyhymUfq`E(_6saS@AWqb~w!4ICnA- z`TOk(uRah;p^LKA|_A-8%~x4yX7|VEAY=cyA0JV(E!O`nA}QLoYq?% zzAhFdElU&m83ihar+Q6}he+C&@i964IhV>*BtEFi5T#0a>KKexF_AnkM4NIj9g_J_;D$%8`4=o6;W ziR7VI4<{mYcd+rt7~=Wavdzx;VIEZ&(C_UZ|wAV8cY`l2MI~ zKX}E%d2*O{?*>2GwT<1jNeC+mGeP8rcH=Cozw zv{JNp*kM&aq}lq~b7)CITx9mOb9aP*nMeZZJ#Zj922UgeF`RJQXP#Q2t7mv)%|{U0 zvuTD*=3!WMeeje&LKOYHv5~JgcIw3}N)xhwdJwdwEbl-^F#85)P;}V#pQ{3N&mnZ< zp6{QLMW(60GX_pz!QoA(twU<)cS3M<;sl-=*iHvY@wvHz8Ljp3wO|AY{;0L#nBo{9 zwj5xHrA#PSQ$Hq@N8RuwjTngNKsrkVLPS%D{;oicC5BuOHWDoY0l9^&2vKKxer3+r zz%_oj-4BWsU!ZuWgb;7!L3(RaZkUP4LDg8D>+=PoZ1dsZQfohRYmPBHvUc-w<-Np< zUucqm80&Enk_bseVS@aT>QliG%CBAcSs73vDm|tvf2GHpV}$b5#G=eHDK(Pu2)yxj z)c!^A)ggA&CG*}U^DOQ)`gBcA(=&P;M7eb*V2q- znF~B~TMs;WTy+`+FHm)W@gn}MwpMF`H+d9uRl(HbG7QQubclI%<;Mu0ZPX!Ub>W`9 z$gp*YnE(ZC8(W5STw3g7&=(?T0%BP?RWADH*LoTT z)N(ljG`(#CGLrTw^@mpBYVt&*3yQF3r_)L~`W?d)S5E#At(v=%uK~9E>d{PEwNCwA zmK&z$jIs2w!NjSilBR6sj*W`38Pa5@-VzHm%EKB0f0#jQVuj<>JB53tkC-+F&}h^@m1{oU@;>%qKB<>u@W7(zbZSl3|FIq*ED0E?7FXRY8V1F*yl>+92AD7kbG7k zs}51gRO{SPC>@EBw(`TD2gS-M;E2n0gR?8)S00!u@5w>xief&DVAh;5N~wE;abg4?RL(r1qb}dVEA-Cq6=sPEmFQS_1nraX~o7=mFnK>ddAp7NKa3 zV?vfSDn#+-%49^ej^Wav`y`z1hD0a54>m)bRCC9+7*iD`E_8~6NpioqnCccNvwa?8 zlSp~l9vfD#jB1h^MFpu!>Mo*#8dkSxD9u_AJ9jIS-wJlXSE;T_c2%YLVKP-Mjzvqi z2b%~HnbL-?JWFFjgc6|4y=s3z_lABZh;lt1iaB_E6|3)H_0h7b8gV%tGW$&L^C zOX4HVNCom6HJN&)wuwKcN>OsO|3aop{>fj8Zf*^}5gksIj0(u8?p&E2Qg*N^1=ljc zVp?02DaR4V^ZNzKI$?z?Vrd^shl)U05=qDRmsmqU&@n*s_i>RWnXpvjYQNDC;dAkoT-WKon5`cGiYD0jHkvG+%xuH~YyP{x` zS&?;x>W>zc@}V8AVfcGgn8#S=O|zrGIm0}Tuhm^+gpumpD^@9$ZBiGl3ROas(7{U0 zym4Dhhw8%GXbH4sBWja;u`ZMrnsR9%>*|G4&`<4hvD~s2mGoKTH@rM58l%nE*kbR)e=$jvN2YgGD)`6Utp>wd6p(&whI~1VQPa( zdB{68AO(tq)F6O*a{g99bcQ5ojY?cQ54gRHXvPERG%oa`Q?ln#?!A&dRZ0lb`udKL z?Cwu!tijA3(rmZCyS8}934d?)7P5vu;d-0xYQdL3KEe5X@1C8)oN>&P`4AIRRq}B^ zLGx(SjkPxewMg-D67bSf#WHe;9)JWo2DrO?J{Q?a(?HhxaDvG_4YrQNFbcfJn0+Rc zWuj*do)--Vzx?5a1eD3zivudBf4_aih`Etmg-xue?M28Y(}N$FN}$*$F(L>5#hF?z z3#7Xp4j60$(SfOT0Y3>`os%Czqpex=RLPrtmtU4I)50ZFL3Fwh%E!mSy#|><3uDSM z2dT2HS^^(T#X{8@T-#g(*Ar$LOyQ|(FMsqfacf-QZ(x88`Z&KlUEp_cTNJcxFVBs; zy(|k#bW@}D6fW?kqBZ?4MG3P|m96i)s_9&{ zKu6u}{G+^#9!H>br#W()Lr`7^KV=WB*`Ll@eY>t8k$bCa27WkWJl8 zHHC@#mb-**+IM9rh>q>~QLP)If;UyFI5+ND4 zW~h=YRJ?M(rHSPbMCgZrEb%?uau(s*o`WbcUaISv78hMJdxaAavRF`W~SrR;lN+=@b4jOk$?0wG>j+KaZLPK_nOc4m^eY$m~l2Bcpc-Bj^t=fD)^=C8)GfU zQqxNdBGF>!M>wa7B1dgtKo3;vRSCKRfD~D+<_5j-jf7FvnVI#7gkc+D!>tx;ui(|)PsE@!Pe)U&o9ma3${S_U%VOs=wa-n!!FKxevT3l&oSkR+4LLp{ zUV-FZKT8}2f zVXPSbv1UlcQY4N$+q~nj2R_(F{(;n{2Eq+WltL7kxZz?%As)(8BR=Jqy?1@@iW5s5 z%2McIM3Xqx&Zv5z>jZ7kD#-6(Xg0e|M(yqEq5Sl2 zh11a<*}r+kK0nU!8{kP?{eu|~zyvHpC5z+Y+UAwoI3%|ffo=1ue|!|I$PWwGS)q-d z{qwa(Rg5rpVK9dBhXcNXiFMdHi5Y)XC}{6g{h(Z){H4W%ZKO(T3g8F(pj;}@Vj*lr zN1&_Ic3>-{(L}_xmQ*ZCA?w9eaqB0?VHvF9a??Q>@M;JhYr9?pxd)Hrl%J=oXakbP z7!wl(8&Ia3iS=0LK5PrET2ySM8TiDGuTx`MjR2EPn8Olp6QYr^j?i!4*N$%6*(s|4 zkic^$bt!JM8X#ca{ewX3Bw0VIRy>|5KkRUboyg#PcGYpgS#e{qjpxN#1%IRQl`%UO%7StE}<$$A06u_37rCK}b8ZTjpru>7I1 z+-x}yCgWRdW=TsIda5UeU9QzlOkDJlb3dX?=B>aWk#idy*m`v9_1DxPk#NChFq27s zLsXQ4k8IS6LsjGwTWuw24ML084Y#NoqXcb5gc0C$p8BkGP{k(5FyksVUf|SzYI+h_ z^mM&NHv$}{%k)>p6{)A9RP_x$z?l8q>HfpS`sXwLmpko$a$>PDG0`!w5HK>aev^v) zS0I&5X;05@?ET^43356tsuRCls^Dv- zj3PJ__1dLS2~%|USuc^vR;tHl>Az3+R9AX2Ei*oq8P1@*c13+ru2e*f^M#9$kkyrO zePi*f1x&#~3D&X}MG&QfslMuL{07kst-s(XM|;GIhuj2~+#_FJQTipnTV zti1#|1i)58jS^Vkc@h~Ky&({L4o=Vl#rqe;yVZ>K)krKZozVV57$JC&gzQSn2I~e2P-+R)$q0Omwh-JrM!5BrBljE zK$*^@;82%fVjzX#Q7587;0woIGH~qmCF2*FAp)t&nhM&JwN8NC!ud0c4P9}rk8kL< z_WjtMY(oGptwZ5t-^!t6rK@10*j0;B9twv|!pmzV1R7@0O|USmgh=FA_J;8$^^xL3 z6lO1x%21t?1UTkc#AS$BWlk!}DYp$9eW|N*5+apC4%fw#vu^D>)(H9QAU9meg@ugF zZsRzk8)#LdK2z_N47X|n4#hv6Lax@Mx;G0x86S1fGnnA(R}yF4M!>T0VMTIFDe%(& zh{I*HSc>5!Lcw5GII*rwi4HudZ*blf=)`<$S>w>D0iD!D0tdwPqmlJdhk0k|k4cW> zecucz(nfXaX%9!{VRMP6ZLn7vbPa1cKTQnIHM*YAHTYB5B zVdaoE>sFmIQ8Mf!WbBi?zP(*`^s>gVZ#Fm*3r!SdIG`eyDUdWX{m;{`z!_IgH9pinR)8&p;vu7AbImR{lMemBFHfk5bNuV=gF z`)-#iaFm@SVQizcCZ=!+n>kQ$VjDD9o{p`Y^){~%wY7&8J=xU$gCt{nb>Tm~BRkOR z4jj2(LG4^L=Xn~QBOp60d)VMbjYLHnt%FHB9+iekGoC196WdrR0v1A!kX7B$Aty%< ztSUzwpTOhAZu7sQj20Fs&}$JM2y!;T?w|ZWZm2ent*@c=ek*h$8%B2!at!o!g5`5- zD%CP}0}4ecBG)(%I1@yZ^UKVo+1RQ;@}}dmZy_`P;;&1VC#nTu&5F;b^_;yjNkZ)G z-CTd(8P=gBzi@N70(w`>WEo2hcIS+#zl)GZjzL8;Q_c6?}&ph1cczkBMaLRQt9 zmQ6^>V;kMJ=2P!5jTKK?$};RF|K5cxwUH-ek#SL}ESXpwxucLh99Yx~j8*$J-edAy z2<4y91bN&)B?SykX0|weAl5p6^WlBF(4oe=M6EGmhx<Y?M`v0IB8Yi$)2pt9 z(rGbTxExi4I*gex*)NimEhE6y>%O>Q}-|`a$zQ1Lh37LRingh^IlJi&F z8#m?!eN-b`rp2>d1k>pYt=R~ATXrfjf;!;ot=f;cj}agI_o-`U*78d$;;E?0=0IRw zP~+K~v69ah`w9?40~+{^OOl6;?Ttys^D7hd5oaPulYM1sS3bUUxep_mv-bGGn@Og6 zIjf5bE$zf&oRb*ybm04Xd>K3$c=nxC{n&9$*Ac^cW5d`mAy}_vR;AHF8hoQ?8pg|p zo0R5a`qcJ^gL07y9!`V7y5i5wmG+{HRK%8^CORn%!}Yc%DlIj4i=%<>ntkUET1>3= z3`gdc)3e&j*icQ!OeI<(Z|y1s!C9$g(6cd(b=8A!nL`J3ZGN|4@4VZqN~V7OSn;GE z{twmx=l^9L{AaL@iG`ExzXmo9YOUM;6hrnV@9|9)V*=uuf3oc%M3kzH14CaKSUc}0 zL(mLCf>n>FmvsFwc=Od-Off)sVHm*v3wp{Nq9Bu`*i*)mgeAdn1&QT8yZ9Y!ePgv&d-}Rs!YBLDA!%fM| zx9(ALkZ&yEyt`7f0kXJC1Qo?Eun*7f`mqeD>`x@ORf=*UYt+SRI3U#u3_CH$U{$I0y7%jdG$F%`0g#WyK_u41bI2tdzC*V`lsz(e zkk7_X9TlVz^8TzP!E!9@UWbQIw5d z7w&v8=v|T(E>^^{4mE550~|R&6(n&#Jsg3M{o#kvki{Jn^y*l^!aajh$L`Jv_Q@Yx z4IEWyOfpo}7OXM&i=AWecE7&v(3f?!sRk%YJB^Cj&cQ(=tl6^s8vJlEtFl*2LJHDI z6W&ia%ddyx3t5{gI|PJv+;yBLuJ)J2q!1HPdGJ|gR^r>v7+@8CvZGm0{Qww^Q1XHf z8D*g#EQ|x%NKmtrhTKvro1K0Nu2*ma&*K-}_SK!kkloY%?Xa0YDh}@g#!JXV;gw1$ zY!Nl=|9KRa0#M7?f*S4Ffw-Yjc6tdGS?7iEgt^QWc~<>=lqt3A_GB+&%WD>=YYsi( zq{m7c!vaxcanDfAW8eYEVA{g71xg;KV2)+?h&4MdeMS2r>GMLXWHB82^?FGMbAqh@ zgFB9wC~3UMDM! z%8XFpjjtg?Q3$TDL;s(yNKlGL_S2_f+BLX-cpC#YQQ($(`^*glVZM}}&f$#h=Bzj% zRYmLRC6xiqv&!SRi|Cs=c?4ZD)ZR%zv&Io{cO z2cY9lSs?{&gjh_`Qn7&uf!)gQM9QZ&a2mQqybF+JH)LCyC2Md%t1Y)^fp$#q^{o4S zXJTX-x}iSajE|4wW8@y*cNVYIO?6TaaV4HHG{Tlr@W~oq1#eH3LEAcZYOsCfl-y7%^~sJ`(9Nc@TDt(dM7rG(-6dDzl(s0n1D1 z*9jnZY}8mBI4Ti+%`)2<@@J>97i7#;m^?ENo*E|B*uO+lPkXfKy7LSTa~tjvCHSn$ zX`T%E0*gN-gS$eT3uKoda9x@%MKql|3rTQ%I-`FX*gZ1=9y_9cnaH^$Vzo{)uscfE z7@L;w8Ei%GnIEW=Oh`(RfO;c3?EFIdChiD-j&1Z46jnrXAd0^hlVI$M5;XaWLRu~dgKnfQum`WbQXv6GQOVLqpSTEFRLvTL|cOOE>g<>o23 z!&%oW#B8%L;ymE&QUYxf*ijL>Evr&K%{l14oGVicK;X_lQ{j3UNJs1%{sfw#jeaq zn|ec+;J@XG-*}M79bJ+!mH9ji6rn&%-#lLeCHYG@nwgcHe!c`)0b%8GF8|E9N|3rH z0YlddcWkr^2w8oup~>=;(_|MQvDhpS9L4G`B0sr)yAm7(m!(R)2aY#0AT6Dt=kr-T zQl>b;Vo@fgHTWXMm|{vRXpH3^pq3~VP@0>=g2L58q*W0rc6?S9B6GA>X6cYh_wDb0 zS4u0$j^oy(G5#ZknjO;>fiiz%3{b=NQ`);Jn9hLfD+3#KM8*q-{ z;$a1#Ef53CD-!E0Hs?ze{yz4&>zoU2M;x+BPihb3uHx{dRU=rps%Hy5FD5VN-2FS_ z+&B))d|BBpHfFw2`A9O$B@&ID)8$|kJl$Jt7b96%#8`QSzW*Q z>bI+qqT+1GLxv)|4#4$evQrNq*>2N_bon+Kfu%QBP zY{;{~Bp>g`I6Tn-1FX_O(5~A%iCHd{mOiz<=A;$1%=3*(`4H;Al|Wb|$C}i3g4mDD z_R&>W%nK*#$c$XSB<9!!O{911h4|VRxcI0ZL$cSl+bH76j^E$AChC$EH)u2ugVG&=`fwI|UI_aa!X(Ux2_4L}WM?~J zcOy>u^Y)%kh*NW5Ned?~-Jv*cGOU_b8YF~t)-$`BrM;pnqMvVN%2`(PI%M4tT&b-D zwvUnlknV+v3EbeH8igL|5g`qn#@l>-{VP9S+CPG}c)C4ae0&Mr=$|m=FLml@*k1@p zKy3?ti!*1;^o4oIfonMX;gRgn=5)vjwgbX%aL*|jP%)ui1| zfj`S2uiKm>E0*dUHufd~0i^ZoyE0gsxJSH5f-FSv1*sEl-x%R+qDb59#N)2k7c=2b zE8$L`9-FP$|5RUXqcy%!Lfx^+@#j4jkXs~rF*gc=)#Wy`NF;j1K9%4bU|3-TrMv+OUSb`B4pYZkFDjv=afZ38prBgBqs_t`rY!vAse?r z83fG-V>7)8CQ-I|jl^ATDRF7=b;uwfu5$>Ot**(th$n?T;^~8USqN(&f-8@k=Lh+n zYI9S=&guvS-*SJ4&Tcd@#_akCWD0Xthiw@z^G5W>%C5~Cio3X;sIBC_1%bZ!d>ky< zR{JQ)xXsgcK+C?QOQ%|Jb0uomDE-Mg(W;q;&;Ozg%-cA>kFa{YJ;=c&aW5CWy&x26 zH=US)0Lst~4*Tsp!c(i+&p7kh&Nfk5Rv&$1zs<^pd|8k-ET zE^%gT zr1sLCOg!=6i>_2?Y1aiI<`Mjn<+;!=JHMt=l)wKJ7sw;tIKe_JxLtoD z&3a-DI!3XEPoI_sL?KCuKWyfy1zK{^JrAt;#OCI~$RIx6>=~&fJp1YXr~MIv$(X#5 zk7h%D?vXUe1oh*Fy;P@yU^ z>P7mT0N{EM8AzJIch zD2VuS=)3)c0t`5efmL=^_S}F;VPEyGY9TZeN@)=#La7VA3YtbZzD=z(yuF-bu4V;t z_`10TMlg$H(F$Esdd5>NYh3$BrE}7LdmR7DfY3F zPdumOnl*6osc0M$PA4cMEG&7sX6GB%Fd{nXQ4fb#7-xNtfo5K)`7zfEzK@eAU zbCjFMyQeSmr$~j`r9-g#(enx99D3RA=Nn62&V+3RAU|nUp$ZBzKGQGEs)FTC*~DgO zH++}oCJfz7ML6--d~5}ZgKHzcTLUjwoe=|C$fi$Qx)5z1Cxe8ziXSnD0V7BMpb5MP8|9nbB0whwRzl+GXG%2Hvgg3 z)M*6xKIIv8Vu1h%VqvhTdPb{gNI~V1_j?0LV-kW$XedYpJs{_#$U*%Xby)DA{=kv} z%pyg$mY;-!7{LJrUx%#;+I$?NA{G_-96xxYIH#IRo-S*IF&h3(>Wb@? zzood0vUS&*aFT407F)JtK!O8HvonXjO+brK#ds#y~!i$ugGTb~Z z3rnKZM#!_uo)^*r7#*jmhX;ryq>60<6YAU~sD%*ca=+{IF?A^T4gczJp`^?EH-Nev z$Aovg!V%E=Y&MNkQG$aKqpZP+Cl)$Z%LMvKNza63h@_koE|*Qsc0|ik7LZAt!fnx8 zfnZB{7@qNZon>6rB=IxM5-3l3wV+bi%tE2W>h!_8Ut2>7e+w|7!m7bFJ1U!Knck6m z;7$UKCbKp5TaK_xDvjFX^uc6QdvIQkSJXDak0JTHx95R#4%i}kuj?>jaYLXS!Wbhr zlKU0e69bpX7)5e7W6A?AQM3l%YuI%~a&!^rFTst2KPGlBgT6(UHzPh8-pETGuC8L` z$9w=hE}^P#!%v#0Xrt8Ya^>+A)~`t4+a$5u=IcGr_nk!Vi^$HLgtp#rkLdueHBYic z0THZh;ZL;E@^KnvMvSKLlGHO^&e@oRcG!o5MSP#`Ka9kCLEaU50EH0b6_giTU)+77 zBJ~lSw>}I<7;b5_=BjBzP7l27%q4F+!*D9@n?k`L{N7;Xj;bTVOi#Rt;q+2tGK#?F+cB=1K-Fbiqh=oA8xxJ>ukhm@~e zoI&1(9+p^501m4VQ4gkmP9W~xCvQ%M&B0RY!N4rd-1eR$Bx0hrG7f{=v z`DH={umBQ`1J@4~=ZEBn#Wd{68(cW%oj)UgB+!z9G{sX(j6tmH@4v)QWzi~q=8+th z56?It*1f_3C)MfYSX5othPmZ*h)SGZH_R!r5V?nK@B9rIOTyPN|IM+D`loOdwe!M{14Rf%f3$(6P(K+?mAv+xVN&uqUj zEZ+-S&~A(tM%H}&N-~WMlgniA;F^Z?Zmnnuy9)1QR4S*PN? zxVA1?u;ws^cwiUrcJolseqBcE2mRz^cob>rGSw=hkQ1UR1HScG9(kUAY6NH9XGEW~ zX@KoO8h*CSx3>rINbL4#AO02faf@^z%FTx_%d^|rscH~D+na#9l0>#;RF`237wJ0U zbEaZCdzDoLG3j+pl3{IE=$?IE_n2Ybd|mK?#gBcMykJKH+c3rp{Z|yy)3pZoObz$# zD$IjFUQ;7&G)j;o1BC{qr09)b*-UzdL+~ikp$c4}`~( zef*}54HzLGn5no8N!P~N&@mW)N~%`VqM~n!uCnX;zqUf1d{oIW^QC>2E$bLxRe{rrr1<%(bY>tyjZ4G!tl&mv0V+ATNTbm$O}|4c4=> z#`=gH8?e9-EP^fJv(WnxQ4l*VsQkRQV6g~v2z@|!e!;91@drL8UK+B0DUA#YxlAn2 zFD5?B&EPG{d8-f;eY76PzP#M)dBDg%>yd|*l58_;YvOw(c#!LhO3^vRI2rt`Q(6g0 zo1M8(RD6`OQY@NyGz*Nm@MzH5sm0Kl+D|(IEkAC(dFNZkOZ|?rXKw@f@h7dx5N%V>MQM08@V-Ogt?Mbu3uVtQqTSt4 zZ)WN9_sKZB`PZqTDJ+vDeVvYe-J?=Td{AS9L2F#EZ#Inb4@LXg4zEtnopnd5d!Iz! zw&A|;QhPLhKJy)4!Mysv4uG1H0c9@p9h!e?*x7R^yz|~g@$IVK&Wm|!{20!^pBVJ{ zW(7_9-jj4z7v)VqHfBCSm>Dw-K$q|*$v&2ThgzQWQk#^Qjv9R^bU;5sB}wTwfa0{j z0MKslPK{#_9|hUWOno|z3Eq>er>1`E9{0_MGd`H9^6UIYlu}UF&eV}46d=0^_Se5k zF5Aauj-Xtc)82HV_zZU&RW3;YQ@SU;iYKLE^bp!$y#f*1=dlCxm0h)ij{p)_>$5XW zSNxa|>X?`t7x8B$n^2!ig5lHXWQ-nxmP{P2|yr);@ z*r1k5^?Pu9*EZ_2K3pM!?PojU!dLsylu zdyb8)Dl6T@`z)M#Y(JoGC?BgOdyn5PKoZ2E8k{u+2U*Vc#m8Ro5pC5t8};==GJ>)1 z{|%+FGyZ>hPneilIsVOiqO~5q&W7mCzU@1cuhSFhjzXz#m2<{s0o`DcPWviVJ{<7QmG>Nt&RTnYqNw%*@Qp%*<3`W@ct)h7y-p zDp84Ym09 z;9)P=LiZgoB~$H!4*SEiL7N#nZ+Dngjg3#QiAL?psHK-#ZIc>Z4{+#qf9KsgwaVD0 z^)TUfkYh`o10!`+27WVmo$^UOwiSzy`ptPYI_PrcN9=}eo7L}US97P_v2{b*nYb?i zL))|Pca@8ufEM~hzK5Gns|!qDhSiEqC;yLVyUaqUX_ajs@L$LkRT=l`$HHGpPTxXm z=no{-Nhvtlqz@OBBu0(pT&^OUH6?s)6_O9=n<=Kq4oe#+kerVtbsZdBPvB@3aNl3g ztVQc{A~4|THKGkdaw_}z5fB(+^zG$o#fRJ(f-s|0d3}#P1e)-}(Gn=GM~NX%ny6+>fwgqyV+Pxk7nrwPK zHaSGSwjcemvQBpn2AXZPfvX)ofL$S8!7=E*dbGOiYW%7es0lx76e1v@UjdXT9Zawy z7VFKhE|PJslM!YsrRO-Be8!_F=S&COUcBRB(TAwTEJ~bsdp3S1_v=Lot+h6yi49L` z5tp_dRtv|+k3wM%m*9e6|IB4B;#ukV5qKR6{Y1G#V*OxXAw0-ES}I2{lb!VcZWD_L7Fk6IJISI*6)NR|*NM)QVrtyh>{t3)MI{!aFz}k0m)@^7h*jUDzaQ7{E{(GfX%;TY$&YE66I)6K^^A)W8)b{z}%nX9p<(dz3pZ0{#R ztRIcqekA>#Qf+aU(_Mi#lVG&#{Z}Z1)q!0?YvM2m0@|SUAljM0Z2hMaDW3<^e&nDk5jQm*DSiERE z)iAQ5G!>oy?XRWE%Kz*dZ^{}W&tId2H&l?WqUwBEt1=8oN}~%Wa&ij5?a<2EdRpt6 ziuEs>4Tc1a)ea+DrAiCKk} zBB+Mfmylrdv#joeO`E=qO`i;=w*U@#g+T!FoIq$<=tozdlJFS+?+78U-;s|90a~UU zHU!qpv!K0mX9UEu42hb4YYzxJ1y+7f6kXsy;`%%mM8hb z=*(e}=EVt401}8#RrO_%8(o5XA7frfw~q#Te>vfVyMZbCs8SER1W_ze`gGW8L9!5aA*US^+oGXLE~I$X7#FLvf~Diuam%CI_ zk=`rMpp>nO(oGxsLtVg&Hdhmsa0>;a+=yF{9OQ>o&b=?EO8ISznW}8`XZ;>-Ildd! zoi9?&4OAH2Q>n`*NX;*3V4w#+ruNT#VIIaOCH-VKmX}{KU7s1EQmWIByUeA;y7?V2 z(lw0a;r2KpugH?88DN)qN+y@xiKr|*V((xr#a?M{2ox~dx z4MYQHtoWkQin#dApZXfdT&RH|r2*9V;2)$6yh%*RcxCZ;z~Ey0XpLuey#`lmyvW0O(Ra3=TuPVUjr2?oECF)3P)b)6 zY}|J{=7czP8t2fS80XNe6lYw2O9W5j7h9jb9Hy5@I4TM!U|=n-X2>PO zBrP0o{UbDwJ%D%`ATK<`2x~L9o>D@YFnYPY*q(Mr-e@__aHp!G9yX0~ z)gFeSZdrSXU8vF-QK>X?-?!Syph0b!uw)7i%1Vw^S&o93HZ$qWJ{E_ba9vD7N@f1_ z`O^X4x=0cZ+amJPcsnxS$L+~hKRo>HW@Q07p~u-RUmL-dKvB-|@b@dHHp9PIg8eDc z^uOM~Vq$0e=V&IK>Dc`i*dBQL&%oGBfL+aAYHW3wxOC${v?GE^ARZ7N5P^o&lA#vR z6~5ji;ZaXkiDRB#>439~v)f1Uh=S4BgzERVC;DimCp(QUtdhQJTV_x7Dl9dgx6{Ls z(68dNZ@bsq`@6dXU%Vy;xJw>SPvg5n0CIs?U3g?R;Jrmuy+CKHm+x1{oA@42D4#7` zn>{|>PuuHidcWO7eXd)#cXRQ+^#GP{^6+}|+JKtp9zs(UIL<$xcP~`?Hf41fU@a7d z#`3*i$sT)Asm?J@WndqEUew59UQw0WvKLP&o*c|N7JBi?tjHxc&+Wb4&b{D$&&kb| zetHJ=rI~*4c_Y$w1<0Ma?e<}Ob$E&P7A%`Xuxj*bq@X|L)Ep3gK!#BBg{+6YP}%a? zmupX@|50JlloJbByi+s`xyZixEk`HI^(lB3p=6`|kPMZstK`&v(qR6F+S@BWxercp zm$JY<0@#u%3i{y4v|er@SP~jtH}7;A|FiS?l)_fi_GMIN;TCO)0ib=H|2Yp$rYPGR z+ZzKHY7ozpg?8w2|70s%-mnD^Rx01q>+Zm#LeCQLlVqP5Q<^1M^>8s#7B5W72sHLf zseJp#(`jt3uj&-6-lvoJfo9u#iU)v>r~TZ~p+Fz3Ayv2zzONw}dPBH>Ep7k#gRKj= zT+S?1xT=-nslikYJQ5SRj3daYs9O}`{ZOKZ*??UkkYinrqy_l8e53$J>8tj~Jos18 z&I4~zW*S%3P{ut}yI?W%-d&24BU)fr!{8el@}koFLfV$I^mhV^ePPy8;Sy!o=+U!w zw61Y8Igb2ft6D^_P;J*Vc&25A^i50Nn0=>!RqtR3=Rz{GLB45@Q?1Y*UD;c(F(lY; z9xDkxCfVCdr|Yl)dqwVQXj{S^?OBLFv@^ek9ZJ2*sxJNpPZR$u8|{kIfDW-u@E zO@;0}rG{3L^)mGq3WMHJa? zGstTnW~ck!JtcX0Fmu4I@>&Eb45}4qWz_KXwh!s``a06uxDR08yEZl%!5=RzLB~_Z z8uoiCl3^(#lyU8Tk8ZtV={Q{Ys(9^-KmByLG(rrE*BRLN2(f7T;e9Y0Sb}&23*ko( zf8zVhs7Yd&AknTHc*!Chj7!sGTG*`!l^GWC#4b!ob~so+&A`!H)X+M#o+xW!$_KbE ziZse!ZlW9&4I-i_3yznnOtJ6Zg~v^2n7}di%s(-6Yk6bv@Yr)1H}KL!zU6I~(5s{? z>rME^Z6NqCx?DWO%h|ok*?;T_r0W^HM8Fl3D5MkDy)=ZkP8*mYveH?^{^G;nz+!Rv zh$rBEKZ%7g5?X;F$Pv;cNM~l(tD5s&q^7LylRKr)m#dtx%!fLlTS-3;FcX%tai(c< z1QUT_6c0Fh`Ro=X&4T&1?-SQh{Sp0nuny@ppfYW;RN4bB=e)(w@eFAB2x>(02&S(@ z1|4;kkz4~sRk>j2Ow$ME9FL>QE)s~g6o16EuUyP?rZ)4cBG_Ih&4Z-d@{s=`qQQe8 zi_&1G6t1ETry5t$J+|O!N*@;TW&dbN7nr=&lLf0rSjkq$TRjW0o05N~Jg%~Ttm0^) z1ZAUKgB!g>YOKOE)gc^a)e3Z51H8*{2a0}s8PX$L0|<42h&g=D{JQllE#}l8V*7qD zh!y|}Xo{u_fcnIpN97*cFXZjSn+D+N2`*s_NwAJq2Vc+=RIwZK`m_UwK-NV3<{cE@ z7`Zuuk$&5nacv{c{`5!#E#ZQ;4q*6x3~(HD^mJ1XEFY9mUC5CFa0xB zum=t&@;8s!9#b#4)vTrdy%yZyCb2#iW*euU-9k@`vsj+pY>iJv6zf+(-#zu;T=h;w z$UnDkkZA)-P{~ASX|o#mtsvr(oA7H#GCU6<*b;d4je=ff+lbn&!=z_;y73*+YX$fW z&Wa~pWfY1X*d`20yNW_43(?0ZuN+1THW@7|k)T>{#Kw;x#&skWgZKR)>S=NK2FlM@ zrmuYa7Pg^&saZ3*6uy|iTGc4~Av!oHE@7p1jILVHP=&QIdg(2g1|S)1n5KbAX4_03 z_18e=DA(qTcQ44_b5e48>Wj)95!&h+X`AnGjtkiaCDzPCB0t)~M}70LT=ueCFT8zi zR{Bt%I11|we-OJcU{i5sJnavk7L|7bv32L5Rn^Xq#OMVbLupy!8j0;Tods1+!SV(F z`gQ9Ft!)H6NsZ;WBQ`%r3CjpCodi$eQ-7aA($1*y4I&$q%eeU&$2W;y{*up(Q=L_; z(L*rly+vHSvJSZF=Md~)G zg`X{30~if1a#c|c4FZv69hE!EC7aTZ>RnCihW8T%PDHhN1-;E|c^pdz@H9;Rr6e=~Pk?9$jfYQ`gQAtPf~a z`@RU5Qme;8HXq)`O?vl_T&W&3-g@*_Wk1rF0<#@+vy=@6oU^9!)Ejq+4iYaDbNfr~ zuamI zKG=tvMcIlrr@gJ!61fErr`l69&Iq|3 zA$cB6T@(4xb@aCoGQ7d5SBBaK1)5cg_d1T@HbR3okKh)E&Una0SmW)QJ%J^)N(ktqzAFbcpH-YHZD}1|l0zlZX90CCEZ-zcnC9>Jd|0wK3*P zGbFX4Pl+oxiv-PN zo&HQ=kY%}0r#19qys+EkbYM}=B9#WXMRdbdvP7LrsisK1^+XmW(thB5Bpf9Y>re&d zRPfuxU+Ct>!{1ulzO~e93Xpc=q3t&I1NT`&gh3*gI2r>hM61voe zEV@Q!3PR$HB$2DHcaV%hDRLY{m?3;biR29dqvN!9f7HtN~QLUI_(GGxmwmQ(O0JT*`!%=<%ThX2)iViCD_5 zk8(F(eogsnPbXF5K~bf|If;7W3L9yM%=zjHsO9Ei?1Uq$3gf@rdN7dx{OfU@?{Rt) zpN*hRUy-UqYqkptM5lPSi7><62(UF-6lI%LrEx~iZj8hGW+5Ypz2JQmJ|;dHnqzyz z?|W1}0319pZ9JfyBL$HfY+`kAYNEuYjh0gV^=SH16BhYWM{d2=hatQ$=n7gq9g6ai zhW&hJFcZGuc87dI!6C|PB5|tJks=^zvZBV9TLRl!Z4N+OVL=R}MP)c*hD>}{T$OIJ z;!srLn)CJ&4q(ZIIhSpB>dom2Q$_u6LtJI7VL_nr(6eg+XQj)R5YWB@uaHGRrv zq$=ppcgH+7sY8!vL4{U{-SR3=*VZ+m;$ansux9xT!AW)|mdaUS5-(~qvye7DhE!(~ zeCKPfVjiM<^iYR*e8wnz0;e&3lt}pAX?HT#oXn6HT(9ZU-O5VDnxZhZ;jYMRkAsp`!m<9&aNz zF)>u@nL_Feiwo01>qG7gLkPpA%Wv{(5jX=uY**Qi`iT>q>L;CxC=U^3gV>3}I5>BV zijUmMO|~R(6H!~PH(JZUVXdx22~b+P17OnU6gzs|e9XaXr)NOf;u+VJy!PcFz>n3d z&m+dpsij3kDJBR`kj}HNvyhHd7ghH|tW;n%(3s)yW9$}mz!H_OHn8%scYPDK!500c zibPULy~*X0cBbc0Mz0cxFODRiTgxjLz4}YS%&!CnP6*oV!%|?jbRGPA{;$^-9;0Y@ zn86MTocN+F%vdqsI5GCqtE(ohE%@)1sQb$I(~!7pj8sP;>b2zdhXskS4!;a4QtUf} z4zHpl$u>To4?o}7fhT3aHx?zQqL+WW*XB_=PArUG*|C5w3dS)VG*$nox==-RmJ06$ zgaj6VfZMYVod0WHty&O|~(udUuF zkWj)+DjEaO!RRF~)*>*F@6By!dEbc|;=&a@>5IxnFsCTQd3wjjDX^GPciGfx_O)Q( z*Y#;}TRO8Q|41TB8pGbO@M5jW?izNBIZ?v8=1+WbjQ{m4(&QMX&jIZ!35{iO>OEyP zbwy>e2gk>&Tr%+}eafNXT=l$4`{ILR?4V9-q{Cc}%P@bQ`iSvsFE4$^b0 zlngnY8jd{@WdfwKUMroI33R@|o=by_mXM^oA4Dl+C@6!#k&nip*peh*j`#vQF8r_p zs*klVFdD|p0Z0I6(FklMY$F*WZDcjWcmU_Yki@v9k`KFDEAUB+3IwE<9>_BSxq@6QXbj(Vmbyo2Fz!{;>)$gRyACEx2t5P)D>x#gBR8N*?SNG8_w$4 z#nGG9Dj2#uv&KR70l(}_2KyHdH`Cua-2d;Ai$&a>#gv>4oK3j7>BN-S@&BYJEAsNv ziQ3sZe|3p6;QvV#{?nr_XJ~0+^rut8#=y*k^(%+?&+#u8lR-(5frp@*ZOMF<8yq~d8TK@XJ@CSXJh_{{A4zEdip<`H-GYz z*%{cF|KvpdFB1P*>Hl#LCt5|uZj~OU8~f_}jKivbGWp4AN39{3HSP{zC!D})zBzOi zMO`o7Sb_DZ)Gq>lBwoIFx!8hYg1i?dIP}!cGF$lFyoG&s@Y7JO;|xE_oSvje&Fa zu9s`ij@{3^!e(LV>%=NBnvzO2nvmp_( zQq}%2araO>AkoXXl5y4*Ki+}jK*gN2RjqeT`yzkTF8}HSqmOqa-M~ssao;yVy4)mg zOd!6H$ayDwfC7XyNdX{bUTs-iLC=uwkdW&kO#0YPzP09MeR-RCzeyE8_>kBf4hUQFMaj1Q*gKjs*hr39fH zxHNlKqr5Oo8v|8$ zEeSW;kCbON>fab6a|B1}H)k`G?G&K46D^a=%3U$hs=AzC zV(NVyJGq~wuOQ-e^77{k%CksIO_F*10`Or$h_-hMV=<`8;II4&1-wXKjb$4QoU*G@q;WK=-ENq?s^<3G)+QQcCZw`E`!um_X=|ru+bcL{qk)5## zowSLqnX@@Q(?6kiRLbPaC(5YD%|M^x%I&l*VGjr!ZXF_(?c8*H+21X`YbP~44ChjK2biyXC7DgtDVuJV# z_}rp@dwua;f4z9WW=YV(*-75SQOM55-p$&eZU zN#A60I2}wdlczy~-t7ScAj z0vXCilVN+gbA9Z|hTFpy$g|YxQLquRTP^8OFG80+e?z|;j|QDyyU$`e6ryrFpps3M zqBiCzNn*=A3x--uF-oqeZ8hvoS2b0f3$k{$vX|t8{Pa6KUjgAaey^gqflK9q?{xE4 z*ehvE3yFBm&7-6`M-B2)Ib|~<&93srjlgVSFem){a58K6_PX6Dje;&)rcB-ylCYKM zym*Xx@=hDxW(6!<;O~|ZF7->__f*(eIq&SACugl=*~pYW!*eR(P7d45n|T2|^>&^A zLR9}lp_cyw)%@?z)BoR9^WW#C|5xd)|63-5{m;_$-;DNOn?*)eR_1?Pl}>6&#p1Lg z_Q2PD=Eo-Zn`GD_A%M+9bqfOR>1*-B`NM}0oFb9gBjG0>-xiU{@nG_K zdp-^tz8xf^`b|A=tV8__I>GC0S33O(G(C5Cm0H-#%bi_f1~F2d$@_7)@aFAo+iiOaig$ioV>dMLo0D(KRcFgp z;q){&inb9)Qm`B4Mm6rJf1Abafbn7n=| za$$z*%!mi}N zSop&(3ALD zA|Jm-#G8Qr7G9JoAUGHH0F(CGiqSIz!Y-i({&wEzU3kSu>i2jk6j&HGX$G?q;bTo4L6~+166jCv9~hUx>=?_-OgZ#UGbf>>cC?{oc_+6WDk9WH`cu3lR}FWw==3|)5IIGqEO7{Tl< zyCP4!Lhp$@;$q;>K|JP;d{>xVsT|yB2o;(bvaNNh`(T|Apb9Y}6?B0Axy0xnQ{&B( zcuonr;>X7eNRpmnL(UG4N@-!PO*+3S9R3Al$xgZ!Mn^sgr`&IofJv)^ptK9+EkP?E*F%XaeP%}54?AA{} zeT#qx;#Jk`H{1YbQ^km(5r57=74v{nB9pYtS_8Y!$D4|Hr4b^NBr^6PeY6}}N*F!l zz~v4t-K4AT+W4?Ly2HNoc~wZJN93n|1nLooTNE2(6***0paIO_{)BP3=Mrg2;BBm2 zofE@uk=7RPR%y65)C`cWXx*-R7&7Vy@0_x+MOPJ(VdRs&BTGvOeZVDH^4|tD&!MWxSK|PpC1aDONv2YXZ?e^IG#*oQOJu+FppFc2(ntjB)c%B z8im-zq&zXb^BL_Z(5k^&XPNs9@R0IeIrgb-I~4Mp4zt4E*E;2rStHTK9@1I4XcD6{&bO9 zdjMrGj2=Z&bDj~j1Q)q~KC>ZbG{KNw43emmMNo9w%r@hGA+pd#5G@{gKDH3*)jMb-#Na-R@6h>J#)iEy-M% z9>NHdUs_0`k1$GT2S%zgW(JdmVKF7f58KeoZj#+r_!6JXDR2vVW;}OIG(w5EIu{5j zHut?3<_<6tIwTPr=HPR1S9P_hlHbRcVi;_Gf5Wzh*%3A3o-st)SKOU?ka2=pX2A|Z z!)!+TDroi)qrPCIjXQ-pd?Gi97f)+)*CWz6MSR=pVeNLJUv}eI&WX~b=n-B;zxKpT zqmWc_gxhGDi!G|6Yvo?iUT_Ng=7S@TBML`Xyl0QJ;AA)SM9(uToS9b}wn$LOO58D= z+-y6GGT&)XIWh-Tx}ktjpqfX3F(ep_Dmt;kFD#&aihYuqa`4_D?|Hz!kDj-SSLOui z>;TC4@xs5ilFtlvPB71OOe`!WI&#wzW6P6!?knISCqaj|qR5$X*L0U5sw6Fzn38c} z98a@2Dw-B9q3+2!I3tldqM&0yDZQS4+LFJDNxA$Dg%woF_A zJzicTF4(vgOnc};Fy=uPBxX~w}0XEzbKT%s_A|rsqyF`bO3hB0F=m5e8E zgNLh}$6$|w?TE=ffz};36sSF36W^j>~U^(hcRh&hjAD3$*;5iZu&|Iril(#;KUYy zUdYDf@=56w`uph1oY8DHOUp+^i9}0s2iy)FlA-{FwShN)^q=;a@tervp4J7fdHc;6 zRjVjgW=}Bdr7CQ2liVX({dHTeer-Qq1x)+Ldxi(S`oDkNU4Dz+b(Ud=cdXJxDk`gE zNRqj{H@3U!!ivLEb87)MCX~dmb=+q-o6w>>*92jNMN2wb6cy@CsZ2Dv+q4o|MxcyO zLzlLNL22yLD#T5M@TEUqw2&cb*pOizB^EiE_Zm5x64350f(!!T8MP={UJWkRvl}aW zbl@m#?DVR2vOrG_&b2sF1G6M!)Ub~(odRs`HE)~zm7(4+D0}HYoT;2o6 zpMGEXzBbx(Qj*UZY{!X?yubWXoH{udf&H>=w5c5cA@a0?96SZyr?hYswrfuhoo7;9 z^U#z!@%O9bxD}nPrviFBODef|)2!303@(__=}fvFuk6R<@M&T9%R9GmL3?Cf5blJb zPXG-k9F+gLJH!6>;qZT3!T&4XL#O0o==_B;eIdS%Hgtav2>lm0+w6?^tY62!K*Q`z zUyVPA^*=k?4D{@@49x!nw~{e%cC`2d8`H9~vi!|g|KEUySs4Ct5uW@74Wlr?bjLD( z_K9t64NpBL*FwR`Hw6x&R<9I9s1{TYZjPk0Go_n!qEt6^`g+vs<=h(3Grr-4Hs0z4 zoQ68k+w;QlUm@(%ixV0^12Q5`vyBWnb#Slu;#mLromt|BBVX1I^xYNZeMbJ2usFmwW7bUx zwGQnrXd}30>-)mX+6<1wV!_c8Xmaylh-_p)A0j8VAF${^+zfW6KM-l=)`w$@MI9mq zhkXkKvJTd1*2(@Bu3|}Y(RB(6Ar={xiLqmFF#ric>9ApFj#mnhR*LZGDj1rEX^nf< zPy)eY6%?~h5bHy`p+&zz&9J5;%i>owK>l=%5ygyp6o={6k@0h|u=udm8av#wF!}4u z&~kMYMF<#k%E%cHJs5IjDPe{Za0_o$#e8TrCF_xQX@rST5wMChhT@5`gYfCDKV^%H z9!i4G;lQ*hPzN6Uo*YDTYHy>~h@b<2VNCN{Ow$!10#Fk5Oy3q}hLM^uo>Zaez zLl21U+?v9wMFcqzrpa&UHZHDM5bel^9nGyhR>que4#Go2Az+_jlXLP!`Fa%uCvP%x zCNhc~rfkc)yg}edr*??dRQvoz zaEWWmD8=QWnnbQ{9afEh{~~1mC4F-&GVMEs5rwwVw8Dc&+?Hu!vZYRi&kpLb9!Mz7 z%P;veep?}x2iuu-K)o`FLGc523=$By`hnAdoseF9@ep9WT6M>2FCN~5nH31U=*J8X zZA9CgrB&Vf`Z$)1GX+)8WL-gNW2bLyQRnrcam2 z4fpl29ve8HWlN^HHpO$2JPZoOi6svu5+hbMs2ol4en1^fCkDM96vN3Y=da;XCI+^DO2q8eKDJvQM*O7Z{{-ga1w-v5bAv2{ zuiGbrI{~p+o9F)pUtvup=rSmFLj2vw>|v`JTrD;UR{X|`D{J%Y>`Ytg=KUJc0^(y% zb2dV`AraOr8LjD9{eJuWdbYRct7u&;UN0x_%PEtli_DU0?FQ~Q0)}$)Vs)XkvZNLw zHvWe77pBt?4Ek24Wk*v^^;v$>w3QmK`t>r!2RS zTq2^*mO{pN;}s;tz>KPmLeC~2&AbA)+S2nIeNF+&8jP^D{EfN0nc!LiWQ3gn`2)bw zv?N8bG<=5ns*8S}iN}{zY651~Rswtx2ihO^w(;`5^j)b{0qH0_Re&mZ44D^-MV+U9 z0X7(Abo7qhZ5+McQpHs{YbvVRfU9z?sQUGi38KxY!LWp)IFVt$prwdCk>OHLCR|rt zC};Mtly(_?hTR~x4Hq$=pe)zpj05Gabz$BjSU)D&s}iq^7thqF>G8|AXWHK?e-~ZFkLmSm(DLtY_R2l z5iP|CUk^2Kb^x^1lWL(N59JWmcJ(v78HuHSX;Q?JpvOdbX9CP(Ib(hM9LY_@Zqd+s zc&e&g3UEStr5pCQFWGbUb}OhYWGeK8JLE`Y_R8LXIXHF5EDf7@8nplA4x|)h3xu%P z?6&=?pgMq^6v_)>ITl=ezD*cx;AIbE!hU#__J&O&oKO;gq=JHf@8u{thd?YpMM&W@ zNN=Uw;KeTJU{K}hsngRfWZ?WMucFZ#{u_dlyD|doBs)rckAdGy{0n z&auN5L`1GxWDQ7=KkGSHtkSXO(x}>l6if>>gMQCfN!cY!?CR>Shj^?}8jI_NcZ4eT zJ!0$J>1zr%Wt2Kv?yvAaTAJ7l@}bmA%1s*#mOes7-^c0+Mb``@F*X_zQU)Ux%}Kdt zkT^mGLxo1v3mUyp>nG)82&uQs-PWZx!C_LWm0#x1PvudQvq%|}Bp?Wt>nd6T7Vgb+ z3wm3Ac8S14`?9F^;Ko2A_wnkNbB0U7uv>!!quPmJ<)72lhVC06>rd82*Cskd!QxAu zIHGe-kc+~Rx0CH2fqJlY;M~l_33{Qa>diTP6-4hCv$yxnKLnV|M)C_Erv`5YPhfPW zQDx!*UvTl1HAv+d`Xa+%9TNw0(1YqtSZtb@alU+NmPC45z<`iPWx^`Gq>ffGPZ=z) zDrMlz{Pjc;`z+j_!Zh1r1aPAG!?*HrJp+hxlYREdh1kG`%U^5bf-6&efrhx)@lnj@ zm*5UaFRuZVi|(AQTehSsJW~Gc(v(QpgUwls6(GW$STpM+>8>m(Cj~(x(x^OPsv#JX zfK5?H9w}vLgmjK$Oe&46FHa1I8KlETb$>iy486=`47}~yk1k(-(!2BFL?oR7w#DqQ zAL1)vZ5+;yW%>1JrH)3o<_$n;YP>TL(BbcO28 zaRSd`I=kVGzps=qyQ^1$9{MET=?Q6WU;}h z>D{zQ8v z+$vL^LH9UCl9EQVtD%?c5O)=Z6D*A*1+osykwK3chs7xSauu>>@A&9EFEJk zo<7W6xRqhZ6j56U>>pP_hwN{egcThw>cBo=sqA`RY7IDvP~(&pLTJja3>WrNm$S>9 z1Z0sOa>U1pnywU$S#G0ddu)`#nqb+`k@(pjJ9Rl+?u)L`fV~1yA#2mhWDX)X zd>zha(1ygG3Dud@-=b4@Q)hntqIXr$b#ToMN$?j6|g zJ;$eRXSC-opS^_+cZ)c7Ai`d8#2{f(aIxRvw|`>8hyi>Jmm3b+)>D(-Z|zL|MV_gr z$q^foS>l$cL}uZy!YPsyaO)oQuc>-V%9jZvj-mbx;teh^HqnGkT3JH2_a?4SFvt0< zPdEoGDfx6Wc)j-vCkyAb%{+okuA0wArIq7)mZIlo->L70b5V6ReL^sdKOzsU)$A-Q zLXfF$-={mj$eNYD_X}IyptW(*y9;W)nopkg+F1u}^*E!S+|a4&tREyM=@4}kOZawQ z6BC$2W6ItfdRpO>84`|7EMB9HEl3$S(-BCes?@~5Yr}vFU1WRwX4e28D=RloeOqbr z#LKJmj5*2=vaK(OE#gRz=mDTonnj=5Tu_rTmFI6!pFI?$NIXvXpqHQAEf;>kF_(Y^ zHI6*w=U`bYfe(NiC@La9e)m;;{^c%YIPX>hZ4=F$xQz$S$qO67!s|)e-V;ioY3^l= z8NCwfoPQjD$aJ@TBsfkqZDwvvvX5!`a-7|$RPX`7Fl46uD0dkX?IK+Dz8oTE=Zg%K z%q^ge)k;!5mP=nkas-J4!CR5BJpsEw1TrU{?svy*c^otwUnGZd%br6TxCwpya?E9UAcsGYMgR&P4cID|n|$9BE*-^W2G_%LA$X?bbb!V3DhxV*JBC z1pM7hw>1dtUinKZ%X8`Z63-y6&q~iw1X~H@$wt!;%~uG{9-qE0;bCsok9nS!num#Q zn0{V2+Z-0YM);!4(1mZ4-=tgN3tvOmihXqP7NpHQQ$Tu*K7gCyM_v#2--WJ3!$v~D zZyB%Z`nBGxxb}JwS!_N6Fvh22QA}25j8O~|2x{vO3(B!=U>5#f9*v5hme!(F9tLe0hq2Yw0q_Y z?QS~v)m6avLrASccoc_>NI@2V zfnd-L$OQCwN*In|Esv-TvHUZ|oeN!dbe$aqw+%NmzK_F%#yrtZ`3*I%E@EVgams(Q z5d$}^Y!GtXOoIw|R$Rwt$q<655+DrB+K3?^g@C@!J4u|CKMM7?xF%0CPWGrULN}D7 z+Smj!YBdxgU6dywf4LxuRUA-7C|)<y`Ff6JV7Q~02HCct-b;5y$J&fKoJ?*8UG(dCma!>@Xn0j4D zZb+JADwZZvj0wd_?Kv%EWqS|5nd~=C9}Cb&3ORC1io{4;*H$WWSkaT$@VvmLyxx0# ztOY3-8U{T=Sj}jbJATaQPk}s#3!Q>k}?lN8rGJQ zVXELWIJjqJ+)t1=#|eZt5c->D?6xlP!7Zi%)M&dB!92kL6v<5DW z4>faF7il=DoA>_Sav~A?88zE<%4I>aUBwiDG~NNfWLEjzdtp7dT30)(+V@k_IYoUz z=gqxE(0+4PmgyJMti`UDFWB$Q){f3+2%azclkcQ&my^pCt3bF5C7o?AhmAd@U%AeY zwHG_FW|eCE*XzqC?>hvTvR{e93E1J-en!PJ9Gf;YZ&MSpKQi6B6Q4}Cie0tfdZl3L z+E*2q>@QaHC%d5^QQu*>ZoYNu>ORTVYm5N|vZ8hjT&y1+a*ZH(I>{Vzp+b~B*hqB= zI@QWo{WNmRthevYqH9+ zla(_IE1xOm@{m~`El2fMX1ZHSY_t%trZMym8LD8Jy>A|41_4Cdg#ZKJ4p5Fl7fS0h z-``EuMiYjnjgLa^>q(Om#EMVh-}^vXMK1wJ6pp_#zvWgH7w}wW5M^)1rTrTL3eerR z9dYe}Cv3Ge;oj%xn}ZC=B_;{7UQAO>JJ0EmQ`W8BK?u_@wDw54HoK@e7qxwQGsJhKJTnKGMmOVF3(R24V` z;ScKIR;uUGP`U@c;{J{KkSIs%;AS&F=TBC*Zn@2&SHlsX1Kh@qSKy(v`$+L zJ)q!P4B-tI3>y{mrU_{7S3qs#lPe1CCvFvYM{3u8uHf_4q-}ae9zqv~gTRy}e_ys9df7!Ko?h+jlydhPw0@~uZnzJ%ex|_!4^9)a8u%Fu9Q+&N#}AQe zf$WraccA@HVH3Hu2;LG=WFjZB>5~duoh|ZAw+}zvZn4^)fp4v`7l**!giGI;_~@{q zfR}+Pom)!V{nDt3GV9vDGm5ne_zG)d7+Tyh;mk69n?5e?Q4)G2>GZykU!mBrv%6$U zr8No(-aI99pLYZ@3OBarM*xjw73eFZzxTXU2)UTZ<}54}eY%A)nWME&|9F5NU2hLk z`e6`w{d|F<-5wB2miVIz>LZK)nE~=Aw4!Kef5uk9)~trk!Xz}#-02--?H9?U>b%Io za!&AE77h?6o2`#Yj7>m*9>Mv^kt$fYKWZ0eVQz;>PM-De%?pOEm%I$IIgzeByEO-s z8nM?rxGV2!fipoqVT@0r7sHNa6OAPpiA9_yCHDH$mnEYc`$+<~C;$woblD#G3N|tQ zI%kM&O5k1B-|zDmUNegsIQfL3@bHDMeZ`Uc_jo&^_F;AK!vJZj)T4`&VaS&Vz8hla z37CYFWuat5JK8Tp`x(R7@hO z!Q0s9A6BJ7C)!YiZ&s7vXV6kR?2m<|7dMQ%;Ph7 z!Bz3&(B(pjvA$<3YQ~C*IY|c)c$T4gD}qk;=En_oG6ok$_MBvSQP3}{Yb^GTAjlx& zO|}-`Ij8IwK&9~at!3&KxG<(Vs=JCbo_Lf+B12h}CuYQSnuB=H+KXmKa(8eGi?p$Z zVbWRCmhzb--haZId&oO)FeL66s287h?!7zap$x-ZPXTV@4M8CBECoCHG==*%P!u^@ zhCWK>orR5^B$MMaT1E38I5mV4W!a~;*?)iD9WG~7>E2H+wSRxp)g023gnnAM%lgzs ziS3T;6CsIk-@zPb-*^p~!~X6f(LM(Ajs}dCxY;e!8BHZY!I0^xdEpxW&dwY~(oC(m zSGwmPL}WTPhhoPaAfYPqiu~&@BgwwcHm-fjCbKwW6RK=|S)A~q%j5%A$(x_M1t-d) z$WVJ{LE5x04r(ks=^~^o#U-Nv%J;WlMzSrL7(ijsL9D)?k&N7W6zebLtr1>=9HGb( z;Xse&z<0wp{+z#x0 zJWe(W8k~Z?>Vq!z)iG~Y0u=$5R_wtdAX%$ItkVVtGVa14$b^>mtPM}Rg`u=!lRVAq zzNSxmI&cO}1%*JVgzE_PXhToC$M|FDyOc7$E4IdHXXFZHHixwTCsbP8%`Oyl+cz&G zExOyxn0kf?Zj#Nhs7gtAM&h5<)UAGQFZdc;Hm$Xq?~P5HW()6cXs^4U2_;LF9XU3$ zLhO8;Izg!uo-dC&q0v);9IiR-axD}>+dNzw@Rl@De5xuVG}sQoW|WMz!6?cb*WZm%jW>1;q#QBPZiMiZLHN(zZTi?(%$Jp~!q zVT5qFU<^dhJmW?CC^R0|pP`BK#RoGYl=E9+hqs`UF1K!Mz^2*O;L|5v4uGa58s<#` zE%3Z>+o4*^+BEsparT<}85wiW4}<}ta971+DWd6PU()ryJ?Rg)Y4!U1lj9!5>0=>^ zB61%Vu)KsHh2d?GOf=QHBd`3cj4(IYG z@KhrITDtlh(gFN(@$TrG(z{9d=Zfs^xZSvqK@2YjoTdc9C*d6R>0z0g120u7J9pOt zR_O~3U<=2trJC|>ck!l`?_LeMUj^uS=DV#2dFKk6JJuC=PY2kSnqZ6h&+eH&iN5m`Q#6hrdIGNkw3f zy6;_#huGsjH>&Kc~yWMhfz;XRLbZeN6w}m>} z#Sb0V&hG+8lp;JNNd(1V-f26l^1#1cuS?IAi7zjKcATj;F~B`GYVn%nOLpc=5=1&m zLX&?sdEGKG&)uaLB99#8gm2+z4$-wP7|a-NC_u};_o4o3wBxpzS>lk=H%n&nB2#okjAim!sSD$dzn@b5NR8fQc=Q=X%4Nk@_yKL-0P<_ zz1h@p@S#du#nkE23tia!LsZ>yVl*l=UI-rtn0X#&7gWeYj!P6g|2%q~BH2zUJ2xCX zb(~3~MC`1UCbHV?n>0cRP&STBBNsLuaNOrF$3q~0t3ClZw{%@ z@p#k>DmvLKsv=d+=iKv<`G{K4o6sBr&>ES&Mr{=AMhc z+_KKe3FQNQ*EZ(HVzgG6j{R_kK!t&m3s2wmL_uvDIx}B{eN)xho;+o=?L2vl?%h+r z@jn(haQ;^j<-e~`IXM57$ySs4$By3pj~$&67eS^_n8&Y|f30c=?4p*n7j6hnWcH}u z=7dfE{j~sZs-St@#O22iPCWNP5%Ko$npd5ud^_z4E(i%l!U|=}4~D{LbAEPDeOpy6 ztLFUph9mr^FJe(gN5qtrpCb_}+KyN2YV~q&F8on0hGywBg}#UG?98H>n~@ooTyOBq zTc_)=6#x9pzzHqN=2908vQuAI8Wug1MaWK2LY_jEU5&Z7%wNFW+}8M?aDch|V$k9Gtz+0C<72tgkk$v` zNu2myn)OxDBFh)VmN5T2y9kH&d1d8|6%(me4BqG*R>(YIsp5uVGyuC*HhXl0v)!!Q zX&nX$i$eec6Mbf56Uq1&T>4}Ju!_uh0ri0ldWaB0;j%K^7frPk%5I^)CLp}}%yKk{ zxoeQAK*oEc6_4$~g!9eM$2YXF!HjF75=*ytcWMl1P|DLvfGq+O^1aB+5Oh@qBjm~0 zH}d9nnjl%ykzA%(qNjY9rHdP~S)f`qVvP8OOGJ*e^R#e1qyi2M5p!HC-bhR@2u~c} z-{8`GfWw2iabp6<$W#upM`;c@VL>(0b|z_U;eyN~W&`3HL*#dJ^^k|T^TETCrNT0# zKpEt;r1(8Z8xvCIw9h3HwAFzO8wFnV1YnS%5K<(N)A`mcrkMq!4UM^}_#sE)od!{p z(gct^b&(|0VJUx@C7f<{pO%{rOPH`Pe&aB)X0LxiqUWnm@*DiB8xedJe>kp++q@^RQ@jSTBYY9!kwhz^8bh%RSb1b%>Lr^- zIb}%E{yeD9n#@*`bFG3-1KMmO9cYyG}!FZ{qF$C7p-2Uunel zSDZ>U12xOwPLmJo#i>w@Qk5q{wD=Z%*+F3V-~5~ZUsB8epE|rOtn750tOQI9%yjI` z|HY!uK*z|+&h*cx_~$5PW@TpikM;kw!^_CT^e@xiF4eVcaaj?3^vu5l@s*ULM)0=r z+$d9k6yxOKARyiN^B@z2`Jo$c&M~)LE~~t!vJtlA3rVs+KPFe0@ut=7BJo%}dE~Bn zx|}YK7P%pxoU$=$r!hV~`p8b>{DtUHJ2LhmKvNVO*4+iGI6qsU=#Edv{6~4g$TB2qj4(26F1FOxHI`ULZ(dMcUYT4dGOwB@*XanXWaz>nqt{Am&9 zq%|i7{m`P1<4@62YpV$3ApC?YW6W18;lG`y+WT_cy;N4X0kL<}9oc+}*|%4ARr$fIPlfNeif?>ukzO_ZV!aF@*= z%1bC)QsWevBaxl%wDwGzKscH39Qw!ws5X%EgqUPmhvg7zl?pfCMmS*YcPw|B&r3M_ zNF0l~FU<3tIYP=!FMtvm+(QWwWPzpoZ|`*B-8OTM3d3bVp=8x`I>4($xs}3Y9 zEHgiM)D-NKFcn7@BR_kmqYHbAMKCijU|dXqc$+LVDpC1~E^An~WLIpEfc6~d%;Lgh zmrFl%?O#ondR;`sb^dF!^$=2wT_tM(`1KFjNTN`Eo83?$PdV`v7$iBQ6gXv6Z+I}i zQ~`Mnlp$<0aSf!wf0|$)05h$5o;}n8TpFnwpCxBd6vSO6Ss%}B0=}GR$w5YmKE2dJ zo|3sOpN-5QSos5Sax^DY44-FW6PvXIQA~?|GkF)B5+ZSn|F*u$X9iBQSUS@6_VbHW zah`l_?U>n(k1{Li!31))eD4s-we&wutZ5neYo)~;+LF4g99#PR9`Kz@4?wjBb72X? z|4!?&fEhHp_7jhsAq6sCPvJN>yiY0!%cDTFFk=8E^|S0+Cd^huMQsfOF5RCq&V=%< z<86_~zz#jsA%$CZMS&b;zosfl$FH-1_Nu#7Z>lLS>T4$F>dU@r-Y6GQac}KlN4Crn zM!`#Ori7bjDO=q(?g{PEee2Ymv6tH*kw6tb&`R-oPws+U-CH+16V{;R4sYuR_Q51I zD&0w`SpwxVr`WcB0TR$Ji~?%cWyvB@JQ?le&X3#xoY22x%{5XBhJEZOqh92jnt%K? z^t8siDhpLqR2$3+WrpsppeZ_*3p4ekOY3wVf#scqxw{MBJ2I(gpCfdS(SLAw53;KJ zo$X-EF!5*W@$x3{s_eqFFGVCmzmPLq=h}mEr^IHiTT{u8-TpB<-{95?a8h{X)IN2&0ePEcYq>LN&9WNym^DXN_z8E4XpH+W-)4P z`p010XO`2E02{ebLS4o6@*>N4bGKUJ8>1*_lKyV=LD)6w5c;aV5J;wj_x4y9^@oa> zOV9Wbe&&wqzexq*{I8it{~mL3GIRd-5~J$}bN%={x__82!Vxrcp$iWBGORKkGfl z&#ddUUTKZDtJ9V%eXIm&Rwq}_#V-XmC}n=@KgwYu4wDw-rUE*j`V(hV-;s~o65C~{ z0|H@ZANQfahJG)Q17gl!&DXljgXY_tG%l2y)*s1Is|gqUqM&Rxp(=aZ7~p&8xbU*N zark_dG~X`%`0SMg&`Tmy^&iFDq&Q)DOqo?Us0BnW)#Y`6)f|8G#@2*J$yXWAdfcD_ z2*%NdLq!z;jX9o}=GT`zxx$1}nq6mu+x(t}ENFJLbI)+Wm8(}>XKCpj@Lp@EJKN&ME+%Gml}+-mMME9r(}~>Lu}u77N0mNKoF7 z)JjKerusNcjyn++$I+B|*%nls50gqyd-v7W+X9k6O7Q#c?97*nX|#t@&=Qw~t5<>G zvY|*8xFvyZHuXv-c{_TWUj*eS(e+j;3AkRMcXtQhGen1(zUQ7KA9{;9&bI{Cd%L3P z=r?GJ0~z!XDP(lOn-RvY=9abOjgk13Z7J|@T>xPyDxecEu?q9aa%J|N?W11_lu6?_ zYR*0!_?fgx{5m%}C>Lon0)ZXnH*G-Q5y1h}@a%Uh(|A=aJw60|XLz9DBsAh9yx`?z z@~OW^ZH$FaQ{I%+nMT>)r-LeXuIbRkM02D`y;x7JX3J7(H=v03i*DaN_&g%W_)V}H z*Sbced;IBk{hWu~xSN#_Dip%m4pT73H1u|8HzHFR?O=0wotZJ*T|Cv?<#_XZ?9grIr1oey(uEM> zBoP?O8gz1_7##J`9jSf_Jsv4~XhkPiXVGq|;;gOa&i;o{(wP{nG@67uM~}4GpN>bC zLKgD_yrgEpN{5zg+M=`T5azvWy)P>YmD=`FPNiovp0r*vF1kO=L75ja)OniWDNx4{ zJhOQ>l80C+zl3hh491vu;fYQmh<)7-GYvGwra&OXeu*`Ev6m1lq~9j1g{b^J*u0Z8 z)>ZN}EiJ^ec=-Ja+sw9ig1w(oy~W-?U76+SWd70DxQ7)1XmKBV{?b0{eLjP(_|*!^ zpgIyBcF~P!`ynhr_vT1M_@X_;8}tDFUE!1QZ@}6Acelg8M3&Ku{*dbb8AB$@$Uwlt z@Sh#%k&TXn?Z1IHCI(K1e<;g;z}pWhWBTVs z^*@h(VPod_mq>_9p7mLx zAZNK|gBVqyk2Fsg>4)r2;j3rT_mXK8(;Ct*n&v2Ji|kIfbCPVlF}xsQJ=IP#DVvG- zxTrrgumS2G0N<&T4&6_uL+NP8JVU$QXcC76w+~U@;f!Q$%y)!WE$p1p>K zhzbHV7*;sQ=t+Y-pxN)HW{qN-RAYctV~Q&iq)qLJ@j22Nnl*ceXVWE$nOo!FaST#n ztI%rgSt|UJ2Ec3%tig7nT9OlmR%qt8$w7$@vM7FfeFE8^e&%ro& z3qMZr4%=H{q;!GonG?PW)=E2|R~(yL5d`P0*&^9fR=P{hzPpB(_<`*_G;z>voFfbD z$M=PI*lZB$(F}eCGDN}HcnypD_3=<69%4)Vj}I)6g6PAYk;1W|Ik~J}$T!k@QrT zkit20gB9gIk14I#DG?~HyWKsvNi(Auf5I5S*NiyJN%hwS>2T8%!BDz=%mWya1SN2_ zt-kqQy^3<$5%Otp^HkF$ifLSxj*=w^rK>Q6$YvRqh}qds*YA|nE}S_ijoe`wkitcZ zks4AgB@3c+7b&aXM$#=n*bLt?8Wk~YgWbnG;J39Q9`DE+0cEC^j-W1Mn=&y6v03VYD+8M#laz$1=Ij%&J;Yny0x(cm51G1lE=eGp@yg(m6gk4iKnU^m02q+Yxm0+ z9_0mcgB|^r%HdlUl|aM3w(dRZh1h2MJ?yJi(j|JdKkVyx>UemZ!TX(85 zafl?1nW_yJQtS%1aET-D??~F+N*THl)rk=*^Mp|@!-2FLV&JR?;^>DIth+*}8^h>_ zP}I``=GTv(u+_GMp4}tj`JATWdvs89v44 zsC6uMv^jnZ?5oScT?GCWNTum-g2o;-f4vuBBbW5Zp7S~2bjefB(bjc}zr*pX$ZJmd zX7%)S59fOT4o_1`OiR%=2&^C5(rWMU&fg5ag*c@!=EDIjOps9+84jce;{*KCOh14u z8zD{NtbIT~!Cyw^v%BlfF*clx#DSe`PUZn8hR5jACLJpyru_rM58hslJRSZ5FHAO# zFrKn4V5Ybz6Jj+6C{>E)Iisd`cbs-$_xv~tdZt`58STQt8WE2EqRgN+6Gb&enwF?x z<_48OUZh5hLR*bjQK-iPs7!%u!mCi`p#FAPYMC~NEM8Q~BNG*=O>ClQ(YM$+StS^1 zRbVW*^{|%RQGgoHSaY6v0^#9A4B#l30+!I%Yqiwj7b?pnKrmII*AbI**U!QQBd8BzQzWjbG=+aRkeA+%BmPI^R4pS1>2V` zVOPyWDE0U(W*yR5Vyfi`RBIJ637gy3IOXz2+mMR>2i8c9{fp*O-36C6 z%_d}vW)(a7b|2# z7&5TBV_+@hd-IZ`p-z}8@GZ~hQvF|>VxzA%(R2vjZa4&Zgr-MF&&L6;e~l^eoPysm zgK<^(P7N!a$q=WjVP1U^&N(4NIjy^(2#OCe$cj?251Q{*>wuk~D+ZMZFrf@w$}azn zyobbsV2V_ynn0UPTY&8e%<;yhKGV7J)TL~FBG&|GIYo-xX-)ll9KAr^(YCDy78-o7m`1XKo0Xg# zALr!iv{rAue0Abv*AM^mx|?lVjJyJCQ&Y)(Gx!n`Do^B6>VkK=f7rHl1v$N(>rlnT zw$Lg6jlY0~W5@NGAzH3^>G4Xh@;!q%gqMn29%=0AuyAT#4R6SSWXczJ1}y3dewxm? zXRQ3|L);i#*)!L19aGt!_l&NOR`s}IYJEV*XC7wJQ^54*xx9Z{1^ek9cv1!Q*Gz3q ziKDA2#EsR!m#IbUIhC7O(c(|Wh|-zjC%E&`W;e-IoAzw7fY{m0S~sw+BT1!O-XgJy zq~=TS=0ybjsj!fM>EwexhngWebu-979m;O2@Jm3+OOkAQcP(xJ+db4Hvk|8xFoI!{ zk}>?ay{dCoLQ z(U&9~vZdU-rUaTpme%6Mr-zl zLgfdh@aJvyM|bkk6OgMNQ|KQ;kV{h%E@-vJZQpbP6mOi#jnU@~kDSNd$UUC`#7I`y zAKH_MYu~CAakDdV|BFOm{aXrkuFA!izeyHaWpcS8;EtA*X&M#ZyI z<7=EY0r`7;1|ZxP7KZV%T6MZpJL4WHaMSlY_^vHKMuhFl(-l>BG9eEex1gO;yNe{Y zky$7tTD*7>hshEt4YQO(vBo#XEw31NH0%&J!Xgr{5vgl&R!20w8C5h3iwm$&btcJL zTGEVC%+T!T!tYP9RZz`~4~4O5Pg)%Jbu>8uZ+$BnP26JV0*AVN>R02L;$4cWw3Q#i zYcrpiL|JH*vLlIc1GT#sV~4eChswojIrp>yzOiipX!tZU$WHV4COyJ!N5vM}+W0-; zn1i<;g`uBMeop39yGPepWa?4Ic%??Sy!&bV$QKD0hcuzEr}Y7W-jnY6!(8W4w4)<{jNjT-n%YTdg zq}w1XZ!N;wM>N33I=?&rz;!KihUpq$cv%2iu9U)w5EigTwP3V`6(E#pq6nQ(nW9a% z5!DU|TcP2Jn%)B*nK>(yM;1aLDndy@lZI6mx|Rf*wDuwxB@v!;ixb)L++^3^#2|!P ze{Qziz#tyq1}|EcAm5yQc~Gpn=iskavisPO-Y3&gee^63RN#32>hj`rcdrM5nJ z%(z)9KS$fr>=DDmfT?sOF__3at*yv%N7FtyT^qIU_j3M5;donVujc5_6b@1;l%9oFU56C>BT%pG^trhAJUFNb_u?OZ>+ zZk?;(Dak2rwPA!tTIBNd+a zsi`Ues_6|=0CrcfDfq8^E?TgUO3lX=a}XQIzMq>uNOv$m5M&fZqPriWN*%-D5@3L{ z(+t|cdoMrcYf{kyB5s!{0wPBHwczj@O+A~T;7-7li1+{|xxgWXX5t!%MZI$BItgh} zSAS|=@lkYitv4g{_5~GMND&T3H*X_Cs|Kd2SW5%aqeA872tUk(U=r8(;zI-?Mt}Gz z6Vg!4ZmYfjUSDn}JxJ9D5c2ji7*U9I;#@Ak&P}CATLuzia-lqMCp-jcHs+?J0k$lh zn^mu;j~vXOu}mbWn8Y}Q7e%C?kzABUJ*{Sn{ex!LW~i37oGA5jn~QQU2fHvUQ9QG+QI@973GX7*sCz9KM00!l}2U0 zxp+}uy{&L!f_d>sYry$9Be9Ic6@k!bj_Db$66^sO#nIbv8;s+A*T-*j1kdT-x4r(q zrxoq4KvVa2f?)&j@_fspkWq+B6J3k86j6xdeuBQuOv-dY-pEopSelXy-bH|C?Xn zTKV1pK)*`|3fR-;x;ZTgW&)CKsuRqv*Rf|Ul1m-v;>!Mx#D_wRNpt5xnF1b4GG{^) zKYKz1vN{~bk1m;;auqg64e7_w1pMu|eGMQKJ&0f4{#yUo32AdD1{+P$#!58BOC3Z@ z%uURV)e=Uq4RORx9t(%(5kLsfV4{1KUCIw(kMuC^!Mw7I#Yt+KPaYm)6CxF<)pf)h zwMPU`A>)dF79+Sh?Meb{gq>yxHcGG3Y+*7!ZG#)^#vZeqr*DMn0$h3U7X_raA3PG@ z>MV$|6r{iUH+yeTD+naxFjNTMj5vhTl5f4P1o)q2BOeRwC zGC~rq5R@teN6|fw1!(>hNpX=Yz&@@&n(02GkF0VlP3wp;0m8#%+(rK7HGj_POXxDd zr;2>vY+-w5M=MHJ&3ndjXMqi+OmlneXGB)KlSi4u1OD!x$hBt)4CC4x)n-t$_TH5# z7bz$LeaAg)>de&|w7>IXJiW)0EAlL#R4dnpT01pSs}wrBl5Bc%2EyM+r;2~y<*I{j zF2DaC6FifN`XP*GnSVWR#WvM@2pY|FwpH;l&K?NAzC#2%6 zFzg?WiodB{X4d|$ZjOvR@*y)%-CEKyd*$xBuXJ}#ypY3S&Gf!i( z^2BcL!nY1#tkh+!yPws-$}WTbmjx$j*v1=HEV~}(=KZRB{#XSe5Cy*pDh7tGCg51* zvO0kHv`@v3#={8}X9AC#Ehs<$hB(mnPP%H1;RpP(McD|PG;(b(FLKPU7m*8a-dsqt zM&q3a@%#q&vBW#LtWh($-QqLp!otwjsF^BX)2jiSvmH6ZBL;(wcED{4WTG)f4z#@q zUNfZsj<|<#3eWm?RHdIunEt2QTnKczZ|UeMUB#!%GHlOh z#e6qTqdYRS!h*XQaENaVVdGZOj8R0<6RiN)0P-XmECPkkBzbBDqc!m?91kf+O?tvn zqiI2~u5_#NJAe1{1ohWeeEve3D%XImBHyXt2DdR9YwbKMS8$o^41p}w{E$Om(Lun9W7V&kFuQP;wq z^gU^!^xQEyh|{dJieG8zuD3eBxX>Fz^w(hT@LJqL|6{R%gVil%As*SDq>JYlL$}IJ zD?!ME%!l}(b#tzT9&Q)|4-%v6;K58055lC|VEii`=_#lFA@6L}>#lIK2bgQBRBeA# zTjANEBHfO4NA@t~?a#ncyv^tqvBWd&SPs#J?pd+FVxBy@C**M>cs)FbFuZ@P%@t+{ z99%d65)Ol}xyd7DXz2gm2iP&y^(R01CB8@`I*-~7xf<5;&D8ABWHh7*+fGbVnnEH%$3SK(PI;MeWzaV!8VjMZAaPG+n>m z7b6{r6pVq`7ai7#@?p<|Ha@UhQA-KI1no2}b9Y%PbD6~tY=L$LKK8y65tx;#Q;n(; zb_lTKmccce_QHN)K^&yLwt|>XUnY@A9RRUFv^P^Hh`q3<$nE_kF*$glIj;60(FkS; ze1pECBUj8E&u4|q%@;DMb36yuV@ElWijB(osyrzUL~a1t*gUa|8}-WvY>SdWVQFGNLL=U@}oW z@7D?fJIFxIAevYK+4>bi6~u34niuxi5JoRjvgADUfiI0-sQquKp8pyh^zRv7CT50z zQNDC(ZrZMMAo;TIeEY{P{hmBzFA~zvwNKweqnMF$=nCER6nB^c|3g-F&a(dfWfD?I zvaZ}*O$r`H6IbvGJGSc;t~X5F2l|y%lp@WbrBRJa9et4Wdt%7#AxCdz-_6O35%1H5 zN@Iw6gwAG|m;_ClsisvvSD72*BxhsgU3%?6umqb=+|tbOakR8yuWLX8_I?d? zpV9>MIYwfIpm^vuG^`z6 zRlSi6OP5w})drce+53pMmchfezE&#|tv3^xW&o!1w|)u`UMeJP0{Hx^kO|BJNa7HO zE~&8k8WbJsjn(aSN`LNEXjz*+4F)y$#;z0xTqt&=PRp!AS=*6phPXcc z8y|(f&I-5%Z?V=U7sa_XLLwPMcJ!}vTT~0 z{%%y4yC7Hip#^Exl;E5VwyqgNa`qP}EdwF1y7b!n=b^?-FAme3NG1k14Vt(cwGepx zV@L6ew?)oN!qrop6(6o+E~hChIRCNcDclO5%V4BUJ8xU^=%rRqvo*FlM|TvKWE3rV zWo%!DvN_sKw&?Ma<1;hQgt3ILM;*ZhqK=~}zj}`aasL$4&de_`Yp83Q4KJe{`2>mJ zlt^7v)z=7@J%oz2L$Ih_i}|dU4L_`5*aP`@JcG4(_sWJLHSJ-~#@~8z27iYV(iNVBoY}USryI_DSaTeE1M0R9c^MZ zeY(V~v$U(QUm&b>B%Lp}2SL`bn4|VC*?||%cMwk=viOyqjXLC3 ziH{?#PDREsK2w~09lXS@qmFXUdCbs>L~+y}SFs0($yQ=U7iNCqS;2dX7|bcPv>7Nv^+uTRFD}iuQWX*kb=;aZ zYt$J(^&t047$fE^@8V_TTh;YU8(K6XU5F-^B#1DEwtyt}P+>b<+3#FemB;{T&#CCF zgE>5tD#da_67-rvERP>DDwIokh%)lA0ktG8N~M@G2sbX=sZON*aXdkfSY{|+J#KBh z4mqn#7Z^sbD$IHO@X=!quiLTdOx;+CF0!c-P5qBU19$Bh(-Qbz>e_}ut0l}Cl}3%K zv=GgKQ_7Zh(I8aIm$5ZNdX?UeSsuAZ-b<9uqipekO;aH^#C-nsgBLtZ&AUtpi%qqG zOD|jUZu41x#HkgkVxJY46s1{e`bfQ`3~J2 zM{>$z1l^hcU^x)mQW*mrhZ`Kz$3h?88N+_?&<1zRlj9{bgu$cq-?BWtUuB(#ORmwr zfBXjBM(5zs%a3+LAjP?AU7%H*k<0U!STy--7S{%=p)(?cb=D)4lYiq@*Y0ArX4Vsk zZfFZpB!vu)yc(EvOOtX1dJwY8GoKbYY6 ziGfB~A!|CD0zyk%@Zcr_`7BAPhd8Hb4brYeC+<{i`CoSnc}Y;lc*WFV5Mv4B6bm*L zWpe*-_RG6L=@H-_&+O}|u|QLh&1CM$Iv?)Eon7CpUrdAeQzoWFnI5vHto@v@`?bk} z!Kvzh!b20a$XS%R&|#mMa3%6BmNJWHNqCK|?(&F&Rq!e2%*`+{QXjs|t#ZTw=jvaU z>PpxZ!bX*cke8^Q&ehlEUN9;wW|9eV$A%RVyZtwoWS`c%j&x09vaoX&`@i5H$oDB& zd}g=+;^`j!hYN2Rnm;rGzkLPR@$df)p87}L^54=2|99z{{~t-szYOzZX8n=C{K##7 zf}7d?`*=A>CF;(Uw>^uloc~WBr?JAte+TE*PnEN;lBWMERc|_ z*W8qp+UhRkG&@hll*n`gNoVcpR>C3rI)u|*FT3gV-3TR|=&G8}(+aG1JZ_N=S*Glq zPXs*Fge$4k9?WZ*GEZz5eiZ9y3WCSO+E(Hoo$DBnRD|(fWDQAn@G^f_1))T@D3`=k z0_9D~Xb)3q{!}#hkA5(deu2GjyNl@kpIN+-UDVpf0eQQ4rk~$t;_CP zw%4wV+%G4H-$+I8=PCRv&sey1^J}KwskHB8<4t@y9?}X>PX{)WnQ8hlJo#On*M=-r zJ+$#M=tl$9;EZBnde$We)s*kqD357=$>E$}~cP2r1C~pRDwo&~y_eD}Tp*q$ddIMZ>tGUFep{n^n zNc`x!b!>k*)P|>;tqg4!VuwU!RXhsO|Fn<*{~D z_mce9KVx_Mr4rT&`nWO}&lj4)+G|`%NvidJ6j1n_9BYAjQzffaC28rygF79a;l$O} z#+R}!e_QK+&lC=o1)c`zyu7kN_*s)Zj1P0!eo1)Sv=8n`M^g`Qz*v`#^N5lpzb7MI z<=r>!W_LQT4n|y)OHN(eutNVu{Ml-yhMO(9%eK5B+-{j4XT3@6faI@?=f(;7Wn`B0 ze1uZdah0%(1*Pdov08 zX@vAfeIRNHwIRPDZfC|vPl`?CRH6|HI}Y~+9%XixJ?$=ujMghdLH$iLR`gA?KIKg} zR{RlfOnf!SvPI}!JVldRf-d4MQdY9#gyj8JEr#kTuqn!QD9j^4U7UfkYV+YFf(}!9 zBn=+O6Q8TvaCW@-VK=krmtcN7GO%k82-rhN}ZA);kmR z{D6Oq%UztSJ2hX=&6)Y0u32X89>|qfI~ldUU;Q65%=^R| zz1m)ylUlALI+_Qa$iySs+WVXh$+PDyqd5TVCUf_MmUYF2^-?YYt;!92g9=ST8)mCvpFm(ZHjD_E`6#U^)ju^5emQX~zkCWHeiNI@HKXX2D zG|ay{e7`|prRKW-&GGZUmiNlY$nYQiUXIthMbIazz)m1W}Q@mA%ny-|fs-j*kNLN<=5?HZLm>#gNs1FPu zl_qfuc8aj|M_3Ugn|&~p+K3Enx!PVkGZq+ml{;qZxFCW@nU>vpN_ReiIj!@2Hv6(u zQC^F}7{I#^^nEv9W=c0;3!Jl>-)7!r@Z_7ED~lLYTPf*oMOxv31~N%W^Rk_uZa}I?h2OVE7mZX%Arp{-1q6|e z5iLTY9^}#RD2X#p><>7J!tefgdx4BX-2Nuq67wSdim_edKj7dP+NXhU5UB0ktus3c z*&f~%%I`N>%q9wJ4iL{rM*xaqUt&bg$EG%2Bu4Od-O)PrlNVuP9uG-HG>CEZVFLhf-7|=?bI%}3U{NY@7LIp{;#IA*JQ2PPi##T{kgw zyaFsZEUy|4E>t!d$MW&x1ilC(+XK-eZ#OeeEHImhTfYXuBa;!gCr21LHR-D3yp-_! z#}VaboZS_jS1bsO2QPZn@I9PcweYTdWqi9T8)uxldOJe7VniPv&;WZ%O46 zFLg&=^l8okSBlH2xTX{*n{f1(yj-xUP!G^WV?T#*P%a>R$*x9}dn9{n5SN^HDbXUF zE!SK{kDT}7tVqYSkF1flPlt}|>Cy=~BzA7E|AW0Z52xz=-$#{D38_#C8KTUk%tMLT z<{@NGrZ%>D9z#SjPstRvd1jl3oyZW8ZQf=w+vahb&Lh41)cf=Oo!>dv`RknPxUSN* z+v{1+dJXsMe%;Tr)>1b)Z0cdRyyZWf`x#rhk;-yP+OuIDqd*vV1KrPOS2=977WNLj zXc9aJ6wy>6J?h+T9d9tuv}T7w4m)34`(Gf`|Mt;tf4*pzkLUhBZ<@W!#q;2gcg_Ci z6|?{SK3U%XTkn&-`{2Rdf2*5|!{==4??jcrkSp zspl&%;&Ot4o;o5bH?P~mhM`nf@Wg!lig;P)d0F31HeMnlQ@H3n zVH{b+$q)yj@&C@jUX}TwUg{4s8WlUjK2xSEz2P=2_18J$le~>LL!-w|E+VKqAh7W2#)B<)}A&(Ew zHHS?+pocDW;b&&+)L=_tgF{PrFX*B4R+R6C6l0A?@6a>`cU{nzSX6aUyPhp^wULCh zn8ahdu}(h0-&_X>uHz3%MHz-`|nStS2TVIwYF+l{ABOOx7ExcKu$@?NWlNRxI@C#$dzlP ze^*sqXwZ1kd2q-4#h5W%-YdA{w1{*RB|%h_%6Tk`Z(zQcQHLw6R2UY*zx%m%f34Mg z`*dL_A~eMC@sWmNgmvo0swk2GbEheF&(tFq(^kJ-ia4jVIFFrAo_@PVB`bWbiIt2m zv~yqgAB-19=q&HAi{EhZIDAkkZI`VUZ0-D- zOPXPKPK-igDoNt9^?oP!>}BAH1Ib-snyVm#ZxZ7RF`_);u(`Z|Ckreojo=ud%4NPSTSpTC98nknIc&a}N7n-76nGD1dMlqwa9)`aCw}xQ zbHgZt-){cKz}tO~ra=Tg(RGII8H?%Q^Mbo=lokm!b35#prwo=GnVQU?huQG8Pi2)g zs|U+fa_7yUCG;$8cls|qvxjb9CTJsbK(bfLl500b7RG#k-`=8H#=ZI>6tM~Qz5*Mr ze6UiA$_yW*dSu1`>yHrF=5x9l*RvJsw_A+lh+3zN)0#hfZBIdsZ#{CzZEdE>BJiB< z)08KH_rkZ*JP5od1~B*%h?d>)kT{Po*HV?T4`eyREgRZQ_XO`4FJbK?Zf3qB{-x6oL0!-S71m zDad)$nt8_QAlcT6$Q>j8n)$a_9*%u&{7PDAeVR#~XEjrAau$hwTdUG{-Di4q&ZW8UTM+Zm! ztS<|pva#He(x!GUs)t&fIi_v0rX$r(qHa-vgSM^22bJ?x)ekLZYREgyFnM8Hlfr1H zo&Gqdb)&CQ0`^y$=Q`zu9Boqxh$fBqOy4Bf%9U1@`1ieo=gL#UXGdI?Z$TH{!HHb9 zTJ^_XU;l==e}EnJl)k5GenHDl(6uq_Q(du(_RG0j(OODIsHn>x8N*p^WK;*PynURd z`1z1_qWv$rE!OqT0Ry!!W-F6R(2cAL0>u_drFHfxy>f^FQEBY3My}|ua95$4z?t* zU#7NUY0H)^Z9{IaylvX5*$ei%wT*PuPaWgB?!!~yNQKt1tp?d`VDMfV$$Rmc?U$CO zC(5(xxf&%!qcaxB166kLkZn7n>bS0aU6BAeDO24gw%C%xCYEuki#>Z(qcgIdV~TuE zn>5!tsa3K4{;}Lp{Z3XLN=4^aOxi!OSsXK1Mp3tb5ZPaOT(;+R^$diPJ4Y7@p~m$- zv|7C9i;E{tI@1xaS$ZZKIGLX!?&S|*+3I|^sGVDf>+>Pe-h&HbssLWDv9HA z8QHr_*-_47xg4>6c&OB{uRH4Uy}yh<*$lZyQ#MNUQv84E3lfMS2fUU*SL-l^)!LO#KIMu9P{yEab9}H;YYjGYly@x)hJcD!AUksm~4)j#}DlU}98t@)z zD*t?W^e}opiH`=k>KC^@U1WiAz}4vdtFQwPg(8F+hT&}50t_p|cV`GgZMJ=o>^Ghj z{5ae_VCS=*TfgR+?PX!UX3TfZjiTa<>H5@ur#C+hMrI76T%|hnAis?DUcSW&p_P~q z&F2K`;qPBM7fhb#Ei}+?&+vp}1W0&xZFeH2X)HEI=%YE@3zn)qALt_YhN!a1#kY^D z*V~KTsoM6E+dK+7nK}^rV}-s&Xo2lM_vOwD6&dxZo+9h-ssl^(SX+o(@3j5!z~??H zYGn_|<7mhnhYVJ$4!I{L#;*IAjg|%V7h8VvIu%WDUfVvnVz#v`A=Ys+)YOdF6^YVn zecRcWOWlb@%9lBAk2~8Cbl*OtwcIVsa7)+PFbO6+KT5gL`91qIMy{rD!tT}dUx0s- zg(Ox&Q0ZW{LE84HLbi>~F(uWGIN78UKar-x4_VL01UuuR{586--flS8WU@aVp z$?jsaFuU-eyt*z|bf3F_tSlMfA3pka>G9^eKtw2F!IngL&p|W-yR}9iYmX(e;>KL4 zkdf=ISd5y*PDWXrvtMo|Vua-#=D;-Pon@yPiL~iR@ zoyG&Zf;HbUOcIjlu#&Mq&@nYCxBvH7xYv(9V5%b|)pzi{XNVOmR3;u)sWYmE|x z*K_zZ#L#4{rpOM)fx{`9z*~FL-y&j>KW24psV|HqxjbIcy-VqBXyffhTsFk1v)l4a z$)JMK(eqD^a6Dm9dMVc*H!TfUi5zTn@$vCZ6A6h1DBYp_(B9e_9!YTF>hnW8oj1f( zO7nMLZTwa5j&Udy(IwB2>Tz_~B1C#Sd{FlpQWzqI zjDmtmoBSbmF3!x(^m6_+h-MUU2IgD;FeS+#uCsJx6%m&2! zO$g%DRploPi7qC=C!UK6=OlLDt-h8>d-D z9@PqtE%ap1*h^g5n~K)GqBLRW4V5F_j5H)X+!Pe4;*M`@C_H_OWmCTw7b) z4}8Y@YlnsA!~9O4g0J2cmFvsbMH+qkV7@IG2*$Nr9?@2L(0&fs)8#CLas}Z{K=L(o z=SR5w^*WN-FzUz)#yelekDQ0Z+qLe)9+fbw3Jj>UZbTiiQCqI@f4$3tA}AY;=mh@p=E%5O8J3vgWK%A z)kbpY(-)<6mcF`?u?0dFBZ6Ge<^ICQkVpTy2s%FOlb{>W8wZ!0;T+e`abzUxzS@om zZk6=CaP0*nCNA#AEj&J^*>@)c+q7+W0V=C)^@S|UmljNU>kEbM>(BPXm3B3}HyQ&e znW}~>Y-XTcX?O0-exaxnIk{Rv3F4a06bT#IG_@79^XCr_OeemO}*FmQAI=J~Kvn;sww{ItRh@Saa!FN-9)UBw&d z0>?p+kitOnV`^#>2xf=vKGP+PLu7;qdnaZOchfSt{AwFf;;} zfcUi(=vg)^W)i~Jr}ATBV(ta9ES6eWWo`U@T6h=%Xr34ndq7w?K9Jqr-37KFlR$c3 z${c$-N5%k~$$G?gk}Mq%ev+qIPa(qMX)gmlj9c5-OzktPWHEF?UW4iUJuE{sfk9iI zR)uxU1NtjuWTd6a4VkFFFD_h+`XvhPZ>JxSYSa(-a{d7|-Hmjq{F_h#H6kE`2)%|A zIm#tF-DF~tC}m}3MJRJIuHOsm$yOU&W)S67amIrTR+s?g6GvGysyptoL2I zbTs>g-5)EJPXGGKSKQVpw&JcfBTC`kCwxy(_IcNV81pmm<6f^l1idLfz&T`^52ZzQ zAsEDv8&~k{U!FTRb!xz9vN3SWRO4BN^{o`@sjGj@VsXavU~hX68KtSm^(o*lXX=ZP zEDl#i!-@LE{y4ED<+!vta{iSz9c!NB>W*3!KYo7uZ=ktJ+xxgt%f$HE7lF(tdxv|= zups4zJlxBml$Bnl7<`w1gF#(A`st5-+;`;rUAzB(rN?{62q@VvQLYepheKrt!V&sMi@?|F--+FQYJHO@FLu=CwE-1Wb(l08l1gRi_tYq)+4TC!+Vt=pc# z9>ACk4VT?UFm~Kk^*MOyAhjE*t7t?%oJz0l{oV7~Ej+;cMt4}>->#tWC88v^3Egdp z+_Z_GyYlglY>-o5c&XLK{=@##$Kmz(hIBvSC2|seu#k@|H6PZ{tCJVrg-ZlepC@FL zTJp)sVGT&tEHf{8HZPNoBMY@|TL?h}rs!2!Pkqh8D(FD1`#;M3Os-t(I7GU9VqWPW zmr+}WdU4ukFaNOEE(BB=3{YxT_ftZ>o9D$R7>1Tq2DSF*cZ9hq!Q?zsA#u6|2=}SsoESec-9w#-CW3?m*GqK>*U-v zb6+D_ddNX!q-S}x*nKQ7=bc6Y%Loey3{F%8Z4!Q0eA`s5AOZ29!$y7WY6_+qEzomC~=2+y;q(m z8m;c*3vmJdBf5THp(n=L7epV!{i4MS&%(HGDCvZ6dEyeZX`xF@)o$T^v(OC3ZZGG< zpI`cc&aYnk>PVpvDC6J@9w&2>(|72gO4XIPFg9;*D>8HT-RH1V#Qy#72Q!eKBZfx>A?T53S3Vs2u zPN-u59;cVaD9G9zJ;=c?0VScw%RjHCjU=xxNBy!zsQ$s}jj-@={aTByxh}v`9VfXk z1mp)R8y+5RBOeo`^>I)+~JPJ#fA z{MA|NGhzCS80{+iieXZ!bsWf`mq-~p;QkHubF{xtqkTA3ZxJ&uW)hY0Jl5s%bH?eLreNO;-cN>}+ zLd8PyvBW#ZBu61G!4Gx+p5#cLc2%ZwdZSr^?HtNcXybUcHluFa+K%Hs=G$}+>jj^O zB2vHk-ZdXiqCpMN#?KbVK9{Wzjl39E>Jfq%W_#a&9z;O7Tz6L96S3A-H>Lo5ZvW8| zUS&HMCWV(Im+|o}oAOP5sK=J*o`p^&bbV2vti9#X>5<58b4SI(V02YQ&tr-?Fe;m? zK_*oebP4I(^D*isv`T~1zhvge4}{qODp^)fPp^9Bv~Z!#OuOUJrhYi60EP!n*0xk` zjn8dSFhsbm@e%JYd4F*(>f`0ajmvg98av_4R+1*F2ymROM^P06gAui}# zV`R_rXK|A5U7#zlB(y~YJJ94sckKz5InUA^kAE(#R3BXQiZ)8PeCFVdFqIW%hd5o( z);~mKYGcGh#O!LR@Zot6H!n{O;rVllYJU6@G|}g+3NQBf@M_Pc-OzM_K>WWfy&NjH zYWo#(Q4@D9W@HBrHC^Wq6mK{7(C5B+OPnHB)-q8#H?gE9zK}C^C(lRj&$ z1#_1|i;v1J#MmuPrYir~lUy-=NJ#rbslMO1`1#9;hbw$|bxk8mqnpqVH`WuR(1Rl`z)5Ps?QBvGiHIcN?gvB?ssOSM$HU=lOw2=^FIuRx z8%M7;LgFPfEi#26F70uAcL$r{+7;G@0U)N5TJGlSQTxRp)~%N^@3fa&VbKS98xTtD zBIC0zOM_dl0Sgm`p!u8#%!H?M+1@N(vE_l5nGINfetqul;E$P^rp4Ifyt@yIDAD>u zThx++-k8TecH6wdW&9JyVpM-bW^etjhfSupIm(!)?t?Eq3ux7jRv;+iVkSq}W>QLU z*cd&W*D>C10B=|p4cd~${zCmWZqU!cPbOz4g4voN8E%*p!Y%7O)OS#%W#N?2n)(&s zzpuCq?pAT}+v}HP3!O@SjiTK}E}P9Qa(3uOG$2JEVq&13G5}>e@LWa1^>sjM1BLIf zT2(V~_>Ac0A)h)M7`a(@w_$$#r zfU@c9^vWWy@Z+C`uZOdFPzQN9)Y4pHeGi_(22_HC zZ9osDkyBRNNI6c^mC#iCjI@fQ>#E)wpQ9m~dUdv!w!-Y6JZS{h=<2!iP&tU$-X=3% z#gQILQEPk^?K3#fRG}+`Y05k3sf{SMLS)}P0f9a2 zLcY8jU@D=AN&iiX(Dbqk?rG868YKFou5Ohd+}%g)EogtWRLWq|q!1Sjy5w#wpa|U4 z(S0~mn)647akf5UYCrO3;Ro?njv6C+1NEba2P#%_B7B_-@6OZb?WO#aPoNGJnkYzz zAe33&%Z-~_j8yM7_+QrN-s|u_;O!vP+-y`{dUE8V3qd-~cNJM@PyYp@LzZ7hWb4tc1pJ zfr~jMd@G)B)L%d+QYh!Zmc&cR;@G`u{^Pkpd5bQI zqP9qow=le0R~z|L@u)~^x9YqJG;PzQBAIzc9Q8H$@n)N`iOF+tkY&+`d{_4hzE1Ns z6M9FQr!;>i#7(F)i`t=rieEC(au)M!$V!RDXzg$^*EAjjXpiKjkvJIm(UI3=CnrgS zn+Rr;BQ2W)-T6mG-Gs(|5Zd25ii`b3!&5=$oSyTnkWOckXVg`h`zq)2SL+9-5ug+& zdK?a5f@a?ne3e0anAmS;%^h_0(&YYG*>*UfM+R2~h$j2S`b3ww-qF?H=B60pbZ>*R zQ#7({qD}K-mWKgZa>zj%`t`>p2)XFEZT663)N(b*u#bZo!K#@__e-ts)8As(*Vn0* zSjRpbQ-?PP`@8GIO94SnpdwPZ-$(3pjXzm>Ia%f3n3hS??k=-sKRQD{G@lf(F(6xz zHr^}++7preC`8BQol>O2~?^7g4Ud;7*N$P4!{fuiXie0m`&2@QcbhISc znU0$Yv_tLgcW&Q)e;*olp})p?GwdfVPrlDr3?>1HaJ-ODIL8Npc2KMb&b?Yrzh1Td8ukOLLPN z5XMmweVgDPm17T$fTpOR5`^8HtL!m98bpqES{X&sGk_{JM^Z^<}f-MR%y@4qkWse4W z5-QL=@}A!3Go;mxE&zPZxAAJ9pn~4O1Fc{E)M=)UOXG+~a;BcE{($RnSkrY)v$q?J1H4A}?t8UJ%e&{aBAijG;t-E3Z{^U5LFU_2 zKXNQ|mrC8y-zd{Y*M?b!r}C}SG=xqDk5K;d3z@xe zk{rh&PGOP}NEPSKdU3L0@HZ;U-Aqp_`w`rL-llBTXU}+DwiazSg?wjgE(*;cx_+p> zedLXwt7*KjHx;k1BU5BHaL=LY)F|pFHnBAv`JGpvh=|4AHsr5K=iQ9@B=kW{v&{Ky z1mqV}-C=-I)=@rFsqqN9NY>_2X+-UIV{^}D*=7CBGcrMA;JF7T-JI$2{B!UiDuEyx zk&$Xy-4Dx=Fkg(9mzQnc70z=)?HZy;!B0K(w+W89yW)w2N$VPpkgf3TbQxjngjtW- z#B!Mv?Jshxx3}1(dYpSZa%17(p5fOB0&2&HjF|UjbFIzIoEBYxJruENmhyJbq{+rQ zZ;W~NL9NH2?+KE_w-A~g?%AV00n{1m%Mz5VN282ut4sBp*3OADswAHG{)4wL`GAo) zY4!>OprM>2Yw76Ft#K}KiWRbDpjGQkuW#)H_y~-@bi&iTP3upK`h!tS3vQddqr_1Gyh2;{Y2h zw@TR!TtNZ6o_IjXZ~JoUYa|us+(laJ>iv5nA~Sg~i%s;}^sqI$y-US^m}a{{2JOVR z-A!&hypQfPcgKywKL%#Mu5? zbF{0tU8mn&;{tWz3O4TvX*G#Imd$@^$fl#ju>=%|5;Vn%_sV1QIyWNv5v3U-*2!tg zUWuHF?si3tKitg&^T~AWlUv^vw-trDu)Q**oBT+QfHjky{XZ4`KgoZJnZoYo*h1k-l{+{>pXj@A8V)nh=XzXhd_f06KQLRM37 z_yx2Af+q4Q*YHE)dwd9171hdKkyM%SNQ^ALDGBNA!$R->f#g&Sqn)uX_>)7fD&YRew(Dxq;#%j8ms)5DK@{Ld0m z=%f$Rho;YnOhAj5eY|X!?{Of#Wi#KMX*lv0%oVgw)hnL~+c((t$T`Xn_fAjA%!UXQ zPQ`0;M0Jh6{-&ia@8`@T64h=#%XJ2<%FG&JZF%GOD|&R`zu18!ntlFzlK0~HSe^G& z$6fu#=EQs4nuy>Ez7o^ErIDH{eK(m4pqn{MWt|A)5~X?8lIX#=wyH8rZ zc_-s*w#Qf#mIJew)vaooDVgb2l<|_3L19G&SHqNy=c1+fg0ETW+JqP3*(DXJ62J3a zs?6^d>auS4-g=}kAf|XFQv8z1MT$$G6K0MW%Gv~sjLes6)`wg=Dms?;Aae#lFmI3N zGM;V?e=8 zdvngEe8veucm{9084A#4F%hZWYIEaAOVqLM5A28**TGLDZH_{0YaF(1&ds>)Vqdx$ zA?!}=e6lQZeS|8NPy(0=?Rw!f6DiRy@I)ywEpe$kvnyO)_?m#A=xm5nXCzktv%0KZ3AU}A`OM3U^(|{)jf5H^i*8@8``#{cv|n!8@}fIK zF+I*&YQAfu%AvuJG+NtU{f79pS8yU%PN(L(ZMf=3PYmXWd(6AF_{YxkuY9GKKw=k> z`ge#vvG|5h5r<g?&KuEG0@L@Y|UT`4}iFE2o&oig?tnL+6)b$5AwPF%Ta#fV6KRRDGUvmzjh1@?S)_d( zmOPvRVX=q~zL^`^_TET-jwj&0i39AW^fyPKr-QXnG6zK`E2lU~*R!7AhG+^Adpt3Y zqi;IS{=mASgFW)n+!bB0bDJh>CQtBi4b~p0>)?Yey`o`baLoQzb$NCHxEKas7(`(G zexlc@d$iCuWt8tD$KVH`6hK%t?=!$8FEGG_!Lgz!VhbKlmO98@)pG3uSVSCB>Boam zUc!aM%Gcqg^?H9jBqcM>*xTHX(NvYPzxA!Zgd+E?PuCzE*q}mD86`4a4+1R01KJ=EaK*& z@-5}Som5XzYXy3_T>qbdrxetvcCdrhlYxZT`ODJVsVGC z?#8j|h9EGW za9G7uYqW7~Kneh8Y#UBXuOvz?{jz&acP`OYP8<=1b4r{bgTn25k_p^pUE;RBd-0Sq zo7hhwSooPYp{!G10)DyZI58#BfU0*Vm0UViO`ciQ>KrlhpM_;QBu zWfDyaB}cTug_7jClIhKzV6gePNr{7XOKv;~e=wRh)*yze$8W*7obtUm81nnIO_VeT z3^WQ@Whr1bK)S(h3+Rfk7B!O*jp#yeZav9U(F~9T5d}!CE=-!?;5Pdhg6Njmw!<2ix1E7jRF5dB~sVxz2`7Mk9Q#f=1veiiWiWDa}-h$X6axppr| zaILvK`}x~rYlhi7R(YyRqR)X>ZV~|PUocN|CJNCN84+>3`FJkWWun1Nk~|^e`_mzn=hejuuuol6WwIy|#pJL>gxARlV9sxoZD)s#jg;wf$oMT_}oSCbMU; zZ9ANnxkhj0xmdz#7OQD;}fi;lwSgtzt_%%HK=4&|BmG!lo&Pycc z!uF$0KjDEX{EQ*1TQGXv(_>bx*T7tELJ1nH1^uYQO5?vX-U*99a4>5OdSJ6`61A+h zB1k%%UsN1^XWB?VNXtjf&_ku)z~dPt(VllXNRr>cVN3|fTZUV(V3u{QV$Hyr$mjU~ zHDm~)IIm((vJ&&`dXMK58nr!(`TAYkAD#CX6$C%0`2J_OnW=B#)T!L>w*5X4f?X8T#C?1pO<+w6ZT0%;zFOTQwF(N=9a%ID^Jan)VWhKW=B^!$ zMW0(%hpX=5@U82=rxPOm+Y3^WZu?VZBqB92O0h+`=#8c>cR8v(=h1J?4$XC}3>bz- zDB^ccPB)`B1fNqPAm``8Bbi-Ve9>J({>%xK8KpnKawm=eCsmeQbBQ2sli2>XBxgR{<7`cp*khC&z=%)BmV$KPmOhGwhk#^imBVnat+Ef@?! zcOkzgj@Yy2IxY?o73bwTnvY2YC#;K&9xMZ^66xv$7XDdV);-qT? z%|Z}{U=AzC#XCv`rBhvAP1c#mF>;|`&}EQ}Y$$4q(BC`%SyA~@Q^3aT1_0?bQp_7EPp{ra2%(ofg!4P>tf}h<@HYA!?FiNBFS5i zJ)DR2;2kstiOuwOCsxF*II$k^;7DuU-AYQaj&`{AUP<=hgrdi6J~^eXhtDoL}$Uf)vi5tKPiiz-N+4~g* z{|`SeWyEl$urYvJjgC>`$6qk2XN*!c(H~y^mZzF!z1_r^TARD4EbDb-a3{?E`Eksg z6a%`I)37i)x1zQnlZH*MA)+=qmhi34s-vsnhqD^nOfl}-4p`n~dIRcAZveF~bg0ET z4rs@<=BM<$;cSHe;M{So6bLUBM)v(6aTY4vU%W%Jb+BiQ?IJYFSz6zaI>`J^Z}&I; zozTD{Zs-j5>1-%}2m)6h3{Cv4squ- zY!`vtfgVGukJpJ~cOpF=4?9@0Z(4(mY&d#9LFBjt@Pfzl*O;{6c6z9o0%G%XE?{qI z7!gFEdiWD4py1JEtC4J)eNPs>GZr#hja_fZ!t@^g%#1OLFz$y%C*;P^0+r{SY0wR+ z8jZ6vs#3HO2`DHC`8D5GNfh^&rx2Wwus_I1Xe7i%QrbA{!}m;*>ZfE@inMemWyyUN zdK(OU^~8;fGH5YvUu0zx-Gs?!K)?XHeu@Sf|AKFCcXxxfB-@-5&MT(5a345~L?C>N zeG`T@#!flLD#b>k;oW^wkrK+9S5M+^Ck$&*m#vl`R7Jk}*FlA1!+w>Q?P%x4Y;P#O zsr2v=w|(9APe`w%>LT4ckqh@I{yI~s@KFv^RO0GR(WuO`?nw>{iXdIful37^_7h>F zLR$&HQ(|QbY<-hJ`9A+0nE*fB^XX2XSX(vG5RHGud5vxZ%`ey4K2CI+juCX=yj7CqPw#CBfFCX&HJ}(+?(Pdn zq=7NYyjyRetIse2xgWFOzjeMM<04>%pv>SRZ6AXUdR@@r<%$vq2_*we9Zc9&HY(av z9OWC*AA>NiE|$~XXis#`lI6HvQn01pMR*+b!s~S&tnbPt0fHPS`HtOuX8Lpm?gLRiqGTG^Bas^iA6GniQdm29Pim|CuvHV4)6%P-BDRs$x zrt0%5;l>-`LWbmIQNY81^FKZs8Sx#!nWRj!kam0rdG(*-ddzS`zd!_>&O!ukPfs1^ z;M)@v`%M^s#bbc{I`}S*H;SosG2@Ar zNIl5vqI=LP*2~UtV(opfwR7hysKV&08!`i-DqBBfntx6UXrPXkB-{ z4yxce?hu^FyjjjZGA)IoMj}Bq4HEIjZ|ygZ8l@eGAxW(N?4MMyv`5d5o0D5ya_?Da2$8!i5yFR^$yQs z5e~UA?!BN{an94xQoUj5;ii4t3PS&G4@D@Z*BFIaMa6k?C$YzHg2JV zT_{wQ-cXwMEkC;q3lzTj>$q@6**LhiiVB$n+r*#c3gDc>h1v3^)o8Zxu70ODlVOVDKGalQ=U!*U>_%b zPc&iSk*-f2~4?Cvshdo_<#H!3}s(k0Fl*D z*(+r5GFkIIF`4d@u+lqeQeJ1lfPY~i6veK_ypV|K&+1mAC6A!-?qDkP+dH(WuNGVZ z$Z!s#dF7{9f3dyhukc~7*_X+AK%8^F6fbIfNs%Xc(jWa*P)TADW_{=87J3Nqv;Tn@ zV2D$61Xt^vjOCn|!A#V`* z+WGPGGynA4Z@xhG7BVRgW*(?zz8yAJJu3t*{|h}7_j0E1i0g7>ck*^Xq)C;MW&}E^ z`$rEJm9pfz!|yg%CYAd(>yluj*u$Ug({UhjLDe{}ZHw4lbNQM+=zKVyG`U%{y`&bf z%$HBrsl)U{3;w9E>>Pyhy`%^89{VK9N0V}iH%2+1VeGki zyzM&%Ac?TxQn8d57U9yT3j3ymK(eZN@0QjDRdE3NvsUm36@>>2``l;XbnO`f?5uw( zq-vdL)b%J%T#D@iGNIth2;;FmFqw;=S`IY(sy4pF$}^$+CW+aj>7Yy`Xa>9!LB^gD z1Alwq7>e-pfBN`nYXsj7J3-UM!c*$8>zlHP#3HsDK3fM1lxw|(#|!>uy#q0be4*D* z5J{Tot_npLRq<+ifA)9J0xsr4fK+1T$AXq%{|`z{vB#A7#0g{n zCcI1-r$m`~p#tvbW$^IjYlri`^7L6OtpAH|Gh%p}?2!ebwU#3DR-=A>+<5`zIo}(8 z8rkb#br_HgfBv}uU!wV}r_hF5KFnL(;7*du|IMXqWHTqu_qZ=E1YXy6+;o4F@0gR1 zUj3l7kdoV8-9HF!Dv()$X8e{NPB7BG(gUW3M^anTMK|SGF(H3pjACaVy=$zDNi4iQ zkU64c;;FREycwjlZW1A^n|E??H=&O?{|u>c=B-Kapbf@f73qXTg&@KiPCkCe08^HU zJVOdn@Xz2m3(+M0-+&+ZO3AeGM)|^CCFgF?sm!#t7r@MtOu;^M0Fm zFtxZ4a8ESo}{|RO-T5EjQLp2f*;KcE~LbkKtQ7BX!9<~kazn#Z>bHmz?_y=h;0crLT zZrP!@s&UQbPuG3}VmxHfkZ6X!gd3`+s>*HJE3>=;o?||3){L&G13+E(rr}*JGrJR)}(#ukzU=i_lR}>fji(fBb!>? z@in7M$Mf-m6X}7t0Uh5@hUXHXWB&;!nWpDS;Q>1q&g@lrx`++xur#zg=1sz_@(Jt- zv?H2{chKpTKA8r`2T61Y*`KJXO(T-mowi{ktUBK_FKP1CTLrTBjc2r5Ei;dMAhM|F z-c0P^6u5JYeZrE$lS5q-+$~ZKcCV+7*g4e`@$6JO*Wjf&R{y-Be@PpF1zkukTV77; z#`;Nrl^A2Ue3>|UJ!2_a|Ba&m`a=1_!S&0>*AzBT1eV?BxSHNwo7Aebol}Zd>uBZ= z`lDF@VYU}K6&bj982NHp_o9$f-6NA#AyNwRcXjzg`r`+Z@$asT2yUr3b3!>*%fvW@C*cN;lP7DT;zO74!9)~3@g?v zUCd1Zp)3Lg0ccvsWt9(_P9DLmvN9%Mw|qN^z@zJS7)q4XC06DZtfn07WD*lMF9o}{ ziwvdL_ETV=-tO5v#ikS7uzaYf7`oR}PycuCDPZT^gCf|kZSkbk-UmyyKf8oYUSvdv zf;f@saAnCx?O^7JL+(MX2PoD5nZ`quswoqh?m!W{8I_o}gtqYH*P3CeRXs_J7)-1K&F|!8os)U!3K&_ z!8Qe~>kM*{x2SP3M{T?0mEh4$@NlGuSO4s+%QBqrR6WVel~Q5eEK%A#a{ef$-}tVx zsn%(I+RuWg10HDc(%YeenmJoFmzkZtH~RS2f>U`*R;R#7)xUe}GRAqy?)X`Qx4?MAGq7Xt3&}X(qH)LPG$41_071qHw)qW z(J%f`WCFss`jpwts`l`BHnSy}UsGoaxGCV_;dKs$j0VJQ2e!rWapiotnY1DV!-<9b zTp9v&g9kixz(u<;VZSBnv)-h@yrJ}xO2CrvFCJ=e24Ig&=)SGND|p~`;6qM5CYZ9k zGin_NN4}AXW#XJTsuUna6}w?stwm=Ub_4%luEPVlhh$1znJaxM{Z0x3Ip=fK73v;t z4^|m{gb_FGftZR9+KZDC%_@tQAlBGCu+hm>%2&;fACJD0BCuSoJW31h!8*6h=z0rN zwN%Nn=zOydl=cgMmi%mMUBdzTnb%J*5VSAn5HDLuILo6!?2)<#Q(Xc(OFo03_58VB z83hW}qKd(}P4E>Nv|L;5LSB_9Gp-rf67eUp3mj$pr3BxF7hvv!hf;wBbfOfT0*N33 z%}Dg2uLifA8)xm7uA7DmwxTi^kNRZk=+_BS!DfKyc^$7&rFmHDh~m6k=bL9Lnm*^!E=-! z=4cMV`E4PPx<8mF==8_;$xSEq28E#cIyD+cE(e=^u{DYX%G2Jmi8d$@Y{Uh~R1MsFSh?$!?op*&mlMK@206Y2SPcgpQTR`KrWbcoh=0&zb! z`5Kqe`%2nZIgq`<-R+F!1Mo71(P}%MFmA^;|F{AutcPl(u-WfP`1SU^F8SbAJ?wtj zh;wVREDrBI8ngJk#7Btbe80o*VR-^MTcf%?2hkDhH18*;=G`fFa=S`r$ddq%IJqcM zRC~G9>7(ma0!(Hcnx(8qx%0#0?NxQ>JQDClL+mO?mFL&4(KsNFzL{^=B#AtUHh*7I z*VN<2wgOlk`ELt{AQpDH-|_cTf}2lk^Ru$F4&lK}a}MR}OgB3~EDCO)X=Wa@&2L|x zKO}cVCV_2yqx;i5qKV=#u=JZGU5>{sLPY`TmK>zJd+2VYVMys3 zy7NAx=Y79(zkBam_s_f5Wu3K-3ud0$``ORV-wsh*cHlYW*jA#kLT2aW4_<@F^FT); zBx-1A7zDlna0CCok+~w(x$`b?mTttwaalxV9jj3yKYUBQOFyPyrA4>a&)*4qCNo%{?_a7 zP6G@GyIHT@i~W9nX}8wzk0iMz5Wkrwq)Bc(7IZDOb^_|DVE$~eQFoz!b3APzcx!y# zl8DGGhbvD^X}PIjMc3o4eYi5*1zD31o47?N6nccVDi;pcKxCgdm|AH$9{7Ox6kmh# zCbr_IV#L5J5@H54=DzR-kfx>MVI^f|1{6h|gh+HxdLA#r7)6=0_@)7S0y?e&Nwwlr zl2Ce3AD&iSACY~(9POjs4Cj2yCjV9?Re;!!Pg{1Xcieq0wuv&NldroyL(p9Q_|pe*1uAR$?ce zcV)TKZu?Cb>j|2teU~4@%8$0-+v)Xzx4?f%qOQtVSXc*sAf;~De?rD`aK^r05G4A@ zBfo{T0b)X=B2H6>k;|GA!or_SRei{3p_Wvazb~)~{mDJ1yGJfr?r3M=m#YX(QOX(V>jca zmdFvC^Q!8C+hj)5CZHPm>;k|>OnICm`?M6%C>XQdnnx;a7wiI4f29fPI0iHAFFD@Y54k$na^i-sC z+QJT4Q_CeZit(?wo+tY&3II685W2DO!Tj76*ShLs)YH@s|G!=5-bZDSIPzXT-$)Aa zadgpdKT}fDVb{+GMVO~%@a6XQ{^Wr2EJcJn*SaHQkJJnASt#m!Mb;E$Kw|qa zEGi;mSZg0Zgm79RI5i+Ub)G^^K?GGt?Zn4My1M6k6aQDr%Lvl*&er7%9**D~I) z(-8;ph`rC*E^1j1$}S^=d@eP>s_b=Y8!zQY%tE4V9vB3k7i(J#60Vfsjymgw)2g_A zQ_|uD?}o!JfZIZV%%`a`5dz?&f!yF#l=+j>JDRCbiUB!U3*7(N9ExsKhfEbvB%;#d6BFu;C|9JjfFcQA(j5D~8);GWWy)D2 zGVaM;>GJiC+qDT}uq{xcdUzh+cDfX2U%XWQFaAgwsIbvniDB6iqu@vJQiqH3;59&p z;X-aQ9G^zmZ1edC`~Cs=vkZS`-qPRY)eA@l@tx?Sha z`#=0`L}QgFmc@=;F{Fv_i_ml0QB)V4(95b&*$}=uS@?fiOz1!>3Q@^c18w5ZJJ8?7ii;`nzBO^)p!Fl62d9h_Kmj* zask*$lA^%D?|e-1oOU6VaE+XduHl2b>s9jWr@iYnljPkW(Z&DQZ8rvrfNeB{_wQb4 z(_&+Z-K#;-kY+SUlT^?Ho`6AZK$dHPuMe3A^#jjibGz;Gr1j$ah29L!#rF6&B=zWu zXalGr$SoO9Wkj`O&i=gJLLg66WaOG6z$Ov~#XT!Mx3LK-_5NH3%!{9T^Y2tY0X!2R zqxPkuyf=JMwhhsgqKvQ@I(`xjK$7=8H{Soc^(tj-K`j*Db8}=xn zffvT@jdu9}BL%DH&(cvg#DVOS00y>yWS98kU#zxl*$q$riY1`e|G)mS(hM<*lO>Nn znI`>rv0ie{r{(x()b}JG{XmKM4)16i{{Pv_|DXSiejn{G86fYQx_P|r{6jSgeBC-U ziH5%fgT(CY-KDtkufv1j{X-oQnwpO{eZ7=!F9W#+dH#EeMC8^#bPT~{o$Wm8qtdAT z;6ABgZCN0^9Pnbj^*1u{T6_aQGVmS_UPdBfsyxmb#GTv^H4hxczWf>W=-OmkVJ?nt zUpPouH;0kzdRmS57Vksshu>ebDAn9=y!l!buW_XHrbG?H9Hnz%?sv*+zK3H;IKaPJiH?!mqgJ4S%=uSr z@2JzqO~9A7B3-Q+l%a-=kOzXNDrU;Pq?FDl^^Z zb;pSXu3K`eiR@_>GutIoR#<%sJuqQCt{I@_(x-`${CjX91z7erLV%`wY!(0GM~4d{ zs`V$9KL~PpvCh;rYdJWSBDhknUvRruJgJawyV7KP#*pRz{C3q z?X?ms#hWL4x^+Lf>VBYiCUeXD38ro!twMZnUkd55`^)068-Vf^Q?A9ZV?of~At$8I^l8Ldfc zIyMLI^Zn04Ovsg#ls2t*o_LA=xru~==aoxQTI17mypaFw;s}qF6gf5RDO07@CEw>4 zxi_p#U((BR_h<9}?DS{=7;0s3R{JZL^|7*?iL|E zwlBABSe$LsPsSeZF1{GJ^1PXz&j#oGvonM6RGrZcjQa*PrsJsmPb@^Ox?V`0OdKBHim*+2DNMcfbJ~tfXFE zR(hCww2m29Z(Xf4ZjjD$Ur%zZ8&7{`|H=I8``-S3FAEx*yr$>Cmut!mM@L5D9!hDS$Nfa>_y z1UJQzQO|o_NRhqD#!@j`HE{JVyy-j)Ryc|)y(;g;2&iq0w;4{5OEz5NpWir=SA`I zrxfRaKSU8^qs%pY0)or#n#y`>s%kk3gW@%?E*!|4KoQqtUc1H5x3Q15rZ?W2haS6o zlZTaer}n$vQIdwcuexjn6~CtYY2Zg$D2}3xfLfAp{O% zI!?Vm*u~#0xRd{WH7;PbQt&}BI4*KER#&*B;6&D8^*dwY3t_Y9@vHJlYn9%qYcW;@ z;A**8%L8TO>xUUF#-OC67B~>5op7JLpy)H+d@wfh8vM-P+}(>%Vf%8!T32!I;^G46 zNI%7WplgFKnPueUICIz_Y5SlOGUrPLP~kxVbZ2KL0BAhYemiP^kEy#)8s492V}TvY zza4oTrqKUse_hVGF}C?S`pv`sY1`||9<2 zR!rIXpXakkpMxZ&nCC_^A~_O#w>jwM)JOFWAh%GLu*iN;J>SLk^L;$A;jM~Qxl)3P z8eZp@3U_3O=F9lC$u*-Z)g>gngL<=hBgb<=n96Z|*j9cctdv$hb{G^uc&YF1hkG`V z3q(V-euwyoS|*l84*krf5~+iWr)hG5^2(?P zw%gjMyXWPFl5kK3#ER$XiCC~!&PUGduGj37j)ynF~#c@&bE?EOXL6G5~?_ zp>=s`N?TZCZ8R^=B9ctdHNq7&0_lW+8KKjkTQL3GV4{%p`0ci_TjJZ1QWJksIXL>9vy#ynFrzJ zrSHe@U1=XjL_`2`WRs2N>yZ4fPw9Gwm6yI5p8+spZ{0yLO`}b-^1-`MQqTs4LK?9$ zU8@If$!Sb!T4d7^aLGAT9Z9XZ7Ip;Pgg`=$6c9=_gy&Z97|r%Trgpt6S}QZ%@uS)U za_}%fL=gODZZGJUMCoy*HJfZ$zx`O*DzpTD?&JRR=djYHJ|pt!X;EKvu_;RLSgETW z1^T=_sxOJ~h5deELXQi(YE6AOfKbx~XrgEkuigoMGjg-_lgtyb-IL67$qR(}3D$tE z_kFwsM$DC!$z}Uj>SHYppKgvwczDzuy-oK<0^BzB9QEEDOQf0%Ku&-_jA9eQp;HaC zFRLa8`(RaCTy?ncqfvL=RJ^*Y5H~ATA#SNW( z(ptS2MjCj5(ME)TzS+->dwx9aFR0fj&}}*$Vnb}iJ}3uVrd4Vxikd8>ToHj*hFd!i zeFYRGW|Hza9vg)}e|UyWX63dUqzu+rVDMzEJ4rTbE;k2Avr6ENffVv;(IU|maZDZa zB6Oqs`qn+Sxy+SJv4+wC?$y`k@)xr3oCm0GBO)=zE2*_FE(>F4C4H&ZgsV+P?Il~t zc}Ow!9a{$#5QAa*lZO_Y+0Xut%1>)$w7Bio`qj#CAv)edv$j2cIzvoVU0OyCG3cs) z-VsU_pK%w^-3nG3nJio*wD(27?3PHRAP8G%4jxs!9j5{PPaslZrnspm%1l7Jm zAg)NwSFNI`sA#=BDX9b73ub6A4xOd`vpzRktM*4%EUv2BGn(2HYTAbpm1nSd@@a`y zviWb(@-8(40|UC`dT#PHK*F0-3JdGO$yJ)507SGV`_Bk*CH8|tYy7e$wF96kdg1%T z784TAZ(v|B?4YZg2-N_Lxz}`c40G?vfpBQy_@_5QV*c=L60H|Z|>J2URKbRK% zf<^zCu`%(5ZIhFdEnNI8Az7TfTt!8N*X`Edn^iz`xte~G0qyb7HxY9>tY9qiIa9$m zS8&yi{`F8DWWon-X8&cEEdVcN!BxMea}KWyUEiJ*a$K(0Joc$rXC?miPXAhKcsf$Ea?N!i|0aF!&!Kf&l$!c08 zns~Lpd9Y{nN~LxHaj{63^yKiMD|t|WlI(u!)ItdsXvMU)8DA=x8$y)w#M7Me8KAq~ zPqD8L;;OpHgg#b6FV(RpB|oOScbJ&1?^1qy!9(jFF!fy2I__D8g2ROsND)i#Ak}+e zJ#yjLjIHc*OOp%?$qlDP#@i&Gb-U08X2R`e1;qX7w-*&<)%tXbF@$A8siG0@o_gzw@isJ`J^AWeeixzq43v|lhchz_>k-2VQOVLoWoB}2 z^>m#(9BR1XZFMsY`c+!T+=cnlxQcsrYkDVTk$uf>7@gNTJizx?ft1IYq*Y8j@06boKN{vbA3hk0_9LIkzILytbIeJP?9Af#*Gl_&AD`R#+R}a2 zyOhT0A_fQawYm>v02jxGDqbK5_MvADfJn_&j4ZwRw%gvRrL8S{62w-_3k(d#j~KMt zQ}b}71u0qt$uc}jYdlZv0@W^re*^Aopx{P{HC8T-KRCfjjIPKaT;Yq?@<4epEieKy zQ@6I-q%2rq&$mumi%cPed$%Hh7$fOkMm)Rwa#9>s6&(I5D1;PC9Jeo zQTPKQYheLoA%(2x0hFe+H)Bpt2ivoH@*uh==k^lZPoQa>4{c(z*1SGWCH=+F|M8+M zR+ncPul-Q6cwrOUGzUF?f2K$M4u7IYgttp67)5 zX^{t1L8sUGv($70b$JX;TyTj+0FOtK-;-6^t=bnl$~~EC5)kxJmv#eq z<7rwN3+L|Y_a>jpB7(R_;AB##bV;bYCf2+xJ-M`TGiZZ3c$P_ngv|kXm4V`=R_$0; zkg7jXnCCW_I8tIh$jH;@mNM$(PPJ06>C{-i!A$&XZsn7_I*v8_Pd)JwCjQ^le=qN= z!F!Fr0HiMSVf8u+Vz70@rWZBJkDR8os>1t{Q7lpc(}-CPbtPNRO+YUagSsvL{M1+(DNiEL<4y-^0~ zKH;)k0ie)3KER1jw+N$!Sgwu&G7pk7cdLCr$_{V;J|xOw5yt@V;nI2Fn*S6~+p zqB75aRX;->95^7`Mlt_>Kzb0$S2@?4LkcsR<-E)W<J^|m`ctfwwDf>(cSNBMa3*Plf~26O zO}xKrM+$ZR#(K)~XvTp^!)Wte_1-iouyHhoKw{dE?m$E#DIVVMm?CM=o2+3OFwpkn zGyBP13$k;Ag$0!%@<6Rw>m~$7TW+;YOM#O|?3C%Rk2-B5f@X~FX9v5qFXJAR+f*$P z9M`J=1NrX=;_tu_R?}LoIeq7*wuGY}=2d|rH3%e0j>?QgCOTDTae@hO;-9mX9^`(r zBdryGDGHrU15elg{Bi{aKd4NgSI^b_&!f+8){i8iu276ruGiB&F0vS7q3Rntdf!<1 z=hZ~%j$#torwQJ4Z-k_}kdgs$2?lf&D+uTx$TpyR)PVT@ld)(K;31Ow^OC8Q-pRRP&Rap_<8K@R+OP~#O%8KX(CtXI zSGDbkDGs>rAeKR?$hWb>DaKY~80}6TJ6$}QIe`q%7?VbI;f5e#(wvf-`lTB)5OW~M zX_oT+gwk@G2-Qw$?u*7^uT)S?F#nULz_{`hTc^MH-Oo&bq3lzB&A0) zYo*sF_s-tl-KR;#QRRPK`OJ~x@Gxj33! zAr%PKK`3ZvIRbo0-NTKzU7{<>P#Dosu0M1 z1u4YST?^P)oLho55*L}uERQvLxG|>D%Tl!%Hc#xEl%3)!y=)PtvEd_nO-@Z?Wu`n%U}7~>qiL80r6tC~ z#?}!8_&Fz4G60G}C&$!t1{iMlLD<-6oXV5kKw^oNM=h?z#f2@wS^gpYf&Qf9gR*WQ zas&yPb!*P_D{3XmBd#j?J32n(6$2+C zFm-{Vfh`ShNfSjd8r72@zpAa5@Wr^MVZkxg>7OpLHN>Q!c1%D%@Dx>UH-5yR`r+%O z4!jReQN}v!t1F7BFpuuS#)J-L=bD}bG0r<<#gF9%`SP`rEKrn zI-E>gaDgnx3q|3z8$O1>lb1H#b0{7T&}m1a5)zQSuh47Nd~k>WDqXafI_BQu2QZ#{ zXlZ0L{umK^vX8%aa-Q!qW2fV6gJn+RZ*_uQFQS1Gt zrlwlAWAxIlT7^a&psOZPg+b{?FfTZ)(vp%yBDe;rY&OO-%GVUqCb__Vs_{X75LPJ; z@(7jHX#K0s-3^HjFfVLDN0#qe>($>oqV)*etgAK_toyNd0s4zkJ}fxe*m9ps;VIce zF#HQ3(lWReLO}5!6`3A;<%cEOz5X{<`VmtCy2kC@f_hPuX3=Kp!UQ@_^gye4_g}}_ zNKd&K6ZxQW*8>GHmJweKEG6B)Ad1FM*Rci~l;d`Rv4Xe@?zrp#57W_*w0H}9GvDlOIUfODiBt#sh=2-(b zdln6V-!q0Pf#v=*2D-&tva6$Zr)>c@`O5OL*>fR5hZKxL1F*S7X{{^}>6pkS2V@`w z2go`zhc&L@%MbdF5Uvm0d|PB`k@;v}un#Iizee8PoF*uQ-kkY%w(p^vJ6RX*9Y z?I<9+0It1mIT&WZxj*Ib3`t3Xf?}Qfj$$K`LGHH`G6)X6Y+D8s`;dfNv85(` z@kEQh;Ex0ngWRzv?U%cXW0AZi9?m&cmBmKyHokG2^%I>G5}Sf}j_48o>p|ee>J8(Ws-={%d`p?u&o-WB3x!6ky4+Vjrz`(n95?~T+ zN;8rcThaOh_U9Z5Tc^rfaWSFn(#GxlEN6swZiXExsfi!uuIxu0L_bIN)KKwT+kf56 zMh?px8z)^;>=1z2#|xjLo;q*puyWD(&O_rBwX5|zcx&;QPg@*6LFc*f;tw*eX{H!* zgi2Pjsu(qleLV#PP3l1m(2ri)4M6IM2HgQ_wdgaeFPY3x)vjjct!O(~gj;HlvNX?* zLJiI5f&4J4cdu$IK1|V^zM10Vx*XewCje-#*eW35C@@gHZBf1*eTNAHJ{lxGoI{m1 zPnUE)amd6qJM;3b!b}ynpP%1PVa2$TSAc6OQCl{bcKfaU>bq`<^v3?WxA(0PW00sN z8ieY53ki8<)qKacj4WX_3e|0a{)w=AzT>?k(D6Yv4j`;jv}4hk)dQe8fLn$A-$P7| zt$cqP5~Ymp*jExHeSV&1p-P+OpB^9QxYJ*7KFnXXfO`y33ym|m*VaBIDr_+)rIM16 zOoCiOidVo+E2IF&M`I~KLyTLg;5!hk&BzlFxhFx-H^6R2?g+J;yw38l)3!eodh@bZ zTDM|odfA_~?zKHAeEGiCtoI*v_6NfHuCNS2@{o#W*1~n7pRqp8WbQ9{*>3ZKcxQb@(V2mX zNlroG3glBd(s7Dl6EI0hNxi=PuqpyY1;{h$NfFQd$A~VMpf+)2d;ZkxY!(5hcJTJA z)`8#$3R)PV`17%X`7!vqai@ZdE_zy!@8VBz6ZHx&`U`fZEC28@Fa4z9~DN8)|Y zFmms}rKIG>dW*di)7#QjfK~-xNp$n3o^}`laZSfq0hc|HwEB`l+6_R=f%xM+(-N?f z2+Yr^Rsk@7KE>4U9xG;q4!t?1jf3H+@z*5^HENUQvw~s-j_u9IBbLOBt%BGmSA%$ zrO8BwTxHNeUUYAc37r1({id8+xgR*J#v8 za4375pGk*vHHRYXYrWXYKm2ZDbHk_t&Cwj!Ou8cIK`5u%;VVTb5OqqI{>oiC%3I-k zUi(xFpDFst2Gr`PjK*AB-0Mdd$g_zsO6(6v+pgz5FLqzK`=B#Pk>Y_2RxUUeMegF_ zqPL0=uk%ilE^Y=mLZFz8{#h=mjJgz}p5;{C@%)f=rB2FDyX#Sg1G&!%&Id9_i6_Dy zQ=1H4A5ww<3@9`P&R~fr;^GfUxqXwND3!V{Zgu@ ziwu3X1l@k~-mIjirL~uUNM>qR?fqak?8z4+|AKy3ne`#id$H>n>u#Ho0!frhcDmEn zsc78i3~k>egwi-TU0V5iG9)PkjBiTslWw1Ajj`_ka8kB@LYvm7moNv$t-ZcF2c2(u z!1iz)KRkzHxjTTy8?}yoFk#`7d@01J=peW6ePqicoffYC?^x3>Tgifix!l(l(4fiJ z#{Dy%ZynD^@$XLAFMG`B^q#sj+ML~Mu}A*^2S9LY0QNeV!o70dw!(Tk z{dB-Er-iiL{Q{A@zmZHn8|A1Z*p1 zCmwBT_;;akFL6l@r0EyX5}KBgZI6NhJY-gSH}>wNN#0VUOWLXl2ubGWgSbr1FaZ0Ulj z7srQjE44}+8$WzoqAldy^OMoOLTI}C`&XzRvIX(g6_=Fs=2N}_8l_!5a}GQiA!{Nu z&qBMH9h^YOv9AH_d!3EC{XYJ0BiNpR<@S!|NyU}-CDRiUE7cS_`38`^gu~o5+54GZ zd;>F^Al0%_^&}(0HfGPqu<_vMOSp5ptVs0ke9Vj8_yr7iiDTB670!vqcVsa!F$F5n z7BUwYd3h%`84iA*z6~vWHM2AC@RT7t22V_GO<9!e{O7~V25q1OpSaAqi%lJ`tv7$l zU#*$|flxM}ULDVRUITIH4{B*)3t5?Wklt~Fa5H!>S?)Hoi)mTLDM8U+hfIWHv6Ths(tu{`VnlLzF zu>HAk_KXLMy#~2-b--~@=f7C@jQ-16@Z6nm6lNrg@AtgW*Wa0zy><22=P9&7bF)4I z;I&{kg7oxj0KmHvq<4={4i#9azIn6SHIl&Zln4YT$mY05Ca|je)^1Q}x5WPW2)ny; z;#9k*{wwDP`Ul;_UbmTbsyQuZggC>>C+BNDt7kr5uC#&(?c_OS0_Y{Bhf%|t)3ChMA~&!5vb zR_x=hDs>Fso9*nMpp-ZSeqFH%axfBOYcFA#T8Z(Y`RQ7B^#%@Zde(Q(DW~(eVYaUH zotkeSv2gIz)*ke%ZTJU$OJ<;G0V2i)v`viFxqW|G;*GY41OcqH-i5k&E5^$`p>idq zrFKt(;&M@zcPBb!i$efbFOy5M?<A?uXMm#l4PTlJ(iCjrmW+;8+@rlb~T!NO`#0jm@40Ey_bk58jUwv44O>rgn?zH(WZqvrFyj`6> ztI#O&W6es|=Ii47x4;V{iKq4an3g+5tM6h)Q9G&pRnFUOci6|lP4n02Rl(^n#qKuP zvuwD==@UH=g9K*^QuBUoBp`;Soo1&i3KqmOK#YH6?kk}#U2z|94=cuUO839AM)rr~ zjiy%`mli3I=m>xsSx|XXw-3Pifm2wt9|T2<-@U%uin*%f7L{Eyu-cT2C{^(%P*~ko z6n2q4!+ml5VEkv}94y@Kf)#_0Zj0{D!~kCYx*#tFOM2uK?_p1Rx2Be~Z@G;EH=HHs zewi*Z@x*6BEeVJJIJp$WU9Hs;Y$yp&xVyW$Kv;VOz-q%l!%lzzUO8)X&c&Qx1U%nXgrmFUn;a zp!>beJh>6l&O=3f$rgVYg#6!m`sgw2M=X z9ILXo7Sr&zPI+&0%2={TM%6%#ll7B#IqI!yWsd$i&7Uadb-g~%Cr0uWeJ8lp`04pA zo=mzM+tbF*(5D>M1cBf_>^zeH0QeM*4Vb$WGm6}Wx0hNBx}%*>ij ze}jY_M<*wq@-2V~Yb5NET~(~66;Q<1l1VGcS90u=tx=GelfwwxIXZ%V_)z%r9?csa zvwhD=`J)wl%vUCF5SGh>^TJkj6m@QeXsU>E`@o_&^U4>W8IV7wefGEq6wU*z6%M$` z4OhxZUi^U6%nvjUVQZCjI-8tL64#TC8=OyKlQ2FV92zRa2kGPNwXT-A@tXf$MK!t%wK&cT{qH`BcH5=wfZl_VBa_z};@u^gZ&M;TU+R zt2lBqlADgwUgEaZapz=e{fr#MaPRKUovtGVc~wMKR+e!)a{*dBaQjCDBN(*GS|4MJ z2e=xfF7#GcRmI#XTqRW31?cc&b%LM~nDS64Fg_1%bQc%I%1=l)_3mV1DiO&CXoO@b zcI~)klVz5Q;>A#P8D7ox#?>Ag-^i314PE>FJCsoal#*;taFi@SPxqF9*-)$`WS(O= zLSV>*!@lkp8pK0FN@_((Ata<*QS)2TD)gJEhldAZ{uJhU#ZHnNXgQWo%28V2t%b=M zFR7|jDK$&PaU9UHk>#2+i2iaI$?rMq{Y{@t=j0cb{KI9OOeyl?_&f()2>N?LNHrX8 zQNUB|dF@f+^Gf$-hua-Bd!wB4$AZ(g20*j-I;*$|C;%N@B^?CjhmgvW@egVz5`y}J2;3CygQ`hKlK5b zrUbGoo*k`jY~&y#c<74gL+$~d5wpk1e06YJ$*}i;FgD|{agwCwD;ih{I7cB+Ux$rP zIMlZY8ng`5+O@2tly|9Hv9~qdPf2|2=Nt!UXWabc$&=E^BamNvc7$YfBc}P7_LOGt zU~Q;)lM-okQB_#TwwCuv0>v@tdMxZcA_+L^2#~NbqF@O;N|xArx~S>Gxde zBdt3Cp4@p1=3pkhx|%h&DPNneIZgwdK8>0%iGa1oIjHJ!p7E4dxS_i-wR$zqO6PFx z;`f~(bIixvyW@wN`UTtG#i@O0qcJO-d61vDnDh37pMbjohexJp0Q)^KdP>s}6l+lx zH)7zDfl86D?+us~TUJ|t+(BL0hFivuc4>XS&3zCSjHfwuxwFKvK6mzsnVEIcpET~j2Fju*1G$#J(xQ0cD>fl$@Y%}Y0%}o!j!6Vk!4qK3 z49ItZo7;rNVrh3FA(1l}m4dDZADogj#Lts@LI_eEYjA9Hh8>C|% zz%7HuWP={Tm>42%6@k=fDalD=ik7`cIR4KsGxRB&yj?^Ga1$R5j~gG8A+3<<|IxY3Y;K;2IV8A#h4#I*lJu^!M* z#ssZ^UC0}YkR{iFAnCCxBIypYin7^*Bs|xF24eghVp;(@Qra4jNO?bfzk*`5Y`F>X z;mFk?Omc$2i78l-ij2vvcMtD*K^fWD?i)RjN+lJWx9PaYivzg*mrC2RUX5r%`wrUj zn-B$N(<}!`2s)U(LO?*E)F=$ef`-8x2ZO<&cK|0!uW5@Ap_aXn{VV?4?W>FImha_D zCS~-;H2H!z7`}y?RYWmqHhG?b3|<^uTn#=#XJ&brkk0w~G7_2Rz>hR zTX}s{_}eYTL*OyTyvPBhen%n4_8#VsP=Y$;l|inFTY!Y$U_w%OPoDJngur04y9@1+ zeYYb%fkVb)OGgNE5TjS|-D0(NF*BR|MQwF*(Aeo1(T6=8MDCBkPxxTfIDWXf$vJs; zqpVSp{8`ieVtdrWn@r4tNbLE~YdBmnU`%Y*PBXA_!ylhCt)EKYc4eaK()iY~e~z07 z`(gc(3MhDZczE**?9Y)z9%mGI-$D|^)9!x%MXJ;$N$SO3M5MPuxaGo0L7s44!VEV# zVDYHQoB{U%ygn1)e?9O}C~$v#bb1_fgd^-8a!0)55C4Ii=~tRU9a8koUlHHE8^&_B zEMNPh{^~3TBpko|{_tsM#JI%k+ZP9-lsHfMfh2zj_WW#+lv$&n`JoDKduuC*r~yAn zHRxdk^oR=E&mrP-mA4+~-j^iR8FIYZF zJQjnZ#PsJ_xz6|_zurpw(bQ=nthAd2p$<`%8y&;OtO^^ZdRBm*IgL?b)qn9LO+wJN19h zPQrX9KEPy?+wl0YtidMzHzG(TZjda6((?V-$@n)lxQ6|ay%@zrfU$9LI3Ta%HicO< zp(@nW@AvPyOou8MwH|iKH_9R`tR1nTpTJ#taD!&pq)6+)cu<4mku*A_;m4-uY51Ta z_j`ZW2IUyHO_2xSx%SFLgYDxDR0~mS{(53$|HaY^5Jlp_@nC zX&g5ozkFo)&*2^VI9u#O`_ByTb$|A&8;hFCsV0;b;AB4^`twDs!Jr&>#W!VU7AFU} z##Ir0cOY|*GD}P9{hzeGNlW1EmEB^E-frgI;e7T=H%8-Bu zX<&S9X5vZ68!#ag_tMSEDgT=ce+Ce;-=%q5+STs5`Y;5axy&+89pXY%??gh6oI;x) z=ar_^UVPK&%q+|@?Onqd)0XeOI%pV0i5Y?l7FpG^A(u~X44LPr}Wc0L`-w`j!79^@Q!=!IDSs60~)%~R#9qm zIL%n+g)}su|9Jd}zbhSm0u5YXHg2M|r0+^T9TWBRE%vzvx{D!5rq6`}ud# zh#{H&#gR}`Q#wz8|6uzi6etpmI@P5`j*h)C0@(&BHl;%YxUjWvRSKlxRdicQ>x@PX z?!s-!>4&)!>9YbQI$rECZn-ClajXn+Sahhp-JrsP=wf%NBR(QPI{}9e@7^UfIywR% zAvaKaf!+)>EsGCI{a4JV2P6@&Yqx8&$_bW+$D8bbg%93sNWpF8&*dBE$J=p&stQ1j zYHSqc(fXnfT-Ky)a~NOrFVAs_IWu81$r<`Sepp)`J)emzuKb;HZoL1XbHDk34DPX) zt99%|1W&L!72!q+(FWfe5H`B~ok|cj(5~v4>fdPkiY>9+J-0h_eNxznbq$0CyoWyQJ>F-T>c8XVs59I>Z+&w`qo`aSN%o5CSzY_oKjW{=&fYWeC@xm z?_SHUI_=pANV{C&Ad4)2XmIp6ay#;agCzH}n-jQ^Ahhb`D&^A1RkTHNZ|Fq1*Ik+6 zc3Vb~gZvJM{y-dadi@(!r8lWo*=?CTzS-CuvR96jl2HenD$8V(d^J<$|>n+2wAQPt2~m{(&rq#Wk4KJM9@;z%$`hNF`dj0+illD zWf;HqWpr_iBEKu+o4SL|aBCy#s|Cys_SdMeU#1U|!AdiUtWXt9wI4$2+!K^uXqeSR z#U}6k#H48f<^ZLOu?kky;8rWVt%?d*t$&i?_h}?60S_Vw4G-Upgx>oiXbe;b)M&t7 z@ru9ocfa5Yc1Zs-$qzr-2j%qd^)7{(J3Q$VNbG^RK@j3m`e$qI6|`a@SjIAY~+gDLNkRZkLuJ_Mfpy z+^NFaJ(tMDs$9)ww;FHLT^=yCQ9eu05L?eIh3QVupBS9wEZ0)hBa={pM&>=DZft-~659A4ViQQgd10o@&1AYc@o;Z8q(T zg{@L?#muz1QgLNV!jvXj^4|gT7_IWOes|}=@03)j_0&XLbO;`q3cGz2IXP~$CRkx) z2tGlIeOza6Q(%LJ6)iAbl2Z}UY{m_mnqm^GKQAyWn!1UlQgBgl>jgmydH2#vFrLxA z8STlF+L_$(NkWycn2N!j*ov)W?&kacoXLOqgRQ$f^5|~AmAG(rsBKQT4P(G@^pC_u zrtPIV(#nq^ekZh{ll70D(@Uvp+7q~FCMVAi()DR{$~&HP-Of}JI-42~BAzUTaK*nc zwK%b9e~|tph_wFrjPCkFEwkNSnfc(V403bYV(XL<&$0KDOTUy*tPlBN6eq*)+dkGw zSLmoV73gc2Sfy;1&Rj52Q^5H{I1ne*v@8}Jup zmkVi2|(toHhsWjwXqF`Q>PW=Ge0D?hZKt5H59#sk}ZMwrJ}=<$#G zKGrfB`CO%o6`8N!qB;zfgj9lCA8^g%3h6U7hr(0pv>X%93Q|4DhVALgU^#?r=88lN~L2bx@#wEEc=$ z>LeW-oe?%Z^6zfJfMhLhMv-RGVzfM?Vs?n zo5i1|=(NPnV0tKYzM|PWBCoZd43@@dBkEzZR11Csdx{GUmJwKOBCl`?tf_XHW3>9p zf;Z!$Fy3QrqwiE+JbuU|x9*0}BTS(Q*YlVx9cV%3eV-=@MV%5>IJonlS4ong5>{Ne zNC}JNs93jB9?ER$W3{W*4c_7DKy;fqeVd@2w5M@$?fj7*ZU%w#92*+(v#DnnG~|~@ z^q1PwsxreL`5dqO(eqZ2E9Ce)M|7Sk{Mm;BPt!yrJX-sbwM}{stp9T#t%#<&8b|NyGV{oyb`hCD)EKrtJlClg$T)Je;%s0gq;k zOiTg}4W!liGW=Vt!8;DNobGId^>>u$EHy*)5snpP5KnmAw|Snw4QN{HVHDVTA*vZlWq z`}NP`(vTakY^EVBSmD@`z3nH&N#x9<-=6tE)xb+J)yPGF@5N7bkL>4fJ80>>rjI$w zQ!XS&`(zLD{5cGjVqe{n#U8%<%fpbq2>CV)Wtl=f7`O$ffSS48P8K{hKyB-rk>2IsOl>-ufZR?|C0aP(%N}vCr1r5q!;fvIKKb=f8ijQBup<>dUYL(m zgG)vgMmVRXEeIM!=K{GyMnnVhjW55v%~p0h1JFevliMRnv+%fA-+ZPcF}f9=QcPuv6g63(>vK$q=KgSf zZ5--^Ef`uk97@%hPB4{1YdL+GCY5XO_nbrx>kK=@(L_zHYQK0UY?8s4u(3w5o=KtI zcy+f66gv#mXX)CUsm}E!Ot*TbfB3uINjzAed||X4RHZiOxqD{2g-Iz>5;2s>f(B~A zIyLvSu1;ph|C**$-k!MEMIhbENEdNIlaPFjfL+2Q=vUxy%K^>#9x_A zJ$oe6Ff)-dUYFRc`di1InEub9>F?-O%O_ep#qU1Iz3{<>^h&jqB1KTeqvs*`+ zQ|+Rj>yF&r(~ZYlnDQDHt$(pp4O|@F?D=zyuwQlgS%EFty~`-h>PJu|H+-!@FKt3=MfoHU*5QU~2ys9r~u9?M=;Oj}FG*klx28Y(mMg!{~& z?9{YTpoO*2siKvGrFc--g$fIC@$TWgC$Ie1`gz$=W9hC|ow>r)HZi5e#lC3N$_ayH zdXx0;2Mh+-Wy=B&Xf_YC8pT>+j>ljgPt5&eFWCSJKrAhN>Sh*)Qifh$HYiKD<@d&? zoJmmj+Sr0ru~Sv>skVuD=$O>~6VvLXUj0(9S@$noq%ovKg*0t^wfkbDMat4M#`~@6 zF1@Mbv!ID!x)NX`Hps40+t^-C&QA$|^zXOL z%Qxk;0@c)b`9h2yC#4%(z9zcctAA}=WU#7z*De~yI(c)^j4fR@P(?~5cS~cTb05U# zfi+R0=K*!wy#=t_OeWNuG!{(wZRCmt%TQ3&(cRtqk#D`0>7oK{bLR>DF^`*U2&G(e%nTgwg&2p}TE z4&t2K!xhPcTh5-Kk=Hcc)4$4TH71Tv-G)52=%#)kp8MQFc2_Fn%*+qj#`j{=RFvyY z`|9b4-_z4NH};37icbhD_jv0(((2E?IfN$vN)iTS_oDe$?{u`aF<-OE6wq`uiJwIpW8oD9bOV$-Rfc z0-p}=7eqF^y3;kH^`~I)zs{k179^giKf^<$sH1nnki-Ay>haq(>z{c-B`34I)x=^OMY*{@M>?i@gRqefu0QZkp3 zFgU@1ej2GWn!5O56(6F(+zsWO8mk<$^4Y#Q>@e^O=yc`NogZcH%t`sDi{R2C!`uPZ z6oY-_sHLY65kBIvMhb0vc5EY3=^`Kktpi}In+)U>HQ<(vUbl8oWjF)nx%%^)Zfmo2 zfqmupf+H5zQnWbCaqm1?tn6g9}*fn{P9xCBkP3v}`1AGp4>+uKqPx z1v=r9rLVN79!Lp`{&?Q<42i*^f{fdmHrjZz3Dym~`Fc{k!kVzt{j)8ROq zfhu*9rB(MbHWBfozgY__z*XoBK1qzomPG}%J_LYFqRbA=5kn-uKQDUQ$zn=#(}h=3 zCkF*G7!Ljp5WU<^8*BX*JZTak$v?CdO!N{N{!Ikn#L|xX?0%1CNPt(9hxVh60qC4i zBV~jYt<~fSCi|>?B4=Qe#>S1#-uh6UY@9}paX|ULsh#p+E+N~7LEu?*q{C}ndNdF- zwT_<+nkH5X#3hj%x2q>{IuSrp9CY(qnkUy$1ki`iy&7h%m$UJ1aAAy_A+A3 z8a}>@4sBF+Xp$PwtX_y9y> zS_mHHA0r4ZnEcBR?!H|Y1bT>{zw7yxymsbmy*169pc@ayv{yd7E(p3h2)`~&`g0?4 z(9Mh0{1dTmMSkRADeR1^k_LG#s4>e)nS_!M{gu z)YCAJld1n!17TMdpA{E9zGak(s!cdzo!G$7ot1KAji!i6Mgn!7pn?@2GkB~;G|K_YiO`_*x1m4(jtOkYtarMLTog@&(pV3A~$ZBec9WtNNOkzHXRdlTi)lc-g$q?1?!;4pGNSF@U$wxYOmXcSr9n9doGFSY~B>mt&{F z|I%T|^G4szRJ(tHRa}Bq=BUVqROg@`7`}B^s0Mlu-T{)IJWAz>++A&d^DwzHSTxD!7kK97?d zbag%!Kx82ddE|AGxv&E9Xq)uX8MV3tA?_HT>uS=b~&nYgy|5sj8dKp@?#-x62Vs%NL6v1f959ZK>| zrunj?D9G%vOEej5l8<8}RpLDmUJH&Uv`G7M_WHMT#tfkQeXu5N2&tuPq(3Z(6AZ^JerK=( zSH!rN5*kwX_TByx>qX5h-z(3tV{a3qL7)NWAQGAGaDPltuG!v#{$LA}`iTERy%w-` zNj?=}^Sg4z%#K>bb4G_gg#05lHys<75Rl!4bI~DR_7QdS_F2Aw^k&h5RZBg`*}@DT z&$-!36AE)HxtZNiL5A&@dy3Gmoiqc>uPMd_t!*+HS6V0UUcBLJ5OoioXvSq7 zN3l>h9wIgRNd_9Dbz0A!jQDd+4y?_|YO#^}S{Z5(udMCIWx2(cbC7qX*yrN0XFQzd zt)_Tw%oZXIuAXeG%CUf*#>Oy?WrrzK(3Ox#J*5QzR6IHBxHfI1D7D4{ zmleR}1)k(|SFFvY*f~$_GtP0&yf#fu9~DXU3F2@ZyQ0g-_l%tmAIMHk&~U6ODoz%g zmu#RL5&jYx{K;4$7apeGlL<<0e47C#mWoMP+)6{8&rOEZ<=&Uek@9moW9^6_`rQJFoO*Sx=bxny)Y(CRfK0Fb%AnWz<+G3av+{S`x{ihtdkUo}TuP+C=wmxZ{)eJ}PJzP^O zn}hCH_W*sY%cw!esa`YiAT7pEZ~093b?oWS^iG|y7NfiG_>aoB=%#-)>3C#Z-)r|| zXig6k4hh7Iu1%Jo6vrmyd6MzpnGgKUegsc@S6PPNSXC|tupE}Rb{x4|vicC`-LMY=C+ddUO?45Y)rR(wkCp;vZz z7uNpFh3}uQ57wrr@Df7q@G&~?Q*~$ho29cR1wsJ7)}Ky{)ojcwz2IbMUX5)dSzFF! zrRVo>tFbb>`v=JA0^vUE{!Ciw!K!ooP7jf#!D)(mCn75&0(QB>f~1iILIi2bjk4CZ zWoYNip)JFMSX)@c;+cjY#^mCi0bF9;=aKGAXMptNB^lL1I$>`k_m2Y0A>Q zJASSKDp*r5dvYe1Mc*2sKF-%Y&+2_|TkP>ZaXtaDb^)&kcH9psLiuO8^U!|&%Y#wB zf{z$9FQ$zq+-YuU*HJ7$_p$f%mSEjSp)Y2vI9IOLnBSn6WOj3oxWqlP9ogCVysjKB z_u?o8KGOok&iHl|73$tW<`) zANr&Hj3Vy<9u`Ijg~SG&wkw2Af4nB45>q}CM{_zVx;o$!J@Nu&lB6&?t5WqR z^Tx;LgS2;^GSk>S5ngJqYmS9!iy*=wn#DdA{!@4s2xvi!&X09aP2Z;|KMIktXhZZy zl1foa(s%{5N<-A|)MRK!&n?`2i897ZO`Jr-*AAd_w_~R5bavdW>!k&kBgO*$U=(J! z6{v0n8oSi|+!v3EJ*d;6@HVI}_(b`&Qf%#Syr6=GZwdV@JKU{Jm+aGToXQNn$#Uku zOKtZ`+ov}RHht+mOttro9PlIHzE;T*6nUl<{^>m!=0!(h!6QT{kvEY(C@Dopg>=@? zXM>^9j)oB;^7AA~C%uNe0D|^f_(LB}5ya`H(-337RmugIGO zHQ+eld1ve=U&a38o$6evc&Y}EkV}JyYD81efeKTo5qGb??1E?|^|juWQ{+lie6`;w zeZA;akgtjeQB@Kh(FZElb<8F4qrQ9jFR;)KMR|s^!4@+^%Awqb#(b_h?wmgF?L1+2 zQ2yrM5@XVHO`_+ay}`As|IQY)5dodDzuCpl`aD=hbj!}xap^gC_IvxnX%d`(=*8}i zT>4a3YV_lCwT`mpQPPz8@x@fxmF%r+V!{mrZ*EV${NA(vR zy6i_hmPb83yXh&g-mZWHYKE-k}@h_keUG+s~)4WNe>sK3H!s$d?Z!-12RNP@SOaX-{nM3$R@|$DH0=#H4l}B8J<%-UhBKl`+`VK}mphT%XjBmRR z1Ev6EGJ;BkhP-tI)&+MRY^>|+Dj~S_5#>hhXeiIYK{VX2vV@7_kPO7$tK!I6mDMr1 z*vT?$R@HTsv80ZuZ$H*~^CVa8T;zaFGMM3;rf>%z0F)hI8NZnCx5gSz6?^BjraC@eP>H*H!rD*&YguKv*4z+V(TRu zK(5Td2A{o-k@9vE{hnU@lLy#2`BsN~oyN`b2{sJ%|D-T2#TOGvn!+SxAVI?mBj|uv zdy6iC{)U!R1~PgCrF5hF{&L{`F|gp8=_X z{PlYey;v_q;ft{)536~T;Y!1-UD@u3oK~_OT2k@n;k*y!Cp;er!~N7T-if~LvB9cD1sXOxqg2u|9-BR-PdKZLK2SAP4JhYM!UpUdEbV*x>vBb zfizlUGwVLK^tm@hVHI9iM}5&j)UM!-!rO0-wDku|36bxsJ923}QF8sssa~F-uodcz z=V4FS^VM0?jjx0#>b1jiW7=WEo#Wf-(J3Tf!z7Wc*U^BSdwV(pvmkL?ZxntlEEn^6 zp*6qB%>GoZdC6M!)pzqSOADq~%HS$co|6jmg`56QY!RUQ6Mr z(_37L?NMdHMpitdjuX0%MXd8Vp@lS)BhB)X16^5S!S7QPvPg3j$>TS?IsQ_R@3Z-s z4!GSvRUdhdTw;GKz!p+WncI4dDmnS{0Xw{29Rt~~_mnhr=@Uht55Z$1`1Q=D+aF6Xi<|amrmCtjxb%gf zOPGbb_^l&?Dt)5A)DpTIMNQ)5jHj2RS4~y#GZ=XoV{3t4r3~J`F1@&E6WWH}+HNHZ;3`ERHyU3G~%2!A1%8k z#68mOJj&%nopP~s@tGvC_K19dS^7dfQ-#Xgz~D&uJkzi#@K3n6h!FRV8VAB3>DG!# zP<~HwiNmVrGE7h8L!Is$U3OE|KP>T!-%`Zd7N=5ow;hZMjt|jZy}No#JY#)2^0Y7k ziLoQoU$`K1sf+3Pxp8Y9-4bE=nsu{F_e|mQcdz=uc5FD5`tUw2dHO8ysC=5tZ)AzE z%HG#>R=~RxH8WJ1^WHaxi(QWIEs2|;+>%VO?gO0y#Awdr} zLS+}R$O&GJdQ&R*cseu-CLCeBXZr3&t+~_oE}{0`n4|C67Pxi=61HFeyK>w(uETXV zn!Q6eV}{Zshj1svUtEXjKK6k6a_VBXgkPe!^ zuNE9&8V*bBuSBnUP^2FIX#aE3mnB%Bd6-D_45_txUvX~em#%UpnCu?y?#XAXoG{V* zYd1Sm5diic3kM4~9Sinfa~^=34wc%`umPyoi|{{bYszxW2it znJ!BsQP!-q>OQy*$$B=wnT3OI5N-vn27*VuqD)cgg?i3LvnbRt{jgL#VN}+4i%chM zA}P&hT__7T1C(L)QjSZGWo&3T{oEGH*KqPw@Q~uH+P-td5=o_qdUTx1|1i0^Cyq`Y zhx_(dQ?sE=kg(mxL2UP&PG40N&^9u1@z|@na|aii)QBU%W|9z-^wS>R!ZCuENzgeu z+uBHNo`JcV;lv=_>yAHZX*x18GD{je>RBy8Ckpe=l%yjw82CY!OpQL2^Be4i{m6nd z+|97(KxeLto^HpQq9o0nDwm5!z7*IB<48SLbxx~W<+QFmCrKp%%RSRaCgnywv2tV( zm0At#5%glS7kWz|8G2J7|2+Z6{E=+9IMq3bgSvX6_fV#=ujwXGl{Y>q3gw!RIJ%)VbbKnjRj(jo^24{C!NO6%b4=sBMUm$n&XWd z^W)P!N-nbBr=@;V`(PY5CaYmpFTDKCAobQqd>X#gTd|x@lDttkcPV=R*#t&<+F!uA za7c2XL@d`MPw{_^MZE2d-<%ue&pPSOUtFA|Hoz!9UBvDXy$!))WZNl;n+2Mr*;&La zb}9k;)FwLdOX2#pTW@P?zklTcyo0wb4T4O7X**QF015vtk>cZu*;OT8skTfSm+|=| zw2AWyx8%)W@%|t4NxDT~k>>TKQSHyd`ub1q$-rc};w%GTCmG2MXf;{?JdE1-zCasi zz}oiN0R1XCm0ac>^#%qh^{fazDnDmj<|gA}kx+3|*5X!?;z@ zPmxDi&dts2`v_JaWa$gSloH%>Mi*FW{w2`nZ5FP%;#^Y)8ezy?oU>FX(Uwo1c#IS0 zG-~OfQyeuv>%UvvAw1NmB_m}+OW!HYX=vDFv_NWV4i_8i zgx@}n>o&U&WjtT)<^v<`g6^*pbUNwul^@|{R~160;v(bO|5-QQ#9871JTq6v)a~Uo=kbBCSg)koK^vPgT0qDjL}A&0Vj9qV z{@Xkw|6y|KXKA^|I{;K|RsLZXF@_#r05~iE2okYuZJ5s^e1yxf38%!55q9TaX&!gA z4U>b_Xq3UvL)taMpW!dk;H68Eym|DY*$yGDWljw5+J$e_M|sW%K!3bcH{o$?3PSiOB?Ppb(q z69ni%?;TqOJRThU`w!d+7mBx*%A4>t^gj#lpor{?Qs0XDZ)7fRSN3kXn@}Mz`|%sX<$XMstX<_roYs^4sNWg6V_v> zrfKe>cGI{GZ^|1F=8q6K6mD8P=Y-EYwf8?M_z2Y!`%KYXw`<;g(XJS2aERWT`W$at zZ_t+^Wc+&BcPu4q?sZ=1tcd(=gnv6@hS=M!RGqB!Fpa$|3*^M9H^H^h1OLL-bx~dL z(0IM+^``>8)+eB-8o3+(?OS}#&Ha6gZ*D+`6fhqJeJ`B^bva8m4u688b?!OQuZcv0 zy$TyHgM#AMS5toK2C?4u3YCP9Hg(NB{WhP)y|wk03NbD_p|n4?9hz}hrF+3&H|}`R z_dl<3N7aYPWW9xSmSA(#X(Q^P>aX@~-Gr>NZsjcyNMBf;iyP!-L%<3x`yo#2eZVjPVBc zR_a}R0|o?9O2zilSJxzK7ub;Td#e5K7@;R!hark@HHRGr#$%0g8;O!P)Y{BstWSJq zKa`={M-5HerMrl(VF*%_bwuF+w_r#AA0DQa5nAG5IeS!wR*NG6~T znEL7K?&I_P3J!jh%EDqFSnG)kF*Y`a<~i-VQqo86tTt8^di&;?vhk7lQ1yq%RWt4> z8q3y256ojb-UhoJSetuQlrkK^My^lbtYHe);X^yna6-s_?tD6nR=l4^`12o!^r0vz zV&EImi=8)I0scG|nD9JRp!hkGR&%*bhc7EUiIe`#CDOW!dofSO54Mg>0JZXe#N+wg zOsg>P(`~D)iZPZ5adiIS#`3+3DBJziF2(5@aevvNZhy_^tmW2#H;msj;c%`C{SJPy z`H?fcgoNu1q|>t!jK}EFQoWx=h|tb{L{f;9o#6T$j}i!90uKOzEEZM9Oc^+exP+0R zVIn8EIYp=1ST;-9HYF|`FgZoB&Z?dE=$qo%0`H|`@y9Qif^1SuD1F_)k3q;eeksF0 zsj9QuI11JgIH`rd^5qfT(e0GrzJ7t$yp(#cGhYkg{;;>C9rU7EqXF&<9KIhK6g)C~fB)kM)Drr6-NCPpi;8N6Q zFPnMOwVng1%K40Mb=Q)0il1+u7x#IsFjXR66hI_O(TqL{RT`p%h=4Hay*f8D;};OH zCo^WCnPZjhwUiZjYX*2G4QManGc{?oS=QS@S{svLbmNedQMJK29RS$UI$Qn304Xyc zeg{!4o6F~LRxcM_ParKA)P+rNU0a|3irjpKcCk~Q^_2^yOa@gmjP+UculII&@tzye zp@6Nvg(PAk1uqsEDFJOI4chN_O#eTQ=S`l_%cZsT7C`zYYh=0?JBKLT=)c^iEX>Ot z-A4uDozeWDy|{?46TS9B$HL+Qrp{WmpfPrZU=#B?76>1q(Pl#9{ip3(KwB-?i>M^@ z&W)lbxi_<=h!DKpBAcS>oM|x;UYRAf$`F5z1iJ8(DS>1@!+lQU$Hd78uA?mx!|ue$`>*JFyML% z5OL#bPl=Bm$j1a|X&mse;%W&DyV;1trO4|j+4!bMs}2^}Z=(O~O0ii>+ldEfIF?wS zju+S4dMN{2@T^;DjC2zgqwezQMXX1CIkAtX-LO}Ghl^ziIbMuCWk733QVseNV_%?? zBDFo;VN3D1&TiO`o*{gP4D1Ga{yc$257+8xT@ris^`HF_3n2f@tt0N^*H^`?Zu=>y zX|1*@7T&`+i!P60qTo`#t4k;BT!_Z7p7yv!tHXF^QU-DA;=^bv)>~uF`CY?5ZWs9v z+hH@fB%ZqG#{Pl-(JqRUeb|{6%T<@z&4H2JSx{s$;9dPb0K^q$cfQ+Jh?Kl*ZFU{I zla8TaRy;*g6aP(yw#Vc&xnMVw=lL@Fl zGIgZ>E6}+m0QLZUT$W{7U4{B-qYpPRua9CYub(Jr0py!J_pzJd6^hi4^>-O2B(<+pym<2ejHa&0ab_wbyY#tp7T$M_|f{el`rekQK{N3`sU{v%rA z7X@E{_irINuov@6mB;ewCIq$-(a|N%?Z*38$x&fvEY$cPgWIbq%{3K0=#ZVW`+BYs z#xwqW|I5dnlY7=vx)f5b7~U)YDebN$dV6g~g}r=EaAu7*z#5v=C7Z&Ka%`+GD!(>aM~mC zbmc&Kz5y}Kdb*Sht(EHL3yJe++`#Kx99x&J3Z)XxE2|b`O8>a#=Igrbu4QmBWvxrm z0>a|bg^7m=GY&MQS27h`zm9PM&rp2th(ZT$!Z3wyf|xG!*WSk@0Xv5L4wLGY^FK0K zJyn7*N)qk%Blnm*0`3bGEAI=3ja)^FyRtrBPm7X< zdst}@Nm5UJVAZjF^iAPAH={LD`BQ*d_|9Q9%C7l&(g2!NBnOKVs|u%punZdW5C7<)>IllX>Idc%vOX&#!SbSrnv|HN2D2qSdvTAd*MiFz?b~L}yz19GqPaauxNgzCgI9 zp$jsr`>B-;fZbWsHM6YtM3nqE2(2Cg_pjgTu_%5|b|ckl>KD;;blZsZ!Obfo;C~kP zHDGa%;Fa~Mu9;%tY2GTJttkIE`)*?x^who4l0kJG#E3Q6Ewd=wIi+}?oW@uFQ#SnMAzv({%uSyx03^mColDV!2&u;egyF`a&`&aBsw#7ItT?XQm#dD(hsT&A z)*tNyBQwW+D(M;(mM_sn(xMdY{231i8ph)K?1Ygfz|@fFk(op1FzNjvZzr$kH;g2T z1|v3Gg9AriJ+a10pT|W|$rkS<)rzt^qCNl^%T)Nk&n5;w8~t|*H!y$XSSW`R*u9Ll zn`BlE{YPhI3cA4NRsO2DxAyKM!&K6C8GZ|06<8eJF(*R%-bx>@nkV|&HbP*7Gtjx1 zN1$*I7L)W5b9rUiUpq>;@Q+&wb>3SInZt2|pvjy4aHPBZvNoBcy=Sq7 zVyj{Bx8pxBMiCowHoYaEnNB$|n;$&6fBnz4-K8h;iv8~?@Tf(c2xWWl17ifu=4(qe zl!19uz;4Yng!=_!aE`ZriReVcuhaq7j3}m*HGgEt-FjqUUfOl%eFs|3?8zmc=)wCB z6tHH2VcVexiMSv1Hxlrk{^#+(n>*7?WaJwF-;NM%(_09+FY#N&|MpNzhVqIs?|_cS ziJS2vb7w?ds2vlmvkAtbI+eyao)-?!O<+ z@ylxP2P{)>;F2-}X{Dpsvw%g0O-zqFb%?w@tyM;xGt6XX7$$Eyy0O>`iO35O1WBnU zqYk@UFLC}gj9{JHG7mfoJ^_xv5O?R0w1gQ4UUeQYUI3WG!vtj?HwJf@u?IxDUKI+{ zF%y5VPmotam`#hF&OFN5ftGGOH(=;NQM@0~jk#atH?ZVo-v;fy;(#+n9!1F{7c%)F z2SW%m2g8v-AsYg^o9?wo()^M#81^RGS=^;*iTi%s^`GBk1F5>kX#9_z7_&1tKLH5K zSeV8}J}SW_5GCWx?Pk<0g#TS~@&@zGss*4@8(yNDh}7l6x3SLrU*^ ztgK@tz&=!p-D49$`olRG*@Bjz@^d0!XN5I~AMg)YSG{|g3sQ|U@`E3pod}YYyvS5) z{m;m=E)-}ikw-foPL4uWdY;=p$m3LlBbz~&rHSh(Ti+HyN)Os=m@0v|MCpyZsem6l zsvKXu;)1Vloo@qMk?wW0$|p)$T$#5sFPy}f;m8gAe5)2Z1hCL%F_8%Nq`d!(W3SeI z00z`b>%8pf{fpPQ4eiI2)AfbMIV=6mwaeJJd&OXt-N z(kSc-Qo$yRt2D-QW%oO~M-^k8da5&eOu1e5q##(g6oNA_*+2Idm+kEj(0ETRc%A~rafMS; zIjS>Gbj)J{f`IbQ&g0KE4;Ro{U}Y&Ma?+!rq|6`UjZb|U_ohO9_;RLd@3mO$Fp!Ib z(bJO&IV@@)?0x}QHhQ*B)96H?Se@nS)cI?00>l>=d1xDT_3vP3XjPb@%Ycl2hKEZXC z^G+dMBlL~YE5sAUT|~cs%;Oljr``Sc36%jiYq#VZihr_P@&IHBNCKU&my?2)HYmRk z7{dC+Q~F%P%%=bzGuian;M8Dwqj0t|8#M=Qf1XGd+mP0m(X;YyU154M|Nd4x>zDIH zI7Q%!#ColH6{m&MY2UsfqJ$7Y=x2Y<-@v}4sm{~t+dM>S@O*Qg+%|FdO-rM>_0Nk6 zvb~6}4l2jG$YgBrDeJRtldHb@?=koAC;mPka9Tknu?8%!h*Qe<58D>hi@@{_Fob+s zV|k8OBt`R9ZpF`o?+}P@AzJ_g%Ep7vUG8-y^=;`h8*}3|p)%gyE9D*GTrFbZXuiM# z#W-AnS)0vws|K>990VHQqj)~hu)jB#59nr3(z>|JW4Ycdmi?wA8V~RlTJir#&$t}m zaQRT{%W8u_}E_ag-q&%pM+Cy$NI zOSs&brYe_rLYRR9r~2i6xr=r%8RAE}6k}h|daXt`WWS~-xDrUZ9G(75%3z6aId7r- zHu0NxFi{-o7f}3=`zFBs6@b|o2QwfY=lyKPydH}fTkLPi+M|d<(0{&M+wVQh@Kh;} zw<&@yMk93am*s16vXzD{Mq(6Wn0V!do#X_0FYbf#5uq+{)Pd){E^5wnn=SRzTtp74 z6{3`9iZvq*t8})oU9W{LIUU%vbyAI1bI=&=xCf+y zy1iL}94=;=oS5FtYNVNJN#%R~p zci*dYZ`uS;^w_5mVHVdXUYP^MDbs)c>RuH*@^B6&|k7HfxxIenE#W2m>C9stPc1 z)I@~EFsas3hlz!wA+x&tJUj}8*a0F`b_PQE`0;vH9o1c<91{D0beB zQ8+9-ZTFF9!2$VVTarJ5_$@6*|55;gBLA7#d^PoI#8FuDnT{K)(Zx8PZ{CA%=O`8h ztm`@1U1D}F$QOvP0^&17rr}QvlyP2JcNkceMTWEZPhfe0PB%DuB&^&6n0vF?!!2=BCMP zs{cf%o>m#TK-_K&%C4h)v4XdWiN@$tj=LO(TOa->uFw)6kL2KK={52Nh_qZgA3wnKg#i&@BvaOxZw!oFjoxxw^WVnxZ;R zbx5qLs?w~t&0-mGO{8?chJbvDYV6~Sr7CYX%kNvXaHrb1UOFyh0?AE+iaO|q%m!h4U1`IG3vKi z4h#A@LD(7aVkkR}=kvoMFHsTe#flHwwfXstg|31!gXVX3GYmb`go3XJ%_3S~$`Pa< zAzO>Q0f1s|;NKS7F8I*Zm>Gf(ojF}Tam}!oo*os3!4m9Q;Tj|^CeLSLfMxF~wieHL zfQPiU_< zp%!6&WC>&B-D#8XMcqnJR2LH<|Q6HzStCxo<^lld9SNAe2*KU zTdoyR9V$THUqlLDa|GOOxVOUmC6$|W>$-&1;(QZ4nf8hf6*pZus(Z;Sb|d!%xX4#& zVRfUUkF+dNZ19pkquYls3MvKT0~5jSZ;unRTI#GgPA?oqg9!s&9? zTTm_Fwkv!tYU9I$>B7`=wF{Q5289iQ&&5Hz;0U_{>U{NxH3mNtW+P^oVB=*;YjEZa zS+ExtNv$m+9Tg=V*>~z}_1HI`o|D{OT_e{P8nk4bJ`T$9-ya->+|Rq2WZ21Kl(go3 z>Y$^f8x}+v!ist0Wv|&Ufak7i;1zuy9*C|dgCO{c(0*!tw_vR@HvK^6iR|n;@L+M1 z@C`O)gvfh%-?kf@NIAr93Da4QuXApAM4CKgU`=g*d<48zmx#A*-@Ja+oV7rb^Imk%_A>i{hdkyknKgyF32hjOSmpxF4Wcr4zhJX&4DTDT zD)Rr149w8-m(tR`LG!L&@83w%dEBN%rjs#O5PmSlm&YHGH(WZA&Htnh@eji2(E*95^LR1+x zu6vm0{C6uh_LrV27g5>-yY4`0mCin^?_h%%`o@tGH)rcKb_a9fG()oE4>&J^Ss{B0 zXQ-l-uQq+294}hEJ2+?dgHu6X)W=-oAQ1ZY^*d%9mznAWdL4n$>nyqEZ2r78KX%s` z1(jjc_9VA-=GfWL$)yJ- z#q3U8wR8j`W!fj$YkSUXP4v|uGKdP zH2UDJ>+SJYQY{aFUSgN~#S7U?kYE; z*&yGzRMby#E_GS3hal^I20jX9Nqr^*!srsJfo)D z*tTspw$(U|Z8nYV#*Ne1Y;4=MZ9lQC?`hxfy{>c4b^hN!=AJ!k&8*pb_Ew?!K=^ne zanADgy%qi~^)*r4VqST5vU6WxMF_xvBv*2AI&S`b6JorJUU-{vo~Hpln?*pch~(4k z8v97fL(a#={caAt&&~!_lVKres^ppjJ?zXx+NOZecLQkkBgt-T;z7 z-8Q=ixEi?GKxhFv$vtbJgD<5}L#O$$?-p@8Rzojf$A5iAET|xhQ!xDTgTW6xYc(Y$ zWjwE5WptR02H6FX>izC_rUK!J)NPu(56K5pu zR>2=XUJhbB>qYpm<7u`@y^7TNnJ<-e?;f{BifrkNf0$|(^01hJ^v@5Wp6-k*`gik- zPNK?Xn=CcEC#?S8J`DT&U5}hf*E@N1OOy?X{DDBPvI<(2*Q4L16%@=ueF38ZS4tJn zB`51*LCi3eyzi2uE!!nzOSJ8jvnsQdFI82LEg(GP6nPL!bq7QFZsP~bz$LoHsD{;S zfZ1_~imXn#&1{nL4bogAl!tqP1h+j5enCr0GG^p726qqr{yIqAYJUU{WW%DMIjPJ& zW!C(!@9^kt-o3Ty&ZX|4FTZwx)~b!QD%mJEzcvbskiT8f?g-`8>o824#)YC=Pwlq80{!Z}Po3ke-J zOKb z58Z$9AE}U}ybAT?s$Mq?IiHD5QY56Zs@0pbD{}1S9GtAk4q?nN;io|NOtV#iTr|CO z3-e6k4Bz39YjkpZw}wx)m7@!iMw_~w(5cB2DmsNo(Qn`nI_*{0kltLpOIE#ds4zG_ z(vQW|*^Hu5(tr)bsiCQ9ZS`z)S8F+VA{1l@L7bnRnG7HOUFTZ#t;v4a#V3gJ^F z{(|)%-b+MsqgYcyaSiR`(L{bg-G_hRxaeUD%@NTE zLKr05bcAoZR(Hc%3>SNZ zxWilgwP60Ww&8a@`Fi2nL(Zg*U5d>Oo1S0AI}~w7PkOUbST>u2koNxj>Y|Y6c|}zq z()v*051pfYR4fV9W9vsZlgUj3o~?};j=yxlMBi{gXUQ0HuuEI98WR@+k$2a-l|4fbmazO~x`bB2O zmQtL^fXr*%6kKaBAqKIB_=T~v<3oC3q4L@fDD1&f{Bq8*#I_N=TgNGI33|g)z)@N{ zi*q{x@Ma;2XOIlXdct zOWqWK3a)il^oz->F2%F`_+^0uRR&1kzdRue%o9i~fC13-Mj`AFVTAB2svYuT2w9!sR{jyd`F^^jxhYw$T4>5EK!$I8T z<&3{`PdOLFm*z&(TG83RRap|H5gOI0*SOJ7M_6RL7RcbbK8UMJbJuHC{_%#Z^NDZW zmY{y(wBTg5d|7Dh|QmqYUp=j2wE^>!PscqXYGA{U-@(B~a4@;x$( ztO>3+UzQ@HCEQ$@!|XiDbPhB(Q^c3EKKbO>K)o$6Tz@TfAi$`s9xJ5L+91MD3V_p$ zY(a68a&-w@@3j6#RnUt(S`XM~ZaC*$&{t&dHYBfRfHXXSEd^Hvp`jY!sCkt)NSRe|Bhh%*c`XDaLlb8p#R_pexM$k2 zD4(Dmy%F%%YsJlO^5rFrahoh%W7_xP%70u1g>wg%VYpY2_BV291=rn}HbLwRhEc3L zRC9e^_c)olXpq&? zNAZ7ZwRrqPEZD5_&d(Ybo?_RPLi%v~cpIg#+31~$_i&{k_ohE*Ts}rJd>alAKK}35 zdK;h;nWDqle~MLnAIzKWCs03~9m2ePzk?#^)X+MRpM-ChkZaFaet~t$>=MGQ8-TUA zqGD2YUERt|Q;*cNySz~lt-3n3b&hSWF=v~1d5Ce?Te=BwD}+)!Y9Mi1lA|J2uv7e7 zr~P*QQ`37bEzD>$307ajVT0pqjE1;Ld3BPMc3ZFFk5B+W=uK#J?0i}EM%IlIC7dRw zzB7gPY$p_054qsW1I~?rx*9Xo>-d0@v@Mo-#%|1SPAhL)iB^2vCb`hZn9*W2Ehp|_ z6Yq&;tDQ%IQdJ2JZgC}2^^KQgy6aC8r$&R>OeY3s^ILQZvOYYMmXS!wWi+-#%L8DQZy@a|*=ixa%ZWWV2sML^(Tu z7oJw_1hM(ky-;UL8K7jcOVF&+UK@cP-D+2&pQX#l@EtoJg46sW%+37}&otd0=ZWz; z8M9$n$maH2o6dZ{Jjb)QmQ@^qHcTOD<20pdTP#SPL9eyL$r@Z_Ven3#y!f9RZy$NoRkadzuCF z?nZ8Rn@RE#k`agURG=(4zfW!cK*_aW7Z9aMAR0fo1VCJxqFpEyO!6$TsVP4ALB8<2 z;mU5E2Av-v&wxTr(D64(H(xH-jh?dsmz;brJ_(NcqvdBYmWfjCY^VI?2B@=Hgf;u~ z^hEmI2eqOVo2tl3Dt0^537*`Dz#NI(Md6ys1nN6FP4KHMsXzREbgh6cx zlZf!&{Ii)TNixpBjKh&uWhSp=JKcS9XP)R(XJ~HQZIUTG zVPZQXDxSFgOCYL}Rd>?Bx$wGkHC9zDTd(^4<2^9v8&CK?}}qb509&$}v-g+W;+ zok5$wuOa19m%gCIbY>vBoNzSasJABOY>eV&q29QX>^aNx-QtyhkXqMnvpW)RCNvxo zM}9X`wfp5S%+vtA%7C}{vx^z4N|w3neJhdpwq@#e=uVDYzv=L!69wB+;>d=%82QCo z1!xP@o{;pQ2KNcwIY6l?;k(HNp^=OZ#b3L~H1w(Li@3O-`LWMxDY;vfx+XxL$H0DE zs_LzMpl5};xd}9KL7dzO;mpI2`rYys)D26 z%z+hcL2oCMZK<0@f*yT@&@gZse#bV10DUUQaUZ#G6zq{{&2|?8yb*MtKvR8&hgz_m z4I|^~{8@FjfEcy*{u6}pGxS^oWO=cSMz3F`VPr$M37L<0-DwI3oE7#N>S*)BtZq zH1qzc*L$z_gnr~gH}g)|{N-WvbJJsD>5~4QE}m`O3H(kI8OwTvda}q70;s<5qE=y* zaM#vnnjqlnB>++O#H3Y3kd;su6#4@hF)>vvCWxGxsD>s>Z*Nh7P4~V#{5SW%Yi2$b zB5t!ny?g|OsCSovW0z6@eu!fy^EHB>0Y`#Xm?7KwW3NM9Iu>IOT}K2`XtNZ(Q94i0 zgRM6p0^-5!9)Y{ed6&uGdMV?6J4RjYaOoVybmeoiu`@rdq?0;pq&?E6$WKnye_@(- zP3WQf8(wdrBinFNTREZy6+PrwjQ6j+XTxJVQhiAVF^{a=0;)+~FF%mN%LS%q&`3tD z{U@2{pB^TyTdYRaXkE)!Jr;=HrJF-s7oneg$jPc=!0%irvR4^3Qm}fUa>@i!)`Z8p z5FZX|7z%w3l~;eAGy)MC7GnkiY&zs=A_sNCKh9sDtUUBBcBxUC`}&(lRAh*mwXqkn zYb`iSgKMrJEY^=S)QEkUnL~;X&Mo>*ju+mp(=NLe`K9=_l=-E^&3)kNFs*~@z}&x~ zF2=a4c>n4azhZV%cX=vld?4MHZ+lpANKDbAZaaS`w?51~`<(PzvntcBQrXp`Q<07jZ~Wc0K5q&IK1Gb(z#^ z1jwuz>R0EdKn0w$WzH&adg12oUr+V4kj|>C)7VC~J?3#rvsPQ*OIQ#UZ_8=Wu*3M` zB4SdB92_h8aL#AQ3PyeQ9=!P=XRSD+`}hjyyYlCd&vF4o74)-5S{X%On@*fBZ!GK))<6pxlTBmYPRF~4cNNC-+-Q^R;WMVFq;IiRw#f3Og zlG_3pyq13Leda46y!-vHj}RDHWk0XJS~G0-+(~Mt-ZWZMsh4$M=&{r|5p@eJF50!f z=)Z`opuYN`oyU#mic|rnwEEdN@IhJ=|8$e1=wG~>Dy2$TKYjf=CIe(ek;q#{Y zOVdLE?|Mn_OswO;b1-(53hFJHNKW@m2wi6cqMhPC!PW6&Uqy(8ygLOJlLIj#pyWI( zlC-9pD?f7k`GfT4WwaP=zhJER>m%)qg@rhK*SI;R?n>pM0O^EBQ)~v6`hy9g<#Db^cWz3{VH~3ycmw?P#I=xC~Xm5FRYzj^+ zo)U5OC^Xyz8QQ7r%KVxCeWJUZquv$-N)%UC;M>%0mq>(!TCODhQv+Fw@P?LKL!aue zFDbJa+1!Do%*q;8LN1PkY9)9)?*qA$a;P163h!LH?)K_L4o6q{>mRvh4y{ccO~WJ< zYnMLH1K+uXX&Hd>U0u8fE$>2jL;tg~ahCZ}lLJg6tSR4G{ermA`{480d;3LU!_o4= zP|Gd2Nhj#Xa@OEAos5W_dSBiC^vMOO&>LD>I389RQ&~X4OAGYSL2D~lL?_+K8`<&m zvCN@@D~n|Y$RdQps+h>FZMJtybsbazFdzd1RHnC=4U8NmQk28I6-q(;aO2rK88njv zdL*G<3d3i8kD#2vz7(7yOu_tiDnAwzy;~ZK;5K_Q8rJbhi6|@cRI(V)3Br`7*eBW+ z{k^eed1v$Bn?`!E&A8#Rq{$VOz9qZ<(K4p_29v1zaF%+Dqx-9Dp9t<6!cPDUkWKO7 zbr(&3ypFw(8m!y5`^0B^Y8^1mi08$ZREQ0@O|9^`TA>-x^1e;>$#|Io|Y-z*5R!-({xjYZhBSnax|)H zhyO2+RTVl8P0gnB&yO!Us#P28@j}gN^0~*^R~Bx7X^fmKQgY=g$K#Pn5WPXHz|b}* za_4U$B6(picEO!G-Hzm8`m;WS&)+)7zTZrmmj#as>{GkHc7ukIXPc#$U%Mo?tB#c& zuKTY%Zgw0W?vDx+e>c71y@KJK^{BIR9FYHgy}R?>i`eu@9|6Dj+N1Ruo(I*pjQ z)yQ$*$~C4AjUQ?zx$lLZh86ce`aQ@n-|qE}u@_v=G3CG49o|I08h{6R3J93q@67*M zu45DQn0#|~rFsU=w7*~0YlKSOP9gkdawsthIV*bc=|d>^TJ=fLqhP>-AVzJN&VobV zf@m!Bd`U8ouzzQ|Gq0mH{P(4_sxD=en_W7#^_nS3+l2%=9$A-K@Vy;utOtnVo;^)j z%N5-5Hj$`8XUQN}v*BIIm7J4b3H9MW3Zj&H1-cSn9GL>?&(9w_Da@*Jnu~&+7`3i8` zGHcc#EJn7mq000val#E!G-;)?>75hkyRq_N^a7#aKhW_S&S9gg#2lW}NLbN~8IzTd zj~>iBM4OV@eb5OUECZfT1kFM3&Sp0fd&1XZb3qEt7W6Q6<$r<7{0#R#j(q|z^- zu+G4oW$#QieERO%m30{aI@y|!E@FM5iI7}`+_b!9*BXT$MR4UXB&f4#x1ivlYeg?*Pp0%3P>~W@Y_a|@y(QS%Yn=tG9 z#N&X_h1;FySzXQarWg9>xhsBwvQRbPn~kY* z%gD3DW|Ir=&3u|B4;8d@swY{H&V5v*xgS`qt$Rff9}<7`xz|H^)5c~OpLPiEy(qEb zpZW1^P??TVoq&87bL}^(>l?<7H}P4bg?FN8Ta`Z_(`qjVf7KR&w!w1hycWIvz~bD& zh|$ScA7Y?GAanzg>Lxp0Nvym0{XAt9y?PUYDz-JcYMXU(+2hBvn8_$%HB+6%(^T|3 z+_j5xy)5G6+3Nn#WV#&hn?!RBf$5vyz~3171ksYQGMSVlw`|18VFMn$+_LIT#REyS zqJbn2zPRR^wp!o8ZZAodM0M(JEmRnKF!gA|r(J-%Na)4h{qb>Gq235sZOBUfz{org zJR!iFd+VP^BC6vp$*aHDU`+OWt_JW57L1l0FDNRMxf*|8KvyZhthJZOWh5p+^Zv98@Rp!s=`&Ih}~ovk%c^bTOPH_EKMQu#(XD0Y1LP_`%q>z-K+EkrOqY!R_vl}jbROZ z|FT1*$mEp+`&Ohfwn9K2^yXfhc{ZD-ibox?4z#|wVg3}!PWEyNIbgcYi-rovr}^!i zrRn?NvD9A#yhv5GJWF5}2(;rwzH?0dfeS87#mH32pWkvBmFe)-6g1X@GZf7ZW6$PR z1AD^k?*3VHBBLXTnGAHrwBrzCsf2ah-54NiO`;*tLE#qlPFQlTKX6CdYXPxZP78-`(RhFb}oi5ZCN8d4_{_h z?-7I2CS6|>m$LV%BN8*=_?#I`w|I|k22FWa?nX@|D6~2Ox4w_tc+!3YBlJq0BYzC= z{}!!ZDP@7zJOa0mf273?!a+up3XC zz#7yyP(jLhW#}BaDjA(59oV2!zsb;8vXFrHTU+GT(_1`S4|pjm)DU7XB=9o`j^i$n z$DEKkhbd^ay|7{!p~4`9N-Pq~?2<0!N#3WI3t-*LS+*aZQORP{6@;v`k>_}*gf^Hc zwD}&C+0p_Bx%yCv5W6M(mH3}PeH{KS19WEI4G<-xd zT4L9z$`(Jrt}{P+NLiQMwZaEuI~}=5Pa0pOnE}0GUMCPe)2+Gt*m`3WKHfx=Tl>;M5Pt<<#wEw2kXxzi z#WdW<=B7z%v_~uUF>;nJZ+k3Ve6N;^Uuz7lWxRGf^3H& z)A7@#qmHwz^(}-yS&AH!F{8Q1)(<-7MhJirq@YUjeZ^09pAlsdhs`%;D=K1m)U{xS zD>r&erzS3`p@m+>Y0uk+=8O#W^WNT&r6jJQ!@R)Sz2k=}I?e21es1aGKGB9_U)~4YM*Ms?J(fsGAvxT1YMw9D zq1713cP%ThL~cbK$gaZeqvSfC)K(%@<@dy zAr?k*AXADoJGdCwSf#o5Zfq1{_HwhxJVe9g<8heDDA4;#flH<`a+X$6JMrBDL_Anr^u>~P%){@NAL@id92M3psHpajWO_iS%hH*CKU?Q5vT)`%)RQc# zD(T>wWaz>IRgyTVM08xKEK>+c_8MDDfR2~$Y2B$bxy=2tR+iNRD48^l#zP>w&;2sL zaIF5A*@MMr+ZJSvXkYfH9v!@T9s;M8|GJ0b;UbG&X;og`{iEgJg(SI1DU^YHdC7bO zFlTpdPCe9J$f+;GK>x_LxTSA!1)t_~%u2UzgP;KHMsV-QXJio)IM^-y#7}R7e`yDN zC2^a(K!BZWTKsfraYl=fJU4(h4d)B^TERDv9Hngs|L#dSt5dG!=LBzCpPaSj zhnv0qHE69iQu<4~{Gb_qf#4tK%xgpSL5mcAT z7SXr6Wp7E0FU3LkbdEg#%nyb1Ge8;XnK1)?c*0V)n99>|9balJgdw$?Ptt@@ zkk_eVJDuDiNiVsbckp|W=d-e>k7KlJ#FbCdGq#L`@N(*V=t@w!IN}T7k^Myjze1#w ziH-DIBIGh0b^WJGVlnvig?;l_0<6^X4K!!4=>qgoev_ z6~sf^KJ1Qzb)+HZwuSD}wGmoiv?ePDA^?Zo<3Xp3eu+OvhfP<;IV3uasPRG`Ac>lR zY@VEiH)eQSvp^v_g6HaZ2D@dv40F;%VZRRJ@XoK@f{8%VefC#8Mm3a4VLThbz^_JJ z1C+s9B~6qdXcG&&ezf0mc%Wyef`$@3ZhUSR`#%f5_+UyKW1PlkxbY|8nDakvI}d9q z{?UgiY19iZ^c=cNklK)joYc z*XqiGDJBC?Y%5ZHA1Jy$DnX_Y8rutt)cb=b@>;|>Y2rUEt5WQ?vKXMVusF#?`S-6&#cb@{il5sOT-6B(LPqDl!?gDEV8Z--C8+o!TWC&6nbT=cqqwthjc*@w$j*n zsarO&9nc#S<`Z6X_jp>Hze$PgYGdPu!_#-ff6ZL~6-~)YSmqoOaa2sNfG}Dojk&JQ z?GWqY27#8-wTkY-jI8>|0L9V8#XHvxLsR%ZD60aLSL_IH*ZIeWQ9Abg=ks_*p%>I5 z~>#aHP^PxfNSPB|M8InY&b=RY%y z_D1KbzGT3zJW>w3aO$;`C>r7ir|o2_)LVyXTmR+x8MwxpaAo{l>Bjo=joSu6L(xE5JCM6BpI{`jl(_#%E<_#bv#lG zH%$WPx3$I}B75ug>R!pY_3$FUT{6>#^ff6I{ldP5@DG2XS?Bef#uOCt$P*zjGJzaXkCh~?Q zrx;!})SRI+#^e12QT@4MK?9VR5a3@-K(yixEXDk__Y>3oa`@BYlD9jLd-clVau7@B zm+}0varrV@8`bwSMyxZvAC?gGC&YXYc&HGNHA?fE-;@}Bf#ubZ(2(SS8TWwE1WXFC zN%1sybl41GKKKl}xQ@1MoiVmuj!#ZL>K`BHbW;y5B=Vrc`Ipx>1Xua?Tw|kn#)^9D zztSI>TK7;Lulf;^U$s_8y6}CzrzXc(=tw)Y54-Z(J1=SZ;l;&K@hc9WvDk&J2w!IMX$BCx9>`@-~_Hf7pq(%do zO4aSKGu3?Vocnn3XPN?tUddWoG{`x(+~sdlu@D5@LC_@X8^!r#Eo|OTmFpBs3 zWb#}JtWg;qI@J=^7T5EZ`xC8Qn}bmvETKE!WP$$oq>+4f*)k!LeUyiqh0@!rJ-LfP zz%##1q<9dPu4e^~C>LaNQycM%eNwdIcS$CT%+W>%55+%7gGKc$hhEg(?iR&@Q9-aw z?iwbTG}GD6I&us-5B$Y;h*4M~`74I2k{hql{NQhmP*Ap^zaN@OgDtiv@i5YTGQ>f@)#?x`IR2poY@bKOtmWLdp@r3w|d$^Bu zCum@;E@@;_y`(eZCUJJ~tCttuw2ABL+PX?GpKxgBbQ69z3XX9SHLv<-qAOMfvFLdt z6c|GP^*4!~%qh`{g?f|p$%KbCC%~=Q3lRlKu8#BdfEPPNuBrheQ8InNJ5uzCdI@)T zlVM|!#zZ~ITIg#?FfS7J)kugWj+DlK?QNS?kHW8?HP1e!{{b;>pPeG2z$TLtdC~ER zw7I#OZSuzR+tz=I-^T2zD+#0+e&a`l zw>~Sco-wpub3t_yRG(P^dEpFS!a$@}nHR%5ZGVv>U5oyx1; zF$D#5o?uBwo%f2t-w~FfT&N5TI1qAJyf(%YvXtyWFh0>`Vkj!>;gNeCj0V4gRlG4( z@w1#y;m%e)dS60dO|kG?ZNmGsNN$Mk;)NsWvAYy`fKy((%BBU2_i#|EkCB>mQQp7U z?4D$0p(YEOW#rfgk)ej?k!Bz@7#L+vA_?;YG$-F6NqwRIY_0wzx)6;ypN{tdq_W9y z2cQYewCw>J_`)4A=CC+ifr$DWxi^H(bmwG&Z0KXKR2WcNR@SicgJ<>lt9bATuSPcM z(HjLB68I8O_aCq(Hz*_XCslF-&4E)^ky0he#|WYBVK5wfoqfYQkeICXX)7V8ML2?J zW`Q@$KTum4$8G94kx7*psh=5`#7bFKtzq46NlYTQtuG;o&`~Jk5p~T1}uX3 z(Usykk|Qwj1v-p7lwNme&e2{EazW;nJ$A}-eXh?(f(x*->4~)+eSugTj?E1Qb^GYj7ViLag>`c$l7a~2Hpi8qzF{b>&^`^?|ywq!7&fNaQB{_&uT2VjW4hk z?6x`-(j}t6Wx;a4Kj+#M#Wkj})-a+m`tq z=lS4)a;Iy^c8(twW6VL#w2(ESdJ4M{@2JnnYOVP#S$McE0{;Zadj0_i{&CmeIlP&} zUCFA{v)f|74lDEo#c9%H&VI;rMDN@4&+htJNTgW^bMu~SR6+V>G~wF&?o1J}og9q5 z%cU=ZbvscNt&mI9SURNrZdgMnd092T7_{e(x*Y$t{e8_Py~}1An!p}nG<~TbQ-~Et z+{zF8bvhvfHk*=S32D=wo=SO9@ZK=9b5V>A!Z^LJ3;v@P|ipVG6l^pBH zK+(}*3T10c(X0gLg8yXT`j}R5(V%iAC*3c9yCFIHU9GkLPy>qL5klYm6u z>-NdW!QM5hdayc&<5J9t!FRhK@Ss6pSL1!g&Md&&AJ7c-2?t($Gt4Il($!vj^Qbz< zwDn)xCMFur!I#_+G?ze!IMfe5 zf&#qPSsRA_SZv!n&7=D??_94n$zwc^`4EIiRl;Jh8+0~?!S4SXq@Tbb6%qkp-c!CM zj<3k4vP~f546LSCL7>YR=V}6A= z(bvvtH! zVilzyE=bU`al5T`UfuccCgHu$R=cS@(%6SbiQ1jPWammxLe48Fu(3S3 z1^894j52x<181n~ZZuN`OF1`f&fk(Lfy|fmuW(}EdXt*mg9CXJv_KP$IR1;1*5x{?|C|NzJ zu^H>U)sm?~C!Kc3V{H0-^>QJBS32rO%=lFYfpR0N&{*V+{D*P?vV2>P{40<)*b3dF z`=aRJ00*=mQNtl8K}n`|W!OVSdzBEcK}qn^`xB}#=iL3%C>S2LyZwy`k>>+4fsjO_ zZKKR~ND`FfGqH)Buav-;ihM)R+seK{Vu+gH$2AM@<$_GuWQM0o=odvPs+Yiu{S%(m zefUVnK~3cDFow-r&iW~+LEo$1$OwT*1yx#7wtCXzWv8BcsEhn!d%VKbYsN2gwcjvJ zT$EkaOQU<14QhtD*TgN#Gz2$pyH)V6m$33Zyz@$!Y~TPj{qR1E+q>m0bzH6UA^1)# zpoLkPT9eVwLqAzRa!i}rVNC_<;gSws}M~@LWO9}Yy=Y2UMG6OB8r9Ol$ zDfZ+OpExzPZa}inSbx`skJ8VjVjhcoHw2O@QiX#6!w_{ZPpNng?OY8Id@nxY71jSP z_+%wE(HeyFaVHojv7AdCK73j48SY(VQ- z!Ai&#=gadYAfF9nl-{BO*}LogBQ%p#krS%xV@nOnjuB7$y_fu9(tN4!_8FI)_75dN zt8<%+p9&o~d2PzpGef__U<>`(AiK6RaCj#^$hDL&kFLMiOztD$*hIqRwEId5MTRFT zPC?o4EG-x3j!4ig&%7!&4dofOjzhw#NrDZvUdQd5p1R<*Za#91NotQJjUX%R93q^23_Glz;r{{a}Als*o>B{Jh8i7 z@Km3XN^ECG+?eOFq@xo`b|=!nAUAwx{?iZrE%lr6K94}YgNw|?AB1cfe2%ZCm!iIj9ALt=x zDC}?(jHIG$bJ4J)j7F1{DKDjo`Btntjl|8HvWB6+KO0+oEp5@6 z2iEC3p=?R+f_DiWA&W*_?d4-ZrOo*c#tIvAejMD^3oxN7w?B9B4P!EAj!P&JD676RfkvZr5>Pk%bSvuJEwGOJZ5eWwbbeU|2tWe@^y zOr)V9^8YJ(3tM{^9EHjd>sr;6<%h!FE4UmR^dUoCC!yJ#7Mv|Pe9I=Xe{hWC+-;20 zZZ?0LY3sOTr+mzbWF8dPs0y81>ahO^654*v1di%Hg*cT-oFa8&}~(e8EmCl zP6>5h_4tDL|NW(#^x46uNToGK&}mClVJS2`#@@DUO4ccE5G5oDzWC+%e2^_xOeLFp z@=_;#k_4RVot{Vjt*%>vD39`bKPXG&=|qI`zDw0@*L)2{og1^lNhXk_O{vNe;Zk5l z&?gvcqQw!AK+g%-up+SUf1%F%(TCf0z73@-K$2v%;Twgn?HGlLotx!;X9Li@dLPZn z{eM6fByX6%HlA?{6+`PVv}Fws2FD zj2ppZo`1t_7lQlFADdraKbI#e@m$d16vC2T%T4+RdUQJ`n6X`UA{wSK2%70QbgbDt8o)BL=M zcbCn0y+Kg_QnpnagN@zJ&$1VGs`>j67kYBsuGvR=7I<`Sak(ck8Q>$Iu)jOj! z#m(W`#5|5_N}a)wr_AF)qtQ-v!D_+i#qCROT#!_xZ9Z$OZNej>8lZ1a)BFn_R3<(N z8vrv9)W4u+%$vB3inaV{FjWbMLUpwplx%_x3FI9F%PuoJY^H`^67o4~F!1+Ec&tM% ze~0pFvmEj3r{_8BhmD2slFbMA_SY(bCWpKrSGfGyEbT*sCb?+`J~g5yp3I!DPCfPQ zO5fWB-nh{}bwRqM`<|9T-AcAYjofday9mSU6TV>Qx7!tq5)%!v2F`o|tOFArdZ0G( z`f7F0&+ge}@IAUV`KsrtgBX2yyjKRR5b$XC$v2Vztx3)o_0DSeET{cL^976xvwVWf zw$E{a)Qd}2q&3)H~;K>nNgz` zLk-VW{Wd?5Amn*TnWC1Vjiv7vxEBls5@vDOE?}KHV6s*L5>4Dfx_a483zcTP$F5d)Sjz!z!DxNd$#)B z6{G+{NvwD=G^96yv+BOsDlm>>2o;57-(89U)K-DI58&+j&ZNo+MM(ZS-@XH)%O8IV zxIKxD>?plWog~?|veP5yX2%Jee^L6k>)?D?fXGGasnVSRh*ImszliIaF zch}UM+*KHgUc@H4ZtgW@ntpWK;58u{yA%IeJs~@6%UPVa%jtco#GKLa8)RjOO>6{l z-f(r;{y-U^+v1Moe=)6gvIGLB^+$(oqw-!#qkRK^C)s#8=^7S8wSa|K09bxmG#wVHB2#| zo!9*#-ejG`R$e;6KQ8X$y}X(JD0yWlNpxlPDVu@`f!$H2KaU=5V?w>G+eO6o{9dVF zKhPm|t2#$akczA%Q?2&Vs8<3`MGB<05t-0nsJNY#S@JOETsujzfooIR%S#Ku{?v}w z&zC#+xkmOku%Gxwk}4E$+o~ZTfLw}LT!iF*3G@Uf!Obr7YM&LyEMZleke!qBVR>Ac zNB@F0Wl6Pzk1)f@0R313D8l zmX4~`Jd5xntvf@zopC>+DJ`P9N8pO5k=jxgIl-B!&qaE+MMuXg?$%qhdCBgldWw+@ zk8my@K$EEom~~jkc5iu~oh%#QoI|5DfG8%~MGHa1@#Qw&{)h!?_1E*ZqLD0%JMzo& z1#ANgC_>~Ae8f8?v|)shv43@&3&Lnybb1k(s)mNLDE{A)^c|(%%2zDI!0@_2^JgnE z;ow#iK6o0I^rBzEyWyoKSuK84e>%3{Y3-~^AM%Kd4rmmiGE;@C-((+Q>>-hEjLR2O z>_~?I=}O3=Hq1fw6ISXd*hL&{+SJsMCRrP`%Qd}Ho-lvVpvM&~+6-eNC9Q{bcL zE-NUEC1#n~uKG>E?OHx8LSp|{lz=GF9q)kRy+_tP5b%iX)lirF1{zm1nEs2hQi^yWa}Evxvi^QMCrPKXMWgd9_9|Ll^LZD3Acb z8o0yyj|&O=s1#X;o`AxmZMNtJKJ5uH${_OVVjSY%Qk1E~UfqK$aqZR0M|~AnEUXag z_X@2|!XQq%pfsj+mczDsFE*g1Q@H?k+A#Vu!g&F#RcZA%VC=xY$jGTQ5!4b!fRnj3 z!=3dTLhdz5S&c>~SskKjw5}FmiP@1oqJRUITrotQ{K%i{0imAS!mBPUQ`cBy;6>)h zy7Iea^^m600p5;2C;vy7Ga!sH3k7B$iK$o*RU?!v8|@`Jg=yFSqjF!Rm&3sem;o6H z8iZN8^R1?XtI0?&eKy5`yH)fb*LL$xwBu}4`v=atQ9%c+ZDRCqV9mdH!WiG&QFBjW zAh-2l^b!t`HlThdmPsc;$(6v}`ca&qo(?WlNdIdNJ0!WE*5bdKUgp9U-tl9ij8jQ6 zMu1*pt9VkVWKMbuKXliPN{ABN;nWyVu!#>zAje&fqZ%5rSU37sOZB`80*{Fq7RpSc z0~ud+9fI0H%D0s|kQ4Ak1bO;dYe)AZm8`&|g9PJJ+`Ly~5HS~>wb_o&ZuxelU1D;| zCdu3Whpl&TuRCnIMuSET8{4*RHZ~fwv2EM78>dNQ+ji3I*tWBSv-`a7b*|_8&iN1a z+`ri~v(~Jc(V&M`g4>GVUjE^E%P0u*X)OXV9Fa;$AKFyYxRobS(cKvD23eR53pJ0V z4J#UdH|9IgOCC5`u=Ss4xIK3L3IHga${Z$p7eL_RMS~pMAMq;!p6dEO0B|{8BOUVX zHZ(*=2XFL$e=;3P*zBo}<*YlEaB*g;Y#0xM2+a|W)E0#%08~PKy7=B zGATFf?)P7eOabxTEiIpOwSPp7knNfu$LrF08zgw21pr)&MJkQ~ytA%dAk^xR_aN0C zCK5k&{T@>YylO~aoIj0TecR==kOUh@1o<@hfAV`Ej97??lvi;T_lATlCpJnewXMY9 z1EVK~PL8u@1u>niKnP>XqdaY6J}G*<82{Hgr@xg-^kNyOGBu4$7fyn+RZm!02Xbw6 zo3sI}*TB+)kIDQT3q<;S#;@)serB%W$`WI-IPLaY4ksM>Pl_|gxV}UvGJ9t6#t%cF zxL9Ob8zU_;rXRJ0)q;<_Yu!Vu{oz})S6a2=FYNyo!Jd^8}jY~e|jsnVbQ;NR2dq_`a;r7OptiTYY}&oYurlam;X z%IF{`KFR2iRKkOygHubcOwf(-@|PRP@ClSQ)#qN-W@C|bEDP)n6veg$Nw`cF%$1l!Ct}oBJL;xd3!>N1Zs~lYz{?o%l=`AI_P6C{|K7p(8o9f zZv^W#f7T4JGc9yDMhrM`GH=%>^4=g^t3B#WVU~GZFEp}C^4JGiqAvroL<#3Va5m0J zjweHd;Bc98CTJ6C)YheXP0V%;g!63AyYTSZ=cHzcd_UlPh`|d{{?`!i3)fG9c$KFS z;v;iddxGKwG4guvnC7=9%cf5}TODc@%i65juQJWfen~rf_24zCO(USfT&b>XVq7`s zca$J6%gtmCh}l6WIzzX&u79ZeaQLX@o~ej`j9=7xA6UHir1e|&t?T+W+ z(uwwgE7>79I-3}a@NX<;NH;H)iG(^Tc_eHf{vzGa49Kc}WYBh5ypMViy$COEl+}H! zGidKsg0uRON)4H{4j#AN4IB##7;c1j~+pgao<~CN#SqDeE+YUk*guh zq=VfEIZ04(iJt>}>=*sdi&)ygQ6nNAp2vSz^(CW=QNnJev&Df%e4H>u=^f|_KE_8S zNss+5?ATCX>rcBI|D-s)pSR#I7MTZ>;`s6$7R>_ARR6fSvk^{1o<=;akgBQ$TR=Q= z_tI;P%*ggWm|U$tP8VVmjOw`C)d2G)n;e6&q_A11CY^S^gXCc}d4J+jf;{33MtNO$ z=QANd`~`IvDM0A}hS2ow?&JnBmD`6QJh2gX zIaw}7f`tzTfk7JH9Xe^F_f=ym$|>`4LM-(TahG2=B^*bfT7phcF8$7U?Jv}A)`*7e zZH~iG6=kGrwr2gMiP^AGf~OG^a9Y`PWyO?yQ5oGFj0qT44TZPYv|z7b#eXKBz>YpLqXYqSv6a}64S zuSX?9%C;*Lt}6MpY<_qa#pI*;DKd2>oc47DynBStulb#VWW^sl$V`k&g+2Zw%U z2x9R+N&SaqbjSz1i3#Xf7KQJE@&->H7@`~)=ZD631QNwBNj%W;ZpwPY7)sS<8R5qB z05O}44n9}6yN}7+yd_*jok8tXGD6#9-LGtW&i#%T$Sg8It+#a9{86n}fe_Mi>#Bwi zAjWNLKEVJtk5rB{`O!V2XuRbUO;{Lg*6zeuQI;x}u}U7jkZkjgVZ!L3{Hl-H|*5-YFkz#m{ko;V3SX&-p$buMSdjkS({-$3UMvDoCzGYM``^U3MfcV5_SrUZ-gIO9^nYl zzy9j>sRJI?+i^COwN!Jp@A(1BR#&qe+yAIcVURIp!cBHW;ML= zj=Qsb6ansR2cbVZ++ISJgnnPE zc`*7-?PHh^-#AtKIh*&#(@=>0b~_`33=O0pi05U$1Theuz~=xSg`TEj`%PxU=ig^G z`dUg-?#0W?avR<3KD%@R*L|Hb79u*0MqLRV{!?;^ z;eSlxLC3_qH+xQ&hnC=OcHbH4HwV2tp^j~{7FKqIo`6tT{7j8Ah_v%(@E_EXJK(Ko z5BBuDVAhI!hFR~x#JSd#5h}lIn*$&07;JCy&t*E} zfzkuoef9Gi!JmS<+ULxTr0)a2zY=KJw!iD+lOR{)+iU!p5?^w;SwNuF{AOpq>}zB8 zl(g24X|RH%!A>Ri)!C{qL?+9U50KOEy7BlENH@~aQ~kK>aX;v+aWK}`af2&sK9F7( zQVS4&@|aKWBk^8#;RUknmak=@x2qWPBIaAZ6*~!uV%(EPnvF-MrZ$rs+8o9a@Bx~v z(_J5Gw1*y31tv^zdsD)2!6;4ZWa{P2FamDd^v5mYchC3KN5LrjHP_IWud*UjC8QLC^Quif~<>#XGBb0Ng zgY5`(^u;4*jNoTp-ye&24mswKhTTnHf+L@YhjG7UVtfG2iJ3&(G5tuYI}W{u1P&d< zEo)(B0ql@0OND=x%2{#b%##g`!BeR~vsU{J9sR*zUKZtYHNv-^t@TvED&4N*FV(gN z2s)cKV~nZvr3-_ILFV!+;1;3+D~)A^WUfXFaiwpAUS7Z-wi!#mldN7RYcL!!4S?!_ zP&&JiVXI{JmqZ7A5+lNiXSaUuuN(?E>=sJm;^G>YZ!dR_6R3S;nov~j+ z^p&>iT366xo&3wH)x!!DSD~h<>QdFx{jysrDf0P4=Jb4=;Y&K!)oVPU*JKb09D* zpy?HY9QSKZG$%A67npxqxhwJ!YOSsDjp4j@82|Fp_60 zRtC(xwHQrTHpDk>IpEkk;+I*lE0UdysXAaGuVg6!*d3hh@B1MSQ20b~i>$29rwEK; zBP%)F0~}$#f3bJeU0b(6i_J7-d8R+n`SNz0EjyGgs1Hk-|XVN}_CGkN!r>1hjyMg?I&b zgUf+*I%yls3wcS%*}%YHY2A9IX6Ly|vzDFJLT&{vxV;S3058S8&05V8hdaqSbEgZe zgnN{af3;Ic$9qYZX^(LZ3*q}hV0?f`o9 zo2FKh3{|E=<0gy^7BCA3jj#F2K-;_`!r+sHQ}gN8LmqRb%=qUE`f5~b6*9U%U2e!{ zu^X9hC*_SGjEQ8k!T%z_e_~7dP>(@;(X_;};Jgbzuf}ZEEo^>6N=Yu{VbuPEsHi%z zid-ctMc7Sxzq{C<2Uc>IM8ftyjdqksr;Sy}MHWWsU=+d6Od5|P_gfL{zOUaWPr8j7 z%bAg}*R&)z6^iV!-$E*5TXa`7zIQMfS22!-#x|BFC|=e|VD*f*n)A2%kfIGKG z-PAi)gk5$m*qdk>xIfI$=Xv`{{r$+S=6?G(-`9I-y_!=-SyEXqeb(x0BvsQLTlrh} z#b*`rJ8e-Ar@ytiR+jZ~*=>@?lH;8LJ4@V=agwrzaK-i4k9Ay0sKYc?I`9VJj_n6F zKT#J9wGvbTg|OMJHD*&!-?b+!Q5dP)3TW3w=g^5Anl;U!?Yo(NcwP~CsnaRWCN)dr zFak_*V!NiZF81ka&fdiTA0%GtG6n^Ct2ExBp=c#+m$Jr*!B_ejKv!cWmM}}~q2(Yj zIyy&czQgHrL$!w9_dOkHz&~VO&*R(X z1{-z1**!>A@MEAKlwHwgCSO1ph+he?LlfeljP|{!4wb@tCxFZYD|mv{H_!3pNGL&~ zu=ZD?0|&v&0@V5CY2SvVQ7=abzzz=VINF`-iMn4OD*p`xshC!59KQFovtNuSsclJs zR10ua^rXmf-)!J68zwJ5ep~S>?9b=+akCdzG~2`XCx~~TWaUQU5~R$0?vEvxDdbi% zraxu4bqqn+j3#cTovA$1YbNT!DvRZE8f5Q(M3{Xe1<_2)HGbT5r=#d0cDpd>h~RTl zV-S__$Uu0r)(S%{hhttW=)sHH;P;u#2~wqVgg#h#7x=TzA>_|2_b)8l9j_t9h0k8S zI<9tJ&Mch|`hPn7aa3=aE5LG>C;5nK9R&>-!&;LYTJGtsM6tUA{YxuG8GN~TAV&C^I>T+_oR9M%)G-m`{>YCBvbh>j@sM4qu z{D(O)iNVI?14^a4B^MXhlI{@yMaY*hS;3s#pR;OH{>B^CF&et8cCAgfEei4M_^SqvD~+=Hq0Df^BwWv%@| z+)iRpBSEeU>+geGh!S$y^Xa6w8e9n0U%?^6V54>5&mgzbSkcjJ``b)@Y{YIiW{_YL zn3xX%x%+NBZ*QU=I_uvFE3h}uL|!~-kfm&3K`Ui17Njz@@WCr~`3 z===@6zRtUkk|&gLb7QuPczV~US9Qch^=z4{scOgjgZxPfw`#qxn2YOpes`riA<%0} z&E*5~B{PHzrm!mi+hSH?u|O%NCOgAYE`DbTB*WSBu?g421%qR>-C9vWQ?S)uwx1Ns z<0wj`ZhDLHMIz{)fcb9$R6M-33RNn6&$~lJENINZ^;R!u9e);t(*gnL%jDEW7V@Z{ zO2pWOOB8d)sIv@+>yT5$V?#FZIYE6ngC%ZxG6{L6qj7tSt!giV9x#B=Q z#~Is}>oGzbp8)dwuKHiHVMP}!4kr?6!L(U^qR^!zEnNFF1ckh|?E+w~xng5Q~;(hgPu2N#_lIjB|CTiwbc3@~K4zH+UN-oWHzD;Xj zE-To>rcA-uKYJSL!M7yrQ<~_Dx^Yr=5Pi+Y+6)SusZ{|4m_LUFiWbbtw1_n4Uf!BKvI=}&!s13@klkXHED5Q8NpxKRx?nYG!-Uwithdb#BPrI? zlzzFlnV*xldzIj3CfMGL5^kSFP`h_ke)HbUhsy8NjqpymZT#W7Nd=Pl&I5xvf}JG8@+nN6#F|fAAb#;NC2JAevQMz@p?PK39bAwbGCU`LI@l09M z%FHjH|R9|jG%e52rLXw049aa1%gL!BP0(33--y)V~>M<~mRQr&* zbhd9?tb@{trKq5eYF;|l&e~tHg>m6b7X8GS2JmNG&tU)GJ<#)TWG%;y$=(riTd-x; zxnyyno<|BbZY2bw(LJxhat4W0hJE2A=U-)nM`_3j0ZfqX)_`dd3Z;3RCas}870IBq z=5BkQ9v4%oY2?lhw$As^ZGG~6B5_VfIyUf1xnSOgJ`a8%Qi{x%i$*1^)I8B-KsDIL zWF7s+-#S#+59M6D=Llpvs}X1pW*_d*ZrxuKbgUyzSNMpIct0?lT*jJyMB8&ap6nc} zPrD;#H5ff+n!`ivYJ3^0ZBJ}{J(OC-)Z_XxCW^5}=5jP83LM5RT(NOaSRqMKYwiKhzuKiz z0Vp4TXum?W1m;=WGIq92^z%PbZ%$Mg_=!dD4n@06HRIG_r?^>rnXgTqKCW(&+?-Ep z)kZ$KBcP7r>u7>KeZ;HoMI zE_d9=_EF&)&!)rkOFnYB2D6Pu_7K0*`c=)Z2SN|99pFw+&2HdRy~`;9#w!# zn%4b2txMTY>a)8jTDZ|9*a4bCZ{p{v-8MQ)h|ROXW!*Y)d?ayq3nVUw@EE~E3<0DN zo@sa@`Mkx2(7^$wi;z);a_YJSrKlR?lQuJ9eRXIMyJxigy7Su<^6=4(Z-|Vdz%MM| z1#L1)?C(X{QRH?Nz`>|5pyL5x4W~P45?Jl#ezfq{Hr{!fVp}<}A{BndTFucFufBAu z!gk{&mTsy%jiS*5B}o8(gn&7to~iag0lS4D%qBQ*brDNb!I~_fGR+<3)aYt~%V3F6 ziIeHf^(GSz`qH2Md3(?3az@fCIoD0%DIC zW)F^UQC315G3&l7q6Uu?g>7DB|53wjj#E1qMKO=cKw6a5C!F(OblXLgA)b{EO*ZEw zTzgI8Wudbzr4zJ-N!vtCmpeDnP?$(7;_8SKUG&RE0EmD4B6diE`J!Z_g%?oFW|f=q z1BUAeF^tuoIxV>G^4wX%J@LH_OS?wmQjA1qjY;{zE7QH>{Cd(Rv?~>vvN^eg}fXjz4?vxndQ`@iyDz(hDPFyCXuxE!e|fXmK)-n@SpS z{AYxoX>9L(Ms^&R$}6YH2LE*IuYLYCdw0d>tsU3_T#hd4(6bV13w~|+&553oQ@+t8@{++1o`83QI5 zwVQht&#PCWg6G%5;s=pI91|-r8Kr@Hk{hv*eo5m|Z~-qqP*a}0FJUjiOVe<%`P`3r3u?flbX;BPmD{(D z;^?ZUJI7bUcc9fju2QsjC;Y*m%z1l8!JzF~Hn7)S#ZWxqASA`cA$?JAxgy>5tFiPw z#;&50LIi;{fNXlcOCEPpu~oziXWlD}I02Hn)s1l{565d~;gBR@<5>fc#H9cZ+7s)T zm7H{tAN4m2UI?3T;W8*9%nhj~5~5}AR6YLACeO>|!D(>|{ZxrY)YNdZscxB3k+y80 z*Hr%2IiYSM;ec8F7kMZV_M?L;NpRj3dRYH@1DWBS=_Lg-9_)DyT_n7FkFC6UJHE1B(R53JAUQ?g9 z-=4wPEdyiUK#C-Prh90h@Ow6X2YEs)=uQxCb|3{o&Zq?W6N2Gi_6c{D60?<&c)peP#)e?11cj?|(1yzx$vx&ymTkEOh zZjr1#`c%R<4DynxdR+;L2ysig9dywMijwx2$w}AqlZW+pr9?X0nB*%I_NKBsY_oDW ze+HGd3Yk(C)0OH=YfOD}zr$-tVI6Q!sEYdn?yx&elWzDzfv3cy`Vk6~EU`Wzcd1f; z9Lc{CtQ)WA?Jz?fdkTR`F_{;l(!BS&!tZh+y`4BouEhEOmPKKL<~s?$Cv!) z{E0i7LU&(c;wBT!rT?Od?Dw-&zfQWO$S^QlFkbN0@(LeEKIjskZI)@6!DQo5ksF@KtF_e+~3Tl_0JF6qE6D#+sH zz#%t$2Os&rRXLhGP8wMUzNCV8)Pw@g4?2rkXgiDJhMHW~ShV;KripMo1FcZ0hyEE) zSj`2S1z#_1QqA1pf?IOohx(0$ z>Z@agpF)UCF(UdcM{g)EY}RG8)6UH4_ee~pwKlH7t{%ar*ukyl#j6I}Ie(&=WYiUM z%TvBmiX8QzQ4Y!a+Pu`LimF7|0r%olSTMyPEgU&?mnlfmf*NcbW?EUd=DOvh${F9j zR*I+OWa^qj|Ip@uSMYL7@u?;{X8z4f+Mtzc-dAmFVTgmtV@1^ZSv~a;IklEkE6Ccn znDx-EvT5RaGG=|>H$p2&@Mj3g+2)?eF~`tz=ZwJ$dK>bfrTITcdSP??^ud+Pt%|O*z|}fz7>^HC;PdQ>HnBd(Bep} zA4V*+p(Br&kkU*|5ja>-`%g+^tTgz?$cN5?g|dZ8*T?DR_-DVZM_Q069V1=R)R#gG zVcA!OW^mV!=_wbzZB13cSm9^8d?kAq?REee&tva++`3K&ODD^b&K5BT?FFG(;YCh0 zqV(F7z-CoY0cY0$?x$c!f0(y;mbU=G&daZ>%eCAUM`w&A$ojrDqXxlT4kb zv>~d}O(3(2$XB+Amk99%$xX`%qGTm04^JVAvA0 zdV!~Byf6jj{*Odn+|xSc2cfyN z;QLR|;-c~`jkT=c^${dt5=I_;>u6m|)gE+;ioI_>@EAq=Z;#xb^mm)OYvWQw^=7h|`zilEVfZsF9{ju~Bj{4}mpN#3LuIIJx7eu{!LPIjU ztTOjJZO~?ikoApMhVT4XC4dx|XLjcP zLb($oF>Tv2le@X&vsj52X?^v}rW0s7%Fg=4;osx0o>hjLrVc(Hk1ST6zBeZlYW=`j zp3^fRN)m$eqrV|;D-Y#wso2btX;~gzI6^6Y0HIjLMJ;+!r*_(KX{Xe0LXKs-%DQqI6fsrEdjcRaWd;*a--pJ3k`MOmYg^>iCcJ z?vkB!Vm3$+j^DX4z*83Iu$e3E(C3<;cg89KPhjXfQq=SwoJY9JMyhu~Y%L z@pF|Y7V1y)luJA`N4y4Zte$mbxic?pb}LT7-p^ZZOVuF)nV?#4Wo2}X6R__AV38u< zAbEUd*wJo%BeYWKHowwg;+rXWs06N&xqra{Bfl@tZG2^#bDKBRbmbi6Ru?JT*x~ zyAubaoj*0peTE`ooDh(m4&NN!-p5Yz_V89USo*S%+IiI*_$d8z35>3b(zuNXlDIFl zU)#tH7^pAsd*4G@P2BcKe=b3#Ob!#Q$EIFHKyYTZP^?gh2#SK>Y2Xdan2+mf%0oRG zXbZ|Tcdm5{P`7v3bh-kF=^C638`B}(Ap;d*f-Ef@5r3&I`)D6A!1omkvfLvVF|BwW8Pd+@8)Xc1ZCzr*E#>8^Ksp}?k2&ImWMXY zxl-wf5lf75+sZW%x3_Tw6=u^#jb;jCxpTpm-bnvKTWRqA4`mV54gAQNYd@n^Z z=>4{b;CDh`1spEbFmQR!y`~*v>B`QZu2;4Nk4)_8WD0V2cI=$T=UCY>eq6OC5%v1} zv2F3lUxPMk<%Z~tLed3)7JtyHVWr#%gO&M5*`KM)Eu)R7IA}0rC`q>FDq3zsdo~F0 zH#?{RFmt1h?D^=DPLi%^SoQ?SM)w)3HyyG6u!L{9O7_}Aj^gU9uavXKhJcd5Jo@|< zwID9SE8WCj(`}w~$F`ZhI@s$@6geR0Cm9JBE^JG+jUmnzA&|%<&i#abmDMEi$JO*QnNtP`}rn8 z{_DK&dhTz8-<&JR*Zbp%*WOqy*e)k{w-FNDeqIk2Bq0$oCm3$*j9e{n^p^S-iPGxf zuV!VM9O$ARla%3WWYmGQ}t)V_DTCVwv+ZTf7B^O16T=c40aU2IVH@@Od1((7X-uRT8EBcff z(r7K}mdFZ}e9v7VkCz+haG~6K-qQ=l;)DYD?)?GEi3PCGag`DIbPgYO7`~C55ct>) zZnt+jjI`|DA^3|k74b)KWs`9^do}NaD^65`s!<1S-s0uN6ONlL6OAUbJ6nE`JmR0% zse-)DYy3Z6cYyHj7N!hi;VMln(0zquW(WBUxF&OI`2jb7{IK@>e~6zZe(}Lt@?c8#4)ucJrrfZkhtb7RDZp%fb*pjnK7Q_9D&EZ z%;75c?>KIuew!5A%4=R|TlN}HG*mdKcpCn|Jt&prbTzvB)$`RgqwCK-vG&VeP?H~=-k^L*By8P?jv`T*qBSP3?Kr&KFN z!DM!%*zL6k3fPTdP%DUJIC=f!4W&P~)VJ7rE(L#56)w!3F(|befhg|+hFNQ~^qc6a z5AGeYPq=7zG8|m3!*gIBRWS^U0aUCdWOfF+IRyTTL7ciE@e#;0ds5EQ-37UluV493X?h*%~C9p25H^ML zZXuOiYT1#Z$8;M=61o1FMb_j@>E>ul3WMlL3LxVuH|w;Abe+skPie;fuV6MPe4xev zkX$KE%(|cQFbkLm3k_LIpjqWlbBA?2^>DR3gO7_3=!qzWULWFbs%= zJdPw>CrB8}d1DkFDr|DIc2N=mor!~Hk1TAZA5Q;1YszY0I4Z#6Kq?%%{tR;v~+ zQm;&k?doI{ACCvF6V(fY)}-uu0>3IX9JIw!;3uf!`FafJ3VR#3Z?)` zj@Y<}s=rE9I9Pgi_*X2ZLzuY|Ta0r{XId>=MYYM7vnWB`(6nP^a3WP{Kq(af=|3#{ z@gFP;sv!g?ZBn*W<6Bz;ql@oMFn(Jel{O-VCzo7GHj}Hhke+SH##6D~jMh+heOVNf zovoQ?^x2w{{Ft=<9C{|F65Rw`t@bI`#>*-2+TR@%`C0{#A%Wm&j}Xs$>dGLH5B(K~ zxKX*rZchhNEfBgvEo%f?Ys;fc5|4-+*y;DNc6ZDkr98#$DP<%|`5mfB&n$YdrJu&P4kBxSTi%=-((;nMg0FMw+9O%L?R}iF$&~ME^zT< zYwg|)Zm?(Iw6^R{<(K1klXGA_t%U%(cqO$_6op8>Q_2_x^u++h+x!N9agL;lt>w@a zAsT>9$PrA&>61gp>R-~<`%jS#90<5T`jb0%zmMWaU@mfWu+V;0nC7G{zM+F2?qJ7G

Gwx| z>_1FCvw^VmNjD_RlLPDdJ8blFGKRRw=^~L*au^n8=(E;q3^vHkrYVZ|e@zreo^vit z1v7Hzf1dw%Q_8z}O!G?wN{V~Oq_>sygS!u-O>0pZ{868HXLH_*Jk6lGacOQKQe63R zeDz13yXX18sUmb13w=vEE0^whM8-iRTUy(g=zOJ%wz9E#;BIm3VJ=U#s2Ll|S7m@f z1?)?N62EWX4UwpQd2-*#?LUPBT|Mg*T-^rU_KteDRlgt*KrB5P;bwR-5ZkTO)WqK!#dKW75nKt?;W zO$zv5^>(8>GcT zl&f*mk>A)Yb8K{&WN5d1we|duy3#6pBysTGX*0fl%C%o-Z8DN#3eX|w67@Egq2!grNL2zdus;9`2gC!T4BbA-DQ;0erw~&yJPd++goeqcOmy%!yc#Lg8!A65fRWm zWmi_95uF&`s=Yez;^`6!bV9U6bO2#{y7Y7CMGFMO2mHy1`ctvS-=e%n{P>as-UN#S z)av7I=eb;BYl=IImw_Y8Pqwdud8wt_ur(>|8AHhb$KMM4Bdb^$uVC%#aSI`+W3s~s zx@tQaFmwNrZaoJG=S*$xkT_7~eDFD9hXbY9@g$*}EfCfcV5o8;UCf$yYfEheLh)h~ zboDRMh|M)(Ds=F%Hch`ZKtm7EN3Cp{X|Oz;7U9!D4;C~!rlHOj(z^^Lvd)%p=f2Yo zjsiF@GjDhs1aM?c?R6yC2S+wU=dO#(QyveF3<4(6g6x%lEM&-+9|S52-IUs1Il)bA z>=4dKdG+9X(=YGJ-4CMq=}94*v60g$S>Cn&LhV}cH|-*TG%;|V#Jh$P=_mnvVD+nf zD15IM2Itd=I{lb4_;2K)%<^k5+^bn=mb$T`Q5urli7SQ=4D*uPig_p+;o&;d)IMU-jmImhv`MMv=w0@kZK#GQ=Gn6Kk5T{K*z?fU>vNEfL zPe>t+qF(03_~^c#Rph}P^cU14!=|K+mZ!OhefvT&iJa4!>j{ku&{aCZ5Bj5dY4TJ3 zH8^6Du_{%uMYu=}aPXTSto@NjJD7Xvt*U06#DptcZcO>w@_=ffzB!rN6+3mSy{MnB!hq3%&yPKk{u%+>k=et z+RR>#KYpYe;rCYcZFHB#7@a`N+phP#XFyoD=FAR|%&Vh*ClFDAexj3uq zuXYTcY9o0c^&PgJ!FQUd|BppIar0?AdlDl}0(Va^$1vOTQ^X%Zxj`jXra`ws);FHe zc6P}A1a-_J?kk3w)${zR#J?|EAx-&-3JR83f-5%rCFpn9w32EUh6 z_h%=YMMhooRhYsZcmGtLJ^EY}DVO)q))27O`Ro)c1{Efb*SQN{VDS5>LSt;dX4-+z zihMYHCJ#=w$|S?a<#k~mW5Q+wv1uEq;61@jt&!ko zxsM+?QRZ`B{*O;pz!nyN#OkKgD#E3k@Vg9dL`I$|ucRksesi`A)zT|_21K8Z9Zpap z6&MQP#%SuJ3B62%j>Q^Y)kH!=v*wN@B!$mo>VHe+gw+7}|B@QMP>4szNf8KaPIYqy zHbo7Q4{SG=`}v-jWSdb6w@I7+z?V$5G|fTFpPO@u_Sh^T-6ueeoP=m23iqavo{nT zU*Yfhz-Y4%E^T>c5X{IDkpY^J_>RzS3|4|-5s7(yMtu?8aRi<+S@+a#z&fpU{)Pk- z<}}3_Fa$1^T|7jf@NVQ^-;1EgZ0-;URHeO${d|mj$V&lL(;uDkAom2(?kgK3aO^5P zYN!C5f%>#Rof!0XlAR?yi!eH5e=3oZ>3YkB7H1*nso5)AO&1t$kGPJQH$<7eY`>Cb zPne4(_He;~sDLeK;jubXCyA*{C38+}KG9-Na_rSzzo_~cV~oZk4ms=D4ro1N6t@3? zIYYBPW2;EkjM;Vwmvt1sj+STj*X$nLy7(MEi$RU!``sUv>LnYt5PA{pmp! z83YDZ>uBTJSmv>PjHiK@qD;F*K4v3!D7_R{dWmk{(`k8$%$=GjnM_AT+e|g%V(qPY zNDMo;j+cFn7$VV^xxJ*d8Iw~C9LB+aK` zgV=FSVPh1GU@uShuMD!K@bKDZ1mZRlSMiP%=tYfV{*ivP(WCsCEAtK4lW5$=7S6O= z>_ie{De2j4zCu_(1`jma_aYUnAwyA&#mPx5OmzrpIf9Kb3R&43;S@2&Gx%z;Q<}Zt zn&1#=YAn?a?ZjB8ujV%meba+aG+O zKAq-1)=Jv8m}X=Rv9-N%4KiW}Z%5*(zuq1eRu zX7^VSl7)Q)bwKgof*o>bWtQ@Esp8pEQ{HA9ZtPzjzaH~eGwtfZkriqW#i_+Dy=Sht z2Aw2o=<3EP8jDbWq-8@YZVdmld#8t2cJdSTKv7BP+O;Qf;rs?wCWvBTMA@%5A|s>2 zFq^O&1Q8sG6@994;>?gmA8;sJFr;F^3^T5CT}q%K9x6TT5oWMVe!6s1{qJI8p;kvgPvU(P|FKB)_g9;D7O*m9rvRtqJ?WSnv~N z0Yr0=Y{KB}>`$INuC76`rT4C-YL1M*b11Uq*jEga|(4dG+Qu*bOu@V%R|%eLz+m;!qUQy<)y2|w}2Jl6Xc z-826;x|hQ;d&w?GaptTTzlRdR{dRJ|n8b&@<~Qrewd;@vjSg^6qrI>TdGXUgrNLmGWjUW#oTU z2~r^7Kb)`9CxmQYj{)Kg|4(ZZ6!E<#!!?WV($0OwntP0#M9YjURCmZxa!db-a^y@!#I)aXY{@WAExBT)zjq| zAqHW`EV33xIC40(tS-)nf?0`amaY0-<<*bw5dbgNrS|iKK(vbfQEKBo&-{}Mm-m;W zu1wc0-@)+s@+Y8sr3HA_9V0s*VH}N^np-}H=AvkLMbIwxv_b64NA!BW*Ghpbg7MG) z2`t$AXjdOA5Csr0d5Ty9lq=StZO$QN)1{uuGO$wKP$F+%r8H%9#B6B#=)UP)yK;VO z^ZW}(r3NS8cmm*Jy_i!_P-XCgqHwnK^uBv*Eu!dKT;2TaYHzNZE1aK>0eZAIlpVCA z36LedAHFFN4&=JmpOpUzMs4s91)`l8{kP|UQRm=y$4mC?#;mQISv%_|!mYjN&`!KO z&@8RkR;>~^f0gbBmjVN*4V0Ae@7W7TS?I9(a(7p_!vVb{Cc!=~j3QJZQYn6tF1nZG zZm@_d?u5|Gyy2v9DqM5*E~)4KeKs@~dqx8*pr|Q*Xyk;gFh}4F);MsV6Zuf`TPl1r zRwOw9JpBIo*9>)!0+D#Vf^7AwetNRl((0h(CmS!}=PTWM{&!?Nmq?Mx|8ViM7{Sr* z%%J%}gE>Yut_ev^1j{#{kb0=YWIl8@3()E)p!8eL%!1Wx63eLa7K8w7_Y8ShXpF;nBG%U#DyH+g_zSonDP?iMaTn{OPgiwDr?ZcF7QMvoY* zI{5=^hV;K{E9pmGUx_03hjcqqhC$^IY zjh)7}ZL6^w+iI-Fww+9D+dk9#e%{}Ep7V9S%-(BV%h!MH)2#D9C1(3})yrPo2!@wf zyd0RQXZg!*E{f3Vj3BN!)+P^};hKSrj?n0B^*tsmh`Onb!S z-dStS?P*LrI0~R_t^aybKCr5cp~n^D{kJ~F`J>{)xZzn3Ea%;i@6mFWg6H<3uaKZ{ z0LK$1$PzEgRtP4j9QP|RWe1(jqz*$L3>Rk+{khgu0T>I#*D_Y=_g=1dTAPUoQG13c zZA6s;DKa)N2-GimWaI1;b~dYp%g1Jdr1KUs{R77{fcjlqK)1;C&h`km>B9`}rWkiRH!J5>OA9EX%F*NfEz}zsz8K9)ag4hw zoCHP{$o@KEopw3oI*Y$8|95RZ7;+?-k94b(qTKbI|A@C z=V6YQfsf($oNO9Qkm#@Q%>l8~uIt*FQ`OPjl8~Va@KX9(&sskZ2elAa_;ra{ZX2wl zQ_Q?zwoXfO-ti=n*xO@Rac7Z&W{`&U6|f*Fe2;BHV)u=`v|pzyzg6QO9Q}}B!_AZD z3M4FcV0>fGSX@Q!VeC*IM8+YX*Rq&$@@+Y>{W~}j`A|1V0da|57r4N8tRM)wSYYtB zI>R5?TXiakHYn!}w$=MSb&Fu}U~MS^pH|W!_MM4R2!&W=wrUC3tfd*?AM&D^^fFjX z+%J?vqAg`#PfQjx6nt2I+l)?&h7B-Z*>Fa4^)$(^>K>zc;N7$aiGzQ{AWb*p#t;6{yam<+b}=gRyOC z!Y2rrwg*K65&cBvZb|R=){G{^>Yohu4eaCwxa&0lL%?_MEjfz=rrpNCFSzqPNBVW2 zH$Df);yEO{Czl7NfFQ0%UnMkG)Tn%3hA_fk{fg@4WK!ks_=0t=B3(ToEor;|cf@dx z(Oy$NQ=#$8g+;h`Q+^2t%`$k%8HIElzh}EFCg=*hZxPT)oGD-A&z=z?Smb%;-|0ijykN^J+I!U2|>AvnM;f43ZT*DDt`D~-VFwJWi4bqXkEAhw-?qg z4CG%W-X4BK{B(ZPJ-LIH%eL`Ls`z+HtbF%kEb zh=14Pv}#+CWyJ@t7U~f;Vfyh~sHV06!!j=414bn0+<1f^jC5`gFi?cch#mS{#yQZ> z;wCToj?aKCuS20XD=jm_5VUMyI$|$eHj8*?yIU`L{eSQ#;{yyI7Rma)Czbv>q^hPW z^_uZ&>FfvjWjQ>MP~ph8Zp-vwtfO!w93wiQFft9Elv-yb&m{zU?4(v2)ibPpew8>R z1JRgHO6UCa`QYU9=wM#zDpBUQOc3K8BFsI@f9&0e*_wC;7avKwY=h}Xfr0cm{uO4s zpCdQ+%4<*pC6C{r#FUf8lC>-3*WS20Nx$UFzI4OeMlZXowr9#eB*V0m+&aDzG z5lSv-c%0VG-ihT(0S6BGnNHNbCv2Mz_wN)42#9;A73E66ZiIr=dDr@kvY;u{68-vJ zMu{9B)`zz?MYG;3)9Q}S^FJ3?DXNmWCqy?&jZ$96HKB3!#~1!kIljipJ=H|5tNg)% zM2`%3G+BD@l1~3_!Or?Wx7Zr*DRbcv;ZnRJv%ADg=ULAVwbSPmB9AIMjmmEj9o~#+ zUV7LQ45s<7kz_&CHYa0K(lt&r4Xu&vs1`M3iFD~bi?jzmDfAI1k}>v=?eQA{M_B!Z z^{pZI%%3*%@XF>$h_d11v?7FuHuGEQt4*eu-MzQz&d3-kY0mX7E;B&?>UD|fuZ>8B zKyW~u+;AVh=E)QL7(IGY;`sj@x3q~j1Z^-*<};0R#0aw}`tv0*3F!PwPD%TY8c%BV zD3cs+lZZfm$L!F~+=E`q4X{9mS9`BU2HM|;>%ze4clrLTG7EWF=!T!_>3JUFTbtWraXsbW|P zH{V<{l0deyD{t~0jbwZL2X5B?f*Tuh>K7io*3~{Y#l?qu=j(_H$YpJF!(`_mIGX(TdEf4e4d-qTk)O`|P|d zTv7d-q20JdGY;9KNeW>}O>jHk&PT!>arLD?`6SuikK|uYQKMZ0?aExM*Xz`YN>`>s z6E}b77dgDtV(UDBQ3q>DG4ThwM|g;qrcABic!-0Bsjbh(L+C;U;^w=^a{X=YU(`;G zYK^pS=a0G2E)7ArL8MJPiNi5%B5oTt{+ei8$)C$yotluufknHstwzEqw^6<>` z)DPC<17?n+BSn0AvYoYjxdE%Y42n&M`Twmqa!5?vUy;_Q+0^qEh`LRW!_vgJ(6HAj zH`IwFJ^9$)ZH+%!O);vsWTU_)bKOR};}U3{)TK!(Ckm=(dT{dL@6~{?kjlD?mutG8 z{lcKk$%p3r>-&5bfT)Z*t(eVP%A=~TTp0-7gj6Go^|C8ii-N(YCH{OVA1T$w>c3Ph zXL$JS%g)ul&1e2m2^@c)Is%y<&!2a_9amJH4+iZw0TC-cavp1!%fAzSv#beX&t3L} z&lh)vzar=Qrao^E_JWs&hC;G%V{zVaAJ^CQZr~}Mo2JV0%34g=bC`}jp+yDuqJK3! z{e$Ya|3VcY`k1Aw&k{I@QxOP?J<_y07KM99#_LQ)gMY8WIui*_mRuZZZIJuJ@^OoG z8Ho#95K86b3|!27?5<{Vx2Z+1S^8;ls~-jL=I480OPYUrO@Lub1(+T&3SqqM>Uu>* zA-Uoz%vV*z>y}wjZOQABNh$(EhAtsVvmnC4QiNkG&5gx9Wbm^{bO{OR5D0eBJV?jL zu=P*^vNL+-Pjq(*1AAUSkaoT$WXFl?fpB;f|J|ISy5W|p%)U#v^!E{zRQ=o-L@(@FooCWXX z(iKHV)3Uj%D>0gW`o!iPnbYvKXAaO(q!(Hx?$36J4)^YNh{vlzxVNABIlF#6?8i`B zewM%?X@SlC>Ed5dFj^pyaaZiQ?{Yt4;nZWj>~6L!S7x|IP&=1I^{@TFY>zB;l-|d;C%$5J2QpjOQzc> zzETYoeDkI(sG7BS^<~@Ap@Bb;OHN_i2eg5s8%T!S4&kT7tnz`v0h`NJ4}$J-LtjNp zQ3Z|2dlE+#{0xBRg3715bq(A&ufcy9O}Ra@ z4#O~2Jz(J8K!f5Z5H*>N^fcW>m?%~8$9aLo_=5-TYVhy4(uPFG9at+TdPXqx1QUDL z+*r3C)9&3t2z6H3c{>0l@4oSO?af`+4jEMO+b zdhL50;D4=nV?u;mk={(VbV6&%*aI)u{SBUlHRKE%3ccu03oR|o4UnF| z4wwg#Ds>4r#HWd;j3xY~T@T&6OCRA~@lO#H>tSF6>ImQqF{6kIdl*O-MNh+4=`se8 zrGh{{9UY1e2rNawJ#Og)$E@UiOf83VfT<7K=`4j?JkNvuNQ_rV1Rp2VFXcyU2=HAr z?x7cTO~kCK3w=YY-MI~U)oNY70~jwY^_!K@MxeuaR?&cITG(B9y-*k%+^={q&~lH& zMtXA$i&mMXgzjOBblKhH7Tg#Zm7IA)3uICf;fxT>^pI4m4)Se2!QA{4NUbj&m`>Nk z!x#XX;x0+xodWGegFP8>XWf%EFl}1@PQWE z2LrB4%i;32?d0ru+GKWOwA;)T3PFU_6#VTa?06KCM@~XKJ)#H{!S_(XHZ(Yk&{$3Q zO(=&tKazbz< zq46nOs|dTJE0uygOxF+KRa_dKCQjh(yz%vAxfNUN-v1SGDJncn~Pa za~VBH984PswRQn)JzI@MTD=Rrr1tmD%trC7|acCT;-J z5v7|OvYn$MzGfwpL&4tlKL0>f=7ToJ&wC`Z@5^zu*T@DHb|vZN_-F{z>K=>7Aoi54 zngTkRAIv@dInyP^a&}kqJ1*7(S{{4}gu@O!<07*0!Zsv#84r9yQ%1|JmS5Vhkq(3= zUXEfztX^%xkDn|jG$hT>&t?cZdX??BXwB*D6NfI3ome2Sg&PEorM_&_hldgCjH(>?a>) z-!r(B74z1FlEo0rg;#vO)KwSsERE<*MT+zLSaIh^E8b5lmN5+`a%j=QF>S%sjl>^& z2kFxTmfPo32CY(~29RyDF@Jd^{uoGg$4OD^}G7`TcH>bVv@J!DA$_@rv&8(Y?#F zlYS#=@yn2~pSXpq{>=8bSh=FG?55+T-#<7CP46`A-MzW6N(f9yZ@PN(vBH-Hx3@m&wK90(s*^O%bskQrO+{RCPVw!Uk&UwR5HSpZ+ewmINZHHE7yr_Ffp>a!g$ z_BRd*JFR{zxBO~tv#3AjU@j=Zau{4Tnky~%SZ=~h5?3T(!DV}LV3bK*M{tGQGwvRU z?(YtqM{J$cS=yC%B>=TJc<8nBdfEInl_L+4me+B}z;Q;EtV@{>xhSSSNM}N6!HsCo z<=q%YG_M3i>1_PV>048N#Bb;W^YU35E1fAcy^LmSVav&bW%!4Ow`Va%uwHD?HKx{- zbKw|E7Jd!Jwb9q!q!9=~`@h5}YyKeX|BH?qemnjLnUPQ;-#^Q}hu$3n@^Ut4I!>Wr zmZ~$>mO6%{Q#ytB>wX6P^=x0HPRf~ud)iV1^#P?wK!es;ii~{toM0xB&;(jcC(oNw zIL5teP-HYc_^xy>9LB~N!GRbF!V2k>&{L7%-WdY-i_qdHxbuJwyrKjq!aw-sI=ZCD z;r4Q6g?JVV63qKju;3mgdWNWDIl(r*^IC~Rr0J$I;Dr9CML>aX|I9v?ZcpA z_gbkA+IqyFND1P9h>YR;z@)XYmqeHA5?1h{!uy-yzvDhPNUd!r5(G|qI3z)cG2%eJ zVdCx;x5&vZSMz&9rh~zxU?bCUx{JZYqnp*+RzcUHp(SipX#WS84j9jsF~O@@&)GzY z6Eb-0ffQyWm!Q^*|Dpe^lrE+IAC$?-NsW3_e!uL3@RH20%WH{CdC|hwTiruLndPcD zqE|$`lR8}2(%sh$hLF1*Tinpch#8*7tY7+C0ck&_u9<$O9mEZj@&|SGb*m2zitDDM zIoa60RfcRgzXe##!MQ2lgB=1Jtf5wCcwDxEFh6gI$MzNQ-x7G(vG!VvQ3YxIl~OGTZ4*?Y(lwQqSUxLx5ZazqN+ z&Qj2;P48!I!<$U~c-(24htwXqKB%aOv|y0)K%Rh8rL{q1!b^wmE_TcNbiL~ytI~zO zrov=qP3N!EA-PNm8!t}jja@mJHobpJoHEImg;9lfcRjm%&aD1)^OaLY;o-_@w&>Z* zlIjrR>RdY+a!N@}FrZN$_z}E9{nIeN(o0Hk?CNkk_g=OV$7Qfm%OK_$#~)P0;fZ-N zYo^|;_ec^#o#(rT7p}uHzY`){)sa>`W^e*`?&Bj;2(KxH^p=5b3EDP^etcSNOJyLg z&jVk+Po|6gDzcRPS+ja9Y@v0WtB;}L9Tq5l?jjt5mBQI9rSf(m{kEP3)feDiFdg=U zc#h7_rE|E^dMj7ApWdB(%0G%GK5f3kObc7#c3gLDw`_kT18Cl&W?@y~5myNQko0YA zi*>E7nllgxapE7%5fFxPQmikcv~ukfD9_Keu1H-nkP*bcIYCZ9vlYlY&v= z?uh>N?(p44Hmq8O32-vgk7ErY{m$aO9pP09?w5hrO!8UKho?IocAfEkiHnSFU5Kf$ z_u1QO#Z7+9{qla;j6Q81`^;aL1e8^D{${edU@r@}jLjcbhT{+4zI&yHVZfPQWVFmX zt?-y+G}HT%?(i_Ox9g2Qb`{)sm}A*`BUN$F3AAoqcU-?09|Ks*pDo1B4L+V$4Z%~W zJoDkRVhp|je|>4r2R)TbC)pyf&R)H3x_+RI;Pb8G2jAAWQKbPch5FA3ViR!ai(&&x zezb7G13A-B->w+)J!kl$+D|Hx3654sXULw?lw;@RB(2YX+Uum!2*7Jomxeujna|LI6dWr$}mimcbCr3oQ?6hUU4WDy za(SDK-XegRe}ysy_Id>Mq(TkEhx#yZ5o|ItBai8#86Ab3$q9|MqoIS#yuS8(hYY8J zT*2r-?uwRKh!ru-&CZegmMfcE}yFTiomga_~a4@<=4~(S*w;I3;IYr~9qdTL-^n07<(E>+r z-<-JslOX60Q3aR+St>qcu~a-;#X&?Aos=E!8z!0jT)i;uMS$2CrU=UtE12MO^I^Sv zP|H`L{?}*ujcG7O+(_^ZhP%@@>!P6cRmn|1Z+@g3_5_J)(7!-J^6pgcHI27x{e}jc zQHx~A?orI0)ozvBgi;&on0@gmn4r}JO^jx^Ori*#VdsG;Dbp1*_uFJkjX_f>0mAVk zDYuORvhlQJK%Rmngi0pzf|=;~vw|6q%2VI3h>8KttQ;ylhX;)E<`d6{v!EfYa+$Bq zOO_|s@@Q9jMQ;V?;B=5gFeGkn+&<&Ky04q}xThb5gg!;(uV<~Q?bI`1D;LL(osyP6 z4Ii&-lg!6o(5Jm={pQD%?&%wnk4Q44!E``;%y0 zbU_^&PCc{iu zc^>ExskEi3t&MaWEyT;%Ij=SWafEaC`T%DN$(VhC#!VMy(g}qnb+$Mm8jYcsT)CzH=k#)fobq2@re8)n*ktKI#SpAp*yn<7V#vc!MMLYH98 z!sI9GJ#I*dwO+>W%5lC~>SQY)j;^&%-kxmlpS-=Km@Wwjv;^MGrRu6W{g4uQv;oj5 zyoZL}z2p)?!YkgL(m49j$pqCYOHKpYe-p}!xS5<7;1W1S`dt(W35+0G)_~*7mxJ4H zw2RNG9Dy2`+a#to{tOf1@YpFK!mPSJ3+wjhJtyuO=N}dU(xr}w?61`|rO#zx$iH-U*a-6-^T`C zMbPf+>Ea|CpOSf%bJJBq-@nf+3ucSX0G!p%hnYUF4r9d%Y`}^;m!F2~ZK3Gmj7L zB!u+Z+SoARCAplCP3_%6R{q`%Gn{xOXNbST@*WZ=j}T8%0>K3hMl~|YrvRmr{F>^N zei@A41YhrziL%d()q%H}dOHsTgRnE8u96Sg(UDifdtKrIyterj;2k+Hn&p7n{Afe3 zIEBt%?_EWdWt%!`5SfQGLcy*m+Df}oEJZQ}8m~w>BWAT4+^?#)tG^rfBcFUc5&0g2 zu4hCBH^7OQKsjD5Q_zy3#gQvmE38b)VlFo9YN}AM^b=my|M1YLT^i@d?x1Pl#wyUR zB@*YT5vvOTn*<|Xo2Bm&S2=g|#rb;!{CpN=rrsXFHFJ&sXbkK2a_MkjBbCI-=7MvH z8^l;}_+onidxrNFG#69ItN9UNkF^}v6Bk_Fs?)-ZPns2gASixE*MIdJ`OGvJK+p-# z-Hu@#M=yF-S!CP})3AO~ach)KtUenX?D>hje&v-nSg7ZBU4I_kshf}S5qzydkI(Cu z>JI7#jX1x#tS!*&iLYCD%yNXEays8cbBS~$xspTVD~`g?DCPPZWD5MJ<6$-TW(*|w z9Xy#~+UC2pqzjt=J%PKQAz5f7J_m)+zXI*)z~R~RsI0?fuNv! zI{AEES80@eUs(qzE%-EX`^g99`^+DLvCL#GUu7EBl!lPv=SOIck)D4#PHzY0cPK@F zu9Ed-1wBg|{*kE=rBriW>{*^Bqh_pJ8emt^wY#pK%ZPIv1vJ(Ph{z6^y68z9LzOp~ zLW!nY^=%^@dVjcf9K|;DG=p=~S>&_mb1|rxc{cb?{I|JFE295h65iL}vLC z6&cCeW1ZopVjRz!m`+mNlT?$`i0#$H5iE2i*GKvtyCv9XYw>H&Bl=LSBf6hOo5Tcq zxR>Lb(>K_D=D6`3e-%--dz{bQe&Tvs+qV6E3*Pfj8*2^fnCqJ>KRdeo{{%6=GjexIN zt?hkCug4|B96Z>&fIDx!d%m{Pssg*rTC;4n;~t0KnXFNSbV~d_Fc|;{K}*TDB!Dz!vpm`k z6D9%Tdn4R+K9s%FoWB*sm0>RCvFLZx?Al?mdEg6N_t&Dum`IaDpbpYs+|G@kv!SVa zUL4ZHa*7;ZT@;Lq`nqms9kv)cu$_O((ejiQb98XY+G7>@UCU>$&NQPl)fjtv>KijV z8bs_sgJxp2AVSMIydUfl%$(I)2D z(Y*jh1PyiPEt4%JnmoB!lI;8HM${;jPz92EI};d?i`{N64S<;56% ziN#%Lv*5SIX*L9QdYkh*IG%mFY+vifm&>*%Tf%o6$#hyA2~NS;`;>9Uzs`MEdTX)w zg((tWZ+FG8VUSKBtUq|EZ`MaNq+DUnW#7^nBsn`!fj2bCMJL6kG~YQd$r=_U%t%7c zRC%thQmYyY-21m7HAm80&etF(#a3!gY`H!RfC8dkx~NmjVZCv?T011G0&taWLytbZ zah|O5M6i76wH4}AxJnjYIX__(`e$zo81E~i)xo~33$|$gV|J`w-H^gRR=&nC!n2b~ z;DEJP@${mYmHl)nm{VCF=8=oVvvLYVN-4({`5u%Q(Ud3_vDT;?v9HE`;NE$)OPc+~lYI+_<$eer{}Zgnb=dvK z|DsYcT^Pm6#B3U}45hqB4~*z|gtLg~P8D2=-ZaP^NDO{hmv;+@e?{E1NrT%NiUH6oN+rV1==kHjw&RNFPxw?YoU7}F`&wPoSx z4$&&XF(2q5)!s#|T}T6KxavijfcH@6SE5{E?^3I@cdr9*=0*~j&Bn2w*%w@fORZPpek zjzm%SLJkLLnkUV<>c@M2W!bfFdy2&Ar{~jnA;M45Yh`3=@^jXI9Hn>a z0jaXy#xl`pH)mz3X}Gz>S$5R%a5?Pm!Pi2&q0% zowL8&Ql?jxYGQw&4#dT3-pn`&W!=QpU74ciF z^Z=9;AGGT*K++xVxC(Qfr&rO)d4vwTr6{@@4vS!0=&+rwlsghGYFjSgX;4*%W+bQO z=PPt1ZZ;Fz_u5M$(9=EikcX@FtU!^GnMU5qYZqKH*u2T{OcRspgCfjz7Mfan^4J8xL@RL`cM|x-g4}DiX01#jRrikA+hawYKu6h z)f8>X`)8T9wtW?tpjq%x>w&_bzGA4&83n%=_}fJ#xMx-~8k_ek4iBhXzh)Ovv_sri zO<9{u$TwNO*=7wQ$qH#ujx53TLTAGY331pciHr6vb-`iH?$A`tEnEF^FoEi#Ld)}y zg^Qai!kRaio$l`N6U(eD8Mp(T3`LKR@RGiR+~*gH<12R%1ARbbU=-A)O0>PopfB&c1vZHb&DE=?vA0IIQ~ zD`AW!H%@~P@WJ+MDdMM7;apfQu7!=EoB}y}$<6&ISBf$x(W#@Hsg;YKehOnND>Eo{MQ4Zv%E zl%xGozFvI?sqBKj7&lJF+7s@+G}YG)$G$m=2qT792vR5FKPcEB$@vI%JiI)tTuvQ5 zr~!&}kPm4%^cNT07C(&f*PDi}pQ>AKSNUvAQss0Mr-D5mu+qjDy z)i8uC)poZ1)y`pd0@~rFl8Z5sHkNum;U6;clGQfOll`J+P}5YD5^q*s1xtoINGA`f z0}r2~^fnUHm_@5gO+Z`v`JRj`an|jJkS_eN;hv&!9EN{L+{iEqzdtXKpDk~v#@8%* z8I$`f(fHXJB7}r`43-+x6^_3#K0xmtL|1c$WZk-pp|1*_{)MdQ{L6^VC5F==mU_oz%BNWk1KXsAZ?YgosT7_vGLKJRpe%8PYo z8gtU*y~t-&cmG0H*${v;`@9ef;zYspo1?nT;CiB zcgOh;y`%_90XLTrX<`m;%grA>ipV@O!;{uVj#EoGg6=%RSk1l@WR}d`Bnl10dzHDD zL&za;L)cRS9fshMmR*xox|p9?y0u$>(Yc=@-*P%m!G7%=^)l9qW3<&aFMGvy)%NQe zMna=`r7X9VhEw7fm*p8e8_7ZM zED7r?X?7cv$Jyhkq~FGi#gHp>8m)6mJ|)*(WEKjwIEon64aITR@$jTbaHqQfogVm%s-Q zKe*02SvtI}HN91Y3K5H2*fSLTpe* zig6J2WTv!vwAx2YJ$wkIt7oEr4ypHkSiDNOwq?jc1E%~QrVOZVtGK|DV{`{CW`yHZnmrMszAfO zGkoV`JVH@{rur^KpQVxzy`^X;_<{Jf^*Iw17=Xf4kqTbFk-w#}>0)lRe~eTIpvL@| ztYsE?%|x~BXV9~jXv+r&bJFaj5axpQ%=}P}y)k5wtgny$g1Qm*Jn@%f)WPSi7J3iB z%^sen*GS82F;fCr?M)I#A2n>tnKSYf%a5-i$glFbyP5Sb2P{yAZ>%K+iwh%RWN%*O z_bhAeH_fyk3@?uGWY)NyCfU>J9wO>DHxyxr1++q5{&HYM*VVAC>j9xT$Bx|$4_0{> zfd!gjz-z&HnKw^A=t8=a4@?x(rQ8ZcDbu)huq0veQ2yAic@3$~Y|KKyu7I|7Flt+3 zSuN$r(w-R72+p1#@WAotZekDsz2q|dxD@*}yZRi&^e-Z+^MVopT8x^vT3g|YG>pJw^)N%8&?Rp+tB;eYL*2}uMTlID6FMlSsmfkNYvIe21rHV?;xCYW)3r{>-#0vEqzaLkZ3R8&S^# zu|)7w0)wy<#$3R*O-SS#O)kv1J-fpX#X5>U*$}|ZO@MkN%<$}JR>`WNL7KX(Wq6y_OXokei#?Ozs`@w2C&h!{UaockUY>4Rbz`V)4LiMiRy_d23>PyP zklbwl{wvB6p0iG2PDm99(W7}m9lW^t0rp*u-3ecXcpys&f16)oOfuzq<^rQ5R_17> z05ILd55dju?&4@_K(lUz@G4{A+KaM5bFWvfW;;UyA%A53E6jQPlYM;;G^&Wk&apN* zog3M0eQ98g3;S4b)tl%7UdN(jfV+^nfqIf`D9}o9`X;q_fV5CQnAdwJyS{@cr?J_3>Z)5jb7!Q5eeQLA@+;mZLFF z`1M>t$E%jL5~8MnKpf-n?TqVRpHA9UT{<|Je(9|M_-=xw^R}Jg#*3H5ZP~Dk=--hr z0fKleakZ(YNdBS)^m$ruyl)ej|F@e2!1$Z8^5x$F_=hzkU|>_4TWnu%LntTs4;Gwq z)FwQaHoba%4f0RE_!kE2n3|tYIstTBd2MYoP1mNr@?dQ3+Covw~QD{QZL&-(?}?e+3$w-D@?>wxCg0(3Wh6Y+Gt^f&nPE8O=3@ zSwT|3+cV6~b_{}8be)Uv3RVIryY&2&(nWakB~(e35jloeaQ^De3w5)d;!Sc+xjqnD z-T)ma+CqkZIO}nXyt|{Vi7ZmkGhT*9cb{j7Q#y-1$`R7LuuV@Etc?yQ!$^r~NFeBv zj>m{~gG6T~~%(9gK0&SA; z2x1*UF3a%X)eDtP-fZyqH6rSX;Ni~Hug7G9kOJ3Zg9!fwy6A|ojk!;4?*{nBPlm$e zlpQVM`zs3YS2#@J)mubDuZBUTkj_Q}wXjIerh15=Opf9M^e}Zrrt)qm<58meuY!U8 zooBFe5ecogpZsWfxaXG4&xYwzq0j6`bU}f5#+*VXagp22$OAVG>1o?#;D_JlEnbRW z%QoNm+>~DPA-=_f{r4DIy&E){aj;zWg9WF45^WmF@>FMX6Lrm?#+i*!f6vTc4s^c5 zLT%k(n^(u#oM)Q;38Q-vLx6)8?kDUC{g3* z?Am`81iF8--eax~d2I-N9ZFWjBtxmO_l2i+^7(AWg|P|2js$M?$9t{?;R;Kl<36^j zD$0`>i|G2l9qaOr*V)~6|2>(%Dt3TdY1JOm;$?`iIn{%*VSL`+?A`?`0Z=#MoX4yW6Kbm2JZbx;MzQ-5Y!v6Lv%rhh5&Y9S^r|NZ^xsI>C*`SC}ot~gwFO+&zI~A z@mh(Qp}C_-YLP><8%jUH95IKBy|_Bm6!e|p%wESfuJx{BQOE9+IGrE|xD8;A7hsc7 z?_^RZ@-mYIjN`%7!DSda|68#I$N$#yUE|8^C9Dvq_0;DKjM<&f6S#!!kt&$ol;F`& z-1S9FKl1a8VB6If9qgLbE? z9{`UM;sJ2G?&Wv=MkHU2i68|jA^gr_QHd|p=XT&X7KZyx$h)i}D7)>4t1c7k%CuDQ z5JIqkg;y<&nlhxZ^Z*ub|a=EO7^R3ED%IgLSXup?#(BUcHY zY6iraqJ$S%_%J4a@{|+wBRq1XCUVUxcP?bBheh=?oQpRV-P( zMD>*I<896OYO`BGXA`hR8g*;Ik^b#gGS=WG1@Is5VyPzf%&a2^#>Pd^djp@95zpD~ zXSXh(Q@VvzB20N4c-9V23DMU^ z+H{f6N_o@Gp`PCF-Py(@L)x#2i@zi$Dm1(ywHU8Qh5+RM(*EoJ#!-DpAI77aONs~n z%161@$2ewP-A&_~e(E3=l}cp^@%(NzNA~zO} zS|qNZvs}BlQ-2zmXkXeg44Es6l*zo0MX$0qS~e!XqtY=VKo)~NNkPAb+Uk@mDJO|})0u#K00d=_5&z8~IYNI^TUb3N z*j1|@FULwb(@6gChj^1x1SRdDeCzy7f(C|~e!K8N%;}R&lv6-`?08Iq^H7+E60@|y zj*M-U6=skKtfmy+BZd9-<>TSwC=n;6ef7_ma}upV7sn(FR;z3fonihAIAk_&4+^O! zO8Y)WQjs<-fMAvCO~Lo0)i!#?V=f`~_8x!>pq{|cEe|1D$yFbrV1=6)$S z{UJ-dJaBthL_cm2$qtg>?P+V9-A)FF)*Y1oowdBdgc>s_F$tAgauLVQydf$7cN9cY z6NlVjzPO*B|D+YzDDoJ>r}M}_CUGw7-id@bR|>woiY1;^zG7r-Xg-ArH_^rd0mfbo z#@*Uk4jM+}^d83E=}07jgsj=tT(Zgp0SgNOqzw213>IA-Wt3;&6L){3LucTv0RIhv z$Wob~K4I0aj=qzop(d_NofIjQs>PV>KjD#yLUe@fwUXS49O~NG9mV~*TK((Gl!BAn zWM)pu7mCQiv^sa}Rik;3PatSlArY29#S_moRJ{DFTYQKqC4`f7}`gw_Q@k zQi?dr{4cBtOFDpz5^7|@vqqul#s{g+?a9s$`OGR zi%u*$KxZR!^G;I|d)x-;wi$3aBs@~P;3xPkbB*DD`zhR-;T9gDt=5a2>bG!W-u$pt zP;q*v&)RD7`P^D5B>mO@#s%c2lEgu3!0An(aN9AK$cnKzv~1jA_&-d2V|XOc7G!MO zwrywPiETTX*tR*bZQHhO8xtoJC)@Mh+x_-`|LDGb?>SX%TGIYDCsTPX81dH2UX5!<^PZEEG%dQU1E(}(F|CQ zSGdY=FVQ$TusC`e3m*%)^!9zYda$_rlGLX+wEC;%(%P26M)nOTdT;OMkNa7hQTA7o zg5AH#+L2G}|G<5!Sl?-0K-P-L`rm~B_j)URsuLZ_f4(`1{YJLF0PW%tcs;I{T4~;| z#4sLeTt~tZ-y>r((`gvmwd2`~<8XXXQAw-Wbor%i4DN zTkAT^MbWb5gqeqUb!Gu{Oo6cFGpb^?m&t^bZ|$t;zDtI}PzvDF$J@Ci!qC(8FL2L_ z7rkd+6ezb43#=w%crycVSpP+nh9Z_}%AhG6z?*8f@|!x=8{XX)lp6CODQuzZ%jq69 zM9!%*5#FH+Xd=uiKBEeEeLJS+H2-qBqhu5{N1HmS-1$2=yS@{6o-VrobZ<)dQvtHFjwPcR>h!hksphwfjkw za#_35^osMXyPoKrIaLjfDxJCaL#5ajfKL?R&~F2bK+U{H)^7WTu#@@>lKyJ%Kf8Wj&GHV;e^EKVp!0kjgnasjdA}C@s{RKFxr>I;a7Spa z{>crgOMJAwN!j_MKEVYwupAVkW`4eovYQ<3e{9VC+5xH!#=A%;9s;4~(Ku5o6dnX2 z%uVOzuhAzuTJv^saxe14*c-2CRKJcEhF+pc`@xK^s!rn#7DXSb}JT0+D&!mfz6|so)DCDb{vnT*?j00UoAx8aLF+kNPp1q#7 zJR;PJez!NYZz>{GN7Lu)Yjvop0}e;cemv?BY5Vp%7{A9VONX#fB4f!8$pKM51FJ^$ zxry8-$TS~)Q0ov`v`eT+M?69gE`qG??-4Cn%t=BB*P;Re&SutuFJUJ4kacVrCWY~N zsYl z0?>Z!A=2BI&B-^g?9S0|*f9{K(-Y1!@zz#an2dYbX#s^(MKY)lQMluHO zKZ-jn3HtzH%}$Y53B_M92$rKDft|nPGAnx^bod()QG^;fC-`iQDbR?2#e(F{6&Mz> z{ijhGp`0q_$r@T|Wh6UcDi>locC1E*0*+L6%4tzy<5alvUrY*P<=m)KMMj=8~JHQV87r{t% zWwYb7M{w7&Y4{yJ)%o<(t9x>4dS(z~3lWynP2>Zz`!-eR8mx>-E3pLgf0Sg*pyo+i z-{Rma?6-q6y9hm}UY$44SNfRVw^M>r=ys>Z=fO0LiUl+J?3upB;5Rm^0>T|uZGpV`_w_L7k zDuIs37(P-%uswKPX_f#4F!w@qJ(3|FbRlqF0Ndq^4B%}{oE?b%??s3s_V*q36?y_} z%^x_2ydGTwT~QrTid+PgW__(eZb|#(0VZo&plsk}B!A$d{VSR@W#sdG;f%@=%YO_< zYcT`X&yYuBSLnV7v}8fEjjINldn|Zw%>G6aY)5N z0MVaKV)h2&&Wn-YF4}&ymLy&iK7^!AGp`o&4L2HQy!))%{@+J89DiKMf#A`k=3p@P zBJOtJ%p-thTrE^4y;-(EPRpsiKdi`dbn*cHw#gcw%fw*~*b#6Jh^*iI&-8yo;P~&s zZj?@!;~b)OTxaaL^sq7Uy;ziOwk$smd%r<`UGh=5Zvl8@m{@&w3i()CYg~@e8a0jm zF+fP~JpG#qFcEjtxd{W^c`>zC0H~lpoplAU1&ZEdNO48FPTKNB-hS(w@(FWV%17gI z9AW)06ZS0Q&m1ibz^g)Bppk? zOnX21kzZB0)fG)K;7H%zs=yb=L1y6lejrJ%p@pxU&Z!JIU+00ERJNj>EE~`vkcSKO z0dx^uwHR;<&PYjEcR8XvwAOD6OZa(XPzOz56?@r}Ej~jnnv6;!c;^z7h5ZVz6zvSg z(nzydZND&Af`()EW=rb2xS^wP2BHbkoc$sp?=p-n3`slTXFuIc@o0byfa~(r11ha~ zhCOB_X0wXx|KBwRz2RUhq~zn&jo~Nk<7^VlYG&PPQb^`tbp`)%94Z|Pdg;mVVwtMy z%`v{v6K58ttMb%Y8MNf^-G& z_)sQPHn5E2f`z`}73`UyK{@65FlNZrf5!82bdHu-V>90%WjJR&E=xdmM3~Tk+P8ND zeWUmBUFh{f7;OFRVNUBRWN3?f-?liTzKBQ{};7T_Y3V zeY;WBC*n}!)<}0G;$kqBtuMALtg0oev115`9~+hVX2pu2tFJv^z!c1cM~xQN`aat^cnu4G{_oW&B)1F&qtIT72`IV>6K3Tg`JQ49RcD#_oUcPX z@C~HuMQh^U609C|>=hXrzn_&DR*!JA8(5-h%m)S^Pmjm14#$k$u4*oiDLL>#6$gtD zxW+Om7iTNJnFedsJVBntxLtJ~8%p{OeRInl+O2{Q=a%Rdf!vO`yw`9!R(tu|dok2l z@X|3NaVlr8uDx`P2l#@DskZ-mTLJF49a&R<^l8XC`;;ZygFj%4u7r1i{B$ugi?ZOf zMr*s+`bc@*lw(U8{u3O-f=$Nkf9x9{8~`3^B3Tq9`Xu7lg;c!#ILoRPmZoFPV0;kBDt2FvoMjZAy981H@Rs+HS1FoNpmW{z7mx7hRx8i<=Jd@8^^9&b_jw z6$_+O;qLyI`H14xbFeGzq8K4WZk?!)n`?skn9+onc&-!2z?S2E&MQky&dGM?rp~-Z zw1w5|KTofMN5+WQiTuXWv^3vNBxINJW?Uvz$El^IR~;t|39lQN{{!@Bn4#{$k%+s% z3gJgpJgS?l0^cq)`VfU>g&p(UvBHEuRMX&|MK7EXEwL61^8Q8}7zB-Di=zQwvY3Fp z{JCK2MM!__uEK_fz3e}N5f>cj;6lo&7dSe7j6s|JPQwoeNL7USc#)j$GjYYL#nZU| z?d9-vb+>8Ce5ySB?Lli>2&1~>QeJk><>MF1U#^ zUto<_B?BA|cD`2_Mxaum&Om;}a_?lP7rjlGwmtO3w49_D1f)%Her%C4&nfklk}~1~ zdqtn6f)rYv67(e^hxW-`<96{3ZjH+(-kgt&L7c6ykLym$8s>+5JkdI907U}1`NE!xy#BSK#eaWSg*GIl~B zmYf(Fu=B!ej0TRxHO$@-L1aJ2Ow44d$~U@KSjy|WpNVcoE)d2NIilFdE4cH%A_Bl$ zG(YZiK2-)vSNJUBWN~5sa%PYg_z7q#WWUG&0(`9xzJTUuY}n7)+m!q-(gu`z^daN} z)*tIGw4sYCbpi%u<^j3zp=f!;S?<|JXYon81plDX=K^g5$qwa@w-T!lhm(=fD(E39 zPFzw*8rvV+@zcEDT7?i5KwCRoVX^QWFDUWIc$GE3KgBvqY&}q55UzXr!d zlb09!d8HU=ABmiw<1IKZ!DhL08R>qgr{rDwd9+VaDflmj1^of8$9>#{x{CS%Ss=Cc zuG3p8C|>-jvYP-E8#7r@Q#=-8^UN8&lgUwMTSl5*1bys#qmx(&A37JE2@eM+3(g9l z6cXW{ybfK2KWF+Bykw)l6ULB@Sc*cqH8IFfo;PtOmiq1*iAga>|2*T-=W@mU>u5UW z0q%|2&B?&-SK z#0qc*{dR{B6moBY>v@ZgC%{xtxAZ~`raQS5Vfl+cJ+3P#IqpE*AR<%Gu6aIaDGXeA z@e;i;_jEmF906W7zb}EZGIVh{`^nnkdjY#tD`deApyc3yt{I<(^t~sWJ+#iA{?yXi z+0>5KBDmM$tG$lyi|Sz6%n0c*6$*qJjQF{6(AN74#jv54?R~&_H#mbFpV_OGa_kJK-{-GN%)K8{-H}BK zinowH=Tpj2Ia^P}_!YHMg6c(>bezj>Jb9)vKz{`LBKivTqMm}CbrTZk@04&7NKgcu z>AsH5;iEUXX>s2_CKXhh``}!Fc$)+qGBzhw5re-)Ri4Ovfr-#_cCOH=X(OYZ+wb;Nk)VELKH3W>`l zj1z(_YX|YcsU!elMiozd=;L){cONirCq`Qv5+gTNC`9+tuAM7X7T2P3iJfx{JzNi zAx^=ccj}VsN(#BqXA_qvz31QRCZ|}E%ib9m$GE_BxC?rQU)dY^2Z&+T46#~w`w>n$ z(>Om=X+%%MC_KPe^H=^IP_^@~EIWrIS3$W#3K$@~>Z%`x21^z#McK(npk?C410-Dh47BdeVjp(i#o;#OBs;MW(g(jOYT&Bc=vEX zXJ#U}c{guOF<-dR@=^B zyhjfaQ!c%-m6sgJGkOtY^c!;^V3tVQc`yNrwct9?r#q;r2mNfvx*OEvVg*h=Qd#C~ zmi!2zn9NJDc^xzbru>wCTk>Pg>l zNRz4`Le25oG7S}$O$ZKxpccnCQ$`b|Za^|r{zE2Gz(n{Y9~1xdE1JKaYs^xbmw4`L zFZf=W78n6Q@mNY3`LE)&OSl;$&eB=q9GzI~(U)t#y#_;zL~C7baeW8Ys**V@$8lz| zy-5PM+Z%r}Rdj%PLZP8DdbAo1WD0g?VLRRYCjHQ~+xP?7U8*_i_`20``#O=UU&GK^ z10TZs9s9dE&vVx3PM84>D`?dN#Ko4ml|qvaG>n^l%!D!;IEt&gpp@|NO;(CeG2rmK z?KTk4$3uiyV15jjnc-T90ZUtR+7Bw|5;kw*k8wC>V(Zwe9KM623-HfQfCtFMUHxGc-F>p$Uv)Xu z5pgg{`Sq)%0zu3!t}tv32zASOctlwK_O{CegL@xIlIyBpL2|;NQnoDJ z+1(J3T~;dw-niUS6h}{>c4$>KQ9V=i>U&f8*C#l|m1d{3VZgUS=#SQZURTo+HzebiHXmoZh~}jQP89#^GNP0|^kK zfMMzv|0KJn87tohQeK{uLE0@1`M@o+;`Ad8scrmUiS)Tvq^5JtfNPp4cxDL32}m?>JIXp8G{?pmsI^GMA9xL|X#^ z6BV43p(%(aa*0OIqtQEnL=Z78R9O)tI!ki)KrZ^BV-rs=jOJ0QTF>pf&*~W_c`GZ@ zYtt1;S_s<6gLa@1YzQWXhr`}1f~;lPO*6|o62Ekcnm*u^I`iHwB>@33r@yq;W&Eb& z92pEa3Wq>C>o7}yQtbPbYzMG!wpOY|(xG!s=gol5Mm2QoxegQbggZu|ZfGDLrc?IR zOOo8|^tWr4%)4|>>Lc7SUPe2-hLXx!(WoE6YMm&nsQ+KdF@zM_do{G`TGmWe0BVPY zZZdM`Lt)lxU;o?uhG(xpyyK-Y`*^7_wK1pEoZsttrl!>V{SQ2P{iJ4{!QhTuy_=$t ziVa$Qc7cI<5=lXU0ccTpvJtRYnw=TQWkcq)nRSEBSMT~K`S0}auAda?spC^#-42hmBh1n~7FdJ4zI8 zhoouf#&+>I6Bi_%u1HZ2`cTarr@<@2?|cQmFpMC8ZRt`*`__(kT;0rlRGkT)rQZ6eu=y{M`0)T9bnx{ zx^<(3%;vVC^^&X0ES65s4VZ;a3ZO#Itp~WF4i1;18{rKqr|5d&_rKF&RoyE$jg`VNPv)g$`Qcm-ZGj9WeqV5|J{^yl z5&Pk2DbFQO4qdm-CRRfY8@eiK{nitQ2~j!~4N!Cl${W%i#yK>Y|+*%FP5I}7M%02+JxMkj5ufD1Q;#cIu$rHu+P*vULaw4zM6T9 zjc#4^ah*;xKcA0ie#y&w-csGIW?8<1OSu zL=Zx!3pA14OgIggI3@Olm(b5}&F+7|tJ_V3FM7v!h z8%CTk14+KxkFmjH*U$z9r=~eb2wc=i#`jUS4ioMz$YTc(p;TlSnC9=Wh}JT&_)>I6 z2!Fe#I=EsJz(E>y-C(N137$_8L14EbS|)|^G7|#t>|ZsgWdqG@tnhP|?%V*yh;PtR z9vbxBEGyW&5CTz_ta7ndy6Vb77&&BkhWKZ+452(RE=rX>B4UG%S95XHs6phT2?huf&LV}*xWfr?(V zch+2Q3r!AJ2W+r7B5zm8Oa9mVT>);)Ipw})qJ`~1{Jg1F#{ze=;h-s0Cj8k7wZiQv zFG3$zb3#n$+^WKhn{z@eV*w6G>FOuciEiR~D@^ymM{1dhu+UFqW+DdscUa#(4KE@< zpujCpG|tw#r)0YxXT!t-a9GCDKCN%fO%5faYp*U5^Mc@|^%YnG2cq;Cz)28PkiBxU z@x`^qs2N%G!u^lY{k!>iU2(xE9dVG%DZ;X)ACqBzC-a#Q;L!VHK&o(4~?Qx>Nx z%i~;FJa%0${>xX=!}NFpm6%mIG+NEz5ySzResHl7d_2JU;Z<#8WrauZAcPAw;eXns zPPN77HOm+e=S8(gr>BBE^E$%1Y&I9Ks77V26vFif=Tl2!9Qfh)DXm&+nm3ZH`BMD$ zVY{}zWgnhS^Akg`RHCvVpO#Kw8$CI|Cn5EVE{!Gc%N8va!~#`g znQNG7R@23h5VY_9;t`UzG_|49OQ#ak%Cy4*m6msTaw)Wy>I#!m( zeez2w>l^tEor=xo#3q56=VdoN3Rq4^BOM4_NF&!&Np8sZ8jj??x^tuWaV&T_M5Rzo zj4)Sm;tY&)*P|xHaO%{uq1hRmF=WIgzYOW#3L9fY$P+oBdMzC&$BK){Lf$r+;|)dhujFFalvkz9x{cFQ}m?)!ojI>(tNzx^`Y`MlctcxBoPl)O-rF<2wOx`@Xf%SyR_ z;7wji&NqfuP6(iM?xp3%V%ZeUG%do8wkNp)ZjEfA1+HK?*ULc!bvg%#sHY2kh}#s+ z?^5W412v|SErROtC=Tsy&Up5wA|c?}GM1hgdrFMAgcvp_L4EUP7d6g1~=7wf=C z(Q?5b=9{Fp4{#yF6yI4g*?j5XHlP9CgQLe4jv?HLF%N0+fA}9Jk6-m`>0aCoId+!I zbfCTSCTUw<*lqWM=N70%swE{TmWl#fKceS&W{J9*{oLDqM5X-ZO{RVwLQx}Tv)h6q z%mA$Ic-ae2K026c5gagMcc0^AD}MTnr-N%$*01!L<|yNgcEo*qI;~4(iqCCDl6JqX zaJ3}3W^lwl8#(DZJat24NBJ0loJ-K*S=0YDGg{ewbtV#-A43m=%ekYwQ{S<)$Kdu4 zpoFW~-WMH3-!?^}qRT!s({N6nks7;R6$p9AFRIWvb{&&|$eH6EY-3?+6ylVu(L>YGj(D~D~H4u;Xp2IxC@J5d}{r5md*R>=Ms#S=2MrmflSE2hf?Qd(Z zM|zrw$Hmi3?_q$3E0)Ckfz^NW6y%0H83`m&@=?y)`vPcu(CD7twNpOvI*}h7IPUor z!Q~Zz(`@SwP7@`)@uB)OGuwhB{CXpnuZJ&PJ7W-?G1!9^1#~?jl*^W^)bfAxFcZu2 zDl0ee#CtVp2b@#F%sSGtxllh_B#N^DQ9ARW778sid61kJ=+*`v(?$!H-CqAfQP=#~ zb9>cDWf~3FU&J6qnJr*UJ+D~McGil5m~kG#@3uxmeib=aoD*Iq2FD}##`TeFjfbC^ z%#z_~+Uie8=rza$)eNoV03XVfdOzZl{f0Y$*Q|ylRE5iz!+6|aNBk+a2pDgHw0AHx zCbaq~y;Fb#3F-^J!CM4z*Y@MY0o+{fEOMvU+};JKC(2>qS^IA?@7vP}%l-UAc7d10 zG7Fj;j@oambTSIo8|6X`M1`!%LxnAts0>6xTA%!tiSY*4 zs0W)cZ<9S}P}VIUhbb$o;)6$otLh2u4sn*MJNXTaH`^Q5BRnYz%R_(8zkFhuH$Iv^ zv;m}t{mjR58y~KQzCkik8GC!yt59~i&DCDs7qyV$jb6`8U}UnHkOXV3wQ#+&F5Wfz zfW6-NEjX75n(K`u3fT$-Ull9t;TIi0%YUvI)mlJUZ}yG+eQj}}ZmRT}&)$x#vdc90 z)ipd?@(ACX*|z1!_G&ymT^^lfxM}arP18H{SJe!PssRK4&c7!ZLf|{TrwpBK8Vcuc zK#UMY6d;lFme%@Yc`nieJ6xnbwZW@095__B6ep8^|T}F$W~|SDnENEhwVGutKMJZjU|^TRfL~p(s$#Dp9N|(9R_%C zt650uUKWRB=B2TxvekR5UyeUutXXCpwRyLqmmcZd2Y}#^sXixIQ}a0lAD&WbI^n$i zcN2kV4rPFDd3)5)1GhbaUhwM*2CVY}hU3|68TM8eyn*=5vtQ*FQ?;V54xb(OR^InbTV@>7#IEC%g zxR6DIj1anPM=5W3nzFrzFvw20sf;lKoRy&kymC8#Fje~Zo6K8b^mM1Lq`3eK+pNl2ZXEI9H{&_rdKN_t#=<6$)7e>l zx)VU21(E7`HiuZOGl%IA1s&VQg1HjL+Gv&A^m?@voq_Nf6+n9?WG8y1 z+R@ODaZ4AOwc~@8e&SUmg-{2bEK(S)t3kv`zVd=W9w`*dq*}xzDxb1?swXw-y zw^qv&0{(*Q3*@WXjfgI^yxC-f&I_!dqcIJCUVrT+QEkW-H}%K&kuFHZ1X$h?{wOn) zhP#h{NYRt1ZgrMrx1|RsH{y1lvPovQ@RyU8QGIiYWDXb1=hxQa_DWwMD~Wc7pR48&K-36lKPj4=KuZKx;li? zy{1F^NMQtJPbt61WO=V4X5J^aeycAkkoXMfzee7&>gywV#Lg}o zUEI&hsvd7J=etx&%{1tCea7j@-pLsB72*gsk-6D!_PXt^BYk*$X3KB8f$u+;SkdSu z4Y}!F*Io*RHu&Yf=guXL9kO~}r62J!z8-j6F$Jt@uvcrZSf&jSnW*=cLW&P{#Kk?N z-7YvCT%xYr$jJ`_-w3SR^(WLjY5vv3b8^kS)X+k_f>c-W)lol}Z!Yx>So05pwcxZyTOv%0 z)6xcVIi{0Gf$Avgrdm9Yhn$0sp5HU`_%IXXpDy98&_62LH3qVac`nuW#KVKy7Z2mB zXV&k`srpm^McNm9F@Pf7m-ffeVaTEM6>-%0`;Y`Uiqj)lQfM^Si#BAYdIT$Uij~qv zmHXfzgepT1rlx9sqw=A}hH&=su3{;(`K&fo);4_6pW!or&3 zC2an9!!>K^3*DR5zVXd21aV z5=(5MZri;Xd+LV{C0Du%Iv7~0Yr%vRd1j~)4Ncx~q$U__J;*}6We0%;iSx(3NoHFy zw={p>VkhGam+Zng@$8HbQM~Z;C>~<_gtb4tG!>h&(jZJO>0?LdS^oac{ciOFxX9c_ z5pwG-rVJ|c$R>YQ#!oa_Sn1;KJx*yk5THut?V19nxPmDPrvM4=KTmYTP{TucvY9@y zq#8e;A>(g&Xx-9rw1~G+8SiSd1>gOIt97tQI-Bd?6tK$W~1UV7ha@;Iy+&ovdMk&ry*j67dSfSU6!J+*f^|NMSw^nbbyR!BjN;!4yO zI|W!U_K@TDZYCyN4ONjw8vAggo8?*V{>@nwX>l*&?)$qVNW(~=IDCtcf4>+f9MBJh z-Y_sbXTcEbon#^o$7ksHmOqro7z)^V!gS-n{OhnFRzr+{;B(7m>Gvl_z856;ud88I z>Hp{=C2Ie4k@~p}z|FkUw@MneR8j_Q95#DVg-GiO*$zV%e}SCR;YVZ}ibLqiY@PKS z{~J!+C$s2_Ip(;hn+Ko|$RMloY@4^46?R}Y2A_I#V0vCVyX)pgcitwt9_C(E29?-J zvjbLP-)D5O+UtdOs=ykJ>WGU5ChY0{tukMjyqeuHE+ z+zTB)4?_v+!8(gk%kBuN5XqjIYvrbru^l@;9XlgHigN0)&m>zl6x-LuJ0~#cS{6Sk zu3tZMo=F0Xe&&+)no(@C!ko(w;p0@ z_o?rywnZahMH;A8q^-)pmVjt9Lec?>5T=62dVv+mJ!2_dU0QSG^J$DyYPl{7zTW)s zw>oY6t+jLD? z6;UHe0=RP7lglVx1XAiqeQbAgTVIf+c=vI4Zu#0xV;%3$_t-JVU(ADPU`yt=Ux`d* zDU-m3<9)1At^XsC`3VFev_ zrb5w3FT3I4G6f*?A5LDpBGUj{s>Dd??Ub4y_$SIMuwwC`;t=4=490$IjIca|k9^X_ zXE_6dtgVF1s$=FCu~OYVmeRuBF<_^DLUV6Ck-CeMnA`6B86VY@*gaG$q1z?&D&Zrpi+AM zz$DpWFGz_x+}++T#QUbPFD1+u z@dqdljaOKIErZ1Pf2eyqYq{1~dmuN<5B6JZGml>8?3>iBd!i6}?r~)yB$*C)5i>J# zzwfahjv1)^@2UZ9uUM#0e84iUK#JP+S-^E{$>P~>g*jHcJ*4?(4@nt%!~G`$jg z+wxYexNN$sJ9Nndl=pKHTlSG%;=-g}dcMoojGt%yz;=7Oc-VfYZ5Y8L!Cg$MkJS)o zP^F{gFS;m@Hzqxr;ZwQ4CR^}hJ(xBTGXo(V&rh~LkT>X77mB0W-UHu!C+g* zd>IgVZTGXBvz-xqxgz>;wCP zd<%V)AMPI_8g37xl~~qhpq-qIh5~elVJzv=+sD#EuwP%1)<7}-!a&aVPIV>N0jM3Y z;!-)LSH%+!q3H)Mt03Iki)MAqd&`YUH=R9FbStc~3iZgOL3<#Qa3ahf;)2GUkQV9a zT0m^YGA+LQ;}0uNj#4@&^0HTUMOM@ef0;yO-+6ZgRW7|c;IEu8r(WMLRXgPcS{V5$ zg1Hfwn{}Y26|`gUpfwO9E;`^e>O9nHrJ?vogef!u3THQ0|3zU$sX$Z(AjUV|8{?r z1X*J7ZQHbtI=E1^oB3N)7P2ED7$UZj4?lh~yLjY^ph>AwnP` zur(Ac%kQ{CYdgSH$AJvNZ&rf39J)aRgTOp0*$h7;d|MDBk$LPatx? ze)2Xo2laQid5KO|rmLy&NX+n<9d$=L*v*K?&pn0^VMPC4U>=aBe0`xyCG+1rIUs&% zaV78-^C~Vo&mpv;h&(kMf`YYf*AJ47_AcXa6v2zGdz*?Y9g*h;ri|`ap#q@Q| z*{BBIuX%X`N7#a zQ;yBfU#jASubsooI9g3+(>$5#OF<)q=|S{O#1AdDKGn>gp%ccy9G?EaSPLXQhrRAn zp12TbMGODx%#+=^gJVwwP%V=}w2z2ZM}3|{{yKE*4idL5V)UNS&R)91WQ^G{WVB@4 z!L9th0u`hRZU!EosM&g1%mC0iTr5)&lmVOd#^=B{khkcFfKj7#w#dJi#85 zP=U7_zhFQm=x}T{^5=LV9{-4HrL0^z8e7(2!qHjNU?-u*@Pay&j zkqn%X*?&`JsJin&7+h{b?_!OxW@O9#=&$*H0Jp-I{w`w(pbkLh3Tn|FF+xBfw2&;q za3Tr*9SJF*%xgpJ{C9x=jWD`LS6R;7admiXug!<8s)KQzFkoPx{w`mc@nL<_cqK&L z@WK(x)_%uEyKvm)3?(QH`#%vggP7>;whSBHmn3M@&+b>(Nye)7qpEJsSRpFJSaR}y zZ{$xdDIP!tdn2f`78x21Ol{3zTUESZRtMm0W zh*JBYj=1KLF^t|mdKEN7^_)ISb zC1{9<;6DkO!{yr$?l8V;b;Gn(d8cAFAwmN4Z@i8sA?J7IH>YWNfS=tp!NE+W#F;KJ zGh6;j2FKj`7?h84Y#hA3;q-vP$!prz`_15*57P)X9bnqPD`sLDlj0N3$*TV#w61}> z$`amsGyYqZ-aw31V+9Oj1v1mBiwwCGrb4Zv@o%9+Mn=@kdREl$+}FmBx6JmP1T7>S zRQ-?DyiVNt!|k(n)buj{!NzWrg@Ho+-M|%SlEm1IzhxDDIf!N%$`NUdDLDhY_Cu#$ueh|I!6K3#b-5-||JFXzZ1m|3A1{D+9p zK(o_ik-QDKe1h*o0x1!um^?BWchdf#C=7>72Wnbw05z*Ul@}oGnv5hgQ~*{AFdaNW zUnWI4Dc5Z5$J_g=CB3`<`?U>-2PehfuS*D54fj}DD)fD)_xQ_0EE#2Ts9eNJk`=DY zkw=GZKzV1yv+E>;`_bT;`fI%Uek}K5mx7h$0%ME57uKQ{8~5tmtz0#o35JgN9YaKB z5dGlsSiwepKHuj**ai;4AGcr>PIDK)BU&4pWN?yEoClN(bL8Rxog};|AL_mBHH>b^ z>j+PqFvbX9Ov~)OPb4c03l^A@m#QPfXwJC#?@KK>4A0#7q_FveCeI!+Br^;-v&V~! z`ON#ssjgq3_l4>(uo%*(lwk7)kr^Cvb&O1M+&ipi#YCk+u>97WSq!{mp|!J!t0&~M zQEH*>wanvvLHmQT0_G{P2f8Ere6h%??`(KKYeZAAhNg(x09gzROMs|}BWv=8LPRFw0 z!=jo?TRHwQR1YnuY76xx)7hFGA>;9Bm`xyE9%)Yov~-vM*{?|{>EfKQeKj%CM- z*5R-2f1RrsD2{$q1?5T?qrJUY2yTBwDqjmsxFbb9Wo(1{TGRx+Wb|*b*+z49eJ<7s z0?GZXb?`K+-T-P$z#J&+K{kE}C&mMDmm$HcQW?)sht_%lMm@AESc*MzSluM#LeDdnip4m`m>q z_ToH`kdJA-i9VE=gmXN0oCuRYc<5L7?DilJweAIWK%Z)8nhlXUfE!c&XmBjQ&?HP4 zZxT;LEFluaTeb>;)dD%vagyOow~ICl!a?bm<`UhzJZ&?1LDRVflbo$iLmYg066q6~u+z=4=l9dur2BaEhSP zd04=XlQg+3nTUmWS+lK`x~G7>huFbWz}~FCij^U9&OS@=?6HjbAGqG(uGqG*kwlT3f$pjPInivziW83!aZ{K^K=k9aP z&;HY0tGcRI)w|xRngY`pfd9B@IZ9q2-*E$XS{DoL@?XL(O6gdnk#^9)nJgBR5`7yw z)V+lmlul`_DRl`rHzQ_|Z2Dy;nDwwNJ!q9*-*j_5X4?oq0 zG|^e;bzDOHCOy=bi_8)}cd>6->`4HPx4OXld#x8g7wi+5sWe}@B4#cu@Aev8Bq)%3+L zNQ9kDr$5H-qj_e_W;0~WAWz@#K25q3#i}-34^jBM?-2P_lAZp4?RK$B7F*+|=X!2>uwg4a*4#8_>&y(s|>5Bl#Zy;O+)BS}1l$cgAJ- zj_=eBXDp;Uxe?|_*sOxp)FT0g=E$53qNao8ze8uBtsy@~DJ_}4!ApEb?2R0{zrQrckK;!y| z$Kie7Ptao>@DKMi#*cmf~c6-Kw3`DxS|h1@M|gTDAl+@ zo6EM7gL<#wi~%BGY+(>{?-oASO9_eXM2uan#SB(&Hv9I!|L?8oj)8@x3P4Lqm5O&B zJbPF-yIrc720+0}HTVI)J1-Eiy}6x8mcNSc>xWWTcPL*_Qh`i?$8NmVM73@(VKm4Ki=Q{D(Z8Ne7ueNFVvn7iqE!v#&_RKM0||Zap^8} zt$b@XO_BQ~5G!I_A{e`Z(RIW7G+^>8{TjKH&!FP94DRJg(jQ+9+^O6p)JR@qtN`EH zj=7)U2CADe8`h1XPwoLPdC&IEV2Yvw0{DYroUVj9zXRvptH%pIFdbsM9OHk#{gsh; zH0RtA+`b>5K+Rr;|n3MAbsT=qoO( zZd)ZcVca(b{sG^k8f3@idUV;ILOS>&)ccIX0dLnSp>yDB|h<=OM$C_?sar);pZr6N6HZ+n=8V&;2bvBsRwI{4^yii+@$NMq-PD3?NOKxQB z)Z$b~Ark4Tyl!onHGeVpm2l3Zlg*c%F4_Ddi?MtKlUBCLT-}gRzFM^=D3g-kR`UR! zbv!37f>CV>Ro7us*zNv1eHHe3*7GWwm^S+ppNtEULzC@nA(tOD7~=tRgmUFjf$&$y z=SZ>)`L5UsDwiQDu`mdQkc42X@SDclwak*DJTkw~mv#Mkdq$a?IyL4ETIqyQOmB>W z=G73gDdo=B@4e0X{Ndz6p+E0CxjrT;;ab!`ueaYS2O2nWa5#y@)~WX$nB&iEH*f{xqeK%YX89J{%wN>zQ<$cSu-mRwKN2CAppRHO{oHJ%&DVbP6d`j-- zAJfd4NuRtz*%0^UNfZ_^E?j_D zdL;>F!#FGOmRPlg2Z|Qp7zio97UcZR6HrvHMl?EVA;47e?)_ZpK&hpT=X^V^84^7c zV5uA0@QLg4Fmb4R9Ek4H5QmYFz#Je(q#eR7UimH|ArVcxtV~HO0V9zcV2*v&+OeKn z(c!W7dIr_ez;@Zq$LI5Ue)jLNZG+>NF-v50!m`lY{V3h&;Q?CBJ(ouJt&W@H=ALd_ z;N^gs+31L@JglO8g~azunp%8W?30tr*>+-jv)zwey^LRW0rFHMzpq)Ekm$=$z7zDe zm(&)Rxpyq`aJ9UnFmX;8`g0^@J<5n;Vdqfy?;#`v7n%T1=pYVzoz&Ab#V9ok+uO4Ln?9a#!Zx&AKI^0TJX_IV3!Ml6Gz?sEmR|U zl-8nUF~vj*AL^ambxa-R3;m+jf!a{rWz$mkFc^j9C{6>$qF(B?MwjGy zCvV@dFitQKL;Db;GIjvnwL@;*@}nRZeY-okXIvJ?cQNt_iT#%T zQPRHBsAeHA9EimoD|h4Vo|bO+N>szIt-*Bh$*o6kh+Yaf*pU$%aOShyddg-Bqa>Ih zhO974Gxqk8eusU(!NoL|q~@ySVPRNJd2iPQ-L+{X#k+2aZmR;bv(QeAttd{eaDW?& z8)iqI7AOe{GqWF$Q~1ZQ?(mj2E^iz!mcB$UA(kA}SC=opu?kp%29fsY8U3fuC5I9K zSpcg{m#|*RsV>+BlsuBd%4URBfqU$dVsk?JST( zj|(?3l_QwJB~bR(l>%JCG+qBd1WsPv7Z&dsS-pnweo6|gI~`e^6TE7QMdR%oY3XTh z5}vK@fi_01En0#*+HpWLRG(??ZFV}QIw~aQ8o&JcW4~SH-v9NuNdGQ368Id*akR)= z|5T&c)kr&JQvW|7x16T)_I3y0<`cTs$8cu;^n0=Tzzd5UL+563*fzW{Tz0HRPodl& z(~IpDXg?U=85E6vkFOb`NN3U08`zupXvQ%5u*9X0Dj4=`|NK5$9>bPbUy|<$xdMkR zm+NRdw^DIlb~s=%)}Yq=NG)JTVZh7ijg`-5le8Y=;h-fX34QQ-IG)y{ToZ^acHGES zJI0^VI3v)c$RjsJ%T%n-1Rqe>XbP8(BlrxY@N$KV!Ozfz4g@n27N3@@>_Hd?0pBj z<7h!kR9&&=v}O&^-hu`tw0jPLY@ej!08NwsC#IvrBM`@a*My&XI6pH8r}O4*nL94N zf(ezN>bSn`@B>4I9yTGP<}f*GGga#e;% zqPDPdiR?6?S-^pgouGlbE?}y%l8E?OygkkBD;C%#73ipCyMnKoYyK2DeZ4fW?p>|h zPlNM^@Pr??IIr|_o?N-|tw@UK-rmY2S-<1*-nDIY;W*7WRyv1v>fw*a$hMQM5i`Mm z?9p@(Xh0kiEMbZ&>O(I0&OJ^9v=SVt;V>Hb0ya?0FBy99=4#L&1QmG!c~hYCQA#= zvukwrPgIZ%H>Z;?8R^kSDwn0LA!D^$1nelTv%2Z+7~C^4_O7^_nKO_>_8{qz>W#Oy z-W8eH!^5a)vhUyvvW;23iNhyI7Ada2ukx)e6XlU_#pNU@+r((3m+D83Tk>dv9IC5d zIn(g1q2|YyBfIv0b5sq^a>6Ee)zlnk__w_*X!{AuT1((+{7BkCephBC<0bRGoK7F6 z>AuZN@wP&1uY-iCzIV&dWFm?5XD#D!y#{TVp&|IVgv%R#9wM|+`)Bj+wA^IsC>=BY zGqxK4|0({rF`OIG8`$J0_eV}setz(AFkIvdU2SU6P}3nFrP3@bHP3n6E3ZlD!F8pv zOF3}(`yVgNs-K#i|509{Bso3t0=}^)(_BD%Q|awxeO~t4xY?1eQ)tP!k7YSDyqoa z#U-TYjKCFArWEOINka=V`N^x(>P@eiK*QMiS9BvZs00vq-Zt}rU^Hx@`} z2|>{TDm8l1C^)}0m-Q~lQNk(?qiva22n4=IrdUWj=pq{gG;2F63x^vEJ3xMz+m(-U z?6B$Ex6n`Ti3*v{A6)nhj(6_akqu}*pBnQTGLKGD7nZKNen#h^=E`LXo$Y!KwXtti z&5R_4L~Ozl|FUly8-3{P^_8!PQ3TE#N!h6ZS&Z$3G!+iIC;rv-+0$Rs$vcxrz8^xp zgxrUEFZjp=w2>cGlrdjPtYC?BUYQ9e5WS}1CjIwm91m9E)(iB}`i`hSyuL~tb^pt| z_eDk33Lo05fKbK(k}cWEYBW+0c;-ArUM>l&e*JJ?pZU(EfCWAp4TY*(Ce9mkCQ5s+ zoWc$OIMHr^ogejs;5F3vx%@BQ>7zrX21i<~d+K%2o5Afx*R!mHETH`)OsYR5I#Nl7 zCm~VjKEpYC!>(YSIvPm)^9>xnsTJE%d@fCLy{%>r$76)_bf2#Kf`8rKIwAP4`?NY_ zP$oORPiKVfwZzZ>vs1+^6QQ1#WRhbM6rnL;;1f0IjRQC zAGK~x_}hyp0$Y$~OOO0wF})u|_2o#9a@-{AX&+&u(?!@VkUWg~VlI-|&jN< zT~wIF=Yc4i;%;H8MDBZF?mGhchx9>o*N77mSkUHZtl@XP_k3bJjB`PeHTw}^Q}L=|7VvP4w*{RjJNe6sBW91)~m^9qXUEVB<;CLQVcebd32 z#=xJ?$R57{5O}%XD6ii_DqFiZugqM_pYgBhKOKL3{EY`ZkBw05?f+e%0LcD(h;Iv* z77vm8^SfEgSe>(hXK956c)9UKES{g(o!V;lNOH*Z)>;(QxkDXR9*$U@$bOY zYB(paAP2Kf3P&{fHygJY%m|8*LY6P|6IsFmD6Sz zYQpfe3TzMhNzzc6ylsHtzTGh2@ZzvP|77nF1a2ZBRU5leFt(aK(I2>-x2v<|g0LcTHPAP|0rD3Tn@Ck1_K|I(i?z>NXi8gBJ!`1m3ruHx+5jNY=fnD$!% z*`@;kWpK)IyplEmWr^j&T1^mM$63ec?_bVV;09C?l|HNqM997Ge2;qtrJ(Qgpjpo8 zR92AM#@0z(0@ithyqS{k(}#*D&SY=joNKN_>G_A>1N2u9AJ!LBc1CV+?Lo1YkHUvN z$l%=4XtGX|ve^KMY+HjcaFcYpj?-O$>?EXN^>U3V<94*~zU3@SeDh<;=Kb$?FhR6S zOctzqOH6bPT)+D91~mMy&drTZFHUYumN>hOPln~iu%R0icUp9RLf*R(ZQ9M~V7qOc zj*(B5Qs~%f7l}!reB?ND!f|=A7@;nwk#UAUj>Fw;IFUsGN7b#znPs&V4aj^6s;Ksy zUZCn&_@{%L(=(miA?7=`_UcKZN0S9gh(3B7s}vJ0G?RnVTX__$cy6AgW?j?Jw484* zX0}!Vt(}DoJS*C_A@^dIs+|Hh3X)7B9bH+SR`_t=TEv*T^#glEe^3^N*gmI2v5d+;Lv3Y@UhIR6S>}aE-{f}HChx6*Vmgj|4DnrO#kXBa@-m3-F@5FB%32+kPPi) zI2WA^`G!_=V@msO*A87^@#>CT33t_%#NMa}zs@OqIWcX4c;^+BjtP|3M+NcN= z`wyx&}@uS~K7BL9D?@eHm|1b)Ps(!C3c3ZWNjesA)?f#cqZw3OCNflN4Cu z40g6-!pBZaiyop0IZBE({T|zza82ViOmeSKqEy3Y#rBA&OBp`+rLpb_!6c=sS|@*! z42`^~zx=FgBqP!T@Xkr!O_WWIYMUtvg#v@FD93N#UPLQrG! zVY4Z~J?QnRK{!-Ycx7gj+;)801g5p?EAj5P!q8E!#s7gYNPRFnvBLNYXf@tUajK|N z5zC80R9Iv-g3_fiF?QGs~YBn`j zcXsN-Eh;NW@~(=o8_Qp)tXc}c*n!LCuZO_Rhlrixmeco(jtkcnw8-@M)i>?sc54w! zdEviF%0|@ly5ec&x4<3xr{}M<97(?|E-B(DIY!dzsCCuD$(Wc*fY#$(1y#sS83_SF zZ6w=$MWj+fK|g|f{8_DqN)Wq*rtilSV-p|wcJN@CIQ`*I?ZJ!Zx$TDLfi&SQCDkJ5 zP0Cp+^z@`KWciQOQ@xOC;h4>7w%o`DXfHIxagG59>qOv@tqf^2|B8iV1*Rr}_XP?& zE!x6++hQ1)@B2dyeuvn;p4;h_JFdYeQ;jsq*+tg$a<4szj8M^U$t!IP{$9)XIx=@J zInr9@Ug05NyTJoZYjfyr)`+$X<+u2RtEu_+v*Nq{84?;F>r&DQ2jI7b$3S7qbBSeA zECCud-37M0R9x{fvE^2$6I;NYiV`Dm_=_ze^RML;W7B`yn*Ln(l|y`5=jUvo7D!_( z2zTX2izx?AJMB-9@}5fn3TmPv_|ea0h!~I>!0nnHeH%N5xU|@!mOWu?ReD*jfh7B| zE2^4^YaxHz3Juq9N!e_9^7{oj_bkhLV1~l90ol^igp3_MW*zI(OHZHYoIF?E*BjoHBd%F+mp z_p^mH8tFSd;2^g<_0QAI_($Vw=VNY6v=KeOIUS$nRQ^m= z)xh)5l}342|4JO&Vc!Ek9kqd)+Rpb9g~JTMEl={u2!3O*3!6fD8m7U~duH4{jaE&5 z;?%OIg1{B8hV^!=I)EJ+E*u_uw$(}&`7`mlE#5f}%xZvRxBEJX#GDcLC}I%M9FOEZ z4N$Hu)l;kIn&To*PlS#mc3jiX$AnHTVY1N-eTdEc72Zzn9##ghY#R{A1J3BC{SZa6 zZK4^N_ROnB>N+zjV~gGRx{H!z_GUn-39pa0jnKu_z|6cgGz&W*O9mrkpdcrAm$uN5 z_cfNhF4g7e_>i^XQFKAYo9r#EX9_zB>7}u8!F^naMM7@XN-=_6Y-ihkeMOLL@0IMQ zusnrWBmOWZmHuyYjo>!55!06Eg^^g%H`uP`C~q5Cbq|v10{oWae`CD9Z@->a;{&Ma`q+fCILTe9-D+zoj*rFcl-<$pd@popCA=XTT771r) zK^CGQW$T~#1eQ-*r8GIvk013z_*_EW6}m{CY#p#a2}gS3AujiNI5?L<)fVg6W48Y! z?V(I_ck&C!!)|tF=_YCHg6v&Fr8%KG{kfLf6#KQ+8qhO4b_Ow>`eJ6Ct>1;bg1ZM4 zA=>x@@_`(z0w}(z$Z>SRFeX2pTL?EJ3Bx)b$X?}6Nmc*SqL0Y}VVFNT`rIB=KYy{H zNw0)Yyr5_8e(Cp;gbiF1`v5Ph?jdv4k|zMK2m9ys%4g~do0cCC?M?c6U&=$Xv!eAI z>U9)5+Z(RkLDjkT4;oq5ZMm|LkK5d3pLdqvA~=`dMg7L{PP<1eU25tqXH~}cl}2!S z`v>02iRbaP6tT8P&p+-Y>aEhvYx93$sYAaCJR;SLdTn)MimPB$Dc$y0skGI-I|cox z>XrsXc}61!ADWkY(?l#u5#i=JQ@_&YYl~HnM>1JA1qQ<_mhRZoe8>7+D(m6hye7Ztxw|MEbvqdUtGGHoX!n9@pNR zr!)zc6pCP37X`&=;h>(B@FPI#yzgcmrU*ePu3-gzK4@yv2)%35LeE&wv!{9AO;m9g z&lUz$)LcQ7DL0;STQB`CLf1^i^{tSxE7LeMPboD7q+D2PORwf|Q9 z8zGi9m4qEU@6lq9{G6G?kCiR|FTHG8Q*myPrESgZewe4e6Yk8(lf$JWej~i|#N+#7 zcuykJ7L?-&Vt(<^kHUbXA92`nI*7Ca5Lnx2x2X;|U$hn&WCMDUvsl#U=>B5JCH<8K zKdOYMtq_3@SHRfzq&-ZFM}57OWFaN4xD9rQ<I%L!Q_#y#HY8>-*~xE)HIlDDkE>f# zur4%&lB@5dXozRJxeKb@`mK}+7F8)=Z>NFyQ+^_D8B(y@eFaXbn$V6N2d{!O>$TnE zPblBUn5Pai>+nR0RB{Lu_#*^;ij?w7Kbi)!i2y%>=X*M7Sz_s>tsOv0%w2?0#ql;u z!=4xPhu^chACE0?eCz4II-Y-&A{-ZrzU#_zu*hs&LJK-joobXyf}t1uW&Mei!$+&Z@e2}l zgy$LwT2ay->&>p=lDxfVRiiZ+x~YC&pw+E?+je0*63FVQ&#j8fprF}i-4Va}!NiSv zbhx%yiIHtO5qqj@3L7257YtQ*VuYWO2fXqMTM*3bM0bq1g`SzR9yItJ4ML#9ua}2O zBAvc(0=RZw>JYu#(+AkOD4~4C^iR0xG};5cZ9Mv!g>!xfRxlv{?oNgNF^t7M67@EC zzhZ=p0ks$4GZ7xI<9SOqO$>xi_g`pkX-<2{9eEc^=bDsJDvXjuisnYYCvIQa%JBoN zm8SVPd$PA|-3#i%xHa(5pev|gqjIm10KUx*+Y<%Cu0>k67jhH~&MrO+i!wg>>eqTG z((ay)zrv@d+34wlW0T4BvEA=?H6<4d*V!yYn0Ou90U^h^;OUGq-YGpNHW4Gg0CC7< z$X0*v_O};lAwPjtc)bPOph{Z{3{z2LW1$I14~`AKZgaS zV`Qv@xbwZc`xdODj2Z!f$$;XKP2G1nMWN_9JUq&X-qFdI!)?)gi*csG%C?W8Ats}) z0CN4mblMn@Z%ig#56O)M%G1_=Btx}UW`{CVaitS~VpbU3OndePoQ9gteUqp_>v?>? zc&h4&Q4I_E`f;6A&jieAudlCfG=m#DgfW1nWywQor@iCD#1-lMtBD-e8L}PV2f=%+ z^M{+1&3U%F0I8OFz-N*{_@>!?hI=^+M%1wKlgH&iwOY4LI0#ak?WkG=&5p}}Ek0aI zJ}7-6U*vIT!uD50vo{UJp_C~zA%$4cg1#)B4@ofymXeOA2g|UmP!jwBzz>gM2##t!kuw*md_;Ko_zW!-3$+><;8t0|xWnzlLtZ)U?#~d{ckf z21qyp%eI935@xAi@&`C|Xm0eIHk@=Mp7%=}^P%hP6F? zRA8_gC9iH<$Q`u7?Bsb~>-_#bJ4Z+Nav@44-=PwHONFBsIJ2XrUqcK}qA4D-B6^z= zH_uf7N1xVA4(8kLm^(`btUtY78Cn?JDTa8u4~Y3+soy!$;E7_l5eTe^b!H6{OTZIH zBrsIODbd_VZ{OXDP@GOK*pmxeZP#m^FfKyE);B63POVV~C|wq<)R9!hQ=&UJ|J*cY~%Z3B1apm!|-liG}=^4~P_R^bdd1fYZ<$7D2aVT?)_e{on3MoyV)59+ z24}GaX+ONI8~S6`IW6lKOV`s*^>XdqHr-0W&CSGUDv#PVGO}dCN}O@zif=PWoFevH{!qAWpbMuB1iYW~Zj1YT zMSJ{R@}s5jsGh>Hbv0#EX>0!(?y=PKZ+6I6p*|1sinuW%S8Pj&OdXJK_E2LZT3qOd z509|HCF>ZQ25eLInL6V*qdP1EJCZZ9ZQC~aeD0TN1WUMno$Ll9*IJuz@6%hD`U${Bj@DGXCjIF+lILi?T zZ0@o&ed{P@P^yhIaKGl6&w^^I@+h5(wXeOYe0AZ-Kf#6H2f({Euezd<@|lW0Jh<;* zmr%|TBZp5N<>?(@j#o-|i9DpadzW&0GZhrasW_JXH0%7B4s-wRAJx;X|C3(NM?oF~RzGx8uGN~gq*xsWyxViX_w2$6fP^YBy?+0~^*d?OhD`C2 zJcTKw$qr1E(`2o41n3jyTh}$Fl4cga8()r&rdAZ=Ne|jo-mO0_oAPgz^-WJmT3>lv zq^Td;h1$NMb29~a?#G)K3l%M6z<1w{66R>w%aX*$MJ4!i9>o(Oc*tgZpzg~akn*oZ zi8cqItdVN6mo)8sw>~+qb6tB#egWdUOh7V_TFCi4e8@47u2x2y3fsyBZl=8rYJi(6 zIVF`!0k#<&Uw{;8Mo~Th1I1et+}i7ZOu~!<&3Vt|03=O!N{WEgzwU*DEaA2fu=tq0 z?X3T*#nX6tP)i4Zz105<{jLy{k>k5YYXC&<@l7)g$o_-6;ZxTl84JwfS3> zFX1AvpjGYHAq1F1sG7;Nxy&FL*RD&Hd}(#46lELmQIGSJ1jj9;Mj^5-tVG2E|h05dEOd zjKd+a#3ofAP9GJ0ThlrgdUcqNw*&DZc02|ij3Is36_WL2xb8b2A1YTu4VtzG+Xwb7 z-PK<{mY<|1YOq7@mddc*pr6Q>gTumT-K`8DbrjLrC7ybwaC01Su>)5xYhX*2wfI2ziPHPW!Kw2*B1^5v zVmhl0oBT_Z?wx4A`w$(ayL)Rm#&D`R{$Jyb#GWt{uwpT@y^jh6J!`k{U6givj4p1~ z9^>8H>1DuEaES2XoagBdJW*}@OR69DjxCOrq-^AT>@Vxp?S|Q~8w1f;+2KtGm9Z~r zc@5*IP8l8r?YduQw*60dJ|BL~p-)ZO|zLNjXoF>4u9- zb|`0M#4Px~RCjQ-skd`opKxoppx5QG-q#`Ox2Ea&3;MG?G!&K=Y8rjZ!a6q+pC&x; zZM8u02~)zldHFIT|2y}oWo=^Q+l@TBL_0Szk{tO0Ukj{nXFDNPuE^!2e|Md=ir$Ya zr)Z}=#BEMdxoT=;0sLc{CUnIA$R|c9T3kXBwgz=PEMrfH>~^?4kQhoo&-$ z|MRVIkx8kFC|Po{l4K~a;c4JqsGj+rm_c7b9+x44KEXcRU))x`vUPa*U>oatK$cDE z!Xk%TOOQSc(?rgmggUtY!v8}D(qj2vzS;EUhX7+oaU9wav-J8T->r>|IsIskGLA9} ztJ`7$0;dd<^1p57^bZWgBo!&ZAOvrp!)iE5tBs@Iw?rc%?Ou`pro>_dUqxtS3d_{a zMuQZJ{#p*hJPG!i&}=&Soee{2)?IlHQPA=Na13U+b*Pu*nG*JXH|2IclMBc!0=#P^ z|A0cYuXs)~7OUYl%zOnflU#+(2#L7v;=QBfONtVcmAVix8Lc*{H1IAaE4honsMchH zG_E;3S%r;V5V>m)J2ot0h%dAzuIZqm=>Hf0Y+ZRYLkPdC?6;DT5aGvgakYB0{qPel z`!^ugDt&qh@Z*m832ED*GES#qkk0p#J_!H^BfVap)7okj5=I6Q_)?WOp-U#l<`Vmy zYetzX3SqNA5C9n>3yK}e5(3DRyM_V$k`DYr%aP!xi# z&$HYwnwT0m;Yw#i=p2$ol0{Jhi_0c4UGMI7Ca6Ag#yCFx(F_m40-Ty>Fjyk0s?c@c zmlI2ZgUN&EZ#Oex26`taFD5UL#=Y{hCmMYYvbU>mC(d$R3Vxe0YR+(WH1-W#`K_P4 zsJ#*On(0&cRwb){O^QZm9LD@>OFqIFNKX4rlv?x|B_gNU=}K?48}6|7_RhZh4FB~r zP&CjXXYWVRo4e%(u5WvF^=E01Zj0?2lo#NVgXoDJ#CtVymFsJPw`{ILdEL&CADo?t zPNf@97rY{#s0WZxRt=R8y&*#3vEv{sN1Hh;-CFQEYJ%&+DLNFr%OLP@lKdBDrE*9e zK}7Vr6WBDOj7BVXTc)RiOmLs92XQ9s+yEm|&LYVNN%m_KWrCnfaY@d%ZE9)5SiFD` zAOzuB&i?w(T?h|0s_`+7&bSQ=Xoweh?iW2|phwzmPrHMe&V1ieK;L_QpW7CHCwe>t zPo&(ppFy6X0KEdE3@srsB2K%xoj9kso}@l7;DoEE)>St+*)o=1;`v`7YU=`w{9rLqn z_)+ueIpJ7{=e+QZ7%i_ebcLRlY^>z+^Q0>wm0`{Y+>J-Zsrx{>8Z}ga4lxZ>Cg1~< zt{Wx4;}#0x};*+j5s-fzseANwu*d_FBbvTQ$hg(Vz6zvib+b?%G)IR194 zY)&YAEDI1r47_bT@y(B`h-5Wm*psPvd#+O3L3X(@XF5~s52)@_`-ad|f-hs5CJLW! z5^czdW{M+>hKWUnQQ#XPrl>O)kLe6cDe|9RDW0Rk??SyKt6wd*cw^>NLL(vfrqGW> zBcKeu+|xC?&)NYoSV%37kI8nF{W|Q_$zSeHiGxbx&|BEsyn~KueXdg>R4e_ zLc8rOkM@r`_zaRZxRBhG{MV)9h?NZ}Db%9(GwX5jW0O?!i0H2{*s73s)~#aQ#O{PU z!##JbR3x+$;tWz`6}mH#zkX3p`;k*5UC97Dt%0#iftx^WqAAgh{3vb6uQ}5K=oM32S zf~vWTpPj&#fAP~{kMcj#vc}V7C0S)h>TQtSd9ffU%^E%+k}R`b;E`C zYn(n2rUSr%xWxojhst}>7=##$SQC_#NC|~Ak?YzMDvw}yd;)1oI z`L*+9DV=?tvx*b(W^a=$ISM=OX0;$md4$Lqq?MHXqyFUH{1f>*+#{FA@W@Z}3QQ^V zS0=;D1L93zeN3mfm7V~@*lb6M5`F?kcMPOLje7!gFbAZFX<~kR-q5J)dW2tMt~TKB=~KcZ<+PkH(bQqp;{+ z;ruxz=$!M=Mit?SplV8h#C_K})jhoKzV^Dgs6)iHY1=bXG0}cHq29Wr=QuWO$)(f@H5;rP6p zGY#I-D#_AH@7dXE%J~NURP}|+-R3oMTUPhS5$rISZ{}%|$zCe|TgbEITc#A((`RAj zE6tUkRa?nz_A=Q}rj%k3|6j4JF_FeJQZ}BBP@FoLrMf;ndk0) zIX5Mwr`F_zUd>lm4>C*-t|nO9OZtSd`dnN9z%s2Q@?#u}C}xww1t;PJ*~Iy$lTq>6 z2{blob@92qyp<}Il7FtIQ_tyD22HgDqTzL34XVG7sSgTrwb8P9fIdawK^s<^;uHXd z1ARXx=9{>vKZ_1SeUrs#B%Oi}TzoANdL#{DOJ~~YFd4NMt-^zw8f}@f6e6h5NfKzz zAg}DHN@S*7$?!5sXkz>q(}ewZyRNQ9_eDM+C4$0PBaJHb>Wk1S2Y4Ts7Y5yKr*0~NQ^ zCiZ>BTKT$mf;qW&2T$A&U&A)5Axf5ZUxRXIWlzF$TlOLEMLBajhOM`w|Hk(l5D^a| z{G3F{Hly0wLUqS%;dJNfb_^zk>w80MJratNIBJ23MhUtM?`v7SnjjrnCjb_0Kv1bz z8kbI#+xkRzK($2)h_t@9t-Uv52-ttj&3t2Ghp0ySz+a}5;nyegDL~$xdafM&Zc!G=2UR~ufODN|Uqr#Sl7Uy^#vK${5V`&4 zuypx6#T6;S3&Y+u$LZUXe0^exPoWG2e6rBEIw>2$wf@_YH&7qN&=s)Q7!<|gQA(8U zejsP(M4e{LE&nMn@EZAb<(*sT6=^2Hps;o>UM$-Bxb!^46RM3q{o>k_C4Sub7(K3Z z(@F1Cp*RU_9LyHb)v+Cw-7ff#b6VN>IERZJoxpu)j{DOq>*Bum<%qMbWd_i8;apTEM1M+kN|0QSgVuFr{Gc2vP1JT5O8`y zV|z1br_^?w%j9^9agM_`$bHW0eW`&?$hiu@j32R7gQh7OX^#6jI3V-gv+qx-Z8L+; zP6MFOj;o{*@Un@b=y?_WY(?Q z+CA-4>nyc-D=B9X*v&)NB0Co&dnn=g&hO^_ANJlVDz2?<7c3xna0~7doZv3OH3Wid zaCdhSJa~ZM0TSFbI6;EDySqCD1$7qL-#^a&di3a<9(~i}+)xxOne&zBm1nIvQ9KrE z^xsb5^z+Mz2n=r5&d0cWwNKS1mrb0i>|+ikbIF=C93UJ(5`Mn;)-b6-F%qwr_FFK# zEnEe>6f+A^*3zEdTofZ)ZzA-Ar@HIM)K-2di(V!_C41#*S$L1tdJpuRCpYs(l;{eS z0K4f!<+oGyE)fNGUT%lpD6R=Ahd+S+0ZYJQi%~XfOe|swrWG1bmx8q+#G>~ z8Ha@2*k4Vy(jxhPwCOSzbl;LGc0!u9ZQF)2uQ<}^hLR(fsLT((hBdKljmGjm2Hh?n2hkMg`10?W-FZkdacWiytbBX zK_H#`LPjFP`3KKCWMq>A#Krj4kmIzO>JL;&Bt#!}rnZWAEvB3^^?Usc9uF1Lyjh@+ zHwQczysk~{<#6dD!rKJ<>QOyMnLM2SBH;~QqP>r=y)->!L^7>YmK-2sn)lLK^Y&&LbVPfiPv5kg^{Ix%C;mkomw;j|1emyFzVw>>*SPV{ zS8rjx7uUPc?o655<|4#>*ge^J&y8A@VE{azmZV;I_~Xm#Zs;r`tai-Hq6%rNhPhkN zHA)b4uqCTP<|#P|!K7s!$t_v8Iq6;y?P~q)$?!6^rB{t(j|8XY@T#3wev7`q?j`PC ztr|aZv|EZ{fBLMoURAXZLUIRjl-DBj=L!4C7nW@kAB>K@9tc73Y`*ixTQGthLf0eq zbsWX)0FF~dQMj70H1c(P^>v9*ueSX721S9Lvk>O#xtvTerXdxAtw6Kp z@{7pGJ9(X>>*K};-D}<3C3j_ z5JHOcFB0e@P=M%Y?2klb;#bZMTbFhDRzjMZ&dFp)3MyPoCYDJ%ySj%v)~(!J*}3xe zi$tl#E=*DZEZ3&|hji%@;cIWy8=02Ho_dG*2G{oN3=? z-s+a0W0sc`x(xCW#%Ly-;X~_-$PMSZS)Pg>t$y?J^jqNort{~|7J9FbMLVOE^_=Yu zj-W{7Qmag@(ZyriA4DjPL00rE!S`K>79P>{iBb#;`jA3tp@nf3MZdcK6Z>(hS3SFK zoB}{9RH6v%C9d=^`8$jL0utvqT+ZEmI8jlaJ93<&w_E+SrgIxSi9U6(-9q*0#hQgC zddLF)dmHL!RHDjv)dk{}77 zd!fTpf?ns2aR=X;Oo2eG+o&qFFOXGcb0 zPHzYkzZXIkiy;Y7|E{Vk4(1+T8jDbZD%1|J#o#eKHGzz;Hbzew??4o6qLE=EnG!Xn zlm4#$q8`<0tVdOc;-kwLY6^ff1s$4~-CHg4Xjui|UrHRc9+HWrID>9l73jQJH&XiH z`0a3TLM(S5(RJ7?=!>yCPGD9|O6P7Sr%rNJ>-LA3*iK5ujc(+#_XZ=D`ncU!RHc|3 zob4#?LLH}PN|xr$dtjJdU@i}SHj2$R+1|W~{+sh0VR6x@fL&)Dz}d~zSk!kU@S|7g zdnEmj`9A67&Byq+Z`pWD7uPj)n&RUoH74s{aFG-_Z4te7ROt8v)%&#MXCrna45#4R z!Z-NJ2P-tAUeD3P;m{sds}lLpcdf4JG2r6Zv}2P+&tF*s;^8PIeC|ptve0LC!NuQ| z9&mX`PI%O?)A92XVRl+)nFWDq)Cu8GnBCS8`f1cRfuMjKBN-4Pg{yQ&90YjvM}_g0 zID0pjLyRTRd18z9Yk6ys3&E>cc$4Zrt@3Q;V z9{Pq)jw}!<8)b!6Pvo>lMKc%`R^u*3{w!DmS1Qu7U9&91DcaS z0Yb^-C_MA+edRnxPA5hEJWsnOlV9%cFT$@;o8X{zI2Ss@_y>!yUY|0z53%?>)udde-I{uI1z$A;{m+o75|C7#sY zmzzl|yB6fdx5LeId)M7<-wwchg8&fRgY z0s*1rv?a9+SZ8T%Te9VAph*lE4!6z4`jawDzZJ1;?=UY5so_iEC+ng4aHri>sM~s% zXG1K`vI(7Gj9GSGX4k`!4|9KvYiCPl{ltmQQ{M4eAF%WL`!u%u2iG$etPfnn13R^= zzsxGBhCXPG`Vgc8y{Y=G7$I^oj8|;|kqX}qxUJ>RRh|o-+;MlaFMKwWNED;rKdF3| zoINb@Cd58N3{0AB_sk0@(3C~?rhdN_b8xeK?!ok$Dq^_8Qho@iEw(O>9Idi}Lh&O> zQ%|k}SIV(A9+g_a+TEZBkL!M#(1>M&HL4y-Ekx&<@F)Lqxf)MpfG+#iyM++)7L8U-PDs{pNcMa~lqyT>t*-Wg2-gX<}3D z0>97Xl-}>`9kVp_g(qAWR)7_xw3nB2A4S4diNE8C5d<25{6P0GTCiF$FJUSX_E5*( z8aNBZfH0e^o-8-l3(b4T@ zhl_A5%@jL#Ay}Xgg@s^zU#bkA_nmR~KK*DyQ}isCWQnzbIbUrAup23P>!cvXR?+Q} zoqn1fc0t2Eez6VVd|ZaR&IuJ6(#8aQ#Z`p4*>u^r=?2lN>W!UV+F2<#HoxIrQ`z1` z9A%Ss7BS^T1_t>rM0EXT=80F0N7xVyjdAdf=F{)(pHwfMu84FS+u(t_eg;#zip%}# zrhoOTXZMv6j=|Ea-YOk`eLSSSj@Uj8(QU?^U&F}X{~TA+WWt&|6|e-JjyyGKHa!kF z?cut0BKM*3BhJ)Z^3n9Jc>?k6CG-L5mJ=bHn}+cEEF5I^`H<1k(Fl{T}h}(Qz{ToSidWT|}@#k~2j8JbhQBR|%Kojm9LWXZ358 z*?hC<V%tH=B|DyyRwt!4?}1QrDe1**GmrWmNz9lvk3_H5 zZs1lUF10w(1C%0~)}^z})-Vk9FXNPJbcPTK=Y=%P2Wiu5IS{z1_JRLog{T|jj|MGvjmJW=8K2B;;_!L>p|Cbg z6q;?lp&VGMiTSiUeHa@;=tJ2+;`fYVab1;|)8Q$~)Ii;g=j}RlNS!y$?={C56e+5x z4&(ZwPakcqW9?1b4gQ@oc`nIv!L#%^r7CaxVnN(s<2hZ}DFrWx$-7gmELlX*KL`Bne4pY+xg>q-carEHcZ}=;?+txTL^bx-aY=TYzwZ z*TKW$5g~$|77YU_n;ZKvF|T8*{ZhXf=5HY&y^oGpifs#|&?#A!%-Ffd*I|aS9Ic0< zuT@m936*3ae|=6v%ws!}7~YssAvoBsPNlb7`yskn?2*0`?H06ajJxrKANjN;--2bs z>I}DM0ONdgV2JRX0ua4z(V!8Hrh8}(4~m%IPx_ts9xt1E`O5nhqO|%(x?(1EPBwwR zMO`M#g5Z|LdXrC>?AWRsF!PCeC21wh+(sfQHG~Y`@68!BK0$R;bd8 zy)*1VB|!vkI;P^sX9!It2tt+La9ae54P~ZV(q@vkw@NM*{U%td}vb z^G3JZ+2&r7QbBkX7I#dCppEbaJPV~^K;L2tlWp*DbqIRCXjqUe+s=4CDB1S+~574FA~h% zw|Cwww;irBH@wcCC795KQFxI)6yz|%vOl~ zteVh{q{5BTNl4ssT|qJnk!uIad2tZT^{0$_SxLt6v2z+kG1j)Y$z-y^=|QiaxU0d@ zauSp}7R8FNU2FNUsAk_0UpHvfqFxFWYkV7K>TfHVv&7#z*Pn}4wb#IeYwI&vgd!Vs z&xQ#UIkb_IdRvb#1fc!(BoJ;r?F9)>ALj*U%J=>2nh~typ8WAHI>T7=o)ACR?oSF z8*cv(H2MJZ0^W-$0)^FVX2dbS1-ewp^(x1uC!xmK@N$}lWP+RGby1hc`W%L-EV>+? z=S*iX_nBZ3bd%BHh{iVg4smDT9{2P}8eLG%G@StN#sV8uGTS4$E7g?Q$J^K)wj3_* zn3ze`9B~#~rM&1V3knbseI%$XLV2t{Kdw0IaKw=%=!7GOe7e;z2~I~(>Wl@6I)hYF zUb7neOHax?cMiD!k}L%$mJcpVH@Lhaoo=@{PmRO{tU#q&eidPGa%~*5s4&UEfdI7A zv7LHmS2k9~e-6+;G?9Ow$gbWCv|Y}r#DlOS_!D6y<#(`6=KOiVuqp{*A-<(rk86Er z`wExvr5|ssqxVPKVTl$I9*SN9k4(Qg)z2jOb0Wn#2g?F+;i@a z?75<%eOtn;XevumdHb}0FH~dxrJ870R5Jp4xJ0XWH#*z0SJ#-XkGcmaqCDOM6yj&& z82_;${O{&&eeJ8okOxj2*F#y`7fS@(HCq?!eyDaw`HgL86F==~Hk>PvEbG2ZSG=sW zFhc&~#*zFEi=8ltaG3&u#?lJG*6!x3&_$`~W)xw|wHPpe2E>2nf70hJ`{+G`T0yxY zCWIG3>s?;fF&}B(&f2Q(w+F7fIn@# z;DMX6D6oX^-88h>ieXyTuON27-kClalq^z)H^1ZN?XwX||20B|W@`N1q@6zUB#xnMSU7owR~viW^>0K3qorI?deWknoVTk< z9_@b0EZ!TpFl{iE;Y}tmt_UL^&huBz2Ul}vy#)3o89%7y9%gL$C$_)?^MmI*)(Uc? zYo;1?Pcmo!eHEPKIGPJM7NOh;5WMr+hliVwfCQ>|3;%QZ`Q2h#3E0K09Hr8y<5tz4 zQ%VEkn~?3WEc$~vK+=#<;iq|%lK#2HVeWoPO^9uhnAAUw&??oouK0PYu+^*&qWsQh z<4&b#Y$}8S?Sz;?cgP$u9#2(}+NSQa*j(y6&8FHeROJT)1BUi3=?lFv5$6TzHRFt4 zNakZ?-A2pa=$Q5V6ski^TasEFP&xsPVd>0tl2Z|!ieZ`49@b)H@WuX&RH~hqsd`WG zfj~Rk({t657dg|BXsO(Pl@{k{N9pfs9-^eUi(^{z0XA;(IRJb?Gevl!z7xeE9FY%k z>k@hwz?gpK#t&--lM{Is2xD| z!*OK<6q$eKK?3Fd80^*TDN4Z{lfTt`aEsz0TxmzARH2a9EMAdaCmzmGs+Zfm%=8_z zzO%8xklK1Rg3X(?XA`$V92*eN+R|7`*d z5Ca{LdPGk4qb#}oovlrhD6pOiI&_iY)9|06F@R2;dLa{d18OXWA*p>DpIw19Bp<6& ztF6s=?D*;7+W~Dkhvh&zr-kPAC5~E=b9eG)z>e8(FO>|)OZq+GoFH!u_&F_>wET)$ zQUW(?UVG$hUQt6#S27JITxY1B-Ixz>V-%+ax)ld+39vm?TKKkMHO^=%1IZ5*N0m3~ zN$L#1(}ytx8(gyuW8VB?1auW#9be_wn#6!D@2!4ClB*aEz5XKHm9&@ms=U+UrqgXe z`9r@~Vq8`jF}o#3n_G8Z-Yd6^f07p4Bnq+!Et~V63TaaLRvSM2nCcjJIlzy;;Q^z# z5ID9)g9_M89(9%`x5qAan#-uOs{5Qt;~dT2NmAakyCgYUX)N^+W^fwkqnaHGlmgDc zviq@eqY2EA(~8`wv{c!6Fs-7=+!d{iYufH#%J%gu8tQ8+nY zH5)%VjB-N@m_AZxA@E2>-(jr@d)34d8nVt2`lrc=iSK>c`ng8z-+{i;G|X= z--Lomb`r-;>?e}=ZF%OLe*KcAw8-aSNQtKh!>o083qW*}^Q8fx&v?VzVbUOuV9UUVljwXf#E;-C*EJvy2%#Z$u`KF>I}u3 ztMfE&&9*JZC%=4afOEtv+=+40ou09sm*$vWuV-B~+W_$H!tTPdEN2fN>&-9s^8bh= zUp?zr0x!@T)PBxZTMugqH-_~cPRz!?kvU-w%wOR^5a*(*SDf|`9*dQIzMZy;%v9p- zOFTqfq^ODnDGN!5DY$*W(tFMGvaK$Z>Ql}b`8y+ut!kqHa%zUZaf0L@)oK$2r}#+O zc@6cfZbc2YiVX3QTLwSoTjVQ@RTIYpZ5m!7#f2N(TZGMZ56^p?k$VgK>d_~s+HZWe zZ;1(_M^#g@uagd|essbS!Quc96fD~do>b|k2cbXNy2mk!tyCe=E>xuaUkQ=O?@b@W zCueFIM<}EKcYG25<$@I8x^-=Qy+|`p^V?ebS(n2xYm67eZ${NIwWY&BQnqBYG@q%XiYO!)!^NCq{Df2h~p#77axG9f>TzGU@a$X z{PH;!5)V>NcRI(>$n-$hVGVhomvg06{*|Ps74KVKK_3#}!daQUvxXRCnx#hug9&?e zFU$s{{HR{$+;EA=HKt{+H+Y@sWDVCOu1^1lg!xB}f@0ho%Z>d`nmaTG>7&4CbUy|^ zns*CgEb_EOF$kOo=R{OA2=|1Rl9$=Wcp;_V$34&%~LZB-Z`PWSDNk>tpHS)ek0@vwPSUINT zivd~-ABqAD{zzFj`O&;klIi0H3Am&M;EA&o(!E%qnRpJ(_@#vf70k9%1NBbA-eNV&I5l^(C7tE(=H=yWsiBiuSKtx4jjk&CLR7{`P|NiU~Ddn zJRK8pLrg6zgku%+42f@diYI*t_LwTMbXV@1Fv){bC!JE+TD2 z0e6(lm;C!2U|N#Mcr)DiI$CjO*(&A|&gW*>u_wav=IqL;%hqpv@A=)Wo(C5G zq>2$PGzJ#_U&;OcWV2V2KnJ$`j}t?IEn>KV6HBquHVlumL%;53Q&y z+tW8adz{A_%1+456=lKq?##SUObBscurDIEhG>CegVoAmlMujmo{fzGJsP%QhyI8{ zk>mXE$gNsrARd{R$cJ^Y23c6l%J^E!im3@6lQB)>9Fo(}zp-rmvkYWAu>$i(KoCSu zF?S-hsTk?9xtzDy*q*PlpQO&D5A_8X=hJ<)qI@nyId~=1c2{cV!^W4?3%>}w&AcM> z3$-G1+-v;H3ywGwHoT>iu)vt*4*%;VH zMy3SU@_pjpxyWM$qUpEy$mrIh$Hg0q;RxCVgc;|^^tK2JKRu=*V--C4yLqa|b+JDO zp!e7J@A5;~eF`!Kj<+1&A;%~$8{NmuT3iwSBvd!-20hhp!{rhb8M)$ z^K1oqlioYudbC26cat4~wx1RhmSDVfcNq8E3h_2rGGT%D!jWFiqf+yGbo*6Xfmgz7 zfefAXlR8Y7a*Me_X!gs;uGeuulVqzlnrIAjA~JQM%=Rg{i&M``{w1NG^g04JN!8pM zsbYWkK%gostS%!&EF9Y4L4O9kYe&)nWmvF&X!~Gcc+kceP94)!@m|Kt(o5g%M~fe~ ze5N>4Z8^bD_1Et`NU;vu62eQi#NJWj9pL|nm+U@XW^pg*3fC$(%GFqes}B{q0@_>T!_If=P-jZRa{-MzVo$e=p+#t z-_58(fVy?yC{RK)4Z_Ro~(lu4ms39)ct=4r6 z0jsMqdej3e;e}OG;A3=A9`XY=Zo>=IR!hZ45D1hS+$r{Ey~B;_mbP_3zJT0{gq>}h zK6d=@gMsYQ){kY|mY*E!Vl6-8=?%%?$apj5UF8>s)+c=X=)c7(WLuNfHAzM4o(>vz zEKur+SXpfC>8YrZ&2BayN9Ui~@YClGL;Bp?NpAH>uFtD2*Xe4BN9POUE56v+Sw1 zofu(u)3H%;b9VFuOkMPWK)_rk;q{454@ji2PtOzIL_;*VRnz z>f_%iWX_;?R!|f(l4Tu#2v!;Sr~&3q`XnUl;`R`>^A!dJGLizePw{p1g*!-8(Z`M> zj7y6yKo^}Ti0ZD~t;_Ic&A0dGNenefa8Ky;mkbGyRM6~p9F&^Ad}nu=;C{nplboUK zS^kz(sz$5OuQPgmLr%~s-Bob}X8*K~eSa;Ay3^2Zs35aMxo0EQPhx{*HSjB4WZG_j z|Kd0D)DNQ@Sv@tvK6DPJnRAX}90KPGyfp{Fpep0$#|iN2Tj$H3)ZJu`8RgJt{Jy9x z1oU)!ZEG%of4J5)Mh#U>D8l#ekP17?7R2tw)kg2y_8#m>F+6$fG;vag3m_ezG%|4X z_1?X4AG*aNYd!0jPVR9kgVKtWIo#kr@mD=sY#svllBK=Hs?C47_Eqk|J*^_4X4?8h zv@(8J;KOvHHiZ>&#h->nBE`i?+nL|^q9F|#IO7MqU3LT{$G@)BQ$AQ^7K|WbspS&5 zVcGZkpL{=Ko#}B9ATZw$e`-5K*JV>)1{aLoQEn^J z%}ys3nxw#P0s^y~uHH<;a3ZU_)l)4NViPCl7zdi+Evq@=v{qXDMBCEI5z#3=N%h*+ zM>kkS$6Jr9$k6ge$WqYZNa9yqi3xYc5jA>MoPCM+j1w1$S-X$0_sPW+D{SPA7#>Cu z7iO~PWrigOz;YuXP*}n|PNt%{H56|9>9e!@50Wg&<8U1;?inGYVu5V7Qe|AefmV3c zYZ0Edl{!%?wYP@W{HY=ttjd;4PCRaDOe>$=FOhi^Xp2pz?Tu=Lc4gRnUW`Iiq;)-p z?=$w?s1K{eCfpHte{}VFtTxQ6V$+*1$g7|SS#ffmHFXkRxLG`sux31w=Qd0(1BhWH z^uZ@LZ0NNB8lMnfjL3tV))-p4u$!o|Zc>TH@!XYwX_G$qqO3P0tpTlqcDTTfMMLYg zZCX9U*j;h8<3#;=LCGiPTgDc9v7%_hq(!b@kQrmVPwnOIjvreq`_bGVyi3Woq@UVU zQJX#d`mrlfXTMbkY%0BF9Qk&qvx=@{H>yi&WF=EZCf!(^u1Np_1?o}Ei(dQrA+`|k zq(Z;-5a3^~+VEKk(?9*;)wt9a{QyUl0q{Z05hFS$(k3kY`!&3Kf*9v?Tr2T2ElXM_ z2h%Z$W{K{>$E@h$S7}$l?c(6pLL10Y#J7B@6 z#l1)UOp*=lxQLAb^oh|;a`*nD0}zNpf%D>vY;FKHp3i-V3u&&7WQE?3b_hh zK9lgd$&y~#zF-vx>^e(9-lbA+09kkxW^Ykz(^?KMCVRAYI-nx%4ypnRU#jwFf2SW$W5ghZK5)B~z&_&^4Hkwg8G9&y3^-`M2C~m|Nhh!#5^lb7 zE88Na5Je|3!K2kQ8f!r)rLs`$FU5mVgvr%p-S>^Cxvi+p#WacK?dmdn;gQa1!eA@P zp?=YO48@EQVqg|<`7|UncCv7Ci$P!xjXbn2AUtMNT7+#J~&<4dQXu6`|u$`d*217<;CrCNI4+~sQgb4#l zWqc`iB^**Ue9nIi5%E&cI^r?TOnA@@tz%g^g!)O-Xb?wvL|8%h)+}O;hYen`iz>xO*2(}jm$?+ZP$m8fZ9~@ zdGD2Yj2$xTClkxo*OY%|;Q*-(%w%z_FYJiZ0)8q5aqGZUAq|LV@uMA_i>rSc|r7gLz z7T2wK0C@f;C1O=_P*M3d&0col`BaqglFb{D=C zcLMxGiGuw3XJ|p0|B=Z#b?S1+ZQKq?*)to4(}^{eo>0c7pKT?eQmRV-@m*G`l4%w` z!JV%9GJ!urfO@9FEu-?TqOCKnbu*291<0<9cv6MOkCqF5mh~t19Q*rseiU>4DE(-M zZs}x}d26FV|DG_h)foxGuyP?O<}xUOINXO2dH)@ zVHL;j*UjBsBR!(cDSvu3|42DZh@;@ImP+Bp*=Cc70U5TdNS(1LhKgbsZkl5mf?Fir zD0J=St)s5*xcE~1@lltKMmIc5O2J*lRVrU7s)9-wRo%wuz&7GRd-NgI0U!U2S@rgA9w)q0u8k0BSx}|_?UG}|5FxDOQ zZ$AAq^|s$7ugBg+`TT3RF13fjn9z4A=f1tOeU{I<16dAS>ft|*6Q0K-FXNtTYw9L~ z1lacLDdhnR!_h5qvykJY!n9b=yn#-tOO_9C)^ZDM)8TqN?85`3t3ImA7~n7s*k)&a z3ad}%oi={oz?H5nV4R7MTrRkmPAzC4P=~EF-Epd)^?Rk$xq_(K&m&~5{(E-RCkxrN zpFSppl;upOxonv4Ag!-fo~{ziyvS~3fsV-={i9mNop#v27tyQs$!*?u^C|Qa^Ip$Q zBp@f`8eD~TZblFR>!xXsnaX!70eb@@{bwMu3n0*1t?7+q>uN4ckEJC1MOm7{9#7Nz z8@4F5ik5}Za7B+q4!K3D_xBRotNloSjg!YR^an6rWd(B;b(_wSd`^toERU_X?Iu7L zVt20Q31HEQ36B+79D~PFyw{A)Q%pw1O>Ux2!cC^p&fB5!q1{wA4ntEB>d=$khi!5l zGAq%szAwjvdn4L?k)>d2$X@8Oc z6U+{o6Ort~_jy!ciRlqb96%kvP2sHbM&`q*={O8$&BVm>UjLRv%i3AWXE9%RQlEeN zA^h>7Q7#9WGj`R`Q~9X==nb2|=%oq!U^b5U1i~=m7oDUjkS-f4 zsk~auG4+80j>l4sg;;(V{CvMbzC#ZEim~cIptxipDy~_m|!0fu~XSEeltF?yPSeF%?=bIDd8=o)*_aR znz^Nr49LfQk}LrH9Tg_P0| zu~RG5q_VC}ViN$ofM%(AZ^IwXa)KDmNvrscc0(D>GqB=r+8*X$Dc#NtYwFz7-+)Ex*q@g zRFlJCwhs|P;ns3?IjY*{gkO1RI6d^S_hsCTz{4SD%}zL8%x*^AtPnuwtkX9NJ=rx z@qbE>+2aD~|1BeGoETzN()}IrEoB^Q}8q0pLq^LHJ4h5heaskgJdejY%eN zsW6vozu&oHqCS93_g~jp`(XzSBue1nzWSM*xZkyZHLiHTmo6}j=OEDxet~w~mx8!J z@sB|JQREhL{`aYfa*N9UvIf&mZD)bsPcZSd`q=+b`>qO~?1FaCWjh8S3(%DF)92?T zi4XdK349e}`TzRg1BuVp2Lcu5(mYQ9unFO~+UJpgNMQbYF{lIUfBx`W;6I1N3`O5R z9|B@Mg1&YXUO_`Kvp02dbv84$`}?cIM{6_`9)5lbc8b5h3JI}ETiLprIkQRI8oQcF znwdD5nz1RG*;}|;QgE5WUbe+@K`DD#-@iR-`B^-HKZmz8 zR^AQcB!+a;`L+YbU&NhmvRC=NTWW2IouJZ^Z~f++A*AN?t2%@r7%}H_w1zeA@4zn% z{(dLT9;4m2ZHr5{%a_EMs?zi)?N_9zOfa2!>Xx4|x`ueMn_Dk8)_KdA$tD`&+Lv#Z zA9}8nv@L(#Q=F_`Ei>s{+pRukl)>i+dVH6H9sSl&ww{}kk3j$RMaag}s zjvHvc+t&{NN$O}&%y}#ZgZ{cYvvn?%xN<^bn}o&6=`2VEyAj{Ag0gny-6!RR$W}QR z9j_9Yp_R1+1R~mQZ&@qhZmv{^KkowS3>KV@ykCN6yuD~m_;d>iu|RS}>RR!7b@xYvbt8ptad`eub9tTfFj10BEuGR$ z5Z1^$@5o>y=G-ZFlDh>D4=caeU|)EyE!ht&n5J{Op07-YC%cvJaX2GpS4Bj85d^-o z1%!+1zeSD={#^M^i?$U9^CyQ7Qx=W-s+Jl5>O@YrBMl{s*nR}lZb!oP3a&AI`pp%? zIBcct!ponlR&gEE@7b8!`@LS62P;hE#|T!mteLQny{%#m!jj9&;HPuXMQ3NrRQioP z{VVe4<)j4+Ti-iQ9(Y!BoAb~PB@FNrCoqNeG?)b%+j*cHzDTL&%8g1c$3sjG|C9 zbsMO2{80}4_QEA?txs}bR`g}Yy3Xql7Q(LB!u+AlujwnZxD?_9Fhj;d6WbEhdnSpy z{Jh*Jgi11YYX%UplS>7z`At8FH51w+fdaUv-4=B*D*n3I4GP-R5__V$J(dDf-mfIeCznd?mHieibz^UzU`}%u9n%6XW;hyzCDlRm`%6*lJ-mNi|R)z>%P3o=F&C1ioyzUFH9*#A-F!gcVaJ+;AyPY zTeS2j7=#eW8>P-cRF%wq`zOKSOH@a!3}JexgYzoGxG%eohK@+MC%N_8Ps6^Yo*Wb( z?4KMwost~${Y7QqM~6GmUjPM~S%<=Eb^=K}2&9P#RPrFuAl83C0a58@;-8l3kntjt~Cvo6Jrb ze)P%UfmO-je3Mh(Mu+5@f_+w0f#6bQN^y>?H)Z0HYtdTbC&^~Vr-Rlh$cSfS%}pke`>#pi4J zCNt%R*?+(Ml#Jza?lh!k`%@q35>L^fRQpW|Bm&bpk8e=~CF`++FgF5IDde;rO4|*V zP$cJPzGsAUMBOz6y_RAKG(a_IhVH>1DzUBH|EXS-_Gh*Cms4W!IUG;hhK@f8aC^+? zLSLp{e}@jV)YfvD-iKpRZ(WV&$&?fbP^$w^oiT399h_>V6+T0f-5kP6-l=xN~lndP}Y#mkVX*0{3} z5(_;>^RCF|UyktLz4fQFXTh8mp(pq2^^iTmSZuvPQ@KbIu8VdceGE}a4k^(@K)EXs z_%Icqm9Z?B;h%kHFgQ!!dv^jC=(-6(rTB>iKejg(N(FV>0r!L{e=~6H;J+cbUZ#xd zi?A?Ud=k8_5N`id{FXGlM3>rjx@CHQyJ>;-&|$aFl|lBRfNjv zrYDak)(|b7dm0UlRcAU6blk8^Px>vkC#azQQHiax0-bF9&p6AMvIm7t7n)kRErjpB zwj?<4IgF?L#)*2Gz1=)e!T2cHEQSF;-oOqUys9?Ro82_QET*Pb(`hV=_A%{vWbaq*HbhzN3!J<?!o6IW0Da@yRw zl1rp3Dmb`kZn`gdB?#WjiygRV_6TdUIA8uA|HI>SY z(yJt^ta|NcN(!_)G&3(977=_Z;BL7{{uVnRT)hQ#nA8{EUp_$xyB=INxQXiOF?AO< zk2v3qMwF3#V>q(M^ji)0z)Ev$i0~YpE!x9V`ZfI`_t*I!;^mWI8BCP#gUM)zTPNd9 z`nx#v4%Y#uvVIwRpI0daw6v)PMQ`2Xy}ivAVV+tKJyXNT{rQfPz<1}HpWcc?pB}d- zZwSIQ%faiDN|H~sxwldq>-V|($&Tn@ko>qRV)qez zzV_yDrYx$q8j(>Xdd2Iyk)jtmDcW}Cc+`<9;@9MRzv6RBI|jyM@^z5=LM$rc`B5{# zHF9dyNTuOElNo$ooOeo^;e3U`XaR0hJEL9|Bw9_mwl&05_`v>d$KY^6@=FvZimg4Y z>ep4Y=?7zfBQ^c<5}P%g<=RKB z)GT2iX$*LkS}T&)OGXWKP0hjH+x81`@Lk^SLSL`T&QN@;DCDf`g+ROWw!9(3D_&O5 zkAP8_@H0kbJ=QS0_pgQ`{cKHg6M#_8D)z!PoOgcR4@{YG=Pzdh-t{?vroE@cpY57plZ@YWea&liET z`#;{tg-VDdSBM00dJHziKxJ-9d_7jns9vg1nF0<6s{})3BeLWh^PyMZiQ}cl$>SJL zm>dvMk-~Om&CKxvt;O~Jq>OOp(~g+oc=jqi(G~Wt$L(YD83$!~%4B_6M$y34NWcmK zibhI^I=B0l5x?I>n2cysp8syaj>iis)z#N`Jl0;Hzs-T957qPfs?_m(-3E$&vo^h3Sa6CD7HJT5w>*vec-wI1=W5Z_AX8yA zZTMsYWeU14mRNHZX2wHl1Mj3)x{QV|f$L{8O0tUIRT_7NiUryDw9*!sf>Sd2UGmfJ z?lxn4r@gfAic$SZ-biJri%OhF9=2_!uReA~#t}X=*f&ar$D%6VmClm035CE3xtN{Q zu_|@{Ldxmbp~I8|JY!3N*Lh2}L2v{9Gwi6W^Q7f`wXW|qL|&uL>F8|?j;mCw%f;J( zGyP1FC(j(;dXt`);Jf7V(XjnLjk6Cv8@e}f?V4@rE*}DXTe=T;At}=Zz|Mk?gV5y0 zUb?>R;>YZp)g3?ch&dJvg`mB)owJOwZwfQHN>AT0Ro7t(+^9HL6RIuy(&RcE*a_&0C z5ym~gt37|CJ9_JR_kxHZ{@mg5G-ZE_uJ{hB12*XHgJ_#eUHkFi=f0Z&o7)6Ors;Y+ zUBkn{WGQV_x2VsnNKToUn)ggYcvLds(>3`^lcnVu*Wg*>w$4%k(OjrskO7Nfo6xV0 zZnNeolo$R9>V6JXHzO6L?ht-CS5Zx&=k+KO%U=%pH9iVk^#4Q$w=F|@t{T*J8<5W} z0v@X`q$RlcHS8)H6x3nBodYSerxW_z>5`#+OE&sx;Z9f*LBmQE2_`A8TD*xcQG~kU z-mTq1i~XUW-Kcn1p-}?u1gj2y?zbKVM@ZI(Umm3Fzs0^H&D3o;9%U8wk6MX06xdkU zg%Av0$b*{_PyPLNA{rJC9xC{A4G^6ScvssEq06^FcMRQewU4{4Zo{)zHEW(^4DMwi z-=`xZ+1oXU&bonxUJiu{qAEHCj(fE2WLlvir%sdpSb_mJZ?(Nt1`t)y+3-c%Y|PBu zWP=oZ6ucg8O zMlB(3{`&Q6)ploXwF8OYp=iYQw(|*!+o1PKlHZ;FM$a@AwI+NnV|5%P1%r&1%Mn4U zJw)XAm*Nb4Bw-lj=r2hvGTmm%8de1SA$JoK6RkeC0{0T)US5r*^>4$_y}Z1Fc3{ap zV2tuBsm01P>6}<3a87uzPQe0rt+~hzX=1o7u1%OIU$FNp5bLbRbEP^5VT~L8xF|%B zZF@ccop?xd2Qhf=yJCF~9&$Qc8}~6^cAM4Dla6L&WJG!Ld!XS}I@_pTFdIx($`S&P z2%ZiNTs(%;ISj#sq6)%Jd7EL+QgN-@xqy9s?`2>Ecz$5p1qWSF{=5uG;`N}PKLZ&Bm4~8Vg6ru|DQV`!3Pe%xz$Ob z`>6N%oskPpc<^&T3$g&J_4NP3CU~|?RsfMGa6vqS|2AM00|9-&am5)xw#kjNOY+__LgB)ZC%*#2HAoeDJkg^ z*-9!PB@H4W-Ki)bAl;2fw;&+R25AHlk(Lli0coVWyPIzU_IcjxeSdtv&be@{xn_*G z$34azyXYY7`dYC6B?gaoD2OWhutBzGYE{mXkqmNl4~Q@Zm(e?dy%n& z4!DRTKx{y#cEQK{$3ljc)zxo?bgJmM*z9l4@$a{X3@&4yy@f$?hm`H2SSy-NM$^Hf!V)>O+qJ=1;2R>pCu5 z5zY*#NJaHjN7JqGPRk`T*VX(+k?-LO!dLyTabRc=u=J!Sy50==CivoFWBr5JJ5x^! zaR-KkEK@iPJ4Et9!Fsk{^bclOz zw^N4Src9wxo}PAVww)&0@%JVMzJGsv>|{%BkCT}>LAxRyA}s;a^%2=Vbt zhEi&Sh*+<$PGmQBeLmkg&NN6H+A+ei=m1&a9jm}v-)>bNR`iQ=^+#q zcy61nTF0&VmIPi6g{YU7|Gs$5p>CwWKqmb9gUNAVzrK9=@~D|XtMr3p6w^(zR}m4k z!zypkQ`nHvppM4wLhw^_FiMSx4WE_cvKSXB8P$u@QkIj$k=$#I=V@Lig(m12%ve-N`uMa}x}Kv{b{g&TsQR^0dO-^d%3=DdG7*iz7{t2Rq78| zJf?30%Gd>PJdyJN>UyV#WL1j~laQ3$BCmY^5$VFkr#aZ_UlPTa1y}T|D}k6L%xPyiD3!&1ljcv^sU?9mH8(%byHghiY}+k@ zi=q0S$QA4Y=T2euas`6&c_I-N9_0F8uN5DxQ7MImU?J5}|2f*K=wpZ+_MfxZ6_@|} zFQSk5ixMyU#6}_cQnH9VrLY}TC$uwmr$&Qnznn~a)QsGiv4!Tpz|EgqlQju2u`UCt zmZ+##j>*pQkh;-@P}rqFy2400Ja<`$7bE($&qK06MKcz#TbrAkTUxs1@!j#L&Zh){ zX%O7^E<*_5n|5a@Elz!oVKWTNF=$Hwt*T!rK74f#f)FsnkVS*a_PrOlt%(H%#{T8h z%-3k#18VT=<0H(*S2@ie*058i{@#2fJRrxHdxf7*oOCC7T2%wpoO-XK?VyL;OwIf2 zu{Ix%2A%gi9>qP@2ir^SIvIFou&QPwUJsFZ;pbf?Rt68IkZHLGBC1LN z%Pk&gd- z2E+h~-df=Bd-r-^wW1;-3ZH$K*V;DF(_=RsES5{Tw_XV*V5D2&xFtmWdB|>rFk zPh=*)xYbxGbl!kiSRDm2<34}pvOd{pY6D>h_M>WxN{B}2Iadx0TDkj$`N@e`5OHdL ztHZ{0$!`1+_InaNJw3VUD=_!Khc!exQyxrOrNw=yD34#=bH60)2~dU=SbA6YftKA+ zYQ8klEyY5x%q~?E-TAIe)+Y_2_pS8kQraFoNQcz6gtGFLRKassuvmPkggptsyM4H@ ztnBPBw}`V%y0ap(toGKYh_fF^P1FUU!(h}cEv>D=w>hke7)E)gc~`}E8;;Og{OG?Uc-TXeIx@91u!A!$ck@1pzghXkj?dH4WU$W%d*O{vZ3+u zWQL~q%+#uEggENoq`c3{lCVt!1fQuLio498vfGCTi|g7PiR*o`z4%>@n-xe6+^R(l zof5a(5#@_byqP-O{LoH3^2pB>O9V3avdUiG*g*&h4XWQ0{d(389^jgdY}JF@Ad-Lu zZO!Mnx6qwEV=D$3_+dI9>c?LSkN;j~XVwQw8xWBDI3z;Pt!KYcea{9Uu6PKi%IJrA ze640sBa*zs%xc8w9{5-se6=DgC&#*s(7pSIyJRV7_4LaqdPeYa#Z4bY#e4FTW1S>=i%W2-(e28-J4MeVK7)H;(rFu&|dvI7DgC{ zpT}i91!4Tv(S_SxIxQUof0|J+yJ z@mKrk&eNQwL{a1BoZ|dqF%4G)d~c}Y1;HT&i=7xCOVPp8d` zclhSJZ%?I79P?ylSoK>kPSC)5;|}W%YH`gZ6}2`{MQj*bPjmtYvQal+jo}E&4ozG~ zOo3Z_fjY);)yA@@SW9zS*}q6A;HBOZ{!OnhqJt3&ws#FfyeRQamQE6l%8MW zMI97^`)c*cn(u9ha$qnT-ZFAnDC!;NH9gX)bKB~@MA9F4wBExJsddz$sj@%Ed%6SA zGe@0+0gb)>ab(>z{q2g)vA$(}OE`I$jLXUO580b1*;hwwmnu(6t!Lfpm1fxUz8Y^2 z<|x|m>I2k6iwCiw!mDLEtoI3Z{A;}Sk;UKzEwc+cxZhL#{r!uliHivY`mtfUgm>_A zh`ZA)h04e%YzGe3Qc(T(NuvjPqepa(i{|-x>FbJYQWJ+CPamIVq5Dze%lVKuq2s5(8MdAR;MpCUH*ge#SIazjv>(p7c_DHwk9q% zF*I~^={l9JFQ>gL+@hZwBfSYSJaDV?^1zOmDBJVtGaep_Nsv~n{(6Lz`={=d(if8e zWGrW@^sUHj1Vo9VYNYSLtCfughy6m;Su=UZ`3zRBy?zIsy*KFpHYrK@(soe0$FtuM zfTIgiv|JsnW~X^U1-|_q#JTndOGV8`{EP9QhIE0=l7R1SrzG%iRSL2g3CHm0LH|{u zx!h4x291vl5_$SxU&}3cUu2L2Q1IRh0!H=Xp{NNdz6mij=tg4G0U+WIwDY=N+rY_& z-n*af#!qv(hyhI>Wc=h-Hq1SQKNvL2!9HAKl^&;|rA20FQAG~&O@1&apk6G?nCqjR z>##^*$U2H&EB6nWwYMq^)=#q{Di_+PNG(o?uIGwgzis8_PA^4HJuV7o@hL=9t#aea zT1hG?E8n}}Tu){v0(Po)?6XgI_o4?BeQ>$i;?zp|c&rrS8SqiIE?;x)R_k)Pe|RXk z)>-L)(yJb49gE#t)*-WU>yLp^5tMPfH!@hKj7&4_h8^hS$3Vc>giIqA9Qc9rAr?Li zll<rY(WHfuR@FM=r$8~|?rFP<% z)C#+^ReDR`gE(Sqp%*Ks#-wOyyNNz8@aiq0X|MJ5^{lKc#ce{CFNw#Sbe;F^gtzT_ zA{YHFAhHTW8Wdaj*)cehUSMtMrOAk2d+AgVcP36~<2k3p@+nC7?A<=R> z+Byx=IpqLb0uJdS7P+RZtgN!~^$j7T9*B88AP(^Cn;9MfOwqL#DLYDVx(ez)qmE}7 z(8m<}-LWK-a4498+BA7o^$PygnV(WYw`q%?Q#UOrz znJVnVcCx6QE*nF$pK#31uFKFZ}=09X!JIMJzzzpYo-?A;@}3hT)_2^Y?GTwOtZ-_iF&b$&!U zRsFI_^z4;8!%qDhTOUmZo_K-Jp)H$hYY#^oBoOIkhVp?hVJn^gD6>4Ua(6u7u_0To zl5Fn@01Dw2N#KBQ6F)%d5%eI92XT?B)9FNeIsp@h}zBF%u=G~F~cAvRV9A#u8dCJK~Ntl znlOgLj44IXTs1?Z$P~N^vZQZQ87Uv#I##3Hr+{6_y*5VhfU?HR<1o2}1B)J?V|SSA zC^}IAsG*#jA9X5 zEgZuj6-9bnKe*_Tu;A+8+u)6AJ~!RcJ$x#rUB8#cFa6F`>nt$AhJEPbdisr0tMCB` z*^0ySD~}%)#qX!RC_ju0DYIEf%MKR@Iv_vtx}l}Tri|Gg3qffMM)h&jRU3QTTKb48 zio)m6tXT;CR8Bq4A)?2mmcQolQYUliiNPNf`%DNq?V?`2 zYDk}nw;O(b0hP^`ooH%m3PfiUj2B)DG^>d-Gge4=y+cqMjIzDl@Wi%jD0%xOlVYd* zYww;51!pVa@e|0oYp=paxb#^VYYo0d@~6bRwgjpbzL(l$4|9{;d1hZnWYEBxH@})J z8Zh~S&t+dk?ag%7kn=_hU{TN#I+6r0s4b59Q1lE8Jh5ILRJ*$RBKMtU&}V~!0&CfB zH^(NoR*uJ{=^YWruepZ3F`(5}BmI+XPFo5mv~^4CZX84<1knwDxf+kjAaY)Hr}z$# z=UXXT;rl-3v{JD%6{V9~=-i@Y+@Ad9)eQxq>sdvY-xRd*X(*SOkJY#wY#T0E3zb^W zQ6GG6i>?u^_G#l-u_+s^txPzQ_8mgkx$oxomDJ1_OaKcAvwD!~tF#1%_&;3&8q`lI ztfcEE@GMeV{-_EZH|XK;tGA!rk1>-^;|~8g?T%e%g^W1!ymss~N$8ykSF z(*&j@v^>dFo}hS6;O4r=s8hYU%;>6N?9PR~-J!f-X~j+*tzt30r=2V=DL%l_&LSY0 zXW--YU6I;=bN;AHvk+jIYrP!i;kSuUjfSlSni?AoyE5cPKDp>25z*f<9ei!BkyX^# z1-Zsx{sskHvYxZ(-+w%9FA6sg_(AqAYHG&E$4_D0ydNISc6pHKqqDepBOnnf07ECjO;*{) ze^Rbip#Pr#lopehBDl)(P{6Si1qB5&@fNx>#pAO5`g*qu8G_pe09Ne@hlcC&GFTN0O-{51_d{Apah(g&c>Al~}M$aii8Q z4%gKn=Zoj&vEd*HyK)c@^BBH=%hZnZW>Ty9DO%?dO?X69RONQRF&Ve@(~dWOoN_NN zVjQpf@W)xy5docu=XW~>AD9%0CI-CLY2ggJaZe!7t_sxla)%96a(l{m4?lZj_+XGk ze&j$iG6JYxTU+~0NutZPn!X8*y>Nr}PCoxf0tSA~;*RKY#vbC$tnc)~o>)+gVVkVu z2~xNvW}8KvLvTTZg+#CGM&icg&BX~msN??#KpIF>Q&TBicgMOxrlf_vx6AQq^KFh{ z`xNKZ(HB@*U-YzncWA^jSHBSIh;(0m3yFeazNeg^wRvacg5uyNB!%6ig z)MpO;`P!SFbx&lFXrNil0!2#Or3<-|ZU)J?a&C@_=5~+Yke%Dv5Ud`)TPeC#ag1#Y zeQS#~@h6%e7D~R9O}S0I(pLD1vv&Enmr3PR8NZzEU z-zec|(uJT12{LtfBl|+y_8|8_Fhz!R7Y*8azswNSV>~QwynAq^RwA-!L@!M*v^??U z1tB<#=hzd70vbYK0c|wXF}T?VTGpps8S)|aBVgLSW4{(K3qDg)R175H$gL85-#Tzt zdi-uBAvR2EjEkZQ4Nx02?jTV?6n$q&#%*7rHsZ;b(>E#(mdgMmchel(6B&)<_gp~S zyfM>e_WgZoPNir7L7K52PlC%O-G!2wgo73{SA|hZ_2C<@B+QSr1>h`RGtLki#ei?a zwo)bm-*Kvh^DjXG;iaF>3qSUOprTO&p<^{Iz(hc@#!n~H%1Et(26e8b!}E2C-X9NO zzN2-25D?MymrwHCH;DL6;HoT}{dw9Y4qsmLs039w*;qI^yyTdSvP6_O-;ZBDThY%! zSny73+N`N8d}JXx_VJHEc}^Po_mHz;9_}Wf>^zk5s3{ShgdyBRRJ}Zu->Nt6*N0G; zm4+4z!y$Z62v)U=rQ43ck$Yy#b!PKG-XIhq2c} zNfI`Y0!Ig_ExlyH57!F`f)oy#8)Fop9!DPoo=h8VKki2-)%%`ehLw*`;UVmFKg~^X zN`&B}G|GsFz+fx)u3SES8iYsM@P}JYjhu;Vo!%apqFn_9TW=*V5Pz#epDU5Xay{no z!7N=2ttX+4B+2aLy*qQ^YW43`028dPRmqACa}NQ48C27`MHQHWBBM0pvz31~faNBg zl)el$k`yJ&(6{40LgHR9bxsptUKE$*Eb`T)!du13mZglh?Ke!5gm@x9^cl#RaITXf zfo&_Qz1_{E$8{L3wPkHi$!vD{^V7v%9=1#U(M(A1LCH{xn3(cq_tD<(U=0_X(?-j* z3CXUj@T6*3h_HXT@W$(wq#P@_3<^(j7dj0cX)&$N5aGyQ}Ue4C~8e zypGm@J5!)=+8y%&i3AcPq#EpMwHxWZd$!&l%op!)DGobLyO@b-;R<}6Y?pbT8zKuq zgC@0ulxlye&4Mr{*R`!LhoEMY6NH*w-Ihy|3TaX$i|?Cn9{JQQ6^$GNYc)G^(U;cK zW309}ogAtjB?~=E*NG z4>8&0>D)g9{vjAb?up#c-Ib}pTzR-I!Q4C*hC_1?g;Y>Q2Tb1>VAhVScYm~*3J^^>h&$5bZPkrlu1l7%gtSwd zV#7QnNnj942|$U8L9m37-b8Gh99sHC_V(dy(yAGx?yqs_tsMLnId) zwkrVlO$U&|>u3T(aZy+>YpOX)~diHf}Q0uG@^k)7MPGO zck}(ZbdU|~x7NZY1hXO%uJ-N_QD;kfLzY>wK%MY zC^G~v={=G802?Ms{>gNxG&;BDy=+pBM$s}TON*Ks+ldkCJ2ynUd8c0=+(j`7jO z4J4N;%gIIl*_b{7x@2HI4dr)FFM9(sH@ywkPJeseywcyKM|@b-BB zV4W48JI?Pd2~o;czQyIN?1TI=#~cJ`Y1xn{u+0a+9pV3BLM|XE`IPgtTP9b(lf%5R zC|WD;`(B;9UhK;J1_Nq#xVBrg@^(Wzuhfd%bhRCq@MNMwkK=PWs~HBh}pd_6X}8xP!N=zQ9mB~ z>D*5rdC6c|?EwXAyoU|D?b2vP|ICy35)6cxI8`rXu_@4?#AkYA&n?QYG0AczQ^+et&5k13zeXDUiN>r6lAn=Em{%7WO zva5+vF|->3W#eWiv?|#{Da7sT96Tc0lkBx;9QI4W;o;9m7dcdxbappl zbzTK%8(QhU*+Qy?{2%r);*F2y&S29``kjPhM!CYLunWFe|JfKh>LHX~!|U1V6^=Hk zUOQ5Uw>$S0KG9>8Bo~jKVybTH4@G1O1G=^-tJp$<&wdtv`O?}8>{!pfxbkNb+IOxK=K9T;wcUe%Yw)M0k^nFgosfx5OGxU>?wkqw zgb_SL)EcwhL1gAi_XF+8C0i^ zve*{1hg4^(@Q~RPIRmzVgcqfb^0#1Ua!4%_Of@+~su*7ls00H>u=Wbb+T*($-#aK&bh)&(&{E1p0qD-Q_45LHu$?#tG zuZ5yGl~~uIYOzoVSY(P);;oJu!S5f}3@P{-;l@i_E3ZpBX!*>tC5M-oh_d}uYe@s= zZ_r=s#`N)s7h1fcE7N4;6eelAKH&c}N2@Hd(Hl6pU8}$6HYSUG*!+6;_+Taa8K)3Z zv5z(GYkhOduc)$rf_^k;e!j)Tz3U{)Iv2yKk4_EQjfGbYb2fZJNQz#25DD_5YYY3J zCJh)mKx0YWi8Ih?@;f(al+VDv|1y;MRx{GqNm>h-r)p1y2n~vT2Yf5;G88LOEQI|{ zJxRO!#m)P?^LER_0p&-tdwyk;K?#K&cz$LWTDl+?4t03dZRs>YX_mI5XA6vqToX4f zfE2J7KPQoPUDe1zy!&=J8z;Pz9>v*sRw&ooWBN$G@^HS&X<<-@R9&4mai^isatqHF07fgml94N06A;Bh%;IDg5g!0$k`Uc!W zM^H+fei&S0c=rgLu{nHI2Nyx9nCp#fHa#dGq#U%sP&@CNeH;15gH5X&%ZHbwSUItQ zG-ZiCHRDP9C_0M)P9z5t@h*7rhqF# zg0FzS{VCxHUcAY*OqA7HP$$HpzjUS0=`eoQvSgB5UjqUCACG?=_dmGUIKZl1%DiNx z6F5(^!keXLkchJ$j6dop1HZqYV{cDCkX{Q?T%fk23@{WD;A&?wa7quE|4^So{EeuU zb!~)6!cD8{{Z~qNBFlG@?0N(9BwX$Zz~QoYg{sNdwgO~yY(*@Y6jK8BN7%RNPs9uv zn4f_}!CsQ!3s8fpJc<~zda|cD{u#*4GaTm^#t1$7!9IMvQJ$hz!J1)|ike{(+a+v9 zlJUCX21ivJahKeK5e>o3$0d`!uXbhM?I4YX??h}4Aax#!HK;q(#_E$(z6<^IfkU)3 zRfIau`6e1uZ4xwiB8~pk)!Iz)Yl4J88#Ik@42yJ&uqC}-7ipANioHT1r&^Jrb+7N1 z4ZVLM>hdhR!3Gu%pMZ1wGvHSfKW43VA<*Q}N zGS!$gV#0!!m|fCR7q}xbuDAO&HjBS%2%r=Fh%dLCi=6mtNuF@M_$yo$tzvNZEMN{B zQxczOC7QtdLN(KS3+blVYH}xI?@cdCY2LwC)qTcE3bTdfYx%wvdWJXdGx?^UTBHP< zhk6j^>2Y^8v)1PiYs5(mbG`rR$v~L%l5&i5qw?5LyVa(7Nq-bgzdi{P45)O&gj_!r z-sJNi%kz|lJo*fDuTfUkXZBh0hHopKkw91IEOK&MV-ZsKz|Nf{^1{wDBZUnU@{$cj z{l~V@2_umW`ePbD;ID{qZTAMjyI4_*&ahy8VLEaAY_Aq>ujN=s-qxwDv4;1Bj|n<~ zv;@B<&3}e@UX4>V0qR1WCf;EZrdBL`2`20;aYHM}cy&7&1KR5QEPblw)Uc|hqfp@q zJo>q9BV8!;hYo9R5$IgRFn#AjrQxla&dg`F6!{Ke*c8nR>)%}*6JFZ4aBYmJo$zTF zPes?fAy06*L3e8DU^K*!V+3#h;}e3tkqsv+KVq}z-kxH%w{N|PIYm_chWzCAYrMI( zQ*j0*dicNmCn+YBdJp}r_P&wRfyK$*%E`&|^2nlQ>THI_+#!5WnGSLLL;L}QR7?g7 zoKPkYcLYV~hkuAR@Q5J%yJ-2G*#H}GJhe~oOiu$+;3B*=7Us)3{>(g1ckRd;-E zvCe<70g~S1k9QT$M}Nu{qvj-?YmI-gwaOXt7ZLIl4%e=*Y9hE*CgjXeJ3eWo1Iw57 zZS&e?t9koQ&rbAf<>l+5`vuAO(e5|5f91X}owtDlOFBK4^;tT#UT{xdMfmv%2oLnv z7A3jz!E?Yd=D6=cegz>nCGAxD?6*_nfImS2GOY}z0ID?3hyt{T)H3>KcMs&Hfj%P( z!6Ed&Fd8MEXH&-z{+%E4fJBAojt>M2B<(;vuX_bnmp5QFnt};Sk85HW(t0%UJ<3uS z#3k55NUBJ{ub!bzy6cFfFxviwhN_^URI;{DuW$jRqg4O!lqzI;t5*k5-G@STR6{6f zM5Jiooj)LN=mg^7m&iG+zy*0Lp(2GkJ6^S60^J895wzbwmQj!Y1SBYkR&PK|0+tL5 z0f9K513hz2yhY7BrDMYdE*L;njg6!}80^K8HnDn$^P)kk#6X?{%^kd1P9<*_l$lZrAwl}ik)uyG zVsvbvEoI-NW7tN2L3@3eC7{18atQy{DJ3{qY_a|nTwUp~rb0Cb01VP!at1`r+3^~t zMwH*UHM}QlH}PUDqt`v17N{7%8v`-`>{<;-0J&apw><};K#3ggO7Ljds?@$FjTbsS z#cLtc3wf2MrT!O+0cwOS0p9_Xhm#C;`zRT~4rJk!dtHADN`2a`@&EmHm#Iw~t{sQ8 zQ}2Wwd)SV*T9@m`#a!RhS1ON|%pOF^Mg#SNd}}=e$6$#Md)%(9;3j-qBF%T`I8Ked z9=ziCQIl_DgjTXv#o{W)*oR64>>`UDgoh5ZN#f+C4`| zl%B}%kb(6*BMW2z!s4buJOum%;LKif5OYYM7<6qC0A7L?sG-#TaF#kLvN)C&O6YHh z`ehMqlxjz~nx8}|dY-t5x>Gi@d1@&+IayQeo02#iRemh z-EckjlTb~Qwc#&%6r!ZCa^{1bmBdc8(wlzMW5jFcE?Hpx>2iNz^AFklD`=8E-b<1! zr|^#Nc&q8#yy8$o-ag$p)LYqI60F&mq}2yiRObUFtnS7}dDmimjBC@cPyla*)R@Bc zxbAYN5^2@HcKA6Vn)=aFz>%c%a_J7QRrkuVsR15TQR_Cis4z-1oAt*ig5Vwn80c9a zOQ+Yr0~rWcGn?)`l$r7h@Kn93hNKk9Vbt{$u>RG5Z;Z$84FAcEkZEuY%%C*%Oh5mM z>acZ%*F&y6kteqWg{}@0XUNQx^15`~>=9b~4@2?yh6H4g%RNpG@MWSZ4Fp(Isj=A& zH=b}0HK>9XRE1#idE`nYI~3n@zPa`YXoU!{ZlKXh71O!#0J3g9r8A4gEI)99C=)w+ z)7d9n`hatMxF~qHll6nlEOhim-QM_*X$12ubLP=K8~HQ@k|(F`_orzAU^1)kM&!{} zw0@;T!eJiY+;LG2Q_{TaLSO!4>3?=H;{mr{kjqvV zVWlV)S&SpnLy`+l(j)x^k5Ap{AFn9pF%WhgawT_iliI9?;V_EM;ZfO&s0sAZJSF0lVLbyCAFi$+cC)7{EpzslasCkdRwqdrNf(W3gWKm31Qk$v$UF3(Oia>+ zBi{^STGtCNlk?qc)-pXWG4hD00WUOK{Q@LtotF7PX8mxsJq4Xe!Rh5gU_^=P?2yuk zAa7FtAW|*a@VcCytkcT0l^lA7#9$qqW*Lg7Q<-y}rkWQ{W^~r~o5m8G%W9Y?n{e#; zqOeYGTjQ(A%Xj2I7VBP^@69`@L1%8@r0f&~xyW)aP>&tQ>tsv*g7tfN67+M5pDaY_ zG>VoFc(-8lY5)i+0lvFzXlDfysF$~~j05%tlm|#H1;Or$!AxiC(_hTNurxQf~3(eK!_R5(G!&$T;LW0se`=_<`&Wj)uzwT6A z?t>J(y4v47q^7^rd0GDN z&F}V;rs0mAbxub2Wuh((&5&DfE)^-AZLiQOh7Tz?_u439d>7`1?QMMJHg$uqHg+ii{M8fmn)b$H{(Oy0a!;M38S$rcIQc-SzBRT{%|Ufp3Nvw7_MP*dWz&=$#?*Yf7)8W{-SQxp4b}NX_P%e} zH4KDE^NiB@$$ZtMBaC#rb9X-sc)j0Y3Xs3!Y%em{WKEjOh^t%3>mr`DiRUF{p5;T6 z2=Qp;9wjN|v+q#q zJ1DWU>CwZ}}qr?y{rpeNmup7H{faca9ovUe91ku)bWt@Vad}Iu`zTnTwq%g@fINl2yXW z1!8mT6MSqKrP(jMfd+kqCtF!hA|lp9TRQV(UYpfIi(`*Jh~q9V*C-m*BgB9AaDlJG{ju=$7fcn-WI8 zNlf`&$E`K%`}-rTnfdN*o=VU81m-|UkQ}daT(5L4SXEAnt8i*2jyzi6 ziTO9RT*Py4aEOVCVjHV}q5&KHo9+bOLDEluyHfU$rTRXS$QC*&m7=IP4!_||Mwi9| zc0|lg57kb5*k*^)Rn80l1U)GTcj>vwmQ^2;(A~|(EPhV3LiZJPaw zYZMc4(@pj=CI4W5OqC3blI)y1I*px)VQ*4};Hs@*KWP)|uvd?p!f-PVA^Izgq znq=J1RnEV*f>ZMi97_T}{bl(G&2*5C-3QB==;`s)_=}9TK!eV28)u7D)T1uAOla;S z?nqsk>im*hBIFFOO&4M)oo=Pi%cAPlB)9gw-;jQ1ear({xEfqKD`^paNBnti^0$cx z1ie@ss8z>LF030-$hm`CbnU$i zyIUG8=wNftgS&h*=*VTajn?qsP3a}}GV8?mj*l9kcUPC~LOz{*R!DqxIMvx&le1=qrByi(x@H!QX!30tLZ;V+}N3e7g*yE4! z6q{~KJ;^R7ZFViuN9lxl0q@;s@4;o$rTs>_6xFkquuAnJ?BJ`^UqKbC5F8e#H^Gtl zo5t`AV(eD}qLaO&|6E7Dz#u)62>vk9l1L`3*C7Pk3pm92?rgDbYX=`>2Nh6yE1ZF* zssG=Zs0bs)cNWZSZY?~(e|HpK62dWO0qcI?8Y}=pC@b#>;*a=xEw zn$Vtbv%+A&pMBu%^_G&&#ga;?YQ}5#za#~=u4DwQlV=~5NHoX|sp1+T(KV#{0a6%Cvu=im|7dB6B_+%Y&a4l7Y@a<=4YRk)CQI{KK zIN1ZYkzZ~(u{3ZPRtX}zR{j&jfvxBaI}vrGlEY1I_GO|i^#rur#nS{+PZ7Q$dMNsi zqFOP}1`vT8-v&#p^lq(lFVZy?G865wv2oILIvay3fqA!=WR?w7BW{Vuc`rd01of8@ z1g!o35U%wRy7hvjb?*YIjr8HxaH2J_q+Lidk9NwyNty-y?aJ1Zw5IXAXdh(5DtDy! z3Gd&t@O!^}th4Uw`J7+rqC+`c z7VLPtc?6Dd&SEvj33J_?F~sT!OWEc10Ay z3#Q}dMU3ZSEDI{)V?h+<%r6m@C6}4R2YPH*hU0Ca4o=_>qM8`mI>SF!4dBp?R^IW&=D0Ej4jXuKN)GgZ^3~AO z9R#KNU+fmi5y)(<e&yri+=MR%uLat>#Gz{J1!?sK{Ow=AV>DL=|iG{iqPzFQW% zE@eE&xHp&BN-*`P(y069iizU7cjOw9{GM|qKe%QL?ntL?r45K~%C2k3L^~YlHOWf0%X^VZpWecr!D+PKhsp zCc4wMw~T#WYf%l4(C`tATe)gHiU$cDx#iEG@Ez6dK4>trlZj$@e7HB(eNk4t=Y$%K zI>sP#Gf*&raQwf{o-$BOFbg0Q#XRl!Ft>Gk5V!CdGiesf)}iK&%^o?SLIg#u>KaR> z|4aIMBExGJzZU@9T~2t&sQv*|C=({ggmHj-HG`nOeW_n5b z(Ej0aZmrH;v-NLpr09Kg^kWP}D!qQhQD^l4V3Jdt+!P2%-+7AltQG(XQq0)7aEP%% zPN-|p^xl8V>LM6i<2?NO`C96d`j%6$$wGq%FErj(Z}2%G$mr0H1=7o^JMPJ+cRDwRazLpDf< zRGAo-^_;`dtlSh}F`@2PVtwkz~8!ef*nZShX#i(aGzz+AP@?N&G4KB z;QRn-UCe0GyZ>nv$5QL`#H`Pm{Iij(OMCS5kKYP`#4NJ z1T=Agy!_*xxw0N_@nq-HKJa4zSf0BgzFxh94pU0(lQGRNf)n6O1JwN!=Uuf6jxTC$^d6)lbPSpQ6)(=|r zHjnD(@tmig{>Ms!HScrl3?DyQek`Q1--h;=oX4yd(1y5%*|>Nj0}+7$ z%HjhqxY)u1wW=g)#|$hKe!Gnb{Fy$QVqL$1&wRvNp5s-c;g_C zUF%mZ@UxAc&Zf(8Cf>1D%luXbbt6C;ok1;c5%enfzeC2RoI+3~nVx-j<0~t;Ae;XY zTm2q$z!CC&!Ri}A2OlQ7Tr569uc9Cz?s=kdg|~0t4itR{s^(iRcr=d#V(IONP+71? za=V7$@>Ma=CihCO7In~*r>@b>)YNpV26U^GNNP&teJRY_F%xwTWKE z)Us&+F5!c&N>O0iOcUuh!1X3jh736-h%2no7BinJ9t>tSEnW&@KE7jBKcb)eX)5#9 zBeQCYqpjW%>&^#ZvXCmhLpG3n7zEl}H4><14DbxQl#br|0^#)CZyA}K;)gjKq9M%0 z1-Z4wk3i!V>9^&s>T5_(XMTT7|EoIa<5j-iL@&HU$oMt7f9iwx{It&D+bM-?zMF6J znGnE-thrP2KLEXWc7WAg<#^mP{|)gO(3NhbC-yc96Loardls9e%G11v*e|XxxjD(P z@#3gI$nZ!H5gKT?3j$f(jk#qE016{LJ-zjbf&6x2rHZw^gs-){fif@%2e_4-J) z=pCu%TI&QExPT2Q7TWt;iLcN5)Kra#U_@a-$-*pmvrNWI6S5{NDsi&wK4N7{!re*C z?^62y5~7yZh2WxWOn4;1Yss5xpdDhgz$EazFuN73Hbwyxq?MWxb4r1*eU1+aESZGTubbhy`W4^X>jU;Q&74w;-w+uk~Zq|GGOJYqnSz z3JUKO>;IfH2Xijxzke&5%;EG?jgL0N@t;5v1pE(U6);SrW(k;3dX?O+K8CwNTZDi7 zog4!ynCQBnSr<8`l~c*$*@^}F1orgh3$^&TCSp}#oG6esR)B+un@NmO}Olx zXMIV;ihcqnAtW5qCZG&l{qeynbF3FVjkBXSd`Axra}eoKsqHOkK8qX%l$ zA}o%ZPnT3Mytc!9^;3AJUMVP6f>zFJY&ChO-Sr^8iNhtgJ`@%j_g=JmZXuBr=27=) z6hEgjQ~an>$M(D4`}tlsfHn~vb4&Lh8xz=ohAmtw_BAlDd$r7n>u3W&Y+;7u(Awh= z-n0puE0Dou&?Zl%a($vcgz#l9@s(jLC}^1nuFgxx1##ZC)VXpxSB)#m1{2)LmvFCt zjb@!usoamKTOPdxCYuJ@M1KGNy{;_eo^u`*g{KDycZXaLmMrf4T&9kt@(eJ!ByRj& z$EKd<{5>Ozpv#Wg;iuls{AoTkXp?bX1%Uzjq0ubJ1A!Tu*k~7$*h+1SOS*}5jZ5(iLY?Vl_Vqph*7=-ePO9@dI6!A^s~D^#EOdB%x#{VlxLo7N^U+}krLBy{4&Zr6ZQEM+_p+H%>qq%81Z70o z|Bs)(k(`myKpO=4G`Ty!I0QP%zf%R}QcYT_X7zVg5=I^DCP9Y9{L&a9Q;CA~5kIxw z9Pj+I4+QxVo3^@dg$P@1agM|owO$WP5K7xTsvsLEFSB+8@8B+6`%IHai9^x%0u?Lk z2nvO^(`$nSk{s-`57+)lOnWmhZKSDEAn}#LA+M-7Ir@EfbFMQWAb@O+n1%Bbx6_Vh z6cd9o72X?5!k&TrmNGi~VX~3|Y?x+^{ln~|>H$d@I=ZOtve#TnRDAr={z4wzA^)X} z|Btn|46AzU_CS{?QWBC%gCdJsfOI1vN=SorNF&|df~2%GEKoY6y9DVH5Tv`in>&|# z@AvHYp7Y#KcYoQBtpDsW#vF6RZzROt<;W-$FTia`d7D2NP^KkzD=$U#wd!Yp;-57& z6w*XHN$hZbd-I(C0F7GYO?KhcP#sVp@(e(fM{FDd80d?znp(m|qxl$2`2ggHb6Qw~ zq?6Hz;JYYTugC&BhP>aJ=kn#)zDU-(yKn98P#Kx~?$1`pUw8P=VANVG6LD1Fna5^T z#7QHjj9O;rIgB(Yq7;A>i#l>@)p-7+ToOnprW>ameUL@Z#&q)AI4w77BYC!b3HSm< z>#!_4yqxQaam<YjKg|D}n$V{7|3QLtxGi$&jrn5foWF{7tkm-X*Zs14 z0r*)aq)@HwWo&O(7b{ajY^<^XMw^$j!TmP%o|)OVkg)%YFbzlkP@a#J{|6*-An6+3 z|9(CkyuQAkmt}({ho9$7{}nxn^K(*CzN9)2o2p^!2eRAwAsFRXdVmG?1i#q^%ou*3 z_|E|--Lht!`oc6v#bp2w(U=y}bewEYf?Y7;I7qUr%>`zM^?B#iAl}utbP%SIyXN&6 za@G-&_cl6!n=tX0jFgljjg+J$oJbK_iB);L{6*#_KzvAwBL%Q>Yf6N-^Lp>}-GS96 zRGol;z~%&NeC2F|^jq+H!fR>ewbtJ-eXU|VR{C1&ygxkGj>o?kZ0;6`KKc+{lX^kE z5Z7m-%%BT*c;h7uWVKNshACh5r4s$XO@f~4ynvSZ3q0l*x5!HR{x=Vj3$#4JHxUE; z@$ih_cLTdv@*bhx3xJDQu!@A3>1K_v8#HL$>IRXRE58Yl06vyEHFN$Synb|Vfcp-@ zfjNA4|E`xml2bVSvmaVP&Jt5;81@0a0Nm_x)3jC7b>*?TRl{*y-gDqc1LldgVLlw} z|EoKtZfBVmklCM19z+3HhFTK(sb~PX7Wh`tP`g1%2K~G>zq=6a)&I@(5k*-5$x`7- znvho`n2cWxDzafs7jclskf@Kn6ATqgu>X4|h%A2jWK7deMw(#S|ASvM8P+d% zo0yTTMi-8RubNLYbPrODua|z6(y+N^$$HIgEpWVxW?|Ws2Ho1gSo)VHD=kRCVHP7h zk;lEF0|Yc9F!lu_L>U!1cPil6@%`bNr0ZPsorv(MfGaZeUkw6k(?^$~V|kA5w4V0i z7gff61zBN(F|l%#(}@@(H=Gs|fLT#8Amq#cdy%_ESqRQNu%h{7=Mtbw_CwCEq>ar4|c5=xkV2T{Zr}x#U`=kz*zSNyM-1^sP#lA-BYkZOQwpK z;So9rq|;L$x2OS17ucH+Vv_=dto&O*yz(R(r0MpHfQpUqbV%Oe$}v?MMG<bkz-i-{al- zT+bUoU-*X+U(fo<_HS45_!TB`T7XnsO4Ie?tg#6^dI zKFI&44`#^`nbmTfg@WeulN{{I`#l))tGc)nCX$$IAaxw0;fWa^6H{L~+^dK z^VZZkmO@-IaF*>G4=B69(&KkUeN>gOktM-%tv%Wf#jXXY%YSY@5TXh z5oSQ>LPj48N3s63Rm}lR#{nkLHgOS6(z9=`=Q{3-B(LUODu9$b?M~#p-nNf;qQy^2 z!{i_l`VRL$8A$UD_MB#k{cb+~T#yUFi zfR@~3mcav&)^{}1E&F!T?>3+!hv(Dm_7-Vx`ByV)%Uo0PE5Gzg{p_TcU;X)#x*A}I`|*9( zRs?yQ9uD0#i)L=X#h1aQ3`kF1J+a5m=RqhBw=-B4;Xlg) zq^G5k<~&3uD^{PozkU12cC7s)sZuqFmdUj-GBgyg=KyjgwHsMh=$ie%X$`63)*4e8 zi}tkf(Z_V~p5q|Mp~HVAL%~Zb=?0TC1TGHB8h6zHA&}WXB$A49Hry6bYykt_&xs$F z4m6w1|8*)9=qH82pi)uy(o{USP4mLZ-S=A7+W(?B@GAQcB|1U5n-m@EhDT-60%!NW z`&MQL-%lyU6JUArus2m;vOLQa`U%$mZ$be=WB@BS>CHtAj^eGSmi6if!=$^#A%zWv z+}|>vzo}7%l_it?hK*@g7sevUOLg>|_TPLXTpUR5`ii3t zC^>F^50xqIR2uaW57URQ`p!lCi#3`8eG>)i7+sl?-qRvI#zh}FjeH`J7dADeIjoMg z;(K&-RKhq2su}#hh`}k1(RBp3Vc=ncgZM9(Se@(5kQYS388YpAMseDs=30O;K!0l6 zOt0;1Z5b<9bYVHF#oE^6UgteJjE!=m|55nmMY3;iuk`7vFTh?7qL1nc{M7pI^VZLg!vtLF zcD3KJXiBy4+5vbDr_(S1kW~M_Nr(&`SDpbb1*OQMSjQiU z2|Nr>0C@6`VUd^~E1(^XT^bfH9F%ECwU3_AbAzUj6a5DZQHr&b4g9Se=OMTI# zTPCI(ldOU5RS52RRPYBA8d9TV$Pao`gF87J=uWmjNV$fpsSyGbMyn0|tO>W6(ON@T}&S<)OV9Lc! zN#*!}qG{0Lr+}B_4fp(gguI{4piHg zkO2KmF-=?Fygwr6>Ul7vGA-{Zz{sszB}7akmo-0z5&sHOmZ^m@ByIp z!J^R!@ERLFcpf%LXmrebI(wJ6pJGbM!y{%`%tMELDatT{Lq`z)rP~REyz$J>Cv0}W z^69iIQ2$DJMMD5ea_;Rlhz(q8w-gj)nb&63^e_iN3AR7(SLp#EEr^XQ;h2-;IcE5? zTVS^L|ZbQZsj#?o!XL!9s3_U zjcYWf6a{_a*v^mdb45jS6rPVYq%h1a7bm0wdei4n%zR8Qjw0yEYaveMS zkeH24ip}tkX<9{&eP;)C>u$?S#miZR^A!*Y#9Bdd0I=4GAC7sQWC~Y$O}nc`OMUfT ztL1K7P1aH--=>vG^7fF4G(TP0v||1>E1!v`#aB!8W=%zx@C9#xjjYQD!Z6J00I=p) z0mviiZo5R1;`6g*anqHZr;c zG(JReZ9H!%ALO%@v!v3k-LBADE%gvJ#o%#Lc-YG9<1t_h)Kea$Jy)6scQ$jEpT87P zGmG(2kvX(Bisz+oIzHgyp~tkgiU47Rxb?ne%neEiF_p5pLUD{|6px)M>}@P5x_ zJHAf6&36~P?$7os9#%Xpn{OD%O+i`4dR*;kqMDp}FAqU%*O#ZNx0hxsRd2Nkia<=2 zNZ`FO{lE0fkVdEqSD(uowaZ@0?Uuk^SDC#latH1_*ESM<&q?P~4aRJl@Eyr=DJ8`$3-d_C&?M)+d+xR*kaFD<8>; zocTUi-WB=atL?E-H<2_tmTG>Yr!aiz@S%ZU21Srin4AvLgG=k)+SauSvu+iRZIp6d zF!zLn1Rx&l(#OV5kG-71Oi{0Ox0tmTF>5r%4LE(~TO!%*emV7}-HZislc>RL$XQr!V z2{dlpccB&G$l9TUtFtZ}QWowMt0fS&3Ds3#x8%Hz}`?o3!WGOSnr` zKM(oon*|l)R9` z+(Gaj>gjhkEl<_+9_7=F1lO4`r8HeAfMsJCIR(IRYs+K<$iP;l=NDfq+5(UkI5QGTg9zKA(p4#m^;9QE@b~iSZK_crwYuETy&>8K(P0`qJhEc2S5i`CxUT`2%-3KJs~P?m8I(g6kg$L0 zVY`e4jKLj+iYaNdLs|EL#>s&l+)2a_nZZAen;n607 zS=(m>%oYOmS3!NhM3_IIJ%7^=ZW0iX`jtjBPzEa8y+Cd&Bi@Bg?_uZ?$xrN^8{MuFQ92{IJLECS_6w@eiV2ju`vM*b#Bx< z&cxA=z#FeI5~vvP=CPLMlT#lqx@o56@a7J&AB$fK12+Y(QgOIAA9}gZ#ViVW?Hiqc zzw{YMD}U0BVZ@}plvX`PB%LNG;K~X#?-!`e!=KyccI7^i(wjd}YgE;|QGIw;!tNF( zhKBreNOLbl=Sn1Y3v6D@bD)6x;dp1Wegs*jRiX!j$;{b~jq_DPcp@o6TvcO|M{<;8 zeaJro6cAX*3c?;~f*t^Io-X8{M!J)&9k2mQ%8i`Hh&pk5FE%&57IZopLG^T_uRtB8 z1eqTbnESnK17dW#e=H0yf}ZlO96{zprS$;_(9n9jPg7c2y{@ zjK=GeNnIEMYx%n+$I0$wRfL>(O#2JeZNfLCiPOUTwvms1it$gqU1G=I=;*D5OEB%9 z`T-?n@-8fDwo(3E9tlnE>9RTB@-cG(kt)BoEPM54yeXU8uUkuP3b#VF z2gpBbG!c+~_w8DMBSh3n!40@JpBB>zqc2kWJ3fB=*yr)70Tm2)!MB-YErmfW5hr_U z#-V&T({GmLW6NwtGq+#EHupDkqnC3HLAc8dblIz2<-G|aQ@X_gy3n(Y{9Hh8`^pfCJjg(~cZ;BwYKXjm?(n)UDM7Tiw@XXzp z$cpC+qtn|teC?3T#ED$|2guzZ#7Vk`?@vjhw3HN!2c>X0_4~ajIdA+QV2c3UV|IA{ z!h(J_if>Hw)BE5lIf&HP;Yr;VX12&k#mPS`PwpJ-OR9m|zuO$&M1aaHd6MGe13oV8t+ z3Em}VN<^rT0MFFdisWu&MDemd!~@t5X9AO${S}!iMcB4dBwyCqchA{@zRo0<+il9c>2SmVoUi!ou(JaOC!8B*;PVVGBB||%R#Jh zMCyPJF7J1Z%c%MQaR}|gf z*J}9(YhLrYP-=rCw^!$-)O)WVhm;3u0jq_4!eIAVwHD@v^V$>n%9;;Db zfC)hd&FhDvKB3F)O>dTc!G)KnFA<(2Ed~|dKpYZKt+-Of9RE5bAfj^kC9Gm%_r3Tk zpQR7?I#sT^A8nV=%!XZ^HRp_qzxx%-e{EWGB1hTq2}7jVp2K3eJU43;iT%%ci)RG{ zoWH@oN9XhU0kFSdphxrLTt>*o04vy^*C+~Ac~5VzQpe~T%((bsl|br)7BA2Nu21=yoTK|0Aw@vKg>Z!ai-B@Lye1QFrN-! zMX+>U*GP0<`^csWF&gH^(C{t4akEJHW4gm3b-a=y0G3OFYf4}Y(f#=nX%-r7TNX2! zHAQ|lLBG7J;7Pwc(M*Js4>m-iCN>tNOicdt&!I)=3wZDZ943Dg;_-LI91K8OaS-`` z@CD3;06%57D17FR=0I3KMMdpe0#nBbWW=dL!1)IDm&A#!p=8I;*#*dl zMT#9O$3c>G&u6om2w=m6u-2x0XW zzGBCJL;?!^#hIFq{&su%$4B5#?vKbf1!M)P_V79FkZRhwe1&+I`3}qfRg(v#iG~8; zz31E<-=e6+MP9%I3u*B02^@4j`HIje03&AjVNd4d_@^3LVR0riadV)8-D5fz1_22xk~5-DY9Er$-_Q+ebxg#py~ z&6$5+Zm7tW(>CzxTl=A^@JIG~%W0=&BthE7A$7994n^KoL`FvHA*|uL^*$#E;BZV3 z22gF2IWY|KtcHL2q9X4>u|y!LKc0TTM?)4c-tfxU58$y&#Pn)9jt(hyH9JqEb)PcY zDqVRZWXA3cWK1if=HYVS6JX_={$nBsP2t;8nmS~4R9&FZm4EUJLFa>2`=`13^ZPajir5ETT0%-sVP zWQ37iPcH))(t&2G)CDw<`@=6BK<=Lc7n53PTR8{tFGGY65Z*9gmb?(O=Z-ni#0kAC zfAa*?Ny@ckg26znaXFt>1?W+C&kdkqjM%hMb-%8-w0jW)%pKjGopQ)P?JHm>zyq!u z@Rj!buAKE391L;`y3_tQV%d0n*DK3zZ&HSyc%1k-tne#2sTAAIZh6K{yeqC)^D=dE zft5T$j{z1U(b$g%soTK)5Aj|)bb{hIu!S;ce9)v0_joSSox;o4pr2FiSzf(e(~Y&0 zNWGKH$SVXCE!KvsAAL2=uFy|Dn${Dh*$JHgo=N)q*wUdrk3eO_wy<6auRHLb$9h- z<9cT2_~QPc*NwK#SWM&Yq!-GFF4SbY_86eIo2bw1YkrE1d^m+?4jo6-^aNcr3tW+- zdqUL?I!9pH%34Ww)90cyX{p)S!oJj8cgw01m|Jq6S(9~l={3=$E8 z6ke!+z;rp##hcG^mVxs40qX{Yyc1$a)YtPP=*rs-;bOafM|f6YBH;e(^n#B79*(oB z?2)@&=Fi>kX#RXDe56S0@@QC=s}NZCSzs>2y!JV)@83NIoq#BOU@HWHpWlJCZ=~@y z)l`F%wqTf=4qLKDwtF|LH`+EZCaq&A@oJq+K4T8s>&(wo9L3IOf20`{-wxvj#sEY} zBxH{om`$-A)CKUNATlQp80`yOXS0B~mFkH=`?CSS$p~9#*N4j8;OMGP?`z^zJ_NcT z*ctGHjlJuaU%$+*#NGkx#aq!AGR*8VC3=1nLdN~czyul6wt@@_}q@x^fW_(&xI>wyKRCQe4qCOfBZy9K$~E}N!IDgVW^dq z?r`ya-%&uVWk2$XNK2}~JjEu9(~jm7e1rvFpYPiV2H4PztB2^x2kjBeW!F+}HXFh6 zW1Z7h6PA)n9~FL7)Cj9WS@a`It!4#7wxP7idy5i3rvcvkFLQ7fAU+O&Btk2vYLUX;IyRR8x`m7 z@Gx?PdF;di519MUYsE#Aj-TJ%%)Cum7exc>oOUhMpa0U718GE+v)a(kfe7oq*f6<0 zP^bKH1v)yqejX}VHbDJ@1+L_xERi1XZlSpLUb~8tG9in$0J$WMkw&ho-g`DU9~$~# zI10R7^9(F4i%h$Yj_j37K|ZE^c7xpB_31-FgW4C~?JpmIidj_;X+WnqV$hQ9p&nV> zg|YSP)%u&T55IgfgwFxmv2+i>DAq_xOCn@oW>&>K*_oa!UjhJDN?jmqDE!yU8T<$(784t=RFONY;PaJF!AB)?|s}2T+R;M`618lcK<5gIU)x`XKFW}^oG9-qUjhKOq^?gNtu7(zmr7@(UuZ8i#ZXFUMCvMh7*zq|+>>wf$gN5*&l|*(yG|U*dh2yndyJntX zgWq02OU(7NXQdktV^ntpwvR21``01`t4>{ZPwJXa7V*^gjfF0MV{%R5eAxrpOk$X0 zOM#r+pf)cQc>*}(J<5M%-$xX8bY%vBg&u1W^5}uUxmyP!ZqhngK>n# zfZEcw>?b}szmQ1VcAVKBw%A@c0KzE2%=}Y~RJi~Mgt8HEo(*XvvFM4!AY~BUip7B9 zXJ*cR>4Vz5_G>tmw36p?TDA7)d*88{2stZ29e6DnK)G2UNKzXJQ7`MRl%M-)Xb{LJ z`nPjOK7RdegkdBC8QQuxT^cwAf+9-=MRTH^CgZ4D{njVIKqc2pZ~-0J;MblB_jGYOl8 zMi_i28za1jgYNm#AqQ>m01g-*CWn9akyHUxqB>8muO7Jy1%La53FcZ`eY98agwq&HNkM$K4F0Y#?eq0MnThPin5Z?SwQOJ^M2laP~qh0(2ckFC3e^#t< z=5jK;d596F7DxPCnaN9mfs%p)FwbB*bno*7{^Q0xMyk=n?dZc`Un$$w)!B0BleX#e zx|fLwWT4k6#8>YW#ZH}{9!d_p#2D;LYJdZX^wBMOn=GsD7cBU3Yx(|C`dRORAc7v8{ou_cZeOlcyRTn9 zSRRJYne+znI*k`&&`ZX{wy&KpLVU;PEyRj8#aoiK9sD~BYp)2|dM`;q(>c~)Bq2Q! z`4ZD*S`HL`*asCtH)F?`gN@_Sv7+&O9}xkfXSuiCu?(voPp`#&%u1M-y?XNU5Bp_;-@z~%HI?eFmy@B;yXeV_ZnERJfdAA>E`S9f8<9#QqWM?B;QC zvh=d_poO_S{u$cc5Z~`^UaE^nSMO`z^|^4^hM?JTk@u698+1j@NQ~&<$9Q>+MC>Y0 zJe&}#n6l}v{3^;S|8>-Q*ADdH-GP{{k9Z5VeAy_s*Y>Td>>w_Uq%(!Qm%O!FMJ}rn z{>I32+w97(CQUA@^#h;@L>nAD-hD#k;jev4i%*|!4dESuT2F_9`i`*Iu2jQ``3kA~ zf+*la=TLaF?4!5%ZG|(rKh($%9WG*b1z;*NTk$+5AcVRhBBu!orEMz%ZcBJ04%JD3 zu|KpY8fat93i!yLt>buM7RES}A0{%Ur+A#a)rTEkIjPvE|++7JyuET z(p=g5r;YlbHgMhXsB5#Fq<}~A;`ZJ7T1n--;>IsPQ6A6T?=IHI8Sjt(mM~ZT^E%om z%O{8MEy(3J9tRBj#JDx~wFa^@%gfl)Z%~9%h(s*zlV+`fuUTtP2ZQwoKAzSE{vjfm zfuO`0Z!n@G@0Z}rp5r6a%BCvOG=ngmBv2#i=QsKWa5s-eih`2{@%+4?UJAhK$(GeN zs)OdFReqZEj{#JgPK4+kANhMAHZv&YjEYlZyKDxkLl0`+g9{G&D3;R#x!f1l8rjInk=1d>~X@k)XkPzO}gFME(8yEfDxfMuGPV>mHaN zD(K#`)l_~?s7SkEV?q0W=G@DOesi^5b|K0T^v%IiGT5`jf1mEpnn}Q{Sn{FV_1w3| z-)|`h7A%ivy(NF7jpjE&tLyg_Yqr@QQ#?C;*?FzF)JS)*Yo*{xV(u&nwrel~ocCuX z{Hb@vD2?Mb=e{v$3M&@eZ>OhfO+I{+2mCEEMcejHB!&nch4Y1!mXRb0p+1xbAew#C z!`{8t!kj!TTMy@0RZb_wYt)H1aApjfl(3QPHa`hq%Qb%{GXk?ql;!7W&O+SD=_rV) zzWaWI!=ZtBIdAY zWg9I)Lvwoo8t`IKl&&Ah?=m)#A;g-X1US*&@e-SSr#!v*4)+w#Ww(fsSe+*0KXZ}S zACwhg$6Ls~(~kbE-&3^v_?aQtXvj5!k6x3gU}{Wo9$;;+^w-=SNh}g=?F*uD5DKf68Ni;BZ6d?{vlbidc_s^I z|0FrY9ktE0s{EXQ4~9g@cRZg`J&?z+y`W7N(YpTlM=tp)Vm7;Ab3PuCoPavsLqkL0 z_3jER^c9)rpoFEdmf3A|Vq)I1V%Doj5Zcw=*7hDe_5i(y-?vO7U-p;7UU#7)MjH|i zB5Id0t3moBo}>`FU7<(9VfGa1_tx*qVsYzB7E!0J*KP(&cP0;xp7Iz9{rNBiEg0!` zZoC9oOteZ3UOPKG1I8gc+#Vl)Yd$=zS?AaniHM~F=23D20*8a8*AjOH#9yo!rYeDH z|AK=R!8i-(@e~vk+!I1E=pPfED&RvtfT~AoUnFDcRSMo_hy-MBkNB!97OHdD30>Xw zo-;fynx;Sui$hBCYdaGMA6eKD*uEvMeU7$%voSKhZ}msh`6}O&k&!V!KOYVFo7chY zsdxH`R^s|_wuHDis9sYElFZFkflHMm|5U*Ul%g&6$=v>3*3mrVO8_VnH5@ak51wu_ z(K`KX<=(yL$F#%MHt+JwAafDj@ytS?40L`Oi@3Y{70CY%Bi;s&+J_Gxz6>L515_bq z{r+uL1pL(Ztd~Kxkl6GMuW+-Xmtvjcb}26go!tQ#M7|D0@Urk^v$`zl?R+nQyYwsGv;HYT8l|eu&UH=M5qh4qP$=-@nVH73pMlbmh6Rgn}t|r zv3!>Oi>HWTH)Nl%vyUJe7K3#4`^(xQNk8fu(On%S_&l$wsWOqlP5d^TmS8UCV))go zS0#vr=Y!I7%6UrPcrpA^xNSg#;K(i^AnJvpBUUDClt(%z zd)M21LN(!SNH#~k`Q0NRmx@QF?RM55T_F+4Q{8L?0uAC@(>-#u!v93 z7qfk?Jr@|ny+cJrMJb%;?jVkB43yu)amOnIu36LJGvhQ5m1%tO*&)kDhwM*H5zzqc z@g)hn$){fp`t89hOCORTHZ3zl7pfDn$~aZkHBu%H4rR<7UhPKr-=XjQ(8TY!uZPB14NPXH zf;#JO$Ey0DUfrP`N$Ua{Nnt;dca!2}FmM`!cPz zlAqBGYZJHOvV8O$a$^KiA~?)O5C?xp7dX6M@Nn5_;CJH9b{yw_a%34Q498qvMrJfA!H_TyO%f z`G4Owd~sJtsir!ccn+z}75MS1P@}NFHEin*WlFj1=cpb2MIw6li_QDHaPzy%JM0oc z9it*;Q`Ca+fEbO^SYwt9s5i6LN9<@6CU3AcZ)->v;BcS4W$-)LA6uD%Y2XO zSjp{m(DA#C*EK^MnwIHiJ|I8vEyNo;ATUs)ZWAw+5ZQFJS#+VjIL@Hzk@aIOk};dZ zksG=i+m8t;90)JDe7zd<}I8N-sTTwRXvQPG-H3+2D zxHP*xRA^~{F{DsoWBgm@{1fBjC}UkBkuzD;>{DFoAW>&iP>0g&3Iq`)616f#gdkPm z;8q^N(T0XsZadq2a7Pxy@D8)c3T}B2_0< zBW9XGDUIjdxZW%JFi=cqFlXp_Xo20A4lUGqA*$pVsUS)$JygB*Rpc3bWSeXHS1$Z^ zNu6BiDcUEE9YgJy>h*$bo?ev-4|wsB_h?du_5`-oPNjkio?E8i4CEzk+lA=dYVhTs z0L2cV%qZI1+XEB|bRt+mgL>PKAFgSyL9nG|%`%|{4?DZkqrUZbT$LD9SF~a)Fn`n? z9C8q^7D*^_Sx;$aGTUis5?KVrIdO8xx?->+F`SFufN>G&)KXmp(Byyk5L4IavO^^E z?89wz;fQZsI@B8*O@49%|I?zuxVbp&f!zfh1XfbS!?b{JM?X7(@okAP(-kmmn7Q=C zF&5io>@+xTCvssAw@XIU;k0^*a5u!`ydZ7m7ZO_LQ(p z8=v7f^-)xz^(KW{6vx_{E=%mrSp* z##UTh{4wrOGt7T44d=}+jTla#b)Um5$Qt~brVBEQ`o`|LsNrvwGKX3z(~FjQm|~W) zsiz3q1fCc$BqjU6A;NNeg(vEEyaEab7aF2riQ?}=23By$lNIMK#G63q9Pp*UYC&#w zEii4}f61POI064*H?)UsRGPpfUA5Vmo0}VcANccukKIZDV~K_t&>M^W{+)`wN!4OQ z%iJbtP*Bs_GEdJ7AT@=pC6fi_zwl#g7b9!av)P-DyhvzxVq5>oMb!lesiR^WuiNXY zkR5QBkT-ePYOUM+i>|9#0H7o>hgr2{=6%^WZ&WbA&F!Os4@K4?E{>Beyk-}bx)#5q znGS{E#1yICRSrDTcJGW@d;H6U=!wHrzS%vZX*^t9C_1X%xmXO&4PI*iK)b=D5S(%# ziFCvr24-jwpt7SzX(c8hfpKZ`8ThLmCY`}U1<<9!HrM+S4?~*|Jhv$W5lUR~9&Z&T zIY#1~(rQGf83*SC#@;>m81+MJs2Qv2qR}SYct-g{U|_b;nCt*52pBqi2A>r6|Vr*qmF!;QJ?AX*W7@|Y(^t_$~|SmGdTdOk+Uj|qg2OjO45n|wU(&D|O zoJ&24Zo{;#agA;dU(YAUM~3KD_ttfTnpgh*{y71lDBb4oNWs14yFk&J5$iSkFE))N zNV$v#iw&a?(q;k*=V;VNO%SP7v3{xJWmsQeVr2fSV2D^Yk^S{VWiOrxe{x3O=e}&Q zunf2y?T}}8x6}@v?nNF7FcCe19Tt^>yy^))2OAq3SH~=%-sCHM3FdlOrv6`tWnj27 zRpZJFay_dil5mM&nk5F*Ro3$&7O7sxd6&!>Z(hG1A}-dfQJCg`VhA5YWBIdtkO%QD zs%pT+2sR`KS(&(6fI&{a_`~ms+4~)u@i;T~RDi-eB|&f+_-3OrfgW8l20D*we|}(y zt?#l3l3FMDb&N0Hb2wUDo$VJlUgQxMf@A#f;1N&;Am5_$g&vywVh4y>+Yi zBmRnf9cre(3KFR9-UrGRge7^{V;yLH297YaoZ15QitfcAwZfz7e{;(NhqX_a7zL(Q zBYd?cs>l1R1XX~)cuDf(UdnJgZBKk5KrU(`yB`Gy28>U7sxDyrj*2^H-4DZ3UjVg^ zQ@;)M`eDlRl0Py~1<+6dRWdKo?{2ii<0AMEIze)O8A*>DP}F~7WsEF>5PCb!qCP2b zPmFRFE9)$i3g1JVAJg}u=hW09Sq$hmXN%YZe*OUg0RC{eEC{CJIu(H6iuDG6)CHV3 zplB1lhIk8b6pge353X9NLD$xg18tx_0CA94W}~S&1%eDh(F+NXRf{xP&6;(=Jp%cl zSl11lH63kjBgHyG;D>M#N{#rNK^VF7c4E=49#(h_jH-TJ1l%3-N&w&o98O``z8|(= zj#jMFSD7e2`?YkfnGUXz8@Yimf$Tt+iU_kPP6v+BK}m=Lc1#!ASt>%xf54ZrQ9hMyr1N zUySi+c`-sr#RqUB2Pm9OL8}Y;0Wt=75z7AQ2knx}VF%<*0^1IFWXRq|I(@{Y$y3S& zjAvGcH(2v?@n7 z95Bk^b&-NW%U?H!ngf;Cpnqo`X6V8KQOrA_+(1}}>m(tsA{yYgnP5rZzvbWaJ{r;9 z2f|Us_>SryCfcIELn%<9D(&TAbVh|}LW*c2yG=0bd1;Yf=FNZ*PTY>MkWZ--3@Uj_ zT^o#Z=m^>i*P?-NYc{yXn%|rW{nOC;ZIh>fxX*uvg9LVVzAA)ryB_6?)*!6OfAuvt z2x?^(Lg$dgZV>m#Ctd@+_h5**K>+Diq56KN{@iKS!`K1V33N;EbR~(PpqVZKvtiAAPsPtU zJu09A-7XYUtZyMs0o0O=pb%;VcOW?_O3%MLiiHmnmF>XOB$?Z$HR}jM zNvn&r{%3JqliKD3T=G@~b&C1-gPSJJ<$r=VB$79209qDedvUU&mnVpj(fJ!4N3i1S3WVhzgGOf8}iS$9rqOK?u$)ow1*Eq zQy~AwPB-QKS5sSQ%6QryTt83T1G%v%UuZ9&J7*K>tkW zm*EF?aCi_1OYA$Ob5EWQiMyxD_6W=e(1Fi zugImu`1>!7Xa=S0E|lhf|8o*;X=U6rW!Esul;-a>Y~Qn;uguKrW5%mJe@0>O5{O|4 zL~%Vqn9$SEyHM@GmSX8&r|^6u1q~iCA^k2h{hQ&X17?^l(_w4U6S$#C!U%~{KRW+j+iaV86ErgoBc5;j@ zxzce-PBt}Z#vMkeC%wl)TnS(3f0}{uFiFDyCJmvDX2kz*yH=*?+ez3qi7xklIcje&Z4_C8*u~E_Hcb z%^u@?cI!Hr=lg}dH0b|x3(6{f@0%Fl>k?T~_UOU*Mq64@UrT+N;QDBq{ze%;d7QJl z`#Ajd;jB#PHr4XOyB{3rygk)GQ=a63H|#TRi_6l+<;>K0#zsy!9z$9UG&^i+S*{AH z8q@>K71wSD5-!k{4}1fRaYXSwQVd16Im=}>7atrJ`|@hUzj&Ha-K@T~xh$jBNjgpK z+Pp3)l1Gs2J#-yyk5>#R&uCu{S=Lrwy}1*KKP=ikoocx0A6?akT}R<`8e@?mYuC@v}Vakyz=%7Wll$kUo5y)&4X6 z#LFyMX1l!8TxzK6YTC(Nr;%Rww3xc*0<~odsgVceF=q40;W~kD!nI8?#{LMRIbCIW zN?CV`v0{;l7O{a1iWkOy1v9u#6U#shb9b{ZJCdt<9n3sfa9;|Z_FPkRkiShFt#kik z>ByLx{eF_Uf#R2p9D)hFA@i4T%H{aee63>S9o*v%uWth9rP;r0P3G+3JHJ0)l-cUw zZ-rOeOvjRtT&?!@(}gEVsA6&DLAjZipX@S2S)uB6zd2SYL_au$Aw(Ia+*uy9`WasK zSH6L2&-S5wE+a4eQ?{#a0Z;d0*Qv{a5m#e)?eCf;Em_C237L2e^B*VffDWE68_|vR z)g4~cTIboL`~&L>x4moE}7FJkMnjlb2W>^9JN_yu92iSXlG zNPeWaP(n3VSKuJ8v9-gl$FXZKkfB;X&P7VDVB z@f8y1oOJEU#0v8q$@sv6wW_0$h{4udlBb0m0!cM=}{*iDv&|Vn&&tM-E@!=930V!6#q1(hO_0}nv z1mO`k$=K-;d?s+U;c#~=oEJs*+wIdyn5w;u?4^uO<0GMpzLvG3)wp`6lhr%4ix=d< zE|cPUeBU*m_OH7?t(;6~2adjOi-DsPuJW^MyBe=xets$PkSGbGp1YB@tE!Lh@1Kb+ zc20MNCn+ur*uYuA>5=v_qo)?1{Qe-7O0#Of?i7eUNQl4I_`6J!CwLLZ1lW#5E|W&2ZFTwBh82JAE=pRq+hpGq1@bZ- z!$Yx&c5b|_vBj$n&)5AzSyS}Ih5L*e0!-76kL&qOdspl->XxeNu*x?NKbUz*^q>{h zt$kdk-s$>6!Cqf6cx@$4UTfoFwqbU;GC^wyk3q=_jkgt5`Bs{sm+B|vwN-t7NQ>!T z-FK-sjf`0jpX>fQq_6`FpKNPFNkTZ9JsbX6Y=Sa(A>Nb=e%}KRCYnA9U%Lff&$?IU zV}0ZoSt4BcRh}8b-=iWcHLZ0+u60R8MeJU=o!pO;*hI~1lnosh+(@8a_;@q_nECdd zT{KijIOi!b0bT`$(Kkc>B-4RO5A~qne4~E(p4WkjqX)@NZ(M{5%zfVC=Omk>MP3+x z9{u=ey=_ANE`!mPR$HU03i)+QlzV;l2}vC_pMmx)XYs4gW7A7>*=3`y8YaU{PjqC1 zHtbny^DlVt4^JY;Ewep095{=15!BE(JqvK#K!k$S*;=x1_xE;1ir=1j;q{GQFQAj% zl4+k+@VSdnl}5BCGhed`pa=Vs!54}*NVV)Ne|wj@v}N8J_iT)~yVw_P!gVcAX)ST# zp2htqR@N#)lS{F&>m(+-wy6!3oYduEIM}HB3g7Ph(0sObRC;=HPIRJ~DBv z-H?+}t{0c*OzVbbXkUjJjpZ^ZI&>IeO7IB-)2D{iXBW zrV;kfS?x7l?Met_Z8Ztr+)f-F?0a{Dz-K10v`4Fl_~hQjagmdy+HJJZiFD%Ra>Q#U zhiAic`4whVR|9qnU&s7SW}cid6Xl}Yq|qxMgnaU)UV_Q9#XGA9NepwHT`cp}t`MCc zGyn_Zc6J|pYOF8#Li`8Osl)NBO)mg46~r{iG{A>KuzRk zxVHV&o4To;H^;rVx-)n0obg4S=qP^MnVs}hqg6Gm&c7aGkoH*65_&B(x?a(ed}giI znuD3$apUOON;V4b!j>i zyITWy-!Sgju}t)t7nwY!=k2SmnIxV3*hQB8QAH2jB?4W&d}|wi0lm58u?O&N43hUk zsv}#{-F}a2l`iChd_B}vKi$53weD^`@QgoRxw7NEhgCzxwuTd-hEQdOwDu0TRFN&^ z)9EHQh9?7Fg>qh6mAWqYq4e=B)AVXEtjzvF zY!>wXdcL<7- z@@@a_@yJ%um*?d?jLWnni{jDRvKSA+OQ5U=#mLi}*RDr;lW(;+_Kg=EIrb*6rts%q z`;BQAvA*S54s2LFRz0oy20*|Sq$cHt1fG+-;R!##g)e3b4gN8`d3#E%^ZaZS zF!*fYGFG*|Gxcu7uX{l^ZIk?fu{-yiX}9E*`SgZRwDcaNnX*L{-=Ja+E~PCmdcE{e zc{}hZ=9dkzZ=Y|Zg?K72+f6LcAIosF)Iq)n2~44o`@MW2YtzWJ{x*h=Re_LA^hMP~ ziQYQN5rfM>5PgKFO7_&;rvs6xE&Cp4)_If_zc=x=FP(+Caf=p z!;D{A@$wlR@@yNoP2f>5+T6AWan+WZnFL*IcJ_d zd-gmtQ?18x>+@<6=txn+xEA~VtbD5*H~Z@*(z5?2|LxdD@eJzT38~$@0he*d=LYf} za!kH-^JsTsdBqhIFWb(m9l1glu2w3AmEGS7$tPTei&$}|@}V_%BZp8oJ%+5eiV`J;PnFINlsP+I^Q#mLh@HIVHVK|1V>c@9>9nvAs#VbsBF^gb zT5^eY>b6;Hr2sS;-R4jB#z|>*lN_$_k59gx@DL6#UBWzM<=HPPg#s+Lc`&+VE>&jI z^-IY7`-Xq@n3iu^Cf7$Kk2vJQJV&o2-m9k~(A*xeS}2$ADQM$-ZY=b00tNzsP!sMa zQqRCH%1!FcU)xn*9qUvhnS4lKdi-0+U%oDoIlV&9xn|ir*_F#A2|xQsi<<-5z*tdM z-5f4fPkoDw1C4|IJ@orbi%UCbG#0K(d1d^B0{6t|9I57F2Z@G5rseFGh`VW9u?~gK z9RB-Pi-w&QbxyCT#b*N@_}{sk`n7X7Df^3HrL0U{Tdw>tcJ$`u_x`-e^&5Ei=2}hP zGgiO63=bns^xyKKuE@Ufl?e22^rX2G0*S>rkXJ}P@7-q;)JeBK%z3*prg-jpA7f=F zYj`r6tKEooP{=dHv~<+H(kidjN3FAI4ddTsmnm6Qd$wH#X5W(4+{X_Ydx^{}$df2|;xQ9-KRH^?AZTlYD_8N&V_MNecwy4TL>LiTnM?@QF zf0z#mCK;yacW_b;(LW(zG+=OQHg7d8HUtA}cYM<8_ccqSa<13wt^;G|PEG}92{%qc zo}*~!vsu4aLwfcaZ@aQ}x(CZmN2^#fz6elgoySKK8#zus@2TrfaN(4K)73LLHsdkv~PeuNG&jrl1$eoQFMrq%M1ra zk2|H2Vr9n_1N9?<0e<2bQpC_bbf@VG*VnF^n`ebfD;5nJzgqXHAa4YiQdyM{PjHKw zM}Bb_&XcJ)dy9;v5GdP3m3wdBL#0>4wOXW6M&CVQPyhw~p4qqn*?c_L;N#8RhkZhy znEk0z2Fu#Nv>Hl@7`;DWzd}m zdTn)DqDjL`0i6g&qVf4*>rt6cLXeU5*<=ZLLP<;!3&o3@IL>GMZ|tY9KD`MTv*!4& z>jn7}VR;y!S$fyM4g(}hczpI@mR>lxrrdSxNVJfc$5$oEHYRvLdz`zO)J^b=(-9`; zq*_b+d5cpJe(d@82j)C3D9j&-gj5$IbtzzBU#i>XzGJfT()i^9ccA~`Fa6EPK~DHQ za#FQE9xF8| zoIp=$#)}&g>k<(rfekfLZw`}Ray>z4v0sq6b5!k?5MHOLxkzv%4Z5Y|a1@SkN2-gE zBbF9+Px0L`l!ROAe=3L=EWPnpa5%UNF0MHwANwt)_)eg{4Efl!X5)n1N~N&?6~Nzcs1%K3$*D- zV5@!#!c;?tam?WmI8beR#jGkFju~Y}w({lQ(@0{XLB?XN`_A;N`Hz-I}$`Lbp zPWg9A1vI{*dpy_#W%i&64}uGy)kGibqMOgm`e^8i;B4Hk%3Rtbf zGsC5@QJ~h1{srcUW9kfWM#)#|n6-a&6rfxN>qf4(;k}A$04;8+j#RVShMd*ItxW)4s0pY-*cset|kL{*I@&- zW=6v0=3{D7$xLTaA)`R2q9}RmS4q=FhO!$-K7$j`T|~3Z6_e>cjm_liJu^ybZ3!^I z2}XvJki5pK(0tR|N@2YY1FL(&05`q(N@8An1ttjZ#`T5sfzgt>nLgT2L8JTQorM5X zLm>;#`YAWyL+;q?M$NRe&{+e$)at7B_Xi19#%|UFci4cjEA#z@|#qVcjxVXAKSGuvXw*oL8TvF z6;VHJf;8>RVf*nwC{K$uA|2_?1aX`snt7nfyvEH$b< zfOIEtn*C5?=y&dv+3(Cm(Jpm0k)+!{g8Z2U194}2MA3;4^~IE7O!1RJyT0}#n$(wt z0}%+B(uy_7(+S#ddgeL#aev4ql3jub*gzlCt`?vN(d@b(z_i))wpeFrf+(mNWc{Uk z@SZp>uyu+xuk^|oJTt5%-9{nc?sCS0_WXIY+$G#sru``n$a^>&+717B| z+RU4muEnJ}c3t6CnD@S(2i|r5MV=(-w!3$}#k6sL&e+P&?m5@>k`WYBu?qg#aj>+} z8+|MWlAG1p^%`Z{d?ehO(z*^AtLG!^FfbelF*GLwnJ8_q=z#l@$zMjfA=KMNOJHk0 zp5p9gItwt-%nJ+7E7L7Z77y-u@Do=yr}@V)!$XBW8ZyYPJtLew&NiNnZ@!>c-1wT9q zgE^gwNoaLWfcu@yQz?deT~9N~!I2zxN%G9v*yJ|A>dnD2Q8L{eO(}C~BKA`FR&Qqo z3a$YcoWDE*Az# z<>rlPBiS>piOM2At3^%kqTvrS1^C?TH3OMwNa@!-n$zkpojKY}g%tJ-UEGQnrskZ~ z5xG`o+dI>2RETGV9uFHwkjd-R4fUKE4oh~C8kUYB_6ub~&)L+Rp&!TeN1Rvg=F7M* zxpd)+8AWxZzU<&SJRG9mxwvpBvw{a3Iu4@iGwjl+jBtI-q~&S^WJkUZh^QrH@0WO& z(~(c6nIGB~Jf0Bk@R!75&mo&A2@qE_`Zo#TJi^0c#Or$r<~k@4hbsy0mA zbv8CVJ{1Zo`HBO3LP~3xU26Jhv*f$vbACo)BG6vc22hw*KH+sjQrLB}Cy*NXY#83G z__T02p_M%ayU%9Kbq^)x zi(D6k_)g~y5O@&1nRH<8d~MjocDi#ZjlbVcWuP0-i9%-2EPjsjCkM^aHNbp{_Pok? zn)i;ZKvhq5|9oE`!-RF!o46FkWwcWWBH_;sG|5~YZt0fpHmGp<+<_#Tm(V*B4a=xJ zU$l8UjU|&PrV=))n^=_?c$Ry1a6)Wi%GTBvoCtlhHIXLOlw3LUK?GbJNwAbc}76^Q}(Q6!@4 z@_sYXYiq9Dj}5z)#OI5*3VqFKn1f5{t|m>r*i`IMO=F5?FuRo;fBbWa=+6l!+uP3? z-YO)rn>1*v0#4ke;gD8GA1*Fqb9{H5^XJZ$J!a9sq5AityiA{XHSMhoJDuz6vq2z@t;lAMyW}wn!o+|L0fDQXcZIQ`4SFOsV-&j4Z z?+^FfGBro2_|Sxkae42x(Z zG;()R1~eRcs8@ckw?0SxOinWnb#977s2@R>N*G{}{?Bo5Q*Lj42gz633BWKa;pG4* zGUPb3TIbYPFE8F2Koij&wFEnuORhpTQGgWlor)qzO}V(0ywOw=ciA#FR1dxpJ^E|A zl)oroO>X7h`GWhtpls4Bhm@8Rrx8Rpg(zj4N=c=<-nqc3vGHzk!FoS+6LNvwgCCpq zJ+IAjNB*m)yB$;*7~mFU{yBA2Ao}}m&Wtcf+Rw*~JB-nv$l;*85J>5&Bk5CD%3l^{ zN;OBz6@`CMVbA31`|EKWGnl*m9I^g%ltUG>9Q%_q%wuLos#ga}=q`%E)9C2F(7V*z zOEF$%#H+Qs)!65IX(vY6;bV56Y@2r(#rkX(iX-|)lQLSJ@Q9gh@qz!QY-9v7^~c*m zJ{1!J<7vV3(uTN@m2*m7l*htECE*>@ifG`)02vPdNs>OKOU&v?@ajZiI25Q3ke-Y; z&r$_+nD&RO(cxq6@5^j!vBjpKBt|Wlv6>8vUuXmmQIkOe7xCjt!DhjVpeJE+aMEMg zC|a9~r0!~OA=B-5?v(A1sgudf6-n8+Y|U{KBnd^QT|%u_1Q;CF9qNwfbF5a%XY!u! z@c5ioPui}>eO{5E@qwlo5CAfUh|K8W90HIHxkm7E*ej6^b)1d_bzP-&*pzyWLXWkD z?^nA+Ie%2Nnc=dF`o76&$t$3T{L;*(9ua3})~t2-jX{r5i(FZMJVx(%*8Hil!G03R zrMlO|`Rxhu9c@-;3HHp<4fyR78t)S_6S5L$7`y%!c@B3rCC2z(_&e3rFtgjAcwE5J zmA!vfiH~s)Sk)MAyl40Ro=6so`D-XzW+vyxjd=hM`(M%+C&ZLawV8w4$xH-=JHFN4 zVhQq+Tu-*!Jh<=ICSL+lQ-ZaCer<*o5fx|5RTO;ZII3c;rQ*~)V`w=N1ZFUk;qCn9w1CDid_`LU zVmCto{WqwoE`?QwK8>;95nq^qMazsSK89pT%tW`UN6u;qr%$AZC!2xJ;ZUz0cjuR5 zSzjTN7<|K&P|ra#^PC!iitzo4eMRAQ8S6C1aw4fzKn!7;w5>Uc3Jdfp%?BhmaCZHY zN<7RjEXyD04TJ7a-r%d=od*q6IfVS*r;%h->=X(%5V>FVgZnP}LGFKZ#LBikN~H5- znLiH%Mjcl;d~9a z&~p#UN}gJ!J+ha+A`e{^(LFKccp=}$T2v&HdQe17t8QfbBn^$HVeBLA#)F<6YfvaTUi)B01Xg|l22h^#UW^rPaP*gW@i`a-*>B6Lj|lx(aa+lvg|bzNv@BQ zbfz1pk1Umt$z8MkCc0HWf-)I+OrNOG0?v45 zn8>P>yPZ2epE^j<{Dc&(H9y}&ohE}1gM!f)!G-nW28@_X;W573S?0~LARZ&-iyhzi zUJKBt>%&C&clnr{L$7A$t7EAwLB8vKLfY?cR=KKX12 zLvweKob3Z)F29Cv@y_iec!&%VIz?7oBkp4QFK?zsdvgKOHPMq9pd`Y|B5^RJjBHE) z@b+!(bhziw5mN;NT@lg^Lenf<61%~;3@&SO$AYi9Docx#IPgH#Fdr!)*{@jTMT10%2dG45Z&p&2+l)+97YB90FaYQ<+-%B8;ii1TP?)Q!#PzV$)Sc z@9nu!Rs#Yjnm-i%+ZVV|QsY`M=yf}A>Rf+EaXyz_M?%e}x(zTtAZX~rw5+3g!W#;kjI>9g3%X=7H6u&Vtz_W1Z?T}n3Zp8|??QI3A98#&2;x6*Y*f4gK zIH^35RGCplVVqY<$P&hyCiqw67_EQb>#2OW;#91vm@m$ssTKf)#3*$6$J z)<^dz_zGBqXK@J26XQS1w?nhJKChu^ru%p9Gh+K7?MJYIL4p7s9mk|A6ihU~@aLON z8Oxyknkxo278o@DGB%J1_Iz$&0RCbogdrvX9&y%vAcr`M*)-!R|L(~cBD8jj5Hr{@<32A2f``ZB;9Y4POIK)&g)*$ ztQFbkdNV9Qd#@Pinw6BmPhtW4(W!>r9)DEqp^S)CFOYQn*OpoUZ-FHmkD{p~k#|Ev zmypCdItFQANP=+R#XEw`C0_k(bXv7l@Q%AM)0(Uq}SCv4FL;FE+zz2{JcgoObY;opIA zC&hKZaK)3AhKZFG9)5n^X4a&poVSL_Y6yo1#1h;HKcg0DyeIP5=8?OZ-d><}?DLOU zAmvTm%Utpfn@<<%V?YtO;z`j)G4VpGv0xg=NE;PG1G2ptCGs}~b&lY;viqSZiQS6A zQF6W+wy|H2hPwHyZE7<=+CUk~eiEK>#AQ8KqU<+3Dt|pcY2R{O+)Kf&Nme_-m33UY zO`B!~umT_%%|;jhbEh2vayVf4_#ncX{oip*OG{Za1_lOTj^Z{8uztyPe-!6(KC7xl z3uT{qL90V9=X+dG6e#nsjxlbKl?}dj_R^QSw9Hr~gh7&AB0KN<&!YmyeW6z8ziYv% zdacy7V6kl_qJMyF)JPa}bi`D`^6z+L6UA0chrBxHoPB+wFy1K1&w2Z^r!HDnDr!NQ zdcYuc3fX8BK*0#4>`GYQy%n{t)j#sq7>6$2T%vyGppnVk;_EY1yVw}_xRb#*FrYaB zm-A|)-ZEX$f>6K_=7D^P*B$lJ0{tRPhHaHVbb~vK!@wmnBB%W%L1(=Y{Ld&a| zOZY$_Tl8`Ltna3}Z|ifJZ{UK$Y}IO+;FfYf`(0SsDeGyA`Zl43@=fX2<;^MIaj2hT zL;U>uOYPMUzwe!RXF29+BG=@%S4X`!VgkTQCplq`xMXP6OEds+g;W6JnF{5NmwTs9 zYZ(SSJ7~vThYC)eS!iQM>xxMxw1l#FU4_$u3;n7k>}Ot}9k$PC(O6mTRVPX()8Wk5 zFC?aqL9%>T#vBQMcpzh-arc9umU1w8YT9@^owq&Jg!eHa*VMW?Et$3%_p!G>y*Bua zK^?Rq{&^1|u*jJq5rTJLkcS@ZAZ2^Z>JARZ!UA3ekA{RzaWsptVE!c#K(~h!Atf-{Od2(%Pr&yOB1#p3ZJz z5`%*etkb$Ndo>3EhV`;9J8g9b#x*N%(=3ohHA_fB5J$tplJ7R-nus}NQvV#@?7#E! zb1W(y2h}6MU#z!F7%y} zc64-jjR7EH&iO9ngoX~O6nj}RJ%YJ|l}?i=-5A&Z^&Fo_3u(|2{Lw4u<@Hx52-O#u zc6_KlaiO8A?%bRmme-M@*?d`iIqFMUDKqI0Ho%9ZiVS%gCe*RvjLk+j@5qu&aO}~f z-T&}U+zx3H>na?$nSRyx8G=)4-75ro4uQQAQxmo}HaVDnety%M!1iI>wuq3B$qIRU zUOv9Qd#V-HOIZbUNwKHW;UY2T`Bm2U*#zwIe* ze-;GwF$CFL)Ill%pZ%V#&rq7)RxsKqcn$Y6*%1^Mj1AHZb`mj%^qQ5g7Nn@mOx(N@2>JApl6DKEwTjxC8$KKMXufRQhsKj?*RT|JCa;cTawY8$4i`DmV5CXo?Zs*F{A)AK9xgd&g+gwB5`_y+32M_C!s7 zYPjs{s59Mo9=qjijrYB{`E@6gQds7_KFaII=Vooy;tyHqZrU)#r>v|jb-o-(!Q?10 zl5=Cu|BTG!UeXoAd!c2Cot7-642Q5tHZ8o|kzH(``%&NfP-e=+x=ahCSeI!ehOzF( z65O9xjavfwtK0UrfI03^iSD;YjyDI2UKVO?4I=Om?z2!!AM(BIjuR{0*`k4u*lU@eHi&prfO^u?Gh69iEG>!Cd^GDbJ&08zdxO46gdad-2I8?ql7xc3nsOt@;%4 zYfLAAo+H){_DQYukgLlb33N!D;et;rK6s4sW3RFJX!UE9oD*i{U9l zbxb9+9WK4DHEj{U2qc|=FkXo$e@=E1BJcTuDWg_7Ynp9t)k|=zaj68GQ{;*Cm13~8OSCKm;Txbx%7qkY=-)2+oT+|w=4i(?V9v%70#PF-pE zo2J}Ess=}&ZCwA@mB$m`EcJ@we#umZfx*Rlng4Ea7bqNTS&@olJnQK!=)|!PWe>yv zyY6(pIW#VIvq)EnBefr0%KKZ+f3QaW?!mVUKI3-z! zKAJXV*vT+XJ6yVYEr!X)Bv!`B0TZD{M5zfIA3Zk+ zBafhm6@jDHj#DX{{M`qXU)_2yldjHdV@AU}O!3CN&v;~-1^$H~Vt~@{asjpgtw+Dh z^y=wqLYjkvgWKx>xSy)c%*^zXwc?w8cyPJo8+KxsNgET*C1SRLgw>Tlvm*JGp33TM zbGUi?wHVBBk-hN?e~tb3#u52|*7?&YqA)GBety{(%ba`e2V?cSOXzmozv5`4xLN-7 zJw9dnHK5HELG|HeH`zhhj>7SuKQw}(-lL3tkTTF^a0jphuu1$JJohERB|q@ggBf4o zM7&50cIWz}JQQ#A^+77d1Je*U^?MRc%-RzZ6Mvs2G^X8-n&cWF!ThTA4`xVr^NgqY z+vkN^mmC9Odzu~G5^b8bSGE}KxUXjCjWv!)?j8f_xuIRf*pQ7^P$GeKtHL_Iv7$vd1yV_*0R0a%vqyC&^lsnZTZZc!8 zeV>u}iQ%+Z{pbh~lr5~iG+o)dm^TreCqMECY4FgeWY1{MIb%Arj7ayD1_L^Yiw3#I z=4MFsmaE^+0S*~Q(;!4=4+-iLCtf*j^_MUP(8BdEm&!-7so8I0S&hI(EL|Zp>78i? zs(7-vV66=9ME%S&HJ~7E1OJgk5Ag!l3E~KN@mgY_7#MjN4le_^Yd!^Viu^nB{zhQ{ z$ymd|xCb4MQu5q}o0HgN?M3VNoK+2o;Da~=#Cseq9H9sdP3j!A4m-FK1gF{o8589u za(d-)WSU*U*zQVx&bXgf3iXJeB&KZnTk@qAu*QwvTsynRH4QG8j7`ke97hG$aIlQM zCHR|~|0>9Q9cDH^dRd9sB(ZMud(%(%e9B6D|(nkY?V%Dt6bwauVrvX@uA}9xT);vR4$mCa?hGx zdx>x38f>RFTxmeMC61!tZ(pW=Zs1lC zt|CQZwz{e0W@h(~aq-AD3cwI2=jX5~}ya&>6!u8KZ#H)bbf?^%M^;C>NM638};b zaTS*Pf1~!!@dp`7RtkzeN-9 zHC9Og?e!G$S${m*V?a~QZpvddYC^&GIzHki*++3FY;{EO{+8a9 zq0K26Ip{oD9eJ>OL?Gf|c&Od3yz#*2LIE3EIDN1_ZW{|?-L zlp3e+7S?y%0;D90Htumr`TJJn2yU<$eY_QIv^xSEmh)UKonG6OVwYfBL+J^{u%Ey_0vMwAx*r z>Ysl4QHeT&4}A-OK(ULCp$rg3Ma2;FE40??c}>xeFZYK1mwq||R~eM)Qpd!x*aOHT z4T>?oum%1XNG&W|T90`!zbz1VD35%u_2ae1@XHlcuGvS2tgs?r)_3@RKUGKj z6@tvYlFZ*&@S#Pnv$I&#D=Hgq!d>a()jZGPFe4|$i( zLJ9Kj3a}Y*obI|Su{fXCe&4(~U-|DEql`X;=TO8YY_m|CL8gs*qpsi zzv5MyZs96R9dM!e_-FA~86_z_kA?_lFj4cr0<_U=ZYGQ-laMvMV_Xiq`h+fY`Sf) zO`7xyecP*<&5y*)R@laTKPGyh!BKheeROSWd)S8~4<*}3NRSQ#0`}KOkj&36(jN#* zR+CppHHlE)0X7I36Rjh?4weJa>Fg$A>$)y|y9@00hT?*9)Yj1fMv>9BzR}zO=TB*YeiHgZVz`SYuVe9 z6gA+4e3cLbBWzW3uZ%UQ<&A1DsnFfX!c<1{ZPpJGLEjdwlFgS~c56a>bt{8gI~Mh} z$dJ+cz)4kCTYze)1c|#bm|%UnvEEi_=?s1FEG^CpXMmbW$YRh^f??V60TZ<)luS08r)-7+*sk1LiMV( zR(5zPfv{wcF{S?MS)?XtZP5;?$=3Q8xp!`Skn%t0LUB$)H33j361dKUhMrqYDIoiFu6rzICq8L`bjRwZz9CNG5L z*ocJ7erdb$^g-an~0QF1eaoH-ouqR;^NKa8K8 zuW!tPo2Y2Oco;XUzn`DV;rIGg@cShtEdz?|TICC+ACNxT zGlVnh)E{WV#x4-Xtfwn7Ry4&)L)YwYjig72u=f@pgNAcCRV>dhv zTNLsbX^~yJ2;EV}EOFSo);u2TaUN9=bOlX%4<=-fUXcc9LQ}yh5adABG-!d;LLQ8M zvQ}gW^-6H~XA?ET`V8*%bcTmBi#1PN3{QYIUCXFRCEAYl0o8xm!z76dn7YZie z!b%JU&ty~$AlT=rZOC$To^o^gCu$S#{%NMs(OC)h1phs*9!XiHOSYcA5>C+M9bL8> zBN=kHrf<2FNj=$5K_ngq);)NXw(EscsGQcJBDUBa9=GoQ0cS8s+>pzSpDN;?NS7Vw zI`JFmU$SQSWUpN%Fz*t|$|Q>C<)0BrWJ7g{G9^)4vtz}yu$b8b%>$FTarQx zn%fbk%xYLk8yIo`C-#}P9fB8wkyo?`Iaa^wq9xRBE4>JJNF1>T0w#J?=P2iFO+gaA z{at_b>d)=5E$&}zxq!c_#n2mBThnQ+1x6%(jgKhrE#Ye5nQNBA~JDW?m@ z*5rgniLdq{+-JR`Vy=I-NDdm`bqc7c+`Crp!OEa{<{*1Rp5cz2%LQ$Lf3SyNAUM$S1?n5v1u87fS6+Qx{v!IXkvX(9@_|d2O(V3($O=Bcix9Pena80z(KIlSFp`bvU@gA+-NItGpjn!2<{}^QAkV`a#u2&9L{w#V_UzzvOp2f89o@KUj_QkLa9hs>O@HH5`4z z&lc@a8iZz29SZNvXU zQwZFBCTzF3q5mrcdQ3igFtx-j&+Oxe+EVa)HYam>vWo4KcB_Q_LHkNly$e-R`r4{% zvHXG^*Y@3qY+(200NpG*yG>3=?N{)Pj6a?D=TM1&s(T$>GZhF;%wVXr!LM+A<#^xW z#waZaGN&O@q6$)n>k@?+?BMpF#V>xb;|qr#i)>@c-t~EYD%1GUOF<4t$Mxu7te<;Y zhUB32zpy^JpOoo&`8RZ+1`#%*Tm1kXB*B1oiQS|WWY$!^sk9QOjjaPh5OnBu%FoGY zN2i+Iuf;6=%(liB-`XDUl$u_1_g%DpUpOD?L`99;X5DFtfrR~E6^XzBj?LqCM^v-V zd4?`C-?tpIHX(~tDJ_Clkk9Xr3Bs{6oWr;*W^_);RDhQM?UIE+u(y{1JeT7fZV{0( z9sO8k`Eid?RrE0AM65JoRa#LZRi4^p+WIJQ3@*EhCz0NF?*%dLaJj}8DVbaK!mLwx zVbn#;k?D!W##f1e05sHGKR+q5Nloz&is4b15|sLO)8u|OQiA?b?Q{Tw5!6P^!>sA1 zt?6_!nV6g0ZukKg01YC*Tx#Hu{gxh~2`hGiP}O z@`dIC&9`D+GV5xZ>B!NdUqRi*0-QM6tG46cYz9*IXQodSq_gQv<_j2`p=gZK}yeh`NZ^tb)IiT?*-(xe;;W-t2kmeeY)E zs{~6!;J#^vq5BCvNLcf_jA!Zvg}tNTB$Txs1J$9WVmEb|T7 z3PF|`{H*3DP4Pe3ZYN>nOukM=PFnN57=h!{iAlxcY0iB4_P`fxWdV}LlAVup^FVps zP1p}TErGn;`lEGU6(b-O9KSN6qRtnG6_#@Lhse>T2q^qB4*;1V&~VBC;y zuCy%$v-AFbH*I?h%IGL424;nu(MNjpmTHc&&rt9@Y$~>iRauD1Aa2$AOo1RzH){@J z7?#E{Iy9k$_N18R({yCpGASP%;uCs9s@7!y&7FIH3m_PBuq*X|6NN$&-_Sc20ku(uJ z5L)FgmxZvQcJVYKKaQW0iP1i1SCE0k=9G*u@;1PGHL&}gxb|C?BMlwVcH7ei^tKxX zsST^5diu*;!>p)hHz|XdCxDbW=F}*I8N0^Ipfs{ToLW&B5x6uo7t`m^~j6-&rNAn5_%3?hF@$=lmoYAh5> zuBpLO^yW><`t@&YP55EbF6ZB>zb=7>7B-|zIedc7|au`-0i>Ex+33By~aB$_)HPxb3*E4Vm;S?bX%eS4K%a$s{U zFMW~zPr&Z04IQ&I=OHeBV@!NT7Q-LQ&PBd-i9brV&nu6Lt+`uQ)y!nz`IBbBdT3pP-oJz6O`?~(t7XY~( ziSzmwNQWw6B`e!*4eYUPJs(VF|5V+)kbrYiEvP{?4N@~vJ#`3G*Ya`wW^-;(Nu{#q z(mM*)Bkd(-TLKtcl8;J3`N~2KYD{oB*<_k>kqRZhw=tI0USuM{U-Dt)*$Oh@gKVs4 zWGk2Gn`Lf&U*PJ_?DtaQl*%$#_X0({92P+-Rn3pj$Zr{Oj{b>uSWF}JiXO|s*opp3 zXnqtI$dn@(X^qlw7pYwwwuow+ER4MfV@9P)#YPUeNb;pDGqVWM(aGe~^7D<=O0zErk2467T%%N3=kee3BJGc!-t2nC@8a_Rxu>Uco5uMjc{zoS8jy(lT_mjiuld zJ-hiF2Rap3-OI%`bTn#jxj_3($@B1acolw*Co#(f^;m4Xt%(n%rRbj$5Ob2Hoa3ok z&jab#F%{?4OJ2u-EhOn}Ze;XZDAO% z8#5!9p|Jsg&2ANY!39_;+o~@8zPYUL`R)LJ*Y-NE>qZ5MtILA5V0#Dl;K?*8=V;t* zQt)|rk0l9&@K}?|xomrfuhcL~OC&>2YZ7u*yM{8rBlg<#+?nZl=%N%oKKpc)StHve z#rWr1arY3{=+*iG-3{Z*t{jR!KiJ>v5GK#H#|E z={^T;X9j(_)px`leUwnI>g;jM9R3>;EiTlN@Z~uUTP+r@RNeEfWA@p`Tlk=Txz!)U zF?gHqJCNRqeqP!~=Up^OTkn6%$ON;uzxH~)5z|Jux4ro)h5U!sL3oaLvJ$42uB*@Z zC!B)R=&abQVaw0PNpFh_oBInrK7vnc_8r;BWoL-KWtK0A7PR1b8d=YU3%r+wLxFpi zU_X~<=xvOl`GxGw_~|hc^c4y>$rCT;E@~;q`tAfMx7Z3JF+BL1lfV05ueo5>kD1PJ zPfVL=OJ=09H^PeUjC5D@^?7vV&HU}#hbR=3>WJ7ufA}&6N)_k2`5oOd*JlVt0{;Jk z1=%Z2u@S1kB2Ow_`-a6Fwa*XY^Z``6`=FV@pU!aHUp3@PA1voz!QEC}Ip{3~9<5`i z$?Ddtjb$VxB*>?WAfTb4FX^RG35HEN#jA=dXa5gZZ^2d95^Rkk!GpWIySoQ>cXuba zTaW<3Ew}}CaCdiicXww)aCn<@?;YbC?-#7@T3uDMX3eg)_ATe^{}!yB-BTPI!MAS< z2ue?N*Ve{4)#J2^n9yk@9ZP#H_+|hIvI1Uk(=!c3m@|2K%8E1@+8H;qV|OJxk~cb+ zaUoY9q&mHOW4gWJuQH(hbu`@sz{g)#Flj@<}*qbGR3Eg!CM#o^Pb?$?QE$X z*SjG22nv23)u7S7vVRmn%2d$vuDg246zeFa_%(2i2H*jvFPC710~L@dYuO>lp?|Ci zZzD0dXh|Y?p!g!MS-9^sb~n|M37}7`nVWysYNN#_?$3qlQ&0p+;2k!BF;PEZXxZX` z!G}GT&+1S#3xyg#g&A}*JtHSQ};OQ)kIEs%($JR&xs7u z*R|zx+CHoEbYY!b6lU4eFp!{AgKT190rVu;=>M+LrbL|Pd*@U|oc!DxS&Kxox%NP* zu{=QJHJ=AgqDVGgaT?-qL_8rF8YKs{jD3o~TyX9r*EQYr5ux0yfEYzA(pj+lwp}DF z;(|y@T04VZ`e6roJpk}j_F$-9gz5Ilexn@VY|)bJ3y^ZdwM;dK`H%=i%WZ(%Qa9GJ>=kn>P2geTABX(qL#exa?F@dB?gE zxjby?pfwXcmIU#A8ukdE2SR-W3lQ}F&46+rT5ij{4jxhhmODc&5B{{#ak?{4Ej~Ic z1RAW^-i2+0du}zO&fnjHaOB+boyQ@>jrA(!I3D-(c+;wG1M|BpWaRQFBJ8hwvPc-| zS<>6d2nyo8e;m~Ib#$ecvEB=?$@F{hDFRYT5=$j{v{fOEcTyJY>O-_){h0w+f<=`~!S$Auvq$uw1sha@3|>D*x>q+(q*)HD05n8u!x{!G$JsuSr@=+I`u7 z66yhNg=g+mLUo{u$oCtAyy(jasK~-=FA#d{B(qW-rsQgkM4tS}8jw1j z`4RXCZ86jul^m`p%7kXe0TAIGbc6S@hcE>@RUOfj(pm^SEJ#quKl#aR69N9R9z1Yf zi%fcb9|W3&`ojXHzL@(((X$a573ZqILW-q7(pQw-62B>C1F7o*tS(zWC~zS<_xTVtrqu5CJ|_zjyAm_>2j^V!0M9SH#B z{hjn4*_xWUGJW6eDNcM9l5a zb+q#f03Ryc<`TJ&SHC_Rk-uZpZEFFDTbz8e8|O7gk5-%LR{u(R4VZGFO6wu?r^9Q( z7C=PtZ?IReY4E)8b5Gn)2e$folO5s%Z09&}u6Rx7Z<0y0`2yH3aQA$tZdm-~ulnOp zA;>TK56Q|R)$S#NE^D#Dp)ZDiK{nmx#y=rgH~3p=7NyG|>C1#%fI2-cLy>Dh{9L5Q zJz0(IixEn~WzW`Z3Swc(-wuuShr;jAmo*>thr|e$iEYvZ}dUAXw@4{h`ak0q@{1HKByLHdl13nGf{h?i?nP?jLY+3=6x*4&o4ehktTllP@B3|s{OnDdz26`@d#?6k>mlw?rqA*P=AAScH`G2_Z`Fd zF~1BLQ=D>MoO!~vDxrc$U0ozNe*y1OQH z=~Uz$5|x-Q`n%x2w~|{epnWpyb(EPPJg&XP%YKFdNrMz)>D2iqIljkW#sj6|C>{l@ zT2G_*`b}wjp8~^Up*B?C%Iv^u8vpHPmzl;=AJrQptp_To&GZ0i*Cnw#LDh z<&6K?ExSPP*Yb7}qO|6QmbgiWW_N9!OMY|5sUvI)-FMHcl=stTVW_a&ghO|6IIef0 zuH+?@_<+j(a>JB{skEYHdPkWDWWb1>hE`sz%b8x#ncT#Q;YhF5r}99W@0U{xVb)xN z@3hXJp8mH7C;E6$fOYoraNQI*Ua!C;wSwiHsBlQG&egj<9#OpQ4h!XTmg^jy<)TiC zF873t#o?xxu z^KXlOO;qU5@4$yA>z-Cw__&{!c=Fc8L5G zk~_K5T9!Oh?It1xSDO$+m}mJZ5AuiY!()`8S}Eagm9y#cRuDZ+oVhgYWHPshkU@}R zFy$a-@uSgE86X0;ssQ-r0z^Q{f}hi&OVo+DYY*~+bsRBKkK(@o_25u%ChYrt+UD=OFU|5HPP`nC2R|x@ZtQ} zS*=%R-b1p?cl4ZFO`!t`pepCh<@r5it{p-CW;f?J)+H?9Y(RF*5mHIuzkZ{(8~iK= z%_!Nv-%vQ)f|XfDMolP9+~eS&5m8H~#8qyxv)dv4){5K5?N*73Av%$7Y*&}rKIhQ8 zSOqpbh=Q;}1&O`be2XT2IOsvA5$SQbZ>IvgRVn1X4p4`ecrFLAgdF(GG8f&wwyigz z@sL$TSuMcSA*}l)3uY>4UxrVs8i3r1nV;6pGHVx;nZ~m8Gsy!tQ zWIki@Jmngqz|H=DM|_5MJJK9IXO8(G#rZK$B136fG0;7T8NAbkl-sT@9lg8vP|J$y z?Bh$t^MWt)EIjfC^`E#=bkrRaMc|T`LE-`+&P#rON$c`UA6JjFmE(`tyX6i2ZRV*Q zAo7U~%*Wr3@7-D)GrLXhenR+N$V1|L7<9#1IAR=0QEJ-8s_)l=2(AsBa8_+%YJ(}#lwTJqW_zoPB0k(rA$p2X? zoVMbs%24#2dB#<$q}2ffZSK;#j?{LjoY(XaX?IWEc0~@Bzw}#6?aRm*RN*=jplVZCoL7#4Z9yh83-uea|A6FU z%HDI;$~P@VJv?2m`qr9d&3)_UshIH{WbBZA>)IZd7iS&M#&7AT$ixsdV9LKIz{io} zu}P5E=G;V6Kf?NXp?(I(-_=CDR;#DcmTp+~mHTLt$qXG!qAOR2Ib#lP3!t3v&VYTt z1ic)tcgk1q;&7RIwdK45cZGdxG169QIP<6Ep7!_#Sv^zqf#ZTwKuYKLEf|8JTbG^o zc0f(vN2o?jl6On)a0x{UKx^55MmEW0g$y~c%xzx?s_;1IbD1t*&5=$}^`sr6jlBRt zg(Iea*6 zC0H{AK4VR8VJk__6iP)J&86G|De_5HZWywVxKoXJU>%`dCBiIGiTwRJl+6prxgEm0@nj~`} z+z#G4ofSam-hYHy!ZiT7-F8C>gmF5bLUdNm%l8WZt_FL$r0?am(a>Z#6MAWbm$x|$ zFZ&{K5dK-sVfdLBi8=)-i{WWM+4vCv-YJt58C9Di#{-`+D*t@`DZlW2!!g9{5CX-^ zj)t#8*A80wC;G;9T8&8ba!ggmuFD7UhDT#Lie1vJ!UHHuKNpJDrp?eW`CD1C3}#e5 zp4JAFSNNaW$g%E0pp5^+(@yv7*TTclA?K=a$KmA-W_CniQCDl+96=CSI?wSQSU$U^pb1c{|lUlnifDYXXYQm4!9^hd&9UW-iR} zgwa$`!-jNn#C)tdrGAoPp7T!0ai5bO1US zIu=V8xQIf~C|D7AC&qb#T(?=aL=JddN}wdv8uJd5&kv!Dtg{^_YsDGSMllUD#rK6q z;zb}u>MQ`z{jM#)YHD8pNtk~ug5fB(X9$Cr z7;YnB{3QLLqC_fWZsod-Jj`z5Og*Y?obmnq5@mTpC}_(X51N1W9MO_-fTN=5qwuEz zId$@(a9g`-TV7IDSK{a7vP7ULK;*~5zmEFR*`0g$RNXlHZ*miwj+nFx)%VB=If?UQ z?!#>`zcJO)2KILz?lA(&$?!D{ivM64AoKlnP_xHt&7QIj5M~XqkSCYfOr$+z&p45 zpZm5QZn#7cVc?0U$eqz_zsB$Htsc76Pw(*qa`D3@Kw&FsQIJhN?E<5}4ji|}Ufwrk zH%`O6uyhP#X*Z&Y8e56BZ%e-@e}sS)a|cEaq01^0-vH*DTNQ|4;{XhRH}fV#ihVZOMk?`#?>8DNT7hfD%Y< zq^{#l%6_K@oF)kYiSy#8Al%^V^Buv|vy;OXrpLq|ysT7KEvbSA3cfhrEILh9ohzcn z0m?R;wVvnLD=$({LgVNg#F933uo?`$2(NUD_*ROOH^S=jUo2%^R{#6DVNKRI!X7{a zF#!Ehz56Y?E0$B|)hU}7*T`?!1OD#F4^2^2z~TGVdrA||pSO;=r5cDqm-fhn$M=!9ShU;HWKO}z1s|oi>{N!tm}=jnxw*wt*Ef@E zNZiOlU?uT60i3Q!D0CSLP~P!u32HQh7}~CiW{j>ox4ZSiXR@%_R#vMDcdQPcx2E?@ zfamD|1A=gsu;a1C-~UA5;Kvx|haz9~)Ed_C99L>f=Wa9FrtZ`1zem~=E{mz8=W4{# zAne~q))%rwh+43z%Lj@z?`_v+6eJwXVp>#|sVL2oE0?5cRjMiT>?{tYFcc_i2dFCc z6bd5wM{6l?Vg$14e9zdlN0V_(dUy0b7RqN85eI96b5AaPhy|+3A-S#-j&ziep8F#z zq~VOlI2II<4P2iVL9N-q6j;e)5cjr}^>qcEd35BQzrAG`RGB=rOk&~NQ+KK9(v6sP z=eAk9N3S{?OIEzi+4(4yfzqF_%{I=MlLW82ltwjb0?AeRf9c8xjMJ_??%*~cSAJ%-GrkR?y44cH5L;ZtamH z*Q9nkK=KLilMx4If#woKWc}fV2HASCPx22F;0``c2JfkMbvOt;MD&UD7*lNS0r$EW+yhfpw?Xj*V4-*UJ`}3_Df*h+95J{;1Sz z_yE-&cY+*75Xxx7f4k5S0gwo?Hf24>(Dxt~Tn>k;Hd!IV1cF&lidAZEV&KP9$|65;B{sSSf5QWJ>j=^G?JS6SD?2B%v|>|!c^2EtV&!t z`4RZAR?1(bb7n9*EFN5?R<{}uM?*iSe09FxTCr&LUcyCh%3fEH4j0`xie2Zc?lnt_ z0RU$S8`&?|ez~N1BV)igpFbeV@TM=onfAXMA{A?b#;LTtB|Dk8So~Ip8>bIi;bv+7 z9eX<1I6-vbf_po0+`F!@fME=(%ugTv>PUbJn}h3gWvV4Vy<926zZkPq*#a^;gghac?A`{Q2gv>#jMt$*yiPkT+fl5g1PKVWN3tys9u(g%w}~508Vb@9E|DineT^j< zGg|hVT>h^l1F>%k4{^xXcw$d*${qrKW`ki4%$(4&zk)&_!Py3vQA->w`6~I>mJSY` zAJ!_Uf9>HhuyTms2TY3kJ>U6446qN1EeY+&-+rv{BQ(>cG6fO0<=m_LEm|2HBP>s8 z-swzDT6toVg#^Pxhb%|Yz;luPjG-|lw;`wGTGr0XW7!(lZ@wDbZ1a5xAIGcFTWj<}uu0e&8;?FYrm8?61DF$8tK+R|g*blvxRjh`75+Vz zno7?-(%-+j?x$1e<4K z$>8*1>EQ_qiZ8He>fbwMOkIHJ+XMkK@tipWl7mJx-qy0Ztt5Bm$aqlkMt*||e4S;(T9VtFYLm>bkfli1%5F*d6IZf!F*a)I4q@}(FQUq9`5PTri#Ec8f>@#A zy7ZraUYh59Y5$Y=*Xl&8#daX(rH%k+3RcwxFnvhW?Jxx>gdQ6Ko3hd1>@3f?Ts7_} z{tL$4&J4KTmro!68mbwA>RGi05YT8G4=>HYjJ+GqU#QI(`qE6337S;un|DwO$H)_{ z8SCW8W{gzjxZE68d6pXHhg##g{@5n}m*FJeVsh1WqH^3d^ z^y4BSz|G_Ml-<8Si)5i+rEBnErPF%W^*;NMr7)<+m<317STZ=-uU-kA#*Yr70e0DU z`8L%%-*Ku<-y+bVT@&NiW_Dw*e}|&BChNe6IVOGbqg3NDHx2Oc52e@)A!e5-MOK4@ zUfCl_=_dQVtY!t@*!Xy*#F&kOe4S7}jk@%0rpXt{!uFOz8(SNUykiBTKD-3&*aj;b zx_`O2A$&*oDUo=|bN+7X!nROLdiv*F0`lpUM5WT{72CCeGV4^$p9`%sT#s zF0M~5C;sB$5jDwsE8y+AW^uoKxIy<2j1-&nwjUlaNd|sy^6$=LSky+mPv6vRT%A=irVPoJL1^c9C_5zkBQXS^MZ z9Qj>x@LzOaJYt43W>JoSje>$zmqkSiRaN%x-a2)_=y3>pJn8k-xN#OCmi&;H>O_H| zBCW!0Z&<0bWH}dCdO_!H z@2_gQgdxg?r;qcjIA5Xnx`K0Zu%A&f`+h-ydcaJ&l8F3MhW0_5U{cYOH`Rzv|0)TCl`;r*=)Yf-k@7IA?2-&)LcUi- zbK!OB>g$adg=2Qm(eHB`c_Zarx6Z^Y)C+R0sn7cPZi9y!sx4J{guVFB%59M=+Kc#->$N{|rLQkZIsuYIWFnVlHxog&jFlH;b5M>Wfmh?xx( z+qAYN2P>29o|j_cKe=ZlZ-ze-tgsWvKGF2rn)VobLKykVobZ!8>0ns&AEA|T4~&vq z%zuS5@ch!mNk(LOH8{Jg6bK3`&WO#7@INKc#zWwO0Ir}Rf74YqSsuPIkgBE4>0#1TVL#9b< zVJHktm-QnlLxf&Yk)S0N?(rW0dB86tFiQ++8*YC_$6W!*^l>NYdb4g+90pyTS-QjJEHDU}weiqEN8UChNuKjrrISM!AZ^T^{!!VRU8xF>A^{ zt~fqiy<>@88h}3IoLF%qxH=Qhi+K&jzPf7t_yM$X;IYNwR96XWU1_4oPsoE2VQ#$? zg9LKH{H5+DSIq37H#mNMMrSIhILm(ENpLo9mrTqi7rOHMiPTQgBmF>``1j9pr*pJ; zW+9vNOzqg}*WSmpdHl0A*?_49t70;9R;x7L?w7ebBjQX+h(0dD!laJRzfNuiI_|Vp zwMJ)%G+$vPHQ;saiJJ3fbk@Sbxe#ALAFJlLN!MI(Rmc6lT3UMD6NqH>#)Mz_4sEfS zlaa}(t!OB^%&g%h3RetQdG0p2XRzR`?z zT}&Kc3itVXjl>8}1Gn;^j0MQgJgQiBY9_^U**;B04KkI^aaMJp-D(j=K}9LN&Vo>m zMr9!98{{-=ZuU7rawd8DO#di5URi;#Nagz5gx1SCmh#in4jl2T!yFUCi-(PgZJt5F z)VGz{i1}a7-y)1$!Ufgcp`G6|hLKC$Y)#t#keGY(iRyayv;d-iprsiE9N;|n(7w*C zW*U9d*NiB0$|4tXYJKo`RfjfgT%TIUQ`np^4F+8x>EGdY?s8nc8Ip1u?I7FCCJ|V! z&_(liBabLJNnaYU@yl@YEA~@li)kofY=D`}ohjiEi%SufP?@4}N5>c=TG|Y)@|uG+ z9tzrOdZ1pcs7P!5sU&5!z|)jBGeD`p#OM&Ugnf=DmzpZ~Fr>Y__OK9aIAb0bjJb2}%#Ip&0APAA?`#zy?O4qf?A_2^N;O^q zURTyLAI0+Qi3lBJq$o8#O0>-k7JaFg%)b}qa8hlD&KIYBe_mwi5nEZgB*iF;`xS(< zNLUI*ltB36c;&a+k*N~78#C7kanVT3{=kH9Yq-oTb|(qVs<=+48^=vTzf*i!Axeec zp&M9XkLHo#1aH!pffoX!5*(>yAj-awt+Y(E=ZR_~ntBQRLesy>MA5-S7jCUdVT*+? zl$YXD4+U1f({mft3=`n$gJtK}g>z`frQW)Gk!(3SE#AQt6-B%TPiTULt((;z-pj^x$ugNdnXt^F ze*^|BkvW8t^O@mx0%h9EXWAmUF%0+auCpn)Y`Cd85&e_;1BZGt0A>g||HhK)0P-)= zuCwl*9rD3E*A3v-a!wVoZYLt#degYE?zPyTP;}q^w@PZG|q-c0Nn5<@PIi;Z4`uzc_oFt^jv)?kVC1HS11(NhUs@J1YwS>}9s> zTXfeoZOJCh@9EQ~R4ysgk}J=(0qSD+M*|I4sP(JBAj{|z7R`};6@#AfLv69tkHK*| zV>Q5e0W>BSXOP9AM(XePsnX)Xv<24_WqS*0YE2xGVs#p1azU`s91pyTL+N`&5PpB- z+UvC5Jn1wyh7oxuU6f!@>JEiu8OD5{^(X*0ARI2+t4ql~cnlkAE|6*)zBQIw+;(i= z9{)Rmn_xF9<{gVP_5l0g`Ff9ZbTccc+SN(CQujts8{u-w5CAS^E+Js1SGpM40K)IRJ_Y0Lr(G8_xePh6;|e%|`{7(8vo4BbsOW-)@x zV~B=>iaZu9lqkd+2W@qp4AQfe4eDH?~+s~Az!Fqhz@lXYc?Z;FisSoxSb7nZ$c0x727Ry|+D3pEom zeI>5&Zk<2=0wM+lfkYp#*P-8XBfY#`{hnbyc=>qZe_wdSj392A?Xb271W?)Sw&M`v zlQz}+cxBStH|Ff-m8z>DDOKz`8C<=Ru}As}8!|E5g=1%ZhL#x}&iQ(UuUiTW+)1`% z`HVph20R>3fwrr7<1{FpBcP3cg{B^-i60Pnv2k+UG5F%1He{CtZFW0*o7;*9J716R zM_x$_jmlaE9jx#Y@I^;E3M8pBB5W9=@bX=bMS)#%DP8dRWUC#sFL^%?_~M_07sL zSG*D5a;2@v_GAhPiPFh(h7)Nao{ktr^8uHJJ&`pR_xJvrpD;J`{Ty$D zN9Xd!h2)1|lb#}h6bCK4Y@^$FrZmOru5G*T(({TR2kX`m$bw)I~8f_KuR!DbAz;itA41)q@Tg zIlPh-L$Pq;IPXZ`0yN8oz@AWKphP*K)~#6JexhN$?M_J8#k!%q{x=x*CR~Dd82!t? zz=Fa~UNb9N+G4f{fJ32ZWs=@^EQiclJbBEBqv#*FP@ge(nrQQAfU&7Z!e%RZaECr_ zjz`M3hHSb?h2%-z*+9Z8-}rPvpuST%z1=t0@vGbf9~1kPxgIvwq7!>XqW;^>!N@%1 zkx+sgKLA*q$K##O1C9Oc$t)fX%ay9`HxY;};&M`Sg|We}4lF zDZ*d2;bZfiwq}1Ig2r1iXG!`TX<;t}C~_5LU94gU0;;=`*#{xO3EMcIVM3CF!)bF;;^H zC3^0HUj<3h%weVO&qo`r>I!gV$m@NClV0*j_tBPZ{xHp_Uh4AWal zR}~7>(=di|TNWWpQ>13jx51qZHPRX?) ze^ShwO_L|&-o@QtwJCTQ-M7G9d*g6r$E?o3^*+`7L~Lg93}iGHuL=pO^3v{|T&_a* z-{W_L9XvDmZbOTAJQ=r}+sRZmpZtkSfAmB`Gf#IKpe@TvL!sh~(nzbTyuWo>V(^6Z zyItKMT>`Af?lXZ|4un4rKu_FW1tSM@!FFuAJmf@aI#|pSOfKu@{JoJBc993)oI(Fn zE4=Jb!rk?=iF!H>NCTQZL+VB$`o*9((g=Q1rt5E!4=$U5)rn0H?^c-p%^}z7xj|LX z$+m-0{ybLbh5SytQT-nw!_hSoqZ8xgVK@i;AZ8fO z19-t!AiZ}!O+k@QFLxj#6XhS%HwV1#hcl#OAntB+nhP|*0Y5Rx<7o%mJ?6@R4E>@* zI7C&cfEl1X3U_xyBl}On3Aymk8%;o4K9U$`KhD?u!yN^@AsoGRPt;ZkZgN!k_nGJa zI0WpVA(~+;j}5ybF@?Y**7&x3F4KX0r$Q3iwjuY2ZT*$kajWmm%%UUYuPJNH8$S|B z1ViEM8Ur=>3UC)jL~LFLu@Fvs3ZNY@p=HSzthPBX+I|6JgC!TiHe?}qJcd8Uz$=`looA?A$-E*`Q&U zKUopk6dEFN!Lz&&7tMM9!93o@$SLp4H$-I3z6RP2|CeNl@23!QufOT{Y_%GKU105H zHRmPq?6`yGO>4IQw-2y7exa0S^)XJrr2FxUa-L=}M&4~v2HsFTYEMF5tjM;NQ2=*H zXLNrgJ_HjC^%lzQPbFslJrecI@&wIO+&6yt3Rn=hUdJ=mKrdGqrcjfU@9AXqgc<3^ z9{Z@_d=fBEuiIs*05#sVD>ulBw>{eB=XpS|vjiy-iu4pee8 zn);jdedp6{@}0bLu@|tjLjR*>4EHARt7a;B?sqPrA~A!(e?feM`ODQL?0$I7xiVf8 zQNWp!1WDBIt;cLQ+uy7cEXlKhJNk8t0=r7pCn(QUK6IKLr7-;=45em2%(-$?*_Z^> zH)yGi*4JxpuR*ZFQKx-~>ofRWwcWTai z(NoUC_o;>y{3kN?FR$Of-<`TA8^Z6^4xf(a?%~l9$oRBMPSkgT4Yy71f($r}?nV*+2%yxKZN7XiqOv_t_Xn6P zXw0Rg5Ig*T7Uw<9#jY#u?R{>!z{l2|Id3{p0H?;{9_Qcsl1w(2&!gG$H0B;Bi`Cx?E!)+YbT`CnJu#5%z&d6Ylk?^^CmZ3ge_Q?q??ued{K#@9S#qKt8>45m&V5FzE3$l&tV~86MBE7CZsWC}La-xRPdxBPM$jt=9c$JyCpEkfPx{*ji3`+S!F z`KP21hJ``gjAG?Hzg3K#bK36Nxq@50Ru-G^Zt~)H)Y)1k3yF8hV;1`Tk4a; zNjcOjBT|&Q)9>ZVpYOwjR$(_H`5c5D6TYcDdv8+FocZ8F&i_IEN})0?4~{omZz>OI zFte)T*cz34QTYjs2B+ZI;s2~}E;5^34TGweM9L9QG(*E@g(t5;Hee}X>)EXlJA82? z^UCDqz8M||I`uV`R)sPOZ?TB^Iws`0?(>gLQK-D6xkTdxwbe?bmu5~pZvm>SosO-% zKBo~pz;~p{p`um|ZGm0idz-Y>MgVo`MJx=T8h|c4rG>UT*G<0(N`yNo2W$g;bxx?& zSp~@?H~&a?eIZvDuVDSTfIGmJZm;iAQkc=)(nT%Tr)!L1U_w#zb}+5Iq-8%mKx{W zG-f?e>nx!1dD-YSp{HJCTMN;qsxy1AP9e|436+lR zZ+m0J=_Y=?v-`i?-@3z!MWZKfp35Ejz%rrV7w=y|2yVpiGYBNqnSeSnmh_Vz>nQ6E zdgfDvP46CE8+J#8?RWRT@J>@$RL6(?A7I%pR6~^aLM7Gv8U~#M286WOQf*}{tCs5Ql zN^e`=UeKieXSETU9iAsUna$b~=~1u$)2Sf4AKIl0$VIzm19I<9Mu_F&aG`kjMBAxu zJ#tt*SOy*YvR~jOlHSnr_D)a1ZixD39uP(y7Vw~$ZP;Rqs|xObe8M%ky#^VU_mm%b z2po{oJR^j_IcIEoR)VXtwZpSo?-^M44UAvFBr?TocounTwc$!$dg;e($=`Ia@p4Iq zZ>8}MdWAEfu|tc-?c4p65yPC&E$hDP+CKVd0xtB_6TTIV|so;K%R$v5-q&=AP{86$5#bMn7tI+O5+A*Eh;Q$ zN3KaQPQ$`dSC-DqdDi?oMMSULpl1r7y1*L?OKdsEDRu3m$e3>iuW9733T~Y4osy^= zV7P4;1P)eoJ~ae4FFPFh_P$S6sW~%C&5|Oo7mb~D-2M(fDgX5Wm0FE@IfmoRYK$=5 zwY!&aPZ#@uAyJ}hqibL7*bZg1TX54jv~rFzxf7u)Liuae^@0g;(?EfLr1?3!AyVfz z-yG71FZCyzo*>=> z1*#M|42Y&p-WrJ3oRF6B*?W&hV6XDZ0mdf@t3NS^;<(|X;(~g`Lp9&;iTlBGrQ@!# z#8MY2s?F07wNlQuU&YZg&;k!7#i*IZX(rE}+G0F~!z`V)=DyLBQa2jV;uw1>^AcO_ zl6_}$huBmpjVfU7ou9UQP|Dn_5;!>?qfPcn9M2Ep$hthc$uambR$m}a@&3h`SR0X&sowvU6f} z$X!KdCiRNEoveXwdw1=+!fIy-iF0UZS0nsdC(dTKT%;lRgkL668uvqDCng)7w_(&` z3kPeSe5Z=91WaUA*fV>F7admpCQQ3B;# z{f2+~2b1|&rks5&l0EFXB?c*m@$cC%pf>lcH z9yy0Cw8`>FEDyaj*TF1uvZOqK~`heDHCHS8lOLmdd#Di{ioI}zw*ulCBbnU z>fi-E%UspZgGjNuxP#0~1a#!Y>p$Y_c}sl9##7WJsQ-r}!H%OHf(xAn=+PTZrjM4{ zch~6i-S9Cq)J8uy3r+nx6%BYfZf-|2^CLP@EkDHRrfF_)*G|Qq_MQmpU|lmsHQcMG zV748yo>_g50#k<^w)$c)DjH#G?i{Jl;7KFc=xDGu7;}L?f1;qIAELg%l*LHVqD zE5wvYZ}3fOUyS_O*(?nY#w~_cU*ZWN{SN77e|ocYmVCA|!!6XN)~}bKWLMxd*+!KH zp^N8J81=2}@5{2wq$n%>PLlu52 z6BKyfNf~*+C!72#CXV-Fy}-5kby-=svlN=ti(9*7zyH`*w`NBsCFq3m{LR09wpKjJ zGCqOO9Ejjl>8Nu*3(!uoii#u+V+!I-+u{_pWUn(*21U(HRp`vAE%!q@&kTSTf~t zKU7o+Y(q#+h5Qv_m|~K5WRUe&wc0xj&iCUzcNevT*G30vH0Cz;z@F*)>nrPA^rO@3 z0gW~RJ}das)8_{0}G*gk}QAHy1)*; zPN7AWA&6x)-9{TR0IMK0{sW_vBy8=xP|_5CNG(jlJ~Xz>cbt-@i*6HQ*o90FzZWxH z9d`2ZBVEHdmUX;LVvtRl9!1lWsc3`MLM25qlpT=2vpOyPJ<(*9(fYjkx$Aw4>~r5Z zVE1vWWuV7tvNbq@pJTTGHP)4L%^aXG4B9$`p_$ZAP70qZVN%fjoyLtdd|JYU{e#8^ zizB6@!ww!c-bElW=7hI5wJd!A6QHnb!zl?jN$Tpd0XT^{NxR6AT!OwU1Cz;s{CI|! zyfa`drZel`P_D>8yVh!~22*aESjjNipREU?V!7crHv~s?LV=(W_fvh>%5uz=*cWj+rxZTRQQxfoX3_H_z~{CA7V!DQKK(C}<~g zxvdy@N8thxu$=Z4Oh<4!-vpIUH>e3u=Tt-#z?GQIY{+-4Ptv3h>{^i7QT4|*?>&NI zL8O#hV-d?me-ucy1RYK!_J}mZ3a)aca*h-v=2G<5)UB=byB=wQF1#e%uB$9l#a zd_#$#u~)}YzB>(dA4O2N3vpclb;{}y8q>8d6024(R#};}{;k`u(i9`tzsmhbseDjD zwDv&Viq?cEC;rL%M)ZhCVifB}Y$_QH(I;31IS)AvT_EvA;` z>TD|UnQJ?KoI?Bt=O~&a6nW#vQ@M)M6=8}^$>e`p#R4~UiKTSYv&;u}S8 zJ!f1g{4N)CHkqw_`yK6q?ukdSiOi~`IMwkcZ{RCxcZ5gj$^T{5FYQWw(w_nni8&Px z!jcBxYMwhs40SRyc%k(@4~p)m{Tri_Ol2G1T~Pu#DLS&}6lw5WUc$Wdc<L#aAoXmK^R#*`7R@Y1lAiWL4(-{*N`Z)h=FV9gV7X}7{e7oVmJLHcz@@zPN*PgqU3SKJ1oG9EYS|8 z)Xzn(BT-~!TP$a{vO72Ee*MKP3k>_%(dGYXS*=^8GVsKJRA*Dh7}8#m(<@Uh;6NwG z3t(X~>BIy~Mfz&E+G=VfW4?*7L#SQULJ7=-W)|oz>qlw@blJl2_Wm*dKG&Sj9K6Sv_vkCyH07gQq_mc>TrK}o z)k2mD*sij?{4-RvElF=3!un+I2`{_|{EKe-(R>o$r3^+u2GbQFS$R5Ygu=)q+3?8z z&LI5*mha+;VCCnebgPTe|LleU`opY7j7(b#c9ZiVIDK;3^mF9EiQkQa`b8k%5X_i4 z&g2uhOL1bt7&^*+H5=n16s)EmKmeO3=U%EXB+!)Of5B)*TLHcg1;)S9>9d9Pn@ zIaw?+cT6tcxb)m75U{}g`t*57WhYxCbvfx{#SIk+H3SNpzg73u-s4}ySVQbBzp=KX zi-VD0g{~SNJSiUgD2Uh=dkeZk-#54Ai7kVLU59?n8{tp`R&$1H3f${Ai!tuOiS!`! z*R}P#Un5@}9a^CdM^ll-(E`A)Gn&Q2wQ3~v=3ksDS)!e+D|`Hwb2u?*`SPfnR;2vf zTS>f0e6^OEp$#e_Q6GR02O|f$YMu3U)JG00wE`(w`ZFyL8em7`cXNG(A0I|7o0=iNX$#u@fy4Pnl|;u+p4wf+D8F3q(jLLA~PA zdnKFi{&{^ldjJ5xzeM!2y)a;FSBh@%pJ*`z4fG*F^bhhZO?u7cJly9k+Mydypirzf z>VF}=U>-ESIB%Z^k6O+X!~_lDF_)n*pDCRI7R?zjOaZ1sCb0wU>At$V2v!3;7TfAF zNIb#xVgonF%WX@ESgXr8Q{T+OO+~RKF)9E?m$2A4;73PR04OfLJmp(zt?uCU%+yS% zQ@)Q)78AA+Vdm83)JL`vIZUx#bXaJ7Ee@sxTcBvCy(~;yz6tC%3mH1}K;JN9yH_~$ zBsJL7TqXNrLXq%4Y&c{Jy1&yuDc1063vSfUoTx0B%&C*s)?B?tEO6&pza=!nnO-1K z#-AZd@n?S?q8`$U2fRYF| z0$3z3iIOo7#bv-&v`d6QO*alCm@s0bz)e4FBUKp1_0zBydTtBerZQ#97phuYCmd{p ze499=`&iZTKbe*V3ywu-dSUql-bEZE8)-kPr$JJt^wi+J5wMwDsSMuD0ub`i)Z>rH zbBRL)8j_*{h&7+rG+?kvMjPM#-7(D;prj~Nc#D2TK}F_Y#%`Z57+8sWY(-fURGz4lii-g zgRDG4zVtZzS!9L({-nri;*3pM^!p8fl1`o#wps7^=C*!c^jMnHw~cz_jj5`UTRH#6 zEeRq|LhsISHrr`6)3;;#wa24_P-Ovk2Oqg%^G{Pws;-mv!OVG(uw@HVR|rOm1q7u& zTt^#lY+8Sh(eBp5#<6|&11KKj8LjX~s2Fc$YvxgK(R z{_3iLW$sh*WeqN*|069ve&^m8K2EKl73NIWIsHz06m~I?IxK~EbXbs>nAzf@py13r zd8z0%7}a$>+l0uMuMt=Ym!d95E7g z4wQ$}zE3r-5+vtVNapsH$w-k#hP zHw3~4>nGd$t6=s9L+LL>ux$Tqa@P{__9c(NU1#yK>y`=4Q$VIj#hx|1y7fi^fl+ub zAM~lM^ku3{JIM+g0G(qpzrT<4=Z?n@T@4d^?JAcU;U^D|i-MZhCKn9;wB5q@uA%$B+fYn%=##TI1U|I~*+8;U2X{Ldj-!B7+LL)-Ij1V?L00?q6BNOwBq^M=2m zo^Icy|JC9n?vzbD>zu!gI>w}g!y4w;1@6q|N%v@#+>bEPOYX;{Xpdju0o@kBLo)j% zvu8M;*%RtK@R$+VzVwxgV<-+J2dCWFKZlxFj(ga!dHAsZj8slw*zb$Ljbi>{5tMa~ z%S7tbsJ(s5DoT#gBNeov68cTo-gninxT=V^V~v+6y5}0b5gnT%$~oBx?k>s9V&CN= z(8c{xzG9Cx|DcrDd5W2%y|w;ng7f^1J|Eia@l2zS zZA>b>&1IKy?2foeCJ!X1GTb|ARupXnr)N=)rTLKu-_4|m5W;$H-Dy{_Y1}^sZ1@w} z!Q;R~Hr@jf7 zwj)m22lVt+w(R$;Gt$v^rArMHY#6X)URcG zUHfA3#8=sRj}*{H5Cw1$JdwuDCco<2+IsJxA@o?nPE*p3Fp{JquEpxF(wruxy!oD> z^CcgYq3^X|<$xuVvyKqn5I(u?3_Et~-w#`azru+5;Ew$3C78BuI^E*#wa zJm)-;R#eYd&jSFKt&|GXJ{yIuZ!W^UFJ_F(_4IllN`635G$Hc(he@fUI>?;CU%#)W zbD29lVeOG18IRl_K%a!h-F|WUQN1Uj;<2{=3jI8WzE4alWESI?8Ahw^`A6+go*uDG zTF_7331my@HwiK5G}C!8|Jj_s?ENr?lP~AbpP1NQci}NA- z-fR3gtc!cFF6FR~2L1|RhWTzXpuhqtLqTMsqT2X0#})%&nHQn(+jcziST>N%J#{&h zx0tQI#eGLf8z9^3S-Fo3_oPMjuls5C+kFv>JKLdE^$lh~OaCYe-3ZMP6TZg!%D9JT z556izJ^4+yuQHt+!V8x6A&;{ur*av@L+nmGhpPjGHD>&v%F9aep7d3mo&g{DY4cfh zmLeDotBb|C3KVw<>bH5EHgmpm#++i*nLT;eo}iB4qUOZEhkwy;6>&(7lGYtiQ|g3y z>Tqa(Uq`52uu0Qm#TnVZ2C{nw4bw0!{-sq>sV#EnZROCpV-4x=?Yhjl>hP3jaD_b* z)c_6|68Fj3G5yRZwdq2E479PNW;kVlKK%puM<}=a1AH38;G&&#M`l4K9dlO}^^j>{ zV&ym@M)@MTM|1MM=$H)F?^b#2Sap7HFVxJQB!Ugo}WSm-xo-n20z>H`zwqu9Kg@T9+PZQX#GQBV z(H9@gcf8upSu$upX}Vn19q-v%V%KycZ+KQ)^a(g+N%>gD zzb&4*W@;B8yijI7_4KYB{HgrNqfY7O!TXKIY0oaXMxtML($~gkaeaI-h$uG9Yq4dg@47Um@I|F7qQOZ&1h5=$i%Ex5oHxye=mMbo!fW+K>Ur-UnU z@Xc0(c1#QVzOLxMrJn3rB*Tv#MmW=Fp~P%+69Tp7+i1~u`f`Z#f;@NB_ZpoQ%O+f0 zyy8nMtyZ!M5l10Z^A>dlEqds{gdy043^cCAXpJzkP+p2nJMrKzUlI=>TD|Sw^zY&m zlkHzt>2Y%Gj#>;1JY$9MsOq}~psk$%f{(A gr=1Sv5hq-f%z|6SR4f(NY`dTZ^~ zJBiCS1829@;*xp$@T5S0ln$8F$^hFHKlzSNt&^9F@uZ~yyWOY-Yw#->Z)bByYy)vT z&V!)VKs>i`)}p}W{LuNAS;8=lFRdCOKFCdBlv_kio%P5agLi#v@C&Tml$Mmj`Ru8+ zVfg|F8xHQS9ups`3*`;HsXV{$9-xD=DDE(G5iCf7(}<*x7_HB#bj%1WNPI+lY0!C* z?r5fwqhXG_hPzN4=xel z#D~or>n6cW%tA*khp*3n6jXIeP z-zZO|A(jDuEjZ4rrByHLQxP&FRZ2$1-~A{Q#OaIIwF~#2LeYy6q`m#ep51@#=1Tv5 z#>q<%LvI%Y2gBrS1wr=P%{VdiQ+z0I+*(gQ_CFi$EL5BAuEmHyq|(RjwrQM;wS)-A zH(hWK<=c(?8OaKdQeL9_>|P^31bD{cE;>e2kY>Ynhp83Ze9Lr_c-6_an1i`NSZil9 zUvArS+Y)aKvkLK6wDo?BrZWRY-+$%7Pm{okOiB0e#K{!w{NmxXB5Lq^=g4gj}`ibvF1C~^{ax((nbJ-t+EtJ4$1BVT^DZf49)L;TxDtZ;E(#U-~Ttbe>X*}!@8ON(RS?_FMkvC z!`-Yl34&p^&;Oa&C1Nyf!vB#c5|zW@elA5gTw?B8Z;3{B#6><$bkHxZPjS@8N7aAC zn5>kW&xkY&yiu=^3jl-%kODX6D+S5FOGwao`2-V~%)(`MLAiSj$tCq3uU zgQYeodQ9_Xh&DYmZ1`NQp0` zsSTBjtqFhK+d2jz*OA?h&>qL7-FX0i$ zct)n79JE&q>VB!P^C|V0Bhy0>%Y>uE?xplBKD)%mTl+z=saEi5MG;ruqhalIg8uR`NyxtO zUFH-zz6qvi3<$~KBudRBG8s_Rk?l5MG?bHF8m$HSuG=9*?r->4_MH&cmLtP!LMHNW z9bf=MOMCq66w%n-+x)*Z3* zLO0H)6d(GG2(opr7s)?FY(o|fIt|5NXd6oT-MAQ_YecQ$8U~lCf@1^yEuNM*#77QW zOy>8D08v>Uj9=+7#%py|3DG&XNyXGVuYpAEjNUsJ4$P74y#?HYcMz@l5Jv=In*Yy1 zwO$?IApZvCP_s?Gt>$t6s(_cgCJjBV5a-+i@rmq`LD;M~#5#5ixM(cz{(asJYh zOi?*K48EryuZDJu>GRdV%zdLx3N>GlmH%j{H;QSJ!Kp7|Z?@cxfjH64fsh=&+6z;MzX;CU5=BIFyWwdV=V`PnyH~l_{z@>$JY)2 z?aF3CXyx?^_Ii(?mQM0EK0*tpybbHUa*%=kw*^T`{U(2Qrw*|&Hg;K}LFw^Q$0PG3 zNRyf+(>1KrWga?UvNgP*xw6rAQwIkBjBs5dvH}}qYRGnQ_^0;k0si-^Wqg!=-u*dD z`;ehM^Jxj2#qYyI<}{}7I#9_xjZ|MOp^Rk#&rU?hx*2ki;B)L_7*Ca2>vkG(6j6rq z&7Ldrxt0n>nZr*1taAJ!LA8B=Ke&qZQKBv(wW14$cNEQ)_a7}FcHi-~PHt2Wk90tG zAPkRZ+7D!Dg*a$^)kFNT;P>Qg0P_CLXrqZ>i;HUDGGTis2YH~nNE*+P+}q|PIoQ|p z&Eg*Ljf~u3+gF)m3;3}42>TixNSDeh(nglz(Lb$Fb^DPZFoQTSZq<(WP@n~cuAuW3p^tS zPhP`61JBX2ihHJYkt&! zgb@*sbl~I)LLMjd@o^%+$^`gVnlX~euZ3xkx><0GxfuQ*(8#SjE61meY+HO0ciK3g z+*M-3$Ev4rXeUyNroj?MK6gF579J{iuFOPc(LJABnQn)USsOL_2hs-MXTBr#JVoXh zLhATX8$K=uG+b-vC#4yi)in?Sj&%o?eH{|l{W~om2c!&tsk5)5pWz1$B?B1NuP0@q=;tNF>BpS zFpt5DNkDH@d>6DxAZyC6uznD##P4Xzo}XQS3&iWTz^(~#pS}rHz=xF|=X$Ls9E~K0 zH%AN{g`U^Ae9e$LK9!e!Q>c&h8ME=3=Ae#`0ZqHH#idqo`(K;8eeY(4;!SjfoL3s_ zi*ebCe|sxWrYc7dy&SpH3tXrw+9j?pB+G%!0njnNlL`Wq4J+p96pJyEE!?sG9_wYUz*&wyqVXV*>h&!fh zadq(aQvJCM=bz+t3aR3i(4ys!wIq4*>hn&hZYR2X)5o34_Ow0fD<27;&O}FwhR&`S z@-#{3DLQqC*fB;y^$WZTfFI2#6(zA4y8pH7NoRn*;>aBfxFJ%J06zfYJ35n$*2udCipsvj%slVO1O)THe0k*u=35s`cRt`jR zC_R6!PN5%4U|t4?Bp6ogMDgQe)6_ea#(s~ci)6Nn*q(60i(Eq#Cm!aT94x1%cby4N zwzM6nYP&VGv^%I`aoR$4h>>)x!~=W@$d=*_SC(zMNjZx_n%npP`sIJG7eL0<&5SDd zQV|$L^cxg=SYd^2u=R&Yq-kbgd#15R6?Lu|9D~g=GKH)@(=Nk*-)dKwitDY7vD0pS zNHCr$w7?BKfGZ%wqZfa4C@|F+ zA1fmRiYgdQIn7(VF$S+ru~IiTznSb=u{M&5{Awfcs3i(Mr|8qWdLOs|=Qk%19QcDH z#6U={+G5jh^=4i^x!bAk_llEMyI?5Ce?%<<_%^Pdzati8O8F--^g*w!t3=e`>{DLm zAAUH4R-W4CJHr39Z?9=O3vKjbF?j+-yDFp_BVIh&&U&@DoR+tUrNJ|>HRg1KyxUr| zonN3~&vB7+_u=(ekQ`FdSKaXFIo$rbUfCTY$eRaUSyF6^z678ZE9ARh3#k_}Yif!I zYr9q;85p^8vrZxU)MGfo@XxLxfS)-V1ujVVG=NbOp4K;$!GsuuG$t4TlsgEYZ~}S6 zGgvL|_q0-6C&&HgXMU0tm8cX~@Z)|M#{*OSfS8#!@Vrz~!8IzkED2nvom`S#*4S2o zGH_D!#a9Jsdc{7K{jE~mwI1U?x#M;(mMre(tN1k`!;JfPg){;0EKJ0FWP>W?%RiAD zM6jA;Xb-g}N_|d40E3CKO+JXv?zt4h@ac4uH3PVbd5ffywLqocPhd; zslO%gw6KO`95Q^6T7B*idUpNQrZxF6YQ2M%40bJh^&`&^jJT6?Bf^7rp- zVFXd-r#N-p{2UxL`-AbbcsKiRcO%Cbp|pZ4aphQ9O(oUQDaoI`$HLE21(?cZrCgax zt*`$Gcw+s&s4FvcXfsti>ars;rP)D#EYV`LUibOE)iF4guj{ve<<1yHo{C~0 z=+VW@Q^g{4719UcoR)z6t6QNPkRE$h+f?ykotnP=?hCFAC@jkMze5hl44~j;VNgIr ze=DFc>){@-!aijoqvHGHO_dcwO%g$~sP3GV;|WL6%|CRvpCR zOm&i+Sqa~u$V1|jTRk-_fIi?+lQSA9>U-Gj&(zb0Ccr6pgGmVz$-Qk2_}|cA*Virvpxs%vfdJz==pIqkJ1^UxZ^O;7@swJ z`S}Qh<*^@;QS5~(cPPDTZ77#gB>3zj<|f>VA2%~-qqKfO|1ui#--bM$w%429@pAN^ zG~nW4P9xu7Rjc^xPTwJ~cOCp^Y>|%r63CcYZ>~F(HC`Bo@Jq$7ay;BRGjB{8i?fGs z2U6KC!Jm^g5H9VPMm2~xb74~dOt*;x?!!+AeCj8PzK$cdbxC^a?`4io%mAjHG;4s6 zc^-!QTA`49O4WHHf0j7kcQ@HDTfP@-`S@jhRNmpZYVuLrj#<;!7M%rHho{G#c>8*E z$zn;rhb-ZiI>`i}-{p&YJ>W`J%TS4Ld^b)Lt((cNLw}3e9)s_wsOAeI2k@Is1gWFA zJ3gQI7GN=|npz^1{=!T_9i56a?fU%R0c*S41+%S8_B_sD=KLAUI~dS#3RlOAger?| z7}7B}Q^C~64gQfPyA9%dZNYwTLJQ%K=fAoXXR#-EAbGk&&g89S!tMF=iuI!>?11pDQqJhpPr%e z3WA9k;ZGc5QnDg(MR;d4zl-O7W$u?3=2*_-|J-G4=Y%&ynhvtz@$>Eet4h+C*O%D7 zHhHcRbss(IpJ*%4NKzx%`N6r29rDzuWa9dm503OBBDTfo6QRYQCwdWb7(~#mY9Q`l zSaQc$opLyF3bDaC;D7n@Fxm^0%mg=Z7nrYi3DwMCINI_pz&B_Hb{Od2$W}1z)3kRc zFOe_8Sq%U}wv^IdFbFJ=d@u{#|17KQQJkN^(rHR-XFvQDTI}=;;yJGx%0B%f7J#IKHr2ct zXE#S8t?~BzI+7in)jy}HBg)}{d2B3un&bElmt62$qt2wx$jfn3E*3{gdqT?}Fa9_+ ziilWnZ{X070XPOKmr&5Xf*v8b&Sq!V0q)RCOHwN%S(ljNA07Np0a3KW^cPoV} z5WtStze=c$-it`AWV9!%DCKj)+Zm)iSmt?K1A79gCywTde-XNtxkr!)yQ_H>Sw1`b^I7{Kl*|>N8ZPSQD;IO6-|E6x6e8pQ*IITn~QZg zYGL>gq>*6hhr3ik#AR{USOPei#bQ)s_L%${{7rt{Wx-p2pX|2p2$jlAi#Lt$_ zRvh1A*+x%&`HFM>?ZLvUu#6&-@98zxbK!tft_>88*7$BO7Sw}1$S@UL+D}V4?}8-f z+RCyi@P zo~{!3nvRtqF=}nROFKUhA6wS)BDD>Jhs)_epoIa?r!a9tTy96WfBz-*^W`bOls%zU z1>I&G0m3%n_FsUn>xG(upB1)U(0?m<3@v0X(yny2W|k+!H$;|7?m#p|4(}HD}BY!V8ocuS0LOh*x=KQnXz!{nt z_X19uVwahcXpGju+44N)x@RKm{?XoFiF}NLO1CtU%TRl>LWu#El8oKq{J9mVdN>}| zh|{g?p?UbyBXVVPZ7!z4>;{XvDS`Z3SE5&;`)RyMf1L{3Iv&~!D+uu52YGhu>==fk8t1WaS=5h(5 zgWPlWPj0~&mM72O$Mt)=qjy@(Y-ZR`zCQko690g`l+LAsW8!s&Wt=$+e?uU^Wz+l; zZ$K|j@a1QH4hmf|Fw-TvLCL&-HSSB(I4q#$)IJG%d}?as_q>udN-8w6-S;EWie3%Y~i@)cKP$19=(t=I9=&wuMjMG2vq=V@;+k$Aj_}h700m>vf zMjC(DVJz_bY|nE7KL4ztS#cgyh=%q(fpkX3BZc&>x@cWC1*bLE<~r3KOV$yWeuAR; z>wW%Jx?Ho2R>Pe`(0T#Li-6%ksvvqr3ZyzoW09Gm=I`O1zxNa^*6`cxbyxk^hkKi? zlc8S=jHDC&)#bA4+}nnZGv2y%zZBmmI1(!pKaWx{`?PkGIu&qFmS2GXuz}(L5f+V=RgElK2%k?1Jb*LEFk{*0U zIvLw!5E($asQhmoz*@p1K1HB|BVP9Z0_oUP1i1=MXsJpvv*IomW0Xi?^iwdOh?Ue8-V&EJ9+GjB1TUrlV6Lw6x$t^$duHVo;9 zJZ#kfP@S0|*M}usk0CNW>){`aF0RF2&5jMQQUvo452rMaJmoq4UFvn|b!xiIbUt`a zWD#@+)lB8rSasyPwz#m?zh%0dZ}#6hej|G$vTV`h@2J~n-o9yc8FWS7X&&}9G9O6nfhVWsN{F|c+) z0YOqWE`&Ll1R9VSMLuY+jk#%EP$NIsrmMASPyEBlTpl>R>;^)bftN1Oh2=%{wl^St zM+K6mMn1ZE?sKtPeQ3TWpwwKZXH((Rp*JplsI^k-CH8^Qmpc)7>+(SCy6k|nncZ^} zsCh?P?8XQy9^!V+w@JQefxI|@l}It-VchmatMIG*i9J=J_)lK#C;#Gj&okx=VfhD& zSr)gyV}JJ4xr#|j~XptI-?UU2)gP za>B{7sSC=tUaJu&n~`8Dqj4V-MFoT1NrRCEw95HQuG+=W#n|gK&Q$vW`n}_SeKkbC&;o-r@%e!57zS_ji z%`NQv-mXq1UV&%5zNP?&%sr@1q@$cd_wGsloDp};ML|fF zO;m+}%Qw%wIJ=$z?kp>l5vRzL`47ibFUn=ol)E=2&)1Ef>cY&xv7$B29wlTrnMOg` z`6MZ9%m#s$?Zab%irXnk$WgI~0WXmma4O%nw*-2{ayYj>NUvcl zk}s`Sv!mP_7Z*bxP-1ZD=zWp~deIT}x_)(cOJ9q6E)Zk`#8SMvu^-z{kFN6=G2G3y zCUcX&+kP_6K)Fs*attt%urL-GLqF{gbS1|6}Fq%3CB4 z4&8G$&OpLMFaU0=tCo6u63D57rzKOrj}a`v9`m@XCtgJO3!(&ekn5JY%Xd^HSD(4s zb{Vk5U5ne|8jeOW=lNny1qnffb+13+K)LiAnhV%g)s}xMdze|FTlYl3lNJdHB_j0n8=pKutL{zmKWCQ2ub z@4@y4kCD)m(bC;ok^!8X;H)<6GYTd*bYK7d;`o5qY!TuJi9|g~X4vM_%BO z$`n6NyK>qLij$;o48AEEpx^w>i5v02DH|oGwK1=TiVlf)n(I7NHf``eX=*Wfov(KE z6&jw)6SpSIC4E1WVJO%INpGvEm&cS?==}`K1ihh0^Xz~jsYHNWS#+lOu(2esz{m*t zem>*CNsFCzU~X}A@Nehpid8NjjA_hd&q2?l_&LGtjEQ90x0>{l;N~Y-?aUPj=i_(u zSyzb%Ue=7NWAC5}TUw`Ox4A)PRu)>WCrokNN~%5Yhjg*5A{G`FJ3G5+C)`!o?_){d zsX;{fl~j505(j+g9(r6z{S=`0gJ}Ds%huNwbsqHSu(s5(Y>`*Sbg0juuqihW)65-` z97dUMq`owy(Nv1B)(w~;lLP*~MGfM^Ix4u8K~E=2pMX84tsaU$E*Jv`Eqmyu0e)*) z$_`s!@#trN$!$M;?;%bokh|T?PQmpt>wU9^Zk8T{rCp2;PzJtyqnN^W+QRAGPDm

`# zNfik8D1?2C1npLIlm{R%;`F@D#e1rLL}Ab+e6$~0n`NSRZb6JMxc7Pp-d2-mfUZ?E zy-tr;LMT&iccvqurx?vPM;^UK3#K&T3K-E}T%xbPg5N-l;lob!AGTU(#B8rxT2=V= zoI4XNp(lb?^Sy6=|JAFOioAg3?vND;VN$U=%ky*CKF;5vBo2ZPXtDHLV%<9m-$=2t zquxOD2Cf_Jd+Iwh^Pwav>fPW~;no-FFE^~`NkNC6VE$X6Y3X6i%yFYpjk$6%GcWUb zv_h9g*UIxpvMDD38J96p39WuL{3G;$T8d) zK-iD=gC09%ErbJ?pU!Ic4SvFj<<+)@g!ePDwI_;EIfW-&jzXY`Vx7;%cvh!dN!}KR z{XVMyQ$DevN+F?8fp}S#;u0(Csn(*=XDFZaVd&Js@l%}s6mAbW>)lxJm+1G-VndgL zb5YuB&%>yl4V+9A(6Y=m_~)HVLCBlDnfnO<_$P}sHZ_6I=4=b0(vL&+b}A}iDvvBI zECA#8D1MGWtbtE8KE=|OqR6g24lr7N=SagrucLDpW94i$%ur z9d2k8=_&x~q%;C~o&hQm8Jum2%6MScfUPRFUJV_)9xrXs-F-(o=d;&rQTpfiMghpi zo)=ULRv?VwrsO+7pFl9Aj~l$$V{_r8*B&cvQDSKOeqDgZZ{3PoXh?gnr8|=RpxRF# z>C+P9ezo=a$|h$f-LRmUoAwcB&Rvd@7YE#>vKCzakm84Vi&f{D`8EeFpVqtO+)OQ? zzF}pcW-dfTZAL)~jXyXP*ug>EL<7JsKY#)}q^Bb)8Eu-!Uqg z1?KfcGw=T?J!6@!j=QdVyUWPR%I`v0Ms>t05`S)?tFeo|^Ce6E^_OeFb@}C9=&*~^ zK^2O&r~z@Q=L%NtW&lR&!S!Q(BsR@ z=}Z@TMxT^@V2i4b*@LWls+>7j=z$J4dAF_k1=PJLzP>-Rf`AOw!T1PwVlIyc?(8~% z4BPy235fASUAbx5J{oENT9$4T@tk+!258^h;lhYf4iQ3nk07gfc z0h}F!)*(>~9IGrbASXD|#~~6)zBH1~YqOY|uT@rNKGXu~B5CZg{V8hNS-NjKk{fDl z#>Z>D0pHxHv~bz$C4gCDHNlxA68sj_FKLsZd867rU?{&7pa>x~EM=k7Aoz72L1yag zBgnRx(vu!xTmx;Ux<`_v2Pwm^`W{07GkL1iE=!Qkq?GZYZU?$QBLwNRuU$Fl0?_BQ zJ-Mk?;i?-t_cw1t__FDPXOa&JPsL$KVyXb?*)1w!FaG;mxl zKQ~$bm`A(r@N%Z%uUuSm@_Ct9eW1vdqOzZ!dt(-14JA(MZ-g;Fj}Uymug7SCHTgIG&Q-pmbx1lxZ@J z@*hOI9N1mJafB3&{NTw~4bGRB0^z2Uj%zwDyC1~DAx8)iVp57`~?`C3p$@6MzOMm9(Fy9jyld;8*Vv4cSp#8Qy@)+Gb+?cBWI6V}-iOPvwQlQtJ}kv6?^8FdMwyhfiuy!9!b zg?R~KUTqH4SrQU#9mjB6Vkw1mwWN2HP#|+(4CDqki0G@tIj;8k;1l@DO>(McyMlup zORSk^^p^6^FBGy}m#4NRa|9tebd*~d8hb`>L{b5n07kK?sm)!ngK>-~KFF9v`zWL4I&LvugSAjnJ$sPT zDqvY~(Pp_ER*S;|LyAHmGE_gxynw35un`m78i?~17^63H12#i%K{5ib6pO?Y$gpg* zF#v{yK~F#Y)e6Y$br$pC4-di22dBcag;Z3|@t;H>_jBfXko}6U58fWiX7nvxQ=GbaWEcLxY1E`Vtt>J3@O8&ps3ua<8=0v@Uk| zQ7u|rHc8SBNB1>G8z@*cpba}E9U)-K7lq7cCymDqzoPG}t$JjHpno6=iAM0&c_C$_ zmdd}t!oqAK(i>2KdGh7a);N0S_|VuXb0zAjVf4F(h1PlXtqSpXuishBZRV_26gPTe zm@F#TK<`*zqMA({xOy0LYZ!w(SS3hIo4xaZ1{*|`U)>LuzC+dXy;lj%3@ z4jM4)`sL1Nn!Ce18}QLU0Nvfra#g4wvGw&<9u2!ebS7qM>$Lt-(Dl+><@?bpNiQMr zEU4=i5kWz&hy#`*d$z0J$Ap9w%FS=#X17|bm{CFB=H*o9dA72CM4ZV;BfO72JxTfc zalXxxM%QBq3;7Zqxh3FS|44zpv3Whfqi4BB(pY|c9r$eIO>VQuY4$EOG%1W#t*|XL z`Qni~MXFqx(a8p(KaIDmALt{NL4~ET>gg_UnB`mQ*A8Gq#m|#?rrT(L2jdp;54yLQ z$pgBkx^5pC4UN2w1?{(Yl|2gfcHI=jH$RGB8z^@wF}eo5mFjVSU(!}9q+E3#07iWB zigE$;Uo!AkZ;>PCH)tkXuHP|gX=Lqt^9Ar&ll342vnQ+Sw-G1+?dBfm<+OKnq!^Em zZ{iGb&IP_=4t^2Re|zneQ`+|j_V+!FavoJ5AM+leeCLQ3XFuQ(J33XZNM<1^DClh9 zwZ(((-seqto^{V(7%k?`6L@XuRxp7Nher&YcJGIhDSYEoGm8;qc)C1v>$Pq|ppNjm3gwsV`@`mP8Y6iM9$JI-a=fac zK4Fuvs$9&~b$cMNT~n6_-}OrRBaJE!wU;DEwYf*QT?LbKlg&4m6kbVn-mf9cP0Tk< zo-KFp4lPWUGkD8nB04THL2to*=ft=tw+OSe&A1Q6IVKztk>SIVlF!zYuwM}ok*nAWh9uRy6mTYIkwvb9cJ}rGjMItV6lztHl9C`+L_p8|d6e<= z(zc+}j@%*bBq%IIj8X$~G9B7*P*G9Uu}AB(#awLw)wo<-Wh>Hoe_e4RI9P`5Nw6-3_Pt5z>u=D36jv=;68{nqnvEPJo=Ss7 zMfMWWGNKiZGNNxuJVn2&RaS1@wdT4?2tVz`n8dW`NtC{@>U)OZYy1heS-zNKrv`+G zZmlMb<<*Ey6X60cCq10a*%#ZG?s=P zdcBG?B>udCY;2VmrQR~!v{@##rtoWTv8z+6xqIfqjvq~tkg1KB%M?%pB3qb^#-`MW zfPmnh%CP+>Qf+vrj{nAf?YSEK@#jS>C*Qtz@2a8Q98%k7l>ZUmOXF$3+2*_2!HS&k z&a2#0_CGqKSoEBIQ04B(O?4~WZY>%R1qpfS zxr8+$uzgr7g2tReO)7$Urt!2TKv5!uo&kkKQdnZVCiJn3dT?5jfESMv4)*;BPfig3 zGc5FP9-5#>^f!MICE#?9fFK~~;z#GAE=U7q;p7Z3%Rab?8Nn`P!osf87H(ztl)bJ+ zRS6yUbd?8s;fg|rsBk%MLkm1m#;nTOaqyoT*t6iz`oA3y3PQJ{yABy4Re<9@2FcWt z5!}l^#%>A^PtTc^rmhb;YXlv8V-{^;SU=5aUA>}(J|8R(HKA?-Lc%W%$i4l2z|8M2 zcXGhwA|VNe+!c=(FZ`b@S!#5Cy4r2~l_R$Mt!HU*P+>)@dScbl$HK6g=2A79fr#AP z+|kidcwQI1bqkj`^aVO5H`VxdY;3GGN>@-@xmQhyx9swhJo*{@sMLKrTWOV|RV zjo=1}t)1n~Lk_yDc0%=>6Z`H=XoeNSZ$RptDss)lvNt!)ZV)R?JePbn#TG$CGm+ZFqChy(XcdWMr@}g#W5i--7+b+;q|w=@1KdDB=uO4Yij6qU;nqyvW~$` zh@Mij87yRzxQhOx!b{jXKxO-*a2i%f801%%KCyXgqxY40V-hnv9{}+Iv&oYZ`Q2Wi zD1Omj_$^!P1Fls{b9f7H zrx}s-=MfiD8q>#c^UKT2UI>vA+vV}?)k1%MB^0b)weZO!sJ*T6#XP81Z7hG`lj@L+ zA~W;0t4hEdxp@IIQy(5#uS|$|AnH=2-ap&er?+N#bzxlJ`xL$f2G8p9vf)s19(ryo z)jLmID;CwSo<}&qqa@pKWrlin!=r~{&``p3xU{HOT0-K^omUkVKS&m5pl92t?i~sX z3uCe#8*AttC!N z1Snm`U^Qmy(lanHXV!bGA%uh&CN%K5l2UofGpmHY-0QOX?8Z2L>o`K8I^0%7;A-2E`)fpKKQ=^ZwzOc7y4DA*jJ%ax{8?D90c%-^%q$)9!ssbE^(2TA~gnx%Esox z7L=PpoY|OCDYLN$I5a zG#M|W^Z%cP#jv~7_XpR=A-#LS0Nz4#jEgWcOi_^?iS6%&~^{> z&PzY8skjtHAFgA#6&ycayHc{pUa>ypNc0+~l5IKOX|mx=)(3La zoUm)XGSJDXtgNioSA;3`>(1HU*f*$#4oYhmeUY}rxBT8b`c$tD*tnvUO|>e0_OrC= z;|<_8&enEyb$$MPd;XG;@Rn*ixW?$4Z$M(XsR{WS89cZ}nKv2!;j@E`QgJV>+i~=C zjEdkkP&>blMRYo^bUk3`En zlV3ARKQXDe!!FsPZG&0sEBUqi7Q^<WIh#pJRyB(`B6aNSB`4x^dfwL?*}PC+#8{ z#4KxS`kg=aX$mH@FKbJOHoB=*;_Wr{UKiI+#-sKXp2t8uJ}z$TdTFbKjfO^O{?bKS z)njYLTM;)7QfE+Q;#TImr#CLvRB^sV6uItEdLN{IIb% znpirZYlxzvB9Q9~3Wo$oJ=N%nnLPHZw?AbT+BnBc2sGZgXz<&=4OCJ1md81AVqiu{~+JZY!6!d8LAH|HWq5J=WFx zu|JS(^9Pc3oLH|EK{7XxxER0um}6cs5?go0OCaq*Zf05a;>@WU-@9KW9ymuDqNU9z z=yg&A91G`&TWfO(lIaO}ahIoZwfSrHo&Ae?_NnvKN3Cf-mvSae3f*UXK74V#QuKt# z3l^~k*`3w?}7D21(cFs^2K4w9S=S&GS z$?;@qYcm4gAKp=bh1qRRZ`w5andsG-t}*I6Px(Z8)a#duVlJ{*chxsUYR~g&lYm9j zOSHbgsZG*#VXa!JD}-)#GYk5>u`FXm}(Ib>hfHQGInu`%bgx55Rw7ivN;oyn!Nni6pb%F}mt@X znLj1tymd$)4=?X(;^5#QAb~tA%lmFtI2K$L$Dd7yBG=H!el#$3O=S@fDcRWA99cn! z<;=bkjq5Nrxi%q@P4gjXQi(k*w4T&>W}D>e^q#41tfruytS8Z{(?zZnNj3=|JsZ!+ zpSM@!N;UYFhADj_>x1mog#wOX!p&EdDAy29RgwKZIeq%BNU{6hbX&|dV!}-4X+NpO zKo79|6Sr?rN``I(zRi3s!eh5`QS&PlpFYlH8|Wy1iK6e)o7eMg8azqyMahRu_gqL3 zJMEok(GT^&j1cFTy=x3jCH%5>edw-ixMq3GR-8DA9qVaW{l%wv^|bWc6QWP)gPwXW z??!MiQXw%On(Xj4KDKNg2!zNE7vvkCKQH2nuQ@d_5y%<^=^LMVVre7s67KDOzSDaP z!?4}0S810gUhHl`k4n&kgz3!csncB(r$1_3$!m_#A66@K^3hIf&bZbeawZ3hmL&6v zHgin;#W4jgSQ~{BpJH)GXw-$ zuU*kaNTKD<`!|$B5Bgtg4%?%qi%PFnUo(6}X7XVwch17xd~Cr}+2>+6`Nc>LW<{EW zA7O{K{tchBCA4Lmny*<-_lD(N(d@BlnDVIPoe%9Zzw2|r+wsHEpSv@tP^){rBFCgT z@Yv$aqmfL)5q@rgdb9N(j@uT!C`50;puNCx;x?uH$I4KUW75XkUc2i1I13O4$c8J? z&5&o>YhV07w%$6ds;!F~-Lyy}-5t^`-AIRYgLHRyhkzj6CEeYjbVzpyNOyPLwLRy& z-~FC@*Q0;!#auJS7;}u@D0Q(gUz|`uV%a5f?b_;%ZA$z`UE6%arxt7`J@$6l2FqCh zWh_cXMnb|gCZ>=ig`Dc|p?->2@x#6@E$q^ee3IED_j4H%WU4&c(E9XvKRL^Pb)qVh zpOmrkI~B6i=*Qcv-5Zdlv`(oo;vWC?f-q7<8afBHWy#C3e{t=?B*a3D?*Q*I0TjPe zG@udacKPzt(0~&O+GX;Wv)9_@M=u>5wKqc$u-ls!=%Cji{k z@C9hfJ9Od%@!}>o$|g{gck2b4#NIgykTTmLd69d^Daz@cdU!Y`JV4Y)w8BPo6TP?Q z(k_lp-%B_wObOGb{rT{AYwTdGHiVl;NyKu0umc&2|5*tQc^!x_gZD|(z`fGrk#?@Q` zx?RD*Lp>W?=b!J4X9G#N)}!CNG5LJvjB`Ij$t(=M zJk(HitvMH$m^|o7VRC8>i2y9S-y#^ChORz$8c_-{i%@E3C+$w-0y>mZ zLcTBC@kNc6*E{n#f4BlS2A5633-II#_~__HxB9om$H#|-g^?KMWJlSs>$Ny;dwY9F zY1WE_y!G|SSKV2Hys_(ldqSBEEFJbj<0$ zd>(1|tIC!5mJncgrz>1tcEyxJ6i9s@b(kZv+!uy=PRjBrPfZ<7@#EvAm;w>BaP$Dp z04w!6wAfaG%DZ-Uc1F^hUlJL#)nb_^6#6;wz6!DcoySrrJz5VA56e<*C-Xw$?5umr z8mplV_ph{g&Ae!`cJG#}lux%4R~l2D_f1M{@{vdYxglm6V+9e&JYhc(4iZb&W*fb^ zwlFZrVJSF&N%1(=`k#1giHMHoc!{X2tXv9O>wI}Q1R|i)Yb10(Eyr1GqMc1ie_EEn z7h)t)Xo(?*{v!e?%_c1zqMp~)mYWJS6m^Un6#ubA|H@w0ZWgtj-6mU*F%k56Vs z#;8UDIZem2PXv{ETT zHmH9-^-XHO=){Ycn|tx+D%d|<0s{@L@hOIcjGUa~M>&vWz3>gQxlRJ0xW#_7sfx^G zPGlIpL_ix&ZPDG$6#;9bdU0lq_Qy*c@i%T%gw=*5h*$A7(Gq0J$TBi=avZ$lvqdci zxVJ~ClGLw-g_C3NP>j)W3rRLVgG<9 zg<~2ZMv0h}O2es$4A`GSg1F1(h-~ueyCZ_$cZ$53DJkX$(hcr!bM}4Hbpxx*6H+A2 zplo3Ojym|W`cp9#C~o`C0-)|;paq}>_;>*D%hEDc0{P7RpLzD5ou31$c!re1h84HV zL4qp}E$z@uqA8smk8TW53PYP5XWu&K7eHN5#e{(S1tOerz;q#36z;gM56I?V0Zgs< zVfL4w3ja+HXt)Sq-Dy_|K<7lD1+EkuxZoH96PH?!PykR0R_jxszrfR(sG(aq;0?^D zhs2`u0i=+*xPI8Rs0>_8MZ4GVBb@2nZbQ%ONyc8Yhw*))J_Z^uPsqsifHYvIP6Fq6 zKMHiQH7^8PmIv1spKz!cb&ORiIN{Ttc)^0;hvyJaSKD@Xb_#jpWr@kJfbKAbjkf#F zA54}M)P8avc->!6`VGfZE694z0lziSwR&7q0fOkEUW#&Wsn+DnjXLaPCeS$=K*oP2 zY1SG`y`cNNKHvU3Gn&Mjs1;&Jw31M-U-NPt&4=3h#jG4*FDl;5&vwv>gwYbvBs{+n z0UK|&#c3B%ge*{C!^6WT_&hJRq%N0e{pNt4qOw{7Ce7#I}RHRykBSXjoO z7ztGjo7jY|3eBtfa5{>C)G-yftG_!1W6Lk{T3oB`l*+gAa=*0O7xZ32-_y;29D zADKCq%N@DR{9&hex{7gQaB{Mgynod^I&7eX+lM*pt zXfAh`5P%w!cRT6n>8*zoXuUg2L^Am#Kc>u-=atLjStJCov3FP)lj`JZD`JR0if$}MU4N!;2VQK!A#vHIfN{s5>;QycT zCh=Z?rqqB2Z1wvFz|?;OiLW>7ep`@QfM*Po7g`&vaQb=rx=!9+;-|w7z{SYE!Rxd0 zCY$qHn7xJ?t2$r+C{7|#;;et_3(e>Ak5QQzyab?v&Ep!t3Q4mZS(+;^b~vKSAmB&E&g*r{7xV8k2ydFqfO$AQAWH+<1Sr3 ze-xXIC`Ir1l7^nCKk77~>E$ktL8i4>cQsvYhug;JstA%!h>QE7{YOFY83O<-lal%= z>X`B~QtI2Uu{c*0lB^e8>!P_~L3wP76|Vlk=!)z{@KOAqk4)5N&mx@rrxcaH{h%Ye z;Uj*Po$=`yiM>(JbnTM7zZBClmg2)_>y2a+D0TuL6Q}|Q5BY>kB03Zz- zu#-35};Q;$9-`ZpHV3QQ4U9I5XYMD;TeMr*zSU%tD)_L<@~XQ;xAUJg+(#?S5Vz|bg<~AUVS9c|giQGED%cwKyMxx150r!O$O@8KcUNx< z2EYJk-Fxgr0%^)nmCPEMBaMWZ;-O&vb1YpVbWk}g@M2wu`#EHbzb^QK%W)P(DO`I0 z7Bq-^V*)Y->Q$vsVN1%&V(?<2q626iPgm(JaP+ozgq1CG3z;@XFN-H>ID9;e2E8G( zKD_{7L8YFb>av%P0$ibvRl-jv!SC5C$)f4ypdtYTC>#;|z5Ba6b4Lh2Kg1A2b?}wj z%Aod1Uo+~pqWERRZaYF64HKQ0M_hSU)=&Szk>mQjkSJ^B82g|#K|oiQ&^JeIEs~JX zf67oF)}V1va`ukm$B!RCtqaV5r}#3Ed3xHE8tl(-kf7MQ zQNSwt|JaR&mW;9AzB#UULvV350ZFmx{NG7|^ZDN=OQW|rro#4S#tCr%fDi~NSloBJ zuKUFji?9O70H7y5a7*I=B~$>{kkmMkE%hsAxSbjjB#Kb03_#eH#|>9_YbTV~C?|BD zPp3n1no+u#0MYu(n(jj41Hw_z&lf=Hu9ZQ;8a^WsN7!1i0I`r*wd;vw*GVAsL};l} zXx(U@KR&JiRv)N4ZwD9n6 zG8<@eP`rJXNg(BEyCVP9guQ0RP3oEgGJstDy}p9g`{x-~<{b+WDl|am50%`QO2?82 z%9p29AryLOyhI>>YjhRf7}Hkj!KEI*E^mXBWTd{9`VjR7jfo&1Dnw~ znFI_LSnU_%fV1K8lRpA*D@4ivUlsdGc4iRNP2bw_V=Z1|yM#9!?=tlit>Up3k@q<$ zye41~OdXjk#5^rqM$3I*)ITzJ4PVyKTJ4Vfc-*gtaIal~E2;Kl!dW*T023iv0^y&a za?&ON*lfRynmN6=gTtKCyBpejX6M%E9;i#O-d#)!yi*MeI2~Nms9_lR-HdXWaRDE} zN2TAZRpt9`RwEP5{%Gd`WJXMW*NrN5fA6d@6w10xTFPr+ULeM3BOH3}u5nQSpNE zv2hiXg`F9=EqR0fnbkzw+=A7N_05(KtOO4nt`MVv*^NN&2G}D=!ngX{;C%9stnUjn zG??L^U=z-Wbsd)HRo&#BS2$Xzx{k9h1iFq>6ny~j>GMY*Itk?ZrbGCzbO3)YZPfAru8TcdcO4c883!rq?R#|c%Du*~;?aLYlI&NNYuaU=X z2~H+Q%_1$UC+QAhzu>&b0V7mOyeJ2?!4H)=KddYgUhy}Zu$^^dIr>i@|6Qh}o$MrE z_&+b}hU>4?e5lHQo6kuVNeHH*1xnz9jl4{vL^VMrN0N95GU}_q;cYN2)*#M`?J3Vf zl7+tQ2VZzBzS(6{po2~_yl7*sz>0RbhDWE_nllRY z&#?=+;9>kn0pz}{_t@vsLLQGsLM7q#F=MX}#;&FZOzEISFy-Pp6uC!Z9Pidq#)z}c zMk`=EI);>{{UPs-0k0FZ1p#dGFQS=h+gIZ2-TA}U%`-LR#;;%!0&M~M48i$1Ao~lUjr{-3q z6~%QWP#&<_RD=ioeIW{%cK+T#DV~Mwj2M4$F_2zgdKrifc1Quw1>nLc?BvH--r3D( zl=-R6$WxwLcQsDoAo_Pz9>R>^w?H|vf1?6`Z3m1^@Z--4;K$%ck?L=F0RXfI|8<0e zgi`;yxj`VB_;bK8jkCVJ0iPELA(p@wJ67p-N;-GASOZrT9LeJUQYLK- zCy7i~I!wC!Vepp~Km2eCXbNv{U5HA}!Q zP>NH7(8cVke@0Ru`+pB%mBF-5JYKx|RZRS@?f^|!fBtL72YQ3pPgEW9UTH3o4Hl`u zP#^=OIbOHBhq8PW8v>22J{xojN!oZd#xuK_^1@VwsI_y|l^chsXL6w$*r8%@yb=gw ztaS5@MXmqZA6%q`oKzy^z&}AAU)lS=kvJLdA=sx6QO-Qln-F`o>tEl#*e2mT4F{pz z*3JYSE#{8mXZvD&)~WHp`Ev!!;jsHoU8HF`y`sdti?)J*o@tKxpY3!s3<4Y+&s;`? z-Y6D37;H85?ekeZ*z0Z=j9mRp!)=e6*+gdTtyvG-@n_=1xbH^K`v~vr7$1Ecd^U5^ z)5Chp5B(-@ouG0BA{K8-Eb|G&OW$>TREgUoT!oool48hP()6=B#QuD9Se2@=xAb11 z$nl?Jqe=bc2ys*87p~n?P9CbIjs9KWnW>w)$$R-sImQ!(yi~S<>eH_H%m$n#Z*qni z4yNpg=GD2#L5EHQVbGkSpZ@CEl_-N8JJsHk`1K@ryQpta&4{P=ZFo&s6u&`~!uKte z@Q@avIWe%C40tAhxQerjGw0n=jGQ+vPxr}P*#b@Ul28a+H!$uo3fxwUO5)s|YNpPc z(H@bhRet32Yt-Dw4t5)SQ}JtrS`GG@FI`%C)HW393zqom6=a)K{2 z_*;ns%5Uoz1UiYpo}=ujOyhmS2eYFcOx5e^(y*Rq2fzqUCQ^IXVE;jq0^Sa$!e>jyiPi<%YvS%iW+Q{|q9?9pg=S*zj9yd;=yPOv}S|&@f1r1+M zP|Fuq{^7n@1b|D*334D^Zn@t}hD($`!yC<-o)q)DRqdPv^EX}4v+*P<<>SK8`CLc+s3i1f4^v;hn?+}rJ#FdcHw4t{(vC++pXx!>6-G* zYy2jv%#KU-JF=v6YPHnH%X|~G(^k9PY44crKD^Ms952LJ9*f{TV=dLoCLGA-d7SKf zh>!i@AREvFLS%ib&Hex?zpV|frSS&SOcQl!Kp1P3=F3%8ww~rR6REk%a3}3oks_+o z^lvzPOG3rF>en#{IOpT8^I=}p=lEZbmqG)o=p9^X+x7=etWDjTYW*6k7aES*%^F2U z1@w(I8XSW9c=fd^fb6Fi_EFWw*RhE97XzA9V82Ml-70XS3 zw&8uyBFj;V!nKqgYBP9An?Hi(T%~l-7JABP@2az|v%T!eo*SvOENR1E{8+{A&Y&+I zP!)I?y_hy|4@rn!3`_WDh1T*TW>wXAjuUM+l#NX3=gMbu8WYSs+Nu#9EOWHc*ex?VuzDHJV z4{q1)??1fnfBGch`bM%GvR40od@S2(@;-mviIg5H69fh{}3>r#-Vor)n)OE_3W?x$5EiYlL{NYmm~%DAbJN7 z#+xTEllMvX?f$n@MP zr4J47OScH=X(#L%Q9m@g3gpGSlVHZm?-Z}8CvQdIQ+f4Ca9EjdBUaMP8-K5WY1*9) z2Uoc2?Mfw#-~1c$pZh0abj&e8f&lBTrgRzqfj_o@^S?+aTzuUXJ?l^3geoSbaff9l+&78E?Bj~SiGG@CeAD2biHE8>tJ_^Bv!T>iY(9x>-{HGP;TN7&TPGPy2HN{8WEqmd13HeuXV(u2TE)! znG)OKb)Iv~gJxU$Mdzn?1psMi6u6jVuxAGStQe0YqpIsE=OSZtgk}W4m^I~2rBouN z&u-l0jXTm}f622j?osciI?kY&^J*V9OzroO!p{wyVCa7g{x}GuLWz7AEn)rV_3|sy z_qg};hFeRx<*InMt(KBVbM{$2mOZnoqGMLzi}0-SBA0$*a;3o4ON?bbZ=3b zsJx1J&bxT2X4Y6sB^pgmn0Fiw-nwK?_?z2wO#%MVi8Uc|qv;|K+wKB#ZnfyeK0H0J z)(Vo$K6VU1{I&35Qf(NAg1Yo3yx?%5}QB>Ui0OH^d%ARUk_UXkk=n z;aEG|#Ria}pG8$i?>nBVd)uhxtmL6opztdS`Og!$Gh0HQs&(|3&Y^c^OZ2=Xv&-V!MG=HSiM=}Oo3dxv2MTn&U&!Li8N8Ukyyt=spV&RPGx}PX37_R6VEkjd ziOz?tSc~N@ZKMDOCvkE-B$cJ)k!SDEn`11|y2Ytqc-AVe>EJI0l7yqvl)*r9_UdIg zn8e9_AHcYHF?c94>E$j7y7NDg9k~5CD2STFCO1tG#Ca*oCSxxA&SN9&Xu{twib5yB~m(AMCpnNX=**gkH89|ahM=bx}p(Ao)vE02ri`-i0^f76!&&IJ#Wy&hFi5Rf0 zMz`S#-LlI$xi4*@N3vNhXp~P0C2S66X0A~&S>onY&hh$M`7I=**S~6>dD=Ozey%dX#$Hi#AT{|x$m$nS} zJk}`Q%+fM`gM_rOIPOtnW@{)E~z5?HpV%AH^236j#5N4&1WU zEoe-kwS$j616QEEq3QoR*jQZz>#W})SXtHgQDb%N*;xf9-#?^g{VtPN2f6S1B^Bnc zc^7V5#E_=L#J}s{q@t#8y>!^oBP-!tg9<$k$ZbpQi+QvT)z7$VD;1iR#aliv7pl!;a9moxe2e(G$$&nJwAP8x;l5h~+pe0OF zXJvaohqBpQkuvm&II6u))cQGR1!sl3%eCo9;lzkII--vj|t==e>OcN4CzlHpF z(QoqL?i++GOxuO-f|Pm2r@STL6OugIg>*8o+l)0xNPh%Bjl^_!{Fp8b_XxgH!QjyI z&(;4mHR4y?UM<{$I~%#Ay)CORQLI_0*4C%STCeyCl_*EMh_kJQ5e)NnAKy*NN+{xv}!4wr#x+`gWlf{P3vym6VXhB68*M6 zfL~05ca&gcSl@e`oZK9oqwb{)w{}N8*u!t1c$AZfe*34V;J#%4&1uL!LJpSDUuwNi ziql144ymxV@K`<)I;kMtB2T}KThU);qkcqiU(7`Zc#3&hHZYd>UIBUlQV!6;WirpMTA&+_>oCOLzbE9Kk0V*cAWfI8{dc zDtH7^mVadQv-6MRDlDU0XP}-b`E*8}9jl}adl~WnhK8Z62Mr`R+2vld`d5M)AUuC6 z_|Z52I8AmFl|Nw63^c=ZCCaUpea}qoTFi9oW}9Ig}WMm5`89aJHNjvHd3*P{|FT8P14r=T8pwWh1!7yH<3voV_^U zovB`Jjfhs^9i`6nd!`HbJc6Bf!rgNps(9zI-a7mL9FZ3^V8GC}7nm@}ul4_zOt!T9 zX|&dl^DFrMM$%c0b2iFY5(8O%elo7o-5!xnf zMQ(qiz(f#=edka%@6~Uh>Z|k&WP%Urmf}U)kl3zF(7&TY#}S$|2=!W+Ek9Ala|ne= z*C}{K;<4n2-Cj)Ok9Us>FFpK>rzwQDdeqK6_kQoH?HMI;H5`^&EJ(jacwO<@>hwv+ z!qN2Mk=ZMuM!iwyE%f~E z;jEStLvEbT!xCNC|9F**tJVtQ)TAPk4V?tVS&!{;V%1$BZYHvitJy#X_e_!RTwF)>6s7c(-fS?g7qqj+lzN?Vb&5~gRGzjKEESy*r zUpnh^uOWiXk?nM~g0u28N(##WC5B6`r)-%6Qn}aP2VNDdV4~R&&R9YQU!}hYUE+(aag&;`RE;oORaM08H*4+avd@U56iE`aV zfLecV5$z!cc z>3eGHFNbr5m2%rvohKD1WI%YbNJZttd_aGrxqk_7DUW3tJr{y;01CSdx8gQ}(#R75K-wc+$@>Md`er4Ud}=MREd!T)!>bPPFP0vuf+p zW6x=4AT7~O>%PUBS$Y}Db{707(zi3QCtE4Dr4gTMpd{45jrE!cptj@<0!}!ErqmoF z_iP%H6c0Bbl9#|El+TS)$?xz&42S%SS-Mus#FM8<5@$(sz0{&6hoLnt0h7V|3HvlC z3Vvs`Wi+F+^QDVar24f^BW25PZq%yhA1!JjppWn}1pI^q-f;6Q?VXsTQI*`J2d&oC z@Z>zqEVFV4gTG6;lMCaUda~5=Az0s@1chtL$HTwWRbJX79+j`f($!x-XM)+kvSW%7 zj^s~Bn$vk=zTMUisCIku65<`4G6J{PORg$xgTK~<{qR%g*Au&n}9v| zlEm@o^IS94b7`gK2_mA5B*xc|X{Wo5ikMX&Bs5gpJN+7qOKawnU5&}Q-MgO;2j=AcB_#|?GGzQV z14#GzDu-(FB)V7QAf7Y09u#oij-LoK0|`5cSR}iKS)YA9C3ju)5kvTu($3V54h~x- zJ>u~_TgQnN&p2MtEw$v82fAMbg)V7utHYOAzv4IP^#%s>tU`aFN1vc*Wv#RAyyivl zdA9Us$wt2QkBUGc&l-w&;ONaDu6+b(Q0%Q~AxxA(bVVh1=S89z!$jcoNtQs1(bm1i zsX~;8!+I0%7{4>ed%`>-EPVk{-po-xY zF>@e=;QhUaVZb`Rv4kSRn}UI(uFwQH*O@ay^i4x;q9ZJ#Z=VS)=flwC7Y7J`efE13 zdK5@>nEQ?txWFmFM zjqc|#-+iE1%Ny-&OPHb>?*UJ6qp!X!d_VbA>?&8imVcB;DP}Vd@v9sySTi+NaHC zmSfp7o$>iERlXX4>CjhkmMDN0(;2tYxV7{V?(6Q8Tn8zIgt{*zU^BK;ZFO2zSl4}{x5`tW`O+R~KHpk*agf&^(v7C4{ z;rqG_BlalxM(Km`N%m0-qH)sGs@OWaCfYUoWb{n*wK#>vR|@&jnwQ-!?oLZ%=sAqFg6kE%w5 ze)8a0paepbrq^{w%=w|IA%$Os=N%9f2lVRIBz()sIx~eda5FKW)KxJ4 zFn_d37Oa_e^<#^L6BJG0BPipRSb|gUahn4>de>RH>5`!e0tm&BNa+ovs2YODD$b{@ z=dL-+l8VEiUl7JiA-DXl{NKaazvAwJmrG)yB!XbQuPb~wf5DZ7AXCjMc=@{SA4FR9dL)a*zIvY1v=R6;ic2B2}hQ9FkvZ`) zu*ao~Iul85=&{#yCPZ4fS^0cC_?Hw}rPu!Pu^ipa{`5dj!@`0F9UWa7F`D5W_db)` z+;}O1VrM3?R6?Jsf70xZSH|0U{l7X4thqko1Hu*&d#@;0oL)i!j7 zBlkfzj)CKK+qBC7Io_l5f_-kE_c9LOP%=lb9WD=R&FDVmDq4} zSjIV+Tp}~2sYaG%|6zQ0;UD`kc*Q8GL9SW$=h)emCK;e~^ky)-UvF$j0DEdq+J-gZ z$rCwLI63k6-9#oD$JX`edNN(8#n0Z=_hh?V`9fRt zf)7o4&LFlKG=bq~*R}bXR%$f1+k>u&*><l+ka?duX)0h=khv|1LRas<5Mk|6SidI~UL67AoD|X$Og&>U>C_Yv+)h@c z>}(EZO0JmM*;VTJesOzV6_cR!wG4N?wS-V!-@4-YqTL<-nfbdvP?>YDDUxqVjL42u zm~k{~x%nzzZd9|bM@*zJd6WsnrPe^bl_aLDp5ZdxGU=->xpSGfHYkYcN*KuQUg`>^*-N>Sxc_=wNRK{;Lzarsr3 za3XpUjR?nBbsdeT5ROB;6{LY~VVT)z)pcuxyF{Up0*UA!a6}5-oi8G<&)5!2cWzSG zv~N^&)=NX5h@oy)0v?d-TTVehS9U=2XTgt?OdIDJ;}+x-q_^$0X8$qiI3{)9E&*N- zG_W4^LVLCoujox*>49us>#n_W0E0t)_by-69SC;;;?CymqIcSX%%1BrpqpS`8$@dH zbY-mOY=b|hkcmO4As3|i+lh?l4@=cyE{ipb-ccDDdSSswrhlTCtL8-HBT{VX} zyppE&p~^rtU)58@nZ1!hHyob4WfLl@uPwYhSiay3{VRZR0qaAWo-|rrAMQ|U!eq74 z?{(yLa&i2)0#W{81E3bI5U;B}hleYR=Q(R@>v~gSaR!4=oFUv3u4qqxpnWn82N`i% zvLB4jABAx`u>qv!Ms1?6C zHR1}yb5r0d78P`Bkwu#5C6Xs2r#+_V+;pBg+2iXwE!HY&0sK2nsa#y`Pbg^ z^RFG>BortH|GfW)#B*VcKfX4#Ovdh&Vz9<(x(L4CN4u4}A?NYqc+M`cMbiQP>wQO_~N2L6x-E@lK&yjH&?O@tOx9Snj$TItDw<=<) z!k4y{%=_2fjUfY>=~g~8Kzz;)?RhEdphRx$BVXLViQt#}GtR2LvbHsXi|!ODmPrvu zEqTXsaRTzFRgH}IZ1%V`-S`>8;bd)Nv%0!^t$jFMgz_7k*(CiF>Z_DItzF#?l|O@A z0*rfgUy_d_xx5+> z2J18wfQ*ej=W<1>D3Zrb8wM(Pv^wwSt>KxEvWi7~{rVAnN(Cgk2=?$*VxL?t9Q={l zi^5o0kcn3A9+B%5d`*cqB`LP7*#n02Jr_B;6b3m&Rs`SHQRyITAzs-}c|`6^*ZoYx z4)oL#YKV0~lhWm9t^GW^^%^I5>)L@$;f7!6`qvaI&5y;RVcq=<0rxeKZ9GxKK6Qk) z?Fd#5JKIl6iJ==4$clA3*Z@LB&E>_!#3bf``XN<`n?UZ5jSa(~s6%C1fu{1I^I^Jqf%lPYEtGj34Q7k0|Y=Hh>vzv&|ug9X%ZN0kK9^r5TcTCw9vV53h zC}gw0FitDEF&~>Mkb&`_8B?uex3bKPrsoVwUQVKh&vMpYs-WMhM^ni}+NJaO)81;M zcN3qEl*WYTdsl;AS!>gwkd96Da4n0>H~Gbu9ik;2uY77|gT|)vLwENPa~oD2(~tg) zt~FB!fwS+ASHChVgyxSPntbVDuF6TQ0wLAujO7+!yTXX)8QXE`CT9l!Ft?D6mclz7 zc0YLzE&qhA{?1Zv4IA@|LH77U3=lXf15fqcz|An7%%oh1==AzLh$d$RDUOc55iBzCPqWCG!v@E;$=Aydv*GKO{<=L=b1a}T zH(2W+h&5|lED1V^Yewjx`{5=Rf`lvI_Znon?qS!tp?WyT`^oBD+`I|B{Aj=P27NsL z6lwmOG@0o-n1iTI`U=ldlV6b3%&a0u2>Ei6is^!_;PsYd`8obd7O zj5fI#_zpUziA+aOr^((cGrPB1Lb0$qm&vc8Z$@K#^>i-Y2z)Qfp>_?kyHKzaSsgI_ z%*26}h5cMDYYLy?XsQ)Gf`GTCF}LDn82`oT!0W?|0Dr^7kd$gnOxW%{WsR4cAQsQT|C;alv!B~t^Omd9vX0#5z60FtvR-!yRgKDkG%CH^DE ze^l?csD#ql!qd@9g{ zPd1zOIqJh@4+FSz>gOLBcG4e5S%P^`Tye3Bui>*0meuheHS6jYl1#7(=-?G4f0K?< zIsN6&!az++h+&{(x<$o=B4HPTsj&@^xO_DO=o}%9eFM#6fZSBxv!>u%wTpjh^VD?R z$(ClHjp4bu3Emi{*CWeDizlw?`=#zOc;O|5qcyQECIqWk---dL`#9a{Hq%m4&m~{U zM8btN<&$?ykoL~g7=ynocK3Z~`L+aETdxbWm)#x|ulW`s=~ebTg2!J3Q}-kcf|Go3xP_J%JM*s5E;+O4Zvc}Ha!OyDjK zz&(cEU;L<+s>g|a$e~`7M&z=D9t%LasWkm|&hEVly+-jWHlANx=J#ncND9x!=&4()ldJ{_m|0^c#eo z4+|A_&MKYt505>1Uh z9RIQxZY#TtQ~p|Q6mnYA6GCim?dBr45(!*H-qXN56NHlH6teh$EQSdnMat><706%# zs%t1chze^N=gGH;n92zwgbSn(cRw+=(=2HJSYT!DB#^BQwNOp5ST3t0&PpUi7}_fT zbo5wJIU$47jh=(Z8)9h#s5a3MX*KYACZRX0c&qN` z0KW3)5(ttGpU5J8@lF3u zO7+yb8rhh=$eTvtHm6T#uNl!-3r2TdsHwrAjmXmuvW;PM&WPVx%XT5yaz*b+RE_ZK z0q)x;OkP)xWCJey(|4308SvwW@Wp^=YIIhQlF8=R_M3aqt) z5?k9f=PnDx0bR@QGC*_uT!`i3v)rT*Bdy6$g9FR*7#{V$uM^T7BwRw-JTe_Czwy$> zRq(z&ZcRaPxelfg;On1X%^a+xK@L!>e#bl;T8wTrGpkzf3Ysrtw}1=tS1s|({US}he@iPay>l9WVM#bmm@=a zbRVYLB0UFQAH+&6tXO-W$;ua#QW0eTtcGNFqE{LjjX>0C>SWYr+ThV=-%k;%pVYB( zv}yg(K`<~CO#e6)&?1ktgs>IzUb3pIC5GMVLUd<6%nClx0*X>(8b`=jzjU@j_tlAk zB65my`eNNo;r$fiN7Re(UVSp9-7N=p2K3XyNyx4bkLEiol)%14Exv&aQpoVO`#?xlMZW&Xz$w0l2~8AjmaC>4M&Xe{@SHT<5e4NLNw(RSDIC5@gj4{K1x6yTsJMi>D8lQOcm zwyi02X>72mo#vNgvhCdhNTRg}ZePnlQB$il^jh{-)mI5lKYq3;pKgpt+{qV{h$Hb- z#ye=;%rt7en$Lct5;B zBBw~_;K_F>O}3bGSz~}EVTBBrQlX27ya-syZ`Jc z{tNPon24GR!c2w>y-h&5V?zia^itq11c6vww{#~;$Q-T;Rhaeh0LqBh_pZzteDc!J z4gPtis`HSiQlV_)6li1)XVrP2|I})zEmjpm9UuKezU`gIrS(V1MNi?4wVY86Q@w}M z>D+$VVt%-TF{7KrLZMtkZxPgiQ%XZmRSiYrq{Z%oB+dDTUo|yGS@*|I5M6%>6;U&u z#TI3Ym1B`#(k~#)vEi>>hae4fK5s{tsuT47@iV5y55?XPr#)p$MI#iz8j?FgN(`}YpS2F^H`%wWWRI_gVVYj| z$oiy&`8+Oqa~jUh&VqxXC*}6IUMf<78_EPp5qllZKM8aU;(>aCG(ecZ%;6W}?>yPh zp4BUHDF#e$d3_-|{~z3jwuCs}5Sk;J>HSteS6B8j);w;NChu@vXf6)V*IXaZ+C0zK zzYdgSu9b3LojpvMnibBXVnv{#5xVanX%a`g#2ho!T1pT$U*82w17O9tJHIU$8eA54 zWneC3A1xhs`n;PmITr$}77}dXL{%^QYe@TD_|q8ZcLbbt==s zz`N(H1Is_xQ?+cckVStJ=BU7<*}Wlq<9kDE=VBuu5sDoWfoUMYvC|{lwAhdmO=XV! ztH>~yeiM?Q4M>gBqTg~w2##3mkHwn1T?|F@xpAzwEOgHzYSQM>QTUD7G;cEf zI4ODEF6N<@`4X#UyybBVln^ZTp~UQy6V4?iY`Nb zgao>^_t7^&P;xOosmi^AQRit_)DceoD#iffEkio-OPfLz337 z)I*tNVn7&2oiuYRhSQ;;#XH+4>l?wPZJl{eI60;|!;66g&ZnO{do@X+O9<;b2gpn) zNL|}AHC*`KSpxWobF+R!bQLfG7qJicuF9Y6O?`}S`v*b*0vTum_U2HC1x7z2^!D{lj_#T7(@mQe{#B8R z>&|x!nod)Y4MS?eKKISMV)$6ZtO)D}Wg^X{Wj@wJ@S<26xdQPX=^dgU)%*7@lW7&QqpYqVPiB;jzhiy>H^>Sg1!^zY zPVNh@?*j=7U)TT$q+dTRvDN3?Ih=><`k3W$y$cq=Xa#}prQp^R#8&LnYis&CbgexC)68cd&Z5810|{cr5j zU}Me96dgC3i@0#Un1ps$^IzFXkgHO@tGu;t$C!p)!@it=gpJ0p(0$pnldQ%HZ!hzB zL&;M}BmqtEkHUY?xxPruiB``yFTKY%BMP`l1$iE?SDwwgbIpQQ^q809P#J}t%mw&m zM&9`F!z9j^?`?1Q4Ww06Q~D-gZC+ z60E08vz8e-eGx}W4LdGUHQaf{)qNX^`DdHxyKblD;{jTULrcheOe1mE0>FW31LCQ! z%Y8wdh|WZ6fO;&B#n^=Pk#`%~eW#-P_ThC|(ppQw1ygiqEtZ7K^F#T*8pHzSHCa{V zk-{O7v}O|7Q=q7Yzk~ePH*ax($L?~a!xXX7ryBgxB_|Tg7#r21r@^_uOIpT7Id?q(--@6)YCSuTqB@tuu6X)?__LVxIrCO3&LB_I8 z^hoW}rlG{j#BzMKhm783?m}D`Z7Zt@{M`9^+>G;npM?#e>R`av`8zXXfj|AGM{*9t zuHPCDww6%+7*H`W6FnNb{g~NyF&gpCsjDK4I;9x8r}-Nf=oo#j)}?=GS>MtZk&RJa zrt)btxmCNUni|IZqcIPb;R$`L-b3bo5IXUVEfU;%#=JlY4iwE@cvD@=h2!qwy7>Pv z^$v`cHo>}RFu^1fOl(YSn-kl%ZQHi(Ol;e>ZQJ%)`S#i8-u?k=bysyi^%UN!3b*qg zLXyC0HDipREfGvoCVCT-_s22g{Tc6d8Ec|krSng!@wPy%?N?-eue$uvZd zVhSE-9~xg%o37o0whqAWE0J6n>IjjV`d~HYl%$RQn{A=l*aJ}=<^u2>cwtb3VLqhf ze;}P?WhfbC|H@e4Dc0oNO-%k=$98VIxO|Lx`AT}5kaE4-yr5>Wb{Y-Y8e`GyH%In7 zg)Z*XOE=Co^U=$ctwy$AQaUCNZ=$0JnX-u5nhvxQJ)*(}Pr!d0gr(iI83{kKft~Q| zJl78xUu4iP7Gk9bEhEMyGqTMa2dU!YCoyihm3%hs3-$b1mn(3Gs72Pb^I&}03`-zlKC|pfY4H$}iIcwAYTo6Fmavw{*XA54J zia5M!B|YqA)imwS6RQ`f3)I;S0b{zp;LjXhyBZK#HRL@(u7&Vfk5HDQwy`>T8r~jS zCbHCM^SMNH*INx0!M*-ujsnh0<}(V^6>FJ^8A~>A2~=v_C5J?kA#LlKnvpIqTHLZ= zc-(?+rm`)KHAv@Cb0IHd_+Hor6`~N$mn%csJ`C7&ZSI53;Y1cbxjc*Ox>4GCQpW)4 zNJm0h8HKYcpoKviyJM+OSTp2O$#OZ0d`X^6Bc4?`AAS^7@zpG93@#TpVBFa8%^_Cs z#L+fVYR#jW-+ObUO+^`nFgV|kcAn80C{Pe1(6#pFZ_mf2B%98qgF;0bJH(r{Dpj=T z-)^EP3W--c+D+>bq|-g@q@ocvmqBN92H3f|`TSF90LhARlkmKOlvl_AV|)5}`T~-a zi8thTYbz@Un<)~!1%HSj;oZ5hHw2Ek`EvIe&~nAIXGU1`2B7$Fm4a`NZ9NijV0fa8 zg`&SW7405acM*bfo|JrE=g5)5ml@Cs-0j!V|6(h#WZO@WJ9Qb&v~zIe{mSRXhGw~$ zb7n)QE*Y8gHuP9{sL+)k;!~Flw>nevC^MzQ`fP$hwAP9o!sa-+w$!>of(qoD`8|&c zAOF|zx7G{YGW7PEAPPJ@-X~_#?d(=flmkX@J*Gc%wecZ!5=k6OBU4ifk+L*mYVz;a z@M(g8T@mS*GG$T^-#Mif0)_-h`=S{hoUHrsq48BMdrTK~=10|eB-|qu<{k)cE?wDR zK$rD5CTHYU?K%;9z(=%VNXPvh_F5S`ap@6PBiX~FP?A6r!<>go`zFBCNN@Z=$OW?D z-wOYe)9I7)i#+^#G@6n5d||-c2DiD7dgsFQz6Uqg91@IvD4BwELb!f8;$_^y649j2 zk1gk=eap8zfmBWX6U{+?t$JY$<7^<}hFofJIvJD{j;$K`%eS7q40N6VMjS74Lbyrz0mE1 z^_o8B5Y#4yvra=mr*E$;5toNJWVO3F{a# zMol^SJxHW(R1E{w#1H43ODcRQ?=;-I<0Mfk^*o*x$l!siYvg<=8XP`(Pik=)1UmjW zw3n%S&TX`J=)2Zq(ShP9pC_-B{=PnwdOUav_Zc2HHR-j(eUN(AKm0*peRV!0j^X@` zWm)V7JO5yTG=au}+(6#Jrr~mE*erFI;gA5zkWq-s_5_wlPBived6df?_AkOUkQ-wmPbjO*M}JE?s}lx6F3S8 zv$c3Wz`}U}JBP&-;*kWVw@YMiIw6AKh~PYNT9`Fdnram|2V-Tm42I37t(gveQ$89n zm;yv5E}9lNh~#Eod5qWE9IZ3_MxYRjioWk7c!gw)@|v8!>y-P) z<-Df^xI;~N(bjSjngB%s_1VS(dd_#wDphGw*^%KtZYswQ03jQ}u?W$*H+Ov)-+_e@ z1)V2FySh8WC3o1C(B!~c3`|zjtl@fWI=p2%%A}ol>tgxuIX?OH_49)j;!pqtjv4U$ z%X@cF*SDlnqKYY6jDKz4jNgvzl5MQmkFQY%U_6dcS@>rrGs;l-5cpQ^0=nXSxoYR8 zAPbDFzs7%V28kQi3en5nTdid&hLU-jQ*)AK5`TloZ^#EQ0~7Op(5=Eq%;)inYU{35H1UXxj`anmqiSjg*C}d7!p?DcPcMnVk$Z2hWWenQ<%d%j#L0S}$Zkv@wsyd*SaA?1DC+!r2*X##}gTF&6x1Fkx)!^M!EbZp*+& zIy2b@o}?HeY9m+`rL)kIh^p7zPfQUXz}JL>q1*TLL#3jbW!0UGMYG`Csdiu6FdTGq%9Ue|fCOMjx4oLRA zUiMz{;_9gV9squYTecUbScU5D_V8UY2m`-*DR!Mfb#ZnV7hd^La7OW(bsM+00*=|` zI=V0o4*~*Hlb+USHzz`CJXLu-xyg4@nN2k)4DVb^v*+?!JTUZh3_jkV=FoFI1EH6f zKrhoap{824A(KoZIB75F^Pz7*0|iI3Zr)EU&o(0i$UBd}mO*@X6RN2Z>q&I!s$M2M|+~&L|aH>`PKmM^k-2$6wii zE52@zc6Sln9DGsDFZ&GPdE65`?HNxATNI`cmk10BE|JbGnZlTX0T16@#(vpL7yX6# zcKGjQ$Y;3X!(WUWdkg2 zf8}9s{Bu0z9Eg{>n_U8s4Cm~Sk|9DA#PeVG(NSgcNmNp%bGyo)q`A+oNNR0mYd!KOW?nzK`M%fYixbn)YGF zc-319s=fU|pqlP&U2hjQ7jMnw!V0Hs>S0czP@%T4xv7;>pG2izs_qj4eSVSTyS9@J zDroesY;Zbz&O-cWfchq~4pwXp&@{%H(JtzP*6Z^}M%Vr{_P0{@J9#}~Fc)?ADw=NJ z6nCl@CIqmeG>RQp`s~55i9wncJ;iw>8$v$+2|-qrf39uYWR-I_jLMn7+PnzCVi+T zmayU!?Q&uj+*ELJc#DXH(3%2)NVH(G^7w98ZVgu7Z5Y5<6dizD>we( z?DQ2cYXwcQY^`v8`m?FmLeN4peL#ZNdRsjD&(*1jLwUPYYsj$3htlcA`KOBMxQ7x! z)LL{tc0*A)$S9nqGzClvd8lKi8v1-*i$$MqI4A0`&@f@7Nk%-+gtzFFc1~A;+L0RP zZL6mzN`!PrWq+}RVleHu)$ko3Gg$m0xSiS|ax&A(y#|v~HynjaeD%%y1_q$}D`-Yd zr`pVgz)KG6$2E6cW6XVoC{SG)_4XO9n4Th;LAY5IG?cD?kN#Jn_h zy+#y`O98?t8TVjhf7Ls6HMqE-bkl|?43P5j|m;Rwv^U!dQEHmm)R#0!YhE?Eq zAuCz)Y!OvXwzB-WmvWhZu4czxsw#`AF^LFgtQ`bsa7!8*)jJ4jl+uk;av|gIG+=CcIAP28Mi%(bpw&A z8O?MH-7N<97-+x*?<+Is_(}URY}NADqgn7nE?^p7uforQ}7u_(CN4fgBOSCa!hnD1n6eCYIXCI5Yza8 zdaAlc!_WdrsL7Iv2=uw2#&)_N+S?cYbL8>ghD4$2Coe~Bj$u_fmCsvTHCd>2ULAx6 zL-~MXZlYI;{UP0ob&G7|3EN(q&NOUU`uU_?7IQ{UMWzbr_md$NIfUlqnwG1X!1JY% ziF6&vMksBi3)(~fC_MpulU;8*2blCuDuiK@Vb!gcM8!V=GF?wtPc~L=F_-a6goZ?} zd?l*;FZE8orv4z7H~Z~zyziuL2bLd)3Pi|~5>On=I3+Xgu@BeS%wcpgr0s-CalhJ%p`h7~c`*o)f1X?Wchpf~pvIgtRW(-0|PVv`%nklX_+> zRp8Yn{WFK-CeR?tn8&9J$PHnu zu3-Luh}Dnov!+nxXjR#_ z`?)ED^Phzo6A#Ai24PQNDdSzR{Vx#65J;`axr7K$D8aVBT*+vo+DP#mKmkJ&!eWhd zb?IELbuO815h|f;CWa3|YP|+7RryuZY^V3z?!n+~YjZx#d}{6X%tsYJvc*A+f6l&v zgyPg56+{JM4qdbwTi*3wtls++ke(p%vB0+uVrf^=kIfrs@P=xog%8E5!ncrQ7{s~w z>K``e8i^>triDW@Yw*P%#C{s(f1_ICOc%{{-?rs#9lAEbK3ZV&c~%*;_BQh5mQLll z@Vm1Q&i)-H%%NQ}LAg_5Jguty6-^nVvGLLALYaM4Y_g4J$Uq5f?D!+hWR1jgH$y#3 zT0HSq84cbZ6(7Xj4C_;KAIJzMlYsi-0};Uy z9MXmOm;H~4pSlMF$$2W-iJL>*T!R54pI#}dXP8KSi52lHn8Y;nt7aji)@lheywT$L)iY5W_}y4 zL4g5KcJhr;9KpQJiDY3X5P%|we0)Lr7!y}=p#V?IpIGB zj7ZqB@{LV-@-81whgqupQW;1Rjg=m}y}6JZ{G=&!wajXdV7(bZ18tI0H(7UdI&`g# z2pbyVeqVylo#Ye7TI)4mwh4nxP9NfP(Ke^hHpVwEDrMFkHCCk+{@Ygi61tmMEF!P1o zRmZaIHA2k25-C23l*)@kpQy#T3$G7r6D^V)l+i8}yjxg43G1}BXM?yk!k`&6)Z*)M z|F4KecA=|-T85FXpsOE8?XJ?1W!gRqPJ~%;wR41(a*9Q2dTF~0$2O=wMkI$!nQ+j@ zs5zHn+``@n4Y*Yx3fKhb_x7KJ816jJ38n$=5(ggx3qZ&1iKVJU;~fl>%fsX-D`$5k zhFq2p*IVgrBuuD7u8$A{WfE~0V1r9om+g>FL$?+Far@c>p1Pgzg8|QYEE5A@m;mA^ zW=5LvSU(#h20)Gk&c6V+diDKm&GIdh+x-&O?kBG6{fJ7_vS^X^)UC9(%ZFoM)<&&Y zzPjE2lkJ3_v0H#)aGfw)a0m*;uRgQZYwgG*%xvm7%^klHRM%{YL08_*_MN#kNX@0# z&VY67g^c4{#9x}Jb+XB4v6;))3?q;%)om4Q!jBr$Kb*bg&qz(Fr##*mGAXJR03O9p z$s}XJZfKa2&DNE@v~PrCCjvyLPF;2xg$}{zv+e(c8mpc{@8j2i??FRqM?#na8k_^I zk7Fjw!h1!(;o%ZhV92!0lJ{2 zzUxV&)d@uNU(|xA(-spX-qLUGqPOcZ{I9SU{u3di_a5JhrG~Br^}~Nu;u?S(GTL}>p^59L!HuB>rP%z&S}<5i@sqW z+5>WZI{rOLG0iE>b4o_3m?kE;U+faPy!C#RnAKC*2_z{}QaC-aO8t&V zL-8YKoK&?7k@tF0>v)6B$j_;j1=d;5p?IpaMWm02PL;~fxJ@1)xPh3MVN?<6M@`nN zr&%Dw+!enLIG%qu$t7Ugc&n}=KX3ERX4#kANVRLydA(j#m4xTZ|KZ06V_dK!qz6-@ zI0MK(B-*}zC~xrzyLXC*LDPDt`Z6-Yb_}#_s=zgP`j!{dT^B{Lo#NL~ukC|U1zM$X zygj~IBgcO(F4RZUdOJ$mWA6x2x&+V5elBF{uBY!g?yVZo9#9bQJt$xzGr?MDBZLX8 zO!CoFd~jN_Yw=7aL5=INo^8vL zs+8_F1{5K=kSXOcJ9#aAnEzdD@lS$w(@_CS0Hys_&Y^dPab2Kh`+qz6DRk#|?f08) zaiAT8()8Dvy1!#E$aA&XP{2}S0J4zI3Q*xkFS}zgAusanJM*7taxaUvn4$;FRa#l5 z)E?&Xu(Yb^y!!a#e3sYK;255}mmNws`(J|WCe+mbVb73#QfxW9@D@L0$6ypNpR9p$ zxcc!OQG$mOj~RfgKnaG6EZEtHjgvB%m*%g_ z+x~v8P+L?##`}QV7@2Y!+^b!nX+$mudgc7#@WeqQu5ccCI@OfAg z(TjRuY1>{+jy8go=$`fWJfo&rs}yLb?76pU;o0E7ATsX0XY+_t!f3B&9rdy z2^+37O1Q@dtUoiIX!R@@JJ#LYAQ!*hY6%$a?L`I$)l0^xuhTUJ0g#5o-wm&3Wetz9 z?*d95xkCgHP<1b)1qbrx=0&ijX1Mi<98$31(BY(DV;V|dY&tuN+GfNg>a*1V#`C~# zG0y!Oi2h?QATC(cf|BOdUfqJpZ39$@6?ijAYtu&UfXFs19Ho$O?kmTYDviH)$?zSd zPut1F%J00TsRX;UI*~@&ZYwU-=__DKJrDc~*ipjOkDqE*+E!&zhh%`=#4K2s+Sxww z5oS3zk(-lH3DUMx{}>7{a76e0LlN(i6u1q_T{ICRBthdpRcVbZvW zdRT#D@RxRey)0ux_M3kBcaZbmwf4-tE(*3*kcFpXEIt4qT1;ME55@an4@MSFQPzsTCk4N)YiYkSj z^b#it8P;kX)a|3rhb6u>#3{6C*#bx~(2le@Cw$VWdN%qy`kXA98*zZNUA3?DT`JK8 zZ+~+Y2Utue(y)9tagRNNI#ujHvG$bgkKmaiBdHT=y5~5VB{Ux8(39;vq*{h?>N1>V zJ3xuRr=V!DzM_$$MGi1>JW(_dG72F~>#S^RDn{p4U3~_!6GxC-qpAuF1L>0XzW?KQ zL18fWA1St$i5CDav{)A2RpWXwjZVt`2FK_$e7V-}q1XQ80Y`cwt{(VHHMcXs-T4EU z<-06F5dNDaPUuB^mO2_gJo7ZlVyK9bm+sSA_s2I2!(i+$sGDOgPO$Y&6`|m;VSUIz={M`|ra|FVvR00g|CyEOEQlg#`$IN)H+2 z=uqeq-8JtN?^FwBK^yq{#R)M^o{)>)Y0uuF9$TBz<^3agu(<*@=Yf{|D|CFDm#Q6<(u9Zzz_L|KR#&TWHy zcRtNauOrxPp`;sfzP0In%N$QKD$0gG8hS%7RjTE*O#3{qb>p}rE*%aF#Cm(*j#3_Y zSG)5on&En&VgYuW<(5e0O<;6)L^adEH1R(V6AzI4@~Pp(rC8I*VWv$d+R^7%uVu%{ zT?&H!lc zZqL8!(9FN&h#G`|UEf@hru#6=7`o?h>ho`eYpHSVCMx4e41<0IlN+&17%`wrW3)|9 zbT3d#9to#2)R8kxk;Oh-^bPFl+Z6(|VHmH1us36fMWnEmleT3(!|be64aD~<7jKbm zvuU&)z#q5WHlI4#X@iI)$WOOvf1ID^7QUbY7USUge7puEzYP*TFRY(&>y`^!xxHO) zi+5H8@Bpa<9trN+H(9BFjxwv_Ckp?C4$*E~Xna~ekD}?4(98uOIykfAy2Pi1g$a*Ms5tP z#+(YV-q;la zw7Vwgh;Z&P)@n;bHGDyF}6Tp;i?w5udDd;52XdS zGLJe;oaj)*tv6NtGpb?F0!LQao@HTB3HW}K=ZtbySH-ZvFAQDJ38pG8ld-atPwujI z<@Dxs{^0Ea>H$pbU*LfS=gq9Qqt`W90(@b#q|NK5iNiAy`z;i7;DK&93%>;)7s2RD_STj$%wct6frT7o^Ab;hV|)iX0HfCMpO~ zEFbMp1E21QQg(V4X+$xZ?%9-u>Z6SfKF`6n<|3S}21KO%A{2&NmU`8P8WRTK;MKkP z;oV$qoiyu3-{lP3E{nBHBYZE|K&_znz-T{cf9bHgvMnL!q~=@!H&tKZ@585>VkZ#h zunHqp&2V^}9v)T^D}t>uar&RjTH^Nnn%Pm5p#|cJ^Mt{OwS<)z_67Z4hJ(xW?MdVb z-geN$VAU93&)@2RZ$()F6#`)cuf}LMr>$zqBYnN1QnD5|TqN2sp;H^S5AZ>OI;gga z*@Mp+j_QldCx0-vzeGul#HJ;Ayc;haD9Nzt2o{MH)l}q;{urXTExAC=HUWN6N}pFT z)$o+<8$DbQBSl7aJIT+3PjmiU$c}O@%#LWurbta+mNwhvDLc1is&8zf_2e{Ocu+U< zaI{?OhVfosUH*y7Qo*jJz4f^}ngqx`-leFjpSc+^Y&|hKY(P#2+)J1Q84-&$~_!@WIz1xf5-5~B_r=yxM z-UQ^3o<+D=P=(FlQpu zDAkb#HCiy>HvSxx=whlGj8IQe`6-mFB>Vn$4K}>7=5sD+F7RsV>%mMw_wb;f&x}IX z!I<|a-!1Y)SXG$mfU`kH*(e`DO-2|7WsUPq0krS}+hSl|A-9ZjbFdtbyN=Dvze_|a z=%`WFnz#%fnaDjyLHZE!XPWwdY_$&$5M}VHT-;Z#kG+Cu!EX;M4nHb3u##xf!mQ?T zs6Xq2l_#M~OvWxz@W_!5q4YRl@T0f18=IQ6VM6@d;&dzO|F}6prrv1jG#jd{P2jlJ*dxFVm@`HOgQ1d^QqF9~8ROwTik;g_-63xv^l`A+ ze14ye5x@q^PgVpLVmOa}*ewx@Q}3S|vt|u_zcDV+d+|y0o~xHv2K)?CV*vExz7m?j zeI@Eq-l})IEthJi*|5Ub8PldL%-s5}c@iLqy+tInwAcV$d+FgGf3iVk^xVDUgG{wP zZhjl*WS&1x#^M<9I+}%JC(829FcPQNAcD_;GTsUNx5S~|m|eJ9`;WPr`oUjUUrR0J zt+j~ki6e@Zcs40C*Nl5|XoTo$eRQK~8gj%!*9Xz|xW z9P_!IxH`#qaV}QT#&<3LQoh6_Dod!EDht(IYEVYp*JN_!|D5lgvzb^tnR}G&qW>TP zggFPbLjS)gXPgvP;diBqD1ZPt+*oD$cdMVRA_ob1;uMRWwS1_V7T-Ufdj$Mx(^WMT zsx}~H5#ugFQjlQfLd^}S9JR*E%Ftdf@mc{43^h(mELXKxx;vy;ZAhP)ZB8DoIvxm3 zP0X2fSqdf(55EVK>5uxzj|8;Dx~h8)o3QT$x;ymyg-ydgm*1ZuUvQW?n9UlYpYrUb z&iXU97u7|Ac%g`_lXb1Sl%z%bbDPits)QWvs$u2d@?=28B z87+~QCG5BvVN70w@;)h!^53X3Xne*5#D{@6Xm$#f%xaUYZFfTkukrj%o}@?+-X=vz zx0!O<3t;Z>#sn%^yChA_?XO^VH?$XFt;+XRg+rW94XgXD@+h;-lT8w7*(4k73syL*-|9Vv_WMe zpjFwAxnGZ2{!t@Y74?zzsqq)@q*di_UK+@(YyHJ(%6Y!p`_8T=yaz>nx}Wi#KkYy- z|0r60b){BeHoV*oUF5#Rv?ih`gs3!oK(vyD;elVwH(_{r=^|$!9moE(nW?q?`RuC4 zGqf!w!^7oL*RHfC#LOR!WhW~C*YLK1(#BC#)^uAtz0)${VG6kLYPCMu4%`<}C(=9X z!c9dNKW-@uy^~*id;i?+b4(J1<^8w820CsJv!($spg6{s92H;g4J1{0(SfK*f+Q;c zBUS^ozcwncoC8T^&QG<$OH0#e@M)i%w(wW@q;*YzT|Uy=Pj((u%TOG63s&Bn5c_lm zVq4WN5p}c>@o##BLa4vEMNO3%rsN<)$PN4qW$kM>8;q4A_}yCaw6p6m!(^Y{AA_qO#8C?u0;Jd=c@DbYqd8gu3c@ZOAa{NvJr7DC7|1Aua-YMv7Hgj zie<7KFKr$p^aFqPehHGb>xGOrltNIu6ElZA0NPXUG2~0DyJ4ksIhY9Jt>Kdq8f);9 z#zahnbSLhk5Z%vuFH#1_FSn_uEG%WS<_@{1FfH3Y=Mscf3*)ErY^c#!TgC_MLDvQNIaI?3km@?Clq1TCiT(0I z7Pr&2;gniP|F0}+1_gk}-B{5>FK>QA{iG$-{9#X6i7)OJZE8^D#966NmY>#@EqxnJ zTCyp485I6*mD}f3x%dDUY8O5mGi5Qf@R#~&sFkZ{Xxd+w>%5ZUR2wGe?{5<=sMRE0 zrRbR`8rn)MiAuTtcD2xzhEX-<;^u$0ionTk&Q*6Dd5@)3S24q7IueWri`urNdvOCUv!ZA*Er<@w+>N2w~&a*WC zQXYkNcpC4VcR)W<|8eRMT5f}cI$bewV-^qq;{pS2^C>2Ua`}^oM;bQHlG@#RPTjU* zQJX&S^2L`T@iLVz!JL{r-oB(BA+`Y8T29<`kS&l&oBxS{_!edt`mW-bh03T6IS~AJ zjEKon8r!9`Vnsi*`pbZHZcunK>}RaIEkK$@v`F8}Z<}KYOl4j0cw5|o6$jgO;2drs z?_+BEQe+=3?$2{QA~U~I<39B0OO>ZrG(k9!gKDE35x*65z=96Y-nom;onw|Wn?ck= zwcAx4?Z<5x4RH7zHFX~-=gYjwEf-N>^YceuX~%o3*X2pEpcl1 z!`Z00^1;`E%v4+<{qTOeEE;OT&0;iGL@6B{WYl{f<8y4b! z{aXfQycr%$ptSgj^F+)t6!Fh;&$meVa*@LV$7HF$NpR5SqzN>-Z*4k9hM*-Ly48D3 zvs|W9yf7MYKUe=49mMg5;&a?2vgN_AZ>*4Pnj>sR<1MDzw&x1w=K$6=Xel%#bYw%c z({s@k9I-{C-L&CDB9@Zd^pbaSN|N8HJVfi}nOx3H5r>%e7mrpF6}%OMqdXt8UF_)X zazVAYxTbJQGmQD`%N8;QpvAS!KIs%1md;bDU57wO4JD*tFe&QMpE#lGtHt@d_tI&e zA2VPnw##TNXctg@nW-;F{ExKmSU3lx0%3E5Xtn(WffiSytiBS`VS>l#sa!(25CW}g zdCO(5RZFW^Ob%MY89G39jRoO*lh;$PsQ!SD!2S5(Wi)+y%%e%H_*v>Hs!Ke3A}^r9 zIqLc06xH76)I<~^JKV#~~VhkyT(ZO@J4b?R;wnTIcvC^>xdlRxNw2(wMO7;3?s_d?TK@vC$nQ@ObE zs{Nt*u|E57AvwD!cAK+$e0R{sUfwyeGMuSlAhf6hf2dmF!bH4NDaUa?J z4dtr`j-iImBP+36Hn*WE(^kH^AphePBIu&zU%hdT~5cQ!Zo9_i!D<^~R#kUhVP*ec}I#A43Ym4e_AxM!WHiM;6v7v6z2| zy*rjIpw?M<9Aa+VjD~lMka;U8Dsm)`SGTR8EudeXe{&U$9~DpQ4g>5z{oPDc`OM0( z4ij8P?GRoUZx1RL!Ied8Cu2|L;2j*7ghI3RW#OM4;SBkLkBj?;u5EZm?Z!%kl{KG; z_>lf<;Or}qpb2UAZa6*2$mv_m`NEI|UP!34(w!)UV!`RWM?~V#c@#U7C?*z~`e*<6 zs#kd0M_Z|6h<BBVJfTC{odC%>g!xn?pT(19NPIkOsZsa%F6x%}gnqzvOVwes|j6)cVoLFqf zrGVZi+iUsMb?(~#7oyB6_k9 zsDMVFIG>a}wJM7_Og?g;d^X#Ac6<+VAAyj;nGKg4ZFMq^#+{EW;yqBiI9S#UpLAXn z{&+h)%GUd|@>&63*5A6euSbvIipitG|B#?S;8!Rj3KFS{91}|SJ1Lf43C1T`ugUOc z*H}gN%^CMm+*2>5$@<-j6L=*M{Rm%I<6g_mjMW)0xt!iQT%Qg8K&p=S-=8atrxl@0a)h=Kd2@pmwEI1^v4<8V}Ew*&c(LGeAj4x@QoH&?w z_q16OOX(24xJG!KHgow-(yz zAJWCj=b(avtb{hfV!lRGHW}yXKv_<8(wb=>%M+?@wrw+2wBK(k?{EHfO%S~R8}&(? zb8H@g8-( zChqA|dl5Mdv_{vbpV@;2`9hj}!RWkPfe?l9HvZ1Ly(+YqA+gei_TjTfPvGL0EIqG( z_KMY8NmV(XQq^Y3Xf}%ja0CmaV%i1lG)fMhDd%QW1?LcfT0Mp>cH5sAc_cg6QHk`S zUx*C`mRG$X`cL^;Up>G)n{yfnTelZ(I(ca6mohIZl$DMDd-Z>KKkV!HRaMS$%HdBi z5S0HOsorqnrBTBti@ODhwEB4P`t`{+F62v?RkB!D=ea(#G>B9D8^tB>g5O`tYf4TU z?G;T`=PpolZ)A%{MfNCK`MmY#I3j&7B4ZUrYsyt|ai` zFnv7beS_^`;9@Y%cLgn#%F8KN;OYT*y!6G+e^I4zQ7okrD6qvdBPSLvM(}$X`bOcXTvzv~z zHd$~DlxBJov+1Zx``Cs{gIn=mC4@;CeK|qJucU*5X006ho29jY=ATylT4!H>f1T9h z!FqZ#)VrAFYPsh9O{B}Aj^Vlw$_^3D^vi*a z95_G~Q+aw(>dl|8Gywl(>DHcGKs{P|xM>M+{HLhBzTFCh)BE=- zlGcSqU&UxwmQor(@9N4&Lk{Ux;E#);b;jAT!KOiT{x*;acOjp*IZj0WfNekxy;r=j z#T?pGi2T*Q1OM)&{G&JRcE{!384Gb4bwVP16=1PQsM>Rm-K2p>NyN$m5x^mM6@3Z? zbO+MOcnN%71@bHYT129-)cgE*E7kb@sbz-m2Wyz1*ru$};9k;C`NrV<&Op#0aEgHG zW~gvqh{c7E?KIzrY-hdG%md1QDq%i)$(hYWtd6f5yVq5QWAwxkUm!1X6Uo{jeOhdOE zqtb8|Za+(IvItgaq7FZiwaM%%=+uuYnEny9Qqv)>p&Z5W!WTyksBshe)<~{)vG=P> zQ2)*^Zstf2%k*lteCo^|UwxK(_YWe-{%J7y)S8Xe&vVyk?*oD%9M5540ezP=^B-#g z9LA6CUx-1%OQS_BNOTOdw%bXij~FtjXzJjFO1>*hx?0%Pm1C^>YRm9R={_B)rz4nh zXOv#bw`A#s#{<8a++xtW_be$lH-QT@gOiMMrB?{%Xeg>3)fn7gOC@Ll)V`c0gCq-bg!8K~pb;lvx4! z=6(AUpC|f@&Ri{dET@)qHBy|7bo%_`OY_*oo3xE?l_i6GR~kI2c&92R&fhl=XC{IeK59npm0)66a~!s#BoSX zIvrfY)heJ^`gW|Y?}jfvZ%d$xH04@>cv*&@P?2zc3>*XdpAT zTgEI&ivSMe^@#_&hlb_7b(A9%bR*mgh8id+(ZmR*)?xNAyed8318d&_LRz$1D#W=RhQ4==B&m;V8FJn zyR>1h7^E!xFRQ^y7P~VSqdV2rsGqbfr1(d5KmUVUz`$h>_L!~Nu=_>V7Vkxg1w(Rb z&r%McWN0al7flCP%j3hTI3Jr3>U)v#er#YCshzj6Z0qz-=S$nTOm9WMwsIdgJT(rw zb1#5Jpql${TDCu|&n!V(WAbHj69h4gfnqFZ z+F6ZlI9&Y*cw&v1!iic+5~DC_*9}v4B;<=!ejP)?E&43r2B$`>Vo#LmT){~Gzk4^d z@vb+0_#9$&@P$K_Ib;gp3&Gu9Gic*q2j}`j9WdJ|tqBx;lJU9(7&d;>Sfnipc7|sh zKi##DwUZ7QT|FPfrXu+0_czD7XGXyq{3a9j` z}+C($TBH!}zq4|6C!)izb5_qiB0TVb*X1?gIuJO$Cmh z?w+HJqqjs+risEV#3Aw@c8@-1IRX!34}{j7U&*7UtkyUL8yaWDt3tB+z$qR;fuB^M z#()$xg4_c`u1+JvZGh?O7gqAD)a4?c)s?~5H#FV5{Nt#j*APy&&z8HmUw1=AVTP$T zSB;xz8O%KT1BTN@zXZQ<*{eEd{NBH<;@JNJLmuDjxp*dw8WRWzsvMd-9n0>GAv(qU zcZ2PA-xk4MX%~$~qx_+iuEzuhSAT7mN(5rm<=p6*>|J}Ff}~9z#os}XpCzD)?CKX& z=RgZ#wSyKmszl%d!T$OJ3#=G3q^lI_&wESvbxiEZG44b5(l~#)4yt{%(4Px-zwzHA zcaf|*(VsiMrLV&@U)|kZQu>Tq2=g{t;$p7}8-q+dHI7Lkop_kIUMR#%H7r8!&oQywZCLz~ z!*-*ymeO4HfeaLF6>dguH5|$w$gxbdnBJ08UVLt3geKCL$i#wA`6a~aa?U2)G%0IN;0*=s{a6Ly#wtFWHQ$Nib?!DXi z-Or%_PDT*EyUo4R*kTLnM}n>X!Oo0gEpkX#T6ya~TyLn+ZmOkii~lgc*9FiK9!c8b z-t*5M_lo=dugI&aVrrfFWq7Bi?q)+;tCdCf9VpRwY0kjOGc!<5C+tvqYKmm0a_Sg_ z+8V<}ofA~231JGKB4d=}-Vz8>kzFS@mM8No;5zY zlg754292G@wr$&HqsF#vn@!T#cD}RM{XW<8yWjWw502T#o|!dk&7PqeieA;l({C!F z7kyNQ{F>uQPq&4JS6w_zXQbv_ZH;+E(X!kO*~VlXe#=eZkOR6Bb0n-apk5{Y)SW4s zX(W&X@2KeyO-vN*_5N@fp^?lD8=wAj6wI0PBTHJT>W`$jA33+e(e}Ak!X3{9x!QVg z=8J~mpN@VvC$~`o1R&PuwG%}(aeL*3ubJVwOmqssY^LocO5D;sPO@b=x0&rNj%FkW zsw(ajr^6zM%8CKp=Th9n{$Z<}(O)owjPsiG*Ca?dZ`*m$lOpTZvF7;{U(fSSzJD0Q zG{uY5I!$$(2Kt6K!0rj?bXxfI>}#0!?6lRwV)cl;3|RQk;w#PX%z%Ku(*m?J`CGVv zjJMKM;9jg&RmKWbf*Eb zmywGg(^4_Am#uxT!b=9S{4If`h)am6P1mit_Yvf99GZ)kE6eJKML=bB$f#nA+gvvS z@IhF0m7=4NPs~QNE}_V!eBOTIQ8tIi>8MpW!Ng(sVb#%;Nw(&_w+Qf!pOa+JZa&%W zwF1hu+YMIuR$g=i1G_Udv;rui@|U7NFtbknnTMDSRWv1I>0sKrOF3ANGb)mWVgn&^ zi}}}}e?~Eu@p$%=5CoJK` z&G!`FddO(B^X`((h&SpFj%8fL7CK)L6#i6JxrgqorUy^ z+%T#6;joChAA=_?5M>|o<10yJiBb_rlMu)gkj~<^NM*(Y*$1>KO<#iH^cL|#Sh8od zF^`lK(~598-mx?P^dd#LTQ6lMLS0(oXk?~~L|?#>F<&rT9RcwHZ7&BS>9mY5DO#|z z$Sw?}Q-BN}X=AAD>Q<1m`gox&$X8gY&?zy5+l!lEbC`5}ZfSt=vW%zeQ}k=f|Lk z7i1B2$-`FpVPzCbyNyV)MpOtTuo>CrgMI2jaG0<_2;gL{IpE#PichJUGrvz0HfFFuO`go z<^BF=8vQ@J!`F=RM+A^Rznl#%HVVoCn`1&*JI1S^nE0gjzX&S&ISkxc>Nqs{Ps~~f z%6Za->shr5aZh`57bkFrEAS?X^5*c07jTrOJ`7A0bBc_L-`fm9qFDOQ4p>PcN#D;0 zrw{_`cKSA+-3fHMgL2u*yHt3&L3bzQIjrlq+r1nenmxe<9^YXVz8s$@&EF;0r5N9Z3lahK)fyuw{)ZKb@FkKo#nRB+2-G8~Fm)DRaS=d+N|E7oUAx-9 z>al|14uPBTLFE3yAAmx@toK!healgnN{|LV%MdQ3Nlle`V?SGay3>#`h$Zv|9Klx8(+rTUqH^$TTt63VaqUdGvm>#!3Jr302q= z7TnXI^3wxB9ZoUuavK)*Heh|DKxtswh0o7#ppr`3KT%2PsRlk&XH0dSq|?NL+udd9 zl9dg6Nn zjG*V|PBc~JR>vSN_@h#%J8z_C^iKJOVw;tBng@ z2kxhpZp5jw+|WCPWG9dQQ|IF(}T#)`29p92p8Ff|Su`$OL_qs-FG_7k<|55Wx=tNLF3D6oK%#r`Quv z6te%g>A#jznA@WA5v5E(hEe*P4)jcY+cbOjI{|$GF&7T2@8{eOy__L6^()}|4tWuD zZNq5JqHg0C@0s6R;7A^L3L5ff0VWxc;t^6Rt9eEML*W1g==BkDPTn~+;$EV^uiq&#M5{_Fx>Q(HWVpS|qlxb^-GgAKOE^7--?yPL0l zEp!YQcP)&7oMQg57!2x#|5eg!MvPRRQ=z7Q*=YX(<#(7+tij_cM_oJ7C{{Q&vo*5Zj zz9$qP+3!#?yrKMP@qE|{E(a7-U9P&?F=gOuDm6m>{Kz=*2nnd7M8S^l35Qv73O|nC zBB7Ujs`EGU9Aga8nSq5BQBEyg-V-AgN6tzJcquy=%{LPS6?6_aQ|xN`IHz6{*X;zh zbft}DBkP`H*EMv?!exkkYi>*5Vu%YGzh8i4z?g;hWyM|Mr_i@wHEH^~1*;>@9g+2W z4~rO!XuDd~*I-ml?iCs~;2hh}PFLhNlA<}bv)5n#O3`lq4+0{dgoSjj^UDzCs~}$S zE|xN$P4JDT#l#EFQy&KaAOR`&WQwy#UHymiJ&gG4|Le+NkF%0CKL{G$fJ(^~_v@^e zw=)Oxv04ZjUO$C>Lug;23n{owo|QwpbS53}r=w*}S#%h{Z)vFeh27#RQ!u$Gz|-a6 z0N$oP1W5w%!;p!sBl|Ju^hQ^e&QV7$kxz4&1}uX^cC*!h#ItNKM%T2lC8wn(4(Ny;#j1rW6qe|MwR{wPr0yLG(S;jx1-~07&aYmY<+z`KN*?ze1_B(Ow8Sh?l1v1 z7E@W2S{G`1r=%>puGcM|5oVw9!J$RzxtBkj1aG_dpX z_q&4sl_d#gB}oc5DaY`Ok>+1cw&1Y6{PNL(Y9*jnDd%HDxZ`l-iZX%Mqre*@)9wspeEA${eL~EzWAHG7}->9`X z``iI9JB1$z)IrK+XVRLh*T9a{jCkVOWv4}@k08)8W%rXT)j{kDUi{#GN)vYSk9O=1 zXzj{_2F6N!->}u87N&zt@e9KTV5`{>{=!~z#lC`Qq;k0M8YxoXKS+}*+>N&&?kArO z$>+fHrGcIUXCX$6JHszvLSSzbl!_^8G1>u%cLKpMA5i_Jh5|Ep?$~aB&rfKiOW5f_ z%%p$gmer3E6o5Wg1}t@pvtzj2c|tX^!Q@$jNHEKzbF1|$gDbv<6C3WSRnPQ4(`%{g zc;t;dhLZABfJC&3n!JCXC(tvrsALVm#sz!2)GL5(^;jTm&=*g2P=Ahl<)-LYi{=md zgX?p2Oi9$mm<5@#%Hzj0Rdc0YHAU32T`Cp~eN8wU(~@{3$^YGnSdM%xQOYp7=sIu? zV-DXRE9oyh`y2O>1C0jL4z5JT-xW13TTXWN9dtZ+w*3=yHqJuAX@Fo`SChq)P($4Z zrJqCqU01tx{w5Hrt;e#6>tJj|37WbObw)J9wVwR3Q<_i)YO>Nzm!{AEJvDg6M%plJ6|HJW%Gp- z{&u+^>?!}bx0qn!6TafKuY;ShokF$})NtHv`Ko6cYHbbd4sf*QSaNfgsSRt9OhZ)1 zFRxAz5-#z#ze57N#J%sQp}wG58S!NJrVx@&p74-`pU~%8W&#${*bYe>cczV8#ve zAagoPz#C+D!MhKx!SDD2Hb=FV*vYyeIIjC#)J4w-alG9oBKJ^r+q8x})Cb+=2DEmM zu`j(3!WM>>EZ^FI?|`Pye@n(576Rv)WJhJ%ouF^+UHJtfXE*Dr>3NCe$yvnQ$ZvU# zjct4fmmm?2LZ;iDh`|Qz@gc-+3}a!w&ntRsRJ-NqdHpPewG&{)kaYQCp#Hbk_?z`4 z$aDlT9(a9}KdyLY!G}_Q=(QBBeQ1QDG7V8?s58(yw~KkmiB$*ET?dzzWQ{Z3z&&>uSU zt$fW`3ahRavaORK-x5cLDOy_jJOyD`Nk8 zKA$6-!1?yNvc3uXlpW1c{$-P%qd-|^x}yX%hk7DgtfRR0xf{IN&#?C(Lp}p^@eaPr zNxTOeg&Fs64KI%##@=m~&hq)wU!`eo4j0FNqRi8dYkgU19MA%$b2r0|H9BT4uJV|d zU3+3k$yeD`5e?7lRjn1I82Jyj<^b;sSEq6)Bb7wZfHpPI$Y&mB3v^SUaQi|g{J&x5 z2vmSI_DWqaU_x@Gzdsk6B3EZ=FBKxtS}a-Ac89*Qk4S?`^8!g5Q$YiK5q!aLGMdNA zFZqd|m$ZJ3C`Up96(y=qp6P3AHceG6fjI4u;UzB%mq^6Vgef zdKVfBsZj=&s=c~~51Cp}>b|BI_hm(g3=0$E!TRD;6t zciYIcQ}LE4J>gAXG5s2aY>iY?*tdUJiPN=aSkh5p?Zab}kX zqxA#NJrG|r*PBO*$jhOYKP|&vrKjP@P<+5`C3wRqMt5JeF*$TscL|lwS-BdFgf8M- zcUk}8BA9*C?1NRtjN|`LMflhAVkODOt2@3#PR_WVR$5sSqHd7xp^ZZ`gm3jotsO#6 zCP9pX&R9U#I{Qa9Z34MZ!ta@*7Lb-3k&G6KB!yI}ka{_cSZ-$FMb36(;go1*YVg&Dc{^qB@vHK{V zY@p4*jkw_M^6*B1fzJM7H7|GE8t)-S8k|Z@oId#cz0e6r(8fFYKcTW%B&tSlIYqmD zfb0rElSZF_jsd7}TWM?mpA|=ccf93J4~VCAs6o+xbCRb)2!`3(dD2?NYZk( zJ*6o^qN^Q~r7Tg?y|4Q+gVxOC@_}?3=6x&TL>~WAS9c;ebB;8gke540|DcEvQ;)~q zaEDO0u#O9p8|!TIXCXJ8o$*ETVvX4Sm)nnC>eVH8{FU*F+NVMp5NNVX&lO=7YNKw%m4zlgTMq1pXv_rYOvobSCz_DgG46eNim zF7t@%C4vI({G)HM2F2!3Em*jP+U)bh(_Kkwy#g&1u%PJ|I?_;PRt3&LqLl|Y}8#Oia^cy*h*!_P4nE3k_R9}A$HmvdK&KsuYms$ekmv~WI1%~q+Na~q^Hi!k66TK75 z8Jc{vXCXM9osu8z$t5jQT!h=hf9SVvuc9rqI~gG0N@4LlQdW%?$xE4F^JXg|$O|42 z#gBdg?KUV_He5}4xa=Hh zEP>=P+FA?ib7l4!%i$~pvZ#NA&>lHhCVWo%$il<>r}wt_#l$?8KQ?E!MB&d6cCV5wG7i9S1L=t%fLL$P z59m_EkP=-tIawzhpSQ_kde!vb;IwylS>-056#gUUfHiK|Wpm~SD+d2QSwrY3y9+@J zlBgd!nLzFM%&V5R_Rg*D%4y)g>z}%s@SZ&yq1{goI^bHV!PoH!N(^&0{gS{|Kl^vW ztf0vRd7K?9>hw&eR#rgMsir19^_^e3tZAU=XtAvQK|!FcLDnR!#UT(xNIi1ddogLgErUPB z;FkQzBN=THA(-$C7Z7VH(6Tw|RlRdSl!hlO`VJM7PEK-$g2y19E7kV~Sy zzNNl?ouZYRdCL9!uBfOR-_>GYptk8^_m>>My0VWPzna>+6mCVJ=NV2%qFD`%V=^<)#d#XhiS>asOBy1xlrVWHjj_YF2wA zbybc5WPgsMHc1a#}3STwiv{u0i%f7Rw+2MS#ttA6_*j^sznyvC~+G?3>xw^S(zNj5J zB(_gpeS~=?k7twH!^?_&j3Bn>h4)L(XfNAH(162HC8ErYHN}|g9h-5v)uA{2;il}g z0X*hU#bAi8;)`U zQ=9~C8dE+2yB#~Y-QueTeL7y_=Jf|Ibl_D{(!4R#vu)7sS*L zNfO7S6pWrer`;(-oDRkNpc>RdWWQQEBDci};_v4WX44FQE=Mt$fXeIm!tRitdEKR? z9C~v0*G{pXv2~4(vVL9PbfzGfy%lNqNMk$u{66_c)|iHWzB!iVf! z7Yj!#XCV@%?{4}xk0C&zBrG3c&PZdPUhnVtOXESh-1S*FQ0O#dg48l(XnET-jFUbW3QCo(hI~WLD z;8hAvdCT8EdIZ^_K~|D0q$3iM8#S*-e&NutKYVxviST$Vzd7C|3A(ucjfSW^g)-CY z+$$!|{ZpJZ|3MzoZwjCT(l+aCnlYd85)VaHgVC|?j9BM-!^K%tyGwlL?SQB{cQ<6E1#gpP}PEggb<;cV3eWm-?=x6YYz zu(g<^a5_P)&8(M@#gY%C5L+cQ<}!W|BHhu};$1GMO`O~Cmx`78|r|1)&D{0&&C5_V6c2ysr9 zL640on(sEo4J%F~*w6(vb8q#2QsDk7>mQ?qnlNBfD7T^|@b zmR`VFZfTvv&tO&`6!E;)o%&BM8+|Qh<6IEvxQz78CNi6YdtO$kZ|B<(@}F*F&^qdV z^bB%{dUdC{;e(O&^MXG;j{GsyioRx0Kf@2g;|$74OV`!a^|h}xTBbJJELC7nnF4jaLZTe#B>e zZm%Ax;mky5k?V-s6(*55N_^n&#M3KQ{)*^( z>W+IZNkmF|Rrd;h;~mg(nGZjkUWHudD2KIV$#-h>39~P1@olBh)ur!^LPpVI*h`FO zdkhqf9;wL>ZvYA7#6Yjr0o>HX!{ZlTjrlx97QcgNe<-C;hHzomSgwS-(z<6NdN{kB zlqBaRN>J!}=Ab?q-$XDG(_YmjwM+J>xIlHAs6cMtSd7@pjih`+%V(Pw$E(9r_&cAI zT{ikl#3)a(J|Cj9j8?J_VpHCBAYEB^?=+y>e6}KO-XU-nBTk@1)@-!*nqZHXinVIW zr2g|hW`4(qBvk8O<4Bms2-2)I?vB0LPF1vwxAiBi2!zx^y{p=ClVZcgW8yZk5sP&> zdQT>)5-J#F0`knH#1TDxR-!zF2Vu|_KE)4Zc#iH~)1dY@x#_~qVxCpm7=e3a9kC6<9Qs!o@X}}7A5DI<}+*ml4lp= zEVeaJ;Z;z1c~08HGwgAyxC|_^QB3V5$1QDbdg$;J9gS->RX@3N#bz7^;_%&_4<&Kl zc~!q?vYQ|q^Af@~mcxNP@RD2x?$nmM?{$`v#diG=@uxn& zR6MlGFz*7#8>T~V|0pHtO05`O5eXY0z~n6`4g@svJMZ>-wj7=QK~1b!vMYOh8Q~n_ zk84F2D0T!SZi#Hrslp0Wqg0F@2D!1!<(tb&fy}{BGXB5kK`I`F#p6;D1D2JY9g0X; zl_{#))PvZWWi2bvO)o!T9<}WF5K>DLpH7#@1W?29)beAHpEmYC*`4IUsjTF5L@DX# zP>-M3`nH90!8ayeb?V^!PDsFaorvx+y*`@$g@LozU(|UyaPq@&!d&4+`f5BN-qUdP zhD!2HJabX8V-=j({P#qb(P?(9^C2Ofrqj$9)JRTStt4knEg_185maHmx-a?0gVe!v zaiX4=PLps0`48>w631uA`;wWkmCTNRlo1OOh`-P+m7wK}z%1{{)71V-n>nBgR+U2t zC145nrG2hEv083uPCR%@_dc{CSd>0hr!$r`gjL4+RVAf8?}>jH(49DqRPhpM3`An8 zdY+e`pp|Ve7on{}0C)&Bjvm_#7Y`vVkFv%`_mL{Hqd-njSRk86r%-S54V{4~84TE7 zW3f=0|IgVeWhptzUQ|f~x?R*OO{p5V!@aEhU*1ipPt_IQYo4=dgc3pEq!>@`9npeH zLSNngOghI`HzY3#&3W%}{rR>WiBmE#E5d9b50`W#Vd_%`Gc#rq{c*K+0&FSS4%qdk zhSv3I)OC*lgBDtwRhqJPAhg;%Vc;d0&Y;9C!b8Yjrb+!-9_(1NWw!nz`LQFK5NLR* zz_NT>Bn|Ias&4t3cUi~q`Aq_)o;02^stLeigrB`$L7Vgoa_|bL#1V4(7&!qNfMW>u z(dS^voklJ)&V(l?9XqjsGxoug_TlY5?165&1l}=pT$>DT_NiFRSnFXPierps*%4JW zlF@W$S8Z3xqqJC0)~!+txNJD{m{#WvUtrms$6(A|@QX~GW~yEV7%ybs>h0Xp&3<)5 zE#z9`B$+CweGi!(F%K->^a!8>`cT*;MzveRyZw|G63FPto~Ynxg0_|amGk?&4P-q{ zAF73#ng+fnER6ENP>A0}=E%Unz_J?KP~;d>*EJ4_eSPv5!}W6`A-5a5*qE0+!Gb39 z$)DWbWY%1914Rj0{D4$7XRi|u2!`L~JoiP-)1y2%Ik#(1$?j;^n)U^Vo&;>b8_6LW z$0LS2%EJ8|nL`=RpFp_~w6F&AB3b;F=a!Qk=rWFQ)Ev!v8&z3FL=9ev0eOTUS(Lip zECy^lH#{ND&$Y27aY|)}v)Pom=;g}Km0j_QNMN~>Dt}JU^*H7h(4>!>!MMVHM@2ht z`STFE(+pK@k8{WfJpVc5wM;=63>wy+Dx*fnaVv!}rjLdbW?S6(EXtCCg|tq zqXH*V#;5!{i0+^5i9q^X6=TjXM>pU8ELE3c?&IJ;BSvjOKeS7|efKLseV0O;XKL3E zVn7{z=6pUnz+A>SY9tUpr(${*Og|2l{s#Z`0Lf3wZvYd&1-h*oslQ^-)@{<%Q;V6LVgBTu@_VaoyU3YkStMuA-GU>(Fm= zIfrQ_4jidxrTcc>nP?q%h9)g(7)@QVJBF zC>C?_9X87S=1S$0O+IG&P^wQaVFo|nS1a?1>^DWYb$cJwV5w2U>UBaa^PxL^5TmS* zQYYE@vd-BtD&N56Z-q|@QUr<>Nb(q-jG$$=TY1~cer_@~Ms=Y}t;R@GGJcH>1kO#% z^-2P*!mHvf$G00R|2OPkol6~-zR|ER(Kf3{8G_@%D^Y*s%T>vA9-92>LXCJSH75yF z*CK!iEnE*$j=$!!rJOKqxM4ff5{o9x6mX#@VcUP>bQ3>=u>*~Hx=XRJV*5|!Y99-c z8a#_WS^^o0!`O;(9Gz|~)FrV{Vl~cVk&U9PzEFG{p)p%6PrU_^1w z3A^7c=y(}=UfFs#Ju2iQ&3%cMx@wNSrSz}3gq*}s!Q#>)7wrF?kH|!mjwRpUY>#p9 zd$3UPm7*3s1dNPas?a1Zzkip>x7G6*Z_w^R|3q&ad_7LJtK$eA)J?8$CM_! z782bg$M!=BEVn1Ecl7lbO_CSJvmVJ|TI%-1|b z%Cw~NqngN<@BY=%9Xvpfn>MOF?nM0Saq3Kxa7P9$YRMwr{!S8V6Hwmp|E7x(hABFl}LORV1jo<;m&#N@cu2G6v+BKHfnI4dpiTjOeECMcREDs6@B!7KJH5zrT{ zRl)K>{X>zZf!~Bv?GO4RcAVgB&Rmhv0T*J#80*M{us<{Tm`CcD0Z1b5Ge1d|7^5Pn z&|+yuPy3H_pwd4`UwN}LIY4P$A5lamBp+>qgvb^91L3@64Z+mOPk8N}s^TbHNnP}2 zgjgBOrtsr~<11AXQo)kjtj|;u-J^fCCj`#CDkQn9_LD#ATBae{uVLifsF(61Tgdwp zevo83n+80yCiM3=j1KnG;=axtAqVGOe?4mK>VJ`$@dT3+L4UJoJ(-;bjJ)h{;yYekhUlT`TNi_>regF1O;^sexZ^fBq zM%p^DRHgh=8bH1Mws4XJVp5Bqq~c)cEXIsDN&eqsg>aUH{RN$eP#gD!9RGWrbl*{C zpP(vG;nQ0oFPX$3;?Gs^Z3CCoJZ*6wy}` zxWYW8XjFDq0VYGqbW4+$i|HU&wr9>JYSbmR%a+F-ih_F6mCsibaJ#E-ENn0*h<>E( zFXTt7CC0hOhBIPnjKs;jg3@q&{%lDx#}{X=S8X=*Y6pYEM<$wWB8-55M#HO$5{ z!M);2vzBU(33pT%xn~&zsc+PDe@oqLHw_o;CyhE69ine#H>7MPq_K8sTc2`B=0S*=Z@Q@$wHVvQ;kD%8KFGig-wQmG4|?gvMLYh$*F(R40a?A z*!ql~kS=jC3G3bV^j2>C!}g}2^Y?v$V|Yw2A{=#i5KRH_V0oo<5t^j)oR+r%Buh?m zSIw05)Et>TaT2PjSxw(Qn*2n1oI7i8O$bptOB8bHX80NS*sgBxzRfrw5Ng^0aoLDr zlcrCV!tX9H*#61MCfOjP@#^RxFuE?41oM4l?FUr0aTOZ^A9(b`IUE63o~pKAI8r&d z=ZPCc&Lr;@3LVuUvSlimFE18=8MLt42iVOw=sYH8tcr03UVK||`V(@)(Oc^}I3t?8 z#A(>wq7;UfKWvXV6Or}cSD7fnD}<3#xa7Ol6<`dYC8f)>e`yj2;CSNwc)I^FFx)|o z*;#GMT`n0n$;$4>Q{vIef+D_}6!Y;HK67PC4~b=J?LeAZKO6JL&U?2xm_F)+xl(yQ zd8X8q6SwD^GM#m7Xfax(!$`^xR2m>J;%UGpe*Sy$V-?f=y@F8gzyn>kh(Lk^IYRsh zLs*ejPeCylFdKSnOM?@7q59h%zrF zp`x!Ux|LDGG2DtqCbf`;%V9uZif$2Vv*^0u))#QB; ztKLaVX`eEBImoLQ#hFispzB<^zn0f63jX}V1Z*n09ZZy6^OYfo>`n$l9W9@{>bs&O z&a`zf3{#{d=UD@zobOYEwuBw9sXqmu33Gv>NeKbmAN3$MDO=@htu6XuAP1@<$@Q;+ zJ_4auS}XP&96N0>!MBz%SxHr@b$jz=Vc_ftYNdj6VG#4+M(_-!VCxs7)8=8*j+bQ+ zOM6A#=e7;5$d?scFO8E+q2@ZSw~9PeoY+H(UkdtL&plZ|i@N5-35l^!O@am=le}TZ z?xT=A5{DC%Yo@f}HorZ98?z2I6Z~|@96#vG!1qB{Er{lBu>LaXK;uXuMxB~PI1hnb zZb?=qI%RAd#r6{61Fkp9gYy<$64`8TPSEVwsELUBt21WhvaVV4f!ArWsgDN6+{!c3 zFv|}(?}(setcCzPoH-0e@@|Dkld8jeIhcWZm)I8BW-UVSe0;se{j-vg`H$~eem7MQc|ABM2FdI%EYe~kJodx58luBA3g zHv0Z(0vx>I_zcQmn<>Kh(1=5L!W_7Xm>|Cxg2UaBj18>t87&d8p&&HGKE`->yWPAh z3`fm70xLTeS-2|rdwZAu>aao%=(X+j**dtfsgh5-LOSrB*?BbMoH-XiV8)UA&U~># z6WwmdzcsGGdm(vssHAxrp7@b2%$FxBK*h&sN*`VqnE%Fz?GII24y-Us|8jCfzeR`Kl&4-prdfJ``L(6t!Kvg4 zYhN$H{6i^+@?I}wgAFPCU+oGxe1GHSqT#Xx?AVFMR3h}Y@3=?@Jn0KzE=pr^WvOs4 z(9Tj#3A(yEaHn|(RF$#?co6g3u@Io7n|jt49)4EBNbA-l80n?duQw{7kumsHAcU9B z^b(T0eLrs3h=@{GtvI%_8nO+RoQC$uT3s>Qi|kXw8E_}0)!W9}BC6y^Ax%t?F_R_E z`cXkVy$c(d#fAj!{y)+ha^Su@nR~yB{VQJd6`SxLLY(_N3Q2>{7gO5NvrRJL+5BS+ z=#K~Yaiwmi>n@Qh&pQK87^@#Ze5#^Da-5;Ibx=POyt@J_kfpIgKh@442>;Pwunua_ zAVBTux%ZH->X*FwqO>~q3vb@w7`jNu_>Mp?5BUm3gB~IHVLUuh_Vo{ZYSu<>X?K$3 z%z8}$_w&fJsWY5U&C?t0pp~~40g_@fpK#`7!8g}9&kFj{@N7mWTDVovCSArJ)ECdV ze-u21M5Tj6Tncofa(~HjLo(#pe>@$FY^iw0_qXnSzex*ZV`biH{$$gZ0DB0?3LwdF z>l+!`U&Lp{>5TiyO>6b*tf{)a&QQe)X97_bK6kwPA++Tw?VQoUvI42WU=?&yLi?^y z^Tk@se!9!K*Miq~je`=8bPM-ednVS8VO|FuClzsuC>KtCDPoL_RN$*z#o9MyGzMx_S%^H$|6OW2;viyhA-NAr&N|>yLz5${%mZKYg zH#y}KP?d}8Q^s;R@*C|>hwC$(b>c!R8lmYh<{V4p{F*>q6ygobc zJL2FA+g6)`o(W7fVE=ugIGY5+e`b0vAbL6*atPYzlPUUGVnR~ED&$nbMm`Xzm38LL z_>?vId1we(`3Kd&wRC@N_s3Vx<*b(FamwtQIXFOc*(by_x@0Y7e(23z=LK=*KR^NJy66&uw#66)!fX(zK@-H zVOp6*`O8u6cy*-0YXp3Vz=4nAs`7H?dK6)^|neiI6Hj%bZZ>*F>yo^KbIUN3)F--9X6^FKj8U6BK*HMBDg|W6j9VnG- zuRKxevftB7ZsJt%MRkBgw@}{G_&97Z2-Gf7$M%VP%H< z3TBe)cBtTy;#69sDw}&$M12{Y9&qbw>9|bt%9YhAjG4X_U$7N^n5hIYC_Cl ztL_qSs(1|L`fdMhDkJko_2H))qm5rh?KHaLiUfRD-k9gBs3pg2N*w90)HQ*1wrPD# zXWAHTqTc;gqdP#Fgv*i$<$p0FfmFA(qB>KFt%Xb}b5-p0w`(#f#B8k;-u24PT`tEO zpaiEMusTdY59?Wfa?;KgU+X=L*rS4Wn(tn$W+Ux+cyDA>zFetH6*TG@eH)7s(6m2~ zI^~{Ol4>9(3@{})Is<**`0?aY^XUKJT}tykRKS{ki`2=zSqt%=U!1*x!^cEb+hGwL z)-O8mYtc6`&_g3;jLRh^=1A@2vR4O|t^J2tgFsN-%_lXpGH8+|*T}66MA|L+hiKK= zA;NQP>_+E2tmFeBrBEmSFioEHmD9Bdd=U#RL1?dLO?m{r(%&K&upNrn4u#;yP_xfZ zZL?)$epT%noRBP82NQs}zr<0xCDHfm|3T48S5gKk&D3Idzsg?g?+CwQee^f=u-yG7 z)0klyA{qGlUI`-@imtZBh?W5TK&N%aQkC`Ms#sVKX4mI zKMMdlNSA@g146!NkS;TWAN;K|2MhbQ7(Zfo;nc(M$Ro6dZEGz1kP!o-@A!?Cde2}R z=erf`x0G|fjN(r>^fNpa_fN80k$E~`SuQ`-+*b3``00k-4O(jn#uh@ZvM@Kmi}VRC z^n0R8Cq_}|J_i~HnisHr@86!R0itvh1a$$8IXrF6z8k&Km&@GVR;F}vM_Ka9Mf@yn6-x`DB`ukvf4h%PZ7`93z7Byu~G)1*(Vdn$cCkf@Bc>$sHSW_M5cq>$+E z7nqFr-#f;{mLG&?`9-jysfIK4bZmF7aW|dJb>ML(2FB93TV`o|BDXp-x1zPBvI|sr zq|;|&%7r9pqOW?716{ZG?y3QFRJU23I6iu#Dwsl6Aj$nB!8)y^S}09ng^JTC=BCar zHguOqlChLfW%7vm$j9-Ps?IcPusaexCPX`T?IUaklC(rL+-H4=7G7OlE(cT=bi!v@ z0Y`(SS1^`>B?v=iDLkHb-tPiSg}xuy-;2NmO#vN4=2`vkMo%Qz`DktX0IQD_IjoDg zN(d6Vf3dMaT-;&9G1b#l&P0fYJ!@K2kZowSS^6fU1U27p4s@}%Y-byv zwQKC@$5_t>)BVYB-kdqmhLS&Ey+)iPN2YX;CZBsE63gkXh9X!Wrk5-k{)+w%Tt%B1 zzz$ApXYG@0)!_=&JID;SPQ#P%^l*RFYxzQJX)}a#?59V4s!f++k==myQ>MOS-&6!e zt`M;E78-IBAnj!tUb$>=i=&#YSkeb%!hqo73#U2;$dh*lUpC|(0k%k1x~)De#~Low zxx6Tt%b-YVBe~34x=^tB!QjdqsMj7&d{(pj4*IX|E4R&O30Hwxmku~<|Beek$PFuy zj@Ic!K}9 z(c0$G%ZC_^`YY{IkfBNnN~!fLR7bjK1{{w<*!gg_E%1%PI(Y*BkLR3BjJ{^6kN9v&Oe9d_!r$@uK6;Cj9bE|>r{~M8-jLhz&pnOi;h^U(ie$NYzP@iYJ3J61r092tbC4ZE3uIo(jGuC%!DaR>F59xtwZ4dvbe* zyF;|}So!re7sMSlo2dEHAR`vZQXrGt=OId(!%8ZItXki{ zgComEsy4R={|?!Pt;&bvulO*lMcIfb)d`h(=Lix+=N z6v;lwkH>6-L-}mkBi_{bFK&vYqL%ZX2!!kCczw5aud?E)rz`}(oCdlmh^;baN!xKE zKPS>Fvux9UY5MQ_+sHU}NwJZ?ho5uoTX*OFWpI6z`mm~?jx#UNn<)-1Yx3`*8^Uap zMe7vL;w9BW64m^l9D-0y$Jq7tPd$l9!lxN@WJWwR)fMGrmV)e^#F^g=mSjhzfyAx} zB}CSwFeq^i!o#w}nB~W$VQ8N&fror2JX0mmu4MMV_xXK2N?=a4$WFtfZ{&JW(wFKF z>Uk$U@}V_BQ#A>Q>t6S*v$cj(6ei@)omy3J6QZvk>@aNDni#}i?Rwzv+?`ro)O5H2@7s5H2p=k z43o9c_qd}3`||^{dB0VRE{2BR+^Dw&k|$H@q=ulI z{*l1BVps_0BNDLjRf;<3`Q)VG&^lC3=9ur;REZ6k!5bF+G{PRDbzAk0< ziAsi!2pZ2{fwov+X;Ayk4AF0bM?{dnAYAzbPG$@0PBDw`8TShu$v%PajW^# zwCNn3RTv+{87~}Kx$EnXkw1rQ$uAjoY&e|1BQiL!B+N50VyVi=mFe&#RG5MrQIVo< zAq8E)(38`@v0{d`7-g6px3~->pIqK}+fD5j4W54AnDYF|&^03NV2fI9g9&Im8?8-S zolsI6)=k!_DMYqfPjmLRT1oKgC;!t$kK<_83MMt8VDjh{(9HgTmvpIRg9hF>SJB@$ zl$^p|)6_!q%|_QnsPJbBmXhy7!XlQr+`@J_`r2SlRv^Pz!#nxK^5@QQK9N*B2BtNA;NS~o9eF1^)l5q4E{{paES0vKuGqL(;7IQR z8u9t39NJu5WP2EB**KgARm>ZdYAASY&Nr(M1p6oE%`EIc=GneAR22sbe@C;(|A92n z!fT55?EVLMa}Dy{z@!{Or;1YxJCLexd}4jXckBGc*iOPu}@}Ec)h~M?BbS&`#OMtW3)l$JOA45T?L} zcF7re615^l@Gvs1|L&?#Q>`yzNJjP=zq&U%GvQt?m=LnhmmADK3LWFj-?X+~Iv2P; zdLuZgw(OcJjeJ(k{6rL=4JKQd1qO}O{$5KdR__tdW(kj=FxV}- z*viO>xCE_;ATUW4g*a}$nr2Jy0F|!Or1t;u^hi*~ZGl%?RkoY%7{3n6&fTHV#qOc8 z>1Jiw-Si^8gUeS4)+NCZe&hAI+aUvD$EA9l*l8EKKwqFq{IU~cq#F9#4O@ZvTWdq6 zoNG4_p6^o;?~Ge``letoguzdgPE8N?sTH9oML@cbCmEmNu{bL@1o*u_W z%+WlzgZ0{%Ji2?+XYzZR)wCDvD)CZb0Vtcgm|Gs9U z<%sK0j(g)@ z`oa_^VYUR@9jA*48o#EzV;zDHE-CvE@#^(>SCz>2EO*CBWa}AiddwK`rvg4QQ%z%Y z%+SK=$KtG^V&WL51n>DH-tVuchTB$vvZeEN*VA@g=~`&0%Y|=4h!MdP(WP)~8YhL8 zeGvLfJ-9z6OrI4Zy`Ab*?)W^8Yn^n;{57qqufHfQKpv-{$igY3)9+By8du;3AxN%dpp36Re=7#XOTufdS2BTdyBo!md1|=Db?D+`!RbCWt$0+VBIN@wddMDc&mn< z%PSH^)5=;FAL1(WCERHirpbrHawQ1=dKMM>#dp)i;ri-770rHCE%s_X;U*wGMAFK8 zo^?83dU9UbU$cqaV$gbbIJG6dT8rWFd4NLVBw2C;mnHRK1`PvnfPX-7YmnQEpxh}v zc8f$SP6|!CGSSC=KEHO6IGfaLeL-qTa91F@ZUrC zkqa|{XnoGfuo@o!1f+l75|a*E;oXTvldZmH2W=ep0ifVa$eAxL2&l9Iaf#|Fv+(M^ z+WUl%Z@!v3GA-2l{Ajo*)3c=KX46e^#k^;1cYSobe71!7&1P_}x+DF7P4YbUuy2ik zyGdV5aO)?I=EZqEDZz#gWW{rqLdotEq;1_m4Eit)Hi@564IjYeqU~=Z*ky7a{Id=C z{mTdSy!9Z~1q%Hm#==~Uz=tZ#@=Og-WItvd&f#yueN?6F9Ey7ti!H~pP>-TLEMH@) zr|Zz$=Q4x`e914~kuf96_}Jm^WW=#22`yrNyst1i-V)x*Ud8c@152m~d3!#$6?8pq zlOf8rbUo`oFEl4Up{Z@ST4+3XM%Evx{rxmC)3$2+>Qk`BwF1s#e_xONA$ClJ%ga^- zxfmoXQ~hc)F;h8mD7X_EZR)9zQ;4As+Y)MKQ`3cySF#^NC{1h*N0fIx+(g|C2a&;JEzf4|t12Bq1l{V^dpWd0 zk-bLs#Ze}Vzu+9kZ%+q|I|zgzmv_Bm5YtK9%K&&A zVAR0)qL(M|kPFTmx8F6Y;{JoINaK!$O`ZdO?z;o<>k|y15a-69kP{sfJ=kEhK zuEl4K^~mlsY3Zv?D2;2X>21~ZzQrJccj+sr2h*Itr~&gAQpx|Se7l)EwUwWkY>iWX zXDXnJdpqI2xB1MHv-U~id-;Q1?1gT|6Ud3=-b<#bi^GcIHkDOHERZ4A(#c~WeGR)X?QT~5S z%7o7_v5O04VU5-x^qf*f@wD>hln&LLA-dn|JewHMxP}Wv7-lZ8%_rzKa(XaL0p`ew zqkPdS%f)`Rg??$b$L7t~HFDpF;A^&JuNy13A9VcT`#4R+W6JR4>kBT+^Ox2-C_~TN z0TY$ibr?mH-et63HFQouU0Lq(gY9P7)LE!GpwZ95J*)Xi!`G_rIiE#N6Uin!zjf4* zxZjVN-sn1cW2_yGH`0lp^2xTbJmTV{$0Tc;saY?htec%JsaG2`nx8h9v4+JvT%iKCN%jB1fe zhT~}X;zV?)6^Ir01n1Gyv7fmi&9QZF45-8FMKU zYu@x(aw_GlKG!t~mP8k8PB&7>vQ>wkLlg+r#OH=vufHrcrpCKu)n2mp(ewPZTR8a= zA#`6yy*0K-IumCENHE5=(+O-N@>5y)i(R&y34bC7_Ca#j+?$q}AD}k94dHtm?eTc4 zQ=i0hzQ9aqvZ=ek$RH=W4K?EFjC#jqY{@QIHKdUNTMd##{_EC&bok!9B{FD)+v3DW z8bX#A#2L>kCGHfx`$DET5>Bt%=dDFH4@0y!JNR{Ou+k;~Q9VtSgshD|umkWeSn4~> zo}g@z^vuvYSipBu^@{EJ!U5tIXQSYhtr5JP>I-Q88q6RKFdIr?a^&@}7nX<;MZZHm zSmJ$zqpvr!kqv{v98~pDX*UT$>*|G%y=jo3#I2!7wNR4Re?2Bhx3sP>(f|GnF3h7P zFz&-0-_r$$f>2_VN@15NXGM?oTer2nP7F_g7jtAUwy8l84SFmMo-w}wl(iTIYaah zy;0Q@Kxo^`=w3V)jPL3mamoKm51dZi6QtH7NmX79T&fT?I-%GJ4MuNlM3-Rj zhpS=kV{3AwHOm3wGZ`wH`Lv4?x7ilnJoS`4ck0A||40%>ZNQce?oiX0$jpp|XNBVF zsW}FxXu-o-?wXrj3mzZ9#E|i`B$uB%ZPLp#rLYlUjT_B4Sp=|2u=&y;s$cc|Z6}kj zPH||pk)R38qa0;j+&SVyYZQ%&W(Y2Mrc6m!-6bHE|GBS{J0yP$sPz6D|L53y#DFzG zlENS4iIjBM5dO-mZIE_}Yl0nD|8Ws^PC7yp^{0>vbf25DOD&Vn;*zzR;LS?(YHgi; zb%Poj&T{JCc9vNqMfSe_B~VNFecBmooHc9x+K`dH^|^;e@zT4uk&-*ge<>SYE%~kI*WF6gA$e!)k6YiS=;i)hAdmpSg;19hIYIic4e zn7U#u&nFJtfL>&gqqul6%VnFF9qYq54F zXlTR1uDJ;U(11{mn3u)BM7GedG}?Z2m;9=}$_S$ce?rk%X*Z_!zE6~4#l6lI3_C3+ z^B<0DnOU6!bL@95$C>bcTsUV^?G0M^1&%cTkyauBw;?e?oG(+tUeL9l# z{^BA!X3nC=&}8%!{p|M@aL}uV^o2NDd6nW-(rCzhhCz;#{=rX14=J`=kP-fI_`ZB! z`*Lra97yx=c9*7&-V-umh8Y=8@rI zK@SEiJDF<&Mf##uKYx!%IlA$+HN>o-SGMhSVze&%+thduoy3_D1ywNo4Jt5b8w;xZ zA4(yOUdorzZ5JsTTL%rw-j=Yu53N2ZQ!48g73Ph8y#o z_-&AVO%*|>aN;%l<2XIN5&woS+wA)7B!G$YwzfvMv;^$jrMdxO6j~?(?o=ZsjbO=bsgSeWTq8e=g@kms4l%?_pNaibZQjnNnR?0L%o!MtBx3qO)p!CBcKh^2A-z=TWp;)!xkN{Zk!zf{fGfQ#NQ->}3e$X1Z3!h@FURX=ZOT#$Ol z%9Z=}w#85Frl_Yjb=4OoTOMS{;$Mov0O2QI$JiwZmRf?hNby=;%JFE1Po*Z+H>e6Xa#$(WATS6^5)EVI1ZlEh+J81f^9G`&cqTk2 z<-<+fh5xOis~xA@VxsT$6t@Nh_}{kXyTRYTGzFLx7np>=)0`7n#7(JJT;+rDd*Bk_tmNdOn=G46~xa-gyw=$OT^9(x@hcG>J&te{p}ZN zp<}NTZ!RhV-&kbU3V#l7_gy^$Vp~U`mWWfZW$0*Aqg#EJ7prMcT0o%2MKwAxs^)rS zh3@#=h=ftVI{7wi7y6*%ae_O!PpWA5pPvYVO)X6e?%UluWBL;UX0E}irApK0U{o+h z=C%^0?0G>tR((tTC$MIm%;ofYa^Ow=lzmwePBcT<5R+yLC$9@_A7zaDq7ZN*jM7n*I2Iu)yUrM6vyat zXP3STu%5cEe7?O0=GlrlY!1PQ7{RjLnD;sx6mM5)*kR&37@qd~iJkpK+{kdtcRWrm zeQT8ky5&j^^m$3ru^U3~z&k`@)cn2cU%Cy)k z`k(uBc^&Z)=da%mJUikMJRWisf9@E*hs^sP@jqE9wGTOSkTUrog40dzz{kU{akx_2V%c3Ufiftfh$-F{Qv&a z|K?FImY=ENyKo)1|7=V_%%?j@zh>vdhAypN(LaW--$G6X*P&Q4uR)9*sld_Ed($AX zHFP3ep)WFXeCjgy8pTM;^=}_UoK%o<@HLzBU>k}JEfi;4oaYke7)vu`A-anUua{0o z^bj922ee_DgeJo#juUE-E@DwHvp(l1T5kB-%17VqASbSgBnlz^kprLMc58(e#s4eE z4*JZ0gV=u+9f?#C+;Re^g#*7df9l~XHQm2BK$$y;k=V z)PQs=_hT$>7p3hmP0($&T|>n|~|F=Abd-TF8E?WVIFWjd9r}18saA7jQb~ zT&4&C*{q|tT=Vlu`t=PIT-;GvCp>dSiPFaWIY;?P^S=BSo^fIos!9?X%uMGf3k6g@;oZ~RLU*rjk<+b3baB0=$wlc)V+6!&)@N^JgnBFc)TLm(Qf0lDK> zfA)Xqt@&bP!StVdC@q6zgs7ZP4r3u$>_dS^q)5JsoOQh8MS)smWr4~&!6ymATkxe zR4oQ(4U56N38`wwdekM$^_!qurmIh(OhyOak=v^3Zlv`c?yJGHNU)W@d=*Hc?q7@s z8zemX0RYpQy|>7HiDgAoQEt;nlpCZYSEhO{g?kkE-EFCG2Qw1Y(MRbNGjqwa6s4>O zXvUH22bE29SdcF6EwU>+J6DdkmxIUoOOpD(K8!DGQ->+L+BvBv#$WnPXgdOtng<#_ zJNUUMR&98jHttl{U9!BLN4y&eNhi`t(y5ohk1qLpF^I&Zc{f9K|B4&P8K+xOIAgOT zA^}5-7jX#KUe6Ce1i?Tw)bb9a?%%VFBD;#Kue;p{}DEcrZ zKcs|7)8!!STN#gbr5qVmn-tLEYQWckx>yKX&gV)gkM7+MiK!=R`1?R~jAoeBv<(#f(%&xk3_%{J_qo8*GM<;CETxP_*^3Fe2Puk~Nu4lxjEkxruXT zY{wXAM1Qxtor8Gcl=3XAl@}^IpQP4T=vDlH2eE`;^@rfX?5UZQJb8Bpr+ZK#F>Orr zjuxy4o}sb!(%>5zht{I{s!#Tn{pBx&k_vncsOAfZm4ROp153Ky|6iOTi1G!)_}P9` znH>x2rjtoLm$S zr@H#@Hgpiwb-u|%JJ931nX26);K4>F56-b<&IsMr3)T)+DUzH89bS{WW*iWERhq;y zDCCWXxEQrcWx%3FPKPve(Vv4LC(>Nw$XWGw>Z8&B#pQvh28|v2CbaSdE9MM_$$|DC ztCsVR>z0JqP7aitgT*fWR<^b?#MhCC#OFSm-@;vMpl>b_F-!r7EB4^n<}i#$uxUEz zrVBt?y0Vudr$rdOw75VU;R45^?Ek{mJG!eerA6%vTv#@oPLjp=etbWMB~1%|(PO7H zCI%kbSUHB@TfTXjPE@&71TQ(r(K`CqLlHne%^LVazh9QjfV-7Dr-v%>H9giKhh(y- z=e8a==bri-A{V@#b@kNyZHXjz=bIUH{JuyN0QrD^QfpgV)i6LVy2UyYhAD&EDyZ~+ zVMGMD9bQ*ebe%vhaV~pr&uGA6bO-kDD~)wD237bPFFNZO5GiiZ2-BW8(d2*g?{e&4 zjD3+*-hhJkc-Nyks1-Z_UlZr%6_HJoGT!K`%N{HcB)Maiwh7r8CVt7BeLFk1CQAn^gKK8K`y+CH5j^b%CGIT?8k00BPpznRvm}QC6z#gHYp^ zcq9t|hR5GJwIh1^p!OtqWpyIsf0f7ojQ|1}gh3>Ds6&|jY4>Lxac-OV?7D7l<{Cn` zT9g6c`217-W`P4VAZwxQgXIQ%uot0S3`Z4jh6V;mxi0WK2-Zzf9{@f5Qh*&dht!_u z!p!6k_3b4C&}5JKE#$@p&NuK%I>`w!=m|$W2k5*TTUZ+!5>W0St6GdhQK*97;nIKs?L|v zsRKh$$v{V;e;#|cH<-?Gyry?FX^sG5vgAQ~4?qKjpoGv%0BP0ZfCrX5ar6B7oRQ1qetF2POBn+2=9sUvZ?3jgWY1er25@OKd5pc znzpsJ6KsP-&yJcG>?cy_uE_Ry{?!5_H5VFa&)5w*k_T0Dt{;MV+L7X5I7MJ8>pDde z!J$4QZV`0z3MPmlzH!37WU$uH8O z$N7GnU9l2LaZO-^@-H*hT&>){ZLK9|cNN>MMRY-DEFQpk$utxpH0?Z4Zvv3c6p+q@ zoi>j19Y<}S3|ASnK9Z7;aq(W?SL9}0Yc{Ir6A!G*peO+fr&rs}n~VA0#XfIoAn6 zi}swEQJR%q$lbP30GTHn<;Vq&$$Cx^Ro1)g&Y(n#8cg=Cdb5;x%no>eHwPdp%_xHj zc-QIr2EX8WFD6w-1WUUQRXSQ<$bMTtr@!ail(;SY3l!#SlwNz&R+m1v8LTaF^NwV_ z!}swwn~z?<9K8lW1H!MGi;5`;**UYH0zX0dNoZ_WeFL+Puk#-2Xg1lg!fM$6pmKx`Ph z3bWnq@pVqG%bjrfb&8qh=a{J-NwSFGzK(g-s3qtzBOr#|Z?<+--{!@KZ@*$itnm zag39#-SOC^I9h_y9B}dc;O44H4fg|x=t{Mq0x;eDHk8hv=^dlV7|=*qCz&nM)gpxd z45>JCt)9O0rA;n1mTPE(*+Gj0VMD`5-~IP0kXVDH5~444f#H~1aNyTWuPz1MVB)7@>S!9W}qViVZo z*P9iHb-?&n#QqX@t)43&Gd#JqIuUs<&I4xDd(3x-3{5Vpl)3d)ph4wdrP-c7IDevq zU!?6Y-|3ZupZw0Od5&h!N`)nZM|#qK<_5oHB!2m$E=}z2AZ-XJ^VjQn&%VGPzQVKkgN+&pD9BbO#YAcxj$aRWS6jhER=t7Q31%RwPktH2KRFD z2DL?vhg|%EM2D7PJI8sfbuJJD5;hPI@nEOzsyPoyb%dn46CTBR&%KE-EknRBsaYOT zJ+E4*$4_D91@KP%5O!q1(K>^$w9NyWNWWb5`m zuqni&eB5~u?|8hP{5W3NScLa-`s<9(4K~t;=Hp{kJLRbUn`CxI+E|gJ`+-KFslH&8CkqSxbDtC z3s3(z0pXnQ{I|~b(guKz1%j~guVC1W&0Q5%G%uT+OY_7bs;~;<=&L%K=oTcLIS?uwjp*5 zkc>j(@cujI2qgYSw8htK8@`Jc)RII|N&|Z*!Txa8lB$}H8(|&m)yYT#shCjUFUbt_ z7_#BLFB^SjE~KKDS?FBAUVE=Bpl;g1<`j6>7Z1=`N9a>a;8aoJ+@uKUuOrlRr`S1c{ss&oC8ZUIXC6ukykGjeW zBaA*;zJo|XJSHrrJ_lrbIIV9)W(f*&s_M0h)4#x=cpgMfxJ?U>hxTlMtByDDNxnp+ z+T)SmuDL<-agdIj^q-SPG>mmb4%9u%SMZ}|j{8pZ=IIXN_>|?tZplEYM2C5ryL|7( zs$$+?V?l?MI4!$*4EQIVbKW;vzV#%`jsIiaq3N(>5+3P0@W70qT>r!~pknRm-tPfv zmuFEJO{xeDlr(^tqhpy;mN4WAa6#Wo#X5i|%TYcdcyy0nRm?$RB>~<|E+~_2364m1 zpi;sbo*X5ZuPJ>NrKGSd&L-9f`(FeMFf`p(xTGT55U4Yhj!lVM?i65jvnE&&Vg0Ov zgn2to-t3$0RviH*Z0#yUylrBgsq7Fuxqu;7=c6dRndq#c^|_w)WB6>?kZSF~s@^pW zHfPIyTu>sw=0U1OqDnw-mzDD#?I#aKLQ3oR%_eAOR`0nolvDKc2KKN2p z&OL*@JSkQp9(GiZNHXJH}It)t6**4ip^c~@A2vngPe{mKT zICSGUNJ}V9^m=4gLHv4@Ra(z~i}cJpfc8&Nf9U%QqPFtSBI7=pQBqGr0Jq16%pG)j zHEF%p;Na~oLCHYO{59vOd7rjGn*t|-x2x*}o0aG*Do z`Pl6d_zOjQ)Cb0D&L^;$1GAPpG}W^cB_%BZ1Q48DvoGJ4aG(Nf#H26e*bN$;khKQ~ zbBOU|3TLTi_VG`7k`Z$wOjLOYGOi|vRw7;&it*{R_XcWr4`}>i(rG_=voy3&2l=z0s_Wv=CW%bHYGkoEYP@0%t3<@#q2VlTGLnMo3U zU~D+HBVfHh#h|9#zh)U^R*5Cwrym2L!i(|v-$);Xt8`m>dUlIQ0<;G_uQ=LTp@_K zVVoYGiL|!{K~oX&`Inv#q{%NM4sz9Gn5_ZxU8NIKp=6gS!axep(qlWxoYbj??%|gY zSbOdW+dQ}`U>rP;3E*m-CThlofNuEvu6)}Dnbf07l&wXVpcaDcn)6kpCI4#_fzXF( z%A_GDCbH2A1V%)ttBJG_gB9J2fMwRZx~0(4*_^iq3YUMz;4*}Jhyua;P_p10ttG+L ztDAlI50JXlwj=lADN59G6J0J)@gh>RLVrSw*kttupZemG;PD92OQ$fnvojhoecl~j z>Khocym`+CS)5GTqb{jeDE|r%qKS6l+R1Uhi79N~Ps;eHBPN8^7wb>Btegvcx#bI+ zJkNq1DR&X^1gJ;toc`SQs67ESp_e(b9;`1gn?R7rcmfc=gXXIe(k-h;?G|1*;Y{e1 zUVoP6XW6!OeS?5qdFd@S^yM!onWn+&UeHQ zYH1vO*q`u#HrHk{`f7du0Ors1R(}-t%fgB6Hyyv$O5@`bCinc_K) z6m!ieSRt08P#L3Mnoulk_q+R7c7E?}Ns}BsOXETPm#iz8*r@>Gd90B?w>5!q z8H;%Y_gi>}tMPxkk$BJVZWTfDmafvAKV}=N*Vtff+Aoc2QmW@$&F#BT<3UI1lomXTh1pm@o9b0pO)whhW)*X| z)A;{=I+Vzjzbk$8;qVWpDxH@o_%bzK`x`oe=zTWnr%W6Q3A1xFsnqPAujKZE&ffDl zBiZu}C+GX?7jr+PpY4huZ14FlGF|_>xiYG=c3&aO(28(XIgIWWT zifN`G<6RoS_lr8$o=+mi$O@`?EyRR*tD-Lnk6T^WKZcdKlwFfwa%}N6MRmnIs$>$B z|71@)OoX5p1a>j+V@QqL1Yh2BSn;b^(n04FEkvSB4=6f4Dp@u(y&wu;MHAT*LOid1 zb{%-yUA8OC40SHZ|Ih+I7A`b5X~im{5KeT*X%Ls?{f>MS9M2=^OqVtEcZ_!to2s7A z9q^%^*QPz{7V{O8wdy5e9$D`0zAfUD zj$?6nkNqpJG0TUH3sCxcd!wXDYm)pf#&vLLHrgqoEaDt1=T}c4knduc91SIW36_dH zAq6^r;$|+j+bk}}yGCNGzA0_3I()O#B&w9ZR4kMZY{gSJe@DTSASo9LvlLidkDeEf zly*N`N-E2$g}3gYBN}_&4D-TI^!L+QvS)+T7gp=;jD85KRvT;9WG?xmooM%G|D#w8 z|I@tbR^4LIYj`=Wyk>ly5KmXscWA*3%BzpEYQ?XReyAAD(6bYO*BhUv@_bIQIkZYA z+{1(4L4|B95T_9QF7f4V%HlRteshllcnx%URABWMAUFOJX)jM{FcqjWAxJ4!2=iX( z8T8L4bub3u0B+lj>!d%CyOfeU=N<37_P)EfjF1ue)boY_dujn&5s3~jyM};}ot5OG zJ3M%Ja)bT%VmSC0j_~it?~WOv_HB|9BMbsj`~iBn$Ayz|wBIFX58jd-j?~1#<4tf9 zMR_-v+@(i5fRb?w2~1u@lI32{ty9zG#W(S>Vzk(6o!;m?%KE%b{?^cf36x;-TM{TF z`9OiJ3|RpaL+CG%;0euPGtCXo5X*#`H(2Qh_d97_jc^NilEP*3zYr5?!I~;S(=|IG zd7ttSrNRz!OpR=w49hHaa>w=DRP*lpxx2ifPC4^OLm4G$4E%ik>HHhl?U80yXqBqV>A zIGaD-tz7myJH4s(bh63M_dp9PU#rA5!zd$5n!be%pOh~%Iyv3hDJ&hhC7emBo2z3C)-N+uwrmkm$;rFnf}EsCfn&`G3^DbI%fhJZ$>S{yDc@1WH)yH+!%XKeeI zA!vHqN~fHdS-xzSI)X8Uog-a|UB!Oq#1VN@hV~;nS%z&C&`i2TLamo_jT;J7CZPMJ zA4mrtGiej7`|{ITxVR#cDX)2s{w=%hcinLIM5k|W%}@+2mr2@Ly|$-ZPZhPG*T@}@ z@g-903uuQ0=_A+(4;%t=kYQoo{Ew3w2uQlrp)`R?QdWWtN3W2}`@snp2NcryK7>bI z=6ZNP7YgH7Pgfy)&kQZwmeRFcLj0@onpxPfG@AhR`4CfSU$S>cpL{-ZUQ;T7!LEbc zXuQ;_yevy%&#z1sqDb{Dw{DgESDy7IWyx9e*uij5~ayOVQm_rI1T);3{5!pub$y;0{SZ2 zsq4vq@pJrrGf@0cOA{-q1m4RW@2gx!I_>&t<%2IBoA<+b2hGrw-P}6gQnW7C9`o`$ z&QcXsb2Vr>dqovz1%lv;1&-@=tSk-Yt!kWqt&gho!q{jVL(GaP1ey-E^64VtQ2ee< z9qh+er}_E`m$PPuw;cKKGz4Qc>-Q=sWVZ0g1`jO8W@HEdP`6TbQa_VM{{(|SOBC;G zraPam$1m!Np#F6jKEKW0_$#>KPrOdTRZLhqJRqn`C#ar!D?vR? z5v6iW*(#BJD3m-39>{cgmjvV_&3|b$5e$o5bRMNBzbLQKv-+-wJU^o~2ERY-w}6$$ zBus2gmN*^WObd=}v)jAX_E%R3D|OVJzH_%xdnZxvIE_Jt2D!E|I^}ez(&;BGF3W_q ztm!HSQLk(*Y4wzJR6qgwXeB=T@G(o<9jVuC+;g)lqhk;kC}me*nWI0NAEKyH4ia9I~=Eziyx>~ZOoP&NVc7W z!)XG5p2eMPC1!>&?c##uE>t5N8jMiT^iAr+HigguU8 zObh&O<4|h~TNhYc%JLqCtY$AInT2@j!3N8xDH^V$MR~G1 zA#Hh7n{XQ#-$pZ__HH&q_SYAG%hQ0xjUs+U{F5@#sdMNIwd(P)DKQB)UpGfGnu7in zWi;MMiLf~ z0soZA{gu#m3sG%iJ9mtz`FGJHAlhS*K-MJK2XeWk{|Z&EfS{l4f_nFoq>>IDqJluF zB^XL4h_hXVeN-50?a6!FH&(xJNn9*1{4x@Wk{55agyFViiH%d{aYx zoq&T95!eSc?6)PCp5nZMc?!Bl%m2;M zEroWNCN6k?yQKgQ=H2_zF?eJdI+2LecAQ$t+0Z2NLj9H7%t|^Ls@|EZ#9T@XZ7}bK zwTvI~kC`yY!+$(4PcD(MwTj+&Mdx~^$Ts;zp+8`L5YdE6FejiulcVLZsm_nzE)&gn zZ|pK##RF78Ya^s7pqfeVCYb?}hWtSClKYYIgVep&#+$LylVRP1`V7TM^Dem1eAW0e zB@3yYF#I9x9bIce+6lx}Z;5g3Vd8!)Nk~viV<>~1m|yjNiw}w!E%C?nyBG2>P+>~@ z5%b5;u}p{;j%yKE9bBSHX+R)>&9Qm5OH3ryrNDCLiS#XiLo-Hi>foq2%;zwElIFK3 zndUIn5#V0*>!@26nLDkvC>x{W|6}VN!z*o?cHwBnwry)-+cqYi*tRv{gcEb3iEZ1q zZJT@D_q+G=?fo3zk6M3McVE?ov(B#471E3anlPLR90nLTBh#@`=tzIlpt+Nh*|~Ra zEJOfkucq5J3vk|lSQg#GZ;EJtKj^$0d=hB6v$3%s_5eb`CA9 zlvF2&H-WPtM%?(m$5#H68)ki`?Q&Q3vuYMmy%0)kG8nP)`i=Iu2{g=(EY*71?YIlY zt2}UWEcXG71k5I?y-3Hw<`iA@?oUff^3RiSBG7k8rN6z;8#HOtYobV0Us{KB^4uS0rg-dfsV2CYlav31 zNd!`Q1)=QP48x_5Wq-n@@`C&bBbNsKJhb(WSMnPa(A(D3qqJ&@))iMo6~ELaYkjF1 z{rRxKx+hI*Dce{B8P%{jz6f%Gk+}aUfqoEd{FI9nc)TZk|H3ToD100v=+!QcE-G>j zG{0`}G#$HjZ%El4TgX)FIw7}T*LfqSw&j6xtx=c##S3P%xLK>7#+azq{0`UE8 zl&ULp5`zQpPH&Ly$Cw6{U5fX-HhTM&!46L=vHGwT`>maCfR{@?eCp{;X6w8`jgK-b zSQdz%%%%G-ox8j0TA|81WX zZksLU9!za$Rr71yOcTxIkdyv|^E53>pl9$szF78cY&w-d>lPd3bW?f=If%M^#gXI#On&CF2Q#+H( z^9#E$#6K48xAQFw%phneDDIzr^M2->mZ9!m_`4RE4UGhW*?l#uvaRVXPBoc)^r=tH zNV6iScxamG*z&Creh@3gEsvMhUsy$m1C2YV<*Phw0eG`#o!kDEnmflWk-2Me zMKyJ^&7RH)-JwQ~*{xqCyxi~YZmP5H_=YreZj7i9yJ`|;+d(DJXK!~&7N>d<5G$)a zZP$5MGkP8jviOZS{Av3qwN;Y?!AAVeq*}bZ@!SjO=&X2~D=6;tWILq`p4j8&aIkz7 zpDR?W)d$=WFM@4%iT=g2(`N1jOBiiZ_>l4I7(B(ee@aNs5nK#{IXt;#{3M`yM+$f- zUu2wuakO+&3$4)iX;lYwHcgj6E0EvM+yPSQgv43Uc812pMD@M>zTm)Ny%gr6Vgf4ujw+yQ$$G|5 z+xcf6gKWptyPW@C+qfF!i|3?6r^ zpLDbC8yrE$d%sum(JwAF%X}iCfti=O&CPivn6k zM5G$na*$?u-wy(5;vz!`{uM9j`x<};Yy^7WbtA|pov+qKu>l29xj-X`hFI`Xqw*>u zvRxMKUo(qh((qLm!~ERrdTej3N2uWo)Yn(sn4_MU_2h$lP% z+I;=0%ao%3GXoF5l!_t0r^i4!lyz+LHNi>?uWtI*@js0%R z5xm7H_0@?jf}PisPyd=y{gtD!NFp_OAf&jivExWnS871fHF~WaK@iQsQKIQ-IBG&_ zH1v-Yd{6kwWgu2W1=xb>741T7(Z)ZBcYE~oCIEp;G@eK}x{|Io@4W<;W5;%`Vk%>W z$v~=7_Fo@Jdk^zOrVc;z7z>I-hfE zqwj)e1Pu4&Gw-Uo2W$RBNHzcvj4atuS4>^kG6@N8xthLcRBNq<4G65e4_$1A6DDH; zuh!DeQ&-%T8&dK9H#WH@i*y{@!pbekFMI~G#E411W{o5 zeeiBnO|!JBT=(wa%uf#$0%D# ztfq8565*$+{tcymk$Vjtc$$@pvS-%+#kz9Ik#OG>>M$&!pZ}MgWPD)XT)*G?sk1vQtv2moxjO5!5+OsPC z7r-89k>ot($j?3gk$KsK%Qc3bg;vwPp4vh=i_>$Z%#&K>BtL5pUBkgx=YyNB8sV)v z<6wu_K83&s3)mGf7R%B5hxG_|@Zv?uW9}{xV`3kHD;x4yP+7!ip^^cJDw2ahH-uAJ zS=e^I6xy=`7Jv6A^UQ_N`WCnoN%N#w8L?JI~agOWykNeKu(^g5=a}AsnswZxn>< z-CRORs|-uNwv8?MSN;F&aCVqmo$B`wYQ?MYt6#{u(}tHB9uoz43Le2e_^?PQE3Lo~ zF52f~X$LI0t}l~EJ4~tg8UP8~HmuQ)St4Cb3Iek%*9&lku*h1US&IKy=xVJYVpOuY zE=u|fFK@1ch0Yt_6U@lgm@-X`hU1q@F2wW{{4Zby_q)MmR&9B*eK0NUzED26bJDc% zq}sPa^zqQ6&$uL|(>S?|kx#0*b&L z-)4^oG}B;}M_sT*?0(fN#t-c*9wWqT@adtIrqeEL84O#vrX*)7IIuI$k%zugRTf3J z+ev%)O1BbCw*QO94tH#N?XB`*5s!ec*WZz!Mh1~ar0C0iJ-c2L$_joVF(o*8ZR9PL z$Z>5Gskz32EXSXz9AM2CtbVY1 zcf-vdU%<7UkzqOM=5cXRWPy~ONrNSpX>_`3>>F@ZY= z)p?o%+Y-T&PEQ)xmH2S930%WFnds^>x~sQeZ$9=7ebonoGrel42~}XQJI^Nc5J4qpL=!Kzd z3}i=4AHR>m_7fNo{>o7Xx}lKDV#KVxG1n&3>n4Fp_7=w2Z_-XBploG*^D@juAz;oS zv`Xf$f~w{TF_ugf00d#%f4uH;nL05q>PhGr=*#DO)*xYi)FTBJ!GHpjvwupxQeZFp_z+ zS;Ue=tSI^89=n|dllaxu7^OOAG!-_h9aX4`{v-x>YwS`g&w_bs%k%_Gdhz`n~|r)Vw10v0UsuGIOJ`KkC8t46d6t*Dutd;;!woms;)HA`^kvI&0CORu40u ziXrSHPZDlYqD|6=*zXN=ar6BU^V9E;rSpmn4tV%91F^pQMLzClpx3Mp37_>s>wK8` zJSwhUp=V0!HIVB0^=e-%HlR+q6gIu=PLNlvNEEht5%T77%vlTfp`DfA2Ci=J*bN9k zr{PMvKJm^fX4b&x*G&OLOB&S5&w&S$_#(QOzKt7i*Gqz5>B#rmT91SFq0&ywK-yKj7ydpgHK8ktP?cW-vS` z>gK^JRa$H)0tS;!j<0xSEWUzR<+;3LW1|EQA^GtRi)n)p%*bkvRb?W>_s^h7P?-NQ zMuH&HDg#3qKDM;e%3okgLkh4xi7N~dnjNEw?-ZP6>>-u~ZcMgmXvA0X8%X<(z~y_u z;%%QUL0TYAXM3=WzVn*){m8(`@+hFHXz#_bgFDAo8NnYFWjj*-d@@c(6S96GTE>*> zMXQS8hhr~7Yjs$iZGxUOT1v02{00nfs2Shvk12}a#EJBThcBN6=eLRBirwL9Vm{rl z^u+q&EEmr75%hWuBru-JXhY=Uh=e>1d;Sw!dL{V3I}D^tXW4ei3qGEvB(2s+{@5qO zsNfJxTC|Z^2CD)pAW3h!VoM%WF|I9W)AbYHCCQak{oac+mg3WX=K{UgtcuT7{yg)i%!GB9Fn5(?ZNa96vSz?cyw&fg`tG+G zb1!0_4$?uVszE}L9K{`8#Jni>N_py-XEz*@cg8MjdJ&nB(_|=)|9qlTik41Kp)I*g z1+8V{k&}+9(7%O)>Z?77hmTI}3Ame>7IH`pKPJ|f5am39nczI(+EFK&z2_r>!G<_$ zF`sU(7n6BgI`WC&t64Yp(I9uV1P>{vBm68>?qatfM63)%$fP;BSKHH{E9h`o@!qg}Ey zvR|Kpwt*$G-q(T6p+go50`=J1no@Ttr))Oa<}+~J!k+d6EnT#+!KoGM?|H2D)) z$Ah%Fa3a*L^EwW@@0=qEV-lki&Zl&o{H6R6Y1#KY$OAb-FeKDB`0B-dK)7$>1TM^l z4(ixB#LyWB4p~G3jvDGzo$&YVZ>i;*f21Teq+JMaYJevXUJyRcJ*FtH)$oJGzBS<- zAo5h>8ZZsG|15F%h^_{Jvy&4pyODdd(IIe}#TFkD`RHa_z);_3_;w zu`ARw=Fe%zXAKGe6_JZ|1VW1%Y1lK92!_&VyF)fW*f=dkTkJFhr9D`dMWiB}zLEad zyu!)g7!x5X;z$Xf3Q@uGiS+wV7w(OPRK4*MWZ2SahaRIbGZI@(1Dh_6bD&A68t3-@2f2|giN z|1dbA|CwIWUf`eG&Re3$=`EQVV+f{d5hBDWD${uvq8}lN7JQptSE_ZI#3n| ztY5}HkUaHJc3!g|u46jRaHS*ng+N>KY`sF@!+f+K6?*i&Eo87YtOJ+>$IaEsIs(tJ zrH5x|>`|f2XS$M7AyD=2MTQ>WYBz+_0SE!ltQCdK4pBkT`sU96*CbcXkxOAN0ak?X9{+^f;9q(gs_=kG%1`{RTb zm;SQK;~bDa=bwf0y>wf4+Hk434_(+OW&_i)G@yxe@hx<2>eAFr+6{&Z1ivj6oFp*j zRP_Ip$>MGocsPFqEOvc%VD_J47d)}$VL&+t6wO0vTDMBz7B|jkS?Hb?|K%7P^I9*J z{wyqR6uJnd`gm|6CI@ZqV49zlj%2!K(l0_$Or-<9-BxHn8`%TL=^ke`riS~k95ZNa zYRg;OdFkSqpuL9l+|2UP-XoDAmp+8Yk~bj@V|kj+STsKs6Hi=2&a`nckwd1C<(S_nzP!>XPMfYNz*poGmo$H#K#YITZ2g$yWJS; z7kQKU8a$$BvGA&VBvvk@39Ic@+`(kXY%P5W)>m-T7OW5kdqqwD;!yG}_d)Rg+FQQ1 zfU!ZqVI}D1HL&ob4V-d2w37?HIzJ##fcKZvf7$R477*ga5lxcK-$YpibQCYD9xl=RGh< z072kUQnD%Ycb5G3KpeGnu9xX3YaAdyjicp?!<}O|1IG_+n6!v#$rSFI7?((v&Ad#m zh}a({<09b$4G&hW7uKcH+mq+2177~m%^K2L1_*cmOur$T>rlMR0tv5B98XlxA6`=p z?Aogmd-m3D0?;4&!4{E_or%H5iA9KD9X5F0nDINN-5$CB1%psLC$6`yzYQb{`)1ChJe=xKq za&9GJIU_=9@KCR9hGdfh5#9Pha$XeYUA5@5UJ2#1K05O!=d*9i`tgn9c+2Z1b$^u< zY@D@(K(OGx$0NGAqifx3+pp=J8Q=|Si*$5GuP)Fa@z1InC>NMvfed5M$KyLJ4vcmi zQ~x*W!s;q-s2%_$*DzWn;4F2##F{?lZZLKlO}zk%PfU$#jpbX-x1AsPA0EgZkK#`pru6|jxkqWmvf(h7AuXdB`AkIiNCiyAs!*E)MHduStD8pd zl7KDmxDoUDpsAz7Igp{$>F_=nEKIINk|UCRBfbJRSCWZec5pgWKU}7`{$ISHO!^i{ zOEG-xFHg3V%4d)9;4Ykq{-1Rv?@LhfgLAkQ@5B(!W_*~sp5*X<_mLf$46LLJa2NYs zuR~J(Sn68?vJh8TY6}Co2c}8yM>g?OX%PY8C|CDNrd|lSh|2ec;&rjIQA5DO3k8A@ zG!8>9<}uBJWXOBI(#AkBh?hDPbhdRQY7hphJP3|QBfouD!DQlWZiTJ~e4B(T$%|B% zFQQ|*R&-(^^t>~3JNc{V!YKIv0Dk`fe+`K~`8SQ|He;r6rqHaXJ_(99@fFOw{>)ZX zD}*h<=eLW-K(%*Ud;g3to(SIGXls@!*wHNh zn@{}Hd*YJh-5>toKGRriVUB+58v|w>Kg9B7v*M?vD}?r3k_TiTTbaO_b#;_dWi>N; z$8<8EP6;jpbFBPKil;=M25 z)B0Oq7y;@G2u>k1wmvs^ugKAN-6|L{Z8qZ)eNV&w|10EH98#WFxOal`x(v;>69ppm zYlJX6cC~A)D_}T5@b!RM`!jnusRMLv;)(gDqe$3kV>OTxz%)oMijc|c8(WO6URI?; z(oiXfkHpi`OI(>Yyc6hsNyl~JQh_}VLjvZp_aT~y#qwpFi)W9l&6#*!#$$%2<4?=0 z=(ciWyFEq_tc|tVG;x_7GJP8(WU&|9<6m7W2{6-joo8tu_VDwpyd~c~4g3DH;vWef zZRxpi|Fb|aVC${UfUjrR+mIRUqCJ)43t>nmoAwbcNCgj*YfMzTZA~oCOxhl}`=&Nv zrDVQ9!QBSzT$aeT`*-zze*KD`W$sJZIAlB;F_O^tnJRfVWSjKo{qn1bD6@~t#JgWw zk0EvbZe#pSjFH7Zq*d9ht{3wiBOa3U$d6Yh;D8f>!%w5Rig-%2Jq?Hdv%>EQ7n9&1 zu<|_5@8g;-r@$%fC|#B%o7a7rsVl*xydO1%dQT#9Qy%wXiWrZfFLOVTvLx+?-52ul?e& zz!wPz)Et9CbsdpJPx8st?uq@TFA3v!H+@iBOj;KRFzmLgQ`x?HQ=#MY$VBM9U;WD= zEIlD#l!XG0l4JuC2J*o)f1eTw^OkefTI`Y3K!Dg7RjsS1JYF`YTw_2pSnFgoDAxcw z^A)f2*gnVc%41=|jOI`9_a8^4R2S}icLD-qy)B^IA)M0&vgq6F#^_nqXu016Fsn$C zryr7QF$r;S@4smyV+lzY1JNDv%{B5(;R94G( z{@EMYRML5dV}tH825Sm)VIIgei38_z*kyGe?2JYFE1d;~v~sL-L|tLLGF*L{S#!*R z^KW5&y;+HDl@)_ZZ=pxRXN%!?{Av7v}6&J@}30=QbPrLsC)K2d-u-Rop7Tu6h{4and}D}@)Cbn!ETTCrSJl$MLV*6 zqYL;OeW(}J9|b>~1?ghXy+f)P4lh`Bv5R-Bxu^+Sc|d(QD8nl zU6At_g}_^d@Q>|9%d!>|o@+X7<)FUNPVm&ya(zdmT_YNJvK6@;b4}1liP!UW8r|2A zDeP=T6(I1@;pyT}?)szMo`H*WK#P=cTS7j2^N&!cLfn2icu4S`!iivw433#>U;bRs zR|gVxG7tX@9A*0Cn}?0xNS1mrrAGRhk=xl{yv_TLj}&!-E!E!9jqv?<@E0k(jV1MFkz|7x@K6K9+#Wl}er! zvd#5c=rE^bC}~eM)Dw??BuRGnjTq%v>Fj(pt)~-nyc{u+wzp&!vgES)G3v3}^W$p2 zpUrK=ugC9^;6IUar1DZ~nHI7dSm*to)sn+j_U%nukmZuwP z2H#^%lt8L>1;eB;w})76?VH>2Ge}97De{*GB%g-mS{w-f&ys*k%hr?VMcKS5+~^9N zwhg&N9m3py5)7#%&c|~I3_=%Kz>F6x_O!Hq%Gh|p6B^0!T8UYmt3+43YEZ&1hNa29 z%VFqtO6ofhDz33crs-hl-hZFFZVq4(;5I=?_dEn><8nA5!H~HnKF8bkS6G;Xx2gOL z*X+FWE5wx7ZAvX_E$)w3Y^yp|W2HiglBD<*E7?MBN%D8b7pwNfVcjU{8cBvPY&R~S zGn*XhNERMI6H>-N?5}V)Da-1$&8h2Yj9zTsF~St&cDnw zHRn=t7H|qZK$5i0S5N2{%kcGNcD%UR#PLu9P%`f(I#0y|AKr49YfkY(vaPm6e8H_Y zsC3E66f{HN@otls2#iww`10^}(uqD=rV5t`zrglbXlZK!po9Il-V2D-Ei>h4#DbQ= zq?r2l&}jwt8;$@ZD3d2QB(awf$x|U)Up;0AYTEf?fV)!>w39e;H@L4*Z{QRKKgND{ zp2a{X!rASI%s#=|=>FlQW_GOs<1$t8TZl~Y`I&Lz&TVbVik(`pMm_NPMB3Ckq-2pA zT15!t{!soRl!XE(zn#q9)|@^Gt*T^?xI9@{vl6fiDNURVDZ#(uB+ZvE_}ora=XE)4 zeMRbmmS^4EvB$2lNzjQalY*_54zFG;I-#xPjjCodIuMIY`TuQAa+M9PVkX1C|3Hl| zAK|_x1EAzhE99s56;*MUzkL9Wqm<_wC>SS?&va1t@Y|cwnJ$?*L?Od;%}lqd4a74Y zF+DZwE~Q;oK1H8{&yrH5L{Y&@1R;P&?W4#}9{4B?lG3r@6-Dj`CL`v?IqA=Ch|%}Ygh+^yCK-<^9ine6tNTsIsx@&-4+z11vP9dz6>qkvd!JsSF?=a z*1N!o!c=$A8+rklg?rW}gXno-DYjHc>^1NQUKWQ1Q6$F$P;}1FqQT5_GIQypdq^IG{y*+3`*(1b2Ib0`Kf}hy zA_ndhCFQdi!SB0oPz;2ITMee!+`%;$(kjzX>Wel~sa^O*?Bz)5dl*s$;x;4(JYszv zO?!bBb*f`fke3gUs({}n{}JiSUewbZDh8{8B33~DIsj1Qepq>TX>EdE0Pi7( zX{8qpRy!?b&Uwa9+zP%p*A!Tg$*vTS3tMyYViA%%R;#F{BO0sv@w7ecY4AeNP6&07 z#s|7&H_ynVgdbqJ$U-;)KV1^upYA^!PF6#2LH=ATE6~3K-Az9xu?W(Zc<=ND^D+jc zEkzesW5f}z&@9`__TWQq=78M|8?r4lrUSX0ntfM1A?WTi`KWB;TJ4Y z;>FRu=xc}2Sy;rhDz>0CdDDwZpTO}CrbC*Z&8(%VEqg}#u9t+ZzC!z*TSRR!UQQPG zs!uF4gdAM`gcjoeq;Gtv`B@jXw^X^3C>-5>*8n1GWolL(wljJ$$r_$rm z*?Q-@-41iUC)_xOX74sggRSMny%;rJAu*|$OW=Foygt_BT3kPUaQ?*}dw)@T^W%nW z@-Q{SlEUH5pUq~6 zkjzV%B6%OaXFr`Lwx1e3Sroq!KK19NB}qRv4b3IETxiFVxEL`Xr~;e`U;y_l!$U#DM^gP{Owi;>dcM0$FU zd#EyC?5<>CP3`Ycx{P6)OYeEp6^0n={Jw>)CveBjNAH+11)PPJ}_}F`qzW^0cHVuP#K@dN#W&bx4D?!H6 zqkYtW6+dkf_!$QM+SDv+J_?atC~;5;>$|bLT}`*iIUF_ zTq-K%KOG8ef%=e?GPgwkM=iGR{9QX%Ox`NC+%`|Tz62By1<*su_}$kJg&wkBloY~$ z$hjXe(z@>h`*24tmi?H@VaJ`~K4kh@)XUrEV7x~06qW9z{@`Qrl-d%4 zM(C-(h|3wh37MZtmuH9J`jje%<7|;vyrxa?27UY{{xV$`W69hGk()9E}H8;L3 ztf>Fi>$b~9$KHb2``eO#DW0fwk;-=|y70Q@1=7xPm}-*g*52_xqzQ&(L*O34+1XOb zTlo+_Q9wK$e~7kPyZXKsS#3K--n7tY7AM61XNT1#wUDK!GczGT<}e5ks0+j~{B$6r z?BA+M6jZzdnPA(OUNkzNUmsD<%b{u{m|Ux1S~E^9ae8Falak~uXDq9Pp$Tk=^GEKCEXlg_Fk~JU`nRTIfy|0zNp#@e z;P5IE6pCAg-8engyes`pm5xX{9{B34R1!rv3DOEqU<}Hl|9FYJUo9ib)@fapgk>< z|Efw$l4AbvxGlOK0AVn8#@;zx(HIbbj}dmAa>|C24xtJtYXp&`&R3FH1-tXfF_tE9z#kRZE{~{^0crppfj55b9N&(sW0eFTb=5gpKOgO&1XCMyIXyHkA z`H$fjYXF2erjKAo%#21T*@Et|lt4$*W-T@P?3}1atu4`-)H5KbC3{IHx*&Xb3j%Ww z4B&2-r&8-7qsgJDvAtxz(2JPusZtIgryaRh%B_ywup2n1xSHP4_~8`qXf4`i$wf(C z_dzXQ+@Xbt@Jk^ayqy*A9}P5eN^gNaSU`aTujzx7pe}L@cdR8ouzbkYq$Nv?2Sdnt zPg<+z7$Fs08W+JSUd+Wv4VCShI`x0nyNP*Rw=GmfYhVh&9iKMRGj;~bj@y!^FNFcg z^0D0E*{PVS?^j35wRy7YZDJGH3ZA+E>2di@;Id!MI-f|rO0VRi7m{4Ve%i?>^Jg$A zEQJ=u4@0&r24tJ{c5KNwA^Pw8fh_YD3(=uFTO}pI99&x?Y!Pg8Q0O%SHDnu#;H~QE zuKm0A9KnTIFg{Ndzo8F*Ow|KdLy4)b;wsEB6|yY0-T8#>Yf2cjnw@PK^Ay760j8yy)=m5qJo#0zl}|2j`Q!-Zea8`Q&GqAuuo=D z2SKYC@HlqvW9*`+6hcX94!l6*#A;5*8UbY>4b?#|6p{2tAtY-+Ou?5bN!3wFEU#ZR za^~~bgZvACnNV=t0sJ(mn5ldGbYF8Xw%D-B6~EFi*sfxOvs^}=8f5zKrix&dW&&I} zR*uH)w>SphaaKMOSn@i+ee1F3@EGPYXC9z*u@`)}M5*e5d>dK;Y+H2Q^P0_TpIdJYbk=7_R3uxW-?@Lyo6bm|v#@TH~Smq1_aEd=gOJx_!?Ip~<# z=QoluSI6=2e#@(}n2nGEg&)NhQy86_oEb=H<7TCsBoO#M?Q~<|9vqvr4=tS(&ZM&h z@NhH*`?)DQ_{$Mm+tJ&3is!kVlB`)a$axXsnZ9O?YNowm|#~&?MK-^q|$;0nu ziYZocPlxm;saCea%hv075pN6g&j}GH%1;wMdb%yaG@{6xga``YVHH;4ew9Ut^oI2M zW)gZ&nQ#j?x}M=Ax>2I&7xKt(EzHL~w^?lVRpQ}zS3<}dr@0z=PQrue*C%f3xP?B{ zvaMsQ=?2t+WNCB@VPShCZ{>HJGRcShbGw97=heYWtU+U7g-ili;fozhmt~J z7fCWNTikh_t7^y%@-m&Eyi-Fn$EbxUCVTh#5>ID(4^{}XAu#;(d2>?^9eG`+KX-I5@Tgz1ij5g58FO0=!NjkM;@5pVtR4@7t?f*$b20 z7~$-^)CAs^jCpe5`f(^O-=UODd`7_XbiE5g5-Gh$`=Kp5d}a=wT1I+JKM>nRS+a9X z3-z|85>WQHIlE?9t!GL10?0b=aEqWA4=AKN^3EKK^bxMp^&YPKX~U`1wYM6S1g)Y! zINX^e2_0<%ZJx<VOymampp4Sz-x#dCJ;HlZFm^4s!_RD#Iz|3Dr%*oFuu7n6u zn87sf8x`n2fJ(d;g7c!6dS_qN=+?UdhO!hgK}H-YSw#QME?euz2;A$Nm^YBxNeCJr zyFVe_W?V?Qdg()6K@MgET02!OPV{U=orHscK`HW(resYnD_IgTo1VS+-Y7J?!mS~m zcWUYswcJPj8t{*P?NAKcO|q3G|JHrV06dK0S;Aenx?Xx{A%N(ZUGQyU z1?wpf;&WjqTiBt<#j5!OYrSFjHAR-bfg%@rOO^r;zH$#q_P;Q{V_CXv?_Lx$rec5} zUUmOfK5%L*Q( z>cczX`wZIX7>3W+@WybHzK+em9+)tdOVy@0X=TO#%9O&3%U2jo94 zNIVI1*Sm}r9S6eIym{U1O;VOTvaq*Wlr%v(ym$Dohykim)lV(*UgEu01ie*0qRDd3 zH~TDSoFmEfS+y!pLDNx24q2h6nX90vZ1(;I=1eI~B&HBVbSEqw2# zSjdmH^#u|bYkTs)jUWc`UvDKH%#Yh&l+9R~B4J}UOtFc;va!K0GxizA)>>hD?IR!z zQC?s!*)*<>mk`%gJe-o7-16FZ9{6j43qh3vWN zFs0_Hcz(_XwlR6fKHAT0$BAWW2PggN>?Le-+$ujjF~n?-ke^7Kw>SEw?80Z`j69lm zn3T~k$Z#W2tD55g6%(Ri(9)-jspJ(*81|Gg|y?_13+xDSY@yun+u(f60yXru6 zw^hYHW@?!`8%N3AK{+w7T4phSv)o}_#4_oN%FVXPk8TdZ#z^UPh0j~0@LjIy-aj@ zQ;^t=;5LZ+ImeNJN%J#MlgGYc{=zNYG^E%)PIL7(zVu;z#5&PXns+b6gWq}m2wwJ% z2qa4pzf~jkhUT5(3;Es9gcB@~_N4oNiIE$H2%{#LhaZRpDZM6&=3ftogm^SP|G-zv z0BtxQxz89?m8$J`pk7AGtvgQGUNe*EG+a9sVcJ!g$gdcgs`M%nuiI1ueq`wBUeo}& z=?Q2<0m)w#dE7UfP=9iY83P#is@ME8HtU*Kzzl9$oq<;Ywh2J0v|b}L^vL!XO)y-$ z#QM2aF9fIvU9FoTJ(bhe{NZz|%A>&9w&@VgqLxe*JdIjgK`rkf!vc%A(_eDub<3Mz1q~=?{QNUnbQ?b}l$+|cn zD286kpN+q2b`JpOLK2ihdv=h%1K<$x?$nd%tXuP+{LV$nn4$Gd4n|`bbSI1C(q<@R z;F(lRk>@NSOS4ai{>}(Z6?U%QRHIwM@ojz`Zx1tc{8S%T`}`|BHn4D%rG}B?DL+sAwOHNS(l;yX~80l5isYR zez&3`YD&Fl#s(pwOKh-b?&m*ioiIXo1nEJ<&Ws^rI>n_GWHul$i@9YOyu9dWCla^F+RF@Ij3H94xGy59kgt>hxEO_c)gtN{7>LuX;c#X0)|}5ijI!0GZTR5Elo_x+pmN z1j|2noK!M~g+^45*3Co0LM61OswYIJRLUH zO5<&T8M>Aeb|ZmIlqTL_f-JIY6Xk}{N0kdB(C#3qt){r_@-NP=PEW(q{Vq>Hq6kyn z0G5F&+tPMlz;+Dqf!*!4OmD6C`sB^U+if6w$QuWz_t@a7srbh#; z!B#9(up_B~ff{@q@Gw0uY>SV`S%>JqD|RN4uPlFSm-DGc8w}TWL5Mm@10kX z-M@Pdw)7nPG8=QIjWUjrS_^GT)03n)-*>j}A%SMuD~1gH>Aq!?y}V$>^FMS=5vbR5*m)X&g9i^LtMlFAJif9FfXZu&Q-|8efe+x%5MI@JyadID;?$nv^ z;y!I6y@X#wJNne~z?Xq@Km9#*s!CA62%;_V%O!(%BwgVph6+U(H5$oi_xhSom=ra$ z{*BPdrFdt2W(!27*;)qm!YAMdVIu-p?80cHo$@M~N)l_|M*d6-JNh+f1#E1%g!8av zX*Bfl7kG)Im^DZAC?be@;j8LSl5Y`>&-O(Fj@@m7Q7Ld2>8-pvEk46^bUH%9- zu;)-v=x`4gi4wd?TWU{n*av07WO@&ZiLYAys-=Oj7G43h#s#9P;jE)%J;!Pq_=KHQ zQeycvW^Qt}#aY}gSN?`GmU3`|70Z8!XC@Uzk27Z4)`at-+cLCAdnfxoAR?tOk?i5+ zC62Q-+_%@o`*3lc$$YtpIxDV1Q~;o5B5TQ7Ywc5etDHfXQr9gjV1lV30&s}OhkJ59 z5&8kMjc)sP7u&5K37UMh+GZrHZ;7b?uZvK4F|;(2N~(3vv%y| zC?XuT{TCLYN@hku0w9}xFMGT#9_p$A&2uv0!6w#L^`U{@qK-}#1`x4dD-q*EeaB5w z5y4n>!KII{TGu7&z!V^V(0pKPc0!E(COD3*xr-s6{(JX``Kvy-yi~F2m0QaJ}CZRuUqH`<{T`g(0MBRj$TXfokG5c&f4GdG)8; z_w@bS?D-L~6Xj>B`*96BcTHPR1uWxdrVmGxxcrMeTp>Y=8}qd;#)-QL%hnNXq+e7{ ze3A>8&zZ0s^!@Js@XR zUTQ7vOl6qWd8;NWDCz*P9XvVE9M%%E z6L7rIe=|!2>c|pSH5b>u*q8EDE+>#-Y5u?1ddI*z+pSwTXl&bT+@P`Tq)DR{+qP}n zR%6??)7WTi^ILuP{`Py`ea`RoXWbX(9COSu$0g|_v2&nMb^kV1`)!Nq{Ug50kx`n&g*#r|4Rx9slY$P#QK$EKa)M-K|Qgh7onrP|0g zG;HlAeWHrDgTRCveW-EuU0op;C&TVkJ(g zm1%+C3!Xq}7^&!x_$vrOZU-Sc`TzrVYZjiY95SjyS{~j%fb{D>kju1P0L`vNgmOqo zoY!I0p?KfM8{_1bp2G@*Pny{j;HnAcqULe9uY2>8-l4twSId2+4 zeR1)IzrA{I$9=r*JaM^UfL32?XyJ&zIlcwdeIMxbx!&3tC!uRBe2n#BKB;|I=`8a8 z?08N+#vN+v(oTfRlfD7TlhrHz{CEvmC$;N|Gq4Nw(ksZ66xrW#@)sfJOrJ6gG=A=S zvHFvBTR|@@7tcW3kT44^%Yl18UL0Frae<#&c-|N>dymXWcmWLCH^gE46Se*gMyhqTle?v4FKDY63z~{w~V>-+x^}+6a z5r%`$Qv)6Q@BC8>Wc?c#BV)QwY-z8(Pgn&$q@EVk8V_4eM=K117-I*+Nq^ClEyj*S zYFFD{R$ZN=PDW zPguit(ZzT(iG86El(hhiknhnZ)kYND+&WDSUv8iw+pynmO1=^80z1h&ghD&e8^saj zPv?xp@Dt>53oA=x3@RO{62O&p^dBG(gVrhlSJS)8+7U-RWdUrd3;L7K5+??8J8qSu zleuza5fexX!1_y}@f|;vT6#n~IY@N*2xny{c{;9-`Ipnj+H!Jt4bsok9#(?05bJ

~JTlTQzjZ%@@xW^dGh$uR3CRKGc-8>ge9u zodRM&sq15w&wKt#35^h$Z)3MwF6&UUKuCy@iTRK)7dg^*N5;7~B}rS##&=dO92~D8a1>Fs;^$!uX?ruEH;Qyz-zG?p7@JNR46$3B+iq)d1)N27 zEM*^X+JQj(E`QP5b|`|$j8h0!qnMHAk+Jd`O!w^p5a7{Uj@%YFLmMDeh73pPT7Vu7 z(T^+CpSMzO70@|?sFJ1n6z9E)+L;nw`cphV2E?b>O0huj=YRW1^$)4^kcAcQ=RTrA^q~Ru>iBiai z#u3W1bc7YV9=mqj7k0xX>AB|&8Oh%pGQEA&KLZFWU#_CPa7`sJS~v-3t4@wOnLohk!>*J#`qvy&#Z5C%Y%nYM=Ph^I}riuOkE zIX3nl=R@Z-oueH|TOE23op3MW(OiDuJWEbn4DhWAHYs>J5&){e{R*EvGek`tz~1jP zab zxlq?fRY3xUnwal{d64fns$ZzV6_#1^y;Yh7?zQ2NqMC&sn{rzWj(Sz9M^zAltMuDM z7$rSp3##nK#({ob?y4Gmdz`4C6ymW-a0f#|L1E;(Zy-jnZeZbyY#eIPp6XYRYSFyALkmMGU6>8!xUDMW@qjSB)7c@(N z%%BXbRfZm;0DL0whK(R~U~IwwO%4YP*lrtByvzf(+dLPP{X=1ju^^YMJ_zNA8hsg| zI$m8R0m9_+F*3hmSh!a_ga)fTLY4~T&knjBxNaAJJ%v|#gi?G>1+Lr#u#yL^TwyhA zOO|&0{gSqy-7DOFUE6)MxSwOylvf)hBWzxe$0s7*?^JIr*iZ_X>+cGd*w-vq=dRQ? z(tXWn5;x^}PqBUxb{RtH@iP@cqAtHWmPUtoCA%^&KAu;={2`Wkce#PvT5?wDb=Wkp z6R3sOY}Y8Vui6X7fFb>vieXwqK6N5lGch*n;0EM!R*Oblg?Qu%xrvG%OFad6>y(SN z)}4-lcEN0Hxp{;FPQGr%K#z#iSxQHU&yZ-7rhKH}=OCzU+71^fZb zLmTf682z2kA#QRZuVztu7cZ0~*^9t<)N0TkC=&m+tJ?`bmcer?byhhJMK34dMMdxk z(g{96A|;te@UoNr@fmR&E}BPw1uS2J6b%){?TY}gp*Nsx1tK1?8MxVmJ8tPvGB%1PIGw>7{9-?dLZ zez5D2wbBjToN1{+25KWTkC14Vch<+-c!d;J$hUxp0!kT|vnK!r9Fga!o(kT_VYM1*Af#m-kWVQFjQlCdDU`aAx-Ocpq8`f~A1?O0 zINZQ7Bw2Sy+rrQ{8nvDx{nloSbKJS z&c8UuJe?%#Kh0LL&ezwk)f@y=g6t8b>oPAu5zc_GVwiH^4XHh$7nm+mSv%6Pui<75crKDWa~JySPVnA z!tWG&UGX@t7S;acjfoL%a;>!&`kmeLFlb5a7+ zI#MLHbA3t1bk$NPw#q>AtTwo~xXghvz6fou*v?dgXtd^L8~He2B-?#(?k@735f+aW zsOVOX>ZZs1mj%}z(J-b4)HL!f} zS)ro~LZ)40_@qI_0b{KPb$;?31B zhmq_w{K9}?;gNaPcHd`4n&pBepF#(*t%M(z;JAm*CnsP2Hr?mWuEGYVwuKu4umEUl zZ7#dm315od^IBPO%715X@|DW{GtBKNIL!-s^X(BC*K^lytDhuAltUF_m98Mi2^Mr; z(J5-}j^@vwg`Sb_|U{aMna&S7!n%l?!!65&sUup0_L1c9v?O1C9H!>yWiqB zsl=+D+~1#B^f$>2_a4y2cgcUZ;-w}t0*Fs?D1s#M4)eW@CqV4cL0WA1l?y#_m2f1^@TI)0$qO_fB)R0T)dICNY zJaMY@$-`DEPe_uiC=U>@zBvKhoqTcM6KTQ|qe*FkA^Pgrn>zN+F|W}|gMjg?pCrwN z&cRoTRV=iU4$~CiK_!JGOEbSg$Dl4r+^axZat2yC% z|485fw*cQkavclH&zU06OV2bd%TzOI0q(0GqiwaCW!oCB#Iesl31T~8*;>yiD=u8h zZu|O&CQgu49-mvFx)`q&Xm~YYJzm;qXY4rGHultMv?!!zkIe$v@8LVFCGNIa5QcNr zfTkMmO!(mSt2>d_jN3;QclnH+)*+=x4`Z*ko`Q_3^{LTW0A(U%Sw z1ATJOYYCv)idyVj?T!BFq$>Byv&$OZ`fbk!@YdEi&ra_M#=N`O^611Lk+pux!$m z#c(GN4t*U~Ug}`ku$NZ{8Y`*?0{^AJ!?8l}KGsJt`p|&H({3El1tK(s{AS?^dnt@V zq+Ly%Q@*DJMQ$&ffC$5Vgl4&_xwyl=>-Dlw|8319njvX5a{sVvt)8+>l^nM|l6;F5B#2{W9{FRW!kB+Aoefge0;FD;^ znv8Ab=b~1lsa0#q?XiEowGPw$2-f7-bea@XmVgx-C%Pe1e{;W#zAM=b~{)! zjWgj4V>O{hHctZT1q#Ov1GUZV3_1lpEH$WQSGj)`^}3`)3qLj!5~;8FyXqe4m?TxN z0s0T8Y1Qstqf|Y9wavY=0VL7g_T?==BemOU&-eG7faAKbfA6$-2l*3l$mb2EEjzr* zQ@BefRvz^xT%7PSPTH~Va4iD9AKv2yv!k%`H!Gr z#)a8@7gF`UoOr_LtuD}$OVnz5WZxO`$3GxizAqqLy6ShQ_ePEdZU|Q}pMf@MO5mtf zZ$W&SWHLlk6fgAIfP{`2Pa?CoMrLg^#<`0c-2O{_cdO*$bwlhUI@eTx;jZ z@vE=FbC7+X+9(9@+D6(Sw0aATgV@NjPV7kYcahOgYrpQLXL>c;QtgPM)+SsH zk^wC?P#DAPFyeK_Fk9}n-(iXBB-dF2jkUth+NetVqcaS$gnn|cjz(&E$6VuiTi@^2 z(Se*~x?=;M7l85fE2qC2(vj@L^C@c15HoNBy8_;-L7;j_6Mkly0cr#6n(Y_T;|EU= zA4bqc|8>YZ+uFUDxEKzjk2q%iIw;p}s&_hUbkb$Oj!uOZQS+8J_$Q(J-Ouukf;(|k zp{Z&GpjQeg9X*IARL75#_p z&h#HDvHGcvo`#n-up3u5`wB$nw*$1sw-XEeE4!9DI2qwibmA7}vpXwm0%>rm&TA#h z69nM-RcbL~#awtwkZTITkgdz_X&mF(fJHuOf;yaIXnnC7OhEu?Y5Zld|Lb}gx2~{1 z`x)|LUtS{ksWq~f;ni?A;uvXehr++A1*1%!CYkoG2gE$eo2^Nqtq0`2%d`RqRtR3t~n=R++Mg1Gt3+qA-gor%7?$u|_10o2asx4+8Mm{#Yl zc)Qx3FVaYY!i*G=+|C|~kdw;uShl-^?>l(-jQUaEU@0lnFZhX4==!prOmp&Ojh;S@ znhMa0w}8`jiwY&`g&c7gq+x1s1gr`q*J%y=E`jmX@a(gV^)8Skey9euZ=34JAOW$l zXW|b4DsU1;>SBA)(6pqnJ-gy`B2kI(+DO;0jrR zy9SFq(3HQ`S~ygHDC|wLgT8FtcD{zm0R)Xd+%(w_nR9Nsc#X>6%t~2Af`*7Ad<4UoY?Y z?R~auZE1(8`m=N*9iy;4D2*Ec3pGm9?PrHAcT#G=%&RL@?tdR#t=duX5X&Z=$O$-9 z#6~0g7hEUPMpb~DVvYIUM8SQpoV8Q7m^i8P8}q1RJj~s7UjLjREd*q-Ua*trs#{Y* z!weio9SMc4p>){8jAI`s!2_#i&~ujB5Ay!u*c_r+Wmu@R zqg0f!Mp1|Le*lF6+U!W?t-M=Lp}BtEw!I$yb@WA!>DHj*KOj)_4^^{@8f0@fhH#!w z`}Ml=IbadyXgT=^z7uMj>zp2$DfH(*7D1OTptuU3aUtZhd~GV*Z(eBs6^3aarp=il zT6lqV5-1Uf<+)xlQi+;C_^n~}yxQ$s9akx<)8QaC{Tmz{B>t-CR?+ZKpVytH`kjjg zX~p7jX&oY81LBrPGifhl?ewh$1?DSw z@W)qiLN8sKFwz)n*lt%R8s~rGOdwigv92^1b3U(N@d*+75gtE|aaFzo2Dgeu#;bmN z!1P8uixF}LRql1w-3=nO()4vgH1ZMaFfkDJz)FsqU^Nt`0PL%pgUf$3j6)iC}fGdJZ)(%tl@f#}|zHd}>w?u!F zMr7Ek}k2qE@Onv0CYyouM~Fh0ml zN@06szTp62OJ^?Z2xGV}5bf!cRy-M34Bne0(>o`R{%Tcx)Twqeo?aLIZ&d^Yq?9Q^ z&uqGG!fI>i-b9^G_ASd=jBJGcdoEj)>AHqkevFb%+%k55?c89+Eq7Su zCT48VIC#;xy%!Sbc_1W#g5=}l;^{NXeB=5xqO_Moi1*y%9s9DjNYBf_A~`Y%tF;tx zz>wbw;66+}fn7M~Q7jz(kWKWQinnP`bk?6 zuf6t4xhIyWG*iUGsU=Tps7So(65}XBO%RR}V=W;4Wh$*slVyxHrq&jUeTU7OtML*u z2>$^Py93{-i1-we-cA}ojdoy9{EC@;aRfxU`XP>TT`AhgOE{V=7Ph7wk84Tt>ZNQ% z0L1fV<)h{BjF8>P)i*tHf9Rt}g^Z;)ISz<@5cZGI#?}549t?!Y{k+A48|dS~bE#Q@ zSeEDH`g8>>=mDW3k~DgT4X4?xB|`oYsSHd(pcCZujenI_V&xNiNB432G`Oc_uq#c~ zG_-*oosVM;Y&xlkhfNP4z#FJO#MJh3h@kb6E)^tGM2k~9hnlC4#eacp9G4`t-y{I` zJaMvX;R+mDVS4e}lt{c0AziSoeNEdY+H!n3>&hO{{?8vI^NzRJfr64_$i~ZsZP4Lg zdYv?ge&pqBD6#1!D*39a-7a5D!$9?B-sEfXMAdf1fCdZFz=Ph?izOb9Rkf+sEIG^Y zdpbI%t+~0|orK~F0?07vnXMJE<`$0g4~k_TDWlTSrJN3Zbq>vse1rWOPHI5DRgYEz zRK8^MoHuCmZPiF;fZF5zJ?q{FelY*QW*`j$jH}&?mBH}`-J*GWLvCG{4L1mnFVWmT zjQ}HZ{hEg+rp-rAOmM)SPfZg@J{mlO4@E|$VPMEQrUn$J3A_%cA;&40FFDWOSdw|*-RP67j~;1Rlc~o>s$);z5RP?~#5?$2O;#f` z5Z6yhEE3`@UR6Z47=h`Z*oFm?nWi`L{GyWV+$V@0BnaaIwtyVgL(vuXCZSZ`n( zYxl25-`}eDFLSj^k!QW5JB0qm++A#?O&}#05QleAZ_RDR-vp{5!>K=CMcusa!wC?; zz!yuJq4ph9UvdA#Ajr$1V);d=s3fQ3W5$ML`1AVi$kC}ZL-yU5^~sWgYDLjA@5vyj+X!hz$1=-V;djQF=c9X^X_{Wtq2 zkd?0-k#OCJShgKv{)kLHI*AgX>AIGnY{IYC2|SKrc5Ww*-QVer2z=8)y2n*WS>ly^ zGBZs{ED}kEg|3gA#;32YZ-Q$I3B&LkCM0Q_jM&jqHYF5VsX$pWBZcs+UB`VaJW6jg zPy{;uI+6bki+>&BSS38W@`?eUlNp`d7fgtNq_?wjz4IDRZD3jHR1YEYrN~GXqq?la z4fF-PMYbB%#|>p;IKd)Ha0>H+fFV)hWoj5_4qKt?IYT|c0tWtLZIt;mi4o6um?#{7|`)LZoJxLYx zuFnA|i2sIB|4I^l1i?csa&Mky56!f2e}Vc3En{|OGD`{SxvG~o8>m=itu*(uZBzkv z6`u5l@&(8XZ3=Su}qVS)} zMS5`P3qcW*EbY1sQ%`io@lid3yOkfqY3}`x4UR!Xy<`+(c7bmdnBdCAn<5r7TVt%n zsZG)fjqc#sSQ}@KnTpkm9ATiu`%=F#|Lf{JKSyN;xo=Jhze-KoJmCf$GG1y!=Dp6A zlMME_MjX8WCgy+QOp@A+;h5Unfj>$h{0Q$#$|crWSJ1y<=7c(TC7)qDa*!8$-`hge;lu`f+o#IPdNv*MY*CW z>64Zf^KRMD5+#+iFszSOb!2i(bxZG#6s|SC5rWa^f1QI=$%V70xQc6IOFp{W2rcjX zVdTb36Z)HEOHdr^9lTq36`#o$UnGydE_}~7*Qod4yQ=oqe?s{vrb3u2W1>4j9!Q}7 zeDid>u=9-cn(U!dO=<5Ablc0kCDOvt?K2LOmP;==8pnMrXvOwEF9l=Zy6k^`-K2#ea z`odm5_d(P{#k)p3c1Q*KlDhlO=uJ|}e>RlLCeSxec`4M<`u#)p05z0U`}_FboIyxk z!Rexbf!mdphDPOFWH5PC^#r(}o_Cqgn+EO(zI0K;xB!79h0nU(Wi_gy-z>%SSHJ0P zEmGhdEEp;vZoNY|7j*&6noGZ%1ZP({1yKPv?s?@ux$C_*?Q z=Mzpof}I;wB6tp5b6@tiYGXL^?yKd6uYlT|q#|YzkC$Wt8%7IMU%Fkj7DI9^+8)Wj zrM~pw>Q;D93IbToY0|Wp*y8*=O}kvBBapT+*qeAj`dSF2sz5uN`s32^?=|sBF(&AAn}SgTjSzUjyr<%`jGC zy@r2C!M8PKp5#FSmTf`eLcKfW=-9!h1jC!W^{Y(!S8zboCFAxtP5p~!D|IWEZt}&I z+pzSj;{X~$r(qd<-;zrVs&wg|cnF_d8*1{WOd}#`*dDVuYA@hqbxu=2+E@vlVYwH= zg-!nxA4Y&r>nyB`uXY|lS`b?G!xH|Po)ygA;5D~>DiakRKI$Co8A|ItnUgtCE*zEP zt_Fg1qj+gj=nT_%G*&5@cXBBdeuYyvBFsWDW ze{Bcp3Rs)ni7{NM1>(lX7-N=a=#Fz^6D&)P}Rc^>C)YEna~Llea(ku&4V6uUN2oO8Q7Cw;jP^|p)b z<()o7nmR|7rt?kRJGz(Ljis7Oa@`B#k)jbPoms&&CHL4%mG2Uk&nYHOYX`?cgb?|L zq6*5@trZ0SUrQ{} zO|>t{KLQmCPV5mprR&=3`i1H_!Se_cfOO<~>~NBUdMXij$0{K%*9*?ty9{QIz`)$i zW27V%DEb>HBa-BO9Jy2!A1S)lq z%nTzGU}r)&@%GDGguKsiGaGJ^WZ)V!ujmnmQ_x3nS^B3@TOW1j&avv(&9IT2mpree zD*UcIukR|M26G0klk+q6@hu~a)d+{VVv63J^AhZGI|e)aC_rFq-Idis70Hq5;vTTh zm*0waI4-4SIRI(^SNf&bxle5>1b3?fS?(?WF%LFttLF8$}*BH4U&Tlgeyablm ziqE34t6n<0tn2TK2F_$Gtr~rojQ9n=Y1yVj`>d8MaoFluNQhXqfdHuY%MRLq<=0VG zOvha}p&`2hj6ZiN;z}2|g&Q-i9B%V}aAbr&vkY-4)x%(X)>s!qRG@$#Zb*!n_vq;P zc^<iMn4cUdE&oNfj)Z+s&f>>J2MQF%eMGT`Ctq# zlVfmGR`B62;bIy7Xk~PFJ>P`KaqGTolX9J2+~JXeBpcqIi+H{*_@Z7R{@3=EK9700 z$n*0C%|bJ5Tzn03GNU5_NPadG{J|MpRE2Y+Ht38OBP~fuXf$X z?YGmh*z6JgkmrLFLV{1#h%c3yF&Li1gE^_>CSk?&c{*vXptoxK4wa1!zVhv5}p!DG|SAfgYvg(r-NkONN)+Ybcm*;cnT_x!OX{7iy6)OU*@D zJ~zb_RRj0Vv#Ve}{PLCcV^}m(Af;^m6+H#Q0myB|R3%qCdKpOh+b^}31g<+Ki(l&n%XnK2|_8wyk1hu7fz2}f9^`35ZwMs3J%#B)>bxBc1vd& zy||5%kt}$83cK?|mFs3aRtBT`Hyx*sx~}fiDZ|CgtcUq%@!@C=snXFOs0)V=|Nccb z1uPM4B#AGigJ!?M5$3fNsS% zq1KJ{UfB)M87tPCW-)CvmiJnCV*Py)c5W|#ZwKE7tC~L6&o%J(7b?N(N;QWxWq6^7 z2T_}iyM1&z=7}{@5Qt-?beJJb1q4z)_i2}G%L}fe{PD!_98XMB&H4ntj%04bS6^BP zrM!E;_ZrgZ-$wHC<(|&Q_qPt_z%uSeLt*JpHRNW*iB2kDezdrw3@-?1YO&?aLQtL% zkTHZBpcAU*raSt#2l20#pvX@b<5amQ15DK_YXk{*w-j8O6H5?!vqmt+CSRT(Mr#YG z&Db?{X5XXOcj2T2+UsW6Xs>w}im)3LJ4A^)cXG){296bR!&3-Pd)~G6%#}1n+#L;< z%jdttd``$d00OP)Uav0agrSCvM)PkJQFXsL^>BU0c=XTKn(oS?hoDu@#6df5!>roz zyLt7L1bvDCG0TC3_u(=}rO}u|d8Q@ICVaC*A)I({$o?~hw+K5Vm1S!}!};dYWHXvw z9G06nbCM>J0uqlgND@fde9-wl2<|L60;A`M%Jk!vevu>xVF@$Zv&pwPiitIE3QliB z#*`=JLZn33zfaHng){$xm$(ewGxmE)4{J7#b+?xtw7I9*x!F(`4-T$OM^9us%V1A+ ze$QjED>pndK<+^b=EppE{x_Y5-Gwd8k)G&RNrlbe2>M(;iw*lFB1SE$SxyrE9S9+3 zq#rf@1NR;RTmu$xAm{}en7=DPwcUv8GmvGr%f;Zn)0SBU6>|t!I4n zC6fHR-IHWlGz@kVBhzk#b@l7LNB`&n61^k)g0bfJ|Apg#taO&tG~Jcau)&oL5 zd3#fUm)k2i28`Ee^M0u~w>%CLx!UdSjn2s(sGu!*|My-|u<;U=$7l{dg{9P$5e z=zL^wtIst}vD=pTiKw_52IIp~5J?+7S#=yn3&tY;-h2p1T?2sF*gD|uKbXtjqTDEoq(PCw(` z*=%_Z9z3t+fIgODeMNKdy9{{Kwzt2%K2|TKklaO58CUjJ>IWdhmN3i|9H&RKvv%aK zF>Wm;DScV~eao(yd=kNH;xTC5{)q88X559q$T$P0zmi?fv}W5e_+c!9%bbbymL%C5oz|J6ni)A_Eter)MI3zI#@2{!2Ewq{ip4giVEphk3+ zomMJ!)%`PtdC*g6gdN8%d;vc9|5^ewW%bt^(IRFz**PxxvhDU3k}f(|rS={KD;`z$ z6sNY~Vb#Sbm7npz*W>rG2s5~hXd(IYSbeps^QnIW5C5XA`PxJTF&)_&9kR89j* zyCqceR}OsLXJ^HxpKz+DKh!~)3*i4Y!wHQJ5g9NtNKC%_X0q-luQAI40bKyikXt84 z;w4p}C6AX` zr!2{uq*IK~d74x1_OwTIdshM0)f5=q~gN37#3dupK=Q&$Nselu0r z-x5n~Cp$jf;7{}x5na!w(z}ffF_A^U-Z!tF=~BP)TSSae98`$12}!Fva3mNKAED9S zlX?Al5IQC0$+6Jl3m>-qkLNP60(MMBQziJO92k{!!#{RQGOue%JsMwj+(rHYHIo>O2{H+q4zhqbg`a1y^psyD8QvwUjXQ)n(<>3zo-2iD zs(`Hlc0D_lS?RL{S@ zr8Awx2T5D!G!8b2)iPL=e>KAHGG>FSHlmceJ1 z_QT@~aPHxmeML!kWV9q8n*Zd_RS$@bYm|D0MGDLF5Nu+qTYBkKgO{btBOTOHKW8AH zQVw>`4ELjTw_nhKeI>_z4TLtev|Dj44e7k9Z26dm!38AnU1{R}Mx+>GD8q2&n9f^| z?TF^KuR4;Qv(Lp>3K_ zhs7?Cup7rZnQBdVx)U5CAi9LEACbC7$;0^2o3Ua}c5~Eza`EC`T%!E7^Gz*ovHMy? z`S#|2!9Jiopa~YfdnQ%1_d105k=U0spx$@w4%g9Dy`Gkzt)XR}!L$+Ys3cafu9Xf1 z*zxu%peTuM>LC(YYhkT+u2?Gss(gSbf^zwBc$%8fa^2ATPj=%m{n8fT!mPiUBRIumCl8vGF9RoSY+X1V`kwap$ z$xnWHD|8}k3&G-*MX8{#DU*9a1hD;Ced$;nTO2{kA~($nhJ%L&@>fCLx6OB@xfO+@ zOI(Tm+4W0!;&g~THc56ReYuy;b=1Euoju<&*P`U(ZNJg7ftLz=qO)tlCJfHk(-197 zReu4cq&WV5(^C}MshQ7#wCKVc}%fTb7?Nkv-> z7YY~#<&oGLhW(uU4lb@TS&20;r;jT7_!Lk|GG~Ou!GO*=Ha8+dZ8Gy8@Ob{V0A4}1rm zcB;9EKQ%$n;lo(UY$uSz6|#PI>7KXfT+ki__e@tuQlVmTR9Qs)cz0}3%yQQ6>E3tY zsO}Tqfzl8yE`|YdqbGu1fmcEhTmzFN!Q(Rgg}@J*vn!ElXsXxH&8#%{hiUpZKO}AT zgB0Uz!&^Nnu^B=a{^BZ(*&si@f+nlYxnBjVBJT|=Y_AWLcUN7WBF(j|*%BtacN8BKS{zqU1tTWZVj+&GcJ_qqXGh1Kj( zN3Gkw`sOW5+VRrQ7w-GH3Cj314|nD0KP9F9WMLQ8s_Bk=XB=U{hJ`2VALOJrih~#; zxC>LP7LsCOHJm!KOYNdqG;nwIXZP{LiV?8+YvUD@D%soTRqMNZg=jiE4uU8?@ zk_JUL-7<0^t~!tOmA5l2iSPJIqABs9j&Y|k$1ydYjJvB@Kc2Wy$5e}BG(69RKE2`m zT)Z84{D&;>N#Zma0{$zEScDJ{biXus8g|$Z4lS>njN);4vPi^umPiT!S_^aJmyjFwCmIe-cLgX=bQOs8DrV><$(yQ^5SdQ|5Ne$a=iZHE zu>oz{=~Bhez1)sJI-~(5l4KG1$Uft1YM3xdM3icRunpJVYOju3@Q}p{j{E^$F_zGM zPf%yUUA)H#6XI?F9|j#CN(F!Pj%lV0u8spE+EFEDLWEvdEUfq)bu>_tNeh)g6VCR0 zo<~Q;_e~*WQMll`Nx;L zg;72T|17~ zvk@-_1)&@0Sr-kleftan%_~HWp9g1Wa>#0Q$dQaox;p9_U{Y@^pi=3imq~*TZ4X7M zQ&om4{-_Yb;93MJK*9VDb(01V=MPlo2SG*zB66CF z<5k5LdgATKAJDf!ZD3n=pGv=QLVRE5FEVfx2DA&vQAFP{fvUJblp?>1$S(#xNKE`o%AgLUYs zv+thSZ~wTv9#s?{>gHsHsa!+enH++p>{RvCB ziRsdcPazo#ngn= zgwD6}Rg>f0E$Mt!59Al7DI=@Yai;Xlw=o;gg(IpG@<^r#u4E=fY(?CWF$oE&`R5sD zPDf1$pNb%c)4VmYBj6w_d)%aov?0A>Jw&mzy|=m&7koQNgF4&knMbybc4Q~K78Dj2 zM{(lg{>`w7a<7Y12Y!zB^U*^1(}TC}oxGSLjIW?st#>02(<%uEV!4`y4P_NjLOU51 z<2pZ;Q_csk+UMlqDFcyTj8UcQvU@o^3aJ?$0n|x8m?b$Vd?C%27gw>iR>g79owYL`<@^S85MPL>W0aBE6;2U(?y(bD{!jLL zGqG&xu&-u5!(T#*k;L)AR(n&Ei>mdW#MX&na$$IsJ>3p;69QIT| zc13C*8GrIlESvlrM^TSJ?t2Rly{NDTt8cQ)a`%KZ35*!4+ysA*h+cA*2Yl?x0Aw9A zYOg{xQ>opVS>Kxj2*jP$H=#$+4MV{aj@gW z04=>`2JBk)`P2Z{h_~ov=^wUS)y~gHER}LFPmmqaN=K(;a3J&su`ytbu`a4ehX$QZYV$7w|6CcW23QwpDAoq&5+j7CHN2r(1^?+x1f z%%mq_S#;xWgMzyhT|rorZ}lymuV$M|JUhpwi<2DJN;q*oYm4DlzUv8u@_vdDsJ2be ztVsGeroyU~AUgF5=24t1bR~M9<0!d5d1qaO0BJb6Nb04?HZYUC>^IP$lK;R9`_Y^) zabx;OT){e&lYPypJGB(yQ>3Zx>Ur5hrw+e<_eCc-$HrPYmtFyETUSJiNoWe`$5rps z^P&t1Y~RyMfv)13GG|LFP#_PpAr-PmZHG`4N0v6IF&8r!z5w?0p>j``$mW)~vY(=geGd&Tx9JL6eUqfx|&DtZ+uYN+2E8pP0HFW#+W}$d58< z-FlcE6@hbQ-UFXycu~2WxFdH|)1+xpZ4koEuVow2Ddv~7rkE+9M;Y{LV@Qwcc3tqk zO)H8AU&|Cd|GF_sxgd(Zr=EX>ev>wzb6#|CSpe3#AW$X+_xisdh3$1N>i10ARBw zxlHMNc%mJc`^8gYu*xGgu`ZD$LB63sv1GC0=|tf0GI#w2nR@hryn8ywlNTkdtf6P-h#n`BpcGl_$~&6FX0&dzMfMZ zVN2v3B>!zsst24AN#Pmtz|#B#HlOF5Kq;eRH{v?wEzQUpl;W2Sm~N-=x~RYk`J;o* zPb8fpQD|nBLfdX!MG2DYMKC;Q8E4jH&=PusFAuKw7#YebRWNs_o!0Pm-P`S}g{@W} zR19uHu*4^+ELeI^!(lM>K$0aaqWj&ye$jG0oHMeB!7|i$oUet}poId0m(g~#A3Hm_ zBDmk(-QyM;A#R_%gN?x!-h)Y^HGq2{!!frnVE{&@Vr4C+0QUB`KP6XgH*{NK=tob^ z8&fb3D2)8#0~r|+>+aZMGl)di+HPM5{+dWFeveq+ghyTrTX-Yz?K=?!L;n*tIuXVi zngI@#k6*K=Lk%p?oYX+Dt1bj~pmB*dNCefAu~zG5y$QznZ;ZN|IU^XwE!q-oThi$M z(A)FdoPe$&kj4Lrf2Ci*q?+>O6Szn@W4%3ZKR1hb*tateG@nMO0@D_`223~{jXLBsT#EU%F%L{kd~&wI%J*)W9bWk4?Kn>+=Al`O;LN0sI7_L=q-Vc#9i{m zU_4SnS2rNzJpMoqOc*O}m=ZPKB66bVewF#ygUtsE=Um_q*jS0InW(z1v-m7$Q#o!X z)9CCJBOk*Z>bpJnTV@~3q{A44_b-EY6~`nw4})!-JF`Z`xggv}U(|E%G|fTU5xSkB z#2c=A&vxIz44=XW7p01q71nc@jo|;N4*dd!hB;1DOMi|8OPEn0vwl>pqEOb4%b|HB zV71-F2a#DXb`L`6{BZPs?D=GNw0adGeXJ=)` zj;Ce`j2y(bS2tg7U!!x$+4f5%tF$TnD_3@eTuWaX413)j-GnykUQFmvJn%pEZDUI! z`N`z)a!iMlK&e7yxisKzqbwx&gP-UwRx5n^nH}1|PNSiXS(Duc=Lx1T>sv07-9n^a zjlAVlBE&|c&D#d^hywRMYqtbAtE`89F!fj`?Q^7Wih-imYr5m>pF{dVd8%Q3Q7uqS z(P~Jh)7Px-i_)B%mc)h7+G19RqNP-LhAm<53>* z1w{_%yGl3YM&_YQk*x9GCPExd?N7+7Badz%h;<>e{TQkwrygQ7Ib=@sb6=mLx9|{1 z!XUevZeJJB#Jo^8I#F7u*5s7nUJ#=OZ4Es>&h@Q<)+BlzZtQzp4>_|r%#x$Mwdq9I z<=UNT4&#gGk1X(_uDoRJuLU24Vvcl4yPimBATo$Yb^p7YRoVnTW`m^D?p!VP;|N`b zDkZ5iR>Mi|{;OnKpatwHHdS z<|`EJ;@VAGc)o@<2p93MS=4HFzLdZet23tA$u2K!Cs4J;`%sL#!&nCFkEPvOxjUc*XtoFf(=i&R*6qb^Y+U?>DD$RcM)0DsiI%J-fcc?( z%7KzpX(E*G*c|rmq_GuR>v4%Cv$O$8`aXZ8NQR{oEfOK(4BVq=(U`JyD2Pk7p?KK_ zBQ6}x)Tr?AdQ0Z7D_7#glO!(+0JM_4Z$mpm=U$AHqgDGljNW-ZG6QAq=^T!*5B?Hd z{W z$ksCx!D^>Da<-2#T`cW^BG~&2$U9~wNc4MlJUfy=J^6^53+4bO4_~pIQ#z!Zy5rWg zzMhb0tP#qLJL#G}2H=ow`?tL{Y(EofW3av3ue&Q4*_P9*Lt~5GNrGMF^#zc3vSb#o z7z~Ga@%LKcORDca|3q_5MewUh*GPMHo7u z*<#BSD_TXCkx^-Eyvxa)@a0e7w6*xo9TX+kH`s1O;W0T`&(FjtM2=k>0FYUJ+n@SHpr&x>K&`fY1ON!XS84B#QQ=O@*m($ z9q*0mnSV;KMTC{Zl^&Rce0u-pceGp0TymO%!d>qg9=rC>VHbF^bMmLN9I%y!&c4 z@2lyA#Dt(g*XWe>VQW%37s z*6wAVqxt@PTU*`tDv_I*IeNQts{pJv0;bN2gU77=WL+XuJ6i*SnEb)>h2J(I8zjey zi04hW=A#;52HiPdJOXz?DqQ_qm;Fc6m@b|#QB5|`8^J1Efpj~GIyZPs#{w}iF-$(s z&S+Ay2@q)yVj;~yxNM;4_?Li(5G{L`D(b2}oE#-q0wk{@>G5we{SvHH^%kBn7nh_F z%E;OZ3ZSEjiX}l1Hn*V9y!;mZxSTD_buD?|5=ICru28C2E5vYYH6A<=TPkvviOF_awzVn2b7ja_I@hVm3Rdf zJbT<&g){Nk9|o7Fd>*ot-H9G70(!hbFB!^EabC6oFZtp)3>wBqnhL(L$aE*ZR6@%O zFi*Zh>voVcKuVs&N0%Ud?ZIqY+nfNttY|@eaE}$Hc2`@2KG9BOt}7tdI&LN3XuO=x zs4yM2lQ@6CF0#aNGqvV?{s@!|L;u^I%}wmY-yhUMTj$N7?Kj);XDGst)C9@C$J60K zXBLC6St9mzY%}42RUeMQ+6#P-7?+6zVJ)19EB3bnv$s;CKsHSAu(PwX$mrF6!ZG@ue!mx4~L-9VOGq;(&~^O3i-8h3?~9H9=I++5lWV1z*8{6>uHdmh)D zWuUDkXex1;*%NbaV!(3t(8Et@D!OW%P>}cw?MQpwLe}*4?aY#~7#iLx9x7EAGD^N! zG8f^Jf*3hzAj0ffjDL>-KrEGju#+z*Jd7oqqiO?M>>wzY70uHoBy=A?EZJi*eKsm+ zL#O4pBKVbq3CP3vyl`u7wULB`M4Eh$avagaQ=NJAEFK^haRgx`)5qq;`qe`gYrt3< z-tn9dd>DFAU}AT4Cp$wiMyK{XK1~}B7D`~zIdk`55V(QEgN#wU^t+-nnb5>52sKJs zY8*Ow%Tas6x&mc^VDQ+Y4}RbAx*+&)vH|q%HAp)z4p*F)`cO{4=!e&Aa9;Q_zFt^I9l2@*;762 z;Nf7aH80R!f0Wf#Q_Et4@5cf;3NeUdf)%>0GjX4U)o;|x=?Pk zOfNT9(!7EkXb6)u!hJ}O+GvX!+4(Zmt9wLTH~%u{KPFQ>ZEve3l%(8%?{r9{K^nkV z<51dN4!m&*7!ZUDNN@borH~mRzc!*JwjLTv{*;TYAIVd6yJa0PxiYswQjud zm$Sd~?~l=$nJ$M7ipLu4K4I!yFt5bok;#rwmka61cKQTO4e;v!LKSbl$$AO&%_hTr zmtqNrQ2%poXceG`E9PkJsjGXCl0|q{PIYBUg$wM)pHoS5iRiS&xv4FnJQtn}$!Exb zAE19Zx1E)(6!u`u?!DA{ES+whI28r=`9Yj43;E5|paa zxl||7e3Gz@TwVb;LJ_-ma>z^CFHrfWUblbu#)iJ(_BQl>QPJ-Df~w9jI$S4j(>m+E zk}<$K@>47v8C}-$TLa*pd7-@>VI6_~M9CD~*3c=!fbE?pt(#PbENQWQNH>B;!= zyOmwh>s&6ol)pQTKy4MR@D?ZM1N6{%yeN2i4CWLP;mv;bRRf^-O^puqyXR=L0!;YB z2LaK#9fPpKSz6oz3na9S`JIiW1zhkq3-e5OKEchq>#iS>5$bJ=(EZC#@UIL+*uZ7& zVcLFq6Hb%tA3dCRKN|EQ6bA`m_)!L|avHiO>i>X-JDE3@$s{5+DEm$alGHQtxvtIZ zg>af21FZ9q4$(K)=Zc$x_`~@#@o()x-|{W; zXu_vYMffwTOgX9Yz=&h(fJ7gZ>zUed8O>@o;t(gN_(zVA7fDCXj;K zL=rkhxVmq@qBmMTm~{P)*vm0Y%4vToKN+MN3vmFa2NDt`T2Oq0>VJN6hOBEVV|`Vg z^PyAUM1^A0vBNl?ui>~e)AXN!qy7fIx(oRz5A_rTir`Orv5vCml*hX{4;;$KFRO_l zyGhbFaDbtHzaxK78Ej!}PCCZ9L(J$hXjZJD2N)iFAh5WAQb8zoE=dc@dCqZ%m|&V6 zzAGNKA$6P-Yf8)BVb?^voagmOiEc@KgW-fTISR$(t6vL3%h>9$@=sEuN8U|I4Kq59^#qhNS(-&%Sy|(K>2EP8loBE5JPZ*<5 z$mWl;ZXx|)kl#PjeGhgS&QI-rjHO09)2P$Eg})|IbmYk+o;#Rx&|+pr>$vc*9|R6*Jjx{+S|p}?1v36-xU7@|8Z(w5kb)rW^AD|i6f@|jp~ zz7`X@Ej*LEr7XR+Xc%2=U?gP_Qd+zwb~_pKa^;z5V&F~(jZ3Gh=z(8TfP)tQ*o_6Y zK=urq_oVL@vzn!p2{^I^;dEi00}haadOx0_ePnO^H=eR-I@2{-`oqE1k-i?sCJL^a z$z|E%6DVW$GFuUIFFBp+Q)TTTgf@)bXp0IW7*^rdaw&-J)rbN}BOY%KHsP7W<4AWj zh%3Ru?Rn|}!Qb4N9M`8!+74N>F3MYjh=U;-G0>HTwGzgwjk`dXT!@NzJ$SZY5XX&+ zQ0*sogzv&yjQ2LQx20J`ZGsjn*>&@0AdUOe#q}26WD$XmDsobHs)>Eh|MDf~5iQ+N zvQpIqx6V(wQ}E=i#IVFkli(sqYvXNB_EZ5EmK@GDEEfQYqYs0ct^M13rQ;WzPYn=shww*`Rown3kKI<~YGxXUf>z~?w?zs2{H@*yA)u)Y)LQkP$*)JI2I-BDQ zdxMkySQwVe0(WOsxj_=RFR*40R&ajGfA^P7CW)i3+d?n(|DF5~;Wd!-dT>P2B%pf6 zSNO-+)}V7-E>I+YkIDDnaM|6N-^iy%easRhM1jnMlzO?XC;lyea}HH(sy9pnm&%;@>}e)7n;LW_Hh!SEkQuc-?PvUoah=_Cs-BbgnM+9( z;t%EbXHE*MOU-98LRc&GN+U0b*Bkey0LRRi68U`lf9lzw=~n-Sw|5d zV+tIgdWZa{e{0GeGvsFef_u3;6(%fjXMXRKsa!;1DhT=4GFZMG&eY25nD!@OI)~_E zU3O}>toWhpW-s2iYLD*$ z$dSDDFRh^lPM9^YPIWN6pI0dS-X^%o`^U8;4pgq6r+*O@fK|QdE?f_}XdmLPKOcd9 ztf&&3NkGW;hx0}9QMXMLUo`e*nBu)(rjG%=Ba!!E!5-_YM%Oh6PAp_7XHNR<0)Rdn zq6s>dYyX~}_-I*N&PeBRJeEB4@`a(+MNCYDd(ua>P>Jhb5@a=T5?mlZug>rGJDkYv zljfz)0vcS8?6p*28}HU@aRC|p;&nW??o&-P;C}~`2*Nhu=|QwWflM_D{NWr0(;nmW z*#w70eK>|pUX*|?)p8WLI0=Jl`&;~$m|qoW@JK*4dOWK_t#8{5y|X2Da=P z^B{PsCOr{8q%|FR1Y?!34T&c8;~{75Q74^7gRrRylvxfDD(QPKF{H_HIq3%-CCb$4 z|5Az4lExwe6FkG1=Y8d2zdXH9iBCo%R^``8mRy*oXnOoD0kD38SuY?>6&2j&iu7SK z4%9hdtCcm9`?qn8TV{%Yre~z|36$!m;0D#{gxP1&l8*%tnDE+y0PIY7LcRc&CU#Iu(7!qGdc~lMu-WKB0^Ip+F`+;8 z^SxRxg(qes5dX`0XVy|e1zI0YaoY?rv+1<$E+3HPm@HSCLmvQead~r*2VIbvbS;hZ zYhdKXHcyt;A*FB=30n1INdpmdYWY_BDT9x~*Zc=GUC%R-`!fu(mP+t+T#l36eC4(? znk|-Ru(LUYJnnyXW(VXtk0!~^?kV@{dkwqNEM@;s6{=al|HjJ2S|ys9(1GBw;mI-o`!`sb2y;@^0q66&iaAuY7*9>|XiO%xXLvh25zfGHK zWuR(R;$QN}f1~;)i^2`cUO42+@V_bKADeQ#zYX|R35=P4q7}p!&c6Sw)F{lx1cl{3 zn=kzZv?%1O15CEU@tKjklxEW{&}tk1%B;!pERBSt-$6O^bPjn5AQ}S3W>w;R(!GsWeL$>s~)( zR(w$L9%jUTqA8|MOc6FY#Q_iy4YqP?4SAW_x0itdc7PcsuwFRnkrgfC{G12AL#Od4r zj6^IQ5^5YQl9`5L7X@QXvrEP)&zkN>y21EBaG$4&f3YZAiG(AN6?>h6L`^f_rYlA# z^l_#+==ILDW;Ex#6XA{hr2UR48xC;RD|eZyc>nl|rlVm_ ze!OCfvofhu*XA{1L19^qm!rJ~*tBh~Rp7&<>6i7uxg-L8Xpt5Jc{Zb9?lDs%%d#s- z4k_kfsvtw4?^-4X;!qx{gXYR(6*x+ih|lEzPvWA#1dG?TVl6324ZUn z7B5SFPf9a8BZDor$wtCcC|XU+-kmBvSTZL!M>~tey7yZKAQ%kU<@|o$OJ}j++#Mgh zaFn7`Rrr7U#%GqM0$#S5dyTAz#HQ=GW)vb$zw&qLj4dXPTDJ2e``HBs1fSMS-zz!b zWg8*;lBF;~c0sA61kL|~6oF601fLawTWn6)o_ruJSLPD}n2v=95gDTQP*zj_TZVPK z$3#=#yjgEPERX%BjnMeCcDbL0UKrm@*z?COXi+Us-ys0xyMXcyljt3m zfW*)af9?*>=Bd8iIEtQ7J*0*qMuU)TR6A6yHu||wbIoG8ez9~6zSS)d0p9se(&E-> zLIK-rUHD9veo@2gPi9Y;85hY`Q+aix?Ja#q+N=pTtLiQ^LGk|yF#iOXddR+@<_Us; zFKG5~w6Gp#nL`}i5mH&lFFXSp6}vL7#p^$75Emb8NcNR=c+$eS>d6^)WEiJK51>EY zTmEBmtXiEIu%TvYX9mN6e*!0XemV-SA4(r9JCsq-O6X}nY*KUM1CLh1vV)}YqYg4i z=zljX4YiXKvD5~oi|`Hr!~OoIUN6H$hV;8nyqdqa{x_eD5i25{6DLRe}cs1Qr4-^hi9$=rQ)?k!gffE z;M1EUoDW~{b`%guP&o>EZjQwx6eah#okEv2Ux5NfpK{QF(ArAaCA@5aSRdA`XAUF)vuGI$)(k_u@E2`@%h&pj_U?6zQBXO1C{V)$kYJTH7{uRc83y;BUsSZ+8ep*XrfFuzpt(3OCX;{Ftgm$gbWPn%_nFbv@LUinz!MQ);sVvG``wLEQq zSoFG}zeh#$8VsgN@}moFw^EtXFcL%e%2~olT!e@CH4ud;)*T!kisAmT#7zX_=H=z` zF!AtqYMzp@=4I|}5p0M_r zxD^wG3PzEEUmu%_^=~6e3oBV?@-HnRGV#Xwm%{jM{01p_4fM)AS0TiWa!imP;1;bt z$Ua1^^t%LLPr={1Q4~$k(Fv&W3N9+1dfd&}6*}{zQlDH5#8rQ*=qX|%L3VSr=KB&s zeESl3imgG7`zCzEFfe9j@`;-uVIXQxa?IJpIHU?q=`gWKh z73T43Ti=D{!x(5=YH)bZM#`_u>s|$ziZA&P&rw&6W}ouFd1agYt%nMH{05p9%^reW zfl3e);|r6*%V2BB>dNv*lvkG3Nh>7Xg+Zw1TZ}GzADYwpa=nnb04giN3W5&$rt(`E z4*U$*bIuqaoSqm&i9!TSoe{mz7vLkMMcUNbA8>LeoQJq!91(-@z=>Btw$5CaD?n@z znM(2h|g> zv1%D=rPmeozzH<<2>o;S$Br;OWi~e*b>~Od@VvqmCTI9(vu!Gid;?Wp|!hLlWgh^2h4)6var_Rhk+)v=1|LCcVYm zZty?en@Cp#H%>15de2)*2bgV@#*RZ!>H)sz^%~b3qNx%aJH9S*m?yJfG{RqGel3H0 z3%JdIg@e@*HwGMg1ZmipK?{ihEuf>yPUT1Xfk@awh$lzrq&_i#!W-EIv}1L{P`c98 z_&!@p(aK=kKA@}p^iP01gZVrp>F)Vet1drx1}RWsbU0T9U_w?o5{jlhRNE;v!u}+Jp%rgKmEt)On_=zzYNjS=vH&Iw?|!L<-JuOr^%i48 zeKw>-y`XRi8f?q5+h2cT<7a zxGe`J{w*TOrQ5SNjDI%ptAlzz4@wx~%Cpu_4O>r0W8YU=EN8&*rJB53K=5`nSG!eL zIj*1zJ&omuzZ$#>=s_2iYIaGl{T~fA^hjNKH;oU4=(BF{HUi{Z50=-VQfA+afh>jZqAMfH*F9axHk?GF-uY0AtwIVmOT%_$vb_kcP8Ogmgv zSpq$W1EX>=uLxwh)~W@eP_sY~9ZP`L=-%!cm21@GZ<C8^s{i(QGaH6&=*ff=MyU zV_pVws6*;ZUc%_l2u6^ZD%O|65FAy*OXL#sx^qHx1n>Rv@RKX;F^m1Sk&l%1Z>bZ1 zm+iuV;t<`6v@Pq-bC*w#YgJ$>%9ZI`hKGa{RE)52GJf1=s8mYEMUfzaMDfh+l};V^ zoV+!1I^$+=PRm9wGhiW&t}O-D0Vp&WR>6D!Qg}cHQ?o{cI{`$9AIl+rF(RV*qBn$@ z1~G)zmh`qQD|ux@lFHwD!HP7B#7v3_54xX4JbGWhcVdIh%Q)aLc9a zNdoha2I#gbf)M?mw6}t{4G+z@M{AxXHc|LPXrX$97!CtXN^d{(t5|f(@xin|N84%V z9yyni*bOp^0aMxLS9Gc^`J#xIv(IQ)vIkXBz)5LfLuD1}4Hccy?_;d0BhVDjUSJ+~ z(l@*Z0Q1@g6BU;*V^;6wdkcO;&`V)54Y|oSwLUg|+{1$uH)DzTuubO)p|YnKP%iJz z(1kQ=UIJ{}`!3qMlL*W9Jf72Ny{@j6$!EzM?O4rdwpYX_CP=7 zk-MydIjOY7J%cD9p6+{&FXXn~^3&!Gej5X;??C)oolp|~2iS}X?~#Cr4QhmWvDy8g zeO=P%a5EyMIv`GXrxQkKL51{QR^aZr3pc@nE&>UL;bDWy+J{r<;%;hC6{f0uf#=ah zY+Ke8C@q8`$58kJlvciD&3eDX%X|xq?1`QAd7BOz&;T(IG zOG}6UMLl3KN{b93mcchG)D~}Q%WWr~zx|8@9J)!cdtjTLd2?vUybTuvGU@%JXQ={X zJmsd_V?=$iFCy7jeD~440$$6!wrf|{MileoJDJ291oqWy=C%L4|s0Y>gb27>pIM3Do3R&f|p6n)4Wm+X1A%eK1^_uS$GBZ zgYlO+Li&=q#6#);TmfzyKP}}!AU(Fw0VQY$nX`OriL0;exjT`F{O2}jge~SjekZqE zdL48Bf=z*5v9W8pOZagBn!6K*HDw|ov^NuDW;`jZ&p6R>&pgyx@}nxK@-Os{aNc6jFhq|_sQ0ucNYIgz$ql>E&Ss;pps@(1)iM)95cEPqLwt?v%gm3GChl4)Y+(!g$-bU*Z(Xa0cN? zq8J75!v(Foc~*F_+NuZ7yZfd4;TNGO?3cKh9LhI>PH_*a7$LIDr*cpYL>|(!CMWk9 z#XrrghsgQ4*I$E3C(4D4eSWw|d)dWJlDh*OsL?O%p;R2&vdJ5l8toE` z&{dVqzw{Drs?YgNmzMHutpw;uenx{p0m;&!N=9pIa7BD+Bz#qzx_1DhZNt$_Z3d^_ z32++eHz{GgVR|!uf5K2Z^tBfG#3a5>NJ$N%UD0KY8obI%aoWl0q}pfcF-ywE+xa_~ zu}shD*UeR`xtjeW^@g#gk~?Ip71OmT>VpFPYwPp4=(7*&#IOv5nzACGVRp17t$>~# zYJJJ@xFGSrM>}C`yzA%fTUp%WAe?t_Tm{q~nOqNE|A46gck&vW&?#_EiO#z)=B!0>jx(B* zCQKo?*9Dhh?F4nhvb~lTCx&0`*`=j-Y& zmZS6L^buiRj#89qd63S;snO4K(b|;{ys|IM-@q3Uiq0GjAC!%GC?Wq-?zOD!-{Wb% zRv8B=vsyvYS@xuxcww3`(`DvMbKYT3ACM5U=vB>gGCW>%53$p87z1RG_9^i(e?GI zabheWFHtY&e8UgWu2juCkdjLzSe~!9$ZHn74F=m@00JP6=cDQU*?R@d*F$N&k4Iz! znIdhWJ+v7zZJmpzeYl}+2`Lf+tTqk+I1u(nv}M4B)g?A&mh$LbkL-(O)9`}kkY(fW zArdaWZtHXUTf4>U7g#DCx1)U0q-pX`yi*0zmj>5*3V%VUyUzANk|>v%tp#eL5qq#0S>=OE$zJ3IHTjIbBB!i)8k0 zjpdHJWXMPHw7+grK%pUih}c5UT|zOdi71r?qKMKd>w;FiE}>kte1Bsh4Ab>VsvDxq zFGUuze6+a2`-qO+zl{S*^@ubzBS9sHwKdLbrBoAa>c7>KWcPcjL3Tyeu2w1@56*wt zoti@mo^1PIYb*^7<4xdC0R#9xv zv%8J6c_^1<`})!SG=8^L)gJ_ewtX-PzXU?{y_xSJ2A*L5J@W7wzm-l!E1JukQ|%Xw zuF;66YpkRm<+culN7^s)A>mH)>9ZW1+Eu9-yGDkFj&~~Z8G<08aEn$PENuM9pFxe)mAX!_2+Zn2%c9F)*Ad>KhAuKmP65Mb? zNK{>0KrhsH=Si`A`D`sG5qEE22RwFae>Xn&u)fsYH^>6VimdA=mDEBafEJK?_pFi; z@2^`u;dG{-A(rGzrR&2lD$l>gGfUhqA9|~7Q_Y$&GENPhOr71`S9`Y{ysmriu0f!L z&9(IJb;;^C;9O1apB5=JllJwkgAwI~p&f$A+1M;H@;_jw`JN?+h*c zLJty6{IXpCaSgqe$r4tD{-R9Pd0D6x1bbUUR^?~gFFjcGTX=1aVo)tj^R@tM{hfNS#W9(tAz~J2H2DldxZiW(>o>m+{q2c-#^p5J+G;5^x zC|8P2IGLJ^-{SFPPOx3zQm;4zM#9Oy<}*p!py7sS4OOMB0=dYV$0e{v?d-zA2<)wZ z6Q!*(6n%T;EiJ{AG`Z=nr+8z)o;Bd z-t!&uQ#OgjW~A;9^NM|6hJ6d_q_l{Rluj(tVzeAgf-5*X&vOAY)zu;Hss==G~;!u)xe zHMecZbpE>RGoXfxXk(!LR8MwaPOJpw?lJ@1HlL17Ig--HNY+mKRlT$zR2ZULNwwM( zf>wbJA(TB5GwOS36cVh7e^waJ=0S!}2F~BH^}kXihEQV|Izrmhf$vDe#=3eW{+1xM z@TYPuX!JR9yoxp%JU?GJ|2iq9yjg9_A~a8ryd84w*XH-lzRf@|=K#42wy`*sp)Sfi z5oo=NMBA#eNP7Kd6^i?RvDOM|Na+pa^~l&ZTg?Dx;BZfJrM}jV7(o0S&7*3xk^{Tv zGmx;%!<8j!1(HE5BRIsW^80t)5ET^%lyp=OA*B#EWf2q3h&(z8>jSGr)L#!VEg}9X z6N)-rh)z1J=NRNwIzH%t1|dTA97+#ptRDgrz-VDmgz0|1w@fcl><&BCK9F1cQtw>- z9d1nbq3gp8VtLaj`M2gIQ}Jx&Kbai`rP3&n+BB6Bf=aN%{(dT>!5fj3^!FSx&{R%6 zP@!W?VnJOb*-X!4L1u{PiBKYj68yCQf)h(QZ`aMB(x;&0!XxP%uD=VZ?^alyeoxT@ z{b-w4TzZrk_3B}ia4Q)DL@;U$k#oVVTipwhvy^tG9?*u(zk?bk#)lgq^YK)5dQ90s z|M74$a>8t7yVGKz<3l^aJyoMl!`=@~YiyW;6fuM@RFW6+r`^swZCUj7`UAh?Zst)w zCBROGG8Ny`Bjaz(GvZ_*BzngsB;@*y->}`2Q078t<(FO*!qgGtPsd(HvEzoYJI6ie ze|>WptTZnWOV2oO@U7~@ti;i>6}o_Q-o)I-X!c=(nE^ws4wZ!rWAJ$pU)htOP~+ol z)a%Nnu)fh>{2in)QRHFmnAjVIasOUnzd;V)Sk7Qgi@=Qlr67;C?YGX(VNW`!2-qphG!?29%wEA!gp@FBs zVkJyB|5WzVY?30vN4yIS@;>t~Vw7}BodwOSSDf|>dHX`F82cJ&z zAXZ~IABD&(ZRM+xOY4n8cmG0;lo3JkyZ5WiEcwVJ`e*%?6NAabz_RvM>R#ikMdV;D z`MA#f%wxv(Stjx@2E5~T`>H=P3#1I-5Akh%S*ZmUzuUYKZ_Cy>8ZE$DJoF$bjT(5+ z!dsuusaahU=&YLf`&XLj56xH^RBZ|T%BogX5$Nj@&1_P|6XG;jz4=@JeXS+<1vLfk zCqifRV;rFM+dN};pTr<+G902nSo^8a2)oi7`FP=YH9F_BhenABSG{UP{!cIaH{lG} zfLKy%05%SYBW^CFMi=YPAl5q5t;P-td;JntOvBmNQy?VR{gwI-j|MsW@8Eo_;9PFrNVCRbvuaP z>(%@t#-&n87v=A=Zu1O?j>>Lh8Y^Tu@TbN*}T|1 z$k(ia8Yk(?BQ_jXbzRD(Q-B_*nYfrN{Sg&aCeI=)O~7;LCKcMZ}!zD2xSoLf)y$|@)lV8 zanY9_qE7^sviQB1`7}r}VWCKxJ1#vP!ywsbiR|qUP*X6%=92K(Sf(89&NE;bpju$J zazE@4zz?CP;_c+nNYYaIfpC_M`2CfZD_Y?yeDwaEe8e9y9whPLtzH4z2dA9-2tG?n zHOD>3hyNP$O<=Ql()~H&lHtPo*Cl3j@$b@QxKcaAB)yKNS%HJDqKurO`+kaZNUB-Z zPNRt5|6s!Z_kM4e<`SJRMTam`c-h24;2o8tSS~aMN+EOqgz}^!NX&Z4%CCJ&K3kc8 zE?hd=X#BpU-IU`98T)DwW;X;W{Gn0O7}TKzf4!s=^X6)$(T)6bk}i9U{i)affAxB| z?9qBN;768;m&qh%Aq|N#7{3lHc$A6@)NPe0eT>_!JOFd7vAiMw@|mSW^fwaBHJh}}D{}_x}hggwrp-`7;P_qLn}m9vXSkq zjqHc8Yn*c?wgCMM`l3MK6*+m(KWq9Ij5JCd;nH)}*z2%mEpnO)=3ew{XHQQsM ztdMKxFJ5+{vJ~XP_&LEDC!*T8R@TNDRB5DI<{aVW?YfaHN-~_YayX9u?x)brnJ}OM zzfcXy0`!QF?;@3F-Tm(?h2{bK#I?Yp_Nvs4!EV;uAKRrqPTAwj*q%*+T;JWNy|8f% z!)a!3+qxC0fI0wsP6fu8iI8R3MHOA+rV2c5S#u;V;dYYZ>a*yg9bC6dchj!p?J4Wm zPBq*ID7fa1c7fsB>hw)SI^P?AKiN~RPn)U#@s=U|o$Q8BQ|K%QWfo3a?<=p8QQc!!9KinM@-ksxbyyPxA*1NaT&u24UMJM>^o zZ_IuS4Eh&lWlod|L;cYu!AjW5bfC7MqC(_dpxka)=e%_l&&pefU;4AM^tG`J1sRWRsFH214ONr;mzK4_<|?A-n*H*!@}ra3Ru0%9$0|g zztXhaAnTiddqI2CJZzz$6QW&K7rE2kq(&G~1=9WKk_pa?F2GofR(Rrm-v1K??_K!T z!aIFYcWy+1m>UX*_{L-T!>5TE&Hiqur#-cu6zjFbtmJ3axbCvML($L8cx!j=XVwe4 z>UDk%&ym1Yp+4bFKysEJiYMI$-knL?lJ#XmSKRpGz6mxQb^|lS1jY|nY!I`#G+CNn znu6lfYczW`%5uzN9-G$JU5-M^&Bb zZE1|Hap^-qI;GcSxymV`dy5bKoRNn$e4=>0#724sIV}D1rA4*)BsXNjF8Vn)_PND- zjk;_Lw}+#G9d}xf4`ZWdT`MppwHeY|^sl~o9flq@Vmo^x%YD@G*J2jZj^T?=<2RT-uU@nAa?m%cr5AbG`&b}>=klvKO1qv$8Q$-KG-83_d~wc5%Pbb&9P!U}`)hxw;}=jouJI3o$F5~D%f$1- zAL`pnsn&8GfnK{Wu;k5siG^(WYY0HQI2mz`PO)lS4~ZHL^;K!I#LG9WkMz%Sm(?#R zk|>Vw=h>E;vG0FCNSA52Y_wvxZf_c?LNu?*w^Y<@=nGl5wvLDYA@T#;IPL5;74(<` z^^N#~V#EhD?-ex=WsqS-Nb=h04BmOfJB`=X*A{sog`D`upO)O@e4}sG|Ep-B=D;|O zyG*hBJlMsTe%xr@l;8pt!bzM~-4Id#rqj5^-T%cMa+mj4XUn$4D; zEcyA=dB7U~+MX+NZ1^la!OkYpUDJ)A>xLJn_D%#NyS!7PoyhupqPJQ-1mzqh&AOX| zjN3L|eafo3&4TKN;dTYrUf{hn%G1GtlP>5w&XD(8&>zCTR%~h@Y-kY5N+fHT;1l9{ zv|lZ8|A{8;O{xr$mPpf}g{3kqJcFK$8qvE_WJZWA`4RQEugR=Sbm`BmVbIg1( zaq!b`a^lrDVnc6QK1DQe+y$TD$A^~sEwe!t-8RQCTlu$MmGF)-++5++o^)FCbF3$- zA*I=_pKFQSMgpq8lL07wvT_r?>=sq1xDPc#ey1IvR2Hs=RCTrYZaneT2MK8`-5@lmP> z*JH+)@c>PC+tXw=UDNK;u>r%0em}Wm<<~O#4`vp0)~1RNON}I*nVNrf6|ju28Uz5( z7fp;5=B7wl86GDf?#hJpEJ`$2R&r4I6@g+!axp8orAf*>mwCbo%yF|`Yn199r9E*c zCktc9>sAqYM`L%fgC9M2+<`pE!Ml3i z&)mumFo9uz{68<&mS+7F_=wpcoWWwq+9L+<_sd9^OzXRJT5keM9^W4uF9y>>#Ctp-+@F)?|la>l%p)xcV&O>r@%AW1I%fvm;nMwSW zKr{eYjtIlNypUx-n>^VGm%nh0$GSAT&Iq(hg&o4!24PLq$nZLlf7g-oV}@g+=Pjjd zr6LaoW9&?;E>dXouBQ(gG>XQOT|aD!eU#z3L|5a4r}}W24%z(8v)3HGjcg zq!9#S>D{>oIY($|D7(>a=s_MaUD}KkIy*~ceiZx{98xN1bM3pxToEuJ11b9 zUJ>4Wb=w~TUAtht%fqMyb@(|qrR$7MNvfUZwo0Dn%7O)@Rvh->wW5QLwJreRsDFYk zfya%#3DjLoO6XYkY7o%TQ&lsOqA1g7YHvUMf*;xiQNRyyOco2(m#-&b!@h-lXS$wPRDp>Q^3rO|+EV)Si7Cs<1A2a^qAi z(0XGEm#jVqM;y9uARogkU~-za_~ujF4Rui%uYf4&%-3opwHh-I2mEtfHy)p_59h$c zz)sslPaKSoXZel&XHf1{=f3Z`hbzUH15wV*V6-2j1pcxd#fWSM*I_YO)4vwU3UYhg zl!#i$f{jt@6<^$5%|sXD-j%`yR(Z5l^gue_(d#aZMP1g-3`Bu+=y&=y`CvF~`KAd* zg07TI&8dniyMJ$GF`m)eRRVWkSF9VoaP2-fX#Ng}@tOkBTm~(qzixVYS*{8N zZuqz|PX^vea3bp6(pSYrG{&|k?6i282t60)8h}5tZ}*Ts3!D;Ht74@QgC`H}rKV#c zb$_5Fb57S?Tp2iyBkhU#v#+RQPNSPBFTdxTvtXp?n;*RfJX`3QI_we(*X6a(J_mw-Fl^yYos0%%#JUAs zr?z(1{OYJ~Rf>0?*v*b#`U`t7lM~?FPLV5a4P&874hm}LA72(vvPUl-57FlS8s#=y@P8z8PW)a^Y=xP7u&$1IyXK9R*iPCza=_$X_f)Y;aKrp!s_F;68$ z41V#~_jSxvfhulLRM7|TITzL6C6&QiwCIlglF6rlSw6*Le&242>k^nqkSSB>{)nQT z15V$R;M0snBzaAG!+Ybn2ghz$gsCe=7UlU_VOIqGzd)*?r8 z_KqpnfWwI-(4`}l88Kte=Z->>s0*X74SJ#l)&`CP`3*y`mClLz5n3jctegArrj#^ZEKar7oC_Y3{aE_Yr0wpU{8ImT^8kLib5}M^WikG>fTa75wC!#FR(u&SMXS&u^J@QDu@_=1- zM7H|!TqhCI2Mn6`;Ewps#+S0U(ZSz%KlaIC1Mg4YC`Jc%Sa9@&otBXnL!W)oB z0WS5k%dqg?(80@QY#cPi91(J#(XdqrC_^(t?P-*ur~V>JGcd(wl} zL7obau&~jTO#SW67{$OwoZ!7}i;SU$6?MKo$b{#QpUf{geln%xslK6XU3(IRw+po$ zd4NS5T>m}kesy^kb)r0T9e=K_UopI>)#Sw$r|wOHVv9WKdXl@fZ^2<5*?MCkL-Q!9 zId%1WwD-=D%8XnM!!8H|ch}EGE8-K6ri+9VCtdZ`7eojUNGdBHdW#_^$lu_X=EIRv z?hxcdi?j2~((N}Qr!3lMa#z*UpuD!By%sLFz0a)mNecJJ0~WW9<5!mF7TucqCH2zi z^hk7eaF;ib`{DYAG{wKEbzrL-S!yYro!)Y?x~O06mkTh4?k}Vd$`y zs#IySO@nWIbw~qENTIukk){t)=ypJ~-bAc+GmZ0t=G`Ikbo8m6mU?B4Vvs~h$(i~R zH9R|_0Yv06cSW1A<7sc;=)P0?_%#J|`1+Qhg!p@-n#TpyOyx0_w=0b6bAjT4VbCBD zKV1SB@=G?1y6pVT#MU1Sug%odvij{iX)Wzy3vPjHMz+XX>GR#L1jNC{QyAh1#%bjm zUU=M?(4Eu>**m^Y7bV{O`yy_wab(n=IQNU%EJ=;6SJsAM(56tT{PSr0lrgTT=r?(2 zDmJ{z2u`*aWi+Z(GM6l($|VZmp|8ew^A;$64UQ;gc+)=cHEMDpS8xqeZR!{5GW*Pp zlYNjNkd8a+f`6P=(Hz}~Wc2;+PRx%l=w)wm`yp#xCq1ckY8j7D@y;c1wAMa!s(C-+ zx(j0W4|-E`w@>MEj!(Y9FCo#qOS+A8LC}t!geUEEPUTI-Dlj_)uxn9n}BrI zWL*0~ZYE~qJ~G(2floMEeIcs>1d@B3XYZS1OIhk%?wr$n z{g71B8*?=jbmDBend@jN*uD9l;~G}@@p5_q1(hcL_Z(fJd5%XAmb zO>xu+04II54bekj`zIW(mM9DAt*hI)sJHufiqD)Uf7ZDgDqX(MJ?{JX+4?mnk1_y;_g8bw*Xq#mKza3DXi;rhtOfrZ9;vJI}JmR;G0MUUhSQ|02TgC zF}5G&vNSXi#H;zW3T&$2p60^0PNv`vli4~YXVNATH*h5|uO&-lxu8fq6vv;d)0h+V=+D|TdK)z10OCp*ep6omreSw9#<$bzPCw*3={{Dh(ilpo z10%C=ZROerDIr7!w{!$^w>%_^}ar4YZa^F9wl%$V7-v*?ilvKbLciGVAQGAmyvmquT&=H z(AY~QdbVKseiOYd*_cqZBhV|ZteH;PGcatr`s4eFHCxKKIsI0ZLS1fUu!-yLK0(+o zb3bwJb-(JpgVfFhBr!OK7mdt8j78+-ux?Soy>&yOPg8;n6*-sl&{Z zzdkPx+@2~d2yi9Pjq$uCrAY<9XUESz$TUb*et#+>PZ8c!1ea<~Yi#RvO?Zn0F7u1I zuEDDtg$%L>KA{O%6V*bSf}Ceggk-Rj*?GWGy@|Mx$(_4jMo#7$v#j zlLA|=h@(sc1+Z>eYZRFqenOzwah`P{Qa7zF9DR2$z9>xU(0=_{ayTg zShHSug$1$4+_}Gxp#n(?tUQ@#eXtQaQgo1t!FJ`;(WlNOdVa!C-`FcOgh;L&^8RQN z4&ES8Icq{a!)Mk0(RpKPmMH@=Qefr1Yi)qWVb9N4-mE`XYIV#JYEFNy=%sMA+ww2( z#)=aAm9+d2Kp?}|d$-GEx|kRD&-gwf@8v{0pHFvMjU* zjAHhO=(6Aet>T4*f%u0{vX|ad6Y@JxVzbN_{9B2Gj>9Ub*R-GgO-DU6LvVQ z^e9J1C1T?w7ZwO~G#r&ICUlS-i>NMtl%aK<{p_&prst%yS0f>$?I_Naa+zwV6pwD; z8Ky;(SFhc!dD%Tw>IB?0%??#J*Oa&qa-hUv)y;sNr()H512N#cp&S#8lBBndjN}3L z$fM_I=~`gn-34|_L&_za7$2RGWNu3yN-#o^L~aatP@AsK_ct-H3B0NFnPQjr)Bpe> zP;hcz^zen{gLa&IBta|V>1Ky!5{9v5xlpLI%m9TWC-*ZqSlFe4b$O{kEeyIVh8P5`h8r-q3b2Bm3 z)e?WSuhHJ%YqNDRnQv7t_Er^9x`XaZ@8qoo|CVRcp2+Cfd`f8~YDCvT&tYgHGU-=x zY_c8$O>t`ZF#cTTC>G4e*oa_un95{*%S@ImXc&_6a=RJRo74h~r^kEimu{G0YobSc)E^m%y_;nLzv2J(%XLcXGworSHqWl5gV|>N5#jCwHkWJf?13 zhSk3=G%-=Y(*?)GwH%AJtjyi@r;5*QO!-MU@dKA3&KGn!qFSO(`esV22+PcGtkRzg zj?$d+-k$h()JO55D0_|LAd%xzKU0eh6)l-5~ZnaoKGf3@G zy^HE~1_Ku29J=xMcqN_Am$nh!fk3oEn?cz37*EHpPI(y9a8dYr8CLcgA$qrFmgP2~ z_7@##Tt+=^i@uaq^o3IJ75rZ&40suRnPPs~McGJRHdVV&_*4>Q>leTn`TlT~wUEUvRu_M9|Dy|A{QaWDPpc2c!-j#wsAFF~W; zUs$$Cx4^VSQ0>xhUCbKal?Uyof#^a$6>BKNjJ~N)i&T@CT@Z*0$_$yLXdN>xv)TY>0*^Bx|%16oG)*`>sy}Ct2H=3&Rk$id}}>P`YnLNGNdPL-9KqaPYdMQYiCyvpSbrCT8bE2 za^o8}gOX7fe`>M$Qp?2vhy%7&+U;B?$f7%R{VB{ZqLAibAacvoT%mo_;2EpuTPKQh zN{FrK@F3qQl$q(zJT`87%8oRWjGKL__Suk}shU+{6pd z=k!zoR;=cQXU3`@@G724C(r|Ol*D`G_O5M97ha2IQq2#Q$38X(%eoBjX^P?Zy>%f@mHVTKhhLdT3 zjT~b+$QpA)rfsF4&iv2B@=n=SS(6Ob7=8hz1QRFPA`NvoH%#-_)BeK6RwXQB`AR9* zN)N3u#ByW zmk2Dsi1Zj7YEusi5-VPUThOOfXKFvEY77*)s^F`pQ46A%y>C9N&n!%9<;gV6J?{S$LC0Ny8kWQH2m~oEO&&s~28)FW zEtpQ1B8r+Wx*;gz=Ez{@3JyVUL3)fP{4RY z87p`ZA(hi`S)wpw`UH}bp;y@lu6aLY4^N0~cIQQ6;~IU*b4Q02=M+WweIU0SpL{a7%CImMKm;FU)(0u)rIpC9*_xZOK4syU{iU$` zP{nes0I2LJeo`mUf@XA_O?CW+->m|8=G)x%aPA`$ZEn z0Qvc_YukF0VIsXLG?l(o%D|HmS``$6@>?qjtY=(A4pezTBe+KgKmX`Qxx!94BGUqv;lv6Ao;nzhi;p$ z6Wyczxy?n+M}{Y1ChU2k6po+ynN+Yq(ZdLwJ%Ko~b3{I(Im|%?Ub5n?jtliZ_rzkm zk!CEE(yZX`SlII5bb3ZibN&HDo1DPGIp1F6=hIRSi;=r{O8Z<1-s4D-+`z~za*!Ou=}Vy@#w_`%}78l_vTNT7a3N6D<#b>V2mgNr`hWD#WObvzt_J$Wl=Js>LBA~i!Z@HrLj6ln5dZw2 zzvcvzW5qK5`)G1`T%6ZN2M`mU&xnCJGB5~mhUNy=HdcnZW`Di4(EEu1$41XVNKg3J zTW)UP=`^M`hE{YUrn)wULWcSl28MJ}hUUgL-w7G$898`)5#avie21iF6={nlR-|V5 zxtF#~JRLF;V;=&KPi^L?na%YKVS;0V$RsT!G!gg3)x3cX(z15Hg(fEo z$&@`!A?^saFPQ*~9in3zi^R{)$1{WIhD4j>M1HR}uXXiG9ly84cYm6A(*+9dvS}vt zk087Q5z3W(saEA-t*UJ09PUqq=KN`rXd<+Bn-nIDCMFb`1Y#U_xkj{ z2ZlI9eaZV@l|*>Zv$sBK$SF3l@Mvm5VmnMM870vW&6EU@4J%mb;>!j!j|y{_22!2E zKY!j#fc%4MX-JJgqlMRm`={^=LhR(>#B5DV8Pz~tP^CQda^u8VhypWD!5(L=6tC}V&F5J&h@cYzmC4gYs9L0AVB=Tsy1m@hFTrq$(pm9#savCRI|1o27W=U>cc zi$pD#kP;bK+XwH%-J-fRgY)IaOOV2C@ZN|2=1m6U2jrqIQ4u0X5Cb0SKw179yfir{Hjcebhdh=b`VBs5m zsD!Td_lfK@rWXZYa7J}3jV*LOuiXi#6l7b&AHHWW=pX6tP!;BpDu?`S!b?~5WHE&I z6^S;fcQvX_R?j((ykWPV_gBoVmr>fRJxXztM0KQ9ZS^3Vu_yY_nfutTKmCBZ%J-Z- ztfi|g&7$h4^3|T@8HVZP&Br=x;HhKaTSYXb?7s4X-daWIhHmwtIV4V{)p4>ZoB+!E z3||!Ni#+x8q-@xe!hBA1_)x~$Mx$C7q~u~}&5 zANq#hLuC9%%g5`dm-Et@!uyx$o83~(4;JdxH3|q2P|)3lACXxcs`gs7qSePK)A-UTH{RiY z@6h!iPZ{%oQR0Wz^9Ue(=NCZex8#Xrh3tiU`Ec@qdeFe0v43R5rIo96SMV}!$nBOZ zHU4zy9-WzCdC5zrMgSqP)U9k^#xJ0S_&YM=_8}KX9pg^r zLl`08f`sB*l|ALTt1QO^#R!=br)nTJ7L<%*rbX5#m69P5->i#7rv?0wJNtCH9<|z9#T^2%dtHKZ^ z$h}oe=sb$7&86(yKD44qE{9)5{gvK4(ZYRa2ZU&|B~Zqa>=?y1d9UxSOHCgXI`CNX z?$;=y^LxvE`HT0fO=j~$KR3Y{$!^L}B!7T#5JLeMF+6#iJe5F-p*#9$FV_WsefC`S z2Kf`c$|=NavXoGH)w7v^9i4io3BShc=!0<-&8LoL^fY~{=J6*C5cNd;!mE6Lc&xG~ z&G4xcErXofyiCsJg+?LerNw@ zMaD&qZ@em&eTVc@!=2{GwFGp*Tzd!07Vh@OpqGPDeRS=M$EB-Y-it=^wDs5Uo<08X z@^Q8WQ}r@ZI~yc^DfVpCDhXQp0t7j~2{+dpF{D>yC_X=WPx$2(6{>nFnLMCgl{$t! zIs!rw`u~66FP&OlmmgC@tU(Cn=F7&IhbG03B{K;G9W(rZF(2r}B^KvZU=26D)>K6{U zG7{-@<-z&#?YzTrgz&kYfqQZzo5)NOahJL)U4S`pAjSQ!0*&vVgiJoEEku8^x9;zB z|LBe+fS;;KMLNB*(GV|a&dX?HUtif1>bNt2N40y+CB0VBQbO1v|uP4;}MJ#?+@1~zPRWjIV?zJM6S-s}y{n3alW?AEAT zdroR?Y97c5qH_D(wT@voqDtgu`&6Ees&8>s-0eLn&}@%6^TRZqz0&z^h$8l|m-kle z`;%E|Kw__-Os9P>6$WTcWh@1-Rfv!danxIh^POo!H#>^KAgWYg&eZ1RyTGl%2@Nr*m{vm+~UVT>Na`$7dYceivNgnZMjya5_ z)5c#;)L=51DFR#XsN65b&AJXcHxK5n68s>=!?HDMNoHyP7N-H8LI{4j6k41YoDkK1 zpaLJR*|#vxy1zW#GTay}&V~m+=b!RCHEJR4=QcDrjl`Ul#k}-tRO+;QiD8NM(h;+< zu{nt*F#oW6IIivG2x~m$R`%1@aQp@1?iRWCTyxhgosNG~6lhHcx-WMO$(x<@Re#!3 z{6_Rda$zt$ep5$6u6MrC&G&qlxvEJ1M+iywB=J=E^fZ3=8hL~H6ZT+qBCA=1Ny_1@ zDlMONe>k0nlfpf+QOVK^8FYPiGGpL79P*V~_^FGSpL7YLe#zuIZQ`2wsxkRwU$K6w zL~!>MoCif{fyrf~Q{)UW79oNv04+&`?4XL`4?-oAaXGG@eJm09MB?QTV^I|Ipx$t? zhGTJy)f2k@TTV^wXB^X|BtA#cF3I?2@)(~}VCE`*%S$xVMT|uY_ts|ytKlT*LAyT~ zl(Q}<%e!jUhKAYmMFj;Rp{wC$=%vL^?dj>)43p-0BY|)Gi461k4xi{gxm}-@{~o&T z?(T+JNaEN}mumxW1kw#9+MO)@kng-2g`<%bd2ulcsvhsTRqw}jUWM>nI=4?ld$UkGMmw-MeCgF6R%YwBM#=8K$i zvPUN-@YcBkiW4som#U~9^&SOVV0BhoT!}^=pttS`l-w|%hf)j9YSI2^^}XyL%Bml@ zTwKTV@tw(RiFw`WOw%GO$;s)gR2A2?Ss@B#THz_n9q<8tfz+DQPASU-q|;wEn`S58?iU`IAB!35sXz=Wtj; zn3JnX+1o}q?=V=?r~yshZQA2Kxtv04cF-`kP#6k~T_`&8)juv;aZ&I77 zVtd_NzWQa3U~H^g3*JW4IvXU+o8}%}UY&&Vs(U|h?=-{?sc&D13shljbezp10QqOf z7ymLLVVUTPB2&1!F#1rI`&TdDpH?~k5^hyL*4hsN<~2M^7nw`D!h6FXU?PPFwK4?` z`4(PO1#{uA`s8xcFC}r7W68|1W-sn9JcP_kmdZ0Uv{99XyP9Kgk7$paLUn+T~jBAsEaxeIT8p^FlB237r zBVYQxLo!>V)awtj9b+D9+%6(%ob{$06>kI_>Af7Dt0&=1#{{^}l0V==m-M(r=OW++Yq+2`qe zJ+1uo8jX;7K)Li?H1l1$7hv=z;0Vy$5icPSi*TyxVvX70@Nn~K%Y*5Hr1kDdGQDoc zBlvP~VBn`cB;h=B0urSPihtW65EVLW)M8NRkon!GsBo}IiBu(87@7Zo94yKgp2df1 z6FHbS#=0-`5q-bkhxzSA7H4G{tTZ_SDY&*(($ccrw9;&`CN3Ey#u`le2M!3bvkDRv z2uyZ(6o{#byg~%g8 z49dgq56$VrcuCgB6&7g83&Yv84{t{VfPqMqWmrd4+9X+(HC)O5lw4&hi~COY zE^bDo!TznO|3-#dE!Fi6D&{N7zjK(&JWJkh&q^c`Nb>&Z$Y3V)vxs3d<<)oTRR19# zczIS5BJ)fCN4wGgLjSJ~_TPx{KT^eiGOHY_KWHrE-@^I(BxrxoPoM%=82>JirvrEt z9f0&6|10$W%<2B0XgZ7j)nWf_wEyKb|MRa2E$vrbYdd59&rmC;YG1yzZ-(+|l?D^# z$9+->gAMCo*Y||txm)`U=^^>{11_ekD_AomX36Q7b$Q_wp%|3J#r4n0-<_RtN8ym) zR9fAhwuG6@7MN*2cQf!GMCvnJ??{2I+dLrLW;25M9@i2350eUefY4(u|2a*G;he~z z?-SVk`8W5xmh1I&99uJZC-Hcp+Ir5vP#6MYqENZC4~+!l;_~vT7>3oMNPa0Zls6$I zg%%@*Mx7Mq&=Us#tdWR^)v1OZ*mBJ!SG}ev4wnT4tq*Ci-GMO{HRByd}K!at9(hkTX2E~72bGo9b#f653 zHcqvjFr3KtponsGu991U$a~ku$bSJ=E~y8g_K{K?o=Ul-%CVY%qwXhwstxShQSv9v zw_3GMk%IA&k(){u{W>p35RUS;w$+g`8Z>ZXu12`zbab^v7K=5<(rn9HlHz%&p|+Hf znU`CUvT8~R>1o=nZY@z+-shXWWY?h-8Y}>gX!iYf@BbWIH<#EMyYY}cB2?z~Frx*(hOI1}>hSRc$6pap$MIz)qA`gFl83mvBSSXh>M@L6hRm^~rH8H}zmDKMfaMDEr zRDC|jcr;}t>d)J?av4jqWSfY*L*k1e#Ko;`!SR0`M5iYRE1A%Q^b z-JnbI54_O90DVS*{l$k+g)lD@Rga_1HA47<#N>`mww`WR zF<{F75+kLfo-H-$BVVSreQHLG0Dlt zS*W1%u0|2Nqq+9&OyswcbT=<^+lHS5i2wCyhtu3Y^!u*y^`E@qRU0V7&NG zowBPA+g+r8jKPGwwY^a7$|z&qBVbQ~7t#l;oP9Vx>OhUn86G+xq8N4MwA(xHvNd+_3aEaWaoX z31h^0FgUUigQwB{+)sGYr!Ug%VRv+HHM@l@%Wt3?p=jcq^uRV!P*_-a$3{TrLBC}? zH!UqqwbH2Ki;5G!C#8uc2RiFa$9pJ*{MBH14~EvFDR_Hc%`8%|Ktgy>D@=;u3uJRoO0 zm@OHb(4JmUKp9R0*&x;|^y~X>G{T(3Z?GFlkS9k9$)V^spEE*7u^Ht34Ke~YM=Ji?D!b0lg(`|!YA?cTl=g&XANG^jjAeQ- zGoNkY`H>eL_BXxXtp7}%$kCtharZv5^QAv`vqvDSAKZxaLfmyOfIIJz0zkN`vtVh{ zMSw<(V|oi35Zw!W4ob?sPr0fiD&(ID{rOiI$jEZrZ?}1%#T@fvVOibo{g8Tv+>Y(K z=ve8h9!U5x{zb_|`2hOnQ@s}ydC=>eGsR4NuivcusDn9pIDhQ8n~Z@6Lyd#Y<5XoT zw+dHlv1~>_2so^L$0eGLHSIj01IcC~!o?v(I@5#+ z!DrlOL#$Kcu@6A7i%Ub5Twz^4c$=gOe0Y)4$(I{J-C#-NcC3h$0j)xRLhf^>(QIJ$ z33Cl_l%A|^wG$zf%XDH6P4MovRxHkS6~Lt{XT zK9DpvT-hvR0pPPgJpll@BbqoeBqsL4)jbGw{_y#lRZA{M{EbB@sO`ePHIM3nv{rEmP#sZ=ysD}yfC(gX*_*3)7pqkV#KHp9 z$+RUahzY%H_hd+jd5_rVr^!-X`S9v9XgOPpNDKvNL;&~-Gzd$=BnV0!;L%anqCwME;+S*!kpQ)h(=^Kq4)miH6p?RONdEEo_=ii+TDX*)$4p3=)@OLj@a=)$*d|DgH8#*|1pQ59qZSDS^ z#$35RYTU`_-p|3BT*o+fGF0qj*a%f0OxkZBCyrHRy`#-2gM=<{>m^Nor zJY)4o`pXHA;*xd1o^wT*i4zN&y9J3km`;?%xyD2?hI)T8S9S{##`WRmwO{<^zC8XD zuKki___N7sA;co2SLbrPH~?lF7|U@C`gK-^fy6l&D4v2qr5FxtN?zSM-u-8%g)J1B zEd(W=F3?2#qox*8aw=-FPasw5M-0S#3yYP!qN1U}!N#)=c;d=iAo)wB@-DA03r~?H zl&Do7+$>tenoLYi4wo=8GU~Pb63a)1`nYvJB(x$avyYlbKGm7`H4|n3lLtUz;QiY^ z{pC!oM>qDT8l5Vpn3A0@Gz>u*Z(h)RX6YDjhM5X@Wxg@^BmQX>Qk;%jYm&KI>`w-a>1Cr+S>5*&-X2WAWqk2!)^%f5L^J|Btb+4y!Wlz9uE5 z6@!*gDG>>!r9q^m;}8PU4bmxybW4{=mq@1|-Q8VEcO4GryK!`8jCsG`{599*bDrnU zwfA0o@5Oc2{OaBIN}T7fO%ydWYQTD$YNw~C>*E4Px_13ThmKu-w;7U=L~|!KBV*UH z+GCTq9TfSxjOyEV2v#WpU|K5y@pKu8~j* z{Lco(b<>brz9#uPK1J41MbP42gZLXsH=7?f-tJV}7Ae#J&=u==^#0xWm3B7y_fP!B zUUtVn+#OzBU46ub==^Mk@P0q9gTV(_ntB*JCQ3Z>h=v!rv=|Da099d%2~{D+?h03q zL`zC%XD0}hjH}huN=?Q-8p?M_klGX80Tm4O6!@7%hGg>~a%49-EYk`us_&4)Vbq@u zU=!3gcXrlnlgDaLJWoA~d3B|SDLaCXoPPAa)+z46h7|G1$;qK!hZ*(l+ zdtgX4Q4JtD^iVVM(qQ0kL(0Q*BS@7$&Zw}EI zF@!;gi;{`2a9Mh8siPB8eW&?ox|*6k+WP!aoPwg_(}YI~?5=BhWoA_yrR=8T&%K*m zkA#)|uQD=~&wB3l@YMmfllfK6*=`58hSP=GUNIC#Mn>GD0`KmDG`g2ia`StK*MOq? zb}w=%@u~gdQ#OH;!~oBh$qXmU_m>~D;hRiXj~(oCM_pAkVC^}yYzw2&sIt2OIho&kMsF7O-s4n9AL(WAKfmC_|7bX%4;GHe?n^ZOEs@vTq5)0akLR?_KUoX(Al2%G8f{cGSDJlJK= z1YWR#zP_gIkispIfO~vIFTgt9#NB?M2weJUd4whwR`JW&QJO#EC#^<(H>J+&&#xt( z-*lovgP{0KjwQLCW`RkIxF_;BwX*?7sHe5{#Zb0Fb=5ln@);R%Gg9m?w`W6diPrA- zO9H|JY-#8gt?_nfG>ed#r!=|e2Nc%uhYP#_&N?xm2{6x zX8Z+QV)mT(s@fJ8XU=r)f34o@X03~3*kwd6VKW`yf2$kx@cek80N_?zINeAEYzw4OTrpH_}2u#A0LvCKkJjlf-$)E69)shW(#u ziHNRTVR4or{PkC>k?4yJnL|FuZuYTtIHoQyn{s_?m@m18A`ccDNXMzsNyRSe@wgNI zHdJ$}dtHobltDyMk%?E3>zu*iVb`^R9gIvYqSpUDGkdDrJ?*G|d{vk{5kc%Tm>m z2u8pCVhUQtw&lgULh{mJ$Gp1|K4qwP4hE-ZU|{h4`R8gwfGhzN7m>ih?koj9IECv4 zT&NBX77U_-1KqaNVkkRf>s$5(Q+8{zXiSl}%qy(hgVkX4m)-!U>f?1<5xfH)G^&ko z`(2CX_ZKumt-AeXS8hst6coRD9~j%s{+(AXSJ(Gzu4Q_iTrmMWFE#jv7|LCf*qmlh zp^KyL#`Pf`E9 zTDE|K%epGZbp_aIy`x+sIXcOh%Swd;RQzFpg`vdHEHuo&abano#)m79N$7+YyHNUY zoL58QeCc0&`^X^C+I^`Aw76}bE&<8i!g@9^DCdezFy_YZlZF&iuJBQHlTkdaQ36@+ z*nMmm_YxWKiiGz*9ZHvMUPZROSJ(fF8A3TVOQ(ZNi*Znik^S?qZE2C9&@gnQ*C&xz z6$><{QUuYq$|QdqBmv^8KX}5*+S=th4gq4A_osd=KmJ!z)&)~Xfdfyuq;Nz*Qf%hcaWE_UPbAf4G(RnCjmy=y zFRjj6YGMHnN{jPJd{LGM`2I-3cL#fPeQTjWIb#e{)s!K3(T#*i(+BCfkEeqBn&bah*BFIGX!c5^ENf_i#~|YN@nG@-;p* z+HH(ijL?L6wm4|qBcbgc{rT#yAK8y-3dZ--cUM_Fswp-rSZj)RT+P}%#2DG(Ebtff zNn4y^PORGXg7&E1o5xJ!?5Rt2uK#r?;jn~{eVoRvHkLaJ^CR|tBJh@JmwIu9DHu7Q zA63zETlnWMZ!Tj+2?Y|=W8THrc_ZC>%)w&xhUU%Xbq!et20M&5vVF<73x{8z2uGo& zzkYpNm;-Fv@p^xe z2Pbo$`d6*{#pxpFBV~m^6K$(q%Nv!Rk&I)=h}+D_nR#CX-F#jboruZ(UKMTa>4#MK z$3j$vc(ygZq;{ix=R3jWIrSoi-M&;4q_oKD_t#&7@ z)*+fOnRwcFB0Wqxc1CSGd!=SZnFu1wqGb?<{nuB-Pv*hgxTo*${c@IZ*m&;*(p(7`N2L*1v?bfslsM_gC+`r(MD|A7E z0G}CIO858oMXkB)OY`M&+K;$td>q;CaN8YcLsOjUH9KSUl`22j=5Qp}F;mhUIgF$~ za$7!|a#r+Te#>D#?S;$xa^81r4*2sV=y@u*sxhtgXn3h(wj&x+H<{GS?`=MwZ|SDK{w25Y-WEwHx-%8N zvU2{>YN)k&-0{*zc=QaP25$Cn53^b{zDVvcbAB)LkP(3gf6UQ_JqY)X$fy0GwJx}8 z&Qel9b}P;4U^Nna=Yj{pis7`>k$oEak}k>FieX@22SUJI{A{h*^4mFD-+M}t6c|2o z!=v`)Ya+j7LsSj(>0Cd10?I~{gS}}d-Fg1y8V;IAY3T!!56JWZZ2`z8K#uS_1+K(f zKfUubf*M2s0eX&C9sAc8B$e{u`2KRq#SH`<)&A9qA?R|zBneQV_eI>1ueA9ZHboW< zD3q<~sr4Ax8Di4tkrKFFZR#&U&5-W^>gt8xy_%VJmJ}`IPLNXE@R%yZ!bo3=jKBlc zkAeYQ8?N<2FT+E|($RU4>?_F6KZJONz!bKoYr4KZ!P&KIp2fa?|1(@%9OX7XxT4fZ z7#aG*iBf{~ z*n1j^&i$|TfR~NK3$8XP$jj@hLOrpVURU7>`0*FOr94)`8>n{S_)eqLL^j0lej2f` zz=#^JVa!Rpi`jTAy~z74Y3`lR_b4^|v6HvF=}S&23I zT|n>#JTZjkfZPsPw-Y|*8^TolegM`e@x_2rB`vOBeZz_4$_rS2;!*kVmT^t#N469X zuiAfda9<&pc4qTB$9Syg^Kz;&l)yZNPY<3F}jk#&wG=ufDOrI z2k#DnzyP~djX%V!$SmI^$BtVm;o$j{{lTq0YstOO=>^AkSvQ_|^(1g{Y~CF%3WzCx zFFpRA5tGW|GZoUmQS@*Prs#5Q6z2KnDbfeM_(hH7iT%lQdu zX;(~3t-1+pixZOHVsGiHiF=n@F;8WrjH$jNT!Q-!pHl}P@!$9ljV~z^sU~k0zaqQA zV39yP#2X?q`&t;~-^eHi^cjb`kwzS3H;@fcf|P~$4PL!+SOIA(La;fM_zw28#;Z{LwJ_b=nF6=n5Ufr1fF4Gu`#g@COw|a?j zL#gl|bXnCX1;7jXGfIm14WYD? ziX}>F+)iUBz2rN0bhi|%4xZJO=fKf&Bq0>5uWv+sUx)~?|G9%)nr9E{5bz!k7Jeim zR7yx4N9#u?DdL}J`C(_@Z8##+WXGZ8@BRL7%K2rY# zT%3i!p-qhYZ@07K00)xYV#uSg_Eps_*S2KX|Ks`O+40x4Xw6ee;F+e5tNe`$Skz>D z-S~d>bOav4lq1k2=0v`gRi0rwkEo1NMmJd2D#5T1!@ptVUq5)&c<}Ts>oI5%ADh95 zAKg5(WZn~feMSIw^Uq6R?>y6OU=j|){?vvx!)AGGm6Ser^QTMw&n)}{-98*otd{e zk%}S;eSA8>bJ`@iHtz;+k zDCIe2@-QhnX}mwIcwAEPadTFY4w}ne^3(bIZu}ym(Ki!Mhe*u}ZYO=&h9B0aLnt3?4|&^!sf}>zj!36?WReB|CxTx+U)J5W1ay*%{y^vB^HU z&(RsoN)BCc!aZkd@b?u9MURatUAr8SX?FKCY{>ZnsW3PEfp0kC_uU@<2R#b?hYoA;gm>j0!mZsJvJExZ~ek{>`5X1-xnT*yjah*J+ zwq9(|7%JSXdS)||F1mI~Xg++fr(ai|eomkf%l}5G_v1$m^JF30@OlMdFaz}zmrxhy zua9KEI}SQA_Ms!;*F=>X<>4o7M?>bD&lsP|FiJnGt6AAk8AxkFoT9*Ia5$Rt2|k%m zaC>K91;Ve~k*aYBY2n4q{0(Olpz})H54+Ef`({}`k`)|8A3zqmf|qC0gM%40E~f(1 z!7$=9Y_~ekGsp(bigSH`N|L?#rTl9`g6clc*+dyxuGv?aqoFklTf?{?2==oL60m$) zQ>t2UO(B<<@59#Z^jcG#O(nR3dAOG&@AZ=duM3#(86$}+s4GgBV_b#bYL1KCG_`HL zm7VZ?xOYMshE7dL9-sk}2CXJ+HCR|I@mZSY$Qg;;|jjG~w&R7?Ngj$9hQ|(NWT>`n5+liobk_<}+LN7Ys+w z{nb(YhJt^^@ZZ84^#6i&L_e(zNGzJ$$?4RZtLCE*ym%j(6!iYSwBP3K@mco=(P2?H zZIHv;ekM0NR($jTGBG&gdR%gyh7tGrPf+zQr1s1|vPYg#SoK}wJ3jZ?I6r;y6;qJu zzl_F)V_o9t_GpXh49`IhSH|FO6=Kp)sPmK6bAqzOeW8Kxs^H%E$u0ZWfD60E7+s?s zoO-{i(Zi-SRGD{Fu37yT`mc{b7uEBEDy6b2gYn6e2kC;7QV*_~mrzRn7xr7#kmtdr zai4Qrs?zgpiR1o{M_<;&Fl<|tC}v={Px3g73soq^zr_JDpYJ9UOdZyk3 zJ4aVcYD|ZU?1L9K1d9F$QQuv`?y*K!=Y`fDXGJgCf4sNf+}I!P*l$Spw?{d!QsTPQ z4gT=`0!mos{^(i*DkgR$8~Q}mZiI^-t#eSibA&lx`GShcuY$?t(c-@ZVE1ah zV7WWNY5Nme9Bb^>MJ;UEUPJf)k#9+VlWA8x4SUW4!ZoI#c z2g+lgd%O?|q)PhbX{?qtSoZ3v&sGU2$plkz$=?HP3oX)f5!C|Cnm5pS!&^o~C!c>I zs5sTPB3h)UQp^PHT3=CnmV@wxZ_OE$^MZ7len21@Po*+*oPMfEsPy`iFb@#y{BTuY z!5miE4yoNEY1`p$yy!G^Hyu1`SrH8;n*zB8Pj~5yR=qq}za(>$h8XrQ8{ogJ zz7+}0mOOl-WZfh@SQt>+Egf@z2~=~a!|ho02oRHq4-G-n?fWqy zK)nuXb+=~f;B-mbITb<)2@k=4+cipT4FmQkQy(LZSm8aC}WCm(X4zP)wUMuG9f z9-Ew(d-JYN{Vyjf1f3wQw3NNLhr{W-y^k zI+5>NF2ow=x53!F#qqGNG8_Xwkd^K}F38;-^ruUbJAO%tGplS{Ke2|ieD?4_Mhhb4 zVxJ!77X<0{ysRuhZ(aM9mX2CWIIZ6y=W$g%C}dqxh}WxK=7VwUm28h$N8!|cSsN)B z*qQ=&PlHzA?d3WQe30ntphjPCN5-)@Vf!4!wK)pv5W)8qo;~YK;^W)Q`6Tl8_^Lg| z&aY(py7lm@9wYn$HsfLEohD*X9u`=IXA-|~W?Ea`t%fH_A6ZT=^8kd=zmc<1Yo=~{ z^rBO+<|5oD=LdY#CtZT_T_cvbbF?xfTA z?6&7>Ap&~x-?VIB{2C2D0wN{;Z`37dAriwN5D>5zxbX{%>K;My`{TmJ1fOW9I+gJK z5oI1xdwOnqUJU*p4&X)iL4H0Q2@mD>S0W(zB_~%=T>dxJf#5DA)2k5znAm^F5rlq` z6M_*3EFHsif+OS$miAY!20^T5kjX3xOWHKf`}IFigNX39$rPmZEtT+*?P%NnMa}_n zxcNg+veBX;{Oe^zxaU8SEZ{YtPj%^M;6ikdfP#L4u6a9<2WbBN79-p#vzU8aT&@AC zhAoK+e*2KP_I+A9rQ4DNlZ-=KLtyWv1UTaCjMt>*~_-IwpLk_cZc` z28+3EQMX+0f@ImDs%8u-9|br$-$Eop0s#piQNeF|%;j0_Bt2TgDM|h#82sU_Ahb%N zNYd?hotNvJChIV|{7RZ#jF4-ocBC`djn6EH*s=8MQ5<8}#*8Lg<`;uIUv{XGM*IH7 zr6VBhUM=I}JE=WwYszlISZyIrI{fTpENOl9s3ja-OY|ZR{rl6~#pS<}T?h#O#{*uE z`#(HBgb>9jg@nIW;s;NH@J`@0oOc=Vm+hvwOvMao(>(fh%MJOdUJ(8EtQbn5&M!yk zoq&9Y66mO^=x}D<_iOtFjS6}*3)HE}DfQ|a6frXSgmza#*Cq%Q_x-Z&k2_+=-`Q`A3T#6cE*g6Cl&M5ul z+V3ASg>J+;>g*P>BA)#Sc@RP_T~;avX!@W!XYOwFx@~X*#w_`FUjxzoL91GACCIpe zv}bh{Q}uQO=o%VLdV6pE2?G4b0^_ya^}Xdz;m|Pxy3M-q2{DIrRdmhXl3&!?Tk8&G zpM}Q%@>(j+swW!NzLi!pwvg!ctj^GKeHr+D?ugC={b4gY3n4XLu<=2yDoBrichA?{#8p8;!`<5 zCY!kmn_Wc+ZMwfjRX|7W3DB3q(+3L+JSR++3KUYBgfB8=QRTpO7viVlw-7@)lm~F` zzD#kEAd*xkBS55*nXoyZpNSp}sBXvh+kHx!Z#?nXbed%|72zg<2aE`H3N`uRH?Ss% z_7!qRKd-VYS+(&WU-HbMUpaDu=V&lFZSt{Mj-V(%bo0)~7tW;|UjS_`Vc$|oG)%aD z2>6qIdXgGYiAe zx_Tkn@x<6t31u_{eLds$7bb)*fq&*2bsbmQjWfM`tK^b|fEX2CYvZf5!QWF;h^hA% zw3{30;QHaD3Q%Kdm$Rwz4n&i`ZViJ{^g5RGwSOg{UTf{pM{C&DvrCwkm=`GdGQO_5 zQIF`CEc*)@Q^{mE7QsYZi0rSsSBXRwG%?q4&3X&CO)>7V9e6Ap^mD`~zNPr}N6M+u z)tavRmE^o`W^FmqEvh($mY1UoD(zb~-M;o61#~!P!5>!S7SS+`t&#nFd)?7b6C4W1 zPdTl1n{r1Y$HlW;&=OoMCWR3}OSWeqnc=FI&M)rF>-qrik^>Ghm&M9YTduWlix78i z%)s4_Uk~T3)@2{$`ii3j{bk>OaMZ6UxCm}cBRn_a6yiF(o;ApVmbFEeS$g6z{w%AT zE~4(s?q_Q4p8*6<04DZQY0Xdq)_PX{VqZ$a^oqT#yHr}oh*cis>~Y<8jH_9wrd%r_ zq1VsXoFB!drOPyB&_2S^Q6w<`=Cu}HR%?}u`G6G}-zgJv(h2)@Ld!AIBj-6;ZqHB` z4cDzv)c*9$Y|Ng$(?PbNC}buJM1i*oc-PYN%|woz^wsFZU7r_)3nDoRms*_`E3 zqGa^d@n)C8B8La=^J(%qGP$`7?l6f7{*w+wzz;#kix(mMBjEolVv{^Yscq2j=YrC#Hk3?;CcXJcbCaubtI>h#fc`5YQ+@L_n; zY-;F$2uWq2!)WJYV+&_);An;|(je`Fg#~BY)UX)Uq}-XX~n}*TOeIqP~w$VmD;13q31JHn_4h zcpt(o1aPs)rd*R%WX(@iIv*aJtQ7R7injiJpHT9_hj?T*R2t7ua1Bis^8;)D{krHc zbCL&jyd%pke~(Ux7HJRGnv=A3G!f*ugXMVYMeR8Y_VLpxA79~E*^qt_oDr#B_?Dm6 z9wCmrnqoXuchB~yi0@w6kgGvCchPG$PTp^;1{!aUSUD>B_G;x??jHD0N0MJv)&3~o z;k<(v3SO5PdCHhaR}jxTo07PO{7KuA7h(&|9Ae%?JbOy_oK!l`bvl2yRQ4HZ)4Ag|9gjD_%&lJpEl2c~&wDCwChfJ& zBitcC#60?JGIhAz&Xm_(z_|ziY6x3}#}MH$?(Pl^5~8`3+bADoXRH)B*v*afF!nDu z_f|mI(&}F-$G$=(za6^RRdxEoz?f%j@@1`PmF1FXP9O}+Z?7XtB3Vk~5!m4SvTL}V zBZcBU8>cz>6ZE#C(NYUc7=?EnslhbtwL-wzi zXx(yi>O#Fpo&hUql$1C4X(BtV<5~1pPPfEH`rzTk9wa>UlTwk7f6Yl}<}&|%&wJIYE zW4t<|nax-I+8Td$=P8g_37#IWpk-h~KTqD9k#=^z1pI>~99e6pf|^64eQhNT+sKL$ z6mO9v<~zkBfwvGyz%QXYQ50KO17rOaXvk(kUXE#9eeGs6i}Ue zSklpTl-|hP&aD-#VP|;!nQE9qRGEwL!7ETJqr4X+6hiRb`><*;T26R;k)|T;maR%G zP=zx=Wj)t*^}FL^j{f^+_XR(KhBIORs}Fsnnc}7kdLR?GOS0tPWj&V*o(F-7_Day$ zTycS3soo{50`g!vz1gGR1^spI_rgA-n3!zuh*1V-2FRu6=Y>CJ6T$u2XWiX zAGxKfW=lywTHNFiH9MZU3VMXLKJC zt!B?uO07A>EZlJ(>Gj4SylBhsWLlbmpW7c!PvWWwGI$7LT^<@9j!hi5?y+^ttpcrK ztP76&Uy5Gt%y$ZSXoypRSRFA{c|Ts0{c!_{Jf(_M{_di5?ud zce4A)P{h}#yi$*u!5LkVJ-qBRooyxbZFW>|^AFrg@`oK$h9NI%B7iSr<(pXnnirfn zP77JPIU6Udtd`pUI^UeI{Q)Tj&;wV-O-qPr2YRC+!YNuvzE?louQQ&oY$4si1r5Vx z4x|3ewO=ys+JEz^lW$+UiN|FB8nmz7-7~jzgF|P!Hii!F-o1Mt&3eK8sUy|B{PKBB z`O(F;aK_-1)trJ(UC_a_kaw3zXu0>X&({Rdk}yGT4LV_BeWhX_v`LGDwo*{}fq?HU zXIVN-ZxyW0G9O<422mY=I+?>Fen|=-r7AD%1G**9dU!jo30laZjYRGZ8&zAihcUK& z!o)GYfj2qwUs_kbCGw*nCGtafCBN!!mfdt*n^2NSYR~8%-rAvrKf=={`9fd%K9INQ z&}FLGmurg-(ckx1zL}s%tobsd>aU?N3{*Pz>#&j?%0YR1P1Rt+;pCDi-W2#I z&w|N#)~krEx3=dRU7}D#8+;XGHSEUpz(}0rkM!r=dG3Gye$Vxr8+G!I)_6K6ztn;_ zBsCQMMx;`ho|_I1W-j@4n?Pki#@(xtS5uqV&KJputIrR}c?Yg`9QCbGF^MJP6w2XdGQUq)3?w5Y_j$X51RP>P7dGcxD28yExzj(q@jP z`s#X!0{G?L?5c8&HSM4&t1A?=);Td;M#ba&7&5edWE^Gx1!KLvT;g=SxTiA(D z)YR6$4Wg z7c4Jmr0Y0WhEK0{MHtDxIZ{Oyr?H3~m25xL zT|O9rFc(@arg|;1y6i{Soi#a=^SM^Tw5KJkh;+pb;w?qKYN~6=xA+So5QAR7?hROF z8Q}|<&v2UJYINOT4}&t7&88Ad6dH=aEJ0;o7*pMuRWvRH*@DG#{&O|P>&FgiuzrzH z^x5RfN>$Uh=sFCS&?D;o7UcRtTXpZ568)EP|AR-`k%RFghTU+LfpJ#}RZtWP%2ng6 zQ_ImAvTlbtYJ`H{>j3pBYNX^Cl&A6YQAE8yG8Z~VNiKvAnX74i*UHN>zy_$=r-@VyZ`s>;Yl@Ih{36?69}3~ z&Oer7;-NUd?5kuLH@D|e217AF&W@y8E zN*aBS8fo@{b_0l~+%3e)u>en!K~0TBFZq~imQGvT$6~eIn-U*^E($#?ENnd=iNaI- ze?Al*zVW{w%7~AT2Wmp&8mRmz;r~5sF$l3Zzor%@V$L=mA`25z6rbA{Y&cG0OEmSD zB$y7-_^~M)Q(~N4*F#X8hJf{KJQ*+2-`Ux@VI0ZTeuQ)7>eW@d(QF0!b16D%Y83Mh z5mtllk5woZMA!9gLG7f21ZZ&n05;K;AnG}KMv&w+0*{3qcn7=jRbt|dDfcNd?w27` zckbTp-v}X{!!jQ~Y_nTz4FyS=aW!PlfQ{lu;0J$L%le(;;5JqdNFf05WJw z)?)-(E=}yF(C2ZyE{>qTaw89sr1?;V6#I`ScJ^gufvTP!jqyOH?5)K7x1gPHt@L?K zQ50xSAMc9TVtx}8gj2ydmG|~8Xca%aQLjxMFZ<_1+cMxf6rpRC$XVzDwHvkqJY*$f zBxk*+56A)z0JRJ3is8eysIagGR!juf(wyG1uIVYS^0HZ3Y;$E3A> z8k_R_mBFV*y7KMKquHN73r&%|@%LBh01W^(Yr`ABpXz;aotU`gq#D1AnJv|d8-9Nf z;VIQzz=w6M_wUiXef9}eXkHCSbHa<8i%l)X8*YB^|NGJ>`{))nDXcZC%1XR^aOevJ z3w$>lL6b(ODo}qYgo2g|NcGDi)sA=XsLwfjOHI|L*ts=gBz$hvi~r|ZvWpEJXbDXNuFRH9pOk4q)VyJiAoPu#7qOg$);4YT^%$$ zKRZE8n6aBMtz-iol0{MAI1S`?tpHyuK70eTJj@%?HY*U4F;M&;S4u>;a7*e-*YG*z zhV4w4?cPcuP1-OS+@qg1IPm!qU%PlosszqCvTW^(!6R9nKo*}fA$}lR;#Ft-noUwd zqMtFXurQ?LqHBB5Xm6~>iDRX%@|luS#=Cc4*a?@jF>tSjScm{o6nP-?5ecwoJG_uu zkkeMZAq0K!MI9`Lya)E-`QveNB)jfiZY9K5CvJV2cA@PwK++?ClG+7v5s96Sad~NrBU`EgA^Pb(IueW9$Tdz(Dq|teQBb5gCaH2SAb1N2j>p7 zkNg4jGqVh--m

1zuv8yQ^Ks!ootSWN18Em{Kt2OYVB@i~~tnMdiBj)hk!hCsGJ7 zMFMYJk#YaPee0H2T`3^-@wP@%S4)X*_4uQAgB|;iZ_G)f%yh@Kx$>NMoF>(*9l$?L z-jFDdnCQB+8fIuZ!|11&a^*cxzU45PDfZ{CL5&{w;~}`w8yC4#ga*4`)7|#HEU6Y zcPUm!kmMU43kZ0a(G?XHV_KV(R4>R9(g`S8NQy?XZXz6Yx%`U(4 zE{B#|nZMyWNP7Ljl*^>CjHafo1gAslp#4vkUTy|xR1Y294t7k)yDOh`=xPE^+&Vi4 zhf*2&61})BA45GkW(0+doLqyMFZT5YNGU%nOMdLO79xfL(H~)%Kk!hC&J`liI#VMG zw>4is=}?*vr{|i9C`z6@+dcle*#a<4=(xMa@6DU}8051Q{x<1GUtFb}zznoReI0eS zxlc&oHveolh$X$3ZG@ktw(n!H@AX4x3g%gDXyRJKLO$MuR)o#(XMoK?+r zn0dI}h%NK7P{q}^@UV-4`d-M~6kP(IYxzd$#ApJjm)MY6^Fg9Uvbgg5q6$e!NT{mD ze*fIzM3QghkP*6yxPX8_E0qdKFFGnv7}7D8PULReoN?akT0f6rHTYPTPl_3WUa#get2uzVKQq>u=$h2-Tb3R=*#m()j?U&k~n zz{dn)F^fq^5o<(+x~>~Y4;~{DAeO)JX2tx)tm=T`iuO7SPb9E3W>me(RMu27yv#D{ z>Lk_eqPK`pxP?v*DZ6FxoOkY*AOSIwH$n*Ax8?%wpJ|DB9l(Ut#c{}Dy=h`N9&9vI zRNRolrlSNM(hn}(hgviW3OG1CG>&@v_L>a8 zk68@{;YWM@E5*MbbgAOd_Ep*kpta!&G&wz$?MaAj_IX;^qO@uru` zJsR&5sR;D*>)U8~Cliv8AoW<|9Q>Jyc+rOIG}_`62nA`nV#jh4$^>r_{rIJ%E~yE9 zrQPaLA;cm`k3)~(I)@;>Yu=VgwsgEgfXEr_%_`9l#*d&W+7hyz9#Ker51GK@M$o6L zu922419g2l-B zk9+s~4!*!Xgpu@ctjut{kZ3I-MSG%SywZI`SJjY>kUIo~GwPC#JalnhgLNTi3*fY6SP7$p}|OY`DPW2vZFbbY@G#uBO=aDN4I zJ>^151mi-Er_q;OAzeFbXb>~ENLikn{lOmKs$DE~v$mi6F^2M$uX9eoSKXUNou9U;7aFY- zo=XO-j)k~lQ-42lv3|)cYEJLA`BId~RhM}-%}f;a*gi{q1$?jtNwOnzrb7yU9>Nkd zhyZvS#`0^Ql)u}j2nQN`E54HhzShWuHghsMC3~7W$JgrTnc@>jg>QG8D~{5cY568S z$RPY>bwE@n6ll%?K+ovvFfb+*dS4K_2EMp3^z_nvQ?mfu&Qhv$L18PmvwR z`Tg*ao=Bmv9zElkNDbVYc}hdV>wb+r#$bzQg!LRpf&&_LZK#+Mc1`R%AU9lJPu8d2 zpmj>5UvjSoedo0_<9_Z_wA9pvT!3L5=ZV9|j99b+ibUB%%>&5Ne|s$vS>UnSniCHi*#(Y>M2qe0-6HX<1lgtH6w2#{M&-Cn$FsBe^7T<7<{H?2UN1ZkgAO z+mfa<>+_CiZDL6+A5<7VHChOE5~_hN+CqXi=GC0^ds3Tp$%>1(Td)$RPiPdH_t)Ro zpopOY5dBQ!dDE<%a#4U&nkt#N7U}l!hX%xe%c$`seg}BGN>VQi-T%VTk+EWf8hE&& zWWJM+I@E3PO-m~cF1s5i^lWw2bJ$xQq^3%T(LJf1C4b;E3JuZ>8k&Tpq~O)G!+Ljx z_9moU?0;b*+4`#qn_2$tU9S$h2Z!fd$-svhRzjT%-)8*BG%&`VZg=BWZb0`dl2-P$ z_@FC?&-u`nj~-QI!YdS*`{vzLV$dTBu3h9KRFO+09$z)IyvRfF_G0%v5suWcUz-9iZZKHTaT) z_&<0U_^I?d_!~*#v4AE2QO)vBPeKQSa^ zXqdJ8$pa|q4d-6l;vY4A^5jXY!Z(U0++}P`n+z$01*6r+nWk(ftnR=KHJ2@GxC*P(Y6u|7h|WI z`vqfyx+Xu35_p^oFxCgY*S;pp-4%Myhr+S(~*bO2}I!MIyMgPH=THR;iYXy`Lh z9TLon0k0N#)@Rbal-K1%K(6BTvYwl*Aucr+SKQR{($d#M-Iuq4jYTuAaD%(raXoLv zJO3caj%7A;hjuc$eq<$nu-O{&qQMn_m>;YYZh&0{d#AJyl^%*a9Uam(L!A_C(6~)+ z2(;w@(ey-Id~UKB(R~i2KbQ{d7C>qV|IQJCT{MCskhBLbzGN%%yJ5maLQeB1G=b;h zH;!neP94m~TuK*D$r6hnF+1GrL~3vEhKwWwx$k(_2WayuYygVw3_y`^rN(tK%m_pr za$fa3_Czvgdx<^xlA31ef!B6M4rE2i0TX6w-+y4*!zd4B*^O%%%X!jD-Y|(-?(1D8 zlTLlL$Ds3VAJ7)2m5MEVp=B?NVD@R+9Teh!87Y_n;wd2Z5u%xvbYB31N=5dCK#Wuu z^`q$o`&qsXAtEbi`mowL^2}yoCn{tI4HV-gUk{Tf9hUPH*3TE~ATS5jVn4S%l6t=V zd0%dxZ5vl5?CZN-%;aD6kIGD4r7M_UJ|-v+0|Nnf)`ImPG|(NJip1yxw>oZs=F`uzRo757Db!jE40SeXZ@t)B|#+v9yRVG6KD65X)o! z0fM<3CT(=&FvzpRghkEA&65IA22&!E`o6_|z;YICOoQ~Qo}S*^{(`hA1yJ)x%%DD4 z8|j&s(K4aB7Z0Jzy4rBf@PCyrW-lWztA@7BtY96X zZ-S)8GvF^zK4~a>_rqPnwwoD8o#yOiIA%sP;K4O%9xh@>x+(Sasp~=@2MSpxr4~i| z&?g{6c)hwE#6Wm}c+!ZI213|@gaS=+sucl>#j#5Au`7WuZmul5?7t*3E{yvNg50m8 zBH*KOF+~H^2Yd|EP9pa`1DDxX!bBzy)jGz}O&;92W7y!0frL9a%ZEpU^Zfa9lmbjG zsoUr(?z`G=Vrh|5nZ#|TD=*x@dua$1^I9!S1mHe@lz!3YdiNOj1u``)Z4f!1=B&qf z(`|c9Ow87%=u5*){a}>ef?Y?)M{4CjFgcdiGdgOsN7Ys9*=DHH2pM^}Jrx&xanv?r zbfbeF2S>BqKZ8E78xh;%Un5>96e6zkPX;Vt4 zdr=pDFan^usc`JnnLI#rAP%f1-O>L3{;WbJE(bSJM09kqzR5d8)Z3OoLfrjZ@cWJX zc`J*6XIZ#lh4C%g+~{3V_Ok`0J>Rd*zntauEvs(l$Z$DPuyDm$G0?)ydvDz z65d0D0zz*&cU2DVLos;RSZ!zCyLB^+N*ZLkr!pa*r^&0mFgDJaWH8>D?;mJS{gLj2 zxS&}YQP;-~z6x%DlQ9395Qr?v5Pd4QO-%&d9$aiWxtns;86C$29yipATV^t$+BNFb zZ`{gG#9_Vv_#yJWc5W`7ig=#xLqq9Y2b`$Lirs_eq2GbS-wP(`Qj#n* z{-X2nVgI3J&5ood^+z7Ng;V__=!e9Mv!b}s2pX3U09@MU^XOaxL)%J9*i4Hsc~qnWkh&6tA9t}xh*|woieqz-vb?4 zJux0D3%WH#Z(-%ir-<7gZD=|-fqpWz4fjZIYWOcKyxN>Brvg4mOBk9@us>Ox45~q@ zQ3*06Z4B!C-kP1Iu0R{h{@a_Ggt*?#=Qw@%t5LLg{aD0U;L7-o^_y!!Xx}F}02|ML zJz(JOMvhs;2JjufrZ(9k;36?SL2AXlS@~tFlXx6Zf<97!%6@rl1?!N%VL2>>cjBom zLUCrBvh7gKnSJz$Sl{!B!3gNb$b9>k+xoW{Oi20o*JJCVVEyryRu^S-% zKC2n)CMM47o%t53P=33GfS7bh2t)_t?_D-5vC=<9%qDR{y(|{Rw!P<07n5g}&rf<+ zXfAc^&26`Q6ZvKxsBp`P#y>%+SF;?4kUvI?`!3dR%`ozW<6c#~y)0IgG5Q!pJP z^NicopaX2fw*|R#gx{^`Syq195y0g>KpPr0c;ocz)ry4rODMNT<9zwKg>yMeze%$& za0E8BGq@!8MMX3<<0Y*GnYGG++r0Ak`hT7bqEdZb z`-|nt%q)|wM9)TyN$b?A#Mp!CaW$>OqtQY%FT}OMV*Mr`WtZ{}Q$4zVx-?);z$MyP zp={>$t2O^qJyl=RW;<)q_ZSkMPJ1V58BOEIJ?kn4c-tB-WgB+8%M**ph705neNNZg zk>M8%{kd$Bpq2l%)UIMaNp?{YN5}F>GZ|o*Oe~&SA^RhL#k~v-Q;amuc3; ztkPkVU`y`S+m7`YLrEG7ULUMy8t%!Jq{hWs6R$?aob2_mUQa4o)CPbYcsg(@cb+A} zJw`sEaJc`ainRPMek23Dd`NiD>N?t3vf)eLK|_^Q(XYRb`lrH+op^+KJ_j9z&8Ia6 zsBwTF@Y*g5xy^?=g>EYVIE}iO?(t|1_GO0lqaiD0fvP##Pg>kZ8>11MEH{&`{bLF= z>_s@JbZ@MQck16beLzKqY4%J?TlCJ2n&+!EgL3GvU`chg1m&P_ z?B~r`ZR_1+rZ(mOfb-yGN~(l6?8OS5o#Qa%{+!VP{>aAnk?5jZJCA($Zq&Ssd?;#7 z^OH!_`cc;Bs+I~g(nBGO;1mrhd8jO7oMM8^n!9vpuN3-*+UcuQz^St74J4-EUJpk1 zvkOL1YTtD+=$cY6HVvmc&H54LBC3pePWJojTE!tLErXr65A=&%^e znfgx{|ND)!^5hkDH*I+~s&!C+GqeApJUWx|`A=-(XAO3l(@AAPp2so8keiJKwvhkA z3W5D?f5mS8WBg5CY?JG&my<9DcT11sU5B&1jeobp(1RM&*c?RKEJOxIzv5JI;0)b_ zcopIzUyCMqz|;x_%qF3KZQuhD>XZ1v2eVB$Ds;4TMl30;{kfCE(GlqwOyxYkFg*iF z-!J1~TBT$AhhrnNLu%M()RqvTKRRIwUxcaVp|8$J?68?cr|wV0XqGe9o`tWV|8)@J z7J6oJ|GZ1eVQQfHpTT^&=X8EzSCTX&@- zBrvo;L`LEQPo-2LO-)!4Z=0C$j}ABdaxdocC5Zr6Tx@Svok@RR)OYLqHZF?u9RC@Y zuVT!JP(2FjA|WNspDN=_r+j@SFffn`W_t5R2n4JX!QIuQxo>vo6S~Aa*pFgRCIM*l zt@xQ^*rwabK5;nHs>4Q4BQ5cv(8VF;k%RfJ4?fn=_=gW}urlCPaq{7noB@1mVPhgo zp#to%m)swR4q6cVr`7HmHu+)X`Vg{MO0-4Wf zATGpfSIFem!BiHK_9}{l*du@YDpBAb4P2X`fexb+s1R#sn5gu+;r~)oj`$+m-#Sus zZPPm9-XOfS^O){cm-@{dUoeymgcd6taobNk_?ck+v->-USbh%51x(ZO<2sY# z(*IQ*eP!9JI;M@BU*e3qKe;1E-PzTpxRr{n+6Cg zaP{B?8w7a7#Ko1X*G|AO!GZqPjlPk9mRXn!BoByD+mZsJ3Q@z7?lTF)=eAenkRi=< z1t0z^wDbcvXLDsB1ys)7?tU5ofW`kdqH@ycB>B;>o#mx9{gc@p6y)EhMb2aEGjsbM zTR@Yg@BfF=bf!UT+9pA~AH10DA)+`&-p^6Fyp{DIk^c8(>yvKm>N_^=zLRKKFrWWgLRV%Q=fxn0XC7S{pjHsYz9)J;CVJXX9m`BAp=a~?ZR6tLW~^5&CN>rkd+;mX zLLpavf4SP3eB(9O%@9@3W+xVFqU+^%)PqJbWza#3T7~?!W^n}#jk|kaZ+8>6F<}9( z2XJshD#fT3zVeOxm#rcr!X`~S#ceg~uCS9w=ts|n>x_t`!RCE`u6TTRS880RMTJJd z;{pFQJA>%qBtiuMax``xc`*RH73FcK<%i0)hLp0 z2*d*A_tD@1Ok6&JW9^((wTE6;%}de5d{f0PU*~h>ry-!luD1x16vCT~3h#*Kt>ejz9VNq1o?d`&RH3 zn4zvGhOC|Uem)-sZ6#GReGU#BD{YUrX88R#2eYwu^%h@LW#0uzC>WhBGl(FZfsZlg zIW)F!F0HOMWYe>@pnOm!ma_eTa8;S!rYDveb!#5>1$v1L*j_Li7#Jupa&xP3E(3YU zWyS!vxTOiv{vK-y2)c5)j6q^4j|Ct#hfux%%T_r!^*^T zV3RiW9Aqy_OW%Yoqb>&iSw|q%DG{l>C#kd9`q%|JT+z$7b1n38^2@##-Bmm4n~w@b zP@I+8bk(o8z&2P)27Jnb&}EwL!fDyrm8}Tbt*3d`1-kSzqX5BOSuAK?&_AOCP(gF| z))4?1jwgeZCiH@(wV#<0x6anb48WBOSeJRN-=NYSds7QKS3*+K#&Oqz^9)OVgZH@? zlOJaI577#ee9O3~sIS&2nhAsFKlpW%TN#dCf?b_9x)gz|A)$g z7n*FgMiO&2G7}Lyc54!Ig3@aN+x!CDw02RKdT+>+WX!U^Tvep&_{mR;Q2{_10K!*I zwD@1YF?TA}ofG=@t)F_=+%zEl)h8uF$-O6dV&nTh z_E*HwK%`^@x$Lvtk#ZkeiqUf;%He;*@#EH|0w&qb(FW8nr!g5jB_n0g6|xy$BLo80fmXYd=EA$w!K z0DoB(oZjI{(+TRm43!is^(qCO@+b6wpg?>uP$BUnzegz*w2gw7XKUHg=;ORI4r;I; zuxlRPHM=n26kd|H{M?p9FDUFJeb8^RBh1FmKG6!=q$nO^SdEvw1aA4(BVepG)PcgS zWgZ$Dx-n&@OMB z)tB8_!Q_z{#N7d1)tM^6ji`9l*YWXjz=jAv($~-%F2JZ+Cxcq$$7CRKn`!xe9&&WLkUKUS zM}DNOK{zXUfiH4A_rav7?&m2f3mpF<(f3PJPjH@t zZ7f?tMXXp+pF$!rvldb@xn3YVcC|0Z*)sRTk5k7F2cr0dR@Hbm@eH|5&aliqP;w7C zGf)yxx8u=+jvhs&vMFAwPV6{~tHP}8Xf@w3W@s3^cc;E0T4wwV=^ znqiUG(a@AM3lUCbqj2icAq8La0Y0eIHz7RSV%Ncv2Q_QfcShdokjk*&t^SYqoY4AZ z6LLfhVn-7xz>h>IF{&xjpLcf!|a&F?j7|<^LkU6*R$Ueg*TOi*PUfbi$ zs>8qhg+u_P`JH&-Dj^uOKt;dXd)H z+sORl##%`n(O(C+1c;W32K7g_rSq3i4ytV%o_X!VEyTrPUF?~H+S2+TeY`H&W8)O zDYgax#|wgM^crfMXh3>CL`VPRv*Ouwt3%D1Tk6-3 zccGnG3xh-67N85k1h3QjxGHK(Ai3M8&E+LPGHf}WeMz#mIB7a;!kqH-N z3aQt~om{q&FZ{2KA(%fM=$`?))zKo|siI#(BYX=i5@=@K@Ve{HTyY)U#rr{lABSf1 zipM1zYe*co4g0;#I-4_Z;PG03>XVH;Y}8BJDDikwe4owm!Cc7)SyMJrr}pQdXUug? zo>Pi*lc4%i-)$+zdF0o+yaJSFu<^{Tryj8Pk62y58`Um+0SM{7{s=tAt&g0<(D2u( zpoD}p@AmSnvk)@}H9=kg=|6!UZCw?7%XYbVl8Kh$_ zq&^1yBcGcLZ)q7qr0}qque?B`mJs`*B-awzCW%*dc|+g^GbaD#gzmKVhd$#{ zzB1*beed0yWk%lniOHZuvv2D=1oQSC-_02F;bcHudzg~Iv-q>_3MV%>7{Y+6U}|4r zJq5H>h@=ur;I?7$`vfRK00Hkuc9YvE`E0fYUtzQx_5w|nikpz`y&s=|bsBWSuQDCT z0GO`-bsV`DFZexAN)l$l*VDMctK!{;t(H-LiGqf>N4@;(Tn;wiFDlR>+{2jMDY1qd zoHblPrX;`~QQJ2S_E{KE2#u%2KbtY$0vkXn4%i!D8QOgrR^VuB+y)O}svTjP1dHag z^#AyuhQNv%mFyB9i>y&^3tgh80)WYYsmWW9fKYi4i0d|t{6glm}C2jrCrf3g?=;gz|MhnW91z9_a zy7V1Y;uijW=I<3ZzyT2>NRzh$9jSmbHwow&smkdieD-+2eO4uacqMJ_Y0Lsb`o)EX zuq`yYFtEi%h0Y*43kV266{P_Y>CUeokR{A<1ov+F0KcZ8p}7F<@;~TvHS>Uv@F9+X zTyojH{oV{F%d3s8F<~GkF5PO0pv4GCH2;KtMJ)Ao-Y`h+2X6!S$b?{slB+Xy+%Q35 z8?m5UKSI>Bz|C8K`vLLTAAsT&j`JI18_)4Q9l9W^Z@s2 z@3vamVp(+aHk(m2MPVHLfJg6-oW@pyEA13_VcWL$c1dyZyEB1CVWix06MSU+t_t~n zAgkTPWd(F5_aK@vC$b_fDFS#vXyqjVBED>Ac9*3Ihc^h?*iwb;XK%LOy=%= zmZwiAR#^(bwau@`@gDDVL{NZlQ~x-hj9MGy+ zK19SNzaV2-qVWCmWtkT*UU%I77_j%6-*(<-K=-5iKyr+|?8!$*D&A27>a97#y;z(m zdu2)tF;JKW5gk>Q7vKaWxnTX~6##84XhaCs*3Au&r-o$dnzRj}0?|0*tnQLgeAM@2 zOVt4zJ7+Bxtw~WB1mAnN4a|MzIu7vM3 z%ngRo@!#xIEjle%mtA?w)o69{VZ!6}XSoWEECVTg@b$+HpvZX)e)fSzCj9=>SB`wM zr>^hlMta_;ITeh2c&FYMztVU!Wll=x5^@IkbWiohIZ+0UVKTmf)u(nuy!CL zcR<&)`9gs&quFcwmaeyHl`%%e)1=SYKpdKXUb}tYG-ReY@LIql%P!#Dw#JGw;7OT8 zah;q*novi+Atq}0sxG$uey@N6qk{LJUqyRu0~Mz;1i0E8$KD&k~_B*|)rkmvip|ZnUG#s<|Rn zPAqIp+40{?AJ2|Qm+icLdna=@5NjDYaQKPbHkB>7-@)fXjLvuWRhX$@HaqUAN`y@I z?-p%yzLHkoHko|v6I%#UnjprNAX++ZJno=?4m%5Z&NpAN>R|Vt&eH$Sz#qLpzm7vb z>=~U+&9Mzk<(v#XR6SxQMu^QdI5{6ROTLu%2FTWIgB zy}Wii_`WZj4b=ga0BP<835YXiv%uyA2V+{n8NYE`jw|e449Dy(KDt=f$fqGKPPn1X z_LBM|uTvg*2qh~kOdvTqxzOr@k}(03pm6R&uSR3+RQ`$M5so)OukO+M$#qs72ZB$O z3E%jeT=m0)ytGm7daosL(?KV=U$=^<4QqaR75FHd-@5-QZgt=j6}$KusFOz z>(_apDWmZ_Klc;}(s=*=Jt)~MGRyWhH_uxR#e5_nsG4mhA_EvGec~H-+ex>?(_YXK zbLt0_+Q52yhqJ;dxYyJ>wEj$a;*N;DeJQzE0YIyOztG1y1FnSu*|1q-umRxNMwtv_ zwI*+`WBRMn;*aeX#QTWxh=_8!6#JR~npvfIe;54@Zy<+he{;ieaBy(H;Wi$?4pB4pV}i55AqEQV=e2=!P!;`X+ESuI}W+ZF+3*V>H<|C~le=;!QS`ih2_A-#PU=>TS*yR6F^hng)~i>{;M`=_8+1ZHjZtNfNI?7 zZ^X=6uMZXP|MRE^I z~*JNplx>nbRu%_W9CL`wuU!ag|AqI-SOayYUWUGPWZ3Vz?6w44ukKoj)UAYki(rHFPMJjeEF(ENAai{m}U&rIobM)?d zfV6qTitO=tll#R#gKAm4!n~N(jft{9a(5kekuoM;VmVQIwpAx@n!Y+nE0?q?$d>+r z=Rk1D)Y$k@Nb{#Z`wA*!w^{M3gG1%ri>#~efcSD)~Qb&ax7|ry4-TatrqdW z2L4Yr-<)QTzsgVT?x;KPj(zUA&a^PlQ&LfZr9@eJ&Nyb1PJTDc?%b`r1dH>T{>Q}Ivl6VI(sk(;(t?_L)4FIRC0AQ_Z zYik27Fsl0D$!y8-@n$;yH2b?Gig|H3h5uT6^ASHAkPSYPukNC+Qp>DfGz|v`Mwv2Y zjBMiqjgoVjnm&dV%KQHa(%nE`qGebr1qB6)UlllBdZ0l_3Usd)6x@OI3C>q-!N`lV zx|Ia5W2eW>f2oq2$>NmBWGO<>SueYvo$tF1co-PgL66{_>3z_M`bWG;ie|O#OLun! zSl^N8kur;!8admvA2&z}0O2sCMRB!n%eXJWX>-yERDgpLzet)*gBb1H7x8xrOzL=hZtjrty1d@D4U+KK3gjgHGasI4T~iSLMrb$aZM(Y| z%s2bi9%kSBp}YgvDEh7c25m+>=O&J%51UEo=;^yeXRi4I4rgJ7li9#pYXQ%b9S{)% zc32=d4#$iIr*mWw1N#Th!W}o|K^{Ce_;-*}8?(a7V%=eM_~ZvTSz1-rR*uAv05a(_ zj|=s3;Smyo0;*o1=|CH1tDzj()4e5u%hsHFDZl~#5L9yT^71wpBd_@6f@bXDq}UkECg;>FP&bL+ZLez18$@i;txs~nF?I#fI>59{!8n>J$Vwk)Rt3F8 zxpRI-AeQ+y({`Kas018%V0b~aUyjU++Jc0mus2b1} zcA-KNJDs6y#=R2%Kmou||H*79N7CiW%#9WLTOcY<_|LzUTa1P4FDo>wRo+7>uAP;H zFZPSBHJp0ZsgEvCI(HrRUw6c48D7WonE(2a%CFG!m)&T2T3e$U%okYQ@l+S~bkoSh z6?mSGv`xeW8o567)puGzdz*LG=!jQwM!)UM#CG!GM;4BBjVkLIGMDM}Zz9{{Ev>h8 zntEkonV!^wR;0SIeJEe~x2m7F2YChM<>do%Ef%*Q$A1eYuDjE6vb*?h3j^`$h3W5S z8c{>i?t)BQMm$HrsbZ-w&8SR5&<}g4e;L5#nOrK=&O=07$4)=w0Inr!@zp#huyFO z{XifPjy6*&0-gGpGHm!&60n942=)^#OpFxmQ$Z>uU;X=D>Dbcd=2%=zu$GX1+{{3Q z`I?xzJ`GxNv89BfxVOD-ib`9LOP-tnM(#gPBbe+q$<}f0cj9_0R}t`W@bg{>VX;h2 z-=`x5EnuP{X;hL}>CJF8XWg3fumXX{n!&u>H=isEdfx+p#y<}^kwTZtUxsaV*qJh; zf}7t1B}l}q?0BZT@)m8=q6wkeI;z~VjqMGN(w|`y+bqet^}LaVd%k1GR3Yj!>?rIL zu+gyCxelZcVj4UkO1CrJ9rx6)IXk1p0>(UfR_7}~1uk>`8I=R0{s`?F@l|bKBH)k$ zz&4CXROE*C>4~%3YNEj*8aCKbh)>X6<^Z%g?bA2OxdGKG-C`o}>sL_F%I~!!wpv=8 z>-HmXW6|wS&C;P?BB3Aa(|?-p!MP+@7Y4`62AP2n2+6B*+?)JWrF41%-uIYLhT-=V zU9FeYW4B0<7szpn+wZj8ED!RgpXBei7j>z7hfDE2cI=W{bQh=pcN~?aC^7ppsQ>)& zf>Uc}7tf{V3F1qA@B~7{=hy9rX@^QChE?Jd+mRQCpIW_tPcest89adV|K1VW?71aS>(_dQg1n61MogqtdMjzBNI{$U~%(DT#gT^zJf8$Ijp4 zNSe%$tZZdlp~jR___sVLzay&WQqGnpTtWcaRgYv)f56gRNKa4Ckgsd|y4c=gTK#j8 z%u2G0oa;(OhKtU{DQ);;1(#2B9$v}3PAobe>$U?ECShJ;BN@Iv!0v%90=q&t83v!Z zGUtFz!qEx$^6WhsDlLxD#!2Q`aa)nIA|-5C$z9_^JhV}JgsL2Nq1Lz@vR^{Jkxw~J zYLKau;taip_UxDZu#K3eE3kVU^CCZ_CMWAIgmIb=uTIyLZG$2{Kz=S-1&Ew40L{U{ zm*B)?ouT~|7%Q(wh55)Z6A30@e*(ur>SLIR4N6^utJIN#Z@^m-fB#Z`z+(FCBQzua zZ9Y6YLnNx#Kc_6U&zE2FGp;i5z875GjKC5BhMbv@>o(`PU4NK$mhe_|*sQ<(*#&T> zjmEbVke+4<4oAu!5q1W0CWY5(J?UmxBHfhdTrh?jK1Dm_d4qgqA3 zT4Gh)g!o85L=(o=#cweZ_3Tei>ec?~;-ROx`ut`3%gR7442UqzohxZUMIpjEryt>U z*)SDc`>!5KYQ!~Zp;e31!JjMt;`Cm4u>!D6(%2a=HXDhpg5D~Og`r+N@g32%_`zE z7N`NT*92Q_v@beN64~dzSU#^0 zXJeY9Uoy6_h@&+sitfl#d9>G1SWH3MD$t{kzrs0PZ61qCF>qjeh}ZcwmBs5bQvZEb zAVv1@wAM7m*M{$$ZH(#h>>E-!f)iEgzQS+s24%EMRG4GVA=Wb0uA{Ar z;=zpe+%z?;j0)8o3-(h_i$X7mEOF{{0D=!57=1)I%t z=8@-KWh0{jETY2)1M8iHd;yz9c=D!FZo3ld-E@B0__4}z^?kNjv>8Pq z5;0)t5q0*{lRT#Gb;+Lmn$=lvN?>TwrSO*YhY5$DEwI<$b!tq4ra98VsXH^?4YQ)1 zCXT9H-tT(_7D#FazeVW5tiIdi_VQb1_`b53)f`cToa6n|X9JhY17}fprndC6r+cb& zFV!v*GO2CI2sJHE1Qt%U@ip*LntBNOrTKCgXK|P?;I6KDVdhXWmcE9f{l+2EO z$gRI`Q_1@Mo;v+l*S-+?xI>5w<1Vt8b&lIv)_a=hsZ&o%ihruo7IeuF-wT#uulbD` z(OFgOOsBopKFfYYT(dS^$;H&r+CFg*j}!Ln z`!ix9sXw11#9;B$vg)^FR(VFxl2j}fJf~tp=Dg7B)_0hTS(mBy7DcqHH$US3_*@nh zv*tCi6211b?-@9S*HFf%`KRBmfM3v;g<0IPrELBQOCDhJNXf6 zfz={i^n?;{xCA_3e26V01(%yR@9EkP#xqp{b$u@={3I;8>>G=^tOqgd&gZO9>?n%Z z+{Tf-oZ6)isFchXecmC>PW-7?^~EVJkFx8H#Bf;e>8zdz>D?40qD_f+GE*sC%x`Hh ze%rAq@#cv+uV!^qKo!Bw3U`HW(1xd*t|diV>77F~GH%nc>?;_VK9 zwKPYM{wIbLr2_7k7dfeOo0RfQI3@omt1^9RTa}G?Iz+D;vWdw(WtH#QH|o)+LSM_2 zyM?l*nU+{fDJ6{9r%VpF^p@MD6hE8Pl%&pC!RtmeVbi6y3NsR{oH}gfU-tGxSGJRf zjz=>%%d*2XD^&USJO!wX9qXuUP9M)1jX3mutal3>lU>x8<&;4O{+`(c8y*4o55w4- z86kVc^`;x=4`E~sjqdY1OP%Byp81+}M$*1XQS>ou#j`c5=V*aMWP-2k%D&KB37+pF zpXX1?>J^?_o{wq=nm1~!OpXUt%-J{{!wcaz?LLpa>709cL}k%}=z8f1BDZDl>MlxML6ZJ*I^3|egQHeKBl(}OL%_$@;~m6^2j%FS@5 zX}ZyKOV!xfCcgcSu0a1HSQdX$f2IEM-cah*jhbq0nHWszDKR_t*n?ogXpNV1^^HKy zDMYeu=pc5=%!*S_ww5yH{C6^cxpobMoF z1@0}pY9FDk@z;7cD1Kf@zoA~gvoxJ_soV7PBvAhLsfYyW%F=t*rOMCYsSJAeSBgPD z49S%yC#53iB+1jCY@Ep(JcS%Xdm%Cm*o`%>Xgk)%NG{!n)$?J{FH1|``1HLZR-e8ju0Ztg<@wc}*mp4jsx|h}!xpSL-1o7ESG=FnjC&AN| zz166Bt3mgC#d=rGYuEV!-c4NDiTdgVDk^b!if&dQ**{9z2z-&^$HFV3)Y}BE|DT{#6#JrnP%!&{=-|{K%IPw@9YGzAcxFZE&jF&c} zl8QxMsX6M`(tTlrNKD4Vs`AMYwurYk1;n#W#1F45#8NFB<<4xkuMg8gnv49RG3}eQ z`nO|`wPUPk+s2LK&T&cU9X?zzsVb2k>(WvOeRpYil6J0=6Jw!58 z?DkKk$MxpJ7f$2)x*mxj@TdbYDZ z|7EjjMhGPb*z#+mPS}^)O|h|cqo*!UmR3p#p9PwJ*2$kHafQuV&zv;%oDr?YV_FAq zYjhpHo6&VhnN2!rn|vN|Vp@Ulxwo~J;BV~Cy3X@-(tKqg-aBTGn|@5@RM`$YKW@(s zM?JN>siDiO61GuerWFUV$a^{PGHa)6b<6Q#H-{}US;s9WrAMDrPIJQg68Cyz@Rmb9 z?hkpAnPk1`DaQ>Gqp^pLu1Gz%SD*LpCn3iBM0K(+7PRWAfG^&KT=;QJHV0qh5OGX- zNS?e}?W%8SmQSY5dtlySW0W62mf&&|f=LMUnHOV|^X)WiMueQte$>+{`YQTkdey!= zQ>%Mh8Iz*-I~DZ$mqIK%;C1%0&oPP{9uK$;28PHW3v;60nJkgnAk_~N=u?p6b{Rie zqP|@<9I4WJ!Ct|N=hPG0PAE}!Gb3n>>Jv1T;)=X*`6a){08lXoVw+Aj9J>-CpRW&2fOO;fSk?zF{?`@}Y_ll~dz`c&P) zZ)d80-kV9X=7-AQbFI`m%d%j3bMKqm+#TAxncY&p#|B$emx5Z}Tx8b??{o=v}}E$?07_Zy6QtTN>9TIcz$5 zPr5sO)?zkK-4A}VlEwd!Jq11|d@q?kUwKs!N#nQh=)6cXZ%GT#L|A$c%N932eVBhc zg_bv*q6`rXPpEJfO3F4p%~_FHI-Q0O_4Uo^?i$J2y*cKX-GU+XfAlg@FsH)LU#nL} z^JPsxT!QVnuHDu~hxinQq_st8oE+b~HCL9Tf1I*doymv4w6$ih{()_?x;@uMQixvM zsY7KpHgBOcFJoan%QPlghfzxn@0bz48)lJA5vVkmhx071UPp7d;2!4~oPzE-Is$8g z)g*w2vhERqsb448^6uLZ}iLHhkK?)X-p?_#}KtXq4kXqZ-=UR+(O z9fARMLNeRBFYFwv8)YZcf8!1fO;i}lQ(y58g=YHVI!zX;f6+MvD8b+wn@lBH^>-sN zyKfZC_`?<68lkk`ycVJBdtIZn#IKJ|d2^5BG`q!NZgmtFt`2ow`466b=1sl{fmk2O zpksvFEEmPt=kE&~2qo!%ztA*lS&;1UhOMq#M+UCCs~;-9uL{R(T8rUhc&6G=U%eEY zVVQV$tPS47>Uj$}%gutg29gt_aDPZv8Qh{GxjW-`#jk!;ba<@xrzSnjR2LtDE9xkV zUU>bTlCfqA&oI2O`>?yP+e=!ttc@$6x+V$J#mk5GMR}cEIm4IDOOtK>`3#;$9^{J> zT#ryw6(&W6uy*UPFI8=`Pc(4fjr(Iv2_3P-wgqAPn-&mwh)8@HA|Q-;B}RbDC!yGO z3frI}cj?%iwMsu}OvN)XF`2EescT4_+ajn;>bxj?XirLDBZ0$Oyo%ul)=Jcv`(zif#Kl8{a%{D(f zf9q=>wm=YJ5JR({u1IxdC011^nja;m-`${sx6QQtPd4eB9a#GuU=1p{a?kGa`>8jP z9#@OhZe!Q{fX>g7`h-Jti*3Jpq|cTsyv*#pGDw8;21zQE?TEjXC$}Ib&;w;y8mvMf z$YY)-MW{7M^1GWBv9q-2l(;)&Q42?Iz$hJ#2(`T02jobL2b-BGjoC`5_3SYHD1%!% z^Dya{$(%pABQLQL;X3*vDH|D3mq|4R8fWJ{!fkdNE~-;jG8t&(ZZN6E!(h`9=#6Jx z0>pQU4c{q0(0hV-u}AMIw{lPQUdTwCLfid>HX)ZeokmUW}~eZCXL|`LveUGE*#p z;+4JFHw$QEB-Eer8Z@+dMeMW@aqVVr@ru@&1{cp>5Wc%VW2u6U`N2O;J=VgY+`0Cd z0f(L=YFg=ZX_$27aT~5|MMr)^k3CJk8WFGPc<=A+Kli6?yWzMf;g0;?rC*5mptZ?@EU81Ohpv zW?X*v<=M1Wp6$>8<_W*^hXC+QRA`f$SS+9 z)_r0ZevqExiHY<)A6NdMZfQa=ac1@5$q#5a4K>895YsdfVq zg&1@Sw+$Rp?`*U5K51X!Z3ySZ9*`5N>kk!WWPp^HP-RK+(E|`%a@nxigv?aycxqo9AgCL ztII<8r=>Hd$5VPaHFT#Z)&!!x$=kra3BUDyvwgT!?*7M~_+;0rsiu?TP zQp$42B~l2iG$bCzm<}p?2nE-zZSz8 zZm>fBL)(gc^WwqeSHX6NwkWU66!N?HRZtFrtTj=lV9(;`qwks(?+Kl~=g_l@_c%xI znSwIcyUNf~Xl30Mw%IDn(`roL>@F)k4Fy8=Q|q-Fx=oa)iRD%%BAel6A92AgxyOTw zE!O>Iqz{5E9##=OdpNZs(1Mk{z^!zRY;W zE0$~OZ|eo9n#RmL72gW~rFBPDoep^qm8!4q_RjsjZBuG2t7jFj0@x zp?5nqJDGTcb?}(8EnYGTO`RkgbIXMPXJ4_y(@d54S!o29F7;26q5t$|Z#*Kd95 z@pAb|_)6s5qtG*7XpD4i;YocShK1$56SJd!fjgeHMMZFySs)vjdlFRn)DTnAP)A0z z<7w}eYjx6MM~diIZ+`7pa+8ehxiracjbfITtzyG3#+2k>9W)qkKM*6Kn8^4fNv?wa z%JZ=b-Y4qD&sj(%7k3RQB+=7?NqI(I{?9?j<&!!c<9VOHH5=>nx^LPKrRMwwv_)3fJg}yxZE&1F*(aVotwlj#8+Z?c@{-KQ#ZIfD5sm=ebPwvJH_LR_O z1#`Mh{DAxEJ)hJo45C9%U2eEZ27l`{G{i9zWL}_&;YweSvMza=*mD_a!|lH#KKio} z;tO>NJ1WVgT20kIvnv$*+E*8s!UMCgs2e9{zj8u8$t%0-Mnu#4(Qdn}F9TGPy)k`e@ELE+H)RO8*)^}KY9G{n8Q-pD_#kN5 z!uR8|9pOD+@wb$k%v3iCeai*!F5DsI*fUeOu3*KtZ19t?>!JsJ693(ZoR>*&{Xp(? z$$j6XVA_7uYr-+|E+zTGi}4(ak5rrv97;lez!*CdQp5!4Cd?7fGg?kF*jlz)G@lHee>5fVG6C`f%AhP&tf=!_ZZ>Vy$8 zE=6wj0Cx02UGL|i;ND(Ka)7cVR&<4SKioF-=>-hR1; z0iCtfedkeZgHc#{jqbIAosm{@8)E0U`c&lj#*LNQ-IfCN%OCec#u`5QaHSDHoq2|u zER{5apQ9Yjhi+vYh=!uSTh?9mOgm>y1!`*U@s4-ju>ZKST|V&&vi8cHYDO?>n^(C_SH=epH2eyh&~yZ4%?9M*H* z{lgXV3!`|OMAf*-;=}FL`zKRDjJjO<@FnLd_m$+0a~71spNL{oL}xIq>UCa`{lwqe z?^pk}Iqm$_C+-y~_FVRZXEk4IA&9!^^=&L!qU7j2a{(86MZCMxxKzsWLfb#whq&W~ z*t64SmWAVW@5uEw+C9?I|58@g6nRcQKu>#T!fF+F>#(U$;7wh317^L=n}&NlYH#A5 z4D_yQjDHL~#eO*&!DJ_{={DOvyr1M>zE2@BB`x){*3dey(e8PsApezVj~Nf`)$9n- zP`YXJNse_rSYcIdtxm3h+ep|YFjhK}hE7!2q+dju&V|&-3#neFBN5Yh5a`_bmK|?&RifAX0R^;S2 zzyPO&;ZxGGVt072yB}qO@XTDJUO8qf-A|bN9m^G_Ta{KM(gDaOt^$B5T^Om6B+mX!6;53_*yxG?A5pAX!Qu-|RIkl|Q~ zeZk~QQm2?WCJ5&)g!a4G>^#HA>Ni+O0tx0C&{4qX*NPlBm)BHBUAz~=fbKzM&d{n z9P=Qw5QSkcNzW6;Hm=rPkC$I#&ikcEp6w@xk%@?$SwA`_3kj0*w-4LoYjxF}rQ=$| z_S=``f=_*|x5+84R!~hWfe)i3pl}1bO@jpmB5n&f2i}4+=^jZ#A%KUWpeBGLo(~az zW7o3!x{l~Wz~`?)J1BBVWP;W7H)3T`_^heYI8NTmg4pH8Yv=L~BYW&+7YW#)aQI3A z^b}H~dQg(+<(L}7&_hj<$F`)W~qTc zyZ~!Cvm&^$xu57i>oy%{80pSn+kcBTWqK%y-1m#aJ`pU*&;=#kB=rK7eE7+R)TE&E zwEtSiT3nkqVfm69z;waN?`cICDPZ9InMD5>AJ`zd<1dCqJP0xvf?-rRhc30Ej1}087OHUci zNAXFTf1pkNMmMu;{=WCs-0C|*HF6X8!D4qU06W#T_vss!Ixh!7*ACgn-Eewq*ITzI z$bo|K`{n{Mwa`A7&wfiMLsIvtY*J^Ai^x*a4x)kSD|})%0zCeTH}envm;e((%kjB? zOM7p5N^kb@d_MVv@Q2l9c)c2HU^Q~|&JW<6pT%d5 zmueA*3!S<$xQn#eYU_kA!PRSI@0*#l@C#-#m$A=Nf|1DNqYV9mBd|qL$+XdcYTpq| zd!TI)L6k|iW)5V>vDA%z8#~M$eQI3Oz19r*Qhab=ByQ=0P>*0kp@G?fyhLlyjfJ7# z*e&?&DhB@eYMZkGbcI(#Kyzp1lj9Jf*T!xsi_!d@>7D$E zx`3NnmQ;8758UK{R)Qcdvvp&BNA2hIJRJ3m*A_>C)x4~2LKc+Q=r2^BtJzA0E zzvhrRGl)vfy!7AZt|&Xz*BSAXHnTcr>D^aD^}>?aSSJ;vr`P;6^KkQn=u9zZujlBy zeEn<;H@bj`H$Yp(p{c;+=Xlc$WIqjrL)wtk3FcG=4o? z=te~YrPsns@2jQDPM1MBq_nN)3!*M3l;~gN)d39^udZDDl*w80ihH7O_=|?bO>CB? z^o|2Yd)lQLjeCVlLHDvJD}I!tL5n12Sypp7DW$nSO;c^Dgo@v1Pml&cUv<@cFQI13 zrb*N7*@!Wp)A^?cjcwxtPYA%V1}+rlQ6KFi6_#3@lJ_Uni0gB~toULa5vtQaig@p$GE`f`@$Wge^Ia=6?t)Q$=e zOR`^zPzuCq22#ssuEOr?H5;f&Ah&<2?zdJweArsoqo8CkzDry7$FVupFdB?wFVuHU z3H?nu{U!2hvlwiH{m4aF@7odYdnD_c!njMt&bba9XIjS{lJ&dx4uGsEdRR!*&S4bA z`lW=iB=j-qKg?GJa|i1!KwId^Y9Mp_@2}$o8FQeXN$D1shnw3k)x*WY4y(|R*DUdF z3{1n2R)MJQ=e2G5O{m3+_{KLZ7Uyv%4V_Eyy6>;Uscu3U4=nb~$i&Pd&<^pnNAMHEAy>bXz@_iKdp4 zxM2EieMP+t9DK-=edJHaArkeCsbSy-pko47LUe^Psjv-9^<~F*pIYSbH=+YU*>`#y zkq8JX>`1ZjjwwqR z`>8b2$v68&aiAX#S?z;Vw9yzUL&zsa6niR=@>h_pSQ zac$0xW%AJrqZX!2)Y%1N)wQSUtGQbA`Z|*JniXQ4k0IN|rWUyWF6&LQOIT$@->4C{ zOD4vYN5?JcE+x7)$G&F@&7xcYQrI-CmAxwCm{BvG(aVH~yEq&jcr) zJBe>R{?q^h7MV0%xvM4~zdEhv-%c?Yu5asoGB~{xLvV;ZX{B742!Qr!irY!VU$14i z6zm*bjfhG-4-N=HKv+D!I*nS5TonAnQu$hRTyqGs^EazkA0Q{Ys7^4YdBSt5@7&y6 zxhWi7^;J?hBS9Z(j9|1f(#vcCHtkmJy@P8=KR$cPRamI(a^Iay%49>c9zM>QC{QcN z91HGRS|r>Fv}wQnk+FxzasD#h>sf)W<;k(ko`4UC7kWvNwp;liEF%c;SNxW`HSyGw zZ4vyLy-~xcpzgEaA@BiqB%SWKN{-%C+u2i@a-J-4m|$Q3R`-w@z_>OVAT|iLLDp)r zbRE-KgPyU@HzgT6YP!r$J_eC>TnlZZv#@5Lt?uo4lyEkjfpX4A&Kj;oK}`(EaA{3Y z6yd^||MK}-?li^5Rw1KPF?Cv4?xTOYy0>IlW)197JVHoCB+Emp2IG0PxRCree@z$j z^HX&^_j=nb?(6B!P9ASx7EtMtNN37hCI?TzG{cjtB~5>o61Bf#vL|kZGJC9$gr-oj z!?w#z&36NS&3LV7ckR>4gS0ngY#8hgqMSWCHCT`V+q^hBX*8vfPF)dUsTkw5t2|z% z93lIyM3x7GuWh*@{UxCp>UQ=Wc?6*3W z4gZcULMtz`7?Cn`7|RqLi>FC*S7+wv-i)1%&@X`0Fvx_e0&!zg)6$ah8Eh0g&IQ1+ zBT8TVpYy(H8izyx()+nHWM>`w1V_1V9l8&dwAy{Pb8MI;zO9ta~ zx7`-U%pW*lDX)ZN6H4rQ0yo0)Tek+xUc1Fnnu}Q)C}Wi8QxXBDlbEh7EvfU=gocJD zWvVJcsIi$*fV(Wn(F|mI(quhYN43+V;)95Ms+kn)wN#^EiX!W>w&SwC+qd$b(}Gpj zH{Xu67ya?=Vuu8^X7B<#4%=POjgf}-M)Qj4Z#+QY^tr`IGi=1Pnas_Lv#xWV!Ao5kZPhK|bI1_mwW|(i&^<2h;K7opHZ=wKan{(l;lUL<512VhVn$VX7CtM{ zxyEuHo{jyjsX!Pvc`q}{q>OnE`;f}40LX)La-Jrp4qzoDO(4Y`lR_AJE9U%VFBDnP zr1KJ+4Q8czbgPB{SVn9vxQS|@-HHJG_5-YFQY|87>7$1tt{i zNHFfPvV`yawRK|JIr9)pv0UOfo?oUQZzieM*VXz@bgzh2$)DYkri4IgS0ov@7rUT&V`7f!lHgVI*w#_t3E zkrrI0|0B`Pi~cT7b>f9;eiss&NUcT1Y1ptCTow7SI3U3B`yF$$s(Zo|%XqK9Etb|> zZB-oq=FY%03tNYOWna$|$t%&1DoP}70v-*zj@;Oa>^MjA$0Is-fq)dW)1ega(K3xJp7&i;0hb2)Vd~q7nozWlg$~>7 zREql;0eUlxx1j}$Y<-f;NKemC2TCb6pQqmyetnQ_YOoC{*GIq0nTtf%&n9C38QE4K z^hJv6X^@5kR2~66`erE1jg1SUb8MY&4&=k*7JiVZ_|NNUQUL%xpW48#q1V=h$u<>m z=O=|=FdT@E4+8lF>aa;)zUP=gbLwoXhH$oJfb%8%j?RCO_+S6pYm-$gDl z)BGo>13uwar0jQ24vtGdl5oBio|!0g%&>c$sg6V6qN3BJsXZ&Up9HTMb~rb|f*Yy% zHYMga>6|q}Sf@8?c%xb6b&kz4Z2kwBjz!EL;$%;23isoL?_>!ngVs9nX3DW<8t|u@vvxAh5LM7Z>>rAx?hfd?h~q_fn3cg z>MYrwuPM?L&rC&BskWNrr_Vj+7qk)^-uQfCVf;Fv7-mG28$gPB-qkoj%j%FAMIo32 z<5GEdprZVX%x~7&$dY|2->cGoAos5qwKjTL_tNe$VIF~vW8ks6$5-Eie&%~=2THpak|VuAfPx+0+?7y z!RuPv$@LX;-)t^rRG>V>P*}|~@$Nx{ao42i2^f_Uej(Q@v_qgb)y#5}S|i4_I{7GG zqHtBtx`USLdIaCpLtBe2Gaw}RC2h6T6|K1x0a39IKT&A{|8gGWv2k?g^HF%{`8f5u z837e+${1+j+u-QY%>_#_=!e%FI&d4(0aR>od0ALk06lM5T)#v_L|`wrwzdj8n%K*+ zbA4)}LiV8>CVRw0_WL| za^lFr$`177(5e$OE1;DgQ&~*)3+r}v3|-BDipBsA@{vYyIiw&WoaQ_qagoX@ujo^u zQSLq^-fx{-7kSt$xG5$Q;5>Q3zJH;a#H}tbp%AO!g)Mfn3!J?a>nnpZYqW^RUE@ zx6F2ocL=}uUyg?9I+N|_kP(UYu)#!AVxC?NO%L6u&BV%e-#->^drQ+Q=ILAO{hiQ- zP(RRbVAbhCt(5+zhGV%nNm6Z(Cc4UHgP(I5+%8kVkS;{_)Njn&$i5-o7?B5Y-Sh*&!duq~X)-^% zfh-47P$ir_KY1Zk3dX3XrflQM4c7FE@-tzQlNt?uLN5t;E-3A;!yHp>jcH{|*l(=E z%oU)^8y4il4DZSW&0=m6?VyAbP6_mDbZ~OIzrN1B1i-CSt3@S#4X70^ zETqUil{zTy`e`3kLX5PB{Q9{E>FPT*_ofV`z&PzZ2q)-|D!HG|vWdDvw5*?W_?Pz$T)P1IMa3F7(A z{MJ5Yp2v0qdU`YTgBd1W)6mdsud8JFbKvi45mVZrm(983l6wThD{vLer{g*~LcEHJ zG7cf5kwXKKBQ1Ny9Q(W zM3xrirrC(b=qJ_B4lvDhhi;LQF zZU3){roA*2x18|$+n&aXQlLK;Ht2oB;)|&Y^#4`+h>eZ@y-ciXDljdCereDUkhraS za@H$K4k|Du2)o$2kPV@|S(qZctU|?&;Ts_@LD$B$lOsDJaoHA2tU`9@WY=G1eU>>M zTR#m}MC<7~b=GXA+90tRmjlLDn1gmqRk7uHhI^h8$2A$tvI^s?%{L zD{gk+i9tTB&`$pjPtP(#Mp0v5r7c+IXZ=%Fl&@BDZt zov7XP$2Rp*fo;-SwSQwcsxZrp<9`!?PtaPieDDxZ0;IanMA1>Hnhd&2-;!qF*Uqxi zJq`ASK@<<&w|yL~!v8|Of~h!u10Z@rc(^;(4A-_)V*4zYy6FpDetS~$E00?mnj8dJ z`eb(mpVB%dJPNe!6?7is{M&l-4Xp&pufT6O>d|0jYseWEjMquQ!J`KX33_zx!wp6s zZk;c*Fp|gyMxFh|gWcgc#1osk=V4Nih=&|f7BOPq^z^mo*%!GuxK)q?qWiQEf_v}& z8T)s%Q;8QOtJM_37@N4eD$^~=3XrB(6}=aIfhr%(rdGLufU?X-X)lJlfESOZ`Kg6RL6@a!Fpu~77fN!_``JykA{HC(G;mA<#f-1ppZC=y8oZ)Alr$x9etP> zQf7dHCnnat<_?hK>FQqtf%g8`u)7&&_PE+VVYW&Tf|Yc`yiR+u_rQRyWT=DQvxa+S zlq1lKrsmX$Sh zMn7%`-Ztr580zHP@fl#bQS?YaR9Kz;i25Sh0S5l#nw>e?Y^gff$x)a{*Ye(WO1vz( zQGGL{qY8gKluq* zC!km4H`|21HnXhi`e?snn#TkZk?A_P)N@_*oOf1ney{gFRAFeL8!^v%boUF)+a?_f z=U$T0cdWc{=4xu;xE-=15&?YB=B|-$(=lrh*pfjR4m078BYp)4uc-5?|C|&^@eJq% zwtTo>8JTcTo|wU^+*ArvpF9$D(LAeM{}Ly@&*WnJG^CuMM-?HT;D-WjbX}(v34x9= z+kxR%+VrTmdK!61$=;i^4W7jVB=JB#Re{O?nLGph^#f+fn{rlp8v&%U^}ZvB2LUl< zR{u{s!`r!x3LtvMN8rLVZ{Tyya?5+8#rqff`3hV=RR;2ubFYy%Z>{q$?^E#M=nW&V zK>K3|G*Buq##>lh(?pL)@a+B@~_ZR92Bd0Rc5HMO;4Ox6Eqit<{$0+iafttTG6VTGFbqNi%@wdKo zXx=OKn|s2aY`BmgknEyc@5w4659!UV`vT4YY_~tE`ncXg_-V6hc^)tKR1afxH!++S z)|`m0vO8Q2|B%sX=HtxF`5x;_dQd5i2YORaTLDEXzI!gE*jCOD*0d?2EpoAmOElj& z%ZzV}0I6+D&EM91vCv-iDmTmg>ht*dt&_D`s^0bX=zBjpChHVGuWCGdDqsVZtkbpo z6BbPiriEQY+MV|@-67RUuev4ZnJE}28n-~w7nX#{PJxWDKi(!3%xS^7V6Pyav4tLj zNafJNs(B_Qi_84^`DTVnPxUiO&Qoy~e0lJ|f(GM(r#Hn#q~npouNt>p-bmBYK-|18 z`fhS}w(1524fD}* z##h{yE}0&(5UY>lwto*wUmZR&JA&A*sC?Z$7Ro9^46=_P3eTYb`R9cItc?0R*>Co% z$yp-DVG>UnZ^qH5+pE~Gu#~C0 zA^agrB#$)*M6-!2KL&kYo{h<%%1$ya1w^fvMv^?hLWR}>v{9B3bL{UR&s|Hfq_Tw0j30d7WGXi?r=t0Kb*AGWL2-(M0J zy4Pvt(2kLnG;}`w@9x;YyDP7HPNV8 z!yTGZS>vUmV1h58q!c&iyA<5^UvBU~6Uf^MS{3!(TGjC)FWep7+_Yj39XbSBWjZ_$ z9ED1yUIihciW0xP0Kc3tjV^dgp)Tkx;%K5FC0xtN*vOg1<<-0Yt5MA0aBB6i8}pzP zzjyN+{c&`1nOL!%UZx8c{csA#9(!B!OXLJAUN;>h}*t7uTJZL#wz7!y4vYeF#v5IjX@=%>n)xCPu5yR^K#L4(UA`%T>K! z0%eU4Pr!N&jrkdBn-A;&ZINZat*HE(aO396(%06}k&TIIi#`Lrd#VI(fp3D z3s=q$B{>hPCOxlkG*1{ZxtK8K$Cp=hD2h*V;8{d?^1h~!KwbepM!Zbhw08st@%7*1 zG{yL-z0I|Zj{c1vuAV`-O@h=Me=a|_$aP}2vh!qid#>B7Wt8ayC5v)SldHm-!INqt zplN~kQi}lt=I4O=36gC|rN|Dh3>r+-5IKnOI6H*w=NsmbKGNRZMiuWvvJ-BgXF^g+ zid`I(87zAqJjsyv*q^d5au``it2;Z6hm+F7{+NE+#Bo6r?M!Ai@`v+fw>`pT(qw zG28XzfyzMj2G;YDWW-CWNaP~ z6kV1j1Q20=g*4uAYRs3W2;$_#xMEXWOL$PIZbdxo__V~SN*o)sng`eJS5^VS&>{M0 zXMq{ZG~qC^6eeA2j4_ZQ`5gJ3s;$bcNR*Eg7eVb_C zB-QoTG%UY&d|}^SO2JN2I!GPJ(a61&Mg)Ayf}M*pYOL@Vn+(Q02wE`--fx?q*Lt|W zqf0H1L;unuK)m=?^V?SJ+}4`TLu6$|6GD@w47ZL2|BHu&@ob+?OiLly$I@<4c$mt2 z?x61?$}{)CZ+@`9$c3f9yS)To$1r8KKbMpG3*iI^L^NZf9B-(`7x9nAljcruK@i-26&;D%(0v6qF+WcIrWn5|wy zf4j{<=I=h?&eGQVoIXJyvVR|#W&I|pA@waY?2bq1lQtnf!ZBo(+xI~OMe58X<>Qf+ zr+o{Yrv=uoO!Bhh4MELb5y9MOIMpwOz6i{3ajd+2YoL*~dX)wZ#ULuFtuB{o#@~pCX>DN+@_>!(figr59XE@Cz{*cOJd^oZ zDe!G!30fn%icL%TnHs4s(TE=H`5V*5*-G5qEa35K zfk{N!N%H*}Vcbv72R@&`oz@TA$RJcHt*B4Ac0N(S>O#u}<+2k6Sq#TY+{bjOiGvAh zoc-ZkALll8dOXP5%#E;5r9x`!zGwKwbMbncbN-O?KFKY ze^sGD9Dp`KMT5lqiwRD)cj!7S1*O2EbC2!M!`(Z6UgN5!k@@zU9rDm_z7(~I_L)l? zX(o*w%qod;ckq|mieJxNKTL~^7yDO?v1X|6RMaQ>!EQ5J>{f5sfO=%P8j-i!I4=0nDZMSw4r8_ zASBFJVLKSliiMRnJ{=<9XOwZ}0yY&UZTF?SwidJZQ=*o{gj-q`_F9L3>x}rFj<9Mg z+u~!8Dpx$>&iOrAIZE4)2W-6p7;^X7N(5ya2#|AANp%i004Q`{5`aq}D;C>$-mqV? zKbQ4UGjIEQkwjP2_52Shdb5S?i(TJdnvTddtNcb^VWp{{prBScE!sB6Jw|GoBE2iH zSMHI#Gh87!;V^b}0^PP&pDaexkW;;*dV|Ru5mjxE_uCBD%<;_W^@zT^Tnv7CZS#VMz3V_SIeR_ml}d-B4uDUVqY3ct+KOwfY}6p3%$u{XJFw%dqFQX*?BH#wi? zRjRR08TqcA*8@V=cs^_bi$Cxj^xYsZ11p6oxX!MBbEyf}X*!?egXjs)n5;J60%2E3 z@or@x#N7I{MW+eI#+Vq$?d_v73UU`tb-(E46Qa+a2Hjo9SH4W*fKxEDf#z(V+hE5m z1ejyG!fza^OBAC*-D8+RxORag1#2ZN5}HLvwL-0<` zlYgtvSpQZwE8;}$U|@7K+a61|)OuO%>}Vmlb4LV*Kx5pT;Q#aI7p&CA^)Y6rjS7?J z!{DS2rsfZ@0Iw?6t)u zDO9~StP*x_NTA00g8csOw5p)>N4M69&p0zp!{T!sZoh{e9h+i{RdWRV3|}NS)3r0( zt>(+ZYT$HfA8c%oisy4C)N_A44_jSzqS2^g&2dJu#`{g0>zYbkq-=$wt~*EmsPZNB z%wvzX!AlUyP(0rLT}Wx0Ppr@a#uL~VIY}A}(8K;j3_=2ax~fR*;qTJpfWZ`Z%5EV~ z{`kRPK9F7rzfw*ghD1^hesk4acMS}UKm0j${$BvAZLpa&JCcn#1Zij{SD7Q<;X zX_n|b{k-7BDz(!DbP-B z==Q7hnLV%Zxc}4WT6)^$`7R`d38l>X%l_n|KUHuU`~-3qGrzUI;@%nfL`M03aZa)C zqySuCh2&wUakB}{Lzu5fOC#oPiDO!sV2YuJ1$!eCNV$R*&uz{Vo-bL?AQycVWvic; z$fkL90=JxW1#a{ltQY&Js-^Vu18U*#b&L<#f)>T;o88BS+M&S8C#e@>U>*fOXeA`P z{90$+g_PpO0h)zE*IVZfd8ND!{ARv9zCasqH2VCQ@=w+Qm!Gaixs%5Akt&E_VI4IN zo>z!YhUeV)ocKhZGd$QElD(binA}4{ieW`R(cKjG9I;R&ZwIc4)nR&tT7KYAArR!MdAC5-v)o$&m%y*0Lq5 zwyoU?j=$Zd+i#@|TFT~9@|WvlCnj*CII3P~WagJYRLH1r7v>;aTn*Xsm478EXg^l! zb*4(XVA-X-rIt}Ye%|?1Ro(y5V8qWiIJ=V-X5yP2U(q8-C;S~Y`BKbm~vSrKztJZB<+zujOf*mb*`GG&)vqw z)AFs!jTOmVl(B1UQ&;t5{0`KFU*VBb$Z=hzMV}LlhtF;swROY!NJYj3USx?tMyET9 z=E-u#?V`<1uK2za?Z_z?AWS#Ccpro*Mlg;3n_=$Kv;GG|LZ584oqafuJ)L{FU%=+H zxyNf=j(WYf{c|3nA<2p~OM+?i{cYF9Z`cbeXxNr^EimzWo0+Z?zd#mI{uKWvE<`>B zx^_ScMEK9K);}s$emEsiIJM<0yNLG-MeWIAfFR8V(gvylQkz|b$4~A3wN}&g8d7>n zd+`CkL3Fdk6OvBiuFk_vf62Zf*vawptoPg0!G;@py1sXK_egoZ;jk@H`4%x~=H|qR z4rO)7kO%hEVVMazA80nMlVbY0F)wH%wJ7^PUQM;cTAt@LT`rd8fcg2}mdSUvgR7;n z5jx?gp<$k6BT;WxL1vi0)fd{V^!r*FioRst2^BSqp;>=v&|;^*!4Ki~kE7haUqfZ< zv`&qy8KIwh7X%U-2fwW^9;%n14bA_RBq%9;Wod0opL`J&f3_e=y}_(>m?Xh>&K5~23KVS{P?r;x9iS~Xkrlp<@6*{ zyT5x_LXs19aXY_J#zt}@N$|hg#}Il*^Q=Z*KEW6P_X9;jUTdbgs)sL7pRUvDO!OlK zn9%I+4|#v)3?oMRXLz-L-Rg&x*6(|u<4n02K3cD&%wZ6ei%J7+eGZU>*4Jb_Pf9YR zq@qm7sV{e_OmczIEcOY%cam%fp9D2r4pmEWPWI6kq?q{B!w|uL&@#qZ1r#zr9s6x4 z$&dxZfhDEmKkp`@q(A2NI_8XJM~_I?6Q)@@4MELS=cO^78-!B5_M3qhb1 z|m8wvuyTYUvSZuiG?>phm z;XwMF9ZpGY8;3>2g{d_$Q~UAxq6JHsYVU`wqCR(Mzx^RxcZO{LwYYYWHA*K~K(Wr^xEtfW;yWY2hw!wAWDKxH1;J;t{_g z<^d+GeDbI|=B&*B%hWfX5jF7K`dr3HD+WK6w!q3=cb);hV#pGsN(;UK#_()o@VnLH zyTYrcr4P+qQ%K@>ZL^g&4-Jt>LMA2!v{V(_WfQ*)q8q5}d+5JH2WWNcO6b({R*JmN zzCdjN3uv`t01UsAw>AjRp|O+tg76Coth%|cCsd)-Qpxt*49l>SK@5@uf!vXstP2^b9U#<$q4Zq^**b~sUh2rahU z9lQkP1A%XW5g8;ou8svc=&~TymACY+rZOQWo-**iLYeJkcx7nRDJ4tH>;@snY$T#t zb;GaXI^ivt&=HiljC90vwE6BY^b^e%$~t5b>tlM7+&I;0I9svA+#+ViZ3Tm#Bt}fGV@1?G*umSV?my2V2u?i-7 zIgrA#d}D0B14)mdgYktVDQb}n2ui#wQm&g#mYwIQqERz z#bL`L&vfAUeED5lhVilSnczJhuqV40IuGl&B$=SNk$~1nISTq@vwLWUpZ)ltN`5Cw zJ-c9emkPOdyF(v|?Z9R`r>A2LBHjHq^0qZ&PrbYFnDKw zGth>%`gXfhA7wSbcY3|~RT_Pu{Ck06yiJpsvAPtw_KnS6IhIGwSd6gW9~V9{1cENex0w&96Z$mSD#kyW)(Qe?FNBoBJRp+ub#GVy40dg2jNDIU z{b})+m=fc%{i@@dmK%--($}B@?2u8xGmEuWH4EigGL`aEstza>jFj5Ue2#Z4$jQ!K z`5DHDKbZ|k%`zo%Ib8TYq$n3CbwAv2527&X%1B?PYYyh{Mdp5u)a0PS7%`V%f2SyW z`9#EM67Dd#k0@rTB@9aXOwU9ZXjQGaaSbJ{s{kcRV;@V~V9T*AvIzOlfZ}JPMG~fA zbIY@MVPuQ@b=BdSi<35%Tpj}rW;$~4QLmvLb}}|Y%UvK2F7JNt#gjRpA9^*pxYq7yHv@y3|U)Fd&$5ufGv~UG_e9d*8<=_$g zLW9#@YsxR--Ive9z#seBLyQ{VXNnsm`REq! zYp8n8hk8j{>+RFn^8)KtlDw9wJs>)@{+TJJzl7j?HE`K`q`lkOx1T zrNBLkCI7i)xW72iE9j!DC>9g*BKK#=7{T|DfYtVSLF^$Jo@B1ec{5Gr|MS0N zr&vfdeSSz)jQlvkA`&A}iYt@$tA&-)AWJ48!>$ z)TcJapwhh3*acR}XEF}25oG^`0tlLy9p>k{{h5NmQOJrKO<8{eihNy!*Y0$K>fLja zNIToL4{tY{o*|OocRn?9>+mAmh|P#4I8$|TE}3}YxxT0?(##ula`zgv#~8j z{VT7`-@fEJK>zt^lmcuOFA?JMGtbjwj=}v(zQnaY2&)8y{0mI)5U9n0r8gct=n!V8 zDNYGwGC6`8;@4|A-0C}*SvL)5;{x-eS@asS{zWR`DhqQ!Y=Y`gHam~_KES;pk1DK{8C#FgZtDc5dO*GH4&q(@MdfHClfyMbn|_0c2OF0| z!6?=GPpR<>RY{bzH}CQnLUWct1(N3_S=1=sm$sam;DPN~1S_VD>Gruig8rJro^38IN#G<(o9~~J_mFe~XLZ1xyvTe9OKh(#@=!87K>sNlJz~NG<3A z$b|L?S@EY9(FuPDoG2yFp{Ugck)xphPWKw$hZ`TV^d)o3%Q)p4TAKhTDUlQWc0Xem z@l77|e-0Va83L?$#(!iWam6>FQ#iPA>l~7|#G`)w3jJy zAQ(>0!H)oU7q$*U>jq)XGj}_nn6~hR_A~kUE}0^3gj+G-)K0mB-J%H!D(aF5r} z4|gRx_&!eD%{S?ve+LU65T$!;<5endeYvrhuine@6GAcH9i3q&Tc}!IS-LowfVgy+ zGiPycItLngUp?&f)Tpe}kG`WdLO{}s5#8}s0CnACoOc7?>YVyN5X3T@ERygr_q?^~ z7JeYF>uY_BL7TlAx#w2DC>K; zCBj>?w&qW0N3Jm%J=t(v``drROQ+^Ks?Sw}Yw7eN_|9}zD06aLLj&lY<}mo1Od#rC zUe-YwKk$AY@I8LHX24)&>GU{14U#ib4JcKJ*^JfK?RaT6XrCg&3Ljug{taqN6Fy&^ zJnp8jq7PZSBN_2Y{0?eng2cmAb1SY)cM>WwQglQTxF>vie?@#vsyTUr#N%)xs6tc$ za0rhz_%*L!#svl$v-QFs~JQQy2k!}AQt{z>8Soh*m^nNHEPp`YCTDn)z4T6;~&dH_b0k<YqyQCTxE5x%U z@b0(F%Yrh*Xv8Zne@IkzFvs;AJ%$E|GOG=K8`7GEHHpYs1TauWCZcnB-9R7!W|OdQ$AM`e%aFYIQYVTPfR<3cj;OV&@>Sz)s6yyO_$|YTlsi!%bYd3+^K^^mw29 zZ#Y-=AeO|PdST;Y>Wq1)D_fT=73|M&v9{2SIgge4NZB;0C@H|<_9viXwLxZq@#iP6 zA&`>ES19$^7S}p4JAfv^#KHRs_`vwB#faJ(&j7 zVdX~I$!b3bnA|;Dew_7W@CRZ&(|(tPjeXqP%kM8ZoypU69C(_4Fs{7Qj3MO<6IC1x z4;jvG7f)yUSk|@4T4OP{L8iK4$R<)@wUA8N1KZ=MF20XKUS@uYGtM#GxCaJFT&Yds zOoOTl1^O2L6If;@jtq}{3<92*Jsepk!tw+h2B*uRiSGAOjXsBC0^97VdDV-$h-aT= zHZeY{qGH?+pm^&BB>P@&Fb_W$h~k3|5i)BWgPR)=K^+lA$yfe0AC&NozvG&IIYv|l z$n;CnMG#TzN=0cIsbGive5SCsOSq%~V`c(RzRgWd(3j9_nSwg66Oq)=o86xUC~&iv zW!InQdq&#>i?sB*wt1GnhZjuv>x2Tx1N z3P|2sab!WulX3kzc#t&L-RmUz*Tu=p2b6vI1B|lM5c?1vB13P4g;@$=u^|8l<$R-X!(OII>lH+6=F zLZsG2zTbH@5nsF>MMauhyE{TZZ((Nmp^RFY2m@ym?hIia zciMrV#lTb-8pR9*J3Ow%*6H)f(%#**m6LHN{6l9AZ&IE>D!Bh_u(W;Uw`V@H9L&9i z&j$Hz+gA$WS9%-~bx?wgo3WPR&IlXnm#v{UfF5H5Wev7L6(Jad=qkYHa{bg($gP$U z8#w~sDCbQ&da=RW_Pvg*82zC2yF$=)(^HvpTUsg`2+~(x;QT&Zo__&K-ChCNl^#zA zlBPQIKDN%fSls*Tm_d>7OnUBzp&5F=CV2MGe0jV z0^ee&Y!g^v^B0MmQb(`&^1hfZg#^8n0~u)J_4g9Eru0eV{9Ux8U6B3}bp9`^h3XR8 zt3Wz1712L|qU(0;6IY-v{(MpQWj8l+JSC@$UvAYWY^R0zQ51V-tl&u8x4)o}! z%XayHZkg`igIFT+4^j#*ea@(u8VhF_Qd*~bWPN;2MGp$!sfOAZKQ+iZ6V_?C@$1%= z-1KO-{?3`-RJUwdJg;&_Vfe|0f#tU#BY;_f15r-_m?V|Fic-esge&^sbISLkA|8$nsWM7@> zff9%}>DDM%I0edzvQsV4X+m~-!l6)(c|a(0c4p$5N0ABDR$jW$wItf4?L0w#X6E(U z4f9JU&`t31T^#Yoj6bHS#B<$BsC^uB6f&`In?6&AxBklv3jxo+HAE1+R8|fXNOFkL7mf=nSwhGo34Rp8oNtp_5wLcsMv3ItG zgAR1PR$Ygxj;W073bGes*vt&reDWMr6C4Lz8J+ZnW}|P>TDAUj#`Z&Mx2wbm zo>c0-=2S{EB?ym2?5t;%TyHmtTMKgWBg|oFs^iD)!Rh%C3pO(YgATA8f*pVF08V84&5CGhzV^U@pPy ztF+Wt$@bDz4Nh0l7d1&!MWsp(o`$-~JPi{Qc)q7uQ*go1h~aTsU#pQnIDob_G&7CC zMNeGJDQ_HvZvD-o?J>Iz=1#na@zS19Zxky*_PWYeAl2Z_8Hzr!B_kcRQt()su12bb zFgxg~k|gN|Z=c-U^jF|E=!*?xBBt<}%@Hse^4>6LxM4@7gA{lBrlJrcKsf81+>RL{ zM+Qk|yIjROhMa2Cq&>dRiWPafD;!)b;`v7wvhDy zS)4Cra-;!P3ZRkEL8b}Eq4VeY1pZ$$9L`1F9KSp(+}xWN{lyL(!7iXX2H5uQ&DLI4=Bd0~Hw?_ankdm~fpR4^uvv<_ zl~;b{#Cwm{<7QRj+hyCb>DthdN!T!5g~v=$W~isD7qkCVR$ze&reAD zr3FK|EhaRr6C)2+#?EEa6Pp;bnbkoY-sM=7Pj%&ghO&on3q$eL#x3tzkbn6|P{Ib6 zCNZQCZTu;+pZ`+ZwG2Hxb%5Isn=s_cx}}jx`o&$S#E^MC1SHfQ!hW;bOVrh;fVyXD z*4XKCKb9n2he-B^Ed(1Q(+G-F&Uw8GpsvHfFZEE!ap1~3uJ4A_$(uy%eckiq1kLIf23mLJ*09+wJsiz zfYW5!O+e)z*)ZFpU@ShYVW?w_cJi}8=R@l>Eb_Ir<|*m)qbl=K&VH#-Yq8w$l2~8rb5cu|jTT6C~i%}yIzie&JVnJ%7RCYIn|MJOsPpv2Dp%=aX=z-LJ$52yd;G_Ku|H7l z{??4zr-sWr50M99)}Q=`*ko0emDw>tYi(peKk~{Lc@G)QC9HLLNB;gU6hHBAfl!sF zoBiZ=2%nuBldGoFNu2OhoZ45~p1Vd1FL5SSQo>alzC^8yEWU|z9RME79-U?@WgI$b zyjJo~^f?(g*MtSj*;1;b_ylzT+UPWk(P{T*;@R}Q9x}PB-!mVMFUa5-RN_YcIHbnG zIGuV2@yHDbGv6svPqJ7X=hBH8h=()GzdVc zgU`c8TtkZzDr$!rH)KbSnP8s_U3J$ChFqPWeo2|SLYwn60c=T1ht z#73uah{T5U%}ubGi*pl;kIHiM0O(DV<>REuy3TVN~g=_VF`<~_mF6Vp!LybQ^&R4W0QHIc#q-+oHiTyxD+os zZ*XrI;^OS2bkvOtbzb8vklk@a{$#~5=9`Ac&;AfQ?U5&pF4w^=xS{zC`(4b$D&xPA zD9ld-qWn&@4rZ$3c0OPJAf_V!eRMPqyGwFcAKL7)`?;Js$Ht{Lr4WxLGLzv!Z4jA9 zB8Z_%IkW&J!{dZfcI$@@{b&QRQ0g5G$H$S5c~dEAV@Hn>mLyLVb@760h+#LX`57(K z+s*_Z<5O|RS5J->_Qw{X#L z%eYO?8&76XSYldHamL;3`&B}zu{(%aA5rVfzXtQgDorHsx51i2)a_GyAp*44>MIionGkhPtV@FHn`U;3DYGv134ct%6?u4;1s4-3cluPx5fF8nO7(spNe<;YKF7 zAB{v4N*Sng?s3~fy9+CD`deNPIUVtVo8nC#EaB@3Wu#m@I_^2z*S(YU z*L{k6>aTh-^oHM8SL$V840sAul=x+`#$RBlvL9^QZud4Fx~&+#;4RAgKRcx0+h-A+ z%(|*EI#y~pfs(G-XRrEMllcy-)>Odm{0FFe|u=2|#f7MdCTOrTPyjD%yPFD(M zHCVxps+iczpO4f6KgHH3O5rP2n@2pGlg4Bx)IHH%b#%Ckc4ie!KT`0PURyEf2B3G`oNPlv?Z9h&m`5skj-|c6qileDoOuX zTWoPNbMZ@QIJ7cV8z;2kyOsOUyayRhY7<+hjIiQCtEqT-#N4~-grWMqn3Fg>{o)hl(H2|Jy~m7h{$wmauyyi^IAm=$Duiu>q1Fh^aI;n&ZD&}?bTOCCDgLeL61PhRvZr$rW+ ze~WSZzb@i&Z}y&b?9>GH(Pqh>>#I@YKG6$x1j1gNk@?HkKxn&ZRh6_VjwS%1J@eq| zaVy>VM!4-OZ&!88AH&DzlM`04`2!?&|ItgK)ayCRr3)p45>t1?()0D2gGGHLC9u;4 zN6$Hu0dN9^4@(O7qPuUf%br%_6H4^&TwzJi+eJqW#yB1mR#@59+%N`K6+_M91nt(* zNA>%IABV=(5ik(Riv)~dFMiYCdy96M0etp~$G-xR4d^ozwR-6f94jQO@U|kl+A)F| zj}=f!#AXqcgZ@(YH@_IbG`8~xDSJwdKBk9I+i~CbAYKwoPXH4e$GIpbZ+FxX?p!~) z%QLt;`7iK|E&G({2Z|2l8)GAV--;6DNc0x_BOBci=NW`DCUGUZ*L60U-7IL-i0GKoj z0b>kUILSQO#IT&4zLZ}yH--+|_(ril0qRa-EW@5-1^4^H!NbS=%#%Mg7PNZws?S&Q zm&75kbC9U#W2&}+CF0U2;Wg$E|CUVVHSMNU=H@fq^YY(()_^rlBrfNv%eC_rV4Yy1 zp+rvrl)MGlRH4yEY?kKJsr*zf=V|+~d@T}*1e~lZlL_qD!gfF28`v<yD6C?9%_nfrnQ-e(#XVX}# zGM1Np*Wm*=&6g8_8}`&3;}dHMoHI!IvsTX+K)SgKW&UkaPt|odXI0XKvd(}CCN4!EAcemFTi$aVE)BVw=5`ITPlZ6PaD#;h*bh3gb|s7k%$ z_zk6-#BDexiDd=3?L7;gc5lpuU}KtvD*A2AbMNf~H;!DV0xhqXfzcc22705ybJ|I( zY%ab*U8kt{X+;QyU|{yYW8e7_DIl`Gcj2qlGFtXE)@ef4swu+7L2@jG&hYOV-m;*%w0XPy&V6Mthh76^CJ z!ONK~2*nPR`1WNT>0mx^peKR9Cz!uK3hzpc(~j&>>AVLdKeO(EMzuJ4zR zw*3x4Wea054QiRsC4)%v%|JF}SW27A?=N$f_Togb!VgZr+{pa~LB`F^C48@&%5A<0 zul(J2A7YQ`(aKmNpoPbCSC5co_jfpENF|n_pCPz~8okT>$uB}Wr$J~Y;efS+2pUz(r-Dsc?0Qj~R4`|Z<44KTX4-yKoAxU< z=VauGkf4h;!noxSR<;X+iqU*UlZ*|n-yN~NMlDkNR6_P^&ML|2x~zZs*}?R+P&J5$ z(YicUQH2^3Dh?W{y-TC*`QQz08=HQxPy>)vF%#tNwb+7%l(Ol5dta@~-eDVk)NzV5 z6`cQi-n}{=gdJk~mwbic$URYGzZ((mC;|}ymE7UjFltpt9kxWJ;YZP)+Lk`zv6qH> zw+!=LuZpz)_N7HOlK-?hOO?=c&YZc~DdVnRGC>9Et<2UP`muK(T) z3%menm5`e=o7Fwv)=UJ4phqKmi5daS05^Y5`g|Fy@@0d7Cl>j=ohU{qt;&@(i0?a? z6kdYbK0ID;WyY5_v@PMR<^Bbin6(*pmy+FnUSZ;Agh-{R-tB>HdmzvlMTsQ2Jlz@? zeR{+{y~4Hiycev&U|)0%&gyY6_5GC2KSep@(Yl|};cJyE{c4CYhiS#Li*l@Q7M%XD zcPUsQSQWWQ{d2D*7pk-A&p|30I3pj>p!Cz=Uvf|E^Wn1euZvTeP!*vFpoh_2!i?&x zmNg(oL4`vorjjFB1Yxo(#kvK}i)uJ!@;@FfYgo`)9s3YI*`+aw>*%xSp9kDO#}HJw zwHG=3nyOUCt17FkBr+uy7L0BVi7V4J8lbLniVbY}XY!dxzZ@?S-Ww~)QN?~&bT_%|hY z+xW6%^`I;lF@*bYkIeeR+Ksve(VDjg3H4CzPiY$}npB7Q8o$`1J8Y)|{1>M9%B$e2 zF?w8;0(hADk5lQu;zJcj6WgncO;1s8I-Vu;Jbe)ot6+4!$4=5>Pgh;cPcyZfpn%g) zF5wi-w|!%Z$HdRrsZ2>?IxSm+%rz^mL(LV6u>hz&`hE(09934~+;-7VPlLY~Cdkeu zP>H=edpkW+4h+>eOeD|hI`=p60-0S8&V0UOe--ldCU&*-by^6!O6Ze$#XK3u_6v(g zGd%A*_Q1J7Y%`&Kb;XVOcXU>TNcJ6aC6sn9*`M;yjt6&xmX(%D0%#VviezilJtEX; zLkhmyljdQCW9UKlhd+5_KETg{KM}{l)fQcZYLFcdGFo5a5CyUfe=~3$T%JhPH?Uwf z-fCBZQYIun`m&K*@zAr|`SW@vNAYt&dEXUR2e$fq1?H_0%a0?L%YkdD)uw-^(!;<< za)%cB2}r0I`-ftm1h;HaVJzYQOpxK6TtqkgIaAR%op$%G*eUGiX@*M}5koX**1}D9 zj=&+GGVGpG8XhNI{3dS!79;(C>o4-n&wajLv?`RD6#+8c1(g$V*<`l$b z6ETPV?DW2i(I|WN z6pC(KZ4st!5A=0B6344+ zOKck6zB7e5?4JAa3}kDs8Ulr7bib~O@%c*_r-MeX%+^zGPnt4ppjpl{e`aE313bB~ zWJ^7EFOa}hW+Epp8fi5l6!5jCGXF4cp>C{vBG4{)k(rX@n)ymDKL_mq8=<3c#1ogmAjSnodT-@Yneqdu)ZwFN@kw;w}5k`Qk=-wkGNPfn-cT=XFT(-jgf?0etanC!Jo(|rm|?@o(~T_BwGV^6e8*@{u5)s*aqUr3w;UsHz}dmYDeVab@tvr;wblLe)NT zW+2y|dQLd@-nNEVpnSHdL*p5*fjd1$4dG%BSRmQr61RwCkiWO|2qsrp*5P&C#lPpi z74Ue*0Fn^%$Qsp|DYu?^1;I9QIapdWBvYFs*E>N#LxS2sHBJhSWN3+NyYoG@^7U`` zggY4nP`)oP@Vyd3dQ*amU73dQuwQ*EF$AW^b^h*NlFpyUO<-mVuxSdbwwf|OoG%EK zWdHl9hv(p#l_CX@XUh{?#)TX;{6zf1VtGCS=vf3}aj7@?BHh}9Ca1JFej)XpGL69MZhfKiJ!pURhF$*Ai z)LBl8V~)AC{uAeff0Vog-Fzt&J#fEwV0hzv41n?@Z?M#qX@aaGAAF9)47FzjvnSqX z%$v@V=ev}CRlGe_OH-?Pi^8L%Rvj+kg6N4>5dAikFhO$XIRB^GI_xUXw z8_p%|xE>|A6>uIrnAgUKs-3rv*@=@Fm_-Quw%j$p3pnd#Qipbu89x_`Iu1xQ5LwYi5}>8)hmK*j)^cKMeIjDDqe46G}=f6v(A z-sPP0q?UFcq}qJEYY3--w3f@7TW|xTK9;|}N0t~5e3Oe6Oj`@+CY)QhtD6qR46 z3COocz}xv7k8lxgF^1S?0wrv{DIrI@Jf694M3P@!pntPyuSY1h!)9 z_k|u=jxzolBT!});{?QX3g$v6jXQTi@HD;L-$Th?=X*Me92=t^&Bb0}-@OH_NCJ@F zwnKFFs)&8N&3cQ?ut0$bMx8DT3)DJ-FER^PQUJqySAcEbL9VbJ>`H`_& zqA3>WQF7d)gC%+C{mwu*&4=l}AC`ChocN)m z?D$#vZ6MEuxa?jA`5&6-S2V!#Pp~@e*j(r1ko_uzn{$RA-roRTh%U=Tot+tN!|-ar z81Qu*j^huXM{c{jQ<~!sVA_c%0q~D=hX8So5H90OCo$Hrx;uc;8I{Cgo-dzfN>TJ@ zkvKXu!3N<1;m>_LI)}Xx&HghHBXC57i6*s($QQdYazX46#n!4qZhMf*8nlnZUuBW( z=u?rE?&fN5pFy-r*5SVSGJdQ1dT(I;fn?qFpm>|y7riF8nC>am=I1XJq+;`G#m}H(@ z^y>&)N(K~^?|J@mQaX-E1Cz?Eb)bAdr-pwYN)|hlXqoLP_O4-H#SI@12E}6)M zjO}+U(FWWeH8Ou(5c>tLI%D1Z2~A{PDKJlLKQvnGHj23{2M%3Tl|=uANX6a3kNHSv62sUr^)^S2P3MOyK zaV@cGJs@CB!M~;Y{`Qqtxxh&6908J`m56;nZ^V68Q+FVh<4$DB;(aXvJ;` zFQFCVC)je;J1z<&Z3U|a&z*D?U70B8z5}y0t~&{|x|xI^w3zIib=Li4+rtyzm(6bp zbVA|l$4_rlOLG27J-OMzfVXfVti0MD`6Hpu=d)h(i8H9h=O#)SM}1P4YB9vbL_wUR z%6S}~qTG93^1GOJ&?ljDx3^b?$0&k-i3G7W;|+Sy#w=iyolVR)9Hxs+x)LW%M4urg zL}zS=6+g?graS&1`Ti)dZpu)C(r;B4Tw%we%AM0HPoOYf%~cws2zgS|8%UQ3IuLho zAYQTbt`{mHV$gFIj?pDEfLNzwzmf3x&hZ~zyWDvp1K|WE!S_p~_)Rr9j23W_npEBO z#v)*s+$^Td#LqNJ;gh$IoOsS7jc{cG|)FNeKY&Qkf*Hop+*=g)lzO? zCJBki4X`}>Qu0l@I*C>XOnVSY>T!2dLd9*I{0XIVORJQ-y$)~ShrnZ3z~jWA1z$+b zUJY8Vri>R#P@)DmJs4lXVHgB~Mb{0(1}=6v3Zp6#h-EDQi~QYEY@@fszH|V?+v^_G z&TGsQa+e_C;?Rs8>C5mIaJ?f9j;6NlXGup&6{BgyB(WL5*Z8!pq+vi?y57n-YrP(| z%~@>sSU+nb#{)j2MXzuYw%$qpE4f7wJn}7PSKnIoGWlYI>R}U0CY}8%waC z)0Yya9Z{okq=DcyyPR2zb=?sz7#QvIR5|lRaj)~;jO5rmL{#Mt^1y2s;$7bz7he%U zo;*Se-dN?+7YgUu3uwNvyN>PYBcCMCHIVGlwU0oJeMB0{M1DkwUO&cZE4E!)nRvNv zR(B@iPldYl-i}&}ublt?8KDb12#N7eRX;j#BPm^>-Qu0o!!2c(F2gjAX z^zSp;>jC6q85b!q$5Nx}VRU^s*|8txV%n7Z2{G^NtVSKO4QrD`SsW~G9psHp_8UE! z+!_f~YMBM(s0Vm;0t?uzNK#^?e55>o5g%XDbE&8ELm`PxI}ev)(>r)nvDUPvHctfb zLEMa3?D1*6byrw%M-SVIoOPsJRQodp=}Pj##3zXlwPbPuEpC1^MUYZ78G<0oDXl%E z_rEiiM`bzbE61_eMAdAsbndUdb-#u|BDdlVrMaVRMu4wP=IyajXTDb$DDqt4CS&Fm zj+t|bb8C8=sAzN5C~+V8p{^lD2^qhMdpIrnb-JWzXh0DExN3_;!u7q|&fx$K#>vi8 zup^m1M1M3y96WEJ{S@_(v-2SP=1KET<^X_&^cE@ME9{jUzU1Y4fur4V8dp`LzCL)V z7QPjLBujhR$m!8bwR{=j{1m*5vL(xw*8qm7lXQ zz_Rwz3q|al*Zqv~^X_MIRtp!z$loG&N4;CVA%4|1a}086@}YyX22L~a($9rGQ$ohX z)$Dp{^teA*Ry!4;%KFfUcJ9%Z}7AL;#jrh)ix-bxy zd!c$?v45}R*lU8}+faRYW7Em7jgd)LebcDzaD+E!z4Yx`&o`kZ2zSRns;kvGJ2a1` z_$u3j5m;aNj>hU}Rpt*I2C&;MRZ;DGzVF?SN9=MJ(v81@fiAD$W$8RQ5X!NR0XdKdQ`rX#BI|Qd<^57M9-jP3*Jal)7%%tUkDaXlz^xf^Z zKPldebp})%_m?WypD+w8p6`kHP990j0HCc6{BWc@U}-<=w#P)0sr33UI4(P0?&;ye z`)R?3{HCDb5G=EI@$UuC8qhD4AY_fCLPs3<1*DUvjz))*8V-V zh0Ia9;DL1gnKsS#-OP^PFjkeWeLGLhL{wq1hL znII0uL?=y1K93>s^!iJRIIXB$jI;5)h(w3PNucUey+6Ms<#?Q!{FL?viTJ}$sUNSK zZaMFUC3rCfj?e0RmA(a{VTmh3`SE5O?+ZkD){DU|ODNTMnw#EM-`Ov<2-$Tl+M_%n z0hdRM1#;FgSpIm1Sxp59Eij!>*c&Y6fBImPfxeP^+cLC&dPD_TuH0cOHljle?h5B$ zKtT@`W9L|vi(}`;Ao)6+3+Vv<_Ivpbh_ABnsD=eD;en$nXwuaYr+!oKG`&n0)l%vP zp4bq{zm5$+YBpFc^j3hhFp`R?>9m#KXkms2^_8gdLSyvurU0v~AG223>8m7eeKk+) z`l8mIW{WUD3DLfOx~qV*Ur^%2r5AODlln%=Tk^9u^K@{9G-&DaduvC^^9MCg)>VGg zVkmY9rMDcdhXCUU`F_@L+3UkJn`zL$b+XFKB)>zz$F+QG>cT~O zvw|>UW&T-j<=-Ukj?&M^6Ah|on}!jTOSsy{xV7mX$KiQ2DlnmN_-D+SXs0@e^K3M5 z5Zcq?0QTTaD1V>SD$eN~9GCms#6(jpy7U{;^O9@F5xyWg3#s18wal;7&%6LQKcqsT?tnD3#E-)eXUd zQYH1U-M5XvE*3FU6VS*Jv3T$c-@qp65fYnW48P?)t3L^NC#`ia zliEwI3x=P59A;JGyMU%lAAxgumhdL!-Ld5P|5+(r&X#sE6%GHX+Rs2)x(ZPT<&546 z*I&gA!3vL$_vAlr>37L1uzE8yEI6!s+YARRK{)rW*^1aAf%H(Er0Ge#BCZ5WSeTv* zqp{yd$d)?DpgNFJwQCB-Wz)AIRG$JlSfswThhkvG`f$aDO-@4V&uSv;`Y7CxDlz5S z(9tY+=T84vANUKsK49u#Zf^bzQ2WE|HNzxH!+Ri}tAX7?mCE^WSN`(+9nSn6@s{NH zZ7X>8NIrE{HqwmDNrDB|4AD*0JHz$iISK?6yoYg;tTl?e4n{{Ry%t@NP&wo_`zt7R zY2j904b2rgEC-r&7ewaAc`A=R+aw6HUeld==@CM+Fao=obo18n85=M=a=sEVO3Di2 z;kgo%sno((gG@TEU9W?B_rdf1U6nne%1nH>It_GDq)0~ms|Z3pANL2@@&og!U>O&a z+oZxVmi`EJFhv93=i*?s+ws^;=c-<*W9G_O)T|8L=L9;#ZsEr3-(^n%MnPnX(dc14 zY;^eOjwOsTxZ}vP?(QG5 zFXiPmc~$0$thKlMayTxCZ$XD5g>MXfZ^7IV9hqe)+Jw_kp7*|x-i#Os?Ijx3%OJ}c z&uk(v29&^fPi2C*>gvKLSv<6a`Y0DGiT>7rK$0iqy+(J{wLijh?qdKma*!}(qHy*)^tMu&f&N=V^A)lPV1-s+jWN5erJYM76j=KghkgniiH zdHLtq$8FRL#n3S`!mPzRqjZ?E!+)>l>*G(co)2NT1cmMi%)Tl->6U&{^q5xVkh)?< z>p6K5KQ54HP)$&$qH3v^nJM%<`1RR@7&2ygGkD=j5tP6waLa%$p`-Vdtu7OBJW!wI z@!=>;dtC)A!GdP;l|zqAs(-m7Qw|}|<;{4(VMi4%rZx>}1=q&X63b}CX7+g?(b>oQ z^~N?3Mk~P8tM_*8&QkDaZ<60$JfHq@()5K#Crc)v`p(BG9p>fmr|WpS#R|F0b#!dTHZ(`)(u5%_Wm_nYvqJIzayj907XUI#Y?_5y~Z+$mE+s=G_y)c3L6RN%)xz1`*deqC$pP!7N?)S zl&IGQ$){f#q!rz=b2sVOxO3t4*5Z3)nX&Vo941hnm+|j7N}4ZmYOBLHHoWsRFdCVw z+v@2Mf2sA5wxY{XUDm?Wok6xm$VrnuwKWhbO6U(7rvAI!m^OCa&ifvlWi0=N*{_iT z{Ju$nxNI4Js^@>mo*z89CLm5K2#5TVI;bc-x-Cl*Jjiz{JEvz2%3~@Sh)f36T4e1% zm?u0rwP!1f(E|&BVgaQq@>(hf;h(&b+L|zTQpBLjJ-R7!?lcYrx=R77S$2){$}SQP(S54(w+) zvig;aRWb3nM#Sc}*>510^X_v58-uXs+4H&(_RTl+?MBVdyzXRELptK#`{qBe^Dl1T zSS89)h7@~=?h(fj@4kS@6r4qZLKr%ovhGA=qM4D?B7f&RAK6VCJvYkx>#LTR` zPFFyk8ZxnK@2gcOc@8}4La@oLK4e=0Ih(d8+bRB32`{`kSHnET)iv!3?~LE;gdUI@ z<=EMcLIR6t1r;_LBqzlQC-F@?H@6>JHLU7eT2>FJKoNUX`bQVd|8q?meCWsk@A)#9 zsg{}3FpRJgt%kMg_Qrl9C@sF)A}hDVoo`tVghZO=f5c2t&3DkR@p|*2_Ktu!m~{Xc z8Ck7BR*_v%RxqCHj#_;|9okm5A=D^ZV9>xyL~KsJ#%TASOxfRO-4b(P3$e^bLQcUt z+y@2-57+mIgvKvUaA167p9RSdF2rOCnvCeF?xzoN|9}|+eX)k9#Zw<-s0k)1datC# zu8EC*=GdGhbIPd>^bSXo?(ml{3a9&n{Qvma(-N|snNQ!i^G?sm##2lw5>B{GhN-DJ&~LHn;fcX#Cj5{}dPrd)3+4O=6=(~uR#_2AT=iF)K*_I~hIK#p zLdrxH=>osNM}piL{y6__#8uT33>z=`&n5l!t)}nF-?d+AdY+NabVh{-5Z7;gds+qP z{thS3{} z*@esyvFV}FoZ1V%RUap@INy58zx92ctN-F`2ihgn)M+OlG-#iy(`NcLebL8%MQIcz zsv9c5#cN!ETnHW~(`9zA^!4z1kVueGHCwIvY0AfY=`TG5)Khe^46v*XOc3Gd6(Re54Nwp=G?>a2m#CU<&*V;;Nb&~Cg00=vEM5MHnDnH3 za$~2az(C{ex{h1q=Er`0+1vH#c^-C9IMZ+iemB{W@4zCtonk5TJ9MP7Bman&#B7Iu&Q`hNs@Q zWGok}460wv>81ae|vW$Nen-P%@BPlx&UNP$Hv;$%$>$h8*b$(bK-qCa3+@j9H99YOhY zq60{=1dU?z9!~rJGRa*mQ20vkE}H{AOSLDh*OH0FW@?#9WNE4fQXYS^5rhr2 z90UWK@!|7K2U;CN4FBVdEoDh-E^VpSiWScY+w%-_0N_$clS1JV2p54k5OCk3fFXqe zPvXL52o8yX2>}`(L-L288`@2KdDWvO^pVf;_0OM)&d2kKi!(2!Zd7m{Hn1$J9>#Rc z?eT9NHlS5vx=o7H>=%~2h`BS}JS)&PqtJB{pGq6x(Xou-W5^#x>pM_5MdLl_SThz8 z7|dw-ZU_`R*Er&7S6g|Di|ISLc2^c~`;iBW@s##o5;kq&Wf#&EA5tYJ(X>K(@)=?|x_*reDi|we7%S*bgj$y_k#bwVdlF4V` z=vf!T1*VHP#*k3H0A8}~JE74;UMh6jmo07u z^9B3?Zo-@?h%N5%<^A8^z|suUI|jfdJ4-6)4TFhPF9d#0M1kQTUh&dy@_oxFd8gsU zpah9v@fC6cQ<-GO>Y#Be(9n7oF3x2&qoMGN(<$cD< z!sXw4EvF;E@+$R!gmBua)6>;IjH2pkrjh-R5@Nx~HLm6mUR?>mD(wT{MY57oy( z1Y=aS0F!T2hTCieUiC^I>ahM4jFTI*gB|);!3BEm12Y;M{+})jfr!Q~a7>zCw{jvf zs=AO^nS4vPoveEoMiz}L`6??^+H=2WkZ z3zH*Kfj!MkN-AhDv{BW)GCt952zdSoP|*U1t^z4b=I&Vlxq1cJdckV_atQl~llr5P`ij!r)dC_zA319q&5v$ZP;KA##n z1#okA%APuexcC6sKgCJOQ6q)~$cSS7gGeVeCtbfdK_hHp#O7NsR=oU|_a~ET+uCx%^dA;p`p^s{{}96VQ>=NuIEp2= zo`C098_h$-5qJ9aAJa`dyw10sdod^U69th0%|K^ywFc! zu3-g{@8Vc7tnB~6U)@<`a|uhx$ATU%vzhzZ&D=ZT>z7R&)dWt%m5-$7PH}@ZCD?pv zH^E!KUdt}jZ7ln)6eNTL^J%AqZAoi?s^Xa>Yf>Q&0KHm-`SNn!4lR!dmnZ$X{uqpL zSD{R~8!$mLv@7l<8Ra{NR5Xi0YfK>obbY^fzs6l{UF$4lRfASSZ)$5!joJD<@9VK| zR^LZ$*s(9%7z8BS-hBI<QW~3*=!x>kN2UA zuFgq6g;oPga+RV*c}5shD(`Osr+@k)wa1tTIu&5B;rp80!UkyR^1PNI+`deyeG*Gk zkSV~fR+d3jbd4ZFg;@?Ql#tzCGKh8SC;zb}ryh(NvK6jVP91-87GR;<4o0c&PsRQFyEnY#IauwdzMo1FWxL8}wlw%@fnuh$@5OH!P7 z0*;zQem6$;8fSRQFZs^IXD&z#B3&B$LlG|}hQj~bOR(gy8s>ZtxkKzh!=FYxSXbm7 z5YRVpf(#VxC0efbb;Ut2$q1qmvr+~;72Z!fTM=q)x1vjU~i>Z z{kt@0f#{{%LFa$(0-b0!!f%zu_gj#P=$BR)m2p{TxP^=_?EzHJJ*81iUQc1fjM(De z$vL=gv1x3)obiH9qCwb0%!=o$cBa~OSyDbPH4dmRbx4)kz~ z!7C3IJtg82yxFXRvVcLGOkX;ur^t4l^qPT0p7b9NRqmb$y#D{&Ua5GdyczE{h0YU< z9AJDjgC?mqw2Rq*r|0o(wpc*5ugU74JWl(l7D={b5N#n*XzLmU!ZJ4bBzv%4pp-gx z-BvPcqdSpxV^{)XKXlVT1MJ?Ug5BR>H*d0-E;{8=RB_L`??w-u$ z)J#+>LRC(O+LVLrWwdGSa4vnCvH$*hujaY5_SaU zy(c|fjVbRxrJ#T4vbSYV-C$|{?+CEntF(AVAzS+s9EBXG0KSlZYAkjVY3=ee)O+fx zaIV_=X_xJ67@c6wZ9Z6woCYU5Qfuu0gMFfhs8HA+R^&IaJ<;oer!iSAA@JS_ z%-aO%@C5N$G6nDDk#PAZB?(jz^V%W++%|?kSOBYjC$|L62R@RG0$FWsnv(^;Me8vu zk9V!WW40yeOJLqgV}iiXhka!cZPi0o^q$7+e$>c_f>@Va7>#k>d#0(S;%zg3!ltnO z9Bfm??aTO9+uapmfIU$F7Yyo2wBJNcf{c0GjXC zG~D`s%+E!#p&w1M0Fm5k&DeNd=<+-#{52H^iVGTdv(QR1N4^w)l}GY1G3?Wvznw4( z!(xTY0Uh@Z5P5UCS9+nXaRdM_4=}Jaugq5%6?Pm#lCKY@Db}3p(pp%bu9zu`_Kx^! zEUByM`sujB=Gqe7$1!sSj*`pikcMDftaBF8W+-WIv|olGd}nhi?HGqfXF(G9OYe&0 z5hMpKkPjUUh$YaJS(4l}Z#cWu{uHCB{6P|#H4oB3A$~CO7c8mlyPetn)8fi_3uxC8 z^loe#Gg$#2pA_O4(tz9{kz~vC)kBv9SIl5Z5DFv4i9W`w|6hHUkKq4)*1K_?7-Gn1 zA+1e(zbEfTZ3ZXyP)P8o0ylrsIihH%7PisOT&tx!7-GfflSJ|KO*ZuQysNOi9w>4F-X$muVy}u&8K58 zKLvqEN_d5LDtR1oXc zxEaE1v=fkFs+D+<3R+=|;yA+D&tr?&IF;j$xW#7@Np;iM$wHk^TmF*qFN%J3k$9L$ za-8Q|gF}n`?oC9g#e=*Oq({t~4Wv9rf^8|mMc~;?J`r5z$RnJ@;I+K>qSwTSM1GI& zt}8}~a4~Q|I~8MSZ#V)338h0RZCA{h9s*0jn9U)&?mmFbYvUNE{e37j1&8!EVE?XV z%cDgser_+ae@_s_EW!Rzb)+}?j-@QPLCi&cxuG8w1>cp%NV26JWOxl+sZor5wwpvn zE&esLSCxd4L&Lc-eWX5F0?o|@?xOjdpdUYbYnQJ5 zTp>Dqi75-YvX!(&1cr+oIFwEGRmsnSDR+Xbgn7b!6{0U-5&^euDt#I^2!X%)-jsR^ zLMVe=&e3BNqxg<#$&z1bTfm7`iIM8MsGJ>CG=j7ivyn5j{mkR-v8s!kMfMrkK8lKp z>c_%tVyT6gS1_x%-2O&&8%NY=9kvZsK0Q6Aj*MYFFehAv7aqN?8{V}p19Aa^&2%Yd z^#cNCcm|{jMwxm(eJR1ss4o|jZ3cpfKV4rk1CXyYbH1W1CST5NOfn~KvmCtNpggS;Z9-Wwrx58e9UoBI6a0{sDM|vp#o7-B zAkM3O=Cjh6N=3!YB~b(D-6J}51YVX}eLgs2Ds_84fit4(L|rQ73x-ExEle-Vyn5{R zX9r@xY7zTAynDKMhMPCNpWJ|yy{BTS%H=H039FXD75PaAES+b4=(M~95X|E znsmoAG@TsXP=j6!U-=NY#$9Q?5lIohUA}`L)p{bm0*7B8=Sm9E}icO}@+M0PZ8XYWmpK#AOx47r^#Km9VW4-Il)N39;e zjPWX+=;v4ZL{cCLt98v;3UlIF@|(6S`DM0gDBsd+{2rSaF;(>CaD6HtJlEuDf?9fz z3qzVFhNQ0(+ltwB2=9|djv9zIMH#3q>08WNpuPxlxEMl}{P4V1a&0n|#ep&+Bq~as z4%7t+3h{SG4?mn_no8^rVThEDB8Y>qz~%)<7UQAg)tFRf$$?K{=s3LNwrtc80qcsp;9%W^zR(pl{sE{<8ug7q5w zba$S(E)359)q5W{$%P=r@vXqBZzZ9p@eT)UF=HoE&i1ZBFyaqOh=nlhw1T0UBLeTM z)BerTo=m8WLqspX;t|^l)2Eag(IT{hmTk>hddVfcWnCYwmLtBn-&WJaH|N|0`&>~Y z2Ff_xt}*C%(0;woBIq0a>p!S3P)bT({~?0A}yR?njsz+f%9Cv{laD?ej5O=a!>0-#puVMb?TdotKI$Q z-HWEUo#!s7(WcX_V}qtH?ZKNt?kpyY=(#TOgfcFp$e5&X3y$Wl49kCSHsET+y1t)H z6Dv`#!#JV?{5@L$kHMEo4f_kk`jy(W_5BXbxRa`T0=RVt z2h;7x^v`488njd``>K0pBOoxA>$Kkf`c#VX_4Y)6FrCq9k_h(Z7_)@1#zlAj${?J!mvJ=Xwuci$4iw4D zC>*-SmS~h7u~tphW(l8THcl8etUM7%b+eOag&ckS8#Hg57nRAe`NcX=@=HozIH2@m znzrY*aH|)8T#o;3tWpdW_@~k-Yc8%5sRF(#rH)L0hy*&EaV9=BH?z`(R%hKvXQ`Oz z5k;=Kq&*5gcn&U%)R*WFG=n4L^Y;#iYfG%>B8VoD2v-7IpVuwb0Yb}iI0VB-^)!2h zbpN%YF6XqHn;zklOKL{$uYS>U)mawyIUk+Xa;{!VgMHuqTXbXjN6XLo6wq26o$ual zMRfc`3(&Zoo4Y+eW*ebWPWSm*^JoM(_Zw$F>9g!O!1~7OFh2Jgz&MOo0SrDE?_};I8ZV!d~Lw>o^KoTW%im z6!R+Rx6E&hH)mR0S4t)5`1ttKX@g45mg$w1w45NGgnZKegjOEe(b$=##ELX>2J-k6 z5xL*l<2H$TijrpS(u0J3h_H+4S{i;O3F2Yof>7m=SAi%owmB1wb|=x8ukIBVx&D}@-ix^3iNVhf+4t6eNatJ< z1R{U!^_GcZgNn#nBFYJc1K9w>*xSvWb-nQbwSoK&+G^hpna!B|ooT&IBsH6@UNV%! z1ti(ND1PQVh$wJaQL9&1m8YN%$9QIgj7S+EfYd@NWjM6l>g(SdY$BS@Pe;-gJ z7QvuezRjebgv*k-oyfBvywzYT8;#Afm@O?SInW230$&J`>yTLZd!@t~Z*@olSX393E<6**=o4YH; zTKUt1GR+x`b1cQpB3*Y?atjUhf3P*_3k8IQgQpjPMbCswBy1ZdN=Hms1plaFb(RH!U$b}J46 zRPRbK@4v{XqWyBx!K<`V2%Sm2F8$U_7cCad&(pW!no3`b0ECPmc&g|BMBBBqhACd< z2E2AIN=oEb6*@>cA>Y4N*{WFs4mov>qp^K`(>N@yw`W63RKyR))GrR<_Bb*Da5$g_ zCU($Ca#GXut(|ELp=yLMq<1;?3~6p)5BHx({QS@5$`vla4*V}xCMM)a9fDF~izMmA zcET2Rw-lhqbOc-|H_j5j=gRNk-9bFYb2$O84?MFKGUKX+*GHY7`4@ zwj|y*-*R;Yaz#p0h5KdU_?Ew;+tk&(hzr~_GUR&hB*T8sA{)a^wNpu9QPPsvfz-s# z)umcn*d2RQ-Z+kvD8*t%+@NVfWGY$GM_aXwNo+E`vyW*wMvFJz3 zVGPgM%x0)k$SI7510}J$iUa93#e+1Xc(4G3nB}zMI}^4_QFtKxUq6up#ttU>9=0al zbWQ7}tZGQ27b5Vlb;bD3gC|Yw$=)M@fP*5y8F@=!0$yzABpx6y#ZT!(+L}u~f2Pja zqJ<@1H1vBlx78(34B)+ecGOy5pzB0wYBjfj@$US3kwf^BY+eQCEAb3RxD}BaFX)l`e$~aZj3+8Ja{8UUqgkQt6$80S}j+f}d9H3cZYkjGhO##CuZZfH2oJo0t{c&7U zPx{L%J(_MdVdZ(SRB9}u2hJ2kUK)9WF(W57@ju zo!QxVP{_$Z8u+$$_H|7P5JJ`=y@{3YJbXxgbrQxE(M9yanExBF{2ZHZ=s=W}da2Pc7~2KC#AB5|7uULB?p zpB$iS^6lX7&)VCmCc=j`6~vrI+XnmU=Hz@FK1bYlpLzZR(~N~#!K2|Xt&f^s22YJX z2zYiT?lB=tU&ewH82i{UCre&NUb%xWiK1W>cbo3Yg_ck>ZRye|rKg^rg4+kKQLjJo zGB+PtDD_RcqQlC9JSnG3ENI8Ieac*YLILkghroQ7DYz!w(1)}+L_pj&=lfXSTq`yr z_fM+b$wnZ)XhlG@XB`OF)t1+X({7j6C1c<6)lU6*t`zGRdh-m@)~WUu=i@fBiNUP; zJRVsJO{F*&B=Xkw{+2@WXaOJQDOaK2et2KjFjFnRZ4LczR3u(&Pyu zwVhN}#~_;Ry^oheu8%*OI%LzeyCm1{DfM5dE3N3n_@T(w)oeCej^*l0*m*P_sBUlC zg+FnvvU~FYVX(~xd^6t}yfBQ{2=WF49Fy9Jb`E#)Vlld!zdNZfzUDuGp!)Jd|1C61 z$_lRUCQR2!;y*{fF8XNSQEE1AUM4Sb7x`Glpp)*ZqU*TKNmpufHaWrhl^DMB{m|W{ zme}29sSAF3*(e;Yg~hU6pmO~%;Q=(@@zXz{noy|1e1c>e67s4*YFF=R5*_kl^0rCB zx3rZ$M2PS!N*%sRw|bc-xde0jMWqV0g`Y%EGQiS?ajzAdPdcwzy;71@`hy>Rv6!?| zd>%PcBcHNH6rD2(3H9?1Z%gAf+C$LW% zx-xR%GuSS6o6`)z-w%^T~9vRmPcI+%0c_M@~Xqupjb= zQF^Ie zjtU0ZP@Xj5nt^ieXi1_YjE>rk=z=N3sKsHZkAf>FAAQwan2I~B1E~O zLF5+_P-?&WbFD{DYxBSjYv)z`+emS9IJ*|0(X%`Y=X%e^&-Ag~2YXv80a;o6E}pbM zBP^nJOlu&F`&}yR(O$I@sr$127NLKs*}E@TOk}F`XPie_^e>5xv8A?9!bPJiV4={B zHm__?l#yfjMsKHotWcUZJWs$W+R#XLccZdN_RGrrdG5jXU!qNAZyaaJKN$t@vXH7L zFhun*Kt`Nq54VJXE#*#R^mv#3MaY?AvhR&437SM^G9NMSOE;9FLb)ID`ihh|MRwPq z6+7P}LiI4j%nha(bFlnuawI@<;(+R>WuLkrVNVgq6NhTRO3pEpEAj5pPKysY)?9g? z4NTubBI8Xj#UlW<;NKPyn2$3kpc(tB5=T0vEShB56Y_@uSrWN^41-lkm73ysLt?DQ zInZwP=-#V!4?jjxLAZOe%{=n{Wcv--79I102WTxSYeccN9Re_eUd|V>I}8LazIi{XB#>1u{npDeyRvUqteV{nm5A(dm3KwW<4#x z54w;BSfz+(ZXI6n`l9{-)?&E5%SmIdI2)X?Ii=l~T6FlvLK$d=oIx&ZhaICW5lDW= z>T!KH^XjNfsz@6_9RGxkj~=6?1m{7K^ghND&TjwMrIY_}G&UdDHI!xayzd;%6Orb{ zGf%qzoe`5~4ycBdGS?@w{=0hAqv@$rh$o&jeL#69*C*>Pa_xY>tv9VW{;U=;;{wVO z0EE(_AdTcr6_ZWG%9h^RQ)B1o82wG6H*KOXH-FH46BjUOwe1&zYUdOB{#4o=W zCnyh)2l^nXwr}!b_8eRlD>iK=vL#_?ZY9b)F^Qi?-BxQgexD`Cs$v+*Monnp-u=-Z zUJx`?OL)nz{Ww10VXV@i+0Nv8*4ys=`1}XOZvtJ0p{6ZR>w0zjx+D7E+&@fg+l4W% zqqlK|rX^=mVYi%hk1bCT^@~chj-v>YevZzX>|B#BS%tReMdy~`NSDo;jqB$5ACoEL zOVxhxt$LB(9aMg;#TQ8B{8OV)r1mbhwDA0>*~A6uh~;zmCGzCTkKXbY!Ysy4(RBGm zKU7HLp+M@3J=%@afcPOImWIt%cy6R9+*P_sUmWubyEmHwBzenpWJ0mRG{F!wZ6sQaCS(RmmUi9a!4dPP^IiGP~;+h?H}!<@^q0_ZgNM71EI`HF%E=&N? z@_E|uPZ)rJr=$^~iX75I)!~fGIWJN{=G8 z59S}9*)XeLcpTzMqi;0Y@${&4Q2ik3e2QFE@CHkMR|>RbOaWD*j&f$GU&mLjx^uNY#QZbV<^b1M5vfHs0Hl zqRU<$90J*M&?sc1^G=Qr-b}uVabtn`N6w!bO@Zobl5||UPSqq$_Pt>nv!*D5=`3I+ zzGNlEozp6Py;Y+so8j z0bSu+tF^A~=Mh$+p7K1n!ho zjJq|ypZlQr7w*&bTXZkqZZSJa@AMY@ryDPy*WpbmLg0ptVYvwPu z&H00oCTpsK!Ag$YOa7YJJXZ?2Me*@2USSSgc0NZ=fs~a3Ud0$Ntqx;BLZV{z$9fap`T7Wx{Mq9l;!o-yvedkqmmu4WW=D~&sHU< zd`P5*X(+uyz4M|VF*13NAeU8@K{`+OUpeBqqsu?}`*({_KV<)D{p6v0-H1z*#K3O9 zn@EBygoemD2i+=8Tq)vb&xFN)kxm%P*|4t2vd{VOt*P#Q&^&d~9M6E)A9KU_M}r98 z#7zqu;uvBM)7AG2DR&1SW6jxWp{_ARfQHM3GaF^dJeS*iwb?h#cIMT&hNWhkp=M{M zzl(Kq^}}Gt^u8Tk4Y$&pZ@75SK1}9gsFQL&m9&~NdBN>8R>H|(_w5+Vm7+z+J+$um zr%8t=LNiwKgYu}}*h8FoZWQ-(=?*J_h60GNbmfG3_&o3SeF&C(2hxjXbO$auL)g-)=_mx9~pf_Yp9fehG>b{I8EmyB2!O9r+1v-IH+!OCt}Lw@h8MG_f9GqXuUHpeekT;WWs46qf&gS7o?~Mj0GHBxy1NzMxY@zPA{tJWtQZ(C- z2C<%xO5~)KI{Y6pUUsRUX!gKUP-q<7j|kr_*p1&)a1oEsC}Z;-}S1w};y8 zQ_O8Vl@F^kNQlPyQnC_!-%-w9r_SK)X*T^RQ=)@wLz(KbXut57E(vD6)7iVu7WK&9 zC~u0oeQFeXtHxmam(a*^Viqw%kHF-UVj|7|))Fx6?5L(fmXlx_uyB^B6xwl#qv@4{(c!?pW0w(bU1elR4MKZx?n8r zxcPSWSVQUeCo53LqF)`I1Uq4Scm0m1TA0CSZPj=!sfH)8m00L4D{q_1N;#-N`#<1=0Rs zmprtn`rsQVy>*}QBoqV}-3kA@MVv*0KpZ)62jSSLip+~9@uh84dU>({_@Hm+?%Q|| z59&XEVU!EHrwy2`7ZW{z1g|B6{vrtK9E0BQE-#mIDw?UN!RGHQi9jK+WlDW9kV2^b z$&arrRTEzD$pQ{6(2Um5g8Be`jW?wCqcc*pmj6_s{+ELcg342R-)k5j+YJDIl|dE4 zeEHEydXic#6(h{jBrp{C$O48%N0x_%f3r6ev_mowEfKr~y^n2o z_uaaNyTEn?A6p#WAd&HXvE z>Fy_N-)R57q{pUm-Ziv|QZT%t$^01B1wcFR%FC@|JMW@aL4`=9gryX=3M;MiHxNcu zS`Lu&hZr$6@&QI32;r(tLt?&s|_HH$*pLzGcW*fuh;?hQ|&tw_#7 z52E=P8NU<>Nr2mzx~uCaYc*vY=!wq;!<9k;{<2~ZR@0qegTZVw`+HjEgfqayEi!s! zSrFrm>%hkLA{)CiY#48tvFVQiCP1nR3^+|gbG>xF{|fXgTeYWBg)M)#mW)}2s>;?m zAK=f~({GsSe`lPJFY{d^@(rbTrjZWg%bNd-wK+>;on&SXbqhn=b>qDI$OSR=*9zoC zEm`A9g!A^O(6TY*%@&riUdwDw!!V|!UG3X^V^J_T29nLQ&dHBr zHROpWBw(-aZ{*bbW1x_JPC_~$N9K+`JjwyY6ihz@k8R*Z_aJDy3YKbYc_A;>*AaO~ zK{-=0ypl?$xE_uyl^px9{HBLMv)1O5S^@`XxB!u$# zCj0--wtZ!0w7)={z)EEl<^bF-I;o>+q+eg&k~!K{u4zquHR{9x755e43>k<8FBR`z zZgYw4oY$mP)4L$041l~pgUDMvD+^HhcOk0s=7y>32rops-7ZsX+7Cys`0Jwso;0k@ zi$)haW`}vD_=`%T4x^XAV6fNuvBqV(xj&jR)CX>poyw1bX^i-yH zPxqAyRth7CW795wFh)VAw1n&q3e&(|sB%DdA1q`?2YaxiUo^a2+VzX1&?pr4nZH_`|uYHf(9}z|?RCIc4kQzB)rRkqJd_jn4k`rnq3) z9{pn?)XrM5rNi@;+aB+6(tGf(fTVyM*MJLeD+`n0h+F;Nd+!gud!C)e7!etIlXAae z+cWfAfci=gFDdEb;X&APzB+F-G@h*3ON5)X& z*8pVreO0%okaC91YeVK)nIJg|Lx4z^ybZxxMC<3{#|Xb!t@OK(RGZ6Gb4iOZ=wQ6o z)3n^wn6pW?OB6TkQ#v?OF~dj15MBh2M^hvoQ1G4nEGG=3Cvp_mw=+q+?P-S>`)?EX zhmu^%P>*7kaO#?sY*75Bj;y24*#3nUN)#V@VQX_n<|7+5>&r@<7dV)lKQ(Z=Aj_0F zU&CZyjLt^|PAyZVU^a({w%l0BU80{rwS;i50`#5Fw~_WFFV@-& zF`f3Mu4TPw2(&#+dXN<_!~HM<1v#I`!v@yA5hLlL5tWegF>V?~9pAS%s@x zhLM5QaHt;7XG(1}^zY+Ynf9t?g|>1G)u7J~+TFWP)8lK&{a3IH_W%{`$USqW64DrG z=Cx@dOaj3A<{S=G!yG)(>4`)yEdX_3?JgzK z2|H{M;s~^8WDQuNxb(EPhO0Yb{;w0XRCbWLO3$A~QUrka+ELN$*m=ra=fAVZ^6me` z7N;(emgUW@g(H7SUDR%W5g1$#AE*5e#l!?~Q*WLx(bF~#anoW$*|d_Wta+dVH4;H` z9dGBjI8$P@%*sV+?;zXsRUz}k{#eEi4}O#!lQR%}LZc;bEb_^z6JlHbtUDHP*wP|Mk~ zaS_?)8Y2as`MQ2)!br8IXl@SxRh|q!sPX_^EGVPsZ7ZVP=Uoie|5x0VLIRA+6~{t{ z@#H+{&N6%NqQP!S1(NDZ?U4jve!bl9Ed+olgLH#aDj^fjPsK05W7?0JR6>2`T4zzP zb|>P=dWqx>SH^S0l$+mMnD(# zj>~;ztbrIZJ2$8*`>phh&{+t)5+D?)zS-V$$9O`I9Bl)Ae-XA!jtKHSRULo>N8Rpx z%SDhuX4aCVTl0|?)az8%i28rxB0&rcaRAT-345|{k8ACg@uG5x7#ZMyt^C-#2b76g~8* zWQ)0N%xN7*kYvOR{#u6*fm+i2jOfF9mJMv2t@;t}Rt7}>zlDP_Py#gBbbuz%!0-?0 zu~j_qjWHaHq;9%84_#{o3bgZ9`iGlBGNOM_NfeXpW67vif1@2Jvif%LE? z_q8|JH(ax*R8}D1hiCn~Up(c=TQ{q>sf6;lEEj@^5E9d>$wxoZCcztEwtN89Nc)S8 z-~Ub5tNLib|4yE&o_o}blt6WGoUoD|R){kOY-eEA^(%XE*iER!Z6uzDc-n_pmo8V5 zOczN@j07v!jdef;HW>&trqq`}*SS00H2PVIkuHWM@c?0zI|UalI;D3V{r5_}5svwq zHL!!}EJQy+v_UyPE-MkXFAUMK(7)*~ z(mvKGr@x!eSa-=Kr|*4fCI6g-%k?4#17sd9elqAf^B{09oVY8IrYL%)sVb87{V=GA zsu6E6%G!U2(cGq3nsZ>GL78jFbHyEwMgQ=OpIO-YeRPjlW9UlZ5A}#&T~&&CImo(cTR0_Ikt)WMgX0uU>Bh z$)Fsz!f12<#P z&5%Y~<&s0F+ zw#$#MQ8PH8pKvHo4(la0>Z1o|jQ{UQ_s=PXi2_@$`ie`-0HeH*y&P=W1=?in&+vE!X3D7YG3sfMQbe~B;m~Wu0P`RObDSi%Gk=t#j3$=4|?__cAqeRn#a5I zt*f1@9BHhj#;2^ahyDjE0pYO9@Th6Wx7v}^UCKN^OH>a@3SJVYZEeM+!OQckT+T&SQJmac^hknw&3lt1@78uBL}56z1-tvL zmO@Y=duK7PvBLnY!F=!nF(LxCw%UW|g_>7CV6V<$UNGplxvd~f<3N5avv;1Z@|UH} z8Y$|Cv`7juhTbM9>A@)+$GKQPmU1{BaIDPasH`2WD|f=^3jJTE*2)m;aDK^*SX%HF z*T+^Gt zO_empMa=HG`!_5hDmDZekah-5WBYw5>`DRkZ;b=2*IO1qC8V5prsAbMZ+flfiN_A{ zN&v{5xt~Iuw;Vgpsx#5sMNd2|-B^t*?e?}wH*PaS$-(y%hDi;Ly@ZfX4$~r4h%fGW zrF7xD_>KX1M;w@BIVPqjsuhPGI!jqvJD!N9e}V>+n)fUIO+?MWLl*DfODIxVmeI_l zz%KL=dEs`0D~q;BNnmzs=@5Ca#+W&Y#RMpoD@vyJV-r`Sb7@-HgbFPqoz99;z%AIojM*!k~(G!x#RKzAv3Kd7ww?Ipi|6Z&@ zCcD%nIDw;++Vh{K6Fz!_;7vX7SetzLnGhc%)u_rlTkbsdNVf> z7u{ScPFoy6R<6so4R8Q_k?ynh98Q{W+-$wJnY}h}_p1`0y9v#a-)kmxC4}^G+H)VIBk2niJ5nb7frBA{!#8ipUyVI;fw5b z&_LQ}Rt(4s;KQ?mgCtMi{|sD~zh4X}_7!)(oHMH2+6!0ymv;;xWO-$$J=TKVk}imi z9-#Jk72WQSoUWPxiPH6qa(v)+d=r=+*2jV~to^>~!(QuCPuQ@;*hO#*$adh2?<8#% z#(*ohf~mf}*}-bTW(@w1A@pLt9M1`=JJLJ%K>uO{BlHNJb!sW^@OAsVYSX7{G5x;( zW32aZuXm*Eu_O6%HPXe?}w~z#l6;B^a$wile7D<^5d423FD}EIAOl1}HArQqeu}Hs{u#h<0MIspMNu zchyp)Hng=>?IB^JF1PPzkH%&B*;Mf#XN#X37nGIg_SbsL^~aOGeYh!%{=LdfFigaI zy*lpv;KYilx?=Uq0H4?%NTv*K;_$2j2adjQn5?5;l9#jYe<$Q2IGa%YmkkAo5CItk z_YQp=&fNI0VtTc-l2On(?(_-#Lf3I#{nvIB;_QOt>JsFiwaNOyKXpCl|FvV!xr?L$ zc%^UDf^Ez(w*4|V27jA&*(XnR{~&Z-=)i)r7Xsz*;cB!m7s83|Z?W8%C%ua*9~1i5 za*OYYkDKpZ<`S*U0sNIuQ3T4l5VtKs)4@>rvf?xQWTnYyRk6*?SzXkBeMd zAh4Bq6kN`lv?#4AtX+zpIyQ@G_PGp!XPl4s5nZ+1T+(mgm>}( zS|-XCnrrX~|K}%EmyH)o-s|9A4SZ!E(ZZWQ+{_5Dm3^2&eHb+US>~NuIJ8mB!N7U# ziv%!C26E?=D*zJ<9v5&|?Pn%$(nQj!Z;`{}@@2B&P+Cqa@kfPJJTlZZE zKU?f^|NePe`xuA-Dwv*DUPUO1<=I&(13;y7FR{WSrozq64d(Kp>^cYEvGl#DrU+ef z*i%?Lb>8F-_VnpPw}Y6|HX?V*LJ{-y@6WCES^Pwc!DS#}~;P=I*opKj}!eTbb60O9t$Gh!HoKH<79KSGs3&8xnY`m_VR)2ua4DxCb;CLG6_#bRM|T z1t`ZGU(RQoD~Q)GBv^!C1CsoG%Kto*|5g%!d>QrjB;K+m>gwsHi~D7VXw$DSZt3F9 zIGC6(0gvx`yczoDvRX{E*tMF_5#}FFMsF+sIf!Hs%^w-0sJrl+3>igRDBKCwdaV%d zCIwGJI+MDd;_sMf81-fKW0#`dTMjUJn*rSq7V>FNMwzditmi+L{h26xx&#CmdneC> z&S_?q@WE3ti%%IBV-#>JBvs^88Q&aTMy0N>-19cZ+c3yZoE2d~ok(tEU_>d|@PQQ( z7EF1=Zj@_bGvDQ^z+-s=F5*adba_)lITvIit=PtixVIQ8*nYfKO!)neDmrf;#GfD@ ziAu@!JgbO$9bbr+4)<`0??h-G zFfJX`Kc6|bSv+O-(dHpxgaasj2tYWw&mccUc5|z_IM&6>Lr^5gIlpxYE}fYd-YzEV z1MVDEGu=f}9VQPj;=Z|WbS)tta^u|GVw2+}Q9t$?wCVWS%po53ci6pM_Z)oFQl=Wh@iZ>w-FJ~p z5Pzmz99a45H-Q%+Y2VINMOD{YA*uMUm=ZuJ(!Dh@(HeAGhIR)Xjow8M+|_oZmDRQ; zp&>GAVfuMiiWnY1>!#;dHSu17{z}wTm*W+d){3)H*_blu?c(9fX0GFMVef9+4A=1N z6UP@Jsz84`;^%P{2FbZJHsZH}MaSbV0*|S=G58sR$XRvt(;cVmW&^Fv{`P}bq#4F8 z?qRXx3nfwYC61FQW)UC{LaYV76#-txbWmHNs5i;Ss!_WH*zY9YaLyW7xn>t zo*N?d7t0rjTEwkoouKy515<~5Z$-1lEQ^_Qby`&ShxB{t$oi^UQDDyqBlgV3J6En) zIwt0`hJSNJHHR5EQtRr&2#Mp>cp6x}+FL=$JpLoGp7|uSMeiwfBEo=+bA??&$R1O< z3A`P`i@g4l;aOcN6SBAgF6R2N|4q2Kh>^a0wm69cCefMfg%~IaqG-MuPV^4K78-V) zD34`6nJC{yct$2wn%Sbb2&}f6QGWSu6<8LN^%)RQcQM%-d^tMaxXH!WxKak ziALT`qmF$-Oq1iH=VLKzarm3A+Cs*>N=Zht{{p+Djhx2)2F!|9Y_2U46n&eIO@AK0 zS3QvoDt;R(pBCfr%gpTf9i#|dl)eJr{BHw7{Jj0(S-d#tQjlc}1YVV0Taj;vzAVIk zDR(;jH@=- z`xJulEoi|%UiESE1V8%2QT1J8{{2j7k>}J3b7C;V=bB6BJpK$?VNI%=sFw;;c2|H) zl38W?);so5I3Cr+M)J90=+v?2@_FP^ZxEPQ0Y+Jbo2cJ`qFk5lXXH6Ub+^hh$eHS6Unmy;A6xGjUTN2C3&*x?b)1fEvy+Z(+qPGnj@hx3j%_>X zbZpz!xB7YCeZF%&`}|mc*Hv@gRij2>R{eS~vdf%W00EZdAM$%t?hgN1}5R(?*+D6EM@Vk5jK9#Kqk>Zq$QEyHFX7qP7 zjVe<`#o`^p%RBSFRHe|psj5!7S|~4wa%TA~NmZUD6G-W=td-=RRJ2Wb zMb5Cks2zPhTuGr@z&&T3)$<+^#icX448TzwhS)62otjc;XU5jmJ90MhX|e&^h)iQ4 zQ#$DKop(XwGGTQSL~S_qt|#Bb7g zYu2LIJ{o~08IVA@>Z6JR%u0{J(9xCIQ*b?v3iBL=cYw6c!B5%}hVu=p-lc2uZpDQi zVsmtLoE_3lF}@024~g#K3^oEW6Rc%G5EfSvC-}j^eQIq&45)%fy}|nR@dKyQ=cHjkv+#`QmGv0M6O9%Tn&N zWL89j;K96;fqirYOhjZ;w?8g;^P0z3O+TG^8JbERFnnkf{e}lne}iN1rD&%ju6FCQJ3UeZt4|_}xr9VK zt>67_Vr<8Y3O@GOFY<9sx6H?VdAee4ZU7vqUTuTs-DBpBKQ7DIP)biv)3s^@vU$5J zi(ZeM>hP!6I}*LcuC}M5 znl!Dn<}^)S;+(7m~9KW5IUaJZto+Xk~5M8tP~Q=``+@I6K5dP#DEzH6;3& zd7F6tMgpi~^@UQFOC)PID7`&gh4xaVbv8J4mgXI}Tou_(S}jl7kTJJ<;6yg`lyqkU z2Yd(lF*;8|@nZunp#Q=kY41>9+pGHdXs>2fVO6%Jux;0r_ zr~mijAyK`oa6}rovTKi@SMXpB8ztM&9Bl@1$-jw#%!C4Njb2|<9~Xa6iJD5%Ecaa9 zipR4kbS20Je-5hOJiJLeXO&U3ZPp7!hgj8Ji#*h zTiX@Z7tsXXm5k9$jyL+26;%_xmttwo4aq`S<|#eysF&Lk!tRUODjl&)dJe(7 z>XO3&kubpY*;4<0Y2tl;zH=lA1Q-7x z>bgd*+trVDKBn#jh8zERlb?14*=!*m{;^a--oygQ0akv%RBcbFS9faMsE_AC3eFRY zlK?$iu|OhiG(d~U$=0>Rh>BUlTrKe;lS{$ag|p<5BXb#O?7}?5y&e%3u^2!l?!LJf z8HkK_rkp9Vmz`_W6}I4#r4OkA{mG>s{BUot%8Y*uP2~*#yxOA#RZDpk|6LW-Y9>|q zzK#j}iWT`W(Nhe?UsExcqh%%pH83#D>SubQ0sl`Gg^%bdiu`~$3Shs6|M1U(>DFPf zonLAbr7}JWO7I|?f_V8j?jM=8GZA^f5DKKKeUCx0t6E%#-)&3|SoBJv*?q)j8fte% z1(&B$+(=}yfQiQMO(ZtdM8661vNV1wU<5Fk_fkuJpn_KHi);5$km0CB0A5*(*;=)z z)JS4*&AyY1ei|@XYb#k9H<%$#F%996gP{ze47|pYvXP$K* zRbBQs`K3BcbHD&WUPg#@q>Z{zv1Ne&T3e7eL;r$t6ej<}$aGEV< zTMja3H_jOI->txOawZM1Um&9!H~8CCVZ{qav{ho6 z050s+X8utscL1R;Ek3MalO)4|Fp#c$QgSaoc|5EMNqF^1;wUz04xp1w;Y5-JgVMVB7V)r0jk<%nDAE2oD&VV!T6?tdPuER62) zt7`@zb{~9g<|dfqImobG1<`V-md#K~!IkA(pj8>Acu=R4r=oP?{{bKaa~|>{qT@*t z)wN3#M~KQ!Hz7i$hx5p@%3TidGYC@IzN4`jrsVGwkTo3T)ls&HKT%K)wQFPXEkT}n zdC&$WV8tU3rIei#P)2m_zy0eo9J84C*opeA;s&I#e{o&q=h5Z{+W&cu3Wl)aw z@EJlI>pYB{v;#6_Tj=|2VZ@C=UDN$p3Lou9f_YGp;eRU$pLv&Ay7p*cKhT{%={4L~ zeZA3mY}zr&{>pfvg*CIg-XK_~6jB{;|29iGC#j#sY3$sH$=$ALXJcM;ElIf%zX!DI z01L|FoA?$rYo=1=arQ%ZNuLQDG0bE7<9grNPnWD7_(r+E;`2$YA@Yq75|ND00J#?ZKEXW-JD{; zI+UrK{}OE-85vkPN?bn@)SJm)&=u#Ysyl}Cgcj<}S2E!$<6zR0U0>PItPa^RV2prJ>z`C^bO>-S;0@>B$3$IFQ11YWB!US3O|5scOWz< zO+o@<{|Zg~MeZ?r-3hyF?%;}zFTH&q@arr#a{1k`VgN1{hRBXsTWp`@UD@(ar0(OL zppMC@1YXLBWc2>{SMs2#jHE7D{o9z-ob73Dtmv!B^2b1LU!?VBUYuEAlshC^1XDzVo_O<|*unfK2|qv~#u=es4=8t1BA0kcfO+2ya~Y?t$_9An+5OOs z@L|*Op>K~yW0J^C%tP}mz#K_(-e-RF?aYtCE<1FmJ?3(^kvl(Az3Hcp{#Ce zsvE4LUzhxlryPuCQI?Eal#BC(xW5SRn+|GJQKy7pA$ULJEpDt>6Hu=~W(LkuK;BIF z!gY9xpj>N`1K*Hi{mng+nJrk+jqk)DD52rlC7^G>;bjMe;ved4P5D9;ILtv&-3CuW zNxo5Q{fq!X$)5J$hH#uR}u}As7!&PkNK+ z3jH%~4&Av+^e3BBEy0J)xgA-+^url1EBg_=seEctk0RG^i>({=_|D-WekMP!MJvX^?@sSd^}CEw&J(IAo=PsF)$Jfqu->b#?7r_u&&ic71vIvukJZT{yjQ+5243I8qHxnnrxht3w@ak3et)smUZRmzVmN%#P( z7aCWJtmXDwKN(54po`Y#J#~M$F)2WyDxiiLFO#cFUL)S(R!W4-<}3j!5+&}it(-hB zA6FBCdb2>*rFcj;gbbxk@f~w#QyV5*abeg{nSqQMR#%t?0EZ<{&OA8VgP7nFo6ejj zjST2+E8fk@c*;&g|J*Un>Q7av6jySWV+VOt^L5*r*0@Yy(Ia>0t67QiP|W<02;GJm zBx7F0rC}N6&j76gvvf4Kt_k;s;=d+%aM^Tti=H=*%l~Ev-rscJ`m4HrcHO&WgTfI% zAF9DIsyMfHoQnW&2X#o#A&`V6N|nD*5FvQZ*fLMrZ;DU473`r9IDvTYQV~s$fei-^ z$;c73Sr>cFd-@$zZ}wp$?%Mv-H}1*`dvW!gGlWCWPv)jS9pPna!Ftp3f?Ou{74|Ed z-e7u%6h%VFRyuYU?^RVGIxf!Jge*)Xj^*j|VQqr^JWKS7NzYDb4Byd=x=Q>CE8nR;Hu3T6_hQibG1OtR zMsuvpEyu+X@Hps}><#OqVB)Bi$QjjRuI1UAV3w8F-wnRfOuL*eZpxLjOQ1SpF-yzD z_+Ivkavxiq=kKFs*TYl;=ft5gU6L2Uby&1%e||Y^lvTBgGlMb1^uOk4&t}oP(Vp)vop2?4stY9Uj(ey ztMAHgH-)JRK^>x!gxGF!V7w*b+nB}LD!Qjd&4rXqxkqZ6SdUj@Qi(RYSd zxags0oA^6B~dP$PXzcL6I77 z_fwV9J$-WeZPSpTTCdz71?nWbnSi*$_J<>DG^*95F4wCIaySq;{bB#CP=YI^09Hj6 zZHPyXgjyvgz8P7?o9KDqc(HU|uV3h)_VFJM#X(cfp{(kV!&Zm8a=!bx(qF5V-Jf5% zBuVce^Ep)bo6j6uVn=kGo~p+)@;_N)D60GdNHeE=3ul?UE<1voKkiD}opESwx-so{ zMhdzJ;*@fTtml%`z0s+B`BPA5z#XOm?8AB--k8$gjH&G}qLTz;_9Q5{VznHoAiZQdJJrH@*2+ zC}^M8C5{wnQ%vOfPc=RAMfPnwfb*17H*a!i4{>Ax9M&C;yP05WZKR_?NB3*s2aD$9 zpYvLf^xtNl3J(+yh<2UUMOfFHEUE>;!P@Ns;|=hU4bs~vRJq5}_X^CFPkrQ$+BOlB z#XM7P5EKtRx!YA0?$462G|vI(5#1mOa^~6S>p1PMm(W%Fq@m-GhpB`@HyoY?YdwFr zdZawv)cts2)gS4WFrpZs>QJ!&YtTdbeYHBppw4n^_7yB-cF*56#HEWmSEB52 z^vw$mW$z^T@Wa%xE-p1akwh00TToK;ujcP)FC8%^rW(pQJttdV9zgyA_d#wnzgc@c zL4OQPlJSvDZorb2AVfK$SfR%XWR}L!gy7BH+SH52!z@UWq6nMCzdb6bF`FZZlH2np zk`sN6&^mn@eGZ?u)A>roFIU*_3kWh6&Eju@NnK+t#7gb+VbY3S3@ZZe;2s`vm`rx2 zki+zBg&_aBd4wfmcvF+`9eG>gGt6L@hzVf=v6pTYj!4`REyC$QdTOa+o`<7Dpnrr6 zrdWMpnd#^^b>daf30czTf+@($-O1vi>zy~1jt&)ibbzs^G)2MySv*B)pn+inM`x-S znlH_$q(7EWkc;XYq-H5cBV4Kc5CF{p^=jYH67|UC`DSDfs~55yeM9t!^!z2FNFP_V zAmbj&2GfN)C)(kdyUl^|YgqomFUt?63&-_q_!p0_KGt!9@V-fPHTeb$1O`OWTjF^V zW^cBN&YBSAp}l&@(L%@CQ`w=AE6iilz7-T$pzvBz7>A^dAWjR1KFbby@>kijASCAc z%??kq4?A$3?~XoPh(DUYM)&lLi+`{uiuEBR&VMO=_~k3~UK3ej8fY>7jn+IT^KC7J z`!=iPU}n0-&AzLNYJ-3Qd}dJi#gMOK;{XdHyHEX6F)Tr@3IuxS^OwI)YcTp4@8`9x z;K^`#$*x{3MWPf~<2mO&eYny34C`YOE567!Qu*6L(;(?7a2^Q6Ni6Btfpg)ShjYRQ zI-$jHkdf9*J|g9-3ZGakK)qHT1H%`w&+i#rYe5yi=mjLxT-vbacLhr{^}fVANo+ zq+JS5*UQ^D)k-X_mq420OTh=;WQu^2U~?TdT@CN`L?4xJJ$O3f@0C%~E6h~I%9AHu zN*Ui(+>)FqxbKxv^V$&e>r+XbT73x*)u`Ja#j?+!n^@gH~>1IraR?7rL=mtKmOv-}fKzMQodOF5BJ} zn3}zT$~=%U5ggMFm6BNhCHXpPR5DxA9MhyrI3Kiy*>7R0Tq04%G_>!*ei??|An7e% z@k#i?J?~a1`&0`Jo>r+~P+YdJ2R=nTT5QE`G`q+eeCRo+pUqvK&>*Fa< z%SM$UX~A`lFSZ_6>)jDlgwdIz+fUQ@lhxz~a$&mUMOAEDxL5 zY)Li{)HIU!AHVko$lKOwydI@>34w}5z7J75aI%9;e%}0<^i&n4UrcLmO&%xM?CvOw zUh>4u0Ajocl6Y$Vcaf1cqu$1> zjxB+g7XZ@K83VJ_AfrpM4t8@^N!RwBGuff*-;{W(2SdF)tzG+$M|FZd0b_`jXqA^f^a`8-$7V+O*s|5CdwQ9K6t zy(!j(OKyY>@q>bGHWO?;QwrwJ5EmYL#e~0r(h;I4zra72)mZI;xJh!^jd%3Z{ue*5 zbZob1SLE87!ST+lt9OZmV<1x`Gaf~o&jCKRL=#qT&@B^t(~zUHSPdy)*K!hhLI+Z{_>zk~Pgbjxv1I@LCfX$&&9w-O$v@!_Vzu80^2C zjSNNv&v}ZLuXV4T7czh7(R1%iGJvRmKj*)_bmPG@nz9!RlDD59C&z5r#G{l!ISjAS z>zvyd_^vL8sE5J)x(MkS`PskB9zi}JicS|_3gIIqCi64V(2&2!Xre}xf_q!(eg3)C z#{(^Pa)RIhgSloqO*vqGlEIA%MIw6deioO*Cv@AvE(xHJ8XqE-Nj>KK^c!w(C4!$h z)=bC4*M(2!ZlSdX)R62EVRyX0IB?Db?C`gqjv#{>HCy4cMN>Y2=p+7jT%jx7M5F9~uFNK*2zn?tp||78wH=<_9c`$wg#13(L;jy0vfNgAti)o)JY3X?VG` zqBk?64DW4dof5l*C8*YlDY#etZLQU$>5vuyh#zM&jD!#`40Ixh_LAPKBbO!vN1_;P zZ+ceSvy*6rY5f#MnuM0LgMrVah?Q!4ec5SN#?`=hyuF+28KEZJs=QylRd%{IlZABB zR*^gtgPqUGCC_k)>OGFCmXjrU(un5}=kWqNp{gMPQ_V{iH2;0zqXw4^2P)UbnSPf~ zFQxO|h~q_8tevkLR^VaC!5+qLrcn>aQ8VU?4cQle|Ey+r9hG^hU-m}PY`AB-`Q7lS z;M88mUI6={1oQi?Hr$HgROG&9&O4Vdo_dFaeDQY;_yXZyb06xUeK?5%8>1oGbW%toT!Za$G*}$vX?Jhj@Sneb*5l{U4wG>4Yb{~oCoGVR2;#} zJZ z7Y)#2Q_jFqkZ357?MuGd!v|A9`Z4OtRYm}yr~1P&j5Qvzs~G6@m7k{w)XO(Qmdk}P z2LIR4L$&PXFp=mrA-2CwUpsozweRDQx$@e_H{?eIG&wq1u{qdsrg>2;KPkn_Qez0s z{vKQF25uRJ2d@b+ny@SP=V+h)bT^^KwnF$AK~)o)57^t)zR{Gy*n~eX{CGlF%^19C=2?ix>ymfNbdBJ#d846BHB&cD^R;ca3DW=*k zj%xh$lIoVf`Qx}G<*$?Y+7rxre_dM-lS7=N5x{q?b+%tgdV>blC4v=@<#OS~7)#3^ zz_51;FD@CcF7*6GX&JP9Dnw>J|4!rSPkbxGkGI4bB99a=o^*gRyeJKvwcT{E#d&?B zzIOt436KW%yr&svLRm#1k8=XD9EIUYg|qu=++l_!#8F?1A=BE-Q%Z6Bl4hk(q;?)(KQm9 z0MkUe*nASDL(u_IOL^CcOCk-`ldpQlExGI_Z4x}!A6DaQJVLEeqmN(5y$b26At5zE zVD9<_9L9(TB}#iD2wI|hp0&FI&k4DH5PbiN~-sHy@*g3~;K`DI|Uy+h16DJV=XcA)|K6N52L<&H7f;h?9 zd!GspII^m*9z+8?)Xrgjrtc^}xU9I%d8D#}?Z zsb0&xjvty3c(s*U5wrG>!$lBO3HJ2OmfNep1%)Cyg3Zg|n`{@P0*rV#9ZiJzrqe)d zU}fLBi2a!T^oe?Wfe-49i}u2q;Z5vNOC=?)h_u~4Tb!(U_AkU$6RQ2sIbtZ-p(^(& zgB5a|;{?G?hA)vStLcyQsjvgArerZk+OK^utPR@{wFF@gM9_nnKU=og|;RPLAWF-@(?%c60<;E_mEN!k*A>@Qph^M4Wt{?B~MF@)ou)P z2(pCnZml6yMD)le+Q|db+$mKU{Gb*lC)=S^k@lPGjx8$C^97AHFa&T2x8JELI!{7IGD& za6kCP#pRz*{eW0gypc6Bp_2sEIh9E1SE!5G_bC$!SSH-N>nsJ41#E~JZl=zW)yCgA z7JUATqU8Nxz%V8gxV?O%Z{$#U_Q0}>Pl#PpiT%iHd4F+IE$96;IYBXFrH@VfU^+GY z8#wHip^KfoP!RlQ-MJdjD7-IXj}D(vYLKp?hTzxT!yLCG+kX*bpSB37xXK7w+>!R7 z_vxv5J$&renqFKGX|7hU;<%sox0U3&O5Hm9s0MtmF&1i8v0ts;$|2S zp8n={DQIW~$TLz!;*mc;1`5Ib60F3vAS?%YKKqN(3~;atK6d(T|3EF@GSUf~XB zbL7!Iy(EWnV;KWtenh!qp6;jVBDx?B)s85>zbT|w3*ul~zWIfT*-=vV(T7>VyvVa& zgByIu6(co4d2U`(s0|ditO%W=h8hEh7vo%WWWDvcAyl8xj1bxcH~^Mj+%o*;9qe8Fr-{dX;?V-gf7;EFOF0i*L)IwMQ%59@IN3 z9v9LzjIpOsQ+yn)ktqcppI*{PbnsKr-x)8d~J3HaI@YK9on_>+#Y^ZIOpry>kFl)<8kez%ai4UkE zHS^+fBE&;TpO|@n`rvnr8%Xa*F$hSLtizau&@~s-Cm6C(|03s<9k|d&A_!wAoPTRW zz-w1~SaN}CL!_idnK`rG%G&!YqVCOZ=*jycGrA^0qT)R4jG+sZA%uu~*)6w?5p;eW z=mC}j@&=*c`4ZoI(20&~4zap9%GWTT@2GU-!dg+2f~l@|{?~9;B#{(Bp$X@$s!|jb z2~oohSi)24oR3zA-5uMpoQ~N20aD7sbuizVX(dcDBo-$*tVd@Sx(g164uc)aGWHrC z-5>mcwF_jw`5iEVCL4kQ-R->NO<_f%t zYEagS#H?+>lMP*}MFjmPYBX~g&a%%aXttqY-;fKX8r~1A9p)R$m;IkKVATGS$r2&90!L2*pn@7)7aOxh#Ymh5$rgcJQC%3}ph(lwUb(eH3mmOxr4n!WpT282j3@PPRdC>#WsuwU#&1vlhZ`_f`pvKY_vBMEQ6b^m(4L*7d6yM3+sU-)oJ+> zd9sY;((a|2^R_L)ruCCn-ab2f6JUS~L{e9`)@3tWlnoQRV;7Juf0g$f{Nsjtq5s%o zVbKzWj!v;|n7R_UG{v_nnH^UB4vK^QZ&FLwR}C;)vH-Y~s+U!;x?jFL=U_2=@*u*LGE)VI6@q+l;-EHw-rf#}-CwU{}ZtGq#JhL?- zzyU++I@QsJD)eB*%#0F0nHW`2okUt4(PITWzrX@53K(XRMv&h6Q2nkKVzn~k04bI21W9hrs*uIM`6gZo5X&^b+GyYT zzvUnKNB(8WfZPHK8XuV%5gv~}Z%TiKrVmre+|hV0t7E=8!XE|JjNpO|SCTI0hk7=P zyGbKLAo-qjFsL8D?zks_Bj`M*d?UBcYOw+_PyJA^UWo#<_rv-P(Qzg1UKpSx%4*x^ z+gJEAxw*AkHghI+bgU7(touRNxUKk1eywKY{|`(lU(-;Wd0?Qq@pVXTBrerl14GG~ z#hw%*$m`Yk*Nf)nJD)atkGDEl=|I-M@gd`+sPL`I)TA_*!1Z>H@S7?1e*_<@_05kf zJTQ@@L&&mFnclK~x}UwKNl$9W93)c;7uSZ^{eBUYN7A6{nB@!sHZE>c%2{n2?wao0 zt4Gdu0`jeiTO$3=GnkRgCA*ETO>zFY9s2dYKEH$onza{p?-_sz^;}A(CP9W7C(;)y zNoqJu4uH5^*vd5ssP^a;z=o)?kzJp`-IPVt1aSi0!vL0;>R{6PYH?nNfADTA@f$qU z1Ug&2ewsQPc{Ku)xb28^jA2QHRm?aA@)>HF*k9lvp}%#{h!=l{3RVH)4cf%bE(T}{ zQx$^YEEcFAio&fwsm+d+@=Rhf9i37dt5+?gcx&EB70_v7)S<3xK60D14g|?SfPbUP z#NJueNG))CAk=3g#wA)BvMk@c)lQ5kCBE?)f(!mfsS~399^tPVpxU68Vkwm5t&Bfx zFLV%i0P{{y)IUjhx^_SbU0wFFqQ?l1_Ixx0a7Jvr@*uL*3{c}!>fJ+0+YO$Ge(_Dj zRN^IN$%0}v^ckeNz3T8jHK`8KB*5r&ZEV^4KVtQ##~#9T<|o*1&Th1FvIWgshwPwu z(FCcv)mlVv!AGfU%NEtE3C=utnY!%I;y}ojh4u#+=$QIl`1)p_M}RF$Z|(jWFM;mZ zvweXJTHVU{e;&aSH4hr!9g&{$3=^x~o@~8lAwLyNY$j1m`7a_*VKh?x{wY>!JrlsHLV zz#H(7gBH`iu2F82(KhHq@n=gPj?AnV)<95qFdN{*DqdwGrT!+cG=+JC_@=+^Dd;TV zX$sAh6y1;fl;sXIw)TB5-oyAm){KoWk4Bk|!oc#k+mc79y7S2G!(!MIO%fxjH0I%x z$@GT1%eKt6_PG+=Y90b{_1iq4s~9-1&4$&Ip{a8A9`k7I*F`tTp54&hj2!7duBdS5 zFFOCV*rx;vsIY3g%`eeoXio)0eM0uecB&1im#pW!E9|xW8IN5ne+?7(i!~>yUy2s; zjH1;Z$kYa5LHV52F*jW1C<^&T<%|9;d;ie+kcg{zM3MIeOCC{AEZ9p5;ibI1?!)fu zPhpt6GYu)xXz0;I;z^f(BZiN@wh50zH03;a2;yC9LmsH(lHU5ZewDmF1gch|Q;`M) z7#uK?C|&VBXo9Vp*(J0b)YD;tn{h0U#+j2loRGl(Z9M=aSG=;uC0B{GssBYA%`@!yNK`?->lCV#p7$Ay*s16**hyhM5wlvL-@ zWS@{jA&Q?|;IRx&)mAyi(%=papg_KGL5(x9swhrXQcEbWXdQ|r+^>l{P865)9UdJy zGX-<+G>ZYsmF0m4?zK2)zw(g^(uAtxeWJ zZXbxv(jcv^w-AG-GwDatTt@3 z4@U&5=+&DMyfPC|WWo~DS*Ps*Q=gn892s|d9?i|awhpm#D<0!|8_|nSBpi2b*}eB0 zkCH(6=&Q7SEL4?6Fsr)P?Y#Z<6jESK+a*GKnpH<_D~W6J=ycFYqqDh9cK}!lfq9`RbPA43>}dHKcxEE6(Iei+ zkUzlm7nL27NB8hg{fQHi$H1gLwjYKV0dnA=j|t*J0_71ufRW5!g#U+6HNt#N5k>Z@ zsL41zwrcgtGeUhcx8&A_h;y-xYyeg%&39esJ!h{i1sD$9XcUsi-`)ow`7#jHQM7!% zbOC2^a52EjwDj55piyWr!RpPxMts?FOsinf zj7tJNWkOnOgi1qUw*5bt0ig}Csulx5Lsi8LX7E2kv^uCAvx8R*(HvXbpCh|BG9qBM zal;k=Agx{U_YN=2nIvYe{v9ogUD_B0+7E}Bd{ev=+%+G z(+7RhGhk0a6Qd$}!>c2;R$Rhxf6N@7$o{4FBejRKckzek_9$QlazQzs^LnH#au@88 z#eU<6|AP5&?9sx;a5@k^-mAm67tQNf_l?8}yF2m(^DFd%c7G(zSi2f=!>Nqp2d!U` zoo@$4s`OxPSt;V<3AfxLl+@nYe(}%TjjsoJ6tfLZ!3f?&^2nYWA1^4EtW_ZAcqk~Q za|6WZlvytfbpOVmE{e@%+r0W-+KDSgR?xgZcjDOGp^Q+$TMS-2|DaBV2E-W`Lv#v! zNv^RkhQEfB7Osf?^zM15%H+Z=4eAb8iZi~BN=|b(BLss@8ez1$@ep2>{1=@E&N}e` zG5Pltro95-48MO82E6~cHIPJ#d_B^UX1tK8lArUVG+X3A6$BGW75hEtbT7nM5oSvR znF7D7KF(C&Sl6|@y|SXfHbr|0KcUQQ`aT;4!h{s_4U$d$p3kksJW_VL;0+~b>o5SZ z%`7Wpx6!(L>^N0y$o+@;FWWHyyocZE+Go>PV~1nPv#Ws`dzuDT7}hog>+iFJT{aC~ zV)Gq&o0PsQ)S{g2lD<(48+iEm10L>Q-GIcH+CtKat2Fb*b6m*N7A zVXNT_)PW_nEql~7!mp_PV?EcE7ZEaLXGhWShmcEaofdQ4k|93_=EqP%&zr%%gOnyO z-&*X}KFz`3$_zqZ&Sn+>TN|nyCsnxQO&MWKCGeK1T~yJvT(k#7R6;gbigp z*TN!ESD7D~#ExH#9Z01Y3@!YMKH^lDK=FrS3R;^H;gv~nP}T2@8xGj|Q{X_g zF`(c?_rLH?I+3d}Dq=bZ$Q4``bmAj%)S&dtF|m=PBpOq*!7a4#4Aw6p^eEX8d?yX-LV z+<|!x;>5AY#1%RBe2W4?09LO*v=2iNV1Hjv8&P93Wkq8fe`a3ysE9i1k?MFs0JwYj zILK!&>Y|dh*+C-yntxA5nd{QmmdbL14d!Ps?K0FgW*qctEoyRWhSSS)?<|b&{$QZ& zqH9~c?VUi@i`(Xhp9b^V zIMhtfld|wp;&}lH^aZt)zl#tT+0i-3s~uPH~556X}WlDqgJ&S-I(FeKu( zxEc0jpOvQ#I2wvp_P-kVmm~nmAhQ3y7}td_2|{Om&kujz0gsp-Sf;yT+MaZ&!1cW- z@g9tSbnv-SqTrOy!H`F}#$gn-y)y^*=FliVCUg)f-&wGR)FrceL;MKq9bof?{Uu^1 z0ph!{A&C%mz*o^5;iHoWSU)5JH(&qJGIl?x4-h4cJViAat=X_x(!?)XpD^7kyrz{q zP*yBiTP`tVjV3DW89V-hB}F9 z6udeoBFXnAduioLK!1MIX^_9RKdu)KxJexy>86&kB`XxWFYpOuUY$c-_3Gu;-Efn3 zw|%D}kkJmyt2^Fj0t*-BUC8i(@>T(9aQ_D1T=DpV>c>4bnB(7Dh#?Adfvaqh;YO&; zp>hMYE)-dA-}U#M)Ijlop;YhqYHTP+%Do0enR#FH1CA;)wJL`;cJ7g7OUvZ}E{Ny* zrvDFVFX^r_XCw5~4@g#_PH{fItWZsex#%L|m=wsSO_UYe;dQ?pWMJ#rs<-6QCGVd? zMRj1PDV28ffBcQq+hzCgzAZ?xx{T~RZQq{0fsG}w;}9JAxTrHoiHlI|C9OEzU#@y#TS{Y0IL%ls z-vy*X>p88UUvA-{6=YvxqLHsgRluuZ8f@*{m2JWv?pdPx#LQSUgQ7?I!YiR>FcGzW z20l}u!v8Cn?N8m?)BbLEfco{OWX51846g+2A-)Ma4kl!WTqL*bv;^bj zHT}Rsq$wk^67@7@CoUh^&!u>eGDHM|t@@=ZpL%xN7~`ZL2x$G)5cCYcAHJNcHB z2B7~E(s=C9c^=c8$FA#-vAT!gbol!tzjD!r_rxRv~rePKk6A?tCd(xCWhf=08 zuPa#hN^RKDxxw>b?wdZ~g?`v5(P95aHAA%l2xVRtR3%t=vuUnhD$4rj zhmqj1=^V1vuf~S|cKAT}xhInT%cCPRCC-7Uf_^~HAmnii(Y>tVwv15FQX6jX!9V z1T4)Yb6XC4C<(jKZo7(F znJm8pOwk($k;9h$*_r2dejrw@1!F-JNhP}rnXQux{;YQ=H26kf`^0L6P?x&&9@FVA zFZZ*~z0O$l+qW}F{G}KMtlHtAs7^8irn~@1@iMoYygQ7rFZLPRmDR^5M}NsH6G8;RtM zW@>mtd~3Cibh#(el)D`>ClX#RlLW;xxPF}&GkN`>%h4<~`z+Ms9`BGqPPSxKB9a%M-IM=F9XG%a{a|(b9?Acy?sA1eG#xw#ln_X%yrwOCu<7&l}`DX}v97Gewq=+y1Wpr77@5}5Lr~Al9E7W`G zu^nG$p1J&&!ECJV(M3!d9%dhl5kchM=VoO)wvXP~v9i=TjD~0M;ZM6`W{9Xa6L{s#FT#94(o&;0oYin%~GrQ0lsK@8a~7a)_Azv5ELjejx~?!}?xOO=en z@O04tWh?NWZ72C1t91cK+Uq+A%?6}*wA;s*xe#?nv&S1Uzu7;;tW3EH|2bed@u=gt znGgLEXEm~WngXqA#1gmexefoO)rMft5$aX{EjYQ6E|s```um!%-kEFWUWKz!7Bwvv zs|&e`qEfE?u9KIn-BAo>#i!36f3QdN=>zKesKkfM&xr8WFDRtMVsFA5GoRq8Wb^j@ z^S+|F+(_^%+yU~Q4BimWm_`Ecg0lv{J!>~O9aJviwA6xFarM6seqpLly9u(S$^-U(2nQ?>wB2YyZ{>A>0PUdHfjc*B>=9KUVgMeuZ zf%x3zK=s|186r1Qmz-Zpc)drKQwXNVIRJbdFXR2AxD{_Nk(jnUwQqPCQ;Ur>>pcM( zi9;zgJsZCBfs!b{9tBmF?YuXDIy)ad9zeP=@BiJgmKIs1B0f^G$~qobin2bzsPa@x zD^sQ=hZf3CbKn2J0y~gNBd*N_ZagE0nYv`&ZrXdShU0(R3L|X-_o0~wvjTqlx$yx^CkQt`Vl3^RqJs;|INm@?Ux zYy$KM0=7i>YfoCvZoi)r?X<+gL;XIjW_s(47EZC?5+sR*UXHkS8`y>nXnrR@nR)_dfOiBkLXG z>kgZB;W%k*He9i-6&sChTTL6=PGj4)?Ivk#HMVWNtIyu=`R%jMhxK{=Z_IVg%r!H& zDaWAMc1z^ZIb@$}2}hV^=x5j0_L^XUhiL~k#AO+YR>T$Xz)wJhp1O_Nm)`8WrX!0M zMErcxXt6LE=by|KUldizDgGxS25m@YTp&)0-Ms{9v;B0a&H}LVoXztiH8ppb7_+*( zFfE{DXcU4=^taKb$n3P_Jy_jO1K?a5**%~FOg{F*in3X3F1j0T6;^Ol+s_>-1!KS* zTKA1YynCsR$I(7tIt_dPlLs<|^>RTxVCOsA+1sK&>;XmiH8aa=1!6?Ec}#WF zMRT1>D&G{n5_D{j%T;#=AY3RDX=^S^Yh3H|PW&YZQhddeEOuf9{Ij`t7V$xV);SjA z^V@uYL_vRJ;@`{)`%k1e(w)j8*rc=>%X1(S>Og2KY(Oyy<<20 z*aeck7{^@L9CXM{7IB1Kz(8XKe13@Q-YLNJccu`CAMadgT=%-FVbw`jei6JHYhM}b z464aVW7wT`+1k^>?R_Z-hsZ_I@0w|#uZ?+EJZbFL+;@@Upk!fpy}}=ou*zDJ#$jGe zBEn<>YJ!~~6L{^~0Ma1Nx zj^@TQ+P*+wbtfeVgjY|6TXuu5<#Ah6z0lm@N^75~2b zQ;MRfQVm9cPV~Cp9-b}w8FK`@gL8W;5*;b;*VtWd0{&2s@4Q!GmJNHnd(@p1R!DSP zbDrz|pBN<;fbh8a>9*BZ5{LpTTg>qL_uGg{Tp(!p_rm(Z5t^bY9oI=x_;Uqq@2$6} z;sZm{5aJVvCbnc`^VzV60hob`?9tfd8h+5y(*Nfx!l=E*=GXV%8RP~(yOb8x%0zK9 zC8sT)^3}X6$nNO3J2}+den;v?x^g*H!!Z9G!_%wSMY+!Y&`rBd`=8%-f<~=lHn7WE zP`nmEsXwOeUSsX)tLNE+MCi5j>Fqj^lP#;0-B3NC9|A8e(x=E5fcYsmTt%x;1H-)y z-T7yhV?^xeOs762#|NLS&Xl2N!dodlMSDV`)_+yTM5W@od^OHJ9YWNua<78=mhwE} zM+{8Wby1c~Hp!jtXUvGlTHB{l+~w5as9d`yq~R7sh;*;n^@^*v%QPisJ%KoHJZU?3 z7kBI}!3jyeC6bU%(DmKe?9^{~Y2iBnq3}$#4SAYdo6sf_3^PUZ56}?^UX!UQB!U=L z>s2Ob=b!KOkEADG=fXpZO>;_AuXp>O z%NZl`r_5;B#)N;lM1yLrhGOQy&89jzZHjRdO{hR_KEsT2-t;Ph~Z*e9c92~EXSL*zXMV2%=$(&XWV3#7VRx?6fcJa$*zi#NC;nJ&%u(Ec;X`nn{s-^ibC9hiFLCaVi>0XYY2&`6 zM_aBM2*s4&$)8!pf?z(7mf}B8!rbRybc^^%Hs&^qMMu#AF5)vSxa$Ww8?MHEqM65W za1^liIaeK=Nlr8=aSKDtL8cR^N(e|49D@YUBNk1<^zH3_u9RM@)B+ z3O81gM3|3^jmFI^7VR!`+Ut49#f8h`;wELVfEkF-dbKs@^DE{BlrB0^I`vKZ5#Xla zYgS^6-EpI>*k9jSYkY$IW@2viEddB_Z4&x5M3)xD1?m^{;>-Vd^0z^QCW6{`I z+qBC^Xtf4v{lB?6FX*_gbNE?1KY2LXe7IOH>o&0plZsn=Tg%hM9PfcQa6Y)DHecTQ z+IjMQOKMi#?QE8(50nlYXeTUOT3r%@Hrua`=AC?ugD8wf0Wi{M@1HUUUh5TP31AjG zJ#KVHU$nBhHwlYc>Cj}Nc2>lHpnGGnnY%0x#XjKdf=+hY(XNL9Fj-f*sXtSOu2s?3}l8H zYL6&ETK~~0v9~+YkK1fsy@NY@%ZZSz_6GS5@$72H!M0U|WZxqq9L&n&}WvKYghX8P2WQka$ zu1<(mkpGTSdPBtjfW6&XXij)UFr%)=98d^XG{!wU{8R9>yzEz27DkjZ>jM z>XAf!2~VuEf;w(Vk&P;iS{t(?NLWNRLz9NgMPjt#?~?RrhgJUA-}Ix$c=wM2D5^7t zI;wF17tfCkBBO>}@a09S>)cA4-m=k!jgGajI;JXNJ{58@LSg8!zbdVg zZ!P_x$&ih_^|%H&n~C!ofj`R?_`jGj$fg0AVF==>++ER%++$mi3U>$jUz)dtP4gw? zbm`Y)s#5nVAY!ku287~02+gd;`lrrtMgdzbz&JjL8=daUU;dvaJwWdVILs?kC%hjN zX{!i=&`D7f14g&9m6AEvius?7{T@)bODd?U&3{Y+RMU<|8B_0Yc!~S+Gl)-+ejMo< zHSSJJ6e8sCf;h78Z48A1VK$8Ihkw(`ytVfl-}Z-Tjvw0;A1MA6BBY1@bx1NH)PTg#~akaMiII7<;EqV|){JZlwcxWS?Ql4>wT&a{&0Af2y(bCLt5M-Q2kju=l+R$amyDS5QZ3)V<4;zh zu+~TehN`hyPSlahvSlqtYUMo##L)oj5pxGqC{eL|VmrE2RM|NnGj{eT&KU|z2fOF!j1>;I`gGZvMz!s$BS?cvf)hf^8;#d)4_*Em8{^J#}`5R>wCF z!e*EVxYN=$P!6SbzZ{3>o%p6j!7z*8y?nt-rGW1rF~3VIg;RJ!A9XMA{cNnX*y5Q( zs=lKOGuu8ytBen)!FYFt*+vZJ2PKSZ9D23dW_@>|^)XG5zC-=_O^<=PuNXoe6bbfp zF++9<1We0vk|V4aXt-0^U%gr$<`@%SS(zA~6z8&cg2Gt$YiG5tEHn4-qgXBIi|Y#g zd<1XGM}yep+nM4^sb+B062DCS*AR$|_v8=~kdeToGP=2i(m7_YZ?mw#bvzda_O+vp zr27fn`2viy2!3dgCE}W4Yw+oRv^fC5J{kDyI9WT4POVl|$=DUHLXati1nRRU(B%*X zV}yc3$2#N@M_SRB0aA~JOh2+!n1IP1E!XVwI&kN+l`tEpygMb|MVV>TZEgK&_SvDuD7i>t3rD+{8=ltG(V0UbodI?Sd2)~aw@JJH$3{W|$$uL0t-SidFQF}u{p z`K_;+M|pj^V&I75xLHnlTnV#|iSB#B$cY=orhWdA6U4RCho9@+sT!-Eq8Sm*@YXv@ z-mW&a1BanQZmlxKbia*4mJrB}HykX2GcA4)`mN5dzhWJ|K{<8t5daw)|IY7 z37CQwa#Aa}^fj5^SATK|+0!0%pDQDb=k1;>pct^ftAVx#3wsGR$cJ++8&Hz?40}$C z7E5)#lc~Ii%bVjvSB>jK)R*=|AH%*UYG4yOOEvPW^Ge=i->##U!pA8S6#U@{HG5h@ z(yQQvorVrZS-DOpT_+r<9rV0LI!ifj5hX0hevb{~G;0kGe+dH*i3zc2QNIC^o6}B) z#~F?JYvT$B})}xUSw`#AovtSnxh29c#k_1Nqx*Z?;aBUDD%R+Ku<(n0! z3UqWg^tS1@)YsqB0wI?2Iz9{aTco*x_xoknvn!Mmk>VvAxhjs1E}@(_<48f?YQUG(W#z*OGr?*M2?^+0sC3LHfc@d+CW zQB`4orxX}t%i=q_=E0Ni`JvZ(Ub-C#!9W)8lb0~wOIN1_DynC5QjZ&oQ!4=bGtqf> zvWNo;Jo}Tj;v|S7aW)RVoK-$b32AK{o!YS;#6f=z!4c%`&OePx*RaKRXS8#_&Ee#B zXRvWzXs#Q(!w-nGv1%j8kwU=leO_^%nkqC<{;EL5pVUk>`b+Dk|AFVDs&%XOz8j@M{IyX{CD-HAIUOLq$pFN zV-T@$`A~q5sBUVsFsjFOWi-G1yQXUt+YRpPJ2-fhQLU$$sNn;+;8oXtvbD{8N;75^Uom9z%cSWn`+pkD<0Hr*$1Ldj&R&20c z+*IeU>$t^<2r=AkqfGJs`6zQIf>7>Cxg4r_dU*JATCQsc^8IV+`VkyjUI#y8_Suw* z_46o)FY&?jwnP0*OC7{niSWwhLjS1b_KQ(-=@(+)zUrE4IQwZ+E-E|B zA6!mZ>}_vW!&0%==rN=vDhikE)%dbIGH1ytBR^@WAYYq*!0eog!6Tn z7)~x6q-AXU4&YwlKO@to6r#R{_l&_48M8ApaN7>;vTu2JA&^M`7y65%NXR41I$5Re z=D)8J98!2YV~OmRd06B{{y9vV@5Z{`E|;Tu85A6>*|pO5Y9Z>AoD!dUL`qX4!m)+& zZu#6zcnOJ21tfD!O956fB zKSg6v&PPI2<*n2s_*UK?b>7?O@C$)dCaYeHY-z^fF;Qh z)C|9S1wt5O*N)yx#5^fX1uCDwKl_!7Hmu&Og1TdArxuIBit>_!3Q=U?9pC18l8yI1 z%8k6g1=}W*^TAVNo3K??xfwUAFxdVH+d~^60TbuWt<|%YNd3|NMer~G(NMVKlm7L` zY$fKSd)boRET8SQ$Xgl>$j5FVY3(^sm=$e3?mvh3t;2(v@Vi_D#CE5661gUYrV1{$ zId3|;_WHw$#_$i6eSYN1>)@IP{vno#?DpSZ$ddQG@)4qrD@JkR(0e|BS=a3Erk=*) z=I|G8Ng*%P*PBI0$r5(e*r_E*W(& zM}J;N(IOsAKqI-5>u@u{kH|-j``oJO$u&Dak5=pXt5q2}nxyJsP`;5ZJ_6qJuoeN_ zFJv9Z_)MinNYl&h%GXzr1E@FVOb2PZ%;6TH>%5s8dYPlRV2hTGVcr4_}KcW0uU(835dbo~OS?O$~0C zqSW-SI56=c>;WhL@7M$R{^WoWHDU4?lJ6;L;-h>#vc7?ZuP)lC1zFZcDiZWDB&S$y zk7{2pzbcpqJWPrZK&s?Tpevstm{{;N1LJqBS;Kj*!X6|ea*r==Zwn-va=F%t7Z4bE z@07qvoIRQV4m-@%!ZdMjNy#25qHan|Sarqee^A|X!knY%On3DHU@ zTZxhb#<*Z>%V2L$4+?pj3_Un%>1_;QC$VU{39vQ+;Z92&O+DgiqNcYo66Ux^_hkOq zXWz5vKwO^cK+!dUlJx`74w{g<2`H?X1^1m70s zi>n(;rnvm4Fbqly;$q1b+tNAhLLo&4=}WmZLZMp2g#h-)7ix}*AasDFvFDpS;f3)$ z=XBD$hWdw|j58h!UqB`cepAUl!!-Kg&|j4^39?$;b5(>4R#0eC!LZirkQ_0Tp3bDl z)0{*JG!8JBN4wR+iUgkzwSTy+>DlJsPX>Fk=MMm-ws&=@eA_ucPtS{2jmQdIPNchr zm12zxSq{=|M|{6{7JN3IUo%@&|Ao*}MBw_~qnIWK;*>d$(c^BrFW*Ct3zdVV{GzK} znzJ3$CfK^3;p^A2(J0n0LbEj1_Dz-2XR?1w{)6+;I zJ(R&dVE&Zz+M-yDkoeVbNl32(gNX1-)a&_@dLxZ1a4+(QSp~rDdGgfOFQ8|ZuCn2&JLY6WV~*T7L%2I`@H=**>tw8nO!NhvB`NR zR^0&Y>yG6?SNVArRu6?TgrdJ<{|Q)h3p{e5jL)@J5&5?w zTCl|0+fNd`p~rXO(Cqu4UQW81X#4e5SBL#+-|$KDc0k zzZ~$nsg$ofW`eucG)RhZlX>)I3F%J#_UQWxy@cUn`I;fsznQVT7!oCG1=$NW>MMfb z(-i151P2|-{}|Vjs^!{1Tk`zYcUj6Zk1so2lN01C`iUp6T2KDQIWJIE3*w87d@7xO zWJCl(?yt}eCHfGuEKebdCG1X&D0(u&uOfS*qH_PC{WBX5#5}^OdKhBl-&PjiU0VP2 zhpcJV5q&BmwBrgXoRvUeR)L{9dIeNFmxaonrHm1ej2pLf$Ij@uS~XhKAhPbsywjWD z#Z{RShQ_!~9P3VSM*ICvoK+zwG2M-$c67fAWV7@nPIN-c`<9%s>CV*gknDnojs`|y zror{p6}p)sT*mVL^-X-eW*Kz~+4q2({-v;JkI)JvjDZAZ&~P-LeRfi}&+m4$Gt+=A zZ$Z@#Ks9-%`yff&I7FIIO_%E(Xf)Ijf7X!Z5EU;7$S6v@2_ST{H>d4{F}$eGI6v95 zq$0Qchzn@w3US+5(HceffYu^K(={SFN3Asz8ML~ zb)nV7D}1%P@L$i7x%2*eMBL{qt58UrjpUo@m^PYNCBWE{EvgFCg>I}AGg8}8VC~sU z9JB3wq9-sach|1kb4NfIi~1^kyMM?|wGGR{2a)(Q=MqA(;)I;FlNT)Sd1=#)MZy3> zJIbXzSPn0aFQ8U6z9ILE>4sjofZos-Ci>hQ$$`X8n>IDsWG*BQOnG3{ASq_o?TOK7 zbUwXgpS*krNd0|P6CZ?)XWA<6c<(RO$RIb7-0oREazAIa6S>CE%1t+$IjPAksh_b8 zA~Dpfa6GKc_{@H#|Bc{=Wkz$ZW}F+{L#;tZ4x9_-}Cxnuol`z)?RS+1oitWfmmcd~x>srjUHZ-oo*ve6CDPG=_o!f47Kp zSMzd*zfOnzc#Ofr-7z-Z$s1fWoLl3CERErd0|@*s*X`WGl=8)1z8Ya2X_Ci)#c?H| zo$!Gv4N}wGhQCnwJAJnS)5Efogh*gcz8;hpUXXUzeJM`>olYhZfBj&v70%%f?Iuy_ zGER5taP@jzL%i4U5!&~AxORdol-&84!qGcD1Uyg`Go^WJbI$9@1 zs>}gU;2qlU%OSdIzRsJ+7Kb?jDvyNSii@2DlIw;ia`UPO}P}Pte+hU_{w(aY#mw_M&MFJ?P)7tsf z^Pp+JP4f+Sau>OQd=d)7MO5b|*Yin=`F7B6+qwCZOFAmU^FglyQqy7gmM5yhXMGkV zZj@5@&H_Cd8eX)=JG#(*fY?~)cTwfu@LE;J@S+yKsEzysmK=54RV>jDD}u8U%|k>gVTvu!M z8>$<=GEu1L;T~7~tq^6bWB9f9qF*}d>u50x!?p!mr`lwX8AGm!){_l|i=xl$c&Qb@f_v|KTbzd{e-IpkG=I9IfjXG+3< ztLW(&gVD7ZlBLh~2^i8hCFmExUcdPE_X~ z`8x(M@aG;-Up+1nN`rRnPUPDBu7v5O!w5!H>E*M`d1Cx#3f{(pgIaLK_Aj+pAmb>u^K`m^M@0-%3uk0l;D{H0?Y4|mC@&oVBC_t!_Peyok zAs%Dd4a(Y;DqgbJ#P#J2t+AzN#X@2F3S%3ak!d(9x~?79&i!}QE;SoCKZN3!56OJ+ zZk?E1Z3^YXIGn@j7~x|lTP4${x@+#+dH(ynF4qnC8gN6EnoLtkFgXQS-~S}BdKUpw zcV)J3s?;b^Fo<>6L(r$Krehe#?ziuZTSW91>bZ`+Ohsr5=n@r|M|G-RJo60&84^goJT zKSWWe#a>Bdw=>N10+&gb+Dt0Ms97SMJ1i_2c(-^1Wn=(^w#w^Y$E_i1&*Lb<&>h_Z z^mD!fOJt<|UZx$-6B}e8Cw`#+WJ?Glagz^35})2==FKrAAtP;FF#bVd8_<7qP+QI+ zO{X2dFCJ|u&rqwpQlkLftq`+SwuYx`xV&8g)}sn(DH__FNXd0YOdkVVJKr$RX1;&U z#Z8J|5*GcBA~LkIn(l2|BK+#TZ}!Yzx4ymMIB35IjmFwt-NI4xqWiEX>|y5A3Z|}1 zFET|jAgNUNIU|Ae_Jak|M=meX*AnQq9z6S>rM;B~pqzr{3j89d*7XDV^uzDow|}*H zPj-0xr1IC&{s-Mink`v8uL`%gM0PD1W&5Sg{n}5cw=P{5j$~xI56|7I+b<#CJ!nEf zv)L~}_mkG2udlUMBcBpCMfV^C$YkaE-91Fw8a+dK z!iqLSr^VAdQ6Ki@9L+TE&W7}txyl$e>70zqis5eDlZYV;N-5n{`i9o-5tBe#L0L+! zKtG!w4JKRYjk=;U{+k1gY6fyriBQ}1Ag*7xt|=&2Agc|sgGYn~9_`KalqAMHyQ zexMrnXjc!$r$XTKZ21h2<|1uk?-KOcnZY+!9aMdimJ)OTdm)F25SUXa1Z3`r;tz}g zt`_uyym9VQ=D5Er^Bj^_AKR^3n+EAgI|3*rg>(&@`l)D#yw6E{f_k-?cEsYP4<#X+Ti_F<|Bc*QuypB^`G6vJ(c zPmfjpAUb2EEo-h22886mak)nHe__)7rYzVXn@xB>$(Eu5BLWIhVF^7Bs_b8cg$GA; zp!pZCQ430;F7Kx9v!{o<-=Z)qcDsXXxUtI`Tk@sU=}xn1EGT9y1m*ewwkLcUAhu17Hzn z9#Hnzt&#bvo%C z#HxX-m{ji{Rvc#D^$4v>mN5#tLq8~#;JONFB5G3EPcZoN9JTu~xzMDe(BoC*jqg^h zIpX2O^G8%hSHI*&TGbhdew8G1(m`Ztz1kY+OH55V|DsztRNUB7LFdQ7Gi;9Ng9r8KABq~EmzdExGka=4}zjr1PS{f zng64A$zIN6+1{*hq`Isj6d?%1xr6exov;_ySTm7p?@=fXi{C7Sj{;nfQG-I!p3hED zr!Z$~AG`fzwuaTGvM7XGOamSDv=$3QyxDZH$aXZCZ((Ds@1(BKaRTM#{Vc8wYGgK5Ln@_CXFfO$FdH z96;jdzUw*cY(p!7`>nLT&*C7R>)Dea97v*?rHcW}g%|1ynEngpLkEGC)RjDL5u?Np z9;zM9>tv_YkW?krTtku+{KiOZqb9A+0#ff?bhO4L{YbbI)0}l{j1Q`%x{+e+av$qm!sR{P`rOd zO%ZIKYQ}4F>y7w^8l^3wxuAl=Oiq|$d!N|JBoKMSpkEl@ZGukIQa(Rx}U{5snli&3GPbF?P#@;02f0YJQ_Y^+Gb8fH>id#+<*kBXt zn?^@A$)LIp4~XO@-j3=)rBjH4uhRVpU^*}Av$2bCj5yU6KlaH+nlhZPh$EO{7Qds14V<$FNQn)!fONI8JK zuT|nQ)G^dx??96$l$PMI>(@Oy+?T*^s!QnIR9e;bz={og` z+&S8rVxkS#Gl}MZz>db1onOxXy&+D&>{+vHgAOq4iy+gi1x>D31gD!F3h>L9LPIDG zBO@E}V*BYw<@(pIYYq7LN#N+}@*wEo^5C+umgSDJd;k1wmS>$b8hVRQZ$_bl$lO+5 zvh)j#+L8Mq(nhw^ks2mP=dVf1%at0;suuD4$LrTlqToxR7?%QS$-8B6@znxY;LN+- zvH#-g)RG`N}3 zehUXn4;G=wn+*>id(wQ9;pSC z;2r-A&lFnb$76zZxR*!HKb?89;iMvS22ws)(H1Bxqd-5d>qWHl9#OT11b3gw|sKo z4SIs;;OF(0oo7QjpENfD0OkwV2)6&Aw5q#6IMX*9ItTeA%Np5cxF;PbjY+7O&+s8# zKoltwZPjbnr1hM2vh&!tk5Mr@mS$rqq;l}Xyhi*5WsS6ITZBP-%rdq{vQc5%g2OHc|zEj9OEUgM;2{Fqoi~3&#JMTTQ{P4KJr5Jk~UAPVu$~9R4<4CiRUW zfa>HIA17<15Z>FlF(IUED;Kjq$7T|Y@uCM~sHg;@l1>%Lu!L+RQa9M3$;k`jX1GSy zGOF|4A=|%&K2)=Z5^D#0XFW%G4O#kpAJe5I|H?OBaRY@Q+%Jb4C&k?x+qCEqAVy83 zPM(XVtzgh`s*9yepCX8~`3LL?Rkp7uZ17xceGc9REs9zKf?uHuimjsWEN2uQeXO0i z2KhM5yP%u}o%()fEJ4K}GZp=km*r%-6bOX1Oj-%M;_f$jh;8TLVgj(qP=mn08CL5< zjY#oizvhPtiYpC*Gi=qtH#$e$eDU7xSY`00ZJAy2wJQuZ8-3ls%bsmC^7>=x9*pm5 zaeo;I+T}jesM?U}AtJ^{NC?(@U{SGozrL?bfSeZe%;Vd;2J4Ue<-5*5w<)02q=9;1 z{{5PrRt*==2Y(d_Lcwu|YDmuMB97 z_&nE!nzpBSYeIgp4fxO*X_@Z>_KyF08{+Z(C{Rny^_~OA7a7CaqaU9hfZ;8jVMFqm zRt*I*=??3tk2OQW|9V>I+&a@KG@nPq_*QN0#>%Kpn1U$aEcPr$&3?pzq8+}QQ4i-a z)snp-Ek~l48IE$D^zCabP;r~PeUF;jUf7sD1y|ZdHu_*wOYwUwiAXus@QygJRlZbs zGd(d-oo<*nH1gjeyWbrO{5$03k5)vDh8GE`pq)5S3zLxz+Eq_7^>3;yPC-#)F%M}|A2f%ck!R+MT$2>1a$iF*kbhE z?v1Ij>v%$MwO{ zTM1LvcN>IMo&K&YMCIW~bYHIO{4k|%4MIgY(G8T9eo=ccMXX3FpZR3!hhCz}D^qm3 z_OzFaJ~;F^3~*%k2P;k!41Rrg|Id-8m$`WFNv@DFi-AIHQ}k;$(Azg~2rFc$Vz}8u zg;ByhE`Fo6$S$soY~RtDI1!JE7g!+leKoD*0N}`T}31#}3tOcm>s?G1CW8sDX31PzpvXLZCk6R_fl$|_Wrv;Ds z;{Anq+bSns?JtGw}P%pkA-`-(Pqs$M%WswFp) zAziONiejcKI!a{C#3U+0nmc(7@1VFT0yPCV8{9esHIi~%4~D0494=8tiXF_8SgAYL z|1fo;xi2|wZWt6{7Ll##;t;$UQSj7~&h2W#nU;crw4xPu;LIG&>;pibM+(b3hK*VP z-$e%ae_uWPj8^D*%5E)cl~wpsB<~-1Y$63}sL8D=(%2#BbH7Itu1?ZMpB_H*ZX(Y{ zb8z&r@N-4m;7PkbzC<8Km;Ubq`FALqaaWbsHs{>Ly^mnf3)W-27}6O1Q3S~>oA+L=*${*yFY~kno}h&$`i1mp(=f&`7F<`D$Op64 zKn0|XpT8fVZC5`od(>;2DWogpjD!gnZw!-6{4F4yT88Ybz`mP0VL7=1M81$U`q8B7 zE?5Z5H_CqYu8VcAK5jyI+vPn<)Vmd~%S<*Z55i8J`JuU5x`hqHi32djJ1&a5gH3|w zn3RIGCJO^!RzK=KPRek!u}#dk3%C}7iKkI_rjGg3gqH9nOIrdN-y!A>AG{l!*_3i0 zXr4)`lZ$)-BuE8GQliC#Vo6tg@;%S*KhO`{iY~6@NjmKTf37R#{dxF{)*JngJ!s<| zocim0kaVJOLh+l@fh0f ztmOQU0%EW<3DAp?(<3rRlOcSo42-Fb5U$2+MI}%rNhCsmJBF+kYzo#{q<6I>b5O-R&SrjV>gql!18r%jhduY3@9b(OJPW+w*kl`=)Q8p`%hmc1hg3&TtqWaC9&K5e(Z*?noWq*%V$<|bQwm{2a$225+ z8@Cou;iB9g&xiWc;AP_C3s_5sB>oe7^LKhY*AK@_4`<-oex|*6s)P@aDj}*CQF{> zR^!YvU#IjfQ{3YOndd9dIFI#Uoh8B&ZfE4@j$MN&3ew$UzF!!&zkvCrZ0P@>7Ikvk z8cpsV2Y1W4JZ6ESMfw)@X7q}%!5AUeMlww(rITM`ENC0FBvz7cGL1+aHH?3;``vLyO>|}-u%?xSi;-jRptk27#+|!cQZ2mc zwiEr~k=DgT_mZEV3gWtAyl#H4cz8ml(MT5OMoiaeGb&R~(6PS* zzDsl|_yB6**fZ+To8f%m_<0S!Yk%n%8p6h=(U*;kU#l(fF6{u=yv*VI{QayGv_KZmpPlayZF9ZjpF;L!z43lLhgTVW{ngwLNC5O4;;vE>a>iu6ywob!8Q1XIGS-c?}H5N$5o~^c{n@E zuxJprf%}*D=Ee~0YK-(;RD!0Tu~EHbu_U<7{j^s|sh4DZMsfrgHU|6s8!3?N5*;?`wPY1aXh|YQ|1-bIU%9 zg`KJ~;D6!<)_}(B5h?~W1iITD5BJQ09hZ_xNPrq7K+y0Xc%VZciGBm4ZKF!5p1 zDgJ|Hhw7PNu50M(MZYw{mEZL? z^>i6!H07L052@~wCD9Ps3bM4hF!^n;XJsnGil0!4_=B%E@(QgKBi%7TxNg~O%n1~> z3}khCULf(J`nzIb(7bYQEUXenTn*w9Nm`KfaO70eyPpairmYp0iWbC=8anm$B45^;!#om7XaXFpmD9Z zmcgD6v#&>S+Zh?j5Z6+^1#g2vDpwCS!$EZRmwb-j(eLc|{1r|}qly>@>bPB`eabn4 z-|AUM94n0SIlqUC81$C|7xyA(>?CVoGe`8_*4l-d_pN1A*oG#3?c{DWa~a5R^%hi_ zPUcSq?sGqaCgt5_sPe^TE#-BsiePhp*+6i*6xlx`A>#WqYj=q5Lyu{oit8$&Mk_PM zB|2qqe~E(~M$XSsN}tU!R(Z)PA`-%K)b{@1DCe6(61*?Lk8gTTN)k)AusM#i0e2CT?!StPv$4~h5gXp~ zz|0!d3TO9+FLr;kRf1EL^7Os)yAwW#cP9?6aZ6r*C~DR<1&pexsUssOa@~tJ@>Fn9 zbKD@*dZ_<+V)X0B0(U{7O>!|w>ZULT7r^cdb|q)l0h8;7)BB97_7ce^o^InJudSip ziSTQuuS$Kzaf!>HNOoE|_&mhk{M9T#$hmIWvaJoG<%~*hKy#x6MaEu*_uI3PUkK}9_is|&WLrmC2@n0_>?}|)NY}Mx0or$vq!Ve^6IA=rd}0; zg`x?1%Qj)nNJBA}R7K=swGgcZBYQdYaaSY$RE5yP_ks#Gv|@Uva(Mo4VCBL!H-l@L z*%nAct#ZQDEoOMp)6K6rc9(^Yubh5pUJ);DH7g3|N~Qh|uy6uR8Fc(OprFd8n#vYY zdi@=aXDAQAG`(G-Q1xK{bO8i3!eNF@u?B<*E-MKN#oBS*$s`sP_Xos?=cfL(KjKCY zJ_#V+9aQCjm`tAw9XwvbKu&F2liN&vK(-RSH(8R4sFqeL$ zz)@ONHemeRv%x`sseLWFsL)n>1cVy23KP5(u#=_Ds%Ar0*>j$b9PMZx8r)_cK3U^_ zaju}?P@NWh8kKH5`s3k8gz{y=?_36mM263WZ}Y~1Oki5#_1$BxFW52&PnuAHa)@<3 zKfLHD=7Pcgv>01wQ_%>XjB|3w;gq1Q^>I5I zvgphqo$cwLkq!Bn2a9I`1_gO!$>?4(R2kf3O{nuqKJZnVO8LXO1;rjZ#7yfgjnPo83Kga%L&}etf;I`isko%gO#ztSf219~A46G-dEFpE|x@sOG z7t|mL>Un(^zD;93YE?MD?3y-n<_-McpGPn{q&h#oTB4XYGdHIG6JMWn?$e-sD^6T* zauVodf=0gH`0{e<<+0P`YZmEu)g$09(7GEfbeg82;S5Gy(Vc2DE%cuPAl zz;EtU1nIg*o&3wZhy!ODFI5$G1IKO%Zmn6L;UJsW(}TX1 z;eHc#7Rn3Hq{OFHA#;JB1VItdA0?zb%-s~)qH@|JVjd+cI&g+O0h*3x=(O$yncEeAN{<~Fh6t_7M94J~KN3qSiZ|}-2+C3t z)(VdIbC}Oxw_aQ5e{=EWxbM#Zd0CR}Ll5f(>z|fxtREAL%Bt40K5COS+y)92h!YkE zNR&4nI-FutSE-}UOQk!#k{vHQuM@M5~ZY21c!!pz7zF=5+r!l5} zi?_T1y7#mi-u%bln1zQ2-%G()R0qm{2m(71^ocZ0K(TB9*2<>Huf#G2pb5&ODjyhFp z`YP4f^HrR5Pg2zWZ$3Duy(t;xrL`$F$w-Xef1}2Kkb^=x{ecYs8ZJ*w!(b~hVfXzP z4youlPHx6h{V!FU1BKT(kL8g!Uhm>(dhTZ(sISOn$x7>nvJ^1gM_mqj{5YxR!5D#y zYB;67{MF!h;-*H_LVqh(U134d`8Gt>5lXoHIF%;w)k{Xj>5vtS4eU4&C|~{1$&pW z@)gpdKL)$QJy<8w6-$QCh%{FGN_XERXC5a?3hDp#ZRC#DYC-j-5J%GQkPpA%vF-be|>K6G4{_IwU(BaG&Q9yaibBn->!D= zm30=x&(9MF!&XAYmrGwmrh%FGB79B5Sjay0E25J(CGe(=pvW}ArS}t!!??@*KJ^nO zij*9U))v8i^P1_qFjrYvJg6DUUry%l+ZO?adERyLE>LWLLlR4?V|+>f&b^~i-+K(@ zYsev>-C>tJwj3Id%}Qa;ny=TuIlCjA-Sq5SfAa2S+*NK`Mqd-^04O^0^5vD3z2~nuvXC6$%ZQ-TbQit^5qv=tR(EgDb0|VR`ux+MMXL=uJh7S$YeAD--=qxghh&*jo3?G}-3)>kXU#Wt66n1}*xJ|9DLc{EEPvmc2Eg@d@=wv}FnR+> zoZ@CofhB9vx>A7ScPDG0L35`-|MBLJ!jH_I08|+sqvK?^sC0Q@KPF9Fk_R)JG=xR! zx)Q*e)mI+RTCg+?84m2B?Lt36zY*1m&d-(Dc0)O`u2gD2M_z$$x*I-C8}3Ky_G3n zeiTMvVS_#bW(s%v=N+^GI<0y+PWuBCo>OLqu53X>F5UYA3i{F)5FzZk$NGH~J7KtY z$HdG$|8j}oR@IRsyRI^=(ObEEyntAGfOPjy;oIeF7cB-lXNU8bJ%@sRn^mPMKVJv(KJ>3gT)~C1_MESjo*G= zf3)XlEONsnI`xc!5Y!u zh>*CM;ZLJtsCG6?eYsi}>$})QIqnZl&o@smN%ldQiwVYW!QBK8n*Br`m>ievf|e|yKpE;RuwoLz^5ANl$CcMhcNMPt-@*&^<#~y>=+FOjqaJPNf_@P zD1}+=OyTxWg-LP>>CBxXt=`wq{_~DHk6)jGP9YzeM@_FdXzg#_8)N@NVy%zq$~ON# zMHTB-6wg_sOecPWE`ULT3%$j4cs1qn!LFu^%8l0gc0_!vVZqN-Prec&f&KS$%?&sg zR_x>tlNA6a^K^@f(>qB59YhDo_IMV`4^%EL3JV5WD%zWf$fjwOARra?J5~T zO4qiI|>YMzI zOB4NE?53C%&BUoqlL4-4Mg#Ue9qgBAo<6N@*1|w)%!HVr6|-H1L`(hFKsXgBCO$u( z{S4HQlHfb+${z!9zp+y#+g9tJI=BWB<$vjNwo^tiNRxBRRtp_)Pn1K$pMny@RgP5T z2vz=9&6n4MCjJNlvZCZ?A2`5Q9z|c}fA6QJ9?9Lv0hTf814AeV znNKatF^bEX;dgv!XS4!!LAl2vD2Vemzf4M0^dw+{oBI+Vns@(Zf>vjh@U(Z-Pxwox z(7-DtKy;c%n*C4B(e>#UE_RyFMAFqFC33wOnMP zkehx31Xv9hiY}8PO~b{+lGZ=|YhT1OHP&~Hy1wtd_F!v~asCPbA+s3&tZ!|Cf`sKU z^XxF(0Bhi@7+O4hq>sCU(I|71BnVhKaPbz);d>oauVz0+mkW>!=*tS*{5<=BA9W5; zniiU%@YJ;=uV((d!IG9 zi!1ep>0PwB$|J=dNVc8b<65v(lh3a(|3H9iG}3FS!2oZZw4~*PHywhUhwOF{K=h+F zXl?GLJ!FKThmcLp9ba+rx||^*R;|Pp(RC@OS{Q%3zRdAp&H*sj$1^^(-_ix_PTlC} z;|>L?B}_Bs>zzbg$%BpQF0|`uoa}7o*QcB>bN)DbpD~SB0E6&$y2RVgm2rk2nCjQVj{@ zdZbO`7}UP0{ydIcC3! zWQ{$#Erm14$zacwGx6>B(P{J5kDAhZi`=Q>cdsdzS*n5sH395v{rOb{^0hJw&-)vt z*3MWj>r4+2vNfR={V%KoHsIyP@DMJlbcUUb8eQgDacDM?fCQhK7_4R2pwqxq+}ax=A(j4zBoTB zT&Sg{Ir^nNqvB07Tn&4T%fZN}xw#;xWsCc~;BDLM(dnEiMff z$|M5A<-!_id!$~@Vv|M))W4$0*dIahaveApQw(3v3b6)#^jU{?HKEkyIxz&7U6QtB zBD_0;nCJ^w9(IctA+lpDo#oIRLCelH_a$$>Xx}P_lqbP_E8s|w?>mfY&#Q1t14DzR zgi%Pd-Mxi;E8hi`N;-$zD*N=CqcJzMzAG9FLaI_Bt`c`!@NGhmx%W?UQovrg)Lfa3 z8GnvO2g4?9=T0I#y^b13>;2|!5BJ)b#KNz8T8@LQ`S1Gyy4PP%KtA#4F$(9HeQCPG z4eeX30x6-EU#sa){MNj|+*mVjS%XLc$*H#-4~GvVnsH9ej44wjeAm;N<*A4?yU}#J zI-Hk7*2X#%N-z)MneEFtlM-G+8Fh2ryn_#c-TlikCW}_7Wtu@5(vXEBP;$s0uw-Fa z5?4PPkgpAemAc`*k9JJZHvC54v zcBAzjrSkfiao)eT0U(#@^a;4EsZuX|?QEkEyz=Q!hOLLIBUFe!@i7J4d29UsbAkrR zU)14@i|0^RwYiJT)?ygfxz*=Om`71dyrfQZ8?W-|OfI}rbwY<=$%{*uz(sXuhYatX z2&Iqen|=mHPoeN`t8&jE9%o5XwpewX$46mE0smouzcy60#c;1v2H(t&<#z$(ZvhF4 z)qpMd59QIB=-XCUTdn%m;5m6dY!O#!=vnNy=>JJbr;y5jgUVr_$A9cb_A`^DtxMtJ5 zILBvl*#k=wBdXmz808c>;2TO1eR5_GOE??-Y8_8t5q)kWxXmpAw*AOiuS9XIi}-RYcI6aZOzDh z4JaF%DyL2C-*$EuAKnk1+h~VjPumAJ}*b@m}NGA{H-MEf2<#YzKjVKO5GrfSfCF~pA zbbywaM=ijj0pInWLE2atfDCftW~PD3i}?*06P!kY=FZ!m;{$ogJ>dWF{(UyjQv~q1 z?hNc5oj;2;lE)>Xr*Y}QWXmw#6vU7GjtzR-a1{756*>%Pyn0W=duaa5}4H% za{~Gnw6^Qf8`dS%#pljiB(Eku4pS?OkWN~N*QSwG8qs{~tWy=x6qEq^wub#xX;8n{ zhc#SFP)6>|2nFq;VtOI)BuO$<}?~})w zUZ|SKty?nZU$Z2TEL6>Z!4ggR+Ae=J(Ei7Q0y zO^k{nBXM_){1?yAZxH{`Wm1YQ3GZ6f0<(h0TSe_-IxoK}fGX6H0FwRDqp_U0vp>4= z*C$QQzpDzn+8!o%0RGZs?sf!r1I0J-A6 zyW>m(fA|+VYOv3ZOT_Bw+oD7UR=y|cA*G~vL5gG}3_*-==){wz?LzKu4n|VFrQaCj zEeG5BY?QnhaLCX{rB6Bs)9Oup&gscT6|T>)d1%1h<4^g+)jx?W z9vGb0=D=2~8$L;)M5GgeN-U=Vll39c$4y7tb$}B@z2mq%`KC&F(S z$i{@fiSX|HQunHAv>;N<*qd>eSIHpDcq4=8=9=yD@eE~$gDch(%=6L&gDspDxI!u7 zFh)ETKtr#EA5c3A}uFHS;pk;%J%*xY-8+{7HAgGb!D-H00s|L<2d* zj&Yo_k_;*h7Kk?|t1{I#LNs=pIaAE5PH7(CE;sFnClPvx`t$d+u|H+4gT=Y>#4}{= z`~_p*jx4z}yRgHiEA755#@%W|P3yWp{LW-p>v}uOKhqiEm1_{Hg)@-gYi=kS0x1ax zD02TMw@axL<24l|=&nKyP=LzgK*XS!(TUy_UQ{+7*_k@8m1&y6$gzj`ApYaCC3ltQ{!A1l_x2{nHW5ImRH z&an>Q=JW!6O(Ur7=N`!dw#Bf&UMJ;9SC8aN?0=TH7rnGocgmH3wnXxTn+u(6@k%vahe711 zFD%mrupbV4?oKTM-Tj`Fsx^C@Ol6w$8^f>>W{3q?1eoi!MAs{+6fk}CgPE}lp7=4j zR-d$pBHr|%>)9=~SoVPsKmk?e)4yJ=6je+0Nh)gB>Ee#!ZN9R3hdo$qhZSO;Ot@Upk; zQ3;RL1W{6hDgM@yklU`eo#pYl)y&@+as zY)SL^V5DbpXlBdG!Cz${c~IQ3uEEd>NKI=yq}-{MbIKxgYl9WVl>#am%gP3DbDhfu z^fn)3li$bvp-M|+B-tz766`U^8KTP{6}W%B8L-2mh$8U?Co|%V!|C;s;&pXYF7=~4 zfm`y4>rX^LR3J?MK{wUR%M<>3D!!zW4);?#U@aTO8*1hL%*8 z2daGUhgha8fPf&zILI{tPo?{o`G@?pU4EG&U1p;_5h49T+U#I1H9t8zQ-(g$e@i*S z?d%7t12)XuC}1Kr`^CA#x0p_ywh>nQ96{0B@6`2+_4Ky*H3Lx9R65Epp|FIAXTLPn zS>YQ~gOVjn`QsZh>g|~nn&!{t$1U`8j&64o^!6?EcB&}y1#?BklLTicH`*$`o6vFI z0Q;bv*+5~VqgrAIClUGA7l?fEL8a@U9LYUI-fK4Od^8Vtaek#jjvJBLqOdWX^XrV5 zlE)Bwv>R9t#eR!8o}Ua~^uOYeMvL1HQL#0EXW9%Ee}tu0^1>k&;BIzCA~}$+5c!D& z7Ox!Xh9m+o`V1Tz8l8tS(|S_gKU+3DL5QPBjRQ7PQ+r4lMWROYa9AAcWB6pAdrtX* zdGLE@umhpyjozTeua3waob+*7C~KgF}3mp1cXF7cqHk0hKp~s3l}F< zp`Kd=^Sv z3GH0GxSetv05fWPeNoe}C zC!h?L6*k*Hn1M9yv2i*t`*-$%&ajgG+a}zc-0m zUy3U%cWy*)cSMYeV#u>fTJW31<7Z5pQ)t7XQ*J}a+2MUTwVtG{U3&}3+kslTM$P!) z1kR>P3PG20GQqI2Fe|AzqfLQ8Q9p@6s3#soB;xHBl?B43$;ap05%mJc;4BV~stP=s zN|&7J#G&T245m_M2;i>AH|I84-5a}ewXFzUirf37QfP!ko4?mUn2}bPX>QncP?CIjeJn~h30(NS;tKMD&h6gWG8+Bxwj|Bg z{74UOJ?H*0u%uwCf4VP2Z_e0$WA;=Yu@D_*2WT%MB3HLPeJOQBCN07V&f$22PX4gQ zrs-s+c6kTL`a=PsV!*3I?koo8rRG#*cgLVYibA1XDaEBn99%P1w_BAuMNwGqSIi|cTaz!-4>lxbC#*jl2@w~0aLg=0rw5$Rg5=UYDbinINw{PW0s(>6Ls z5{FKA1#rv8G`^6A>U&qMl&Aj05G!kX^g#}W?zyG}EprEqPlRuFhk{PLxF}Yib9mPk zmzzFih@Ciwa@Pic2Gtb^m$abKHt9_gfChF`CCZ5?*c{#MlA{em<1Dq`Su!-}>T1TC z`jLJ|gb{dOYKpZe-W6$�@=9{{?UpG)H`T9nY=eMn7f`@SE+ZJi4Us0ORAm+m#@W zNT6*O%VjX7Cwx2p_8lq?phCRtX?h7bnqc>mgo@lYAgzgv-&yUvs$Yz!I>6$MM?oft zf-D^U8MKN^EQS?JNj9r?y=qLaPvFfCNTuX7DV)^RaVwEO)8~jLKOh#PP??z=jrtwA z)Ir##&g(Hc7he8agp^W*+iTetsOmsv@z)agJ+-eCcSgqr7gkdjLHY8^^+}ih9Oq>& zjkKwX30S}&Q+9X`&JX4_6b}nmA915C z7kwzIuhKzMane(ss64m;VZkS4`89*|No(+#ewQZXZq=+m$gKY;drnE;!TJR94w~A0 zr#Zq;sX2CLSO(l;m#=|}cZ!DMh!&_%peXgDj$`re@#?5e5GM7=m&4S{LBLSE1v!21 zE*GF+eYa4J`s3vXapYN#+sa43iN0t0U9p~CxDvfZSB3!{>lHvHE>PTWquEW1eauqT z2UTnUE*`#{JY2f5>orF>kaOC;%2?wk{Iu5!=L4n(zwPLc$xG-!Yv?3OYgmt+Fk-x! zMBaIn?X(si7lDVyuY_gO0m48_movI`cs<=sY=+FX83*V{gdGo#`XrSNb_j2=_)b~+ zCsCDx6E?dRW|akVy#|eQ1pk6;+Nk}^itAXg;b>Hc-I*XgDO27v{3=FgJhj8CNEt{E zKusC+ss}Ftbu{cSJXr{zLDrKT8VFbD#U?<98Fn;^Gw_xU&k-w8xn`_L@;W@`bH{Cd z|2?JCQTZon3ZRPTTEmPs3Rs(<6($S^`;Xwf+_88dgr$PkC-3sZryc0zhUj$>N3eb^|oKPR{4^^<_!jr-pJ^Qwy6-K7*NM=DN?RFeW1`M8E9tcgY?o z*I_xWG(WkN`BnLx&*buK5_LH_9W&qY-81n*@Q0lb;(@wV73huU%mQI$ge06)O_j_a zh4Mpc;X<0z;pjMQ5x8tGL0`-J64E0-J-cdLjCD}NO>WFXd4UiIn43#xs>BFg&>{?l z^Dixajr{$MR$hq6aR=3FxQ4stP%%wZ6GW7wr=h#R}(28a5buG0ISj7LB)yMvB zU~_pFy*}zd(UWP8dfX=CUH2H_ghZa+i8cgi-*~EU*3Nd|OqYbZ3{xV`9@rl1%b>3i zIXULH`viUzt1gBfVs)>Jb-=!Vo!i{;5lh(p(QMnKhFvB{48=E!gQ^BlXx@hRIR9a$s>!C0`MKXGDM5e-!Z~b)b={Prq}%A(NA-?UrmYRN3T4HfjnngmUa?@1~25e{7T_!M*+gZ`m1BKxec(RzeP6 zI)c390`<)5DYDoP9S-2_p>oM~-0qmb$TirHhxnaKx-4AHgo(M=HZXDhIo6LPikf8N z;yJ~(Y}U!fx;n@`0v(k#zg`-~;z0*bqo z*g6tlin*rZdWquz7=?nw)(9~_zs>kiX;cO0;O0M=QBPj@;WN6iBdm%BuQX^(B$Har z(UXE#=VmORb@0bd^LovJOWC7AMr|n)3-UZm)o**C=vqj=v6QjR-F(1BIOpv<;1 z6`QjQf5S|xj*>&^lm0>SHgfJOt_Bn<0Dr(dRxpPVI7hjC{PIVOO=SWHxy6A?c+;%3 z=Rqei2JJ;PEuaBjgiJ9cjj2BN`bRPdOeRV2 zu6w`6HmDN&Xmg&8*Wo@>x-F(C1c|i;DFVNG*z|U}@g}|W%nE4OG~I_zX&GdpxyK{oc5O*=W1s_u}}>aLBSr8R}TWN#?Rd_WS0|`A3kBNt@oP z(drs37NuBlj%O9F5XAcTAitgmD~IkiBJmuZ{Zf%#?lJBl>-Jqw?)8ozU2TD#xF!bS z)5U(7YaX}bm6n?CC0*lVtRej2vw2^3Bix|Iho73^g&x=_va-X4mI_ql11$(W52x;D z^ZAl;!S)B0yELm=FZFKEQv_$-g#?oq)7-=#q}A1yZd)M_=^81^vnNXr<)f_bPh>qx zxOex+%mQ4%FyAeMjn-x8L{_#QrwDLyBOMn@m4`+~BpJgM$OJ(jT9(=s>psKhL*fyM^Z_Aiulf!<0}k{?;cac%K$UiT~5a=*W7^Pe12;LhK$=kYyAj8^zChmwlL} z!hn0bs5@=20c$t4W>TfJ2tP(cz)KpE64q!NAvDymOlHWNA{%A&AE{)0zTo5Z)N7;# zHC?6r-o@BSgh&~30?Gb+j8g)kdKWD#<^ie7Zr+HxWEO=H)RE}q*8?nI!@~cJuzf4} z-Kog*>?!aDVFYnI1~RDgl^HsL=SV@h?5Q=NE`>(L2xa#VswO4deRNAWWxu01NIc2M z4gC%t&a3N;rNCVobybQ_o#LDO?X>7%7;Kan_1h0Y@QrwqJJlETiQjDJ!5)EI6doy3 z)O#2k105V1GWl6XW#F_{dIuoedds0xMH-SC)|&tPi9f9}u^SMZs;S@1aH^_kodiFf;jiQqtWK$`07m!x=tiedMoo}yB)s4WQ;abHPwd?oY}Lo~{(sI7FvPT8>QDSCX#&{>08 zPJy#GR#URpb`fZ9+EXPtaUZIr>5oX?`}eQnJF-DqYpv=AR={6N+$sKYxNmkQHZE&E z4c|@kEUS|tt?G=Lu@Dnn$ntk#_&bg2lbsr;UbXxY^{u8#Sb~UwC$-FJMAZH;pE_x? z&lpO*HH*#aRMz#!u6gJqVUF@uqi4iZHkfco&!iQ}TC*F%;guSwy){G5x1BY8a(;cl z;g8a!zsnZtySy^pfw`h2W9b3H-f)XSSBmgMKH2hBwU(-k$}K+goa-^^_wM@J<%)<`c^YKiE}RlJBlbB~{CPn5T&?~jP*0s}lD<>kJTJnZnIghC8RJNoVCOs!g9GaMJTZ$ior zA;YW6zS^ZY82FIQerhl><3ZB3+xy_wOVffrSPI;yGqBNKUZ%fToD6o+!dSPx%3fz^ zIat^)=B$fS^wb$zED=q9^t3Rc3%76#qGT1}O5lP_u{qU+7Uj%8guT~V2)Xv%vW~=2 zYHHcs=^Io`=t%cllD@w{u>Z*Iyej@_gx;bhdpJBdZFx76PB$~>eH4gk%fVx<-9XS3 z9BKB~<;>&O@AOAufZ7cF`NfN;f!f{oldrYoYOCs7T?TlB^I#TeD8i#L!4eSB8J7Og z+1L4c{3c3k2e*Ux@-8EH#E^82LGE19$B<$*yf8Rt&O-4%hn{#nnoNPh z_)Mgxx%5PTD7beu*e|Qz5D~v4iSe!y2%1@(9Mvsxnh!`g5)9@~9-2<~2HX3?gnDbr zC-JDyGcBJt<89-gk<9niq0mfpjy*-}S6I-asD#Hpd&oX;m<@NM^UF9Ei35&BIFFju zE_s}L^YWyuw9@p$odT#2l!q#)3daO9yN2)()O%tjo@C_BB*~E@4X#U|uLb#b3cOf1i{sr$glJ}@us66t z_ge~+Q;0-Iupy};9BBVv`H9omWuc8nrx~d;rePq3Vf4C@qj}{Ox*!d`@7DvjC;_busBBR59#SjvNYi>s7cjALI7-~CrE>S=h!!~EglkQmj%;KShF)M#E%L{BuhvN89 z!8SAV(|>#nZ|d`L{h6;JK~IHNw{52P<)O3{08Qmvv|s#Y-<}j>9n5&?Cr4k1%+;Da zK6D;icld3jyiX2pI?g&N*b9abyIHtc%$7n2<(XBPlQibBb9)XEGc~RHAQl?gO?ORii zE$Zyya#rm)AWbC}ice6VP>uEU`%A4G;1gz!u(2wl42gP!0t{Km`Jm*BxEe@LO8*!B zI%4!jlSw&LrF*N8hxwo?&e+hHuIT z<1rC7_lKc==nxSqi!_qj)*V&bfhyJA*c%Ymg(twmKfZ$Nm^U5{JAzp6h#$9DSS`6+ zukzwGtB?bsyDX{4U6OQS?0>sXwFnwreMX_@ajO&9lwFly6Wve*d-{Q4Ozl^Ym!I|W zv(!Ka+b4E&cg~p}=C+YmKi4@0m6UD=AmD z%n5?Nghle0NWo|46x(VwW$ge=uTqBhsC_g_J3ls?vFr zHs9xc{l0d|%Y&a*wW}*^q5WTT<-8g6Hc`q*n!~*95nNGvjOr!reL9Wy90U7zh)8wZ z4zgx&L&kT%Ma&z?{Qn^5*p`jUo6@74t91VxBG^rB3;6Z4gRr9`_o9lMhf@JHadBW1Gp`Q|Og;r*J^v@F zd`ow*KUecB)CsOa>5PIA6xthVXI(+(=lv5z1EL0>I)5)2;7S63ErFE>qE8a)MnaGn zp`Rpl@?5f`A#g0?16z?dccf6t)Qk=d*2Gg$rL_{p1*gwC1uq z<@DBa6Q+q?o3D$zTAkze8cumFB0e;c`hpKoZeavPS%KMv538Ps4>p38Y7TE=UmAw( z!2ekos2?y}mXR*J+<1w*^(rzbmDb!Ko-cS?*L6`KjOU&av-*uA+~BKH93b#Xv(ikA z7$bHzcM6WFDongPV7TL`lKa^n$-C2!sU3Ko@57%he(@nZQmnA!faV%>Qey+KwH|f> zglH%IG?vH!18IYp0GVGd7!Cvrtc5It4Y(Er%g;I4${6TdR^JTfGtNWYE9@d&fGZwj ziwd|OVg4K!?!_bJ73(NGTxHSsKrby{&crCL?}Q#U0k4q1Ql;wF#ke+i2bfpM#_drI zjYx@sU^jJI6Hy|x1V#M0=zk^Zcc-KCCF%WrP)_t)0Gy*&f)?EkB((%}9*6qZv2MhF z*0f7jyjF2_-u(3a!57pC;B$zyzwecY=Zjwo4bR`zA%;|xAzqSMkTsr_3KS4o;V1}7 z4B2zuBdZ46t~+*aO5+x_L2>Y-f)UjuSpf+4xDbudGpsOMZFP~(J53C{K84EC zt7d*j6j~yl6PY~-Y9na7M9lDFVj`k}ei3mY`owWH*#h~SgPz1GGG+-UK;bj1B(OE0 z6Dkk6lXAO4+yIk+K5$jw9 z9pGT-j`Wp*`QCQ?_DhBBpQDLWj;^WP)5&#-F0W768LgPn1{UMn69GwoacPcM>T*id% zlZDt|llKl`QICZ~ACP;nytty+K)fYzq z+!kRkCj#uL{{63o_w;l z?-z#HFXvEFcS5-gGbu~xpfFBq2KarxpFl7caoUkY7$$H^qpp-isFK9o2vm5{JXvsy{F|u33Idx&x7}c(zN=-1AtSz< zqBk_7P+L2(?Y3!SShQ^kQ86DMumM6*s$$&-&0UB|3y7mJQ^=qjn7^`PD|*&E_0WUQ ze5C*8<8b@|pq<^Z@jbu@fV{1>}4F>Pk-S z+FSPOKh$9I(m)$7zGG)b0YNs9F8noA>Fm4}28&&z{1ADJM*&12q0z*Ci9i}n&UYbB z^n^kYJX}B2CKO5i@kOgtQ1>mNFmGIgk{_H}KA){zE>J456m2Ed!ig$P4ZXrju?~jL z7Rr}B*mR=QR8crhF?&;3%v-q;+V_Bo{Jej!-?45ZE1kg8OKH3|ylgYocDL^y=|B+8 zm&ZW%C0h4-XTW#A`lu+Jpi<-F0Jhdr%i_%&Od%1ZQIu!=4ktJY@DAtHEsIW_=ghQulshLR!uirc}G!& zyFr~O6c}b9`VlC&0g?zH(J2HQj^`@`St*1D%pml*DGRm=ninvaZhzE$Na?l;1%i+6 zB3|sLBv7+6|67bJY`l2+Uv{Nz33?oxMnp?Hh0V@^!=L|p9X}8td22psva^3daR=`V zJ%CTEJE4BW^|%YRhK~sjp0!h_H|~2!z5WRE;#auPm?R@!Tv1aE>+r!#bXs>Idnp>X z3L?kzjQU%GQKW2Z_U|kG7ZU|&ejF(=cHoSc!~3#Nbk$?uad#2ivHButyUBtC^K%ib zgz=tBDCH*Rj^UT1Hy?$A??D2wd&fmK}-b(^-Yj)jAnuP|p6e@NG0VAE(MRuH4 zH!mAdVZc$tX%Ib7oHkXqjHh<(d$&`kUUZGH4=$~djys$5+*R9XS+;;mMu|fpKw-@xQ2oAD9FFTw zjl~Q??Qa?*;vPU>_3BK?%C8`K)b5jWk(ufhD*3(Wr1>~(`g zt28i7xIs{{Ug<6#nwG?)SCh9+Nv8ft>p>52dB4BeNTRag9o#!T2wQfR(%e=kyWtmu zvGl%x&?ssLCBk3TLE@2`Z<4-1((FAXv9ux7ppefC_Q>V`Te0C9h=27I@Nd(iM`P-W zAAx7zfH%C)5O%!DGoGETzY&}h;_UOBJk8XC$o6gh_84V*E(WbevC(z z27z!Wm)!Ponl`$XkKb#W;OV2 z&#CZ@P{ME^B~V)7J_DtpSd=Y zC^&;tyg;KS9q$xeAR7QTnb6u6zz9T%AFQUIpJrMgd#a(Pkq|ry}opS=v%nS$J8|?gJE|nmS7%!cj-ZJ)d8Kz$Y7 z7lwH5a`z6$0MV|$h?C=64mjBhP1jfAFQA8!C=E9rMJO}PuA9UyRxcN@Iz2%x&*^82 zZbs0s>MKlY<{vjq(wyjr{7Sd>aVEl$?fBTgZ%<(S*F2;tVIRyxoodZ9Jy2NoWHMWI zgUFg|&z97Z-?t=SvX{yHe|i5d=zORRw5xfn^yhTd+O~$Ja@d_QG(_TsT64;0h2~wq zTG3KlVHFnGBY<}!0zy;{ZSG{z*kDTG`Y57)igW$7_z1sD396*=FP)w2_1oXg`R-?W zl2m`Y9P@0l!=*X1z~8}E|Ivg#n)U}p?S+LwP()7Y8QpZ@b9>z@`Ufbb^3;SsFk}Qk z&t-J?J7S!;H%v7O~v+tkC-7t%B9K^CUnUlqYL<+R`L zJ0-fQ%5V=u7(`R=_lQ1XjPIuxT!63-mPYsRv zcg=9gmEd8Rcm_v}lm%G^Z^f!pv?^O2#Q|CuN z0YJ|HG4zKZSXZjqFu5}SptaoZD(RRzFu`MuyCtHR_45w!>k5e|v}V4*_yJ;m zX2Z(F%0~K##_#p|&f@B=flKjV&M7Zn; z@&E92&ZcuZUo!V!RAW4T{qEya_;Ikv%XvfbO8Vp~<8B;=0oSBqvWvgz`tjxi+k0sT z8bC$s8uDs%IFx!QLyUfo%~c6W${+Oej*_@J3o_?fCRJGvHZ2BRNw!%-l~kBjs{2=E3I(IQw{CQW_Dz6txPM8Nd#681 zH=pWWm24mq51=<{ex(cYKqLz1|8m?)#-$fsA1|A?nGELe242kzPHgL2FoF{-ERt=|8uYf{~qAFtc^t8SAryg-HDi)B*~~J#6Io_hh!= z3YEve>cN+!R6HlaUpz{(R)U;(h&J0?{mi2l%$L3Ei06HtN^Vyk$-AtEcEJ?pI4k?? zE}jAYaG$@243;fR_y4i=mSJ@)O&93KEw~1EPtYL2U4pw4+#$GYaDqDocX!v|?(V_e zUGF64e9v>V~(-vkR7BQvY8dpb~I@U$LXIqJd=%@JE_-xN@5t=LJF%SV%{>-$ECAJ#iqmk zF7*=3V4O=P1-)(ea5rcY-2DqijCMPn*2CM4QHXV!dhn zIHYDwe0sN3eF@9awa*+wcznRugrW8|BV5O;z?in63$7O06jDyeC%vJ7aj&*|(RjEs z;fNu_=qZzo1^}6W+%Io7XS^FZlV z>aAoW7^h5#i*7}r5x)pIzVT359NrQXL1(#r@mr$r`6f~pvR?Ky+O=P?2-}4zIJzw% z>?N)j|H-}4?FLk&iU9(FK2dYO<5_qN^T3dFw{vpGPW5#2D1P2ZWj#@WY<&z#8{?Ko zT~L18$-o<)TIR{6E4gyWT1m@g;i_oJa#%-MY+9jfU%;{$KVLqH$Rr2~C62(l3`qs| zRGm+*Red+(Q0?+0O^99^5p3Tri@8&yTH)ehEiZ4By0!Mobn(_{y&!Ey#u&gf&iPWf zU%?yKKqucWC``Z{E!&|G>5Q0g^iCVW5d>mnfeOls?TAZ6yVtGPUB)39?J$u-S52wI zZ(paxRsPT)Osfu)D7)OjapSV=^;TR6Yk8&1EBQK^aP4jBNij%=mTC>5e4k7mWa_MZ zr|)5#fJ*2a5!$)5o2(=J{za!vd%ICBjTO@)|8AOwI?p_OQPhrMVMNS;N=N54t(B_7 z=xmv!q=SKM_o+66eaEJ<(#ou zjc}y85MUMOw@W+Mi^P5`IVC?Nra6bCgxrRqeXvZ6M2?hcwKr@K68S3a&%;3NYcbgN zy-TV}beV{0$12%(zx@rg8%9=~hpD%Bp5(Z=hCMvM`$fwv^h32Bv`Fth2{DD*8%yj7kEC@tSQI9ONIZeMV)An-M!&z{;#N$H4 z)f^(U+@$(6WtSiIWKsh*-TQjb#Qm_8YJ{DkI!DMSs_wur9-u8n`x^ma?a$Cl)A)HVAOVsQ6 zx!zQtD!Iqie*`ylMIeN#?A?6y9yU2NwZ78wFwKo9I0Pi1sfuO!nbeiIdl4TnwN)*& z`=xuQBX7@)D0SbXW-_s-U{PZf$Md`$N0gv7qpp{gX~%V6Cum7n1jSqZR84FAnKcvR zMJKsW>C2}or{sn6wy6&u`z6b^oI4)Wg4@T#!33uSU#L{b=XNBT{{jQ?GaGOrEIkGz z52X=?kj<;RqL7Jg2bpoLmfr7IZAJHFfME*Yv+GyiKEXvf-K!I^!~lZUkHb82)w*dT z-^koNO|%&;lDc4!dr_Kt{*`%8Q8U69u8})sA(h?ase7+_F_(Ist<=d#Rm)$QXz=pJUySl^fM%bX!O;}Qwo!y7s+28A<*jKth$lYqW3`wxO_9AM4L`qb?!YW-z@qTByU5D9<B9EHI{vwzWsIB1 zo_cB$+Py>U`|xz(TON)s%V_TBg948jTa7mQ8s2fe}v)zibo+OSe^&A0O^F=8NK?|AgfH$Z_)PZ z31`}CbZ>}vwI1jKI9JlU%bO>otDaA6{34kx;@%bNx<(}=R{qyh()nrFcQ?qow$e*d zeX5?v5rHho-gO&58DOkV&25dDRTJm=p_J@X-^mQu=bI)SRPp7erE^~{Qk!Mq948Pz z4GxRE3rVg8Dlkb7bQ1A#aO#)z(lZ?JbdTZ*U+&A1-WbRAP1 zk!ZoOn^aJb5!6@mo!b|#ua1!WjxQ#gYc{dvP}Pnaxz)VdJ&R)E^lX;}qj~wxr>)#z z@@A4B%F4@+-RAH5#WdTpAz*lyALz~q$#rs`9|K*TC;yp}OMIqY{Qh{PsW9fjO(e3J zsmNp9yBj)Do~u+l7-$fPtkNB)d5GKLjm-QRd-5sWl?7(px-atin711e(>EYrZ)Lq} z+*zW*u)US%N_^yoS8oLQX9?_WMENw$7b$|M<{h!EyC=~m5*M*d(%>_at4CNb?|ZiF zK;bi|0bv-bnXvPt3TG{+o)$;qIvw@`Q?fNa#ZPEY1EL6VXIySAFgs@wXKs=Pg4!`b z+@G5^&Fd3nlDf=>h;hM;TBVmH)Vd-loJ7BXTbHx-#s>Q1(M3u!aElC#Ae4=JN!iq-0363s774#}{5ru=oTxHPAJwL+_ zTRhqi(#6d}p7F+SRc|7C=yTgxyY6~Z_uO+EsPe-m3o~sgSAD@iov>1IT3LXz#$x`O zCb%RRGTGVd)iG)B_Q>GWH`#AT{@l<|yLkBNS}ZfQHGMev5G9XZw6^hNd8+Mg9&0!f zlF^s5fC%$tmdf!kVbvZBA$`>^!)l(3H0OxLF=s)(;aSW0DO2*YS8vBI&Qf($K@CwS z-`N2K`l|BJWCof-J0H`7l`g-3Wkxk38~SjkS^9E44;msC@dB+Qs|;L%TNn-@@M@`A5_+fb_I zm~bUW_Wks29`bl#$aqM=WeK|Y?u@^f`)8_A9?8^Af+Am+dwWj+958=~-KtYw53wEo z=IPj=dE_qg`25Yi`%YEG!KqeV`V2*`4^U&f%prk~_T0m{h4A}eW-8#Vj#LV6nOCU0 ziguXff!b8_wdTrWmk@GOf&)-pjD8d(IBJ+hL;1pr zJU-FUTBN|(r_dgXF9?&Er>3!gFe}kdCB)eAUvb?YGr`9hT_&t~gIL4(wWjCP90LQy z#vdUubV`s;iAGU%PV9g}a4W0!QVqkA@Kcu-Z1~mRe0O??MLnJpb(UKOvSl8wk)%8m zbQM(7>&;`V;6WftgMUSRZ&!+f zQH0~My7>{qfa{$K4)S^bk105-TWkN!dx4%JeRW({z!25XHeMZ_!SoGgbk%-(9hz3D zVA4%LZ0WpAjn`i6V}50W0)beVT3Vj4a>>!qKOI>cSHNB) z2`Dmk2*)w!*L2Wd$HZWHkY+S;i395t_}9lPYf9xp7(ThHnwe%xP|l+MQ! z{E-`l>KI;#P2E#T_39fw84OXeGsaR8GifHE{821ucNbmj7TVhGr4oX9ZHpS_+=tDY z45`J6+yKngb@e+T`QggfetkSI+K)Q(E?8L8*iHjmr}tnW;vzt1qsGvUi;pceNvwlX zQ8yV(T;5) zXtOA`tM#<~o~?fO#Bud9O}90kzr*x+IfXQ@;^}PRS#e&+Q(ke3wQN#_)79D%{QH^D zDniEQ(BaAUqh%w#bWu_~HLdAS_(?7tK*HJ1m+xDFo|c%;qqF*&SFr?-BDpi#7Y5_A z320f)4%V03`?}$u4YTjWaeD?X4s>Z55T!h$aX=s(75#pFgHZIQ%ZB1%kr|^0d8TT& zwOYHA{5gUSGH1ar4$e5X2|N4aav?jb@W(g`4Mrf=)VI6wuPmOkz_Dtv> zJTUCOs#saX-)cDXxFyq_U$u5|A?c{FW=uR~!!KP^-?4iCfMHxUcV0Q<3FS^tk?7 zXkFo)rv{3By!BP{+(^EOAgT0=+lBg}adF>)*-&}F!1Nu~Cd4RNV(2+%|IM&Nh`LQW?^1HL&0_l}s*QV?p z61-w#l^iMv}A<)-xZNA&W^U!4yh%#CD);=a=&O>p7d6zQPG zookrg$UB;B0noBNCOjCQMeFxw%!Z&ocX2pWKCkLr!`-(xP)m7XGR;i!W&iM135X`u zMRvcuEfMZs9A#$zjFZ{Jt|NroO5-$Gsm#+$Te{>XDz(B=>;y(S9%6AFA(NF>u;;PL zWAZs$P|4j;_wBsK4Q8A2Z<2j`Q4kigNk;ME+4E6GpGbs*01HSJ-2Yh!xwPLi4$Q72 z@e122H3!2XO73dlHD*bM<;}Mv457}vvFUqWYVFz=q*sW$M3idoYWd4b1WNpG#lnI8AS zA=}}Ts!sNtSuRP%vjqj^ApwwvkX!tX3LNHd!EOnx?fb|jL@g^RHzH!#d3fP4hQ#o? zZ{LRzxzPV0ihR&dQC+esO*h@WyC56s*nGzZe39=a4I2f^NfieKiS8#xX7NSSq=)yi z(+^a4Nx2>nARqD(K*LqEeqx%*$V?g0*bbt&-i@DLwVElCRTJ4{KA4>MdM~-m_tsl$ zzGAC*6I}&uIpxVJcTi8R>^7Z3KbJZS1N?R{ z00^f-%8Av(xgpP|L zgk>v5Lww_RBhX5RPRJ*MS14GK_~r@FQF-PkDKcqV-i(b?V10a*> zFKx*TqoeyQW_kDm5Y3!2oz0uI-He`PfWZb-oah}?a2l%jZ`HKVF_Qzp`6%gTVd(Ua z6iZa9$dS_V3^LAj(%njsP*(Kv%aFuNuk_D7lcKAhOfl$ksMJcW9Sv(Fopiyt2LwQb z;0OOX>WAi09g%GuGBi)oyt5qi5GNGIswBdw|K^<#z3^_Cf!5dL(jwVVg`}7Y4Jo@% zSQo`pWJZfH1@%*WL9lEI2Vo?|@3UR^xByz5(hpW}zd5#Q^R3^UZDA)ANhc)%Y>xXSV_yRFQ z{K#r73E|pWZtp!Z|BB(GT?pHmD;YgwFCmg$EqsO^pP>6;E1>cTs5N@wkNU}sSbd-f zronAqD@`gmCR$d^H9d{K9ZmC|+Zh-|sw;X@7Mk{Ry>&GcZVk0vt-uY8FO`rKE1v@nptqm4UUHPeF zx+{8~c4dhrv)WMND%20%!8P?OWseQa8bk~Iso-_|+aBvrtY@TL4k9V$4L*#_&W|nXm0~if zfY;y6`N)pf8;!T?nW{O)x}KZj?WXHJNmnjpg_}=0U}X?e2)hD?36_NMPY*jmS)Kh- zu3VuH-}f4f+-X|Fjq%hJM(*6)tXe!reZ|9-QLnF>zP^VGOJ=q;ueh(F2nZz>b9c)L zgTE+(`O{C{M`xETljj35>=*txohKJSt@OY`1KSgn?NhIadl{eN_MW_3(+(m!)i4D- zByRA1!GN?sR->Ll_}JK8Z2ouf%?L~`iCuR))0BN@U-?SKKe-*vu%8YmS>+X~;^_KM z>)W0co9@;Y|B4gTs-nBJ@bm;P8-|b8CJpPF2Fj4^^R{tBe2ZhPsSm_gs6r}`HYhuF zOyALj=%yNRE5a+1jRAU~5(1rAAh46GCk}ORau%N#-7fNJG*2GY$8{F}Wm5ot4v;zD zSqq40rHg}p*%63ONuReJb~mqj_=?5MQsnFF;|jtY=tq|0?HqGU37xK({(yQ@$QmWB zxDq#Jyfht;zSdbN@lIk~amY>F)d+U!n#z0B^T~`?B=#r4=6kar^mVrdgmS1pMZivg z!5P8uv{>%|N!u1s7OPBynjNI!Ww;itprB=YB9C5lsDS-=wpwMgi4AYUJLZw_fOe(a zv^OaZq4f#l19EW<`Lk-$_Z=x?hAYB{o2ZpNheASZvcCltkX-Z$y|{;4KjJ7QN9rl2 zK-|5`obmX_5BAq#Yzaw_F;XpXe1G&00x8y{9tXHNoAA=FLpG%5IG^W~d3YnNt8Gcv zz>VeGihTR3-)+Fs4xc{1dF(d_pnpeowmnb-Ab6`q*KNgSRS`Izlhtb@e$^y=T(BN7 z_7DBjE3sKk8WbWThtRc#Ut(m-ROzf`(`)KvASZ{VvTQazQr&^R2yum~3MxpRFxx}e z26ZRV264d3ligasTCFaFMS{-&9}`#<5dV}hJHqFlWA{W)QddPto7QfN?nMCV)d$G1 zfmf9^lI)IN>VD9p@rRT`JfwUmE&huZjEpzE7Rc)E9R-Fe0;`!CtZ53eE~ zDK9mI8iD`Jj9;X_CX;?_SDY%1m@_N zzHIeBf7Jej3O+yS$G5&2EOYnb)8vKc@YEfS`a_pNpuzzsETznO@Yz&~Vu_ikDfWV& z1i6;X-m-2bTsx<^Pc?xnzlil33nR}&vN80v;iG1VlJjh;{g|BNJeWPg`YtkoSOfyJ zeLFbYEo=;Iw;3)I+EuN4gz(1N^w(3%%m!A7Ut__u3;vySCaGsEkk;>BDxDV4Giuz`HBrQ~zeLn2;KO}mNp$eI2yb`VI(kP5*KMN3C=zGQ08pd=h_ugsY5dWCBnbaMD=MSRqR@LPTbdtiTRdSECB|8hy2{@ETJ>I6TWyAIq~%Lb{+h}Q zs)Jo2u&For7yUpW%*8Rko&w}8X^)M2?am4>rLaOKBXbEGhlDXcpx|*MMjXjCLrLpr zi14rQ$10FA9>cfqCPPL7LqQn-w<_+K0x6lUJeyMQ7M~I1%~eyRzp=g0`jtGJmaqn+ z){zW4!2GEafalPxGuoYk79bU#ZgU~e-W?D!a8w(YGqcLfp zhoSzE&o+0akiflj8ge0y}JPjXR+hRQcf>yBdd#gKPnoUAo6K~e~m|60_$38)_AYM zU3I8u{gk%PU3xh^!BmdZOmMN@n{y^V4D;8$6K0WM>OD_+NQhHLZZ3X|lr#Uowy>-& z_k=%F0=oGi2_czx-$d>jpP2!#c-K&3Xg(ldH<+32G&m?aHmRW!tpyqY9*c zV3;gg(nreTr1zx%vn=rsj@q-)ntaLC9e=36m}pJpTv}_zP%wyT^}v{+6`$vqha}-o zxY?V~&X7p_fd5Rx6>D3r-OyxLktL-dQ|*I7bke42Dy;G6Ah$ zrS|5u^JAGhe%CVLRg4_g*3`4A)91f)y+c=-9~FA`7^hRrI73D66|3(k`R{~J==@SR z4^n>(Z%Sb(_BaGBIlLU?#MK2PSxM&n&|A|6N?N%rSQQPmPa-=}hRwi!=O3M80-@ma z@$yQ0L@a51z#f`~Xmg*xz3tb5G5V8uBH<9OG;%inNeDbTCMsFD3BJKid#(jjs3r_c0zADS{KWeaUrnVJ=FwO#871w>AFR5ixl=o?438N zFmws?cKY5V`z#45t~p!Cs#y(H7$$rZ*zg+?Y_Ib>E8BTGx0L0vOBDIBs=}f|qpO z`Mco%R|nIP>p9gg@QPJUqxKhB(dM6?mg`Znhg9HP9gld2G3o&@-ypzh`(5uaWWaaD zX z2sYjJJdX3jAm;!?ts+=#{c>lGAF~4VM&-=O^67D+D>l@^b zOwpR7r}<(A-Z8c2{$_*%f?%bVSJ>T*kZ+GIw#5JABdE&M_g9ZE#ca!#b6&K!F9i?l z?kF6>?G}rJY^$oMg#-VEPc8(vn0=~oEi;uQf@j9%`PlVLk1x$0og`t&CvWP6m|$xE zht((a`$2xJl@3D!J5%pIX57v*Pr1Bpl2ba3mW|q^e25wErgBv@GnMAwUgoyIeZVj9uc#VHH*9W9kCt<9U2PK|37(eF@1YET?w-p zJ{!7GnLh8~w+~IW#LJ_SYyKNLa#cl8xW*HLwDixxnbuwi`hkttD9it2Hf^DqZpV## zDZs&`it%9OtQMcf?Rj73e_}oCTtV&p3A_T;0sk6MeGPHJ(`j9X7QuV6T^bThaMv*8 zrRQ;q_PwwFBQkH(65Fx&2gR`Q>1mTKXh=~l+xq_o8nX;7ROJt!X5Ny9t(vn)8^=AC zFKib5{sUr9+lNyZMIIJVV&%JBbiiIbl6L2w@r8G6IzG;UTxzi@&sW7B~@-m5-t zBbzg(;D+ZgP|C$h08c<&KR&1xf0}86J?VQ_{BMZJHq`vznC>6iS@sT16z%)J%L}BR zy^m!;r~iq`fAd)o7Lbpqh|+X`T6Nu~u*z%3&$Q0g=;{Yy7M z?~wjt0f^r&!JqCgaD&A4<5d5KZGi3lV>}@7v43JGh}`5KTLZ<^-2c-ML{8_1N%m)$ ze?MO#-(W1DF}4xmjjRpr9qf$sto~lw=$j+LvokRfGZO#3lj6V-_hg}+cK!=in9>Dm+Xoxy{((a!yz|cyMM>%bpz2_$J4{kR?ur63(@P<#dJrz_np|@&H8%B zQ~!wy$BpeuEopGlVutPy&-zNUJ>yy}zBkt|_snvYlaw@VLv-gX_k4X?}RnvKUJ>8qWSRL^Hv zF-u92iqm%A&o5n^Le&#jw$7ghls6Ysv);dBiPuT9XBqWkZkoVlpEGv7^|^JjgwF5C z0vofp_I=>7Yd_PHl=hHxygiwvx?z+{m*pIPi5%JRzI_;dV{3%ICCXUqEV4>?c(Z@M zUr?U>t4qE=xO#;1(vz4mNdy^eEgTwbBv>F7k8eSgFWHOYL&O~@RG61 zbH$sLgW^1=`yTo>)2(%y2BC~`W&J_m_4hZox6}R&?`mXhx-Bo(=6ok)is-6+51u$k-a3>Egej6&Mi-ElX{6(@U>o6Do^7ch-N`by+>G zWl>Akk!rygbQPPs@j5K24iezXpjhp0ls_o>q_yt)dkKxBc{r0RJp8bj_@!KmacdgyYmjkD5_Dr(B-40Fd~>LWNy-`{*~Mkm)4BOlqWki2 zig`z6T6;@d=^&ya%QvRA71vWMMvJMO<*(HjZ4JLl2cQ3?V)wxkve4YU>btI zvn`EVWbr9b*vzvbmo0Q|49emeNksW&Ln?ZGy7!Q+<WfUZ*$eb-WJZ+oCVV9 zNZ*J6rpg?K`8$J5+iq2Y?F>AKmI=!jUi(T$$_%87S@s+(-9&_|D<5}%ts7}tWMLhXUL#Tzv+2E;rO!;ZU`pZu%ynQMax-Dc)MmA_a!*(!G;R@3;|~1s<0QWx-d>(%Dhd?O&NA*MavvpHqFjJWZ%i(nn;gM{_Y1wml;Ym1Y0AMWDSE zfc&}11e>O~!?RQS{p`|%6})qX!OHAtVSuL{dzy*Ar$&lxn)a8vm@ZL_+a{Yn45yYE zTAFY?-qUT}Cbg%aA9Y%)>{<1?-`Q_jzKOrC(`|hk`FaNDkIvaRnX;7m@hh^@`J(V@_H{LX zAv@o&_@XNk9h7y@m*#s^z>qA!pztEx275Ez*GX1p>&vSU!q2N8QdxKY`=AoNd41;_ zP7BMqEQ2J`boGc>EXmWiqq}kjuLmY*HnK9gCWfna2Hs`ud_gK!66&@t{{@_P_4rTw zTdfj9mmFsr&6*#d8LtY{;gmX@6jYAAg!pyG7)$9>)|*n>mhTIV`^yp}qZw_a^)>rn<=L=c+073#ukkon&I;CfJ!v+{-=-Fmq7~bt=e=LH*XOQFuOS!9QaW%mS+Uwo(v+Gv z_>f4Y45bY;j1U_mr9XM)IIz9Sohu8_+s7?QyYNS+-cU}-tl8{FsS>k#c8{>uLf2U8 z!_QaS;g;OtJmy(l#B{BT_avY6D&<8{3{!z_a>nz_8FgG@+*Vg6!@7f?vGCMsPn(M$;*WZUCJTcDV2?XW5;>ZpQ%QEwK*i+0)2={Irj`~2uO_5! zyFX%bj{>KFVGR*NmfjpNj)k;IPhs=1w$=aEp!>66NoxX(ejAIT)e>@{)!E15*A1Em&ze0`qZXNJ|JAzXhs4 zf&_<{h*8k;49Ou+gejFI1V91h<|4EXH0N$codFKfvgCoa(31n& zE0C8y;b0C9`C!r*2z2^wew-vVq}>J!?l6Fj|o=%;hJ-pWN9;+*!2v-~2rGMqk%|kWSm|rm=Ow^#CvcnYOqX1#BcS# zBQXW|%zUDxaebzs@#%1_SO!Yr)j|q~h)mnWi#K5k)^xf{z&j_i=|)*zVXpSca-Al zEci$(!tF@0X|4?_P$H2fr>2!K1qL!KM*DUP;aQEcq0sE5Xp3gAk*&}v>8}v)btNT< z0WK~%d!{5OLV_wz!UCMgCaP5dX&P64qy(KW5ulDyi6)Psv&0mF<_H!%72wM+@~+W8 z%O_quYn$;rb3f*zZMLMyFN16g!DuuBRVNB^G!ZZ|1w^|gi(GXI6hP77q)kzr*k~(954!KSfIw2=7+`sG*@`%J@^2D>bT4bz}_f$5(N9KK>h0kPTg9P2* zZkv?;%I%cPli!7G&4A1qkPVc_OBF`{+HslFu~6|NS2A@3KeON&xY;%Hfpq3vT50ATAL*fc-W%)k>yOUQT@RyA(BD6da;6GZ;*XPa-RSC%H&FBXIpl(`qK> zr#v8)t1Q&G$PK3I+pV04YkG{ujk!~`d4TGxum)PEpfTyM z;@&hdwQ7v7YC~g+oPfj1(;HIimjVtOLGcAFD(}dnxKmtGSbajqP`$Z|FAK^vq54gU zZC5?e6^j|&7dRorAe({?A~){`lr3gx3uIkXvUr_&GK#v2?Ah2ggQ}j+R-p|TF@JMX zE-vq0cBfBf8muoyJeaI6#uNyGrd!|%g?4}(#eakxEw?C!IIoFPCMiEAgNh@Z9+7`k zkK9GUzAVh&yjbykreNWtBg-#bz%$)v>p654b3R>L2}jM9TBDSOs6@^A++vL5Ytl{P zZct6)UP725o~mBRmDYim{YnoFk}8Wg4c{>Ll$qA>oimh;nv=^xDf=Z&`_;{YLW8VP zL*3W{N<-0Y(@grO*+$f)c_$&WzGtq(HX`+8l(-~`sZbM`mFY|kB8Q>>b8fUCo7p=_ zyl(@AM_ugEuq)7NAq)AbDLXVXyZ6#$&MABAy4yCP{kvesWbn$-;fNOJGO%Q+#N=pr zUn#&-0oS}p`O=I!e{48Dfh&*Mi3_+UFSfs-(suy=?4`Is=74L;*#B`&elx%|#mQ+U zegIlYWDff5$QhTglLIeSJfZjs zM-81ELN40Ab44tQ1&uF?4Y%~Q2lq=hW2dAaW9SeJbJCY7|F038u6~`tU7*h6AfG*k z#AdPkJhmMfPthYuY;=$4n$iVVjdLWDW+dLMy3gX8I}mmul+RSPjcgc^1N0t2C`TlE zSeKpNf(X~yE*b`tT>ep!o6$i5XDEI$b7D|fZ9=9uzq|#*aJ#$&5m<9JCb8Ou0BA+c z5jjIyy8p_Xg-Hp|aTrrkBc{!POw+b-6bHPvmI8)3D@%-$wR#W|-w{k_su2{6aoYu4 z+m=Wda2)!L2pj8jWq{_hLI`$bjkV3cKANfk-jq6^u+gpVPV-I%I8In5Dmhrj>BHxK znQ|I2muhTYAz4FhAK5qq{)TdD3J45SDzrFBcyNEXRp42shar4P zF>N`8o%C3FV)_XgVS2&Pd+BdGU*fK(K-JXU0`4fZgXANABjjV*!M#+#xga6vW<})t za5JP|Am;3F;0Wurjz}jHmhg{F8P1trIX4o9z9gMGuv}n?bnUE~yFd;>B)SlQ5@*2> zw6fulwHn#BgS3Bt2LJdo2vTbR0$Gcn;SxP*0fDd)MD(C8dJX1-U>8FOnfvWqC&kl- zFTy8(sE?WNler4oD?6b$C6t)*v5~bTiQat+QH1)bqK>#QO$(6W|4-BdD^QNgVC5g6 zMC3PvkSVEson|0)1I*LawyyUZYHLp-`*czKR%{$s*_R;Yh%$&75e)DkWhcR;CP)(0 zCcq0CEmQ508nvdvTaBpPp&NpWed_o9WE;we$!o9-DYFv{mcXX$Yw)R5>y-xtOxkBKFD zU0y{w-`G~TO9(h1EMrN{er@8xUm0yykOVW?XV?vS)x1WuW<-`YlrA+su;leGM!Ge^4VD+(+ zJfMy7H*(RtEBtoX4>qr>rZaMI^|4jy7tAbA36H}5^rhnteYxR*e@XxJX%(rZ6BnUR|)V$iF_G5Njx z3Evk!Rt@eqx!a7;oZLF_AWx7(AR1(msL#tlgyfGs;w6-xDeE+w9$X~Ui3JHp;bv5R z-0bLG*I+jMXpY19RvvB^;( z3WpXi${MqP9*)75owa~-rC$lk*Ar=4Kna2b<{*iwXnSi5(ywZb$PK8W?`O^OsyV9w3O zm{rqxok#Itj#DDMsDx8!V~hMO(VVlJV=Pqa@WfO`dvIrRfe66AXC=e_G*8>}jirZj zi7mehIgW~R*o0mVsV$oGOlPC11z9TGW{AtA8=WrzgUiPPY-EO<4q__z2NVfXXv?=- z=#-G3MG0^wx|lhpVEjzYN#sM(_NW=%znn;eQJEpX;{>p7Fb;j2*iEHn{>_NQ8cY2H z1tGah3!Ms9ac~?RMKl5W-7X^<_xGkb5kbaT8kgN!rupV%*dL_7Lr+SUFN~aivw!7+ z6{0-vZ3{@PU0lH30Y|r}8pEh+;%$}Rl zyL`A7x}U@k2JqyvNuuKfCj#vtmL>{K@LpYzuVY2w7jv1YtvcZ{NDNcNtpF-!)wd?L zk6S12C2(H{J!N5PjtIFeny{%WWFOVFO(_|&4Mh8GV}Lzw@21$1vjgmLTXYU;TNGfA z^MBZ*uM=rgQ7$ntJHQ^Bn*OjyVs?N%$_Q$pH3=94-}R<>W>pBnN8uUm71d_FOB_IC zMjwBK3_MSm6#pSSGqqfbI1xY zOUMefKA7&EyZp}qX$n5sC_HuCs8k@S+uqf{4p~tu*hsGEZ=V|#w#I-j!T2gg$iM7Ha6E~M4lZg+DS!9cFmkxuKx0=t6&UDgGe?rH^?* zl&(3*sc~Q~hpSo#+Ph#2%A~1GH0Vu>3x?)$E}u2>-lLdk_|f(!E?_`IQX?@`{r>-B zoNs7&`&8i=)e;7BOXmz#?;qQfG57wc*7~tGQB0PYePd)a4|>YkXdV}KAe_F}OZ8R& z?t5w>xgQ=tNm8}L#(YyPhEP|I1IB&u)Ukc=c5o)786*ccfHmF7kMRYqXw8Oh>?&;B z41remNi!JX%p^7dtJuiLawc3EPynq^bIHad8Z|;%MD_>=MA>viI?EubCBvv~Woq7yM*pwxjMaJGJ0rh6xOUCY3f@xU~d@+UY zy`7JI7X+_J^KQ!a{RTu*uBX$pB|?&jg(7XRX&ud2(>Inf2HxIZBdqo7M~>yPy{~33 z1K{lF>Sx}Y7(3a942Pp({o@2KFBH>_n}6{%Un(azqk zR9Di-wIuiytm5tfUivn zx3{_BX1YE^r~t9Wr>WUkx*FokB!XSA@FYtf<4)B3X!c}}JxvqH3?0^d@{ysA+T%K3 zfc%8A=DgtJ?hw^u7w&w#os!4dBVXW}QN=pU_=TM+0qb4GuoM3O!`xc{#}PE?f+J?M zn3Bjr^-?zK({TuHt;`VMPD!O{ArmC`| zJ2NZ4%xrIVA`@|^!gE^6Zw7BelY@gk{t%IhAE1QZmN z7Qq2^T(8QfevK&0Z&;OF2GsQzjzLFm1YI#q{P7_QOHzFN!Jrhvk^?Xk2l-`nmS{&{6Ekey)# z5$MZ_nRyi&{gYexl}E70H+C~%5D-&x0p0TQzDd)Fr0d5%QxiHYY)QE3Ff6S9Gz8~= zoxBaYCvFc|h z9-rzvKI6mKYn@RS7}m<-x#ylWZ?X8G=6yx|6x2~Jgek;cq{o}a$4KqLUiVV-ohyN< z#b#vu>S8G--#SuKL&pNsLx-)v#F=rrW$P?dr`nmp^azy^)9>rpYF8E4JciI{BE!lJ!>L&; zw22RItxzraApY`WV&^s_mP~J6tNZTKql3Pq=|M$%sl1JOM9Et54%GlFo1{+uB`#{o zXAOUEn!OyNY7P~J^VI-K-F$^C{aFlN848t%XQXXg+jMJ4a`@=Cof`^Lf5!^Ero$`k?opCkV?fbyRzxgL zm;Ek&AJeol-EgQ2uqpg8WKgJ?Sq5!Fg0mSeF^tI(Ew8uGbVIZ>t5xpn-YYb$LA%AY zy;tDjBd%!`XD1!-&1{8W#bIIXmSq9hu-}Ps37=VhS`t!cVK!~ypI~k8(NRfwUq97F zFuZdJeAr!TVm?x~*j#c+b>}&I`{PUM0ThHyK`8}6!5w9Kknyy)Hxt6S%p8wuK$v`> zfv?`^rX=0d)!hn8?ZSiuaYtHjhwv1$kptWcRp`3b-H7s*G%F^f7V={_H6~+k@i?Ul zeg%b?l`(6SS#W9UFthqqMlI8i-bN=hgb#Zrxpr18s(QRqIosYoL7$ul`<#anrpr%9 zAAp1U9FKnj*t7jRz+TPG#MR3Ygwk8t+c2w|0bTV-IM{fZSa?Y|*;$x)xJfwKSeQ6K z2M!JVdet*3o9o(XiQaRZ5>?_E?yEYR?rj%B!A(4 zkjKEkx(WR4@eelv^}kbUE16h>CS?A{5~LiQ?LbR#ad2}6nz{USCUCHK{d-^~;ry4; zrPxVWIsQkDm4$?Zh39V_v<^v6S7|j@kjDY2v$Pry$-n*%Y7rB2@YDnKVj*GY=3?UI zB;nxZWn$$3k)dGh>TLD5jfs_)mF2Gs1L(`d%E`jU{kI0{@y}fuSh+a>0f&$_BX&Hp%{&;I|tEJDXNcA4(qE8@0cO)(} z%RD6#X5%85&4=gUoZWkYspA*!rm^>V3q#^m$k@-d%%leHaQ}Q(pMBEilVqWe*mA?r zWK?SD;;44!$-%VjW|#tPYmqq_>XWKHZGZ6HSB<`WilS61qmkBPX2<1G8+td(gMe^d zBkt)m>w#yjfr_px#yfCT;X9o5L%#*(?)x*oux^@3(S66u@7H$}0#*mSTCtCMJnytc zJ?Vc81zY008EsdD?clBLYuGo_60rFnT*RA2ZNiT`4L7{e6}>j6g{MKn@AD?~k{HUV zSk%zHOA8bwp%ml3O-x2i9BY z31mzyQ>{#|m`M-w>Xh%(ERy=~_IVvU{-59-NPeRh-OR?^5n#AN-dl} zR2)#@#yx97Y+qhS?(F}efj86jt6bly6k4k=K%8#b5xH`XmN2~~i<*&ngTZTseeGmT zi*9pzX#p;5deKg~K0K!xp=-P9PdE!-9D>d{A^UiuWuM@t73wE}#$nXvp}3Bn;7}1y zuSCua`K1Q*%AL=&KdhswsIqAes_fF(*OAHaa#AuOwx{m)-bE1HiqifxaIls;iG~!q ze7&Nql3Bf;_Bk`i-=g&`QYE{2zCEH0L$Qlo^MCyFwG~^4!}uZ;MOORDzYdm8l|^AB zL$nwgGZ*N}637DSfvvEIy(#%-abdB=OT0!PxUsj7v~}jt-?ZmMEkfY!@Sm ztGAxrz7zR3|LsLzC;Sd0?1ogI0>Bply`=aH4E6%}q-m(NEk@7E_u0yQBY@8S4qCG0 zH51+DtVl(3BWhpJ1vzy|ea^Z73xdtv$4N)Qjj8$m{2HEt9QC-Wt=b zzJ1u?DTr%3Rvv=1V}7Xh^I_MYug&@bGE;o3p({KBbHJ4+ur^Yh;E|C^j^Pr7(P)<) z-(WM(CN&wx&736tVq|ouX=R1H&lCJctxo~UV5%jZm2o_cuoZ5lWES0+%9!VB@%k6l zfz}5g=fR+*J)a57kQskLz6m7P%r^pNBGkZH8jYW*5KiN2Ymj<_D;sOt!-502L7pLK=1jM zA=P@GzQUbY@rFs_&aYr^I3B6bZZo|fE$ZdmkDgcNw`-Oh!y|mLj1#*mge=o`TI0TL z_lZ&8SK?eW-ru#wF%fDc92)!K6nGdQq%XU(@>Rn(jS$>q?F>;fD2Xu`a9jB8JO&i*;Bq-m->ppW5`*3!dwv zKDsKf#>?)EBv|6C^oCBYU{l9PDx(j@iYUf%lv1NZqbwclm^3~?8hwz4Yg*rQrS36t zny{B=kk}r~e^-CWDwT?W z5qt3e1f=iV11bw7x&!+HJv#B5TDicyVZCXBrwT+C$j0*Do{G1=2|8zZ4kPXS^WD37v&(OccjtZObSi#*3S#OBG{}`RA)?yP zQ&b79NSJ2;T!K%LaOmo9ky(_cEc({%v0HrNhcJJ-`fc71#?yfZ{>*SOPkmhBq>s4P zfk}6vcuwp?M?XXKNHZ#y z*MicQ9cWbT7>1UGO0IbNHXVYtCCP&TYw_tTvKO@a>#jk@C}*-jdudU(UCS-X`$c z{b5IM#RcG+0*B#Tqu3!rfGu}z2%V{>)f_^ix0vY<#T}gD<%;nDe9o3aU{p@Z&Is{3 znmy7MK)C*h5`6472Y(VlV6Cn80fQIpJE{dPnw)u@Bs9)JhCK`c2lmiVmZQ9tE5++P z4+i@+z_N^RGg%#2Lj&U*1mgvAk+1RxgoWET|6@X8g|QJc`R;O4#lr;asDViy z2U%=po;jr>`ivbcuERI=q`?FPwc+&jaKRw;U+J8-WbMZDS*%gVOkG$iKbMqOH`6rb z;GsjZqX2exBo)ksiJ&*#J248(R~_fEmxFSs^Q^_B$f1@m%`Vd?lg!HuAAYYksD&gA z`|&v25xqk4E&cvyUG&QFJe+yhp3wH?;?zRvcJ64n5VPSs>PnIYI24JojHq-F+R<0| zK(Zl!?4C`6h)dGIV)>+ls?*&O_rgy^W+xi7iG=97mC1D%cnK+|!4`Th9Q16(q+f-o z6e-2*Srr7!RK@=EqFk+tcW>er0!I=m}C_=NKrUPGaqfRaV!^g(sDT_=U2iGt`1-6oT9KG`r?S^e*$?-IU;H{ z-5GWm29**dtM=W4;*MOQE5@iUn!D2G1<>I_5)S-y{GF({%$ z7I_gN&t+uZ`BQo0Mb0kI#=9vmZqLHjrY!+Mi58`5plRNgl9Km8QL@n5B7kgr zeBPu?#3|!f%y*%1>1&6*)LAS9QBr(gh#NNYUuF(bw-d>A;{$ctK&K-hLlTR7XoZhF z@>9=OnGm_A8F}sp5q5f*HG_2R@;?|ZD*0n%rEUHYt^8iV*MMJaChlZuQv2GV^o7BO zIIv&Fk-t7AERs5n9b6MlTnR}?z~>#Tr>Xq;gb#3%Z2pEc3@F3AYSEz|gzxF6o)xqL z+mdpRI>Umg&!;K&<{@sHXcIaNkn5^F@f8dh-fB}Npo%RolH%_QNG=+W)X_U zimw02Z|d2pr6U=#ub=h4A)BKZX1#`j!fd75!HS9@Yb5;1uZYgtVwt9}%vH^v!u5$w zjy6D>livPd9p(-#CrAFov(O!)=0w$=8o>FxOQ?vHuiJa-$fBPd!SN zRvrLc(uC@Bi&jWcTcI+_G7U}AKmZ%5#Y;FTqv_TaptHw$W!^38W6Q6_iaW)*(%nsW zVS83fIu9#s{Cu8xqCv+(0tjSBUk83I+#8f`+f6Bw!IXoXMqxd2<1fkLK5pRF*L}Ui zn3%NOcb9j-(%v;H!pW#nXShBn6ZDX7>P6<;3ZE$ZweiA^!E@;ijrN0ucINS0#fat@ zpq{;yoin;RQZ-p2o0ORYT5+^wTj`<2+t|C^t?cnJ)^BtVy%=+?KV&^Q8vutZq%7^A>#_ekz^eGob|#+xcvoA0&C zWEts!MhDVuz{LzU>^^<-zE)v%naj>hu(zNEi&5}q5e3T=!>C_eHG0k+rmj=_$GzaQ zyZ$Asbocx;Ov#0{R}SG-8@cax6XNbDElfTU{~6tRqkA&kZFd24kD4;zcVA|W?|#`( zhH-Z|i|9%_O;4_&f+d$lb{dr{PcI&mvi`m9Erax4Q1hgmeh|g?(amrK->NJ0*E?gU zPyAo7hViQE$`#qkXFM-x+oW^VDu1<+2yVz^b86?^{r>DX6_@r4U4g_bUaMfQ5jO8T zG={I;pI;GPuxNk!?{4?XNL7?ca}`ULE#=b-%-gTf>cu#(&(zPe?&LI&5;08tV)x%(Y+nNV3%ug6D^A!j^oMao5SVk9K3|$rjJq2d{^iu zb-3hMfYdhMC9=xSBD{M7>P>YaPxA$sjycGQir-KA1pcV59(E<&s4`_r*Lfl2DbGGH zubs+r&p3!S{Z3D+#;8h~&HD01F}7Ze>82KGLZ^{hc>;zEbHVdtIY(y!9ej*=gOh<& znN=M^-c_={o)flX9h`As{+hZa=k*2`Q|la?j`}TMG+6>?=R`Q1#m`%)wgN9(3g)VXhrKmVfo09?}QGc((wh41~aGDC2e2DN(e~|F&-5Q z?z^0HZyYnJKdY>bvVC(BP!`)126AMcP*hX&QIq4;`##_7 zU;TObT@pZyQcD~c{(JkUX{5$N_;wu3O1h%$e3mj3mEDi*?fTW1+}qnz_Im-p>wxc% zD=+)I$E6dEp5XVd@uvgZap4|6&rwDOh&|+k3h6aucVXgzJ=;D!m60b%+$uk4a)n-> zZ$9n&piGvLMm-!o^>&7RirvM@GvpuW{xagYmaIIPfY5@8ER&X@9F^HpiBO^Ob39dhI(-dV~;d;@hO_ zVV?FbJdQb&%NA>}{ci9s$#i3|(ZTe7(c)4%Ki9q}e`}vc&Oqm<4XOcB6G%BLij*LH z@Dcf6zu5@o*Wehc($Ia*LQ``kVB#Bli`{tE!ajL_eqqiPVxukXeYJq!gLfq=q#}q` z`i5Rj6*f#2MdV<;XrxUCN?;>oo$7S_)gTBiD7>2dmtdi@TpsU<^;plA)EASkF`BOw z&UR$Ko*fVlYqdY!3vxMDKC|J~C921Pn48lBkMG7P992j`kXYeen zB}Jiqa@cXuHDZdiS0F^C^&*@`i&DzqYu>;{(p8^EukoH2$ac3-Pxdi*pqklsoL{*a z3r9v2+cSXWfk?-~hU7}^XQ#)4NI|yA`<1}?I*kxzibC8au7}){_}Yx zVDf>G_|9qb$=Vj7$KWn~AG6698S}h(s@MTmU=+ZmKfPbsMpyEMKud{I0xx3*QbMAH zft)fY^W^8`*nWqPhD8a&)q_O?3Crc^mRS54q9`7C3-{6GcTyV3Jm3VAm|DqH-Uce_ zaDL_Hi}S#;W0(Vt`$H3C%tl@I%nbF@?bS?xQ10Zy$V}%kP0XiNh%(Y0hI9%khe4TN znU%04U&wfVLeY#S6nkR8$-0Pem7$r$)u2fboULQ+^c7D>+~<1iL4QV_*8Ay;lpeD~ zJ8zmu3WraXUN>bBPrDK6f+h=<^j%{zV!WN`(}Dzv#?<#1SnKr5bfh6W$mYCYoZk}( ztg6BUkqKepr{QXnW!=)km1Vj1)A@#nYa&k6p>Ew*{EPvw1-AKA|eM36(Tf@gnv4wGGA@SYFof*18VYzo8% zZ5F44dwH0r#7nJyi+|?&GO}tK4}TuRr!pcrRc|tug>_43q@5mN#JO-}P?rBq+Hl{Z ze}in2-^n}=_;xV%z}*-5opikAj;k-6mnrF24SGN{R#tY={T^ciej$+=aXAsv`q`>j zn@}GZ^Bdbab7nb%bwNQGSVnqS40fs_5wT1%c`^^y+j-!p^12NE7M(H)mm(|IK0>Zb z#W|K(38rne2Y@2Y-Ettk+=-)Pv!fY*)TF$Mr5ny3UG#TQV(*ipJVO>{g9ULVR5P{iKmE(k!UX%bDSmc{*5CtKNF|?!tcYL-Jl2SC)b9~Oc7KT1tg!0 z(87wKwv^`y<@g|N1RviVX$*q@HWI+;q$4z!Jw(<262#b#EpJQ9HDHRNN|A}IXY|Bt zFgv6Ck%6Gub4y6rP!~3lHHh?s>H-11d9R~th6AUHnDn2vM9x!2I0Wu&tt#+{rx zTDJ29SbN2{ua(nZVGb(BiA_$ft5NZuUHBkNHXP>w~~x}=4>^-~(gA6$0e zdWa`EoHYZ-%1m_xL3l_!ca2nr0r5WtjR|&|GL+)hBmsBguOYS2+od1 zjX`8|?edU*(;<;yA9kKlb!jBi0GU^qY22A6m(GO{VEJyR0=s}_KDkACuH=W1DmH0! zbK6acu@filN=cx6c!%>=29>Zgo#S@{ZfmQr`P~<;_jL7O3(C;kL@O&nZln0Kp+0p9 zNn+kIoc9LJ6t32<#vgTfqapgOm}AQqNQ_aQI%un^OASI|-3R=7313jBDFp|EA=L&& zh`WQGv0p^UzH0VXrRCvhSR-in36?SrM;q9Egzj3i!5Yr=1v~_iIH4Og$2@Tr`LrjA z{z2-<)(Aq9Op+Ya83vZdNZUA%K!&JtruHsEYW%zc z4|YJNeF0<71TU~ILD6LTq^q3_Sk8Ch`c?1!Rl91c-3~=F=V{)x84B0cF#RfmoNkh^ z@b~$^vYT5P_Bf4syBUWF+a$Ov%-3;tZDhw-lnr1@SVx6%6`5>D-f(-mva!AjA(DC# z6Mu=^XSseC1zXe}wIv}b74XujDptFC36UBPZoGAp8oEhsS2zz<(Ne6EX(s0hEV^)h zhz6mM^L3RU05-E2C2JqPj~|M%s-}$_P`=7T7C%-_MeGlGm?K_RKGGreYaC2D^}d zbnk?Y?reza|9SD;hyViyNujE*z1s;Hvz5odT$k^X9dch*6^&Y$Hk zuTZmUo1|^R|H(kggqUpDB@accqigV1lM|E5O@b-jZ35q+YD12o7KNj)B}!?GcM9qD z89@hu^-zYJ`!g9M9Agdpcu2MAC8<*Ha41UPpJFC!ZmH+~ct~hF6mo`vkw}(z?3xkV zomNh#>tQODEi>}STf`{)rsne;dut3z=d*k^nZYJEQJ*ETwg!=Js~-1m3P0E$sl0SG z3Q3$&hqm=2lGcjy=c_UZ?YN%hT6Zpz+E1_oXLhASxtKy>VN#u+QBlS(lLea&z~sN` zk0lwMZ&TY@#>OC2tYt*N!1ry;Ea$l?Ch|Ww;XNuAEN2unI$JW|>lywMnMJQUuG7}I zCO7&tG)GTNHeZ>f3e~p~I;0Pzs|NmXor)Ymb}->qM;J!$NoR2j7o)8QM>|;9`vJ-p za;VAdDF^WA*|#Ufxi2gpaWbQF{LlzVPfwP>VjGwA$G@)O{|(*$?|T2Sn*S~KDQjnJVaBXwWeSRv z=Hy{xmNB!kuyiG1BSpaK3y znuDsy11jkMv;Maq04yvI0H}}uSOHKc?#39}0F8 z=U_N^ENmQHJW48R8d^FIPA+a9UOsUNNhxU=SvfUz4NWZ&d=4}h{l{13AJjk5nl7aE8za7ai9NSMELfq{E~ z3IrM?6e%k-x~K{a$WTGX76gkSmY84P4@b_f`Ulg*c@7?nf@7ER>Mv>kLfQWrVZr~8 zDEl|U{wG~)07TG@362JV1`q~3Jkt~e!vE7!L;;r6mj_jl|Dw_BRrmqu2^Hc6CY$qO zj#OmLLYx#t0ciezQsDZgfcm2=9{6BFs#i@!y}I22+-YDc51CQL;9n5m6j&(q%+v{m z(o5dHi&Bb;`IHZ7Z=iVVir2%Bxez%~U7h?Wk-tW!j7-~bk#UN%$?jRAly4vnNbwx0 zHa0?or@N+7kTl5F)gK`JFx1>=`rrDYo!tAEmi6 zWI+h+Wd6xR3!8hoTa#?O%O`>T$)uZZZH->u@?uvxQ7T_US_DSUL%|YVk!dnqx$T9s zlI3M2NI!|7o~l!}P+E)tyyxyPVMFiS6Wqaac{f6Z)Zb%4&Q=m)kDTLcRQJz+dzfzDt&edp&oMX9`1 zZg>F`S_e43q>^Ga zcL)6Da<(lg|99n=sP6{)W-{b!Wyyr)J%-4l;om3ZnJlo@7(;wVm2+EK3QzNI-k zvt+ve^vV3Mp4$KCZKw&*3c$&C5U}_pTDfxL<#<7;7gY#O^rl3Wj?Q0&bwNtk<)~99 z%y-QA>wUE>myNNV*Nv@}d`U)NMcU2QUYR=Mg#ThhIK867JelJ@rJ*?M0{}Y$@x06) zoty@&N#2L$UYwJJ4U!gNpC2Xak_HS7OXHTd?_9rL_aCBxDr&AyUhW^0;)gdYt8(iH)w zCpR1AL|*|P-Nxc30DInH>=SFT=!(t42T1Fqum5L50 zY%}Zt@0ama6S{;+N2@EPqb_^ye0w$C*7CE91&3-=BP#hfAvt2F4K+?dvVFzmOL_+B z%PC@-?f7cs^Mef7Yxox7uUJNMhO=b6he4VgIB z#}7cPF=Es&0jQ$P3-yiB?_pqBiT(E5`?AGQ&TmB(&7bC6kyu@;$q-Z?lFj1F1#MIl zy-iZv^g7#5ip`9_@r~f~*TEN3Bn8sXyvi01?D>?vOSJXV#bX5BJM&NgEnm-TmM=-= z*%50|Z=^N^I^l>mG!$?tI?|_{a2+W2sJ@|A@%$1!(EQ|)M#omRQB)9xCp~cSNva3>_bR)z?hNHK88Ha3iv;F~9=krI&cocETes4K}-Pg=9o3Slz# zQ4Ot957 z6mfv%R-efhpSo;v!AU-e3Mv^J;<`CLV6!QZqfZY-KCd`jebTwH2QCyO(c(Ac=7{PEb zF`8ZF>FwiuWWEe5NQYFjQ`a>v@z(e8@TU+mbA?}bMn3>u2|*hlfV(dMo0zg|dp;y%5k&$!1nX|{;T z8t$hB76P8gEZ`mR?+UPzo@h&O(y5|X>KMHNj8+fj3nuBp+2pMlhbu&Y?btZ zlsS?A7B=8sP`RaW&^IEG0|iiSPrAV7A$GI2OoI?=HrzyJ^Ukq8VxhrNos$G$N^2~V zFL0dJ92^4m_j$&C(Or1`9yHJi9x54pM7kM=IT5Zs)PTL=N8@!KK8EsfeOS4kA@`j= zj1;=7^|GM6DDKcRTAQqsZYEQ!5Azy>X|LeZNG;~*wW)^*s~d%Od_1; zRtpqP;W3!P9|gMOuNcn=GZ$NX>dC$@FYgB39L97e{zQ52f#NRcB%4-BVW0QKEy`vV zS7I^IiBI2-SDLR;+_qk1F%^=KPaQB;>NaXiv8&d(u55D3Ng03q_AJi`!)hlVy{t|C zMfmIS^}cYnqt0S>XHJG38ouCmRPALkpH5TQB-f(d=rKf(SE=5~JN36~-dZ;V9dbf- z5*T9T<_SW)>k%V6Vadg#m=Qp#JKGo1VMnJ&f485H)apxaKD1Q5mN^`D5#w#<9KCL=Nkh&Qww!SaW}6d7aseG(@ZC<-X>j(( zLF$YqFOuWsr&$@6QZ3mqDYkGgGh>y>fdBwuxz&H0o~tRjm}}X-#;Z~J;Nr!?ZUOm+ zo^KOHZSmSF$b_vs6B72*5S^E?f*Y4Nvd48L3 zTtNieUBGn`QH6&-%_O;o~PHLq|EjGfr5L%Kr>1J6kH_F3>8LG`o=XEJ4 zyYWl23(Ts7Z(_5PS6uVsLyE}KFe}~OwLu(7z#_jA?fWT555ze4`&#~4j&@EvO?)#7 zXkPbQmF4a_Iz7EmWlR;vJV%&jprN~dNt>n3q{TK&d$-JrRg{oEUohDDYi0D6L*%WU zDAvemhHIF}=fv!Ea+3Y1le;8m0YN{(C^-xICWn`wGgI*coYA{V=qH+Zh?*Yk5mfq5 zL9^L|cf8=GDEQ1)HjM@L@28o?C%QtNOdNJnXLIv{jpXs)`vtP%x}6@iicWQH@icRh zvfG68#UY%=1P+oOSMYbEE!~K*4bQPyIW*w~+D4W0Gc7@vvGelhU1>z7L+Y*~Eq#=r zRrQ2g&)NQbeyS1^Re)m3q>j|R!L=PBc2sY%Xu~H`g8Kba9Ve-U=`!Jn8v}G22@OKP z5DVddpNI;+bRdJa-MP<$$9DF5Acdjpw$@HV$fyP$k&@#dbld?(fVQWWP2nyJbPe-u^oVZ??zgo+d z+F;#Z>3=TX^|7#!f+*t!gp5{KW=3387v-q6@XU4+i$Iy5XhLr*HgfZ%UgKb4w8_6E59@`Q6`WRS#Ch zW(NeKa77dG=~Ia}Nj?Cf77Vcjm1K8niK;Ws7V$tD9Fk*-+{ujJ%_;HxnVQcDGDp(p zCbHBNN#Cq6T!ttuPnJ>2t(DhCV&}Qoyis)RR#B6Z_&)&QYtl((RQU|R$%G3rX;NvU zl_xEYvH>UV)Oxapun8<%lhUSEc|GmC7-Mpg3ccjFC<8)THUzB1cqO!2&Qv9$P#tu82_-;bsEGWx6jMXlDxDIAF- z?l@lGzN$a9bQ0W6JM{@?J8a-9NFv&?BD`(v=js-uW;AJCjX>xXN3mrkw$=4-jwriq zQ%9w%$E0;!0(shHg~FOxMN57%sDKfmmS9+f0<>a0qt*FZ>IM6#Cm16>0GovLbG~ks zZ{gBhWA6>e^tXn02XW4_H63U#QreMkiR|)=Di^XF-deJwJkB<=@5EN3=I{_S=a3LHsw=Had1FOB#i}U&x4HHJja`FsPCpoIeNxR)%}WH znZ6F`rnaatr;TS;-8@)7MsUL}!h)I11k;PzLmzWqOe@E29K{vrA}`~Kfd@kwgfrqT zEwGn8XWf-lWQsjQ9Y=$v`)t&SBXm?epEm)DF?XaFNX;U)%lf6w zobvjXoVfCDogA3viGLF=4ORleLMF*?nx9;V^MGeo|U&mHh z^lcQD?~k%z^mn%v8JeBORk+K`o~yelg>)3EiVLxQ5VOy7leH$@vGTk+tM*oNk{FXe zqQ+P5dVkTQIWpMSQn)mewSNBQs6<^5$Cr`ktA}9-)qKTpUxPH%rCMBN;le%^TZZED z4GQ3ztVNmcS*hN>f-SRh%UZmt0GIQ#xbM5EN=(d-ahNpb@+?gHtNT(-(+pq)v+~LO z`kIGGM8*uj{#t}Kt&Eb-Ij!@GylDT+?lNGPuiJ=^Gs$7~=RP^l2S86Zw~2Npq^aor zzNqU1u+9zI%HI)E0Hx}QQm^7)U6o-HJ^(+$76VRvyFUPZ<{yClrhxx=-u$akU(`Oo zG=nFI2kIwN0AB*Cl;|i2*xvS^{AS{3V&;H9xV?`T#Q)*UM?<2OMPLek24Ak%3nF;n zPaX;$i0dJheE?>kd_DkyQy+j48+!4DyMXWy0Iur{%1Et3nYbVL_chC_6v`fD1TLYS z1ERa%cY9l8Pagm)1yt8n%iI^<_a?9l4i%XZ>r}xoOEAk?E+LL9nT3%kq|`K!p<^a9 z1o`+yIof}Qop=3rE9NO6Ou6p>EdkWCpmIC2zH}p#nEAqliX~E%&WOMQ(ka&)P%r6{ z5fE*6DF7=V?<`Opw_4mD-ystiu2l9#Qq*o=9*JLRf&5CU7znJ~lBikkOIg!PV38#! zWb>JY(U1fhJ+_L>-^sX6Tg_e-M1?|zf$Xk85DH2h_9bT`M3(JxTGC;7FxBiW!ZA@Js zH^+1htzpvzBm7J=X{(+@IWL!tEMJJ)N&zkd|}PS;8r+Bq^-)qdB1=V1&RYz0heL3|HxFmFrPb z^>*V-q;FbC+Khu@#ddAuo-`BuRJz=sYpw@5>2b1VR!m`oi;UTVR)KFV`5yq1_1fpX55VXO7@fig0N!KZLAmedEsmbQ zG>&tEjcWcU;WV4gJk3QEV8`_XfU4~|GyH6}74h52qI7-So=KoZL(3PC6*L3GxvdI- z5rJX^c)?u2eE`Tx5``d`ut@Rma z-gcx2^q0ISz)daz?o6bqUa)UI-V@+U4pC9k8_yY6H1%rFJo-lEa1B5A^Ajyl*asOUjffMIMYUgjMwXey5r&IB!b2G%M;0 zn%zH|u~kZav~#-jwEyzMSQfMgyHCUq6Ix9x(c5OdRud{Kb_E}R6X^D-8Oy@5eh@5l zr650`>D^QARA|L#5m`Oi8qgheByAuB*2_p2GJp<7f_$LW7y}-s?8s6iRVNP+v20CWt`rLb1v(xi7C!*H9BBhiYjVEAWrj7C z9Qi1zonD@ir#CVf`x^^g#tbb)v~8L4^KP`w_z{I-VSP$g?l2u@s*TW6OH--Dkh=ej8P=5@KNoMFN9CY`4nYk!B&r5ZyNYuj+z2CqSO&7^m`1 zT-61gqHPogQW=6IMZ-O(`3ql8So@}pc8KDQ@_thqQ!Jq0x%d*FAt~sGb z#~w_iXT9h2^#u2%3(saSuFVWl5Voq)z+~%)@*8c+T$nh$DPC8a<>nATR4CDgZii}k z+L>O+4r=ieMo>2xPW54mlD7wRdxbI0;wJju_mMH62eiDz4swyRu$)E4S$@D*g2eN? zn#nRwT|ES^o?H4m2+SdYhV6kwf$TWo1>Fpe<&WV~O0904FiSkU$qxX34j2KWL7J$T z3r474#FWKUvB7Y_QYu8CR>olpfh4sDe$>2p4(vqZ#diCsGBT9rm$?m0k#W(}9MK{j z>JT~vkaKrshB}hqO2$5^7xh+y{Mwi(Js0Cv*kJ{S`$>(gt+P_Kc1gUP{>t2tb5UZQ z-_gjic+Mg+m{8LXXY0UfFXl9?S%32~*HMA>*k3~G$5#~(Y~Rf(komy$T3GyYR@LLv zudN~)|4;UPRZ8fmTF=E%(MZm}Wj!O^eN3g8SZ6O`qSnifRqwCqVj4*yuJcfM|9rFA z{u4a0C%^q^+i-#_n;{yqei)q_tgbI8XY=Og2W<254Lo{h6wtrwme+4cWzc#-zd)||1#&-9N~`HYFElX7cDX6wyE<* zGyX}K%K7qS)K}i7dx4y`zS2U~HJ&DxgawU@uB$bsA@N-TUH*Ep?wsT6kM;`OgG7Wc z1QMrfc3+n#55q)-47d2QE(GZdy6amP?P9y<*(GEs=o@kb7ehp_=syiThu){{Du_KO zh^(kcCsTUZ;^NwR^o@-)%i8T`Q_UaoM|?>!jX9KUPYe_m`^jlEtgCU~xZ7UMv5(fa z6@MrfQCc))NUm+(wd&gl`LlW51AE-eOypFeW1XNVWfACM3xN}44RU|Ir9r$YO8i?I!`Mkg`yQR~(Zef%|;2y_(fj{YD zFOn@dIk#h{|9okhWVQ1t4rT|+RgoiwV()D~2fgBqlQO@WA`(%FA_fx`%UlqEIic%$ zJ$$U9=iR(N&0o)mzpq z?4Zy3)J!UN$p(;oxqUih)(Uo%d^r`wj5a;`xn`}O?K4Yla>!o%er*A<01^-aV2c@pjdPc3LmhGTu5)a%i0=0lRq|wrsngQ9z;!_=yN1egP37?c zNVEh=fcX7{(bH4-`wJ!yNlZwB4gEV>JPJtIAbbWX+8|T+k7i5_R5BV3l|Vorc%T5& z{NAipc|hr7qjK6%Y?(}X36jV-J~m>$Eof}WzQAa$nrwMDN6?eT2-Udqf*&p2* z0=}=2I8vIU8ZZbCl9qa}LN)=&L-zp^KGSWYVa1uAH$w|kYM5Q@tb?AI4rW1nUYWz0|`Oo_a{Y+L@yzJ9mcWI{hpMlZTd!hLS_DM#NTE7csAcfS=U z58BgtF92)Cq3W$d`?m7)hy%EcMrnfM3<<$LFPPHeUCng6 zDJ@OmpA}8ByyC?DmSExpXRbMq?1EXGGFoe`=WX~drp{SSJ;+!T-Aj#i4g>Xf%*zl` zS3E$T#>3Y>&Rr;sqr_=)r?)c^8J8K61m~Ey)*zd=sc^*pE$47$P7|DVj*_4y#LuSq zs1DncO8;*J>?QQ3RaHY;eUU1DEZl4FKjvQT6+Nv z(L8Hc(QC9hrrWzVZ<7&MzemW=i)%8Odrbu&!%`;Y$ZK zk=i7Lc}wE5gq9Ry3|mzxNz_3X^=>UPcSx0QCcn*SO?9fQMG8dI7S7`S ztS@2p_}4LD>6QX!!e%EO=yfQ!8}3nnlV)OkPYao>fijy^&3R}VO<58CFg9Vt_~~dR zyhro*@yqKK{f3OSDRF(dZ^88tv-KC+x^Y2B;R8sb4kS@}`xXp0GAD5V7kh6R6M5L~ zX%^Bzqm8>X(l|8k-ncuBHZFy`Yvb;XySo(b?(XjH?!EP%&6#udJ@3pWJM&>C*$;%I zQmKTb_&@jalk2|K#Pns9n5NJx|n{sVyO#HO=s zt@tI;5<7p;eBe7vPVub6Ev!L2OY|hfgDioVLr<@w(>qt9>rbG@h7QngX>(o)EYUxP zCNn(oe$npIKpt7(4%^g%fn;HAwO@tRKCbF|#s$QQJzyN*%mwqLydnhL?^N zB)C+8w|y_mKPmMTx?OVDMB3QgX1>!ZA*e`BO4?OT6oC!9iMgb^9*%FwjS_@@|AsC)ss#GS8A+Fa2+CVR+x)lGUwy3Y20$IL|25hareStmsYia zO=^ILAxI@|+_h+D~)5%+OpdQ3vaT z39Ikl&3X)}UR%;phjzp6@Br7FE6`bIHYib>E5xt#?(5NS0q32kaeo0{btPW~!RIVl zFW6uF3-}ADU94F484PTEx7XXFf^?@1x|R>SJOi0jS389WV+`#>VUe2>Jal7Dy8_j{ zfZ&bAifYCV1ZnPQJkecY3o52K)a@w=Krv-otvuZ@|A&3ca%+ZkwCaJGFFd|1x*n#x z8OpDcv}6ik{}?I(EVqPj?^a}d zHE#0&Wt|;bB@{p%;%s2s?53Waj$P_6wtbl_({v4+d6jCK6X6H%F)Sly7tAO33pK&L zJl0LxD`lZc`o)Yv)G-GDeL7!L!(!Qx(-48SB1=^2qr&AymNoXVJoyW_quuxm*plu1 z3&7SQajV{uxNi40vLtF3%7&|`vbwl?s>)8(UD5bGOlk1jhCK@^PCOaxYDdxWbP}=@j0#^OGM~g?LLKc|&dbn?LmaI*VRQQjL;RjMeTpHcGwy}+F{_3B=QX^%B1u~x7o_W^93ub)t6B-UIfjJnFqzkc1;NA zQbSmC6*bCOe$qOCC2TM4V;&k#hG!Xq$?k|F`~J#>a6s7lMPG%Hz{~xEjiy18GHMPn z#dv8At!OoDb+%2l!o1cdKrv3Vi%7MbnUcu&MgWpFgYq_?LO7z%bjFV;qtH{SIdYl} z0kw{pwVK@x?6f|-$vWfd_3@#-&rozo>>K(KEQ`Ec>mpN-*n*#*{9<4FjAKz#1Zm)g z_)~JbyhLEY{(dN81}x@YC!F8xrWiAlG~T~ zH*vq84+`&v=PGx^OoeM>GYtmi>>Q>9Dv#6^HPA zPUq+`E>tmu-o_)GGXO49?^c&2B)G8U2^W}}G0xu7KLzw&xdu&eQnYo{ndRS-We#lh zlcuB2IT75EW?x+f(s{C58wc$?++3X6WVv{8CH;gvG^3m{x`K5F!PLfEoEEX7iIS~^ z=QFN5_%oe+#u(?&YnZ$D5|<0rkTgsC!^Men#0dbv7JPTD z95TBskt8TX&DaXWuyz}%(Mv#4LSjg%THn|x$L7YokK?4ENHzxpQ-}ocbZ0nfF|$}HcsC? zw>>rj4#X5+Yc8M&2YKUiL97{~Y*x&)OS@(Z!df3?Eulgs=oD`+bV97yZX729ENr(ARKE0*a0sqH7G7jW-I~5QL@`?pN zwil$NHQIcRhUd`QX8=w&EY2hpNVfE3`sjsjC2`E^wH|gvIZopW!z0d4JG1N>R&@r1 zV?}`Oa06E?Lb)g}# zP$=L0dU+Y*Cjhv(f zyT*)JCN%~#cj7OP0PTt*-|`9&W)m>Q*q?nZhB+#)P~J|*O1RN^l1DHZm34Gfi~x&R zaUnz{U0G3|N=%lE)Pkxsy!3dyxFNmqq3~Hub4jM-7tZARgu8>nPwOzMY-E$gZSXmD zc3*Yw>X?t+p&!E|byj*nNEjvz0*36Lx#Yq0K8z#w*M+cfza~e=p}iClJMmr)m8QX!$(+Mzj9^xUKwSV#d6w z>RWYyjRpmhU5;scli53U&}9AbZD$E2tmavi1e(z~ zR+4>l>xz@@@(d4%?uv-N#|$v9F%^$>z^i`t614_9b@h)%E4_4T_MW9tuj$B#{Q2NH zNpJ*SQ{8PTIxA3dSzIca6Q|HOQ^UwIhez--%y|qkbFPoXntM9^dLw1-ifNov0V-kZ zWiB~jq#8{Cq9)8zyJ-SRea@I;QLzuO`ek(xJhd+R?$H*)sPT^Uq26JhG@#Xoa1f-c zC%F;~BKi6oGu7A+w?+i$<{5&7eH{cN)68W1dj|N6j&(>QsGvZKv-F;Senh~z5WdsA zOXGmE;J*JVMNb)>lcAa{Tb*ggyTCpp z!!2=5t^X9OsKg*GQih<3QMh)om>-xo_-|retLXL=#-f0_T@ui~dc-@UtWh$B^s;Pc?IYfbsv;@Dp z{y+`M;)(y&Yg#GpIp;zBQ|HVPye5XLsei>r>+RB}^X-nST`^hbS~smgOk_o)c#dHt zy@ace`Y8tN9|DL#uo0bm`d2RRf$|@r?njRAM3XY9Ct4a0Y_q}fv%VMr9sn$4g|rRy zCHg3$J+EvByAyPtAudrcX7hflo=6DyLe{oVh~efKqq58vEU5`e^kTTfKSP+w`$LV^R9v$ zpT!h2u-v(*90C5fz!iakA3^8uqK}@rZ&wqAkejW1eH=zUgE#SU%O6h{eB5?AI+v^7 zW8PiA(Dw`{VXb-Y4l>vZKz%XboSRY`eaAOPM8$TM%-~~X1sKulYwQ)nS7mc=F>fDg zqxERZbX5VoHDI+Fg{Oc%#rj+&YM)XrubKBPwE>J5Z5~*tZ|r_tN!Jzdz`9BtNU?5l zRQ&R&D3DO95yT@u-t@t>w^*WL0QcjZGZcC(M^iA*4l0|nl12(}JsF+vmDWqUwi*9I z@BT)7eM$Tp@T?y7<_*rfZ2P>t`@AQ$hoL+@M!$Ez6+?}^*&Tw#ENRLWmVGzio@wEl zL$ufOJ8i|4z>2^&Ui9R8-Ixuf%Oj6B?gU?%yN8xX0fHU0GQb`>1fMuV0$f-64l78T z>-1bg^;by_LY2Q!){z&8X_v8gCeEl&JSStbe|jPW0DHGz$|_h%Q!c%xYnUOd@sCL<5Sy=e!a_W zFKyH6eYRPV*XFZ>IGo+nJmlaj4CoriP=JlLa4(E5cCDpthgDdp;uUTTjbu2WCV~^& zs&uYBkNC9a0;Suv9rj&qrO$S00*M|2BKSRR2jvCF04(Tz`U}`8Glzm!IB)jduZc)? z!hqOYY;duAD%|ZVLCD}x?!b%v#jn~p@oe~l4KSQ8Wh9i&(Rk`{+iwW^3s6bInRYyF z=i6t2m>r6Ns=l3^X?F)3IG1SaW=Y=csXjI0K4oid6!qZu>?Q01aEzf4qANM8e!-HU zF`Y~Q*X%gvz|-pXdT>N0PYPw+$^+F~eWKWQBUd-!Gv#gNNEqOXUT>Q?lf-$i!o{RvpKG!p0%0&F!!>1Hf78jbEwqfgI&I=j%-=1Pt4 zqX*$0Un)(S(*z_?5)P7<^?m#prA&&hJ_JSt++!eCGwhPb1;gfG(v0H!V-9$s;Fh9| z7$=FZV0)m~I}-JzU_occX8A}#17W`lkv9#Kg@LyD;H`W#8)`x@Jwll}325%g=VjB* zo&C9#CwFzHue5qYlInnU;=z-#*7oiYx5Rq_P%`3q#E52-R?v(^J)?*~Ji<0#7+5xw zS@D{hZvRh8JojaHDv1B;*!lO@_S+Pl9Frf|J6Dv5BCqHfi}U>pHxOZj(07rHWm+WF(M03vnkm^5w@$bP-97 zSSYsBe*v51rpKw->izB&VaIXi4J)dxVx*~C>z~srzdQTFd?o@FI|fB-&1O;sFIALp ztnJ-ROu_K`rz^j5G-QmOjNq%;**`YyudGgw0<2ME6eKe zS-ows!xi!YgBUx0hb>0i=ktp_J`Qk>uVae76lI$iPh5^d9PJ!X!zvpHL8a4Z5e#={t zlBgQ+P(j25EG^n-BgYp##VcMXCRO=tztY3d8pmj}a`?Nntre&cmI46V&BHsbz*T%J zv8C1ZK8oKlQgPz)1ptlGmIU?q<5^LC+oVUirYKX{ZmzxEPTW$p4V>xn3&SS=ekF|w z)qtArw-W)ZNaHpR`&2}J>+B~LMVbNU_*?yGz%K6;3@rG;40620T{F9^(gr#Mfd`Wm zJ(Z_!F25L5AciIhYjo!AI#9gq7Zi)uT^R`R`o22YrlxAMbP~IMf{vdgUo;jZV!OUg zyaI=wiomw^GWgcLLk{(t+XmddeA)aQ{o)x9cJdc%i(Wu4@PI?mCAQX4Bhakj(vE*> z0_qbSikz2MnU>Vt*8JVmCH*6`r+>w~#0ip}H^bFK4nxD^Leh=4sBKvj$*>v}A>Vh{ zQyq>#*5>*QRQ;wMbApi*WamRD;uy?XP9>Fu+SKBYFTo;;s(>>YO4i@xQzgn#4`TvUl3(*V;==6&@Du2l6WiEe18Z1~QV7MHibfEDmVU>_W9bGk3J z;I`^7iH)@;HM%9U#2gwBB0TAQK#u$i5KKdPgOR_g_@q#ZDF_b(fbtV0K#C5#DEB7N z9(H(Lw~;fM#pM}wL>`*#I4kr1mW1S^G^HQVn<^ISwu8UU5B=&ixS~d(PDXj)(>53% zEu)%N1vM$q2L}SRL^{@vI`CETWbR{>3dsC|I-P^p5fQu=jq3C$aRrYDG8*93a1J)b zeS2hnjqVsHc2Ot<3eYcE5movf(Q?ymTrQ;+q|i-G0TU8x%Uao{)*Mb-?&{Kpb`HL7LBa@M?>Kjw!M8sY*TUJKR))PVQGXWI)vo)!kly6TT!j2cF}Psk2c=Fe zl}>nYlpZwpTi|#XBc01QJdt~R>HbHZ*NB{f1wglyoRYQEJ00LisfeKY1^-c_0T97zdte&<-2g=n)W77$qZ2iQ#(JJUK;Ig3m z9T>2{|9N@r{99y+B=CL7M2|`Q4Wa6`$d=beZ1#dp>(v9_cx-mKM$}6y-+bDfTeRRe z4Yci#Vx~=~3b#$L2p`1ZO|VEY$0n(t(8px6pYAWO#-H`>eoups2Ci7=>;KE}>Awo3 z{_EFZBRoBDo329c+k6fQJ-*`rkmvi}B(Kn24`o1F9<%0N#$P>L3~!7QCu~`!T?gHF z1-5^Bg5BQSK#q@3@`6P3qOMhMw2YxycM65zk+I|mEPWVXvwVbMY(8P~0X$SOcRH`i zJHGi$3#a`BKo;eFU?%rXzECOqY^mHqoU879Ks|r(J$2VSs)6nOSPW&K|NQw{oygw! zr~Ihb40+`iFKyhv8)I;m=bG*N1B{YR!6G?3SZ{&+Kj;VL@AAI}bTIz|egE%pivIza z4h+fo&(H|h^nU!&D1yf8j;;?8Vs)4x{hBaFL7ZRC`S_P-kqJZQlp(;coE&Jr)gM4NED_KectSS9v3fzd^R@u zyxTfoXIYRGML9g*?my&w?*RcEQuuhDLpI)q)sF%%+q0c+``gOy@cYN_@9Xk5AQjKudSDE<0y|VotH)3w9+hiXeXa{1ONJ>Zlyd;Kiz&t^vTHvMINFjUEX;cmFBLe@hFz!AKdQ)xfnEuY)5>SU-s2S`GN(4o8UK1hw0Hb@0pa zoBMtP&RS?CnH;mww(P!S2>dQqKr+XjuWh};u>XuT!r|-g^e0UADI`i=0#6+NkGEN< zKfQ`PL@Ne&oxz5;`8$c0c}3-Cn{N%3au*S`4x}UABN_5L5l2j|b$i>wcKYIFjcmn3 zYck{%CriZy>wTVX2=%LiPvd9C8nyZLgb|8xc3(sXx_#l1ei30v&4fQkWKkS^JU4dw zynHGr+;|5*kvyGW5kK2v{T|?{a?9K`XFx`@ajUFx+PZyTj}FDaqJ=>tKY}4O?g1#; znPo`0;m$P>Cs-e1t?5Ij?F&*?%D&(_?LRk z7>%|KQFhh!aXITJDb&VieD;`{=dYRaJ2B^_XZ{Qg!SflUu9-F~HK?N~>522{)NSD6}<%T7Af1mbrK&t|; z)bXgPIi7Fgpu!6mTH~M;U2gt(S@)|M0v3^Ay%U)K4+BX?s zH_^=`IWsMo63Vr~^kqdUO!h*`Pw-Mn_L8b9ni|YWD&~o}4NWL4erk`dcP&cUA0XBR$lz*yb4}3f zNuwRtH2!8mJa}e>RxNJ`Yr|Xnxc4Ks@mh(F!$S;w$@@m5lA38xXjSG4kMN0izj#to zolazg&bRlJ{)Spy4|im{*^D*94+eW}FCnb0XWNavmuFGPnO6&t?sUH_%#k8kwryfv zINULz#yXFI&%*APO`S>RjG5X|Zo$(^+kOER1KAd+QdSGbUN7D!7>Ay@kId*JEM0O* zAVY+a4SCa_TPX#^A?z?~^r_OPnSeGH=DG)mW3`5Eui@Q$(5uO&dh@FMvLPKmwS;xF zvQ!Wio$*g^3OkjG+M0XuJU0Ju<_kZnrr;T930rR6H_9t6Z{lK+hg4$FKeY|bzf~#F zgl-!x^QHa3c)(O^Z?Gjd_7e9E)&l%sl#R{=49jteAu~(TkgD%K#jhg@+L6Kxs=zaF ziK0gT<~n6QV?S%9>)%Zi3)=nMuVtpiMyh#exyX`BQG1H37+eu2DS<3}jW|qTfKPC< zEGtLb;}{c9H(EHxLMsAFAuzL`H$-kE$YVOb?N$otrjgY#7G$JfjtD(H82T&fza1?f z?nlf%WGnY+!!&Lqg>dNz<7=Hh`S&qAe@3XaN^=JY*)ftu!cB?G;2$vGIut+kR%6`w zfaZL z<1i&Q(W104>LVX)2or<+0biG1cb%I<${5;z%_m`~aOMHR9Bd?xw37_vN&5iFVf^JY z0a3A)nFW(;Ia1&6IiQm%P1|ybSk4_#H~x~D9i*zdQ?7IX8dA}po@)GT_@XggSTQ7O zU818c^QYwQ^I<0V|R)}h?|NxD4<4_vAFl6h$L{Z7EnGJ4-O|Xk zp>*mjG8lut0wf_Lc0i@0o-(|w(2gCgH$-xuZ4OvZ?z^*Y(1=4{S*1$UmiOnctufB9 zc_#Gs8C;8zzGQFyT_S#oABcO&)8qK*=BlD0y=nk|xXWL);gWB_TkHG>u{Sp8hbf8@ zj$^!PvT<|;MQ6wM;6)BMK0!vLIr4VTTRZD`{x^$O6AhN)mh&0TI~z)Ykiu(>sfcmB z8?KK%k-6iKBiICrtE|!-PsFGK*uXOt!6Vq zau=$f!2U9_b927=YnCXym&3+)t47UZ#@Qh`T0*&ncPpz^Fi_dJ!(E{S`!2hAROOe& zU~JjIR7DaW6Xjy_H6CuHZ*iFXWQJF+D4YURmYg~XI@LnH0glOh9Zgi%fHVrKj4x4{ zH?TWiPTX_0MB|9mZLfk`wZ5wi^nSW*mb!r;DxzK5w1;Wt$>up;y!h5zo#qnMn~2rd z7GX!wv=o|`gx{ZqONPf4oT#ju0Rt)Z>rW=dz?BD4;Q=n&@Z|PHVxxo6?5A6%#w65A;RVOovF2J-+e^;peMiLo*ZmRC2{a%v2HsNBZEC&e#1Nxz_ z+jnF2zev?cyhbCh+27@i@nZOmabj4C|0Mm{POm`^*yC687TNkk_-q`B>-)(s;%i*G zkf3ASef`;1AF?=_Kn%#f0Do^Nmg5iG3OinGa6HaEnm9esM{Wo423+MkrUYWA2z5|ei zgZUr(s+1UIjIB+3k^fGMJf1`l??@2EMTtJNe z|Maqdqq6-UPcM7^_}}hAN!xiP-qS8J;4bqLwmxVI*)bFmBLBzZ?u-h`H@BTq;fZ+X zRCe6DAvuiOO<6yBt4sZBv8za*yE3XruHFw=weL)NV|Ic#^~x!P$b>)Tb8rf${%c|N zU+V+^v+slH4Du9k+VS#Ul&UA@9lz!06ulTcqCFj_m9C$5EVE+o2h+vH$mEry4%hCh z_@B78I?qtRk#b92@kkK`?P9V&xo7-ERr|Urf(T-+-N(m2A8SwSq|>C$Ou`8ff3y1} z8fya|E9@S~>)0D15aW0|zpD^-5t>2Cy$Vj>e-XV%Hs^Ow4wQU5{nPm*x;1*`v!nSi z<`V{9bMb~O`nkk4n`VriIkqXU6f&pO}j;I>`* z!MpaCTyn)E?pY&KFmdYcvpTiU6-z&RPU?mwB}o23p}}xtdBi(|HgS$0U~V7h_^x>XwQQ`gd)J+f0?2JwR(wL*(Xs?3?g#Ef z`SOr?{{UNE;)+imJL~jm=iHbF({FZ#_iu}=5M$Fy<3KyheN%slKTgQMeYnH^2XMas zkC?9uut~<$roQ8tw2ks$6^gb-V0-wad@Yd8*1xUsreY;LQ_lf;&~#&n{YzKXYg2hHGK6qpmCwskC=jF$H1o5|zB zV;w7S+YT$|Rp2P@!b(83z0q*m1c5Yq2uiyEEOH5a5o%i2Th^gE`b;H%sP{uD$_#36 zTx8oPqJB$L7XCnmxZj!=oN0<$WO6WcG1_N1H}xA-O=2#(uWBg859iTZ8go&rmJ9Z; z9Vi!26eLES*3&v6Mtu8P0(EfYJRSe0fI|0?IC4S^!OLYAZ|vb=HkeIE4h8;(+$Lh4 z;bon@m-i;kIss{8myMC|eMo8J<~lH4M`b9-rVCQUueD-H-np`onZugh3M}wEtlDau ze4}Q>)Jpy*{^>EBw~3LopQ`$tS9CfdR+)#R$b{ymV2eFbujIhK_V3bXnEsKUIT!BO zZ`|;YG$ln#tLE-r?Sbj~^ygFJc+o;?EP^Qc`QzWrAm*SX#W4WQd0|qV*|17Vih`(X z6G;m<@?XD_DUC-9={gh)3WPYdR!C{&B*1VsCK;qm3NlSXwuVoF^7nIEos{@@wSwi3 zEPf{)IR6Dm&XhAmv9x?!+SL9I?om?&hSaV*akW%O5ovNUH1_o_X8JdLZEa}QnbH=a z`U@}++#IQ+XRti07!xpP2_m%@sru9Thce7jU`c7Md>TQ~wur_S)$hel5P6PQywE)U z85n#doyQUFsn3pZyg`!|dMIy{W!4<-n3)G3oH1gX zsXHxFnt+>j@h!$621y`o+9{aV@tyl4PoTm+HTdkgMC@n%yTOX_S>0FV=ZMA5`JW(s z9`gmJ#Aw9w395`GGqN}tBG!hC0*y3 z`pRcm!*AU_i7as`6s>U~| zp#5Z8L+E(Z>5vtHJ2F8cC$}O!^I065@=AJRLM7J}9B*h<&DVpIiG>G7nu`_OU4d>I z7~A>ndv*)4u@1Y?Mf^KSt8mJ{3EV2>V57Lu!_p3Y#TxB{dYv;%=pPp9(SJ8+}}4gBbq+@a`f z3FkKUL;0%T7yU;4E?-?$Ud1!O>Sk6Nn!jIKSB3>?pPrRK* z{Wd^5{=w*4ciwoKttt5tZRw)@UBFwe_edb6iar~qV&;Li%*6vggwiX&a2W#nVS-21 zs1>|QPZr9?4O0bk3uT8qV#^D-pXM=|rjBA`M<>IR7pv9a&37&8MZVb*5j z03KG8lvEA{s9OnDjW2>+IJ_!K@&q(^l9&*j(3ypqakRz8zl8R_m_d^1!|*Fy-)6;z z6v`Hr4}(UenEnFjV+3x?+zL(waq>hpqK@*uH@@@848NFSe$^2Muy07I11YrZB{0q5})(^wQid+qV64m;umUqXo z_XiCJ)sjItWYLme@QU7J&?(5^)+5kriAOoJ5edasc6(kP9}3bLDG~>MOlQ9qz1;}l z$$u81t3BZ-X^vMj#9NDzJ)1utx2QOq1zB7-k-|U&D$6*o?C9Wn>N}dBkC%6H3Lds77ggE z2H3^W3E9zTAc`bWkv^@33C9LQ)XF<-h5?3VLFdvxW80mZ+sZ8tRH3Q~#>Jowi)QUEef^|4Jcg z2Tv_YOnK4Z4u5J@kyG#Y%bzWZ97k2LEBiAXV$(0U3%H^D8CDMjk7E!OJxS2ckDHX; z2!{O$w?SPqFonroEhFx{UfwPhOcwdS?+E}wGH8>Udp&qpA8jQzS*(Ghtlgwfbo`MK z(OnDu7!Ws)gD9V#fIVo(h9V%Kd1XW-oj168h%>-}CeC%KE23NWDrnJZ{S;<7U)#Vw zj7t=;$@C6aMe|?nyz=7*Z0^Dw8$(l6@R9@6fq-T<&Gyl)b(ssD)`bQ>#V*$wEXP*F`@%E-1Xp&By6V7x8f zHUZML)yU~8dlC3spyVCbWo~#M*z(1iA>bPiXO<`;Aj}B?b70J%A`38QPVig;qjJbK zO$xmBpkAB5g?zV}!<*3yOue7TLi$Kucucyf-0MBF^a#3?K)i%{vU`)P%BRO?D`I_ce355LEZyWXJT0Lrh z_yDy!%*ACrU*fL$NK~vsO)a5*^lDofkqB<4rdO5)VW2!SXPT>0} z2K%hCwK$duUo*!RjjpMoxxOZZ&wiXqNoHx7^v+pT_~nxVtWZ}WbV76CbSl8vtbF-? zoo3~3dCh`-S{v{=N)j>#MGoZ5rKF02o>y|D=to=tkd^+~i1)i%CnT`>W>|s1-^H|& zX$twdj{|r2HX9)!@JptmB*9%ao}%EFG)@;7)urAXk+3i?Ub$8y zJJJ^^ztTe~#c_ZEAx?KvIiok7f2yx);3jsW<+P|tN? zwiAfqik1I>qJ#12Q;Hh=Z1Vy&ZRq8j7c0}6{Ieg^IK(B{C{b;OWrQvR?gK@w_G>yr zLq1Cr*x-2svigXUlCu4u`QS7qCh*xwlmO&Ah-p`{o<<^uYx<5X98!SGn&dJWp%2mg zePl&FyL0u*YPQ5zAp#2?z<1j4C%vu9CsAJJDtliGj%;axQj~4^E~iJfH4|T~?6%gh zo(ZjzO(Q#MX9IT#84eGf*j$_B`1+u(N6Z5Oj5Zl-HA2Y#CDx|6t*t%euIR;^Y-D29 z`NC`B5Njv5(3?lhKzUKc*>=N;V;|Bp7rJr<27ZP1RsQx;D`6pXi-u9(vG2%hUwAUb z%pISgzduK?*C9g;*sX5(QpCNWfKlHmN_qOt!3&^5r^Q2tjPb6x9lf5*2 zoRv_$EqV`&A*#48nm{YE^o035w0d!614jo~;BF!Cog$%I{F>&1_)nG4Q*z~KDbV)ln8d7nz=Y`vG2<_QA#uX& zgS_LaGS`~*;V15_A^DOM$vd?Mvb?XYD}TbaPUJ>&i3JCmyc=OeuE}J=6)lZv^{(I3 zqG`?(ad#%&jA2K;bgLwkWxU}w;ot@hz5s(+HTK7sC(Gj(g4FRI1CQO1x_JXa0hX*G z^@6al1-J^U+{QrRel%!NLSG!r?-*S)pz}R$uY|{Bqz0TXLx)R<^YOa+*TH>|laj%r zfqwyBF1I5P$2h#+rq5u))~ROs%SN43iMCI9rts3o(U|~*O#QqQJ{HWv%MO}A^H)r{ zsJkF%ECKX3b)y`gdYKa*xz@70>Zkc)(ZKeZiUQpOEGi!cQ8z12MLS|avnVHmsuySU2#a)W%gIDO!mnc)K{I#CIw0`|-mtGf6Y?y=jXr1HO=Py!IkJR!Jcc zTiQXtVx@^kLOdoi+X-OgR8jV+4Q9LYP%?m%$;}IL%SU?(##H3TRo~bA|EP)%ivIXCc_Yxj(Fe_B*>sPI^l-14t8*ZtJ7K~CXeGk~4Tvn}q zT%`U&c*Q*U7a;TwoToiRS&>%11+C*ts6AU{yRD&Ia&=8kQJxAC5&v_VM>pllqq|6F zQLf4b%kdQw{(?Y~QUA$FAkgj4>7>+4V|VSbrfHz#s}_d7)jljr#3f~%d^^BNJ5^f+ zbz@cX(O55MryLSLktEsz(6e|61`Q!==mO<2fc)dFugzz)UEb3aYmeL&XDO-93@*-? z$9k9y1}$+D-8iiS(j&fC%$!5_Rdnqw(rJOS(+xG2l73Mc?ZKeirehIgy4!^v@nYvAiK~1}JC0!$88;0g zQ98c~WRUPM!XI5d`G~-H%UGB+*>bt?`E;pU0oe;MsAi8#h9;H@@spPVqAYTcChdTb z`5(&SB*_VDI8kEai>VF0+M>qe`Oi4WpN09Z1+mIOa5im|blmk;HPegG#QSO%l^I5K zmJ4+IFRkwJ3l$2GYS`gyb5|dJ4xW8(VXcO+twf1drp?^H7ltSyux*nhf|Hi{AWn6~ zuZgw240VDfMOqN}!B{#G0Wfl|=unWr(>P4l&0b@inwbYRvooZ0p~HACz@8d@^)|{Y z$owTeG@&8FqYpeB4XoUv^Ugop{^kcZQH* z03`iWe*7tr=`BbL-%Z;$6Bxl!J#LLx;$sN(vDw$@qlox!m7i`30=St*dLnJT!^*zu zzj~ta{EnhBQEmdOSAJXSsD-Xlgx+_WW-qDr`_gcgv--R5Ik8%sUz~o-waF7@d>*e% zZ)J)RyLgv>?zc}KI}Z*;xtPH1!iB9K$Aa_{>2_a8bEC+w?j^r|-wQG}5I_bc+7;<8 zv#*x%glILUpyHNY!o#&K8@a^X)Ab$RRvA9o6;Il2y_#Kag=QmP}fu&L#reJGRkQCG;7V=KV9TLjWMAG%(p;1 zFO*bqJb{R6JPKAc%vZen`)eHN`(hc+P#?vsz=t4el31#G;xNP6&(I55=EBq!50h11 zv>zK$VC^#~1{u0!Bo54~EN>GkH{Zk85!<12Mz;Hzkn76_CG?cI)7aTii`MTrD^XLN z$TiG)Lc5{NiF>+F>9@Cu&#E={OIA<&l`_OmQY!UamnPMvv><|N z5l_An0h+8*WA`QgNdZ>YqSeX}XK*w%H3sZu{X+It`VU1;kHAxR z-Urn2?c@e#EvCuOI|T6IR#nZ&U|3tz)RNNB4=qd9n5`K9R}hpAA3v&lT{C&CfO$Hf`UoBryW%DX8MkpCx#A`8OBZzSY-PeX)4KH7vB?z)JhL z3LSzy;Z}g1eo1e%GURo4fV=cRAR{%FoD{jQbZ10!?dd~lFry~Xt+M*6{Q*nbHnO=B z9Y%_ibioslev!nKHPdkR!L;!e@>VuVXl-DRc)^zqg#V7BICs$5=>?Q($-*D)ArB`p zoCUYvh>@*R&)M*$SAjuY#^gAU5iTd(Dhr$FyeuWfQ4c8OjnLBI9U!#jv-cG=2OCW0 zF6cjC<{(-iR(yF^ywF}Q71&`2)`cDR$wIMVBL@is!7^FU9RfYATq7tn*^&yww1jVR zF4H0slo-KbuhW%1PN}}0$p@SLP-0pa{bK z%goxhp+9>KAChkUB7XxeilaM)o2PDy{ls?b?6sSLi0ZTd4 zViWvgxS=OqI*zJG_WeguR@T$xT{g;(tBqK!EMqHUxcBvzPm?7VKHO)df~B+qvJE#o z1P+=3eu6Mmxe-%PBGlwC&{5e$iIF=Q#;me1C-)&pc!VhzgMKgb%@q`0LgYk(pcR*h zFZ=C6RWwg|Wp0lPD~QNrv02>qTD+OQ@36Po$fQf1@f2&@;Zg%)&ammPnKY55Y2it+ zQ5fmz)ljQdnEXZGHPlFoaa&^YIA88d=w{CvI?UJbakqBw2|f}K?Hatq6&hx<$=mz< zNyIr}OWr{sQb^8mu zYaqbaP}DrMZNU;GC_iZlm^lu0T2ml>FKu}+{kE_a=?qa%T?v)&ic#yPbLz%LSIz3rHR}wg;t`Jj+Hy!$+E70k(N$*Keowkfkdl7ru>}4O9@KRQY+fgmV1#fD;<%w#FD^ zW4*!XLuE)5k=Sz?=-7q{rjxVg3;Is+0K&t|5d|4I<>t64%?ll{A#K z6*$Kwfh{u6tTK9l%YUXs*lyym*_=fOzKoU`NQd;7dR+3zfAF+)a8-f#F_{x8k4)7^ z(rcw0n*Z^j>U!7gu>G&s@_#o;GvkJd*PQio`kBK33)R=`b%oXJk2k&ofl=Epi>k)g zdMbWQc2*d{e2OVP!m@7f7!YSz0@&r778{zsfPsHO)iWYco@*?*S(Ds!Dc2XYJqw)_v z)FI5)qNhi_+12n)KA%>q$rG+@6r~n<%)YGS7q!^(fjnHMb5C!Ws%TgDRi~X#uh;w%O%3<*CCM^0<1VM@Jfg3V>4c6==+T zdA5Q9Z;RFAcYHCC;@Kb}uwy!Jrj11(Xv`Xpmuu)jgxeW%xHb$9JKIt;t3XT2!b_fd~Ru3Ysj#n&9*kN#1Fru-MC}5=kP-iTla|UQ|O;b_D36 z!B=lpu}==CCGu!k%*HBc_AFhc3q)KqjDG=ho0=nDSb`{fW>6lA&00Lvl?2LCKtzEn zCP}v9Lt8;_kpN}$PkWa*!5%ixChJ4GyM#y@%`pQxm@dp)=QzWBfcsO$M&`b z!QehgfYt`ejPYEXtPN`(dki}N!f7I>UayNlAiy0wkw%|Y;LQw0Z}@c>o}3R?F08W` z@6@rrI!`Q$KbiZFm4~u`0$`il4gDEy>7K2*XPWwBzXdKBn`~lI&FAGR( znO;5FgGDw+H2uvI=iw4XcBAMQ7NEH2WSPOnl5B*y#IRYjK^d=$tk@5yvklmp&N|-z zi@moDiYs2%J)7VbB#_`ukO0BmX(V`XcXto&ge15V+=IKjySux)HriNcdY?LH?=y4f zOx4W2=gZ8%2b!X4Raf=mzg~Hs-@|P7j_Ff6aj0MU&`S`aCs&&3(_qM%Es{)IjzRji zQyJ}rx~0uR4G zEtV4P3P@jFj2g_Xs_2}i$@Ty?$xyaTPC$Gq#XOv(gf45UvvDoFC^^lIiVdk5d!P9z zs}evoNR4gCJMB8EilN>v&WgPKk%FF#f{ zG_^H3#^?;p@RN06q?TXx>nkAk7mcto6$&(#IYnGLz_`Pt=(@rE?HXtLC~+g~(AeU! zh9YF$cQ<}n6YY{;s`ThZtE!Z~JJ`qW9sxLy$gKSS<2(qXLj~Z<17H(-2I!PmRR<)f zfp%F=Xr7XCdi4;LqkZkQ4lXEitt7VL3$+cs*rSIY@fb{@_59Z-%s;REFReeKx*4xJ z`O^;_b$zDAG8|=62^FGSX@JvTKs3DOZ$)HhBe0}SgX^qF={Yh zb+=$Jc^-wipwO;~XyK3SKs&(TJB-hpUm`qKKhpYxw-CQT$*wMP=y4n@js5aHjBY79 z{7TziS4@*EmqAXrJHDRKP8!e^09D@ywdm zu{nQ$#AfZ#>Ev_v?F+p^?~3AdSzgOEkxpr3C6z8_697^7o`42iVcoma5bW4ZmGXzC zEjHm*grY@<8UN^^4~4mWEi*tS52`f|aDWB>(01q)nb(7E=TU%J3JVQ6bByL{bf32( zqP-5^pL`&|WflzW2N~lM!$1zva(6hFcZKP3aaK*2zkGPRCrH6*9LEC z#Ma-=`;h(i<(6~TAHN>nxmd?4i#rMXh9r<>%-athnBmsq-Y1CkI{!hbVQoF*9yqj^ zX`?OQ>R!5OAX_l(j!?_~<^HTD;3v52Ab_WIAo8ODjiX^Npk!CD1?yNvxW+Ed!m@3E zKSeDJDwZ!&vLxS$tj7 zT=>3(g0ZK=?3;G;exAwlZylI324An{GirYv@;%nX@vd!j2GQ3wgj&X8;5t&I0 zK-2w4Pj8%?yv=&5JcpYxQ!<5|1gWD5@neEW_J-wmj54p%+^!(K_(?|(w0E>=Y(IT! z2KV+8t@uo9^)cq!?wM}OgD&GA7wZ-OsgcplWSJX?-a0o>q#+Y8ix6tsy(@3I-i%)9 zym#-ls8jDt{Wa!@;kv>GTbYZ%gR`-IFNd?5^HS}l%KYoz{(t0W36SDNMuHKt)Cc=o z7Sg#^PTW~5AGi|@hQNA^N&R|m^J->M8@8_eZtotQbYU*I%z-Fq>&w<(^D! z95+5lXv6dc1?}z>ht1 z!%o=ouKGDFN=Cj1@7W~AcdKVz#e{BEH#St8k{NfsaUefL(8xI6By>foVz#Ap@1VkJ;JByz72|0w>ORS=#IK+|36OTZ%?<%o{7B-8 z2i1VvFd?u~VWD}Qzdx0$Ag$cte2?^gq!}3GtX=Agkj_x#yvhMLHRx|g{%8t}l&>g% z&;;gGI3kh)2yY96=%-?Dv)_g#R>fVo9Df99*QBOJcLcoB!mBjc!1uN6k&#U~)Z6^H z?k4=q{*YGnaw=twGsLz1gDkMkdv~Fhx+6CK2wV-ca?5mZYy$Y1P9dv23oSKWCFYiW z1$h_g*P7ma^ncoes-4!4zVOa~s-UDtZq#l~^;%S9Q80k6UzttOzs|%C=hwV?18&Xf zx_pO36n!_cGhyH#X#K63k0&@@6*>>eD#c!wHP>;4Vd$e^vnyoe|KlX-f5Go>0#)~L zvFfdsA@SO?SB$RwMRWdG$x<)T_(|dbcP=1)o1{4)cDo7w`4m*8X8)1$Eb)(2=YP+6{&!(C2L~s|f7V5N zq@S<5>*6i0AYY}dBPKQ+DdT++#zRER6*ZQ|V_1@5X$la`zTa})XQrmjt^Y`uslEMp`m`Gu_n85x= z+Vg+?6@cS-ZEs1_i0bU7x*fm=v3FK*3J`?80Le74^ zLc80cmQhP~vtKPUbl3UlqNuW0B@fF9#&6$f3^crD3ATu$12pwWbIT-b5)j>Yb3a6#!6@gxNL!s$ z;piJeUh|alk$-4GyXtFCgubuoTS17e_l-(?`}Cg5d}_zLH~b&&ZUe(<)bIR@IB178 z*ce-?|CTCB%>l- zf9bD^PUY1S`@0tg$ZVh1f zW3Xw{>(&45pUr{K+h{^r81%#yx1zZvWa4bbzD;*34Gm?Iky~r9v`tE^xPQ+=VN68m z5%enm7*=v>q5o}X!fdzoiFZfHo!#U??qia4#}}0nWvzqtpK{w`psT9y^t57uY>F0V z7+9(rA6tECcT7OZ7bbx`>XCHAofK*UGHU|m4gsa4x*$y9D3u-g8nk@1p&6#WvXrSq zU*HE#r@)`K1&1?m@|NM5YXa52;GwPen1+Ex6=w^Md|u_G!4PC3Wh1vnKF2# zN)BC-2a(weWVwooGufCTvBO zy4A5gTtn;MiM?*)*Am@ANZsYVid*~=1?8Hn9L{h3L?X{VPBw;Ccw*MZPOFX7UZk%y zGnBOl3w|BywD;)1iSo2ocSf+;Q)#A0S>TNj@f5@YN7!^vhgEgqqLCj{aGi$xx$GXvcqAjwvn=Ag} zcV%|nNSuY$2NU&4l)JdAt3!1jg4J3^^{G1fa)uFs51fS->~x*))x1gj)*)rtu3_mn z-V_op*0l8>Zgyi+?nK{zSSI`)$L~aJfrV;K#rhW?tv%j}o2%7QMlUhT4LnuQoZHIf z&Uz@0#<&xCw)|e!QqF3lJ)S=)>g4G7BA|6z%c!$oSE91MlnBe}H-0mB+`CVm4$(83 z$_52#S<;u(DOUnmYstQ!V0M@)19?Vy*R%qsRrdy$w)@ z(=-jR{?4Ul)**}Kx-ls~dbfjUy4lm0vek~-JI~l&d8}xs?DU!9@D}yk{4SjAk1^1w z3vVchiEzIA*Xz&Q1Kjaw*2&iC-|||YWjo(>(itxWZ*WFCh?!QZmt^IqZ}KxYk#S4U zTNin5DxXxIMCB_dx*3foy=wDx)cQ{_`q#>6XfZt7iPO#yglRz!tLoBla%ITUwVpj4 z93=Va!?~G*_U_%=y-GSd%nel}`6IBp^KBqJup3P+)75D7WjaHfr~Urx>Z7Wh_&&G$ z#QA(fHD@9fqS3J~XH;1N(5c0p&G4(ux)AcC z&_OX~e#|gYPZU?EAm_PI|1LK7g*S56dcqmvTP}AOb}~|Ph$ZjOU%fBd z{`Xofe^TW^9({{pq-!+jysnS^WJ%1Gkxqc4Fe6v+xsqkMLh0H$6B#EV{=0JGyTA3^ zqtbDDSuDOa2ayXo&=5>hUYS9jqxiL=qkj81_4o)_@>uP4m0+oK-1mW7?J`gP?SAXu z_G#ORag;8R|xYetf&_(?2hkK?3zYm&E_Xr;J&6qYy_6)tg-(IeX zZKU0px_s>gY9q?2@j& zEq8`tC=Ng7Lyx^HreJ(HeaR-tEdSRadJIM&-tpurVa2SS)^ zXe!VdAMl>qo1<8x830jd)y7caIO4&4AZ4!vBmf-*KnMx6H?>-&3r zw`BwqvWwylVIlRz%+%#HYg5WHYy{y^>+9%SXBo5=oj$2?kHg^$9NniFx+ zHuu6)W;8%u`Yv8to00a1xEsiMbsyL98^H@i4mx{EmG91zpp%^9Sp zvt62$iN3mGR4XlVq0UjSlMgt*lQOeG@uYIN7M+%E%hR6`xSSMWAftEEbp;_9p)sJERExaPU=@QHu% zxnY>G$biodBQoCui@65K?~%;}k#ecvE4y=L;D-~TL7JW^-BhTePmBVk92mYPPkMbb zkr5Ko7;&s##xJ?yDM5SzugS}_X;3s1%^#{|nh}s1ImBLvT~v$i!!H=ujtnPt>uNOS zxpidE5!39u8SL;(##}P1Mow0|{W7GwtkbkTLFDR* z59VCMpM`uS7}i?;e$$us%tv}tUP?8o7Lwq;!b)bwX%ifPD!T|J_?wMl&wgrNdi$Yo zWS6Y$i!^p#Li^k}jp^RmQ9ND#6z^R=A*0CpM+y)|{r=fCAn15-(ImS}E#u*Lt=><6LV2MepJnP1nC<2knTB{vAVkf^bxb!# z{W4z5yh5pN-Eqh_oFw&KYKF@3rGx&_ardz$BDNNro?fUIcOPg=@@yBaz@${dsT~${ zYJqvQLpn)|Ihqz>qHZg8U`ZvtUN4ZCu5j&yeHf19`#j+bhnzsfPIo(nEVt z;*HCX*f^ygwIYX##{P^A9ZgMH!PUr(ECvl5&jrx2sRkIQPn-8*X+wH%!%1{+;@u)U zng>Tp_Ifz$;8z1Br&n)I$e>sQlm3BHxqBsDp0j)7t_ENu#H0=v zfN#?YUAffkdz`_lGAPpkFr|4*W%6H)4?=IMYYiHiqdvW6>e;8V`=&bEh4_WkU!yjq zWjS#P+40|}wn3-%Y4ATa%GC1A{L&29d}cv#AFUPJ+nP37YiX*Ji9gAh%YXZ32hG?i zj{ic!B0+4Bm%rk3UCW7@*rzG6`sXziRO9js@{0lUj0By^$4zMZZ!5IXAP$4ock9?T z38RjU9LQ3qUS#LJ?=J*hsdJZ_ZWvBKk|*XY+z+l#q~q{D*8ywx_IlHe>!Hg&dIq` z5V(Tg3RDtoNrvg^U}-yVcgGu>wCE^FXyK|Tx(}oFt{3oVHJtImIB!il%fIjkSY35^ z;>mNd-Sw55ckJcYem0Ql6*7c(+~&=pcpbK1{Ny03z8AVk_mR@CkTo zCJj1sqFC7wE?fWJN*EcsRQ_5>JOQGc-y*`8KD7-M;SK4JcjV5Rj%^^V-q~6&G~*%j z89{otC(tLGKk&hQl#sf5vWke!f~R9m*$c0+@H|4EQ(){&(x-&ry=gew}ml#;=zF%h*2Ojva* z#rK?{;ye^iE{elEwIb4#Hf*|7Pu%hZd42OMwSK&-NOG3}b(^B^q%NvSXXs}LmA+nq z-BP@x!oUP^TT9acf)V}?&5S8L_vd5+`a%i6j9~E>{*Sq;{kQF5=IxXsOXeTd9jXV{ zxe7w?_>nPm8g`AzYTMbpV*<#16gzQiL$VZ_l7-O_Hr{pcr~ff5bAYP)n(*LP^SHBI zAh9~V(TUzV{`E@j=xLtV7&IswJ@@A$AS9*IIwFc3g$S9xc>lZZa z#*V^C8OO#S%~kdro|+IWR4YXMp@+{&r3R6A&R$ZFN79z0|tPZ9{imk&k%B@RXPuHqq!1Y` zWp!F5uA`7YqE`7ZdA3av2%#lp9pP+J2rp!yx+wRK`s?+#f3VVaGmS|1j@bkaC->~f zb2{X!;bN89m~^uFOY)^N}b$-{^MoYl=`WX1Dp3sqQZMo$jt5rzbVknUkD1 zel5{4v%WZ41dNq{N2vkh@z}W$4gIhd*Oe4~DlSimyD%eZ433uwtW0MC-JR$nri;+8)C73+8YN@Sye5gU5m{%q#>C+>DcHW#cJbrcQH1de*V|0W#=J$hV9`OHEg|LX zED5oW&U6jPg)7QQeN!~{k;2x7`*8P7@pYq6V+FzY=PTj$7&5&9#?6e|2epQNMn@n~ z5r0mtL6Xzy#E^bC8~(*-x!=MJR#Ut?V+IQ4{sX>Wx>y3=ZVzS-jdI?GgPX7r2`2a~ zXRtaq0$XL+l`1g>N)ftLUqPd0j2-`lfu8;U*06|;?VkpEHqQSB13d@q-Tccy&&JNl z^`8et|6e!IZ^IS*{|5U1HyY^Me{6$#PML9!9<)2!F@hGZJq(2U?+eY@>w ztaXpvK6NkgKL@hGz1*fEqpbJvpE~+yU7meuzBbI3V^g=+8S@NQWn;0;`N4TuAATBn zf)2NStEt>`^w-{XRapKD&~K!!TbCcWLl*gTWN^(3EceAxQgFg-u}@Wv^wkT<#){_z z1_`mwvRO=3=t+V_5q`iH+~dw4-Y0Rz5nR~q{~Ej+`lWDcVBPC)wZ3L+LeG{eDExG?PkhAw_b@XO-%$ zl8t^wMLzx^3>2EyFNP_dz??fs=Dcy3NxRN8>Sve?yD&bpO9r=+Xwr&n{!Hc{ zOSR7A3KbQI^j5hvF_Cnw^Te~X7Au4#dZyKO#ymj4Lg_WDY}IMjbvgG|Ct1v|6pBA$ z4T2ZYvuQ5t&v=T;RNdBuVun3Q!ZsU&D}_JWr2j;m@KN8Qzux~$cp1bGQ7!I$gO!7S ze`G$u=5(x;1SOf~R1}BCnmA?VZ|Oo!QUQ>euqS^N2>Vw1mv{IX<3EETJA-> zrdQc}UrNUO)02%**SDMV(oj{n9XyR3Yan8qnORe>1?EZA{mmPNEk~P}xflL&%Q6j> znMy|14NEP_!w5wrv;Wy>cwh0W;2z{&Av~SZqMj3?kayCtBQ&Zik9)5+144KZ!8Wy> zp^Y7i6}!YwO~yPXFLaQsDWa$BQ~$Et4@J}4fMlS<1 z{OV4p+L`D>!uaKk1=;AOFA7aJdPvOt-$>e9!aHy@mvDLg)`*%ebH^FVrT!F>1Dw4p zZO%qkO0|diir?l_)%-R|5LCU!Wantk#?TY%&Lo_7CtgSEk+83*MsOI1Wv1j_fqFi) zMs}{+>fW-9!2XANpB8h?Gg1Lpb_(}C9TAB$uA^3irp+OJ{;aXOQMp&)1F3Eb_tMQu zFX}VMliLd&h2x9>9}WLJYi*sg&Z_2m2kAd%P*<6bZAa>eL2@lhLA5SJe|X)Ad>8=~ z)}cFZKU2HywW02FL;1r1kGNq^{E86F?X>hX{?=12K_MfG=&qZmMap96U#Q)29A-zD7ogAQE-o|9!B=o@AnU6eq)(uo^s7{7RaB&Xq=wq!yTPp~B?T zsGQ-D)b;@s2jU9j*)MmHLR3A(dbsY{iax|apN^t0V`r~OG*q>VPrM>K5(?Hf*M*iC zciDLFfeUT;LM)It{^bHB_d>9DtV@^LP%Jk0>!Mm0cKT(iIVg>opBw?MbpV zZ^pZN9lTFif0^noemrmfs)59o41>yp&N%U%J?U+ci{Zy_ms*D3?N<2f!VEtCcCJ;~ z8BP!5T9MEOY%{-aepv|s-DYr5yp6}gB~@9GXxntb(R{SAjkhCuDh1g zbwc{66B>(a_|O|s{|)`Z6S|d!d`AJGiA{}odmB2^fHH9u7BJ~hm^8HtCM=9rlE?4W zvcC#y#m~E8w|a2G;3vZs<^^Tl;M+)mNe+6I=I`i+sSH_dL}?f@lX4M>T8zEBbED1eesscP}B~~mbX0t zRBh-4^rlV2TDsPoex8&|wmN!i3F-oOfTw<6yp{Ft2o?fkX{j+)1O-vm_-~3)-jz}0 z%cGD#hXF33=*OKa26!A*8uShw+wBd-gD2v?{sz2$KXV@uRL6jCC`$n+!}oC)AH9*B5a$m<|nph(Gm zZ^uqYiH$=e-|5x>C4(r-`S(wYc-rqQ%swpAQ^dw#p*f%Uf1N@ue_@{5y?Xeit~K;* zckn5JJyC6NsOfwV6zR6GNO6GsOvutN)2Jw}*fhKDDpgUAP3Ouw85PkyG<1iMj&maE zRga$)>v)5jXSa)pwLVF*yBG%0IbCvjCJrABM#aFXiyEwg=R8;d5#4$YeMtPkbqMlA zaeDoX6|q72ER==x9U(dH5O>WG7ViS0eUX~n)~AEXs(N?z;B^D26RTo|-a1jlt~R~; zqaATPCOTduDajYYeNlD*y!{JM+31kD%#vxfE7@FOv1B?Bn;G6B-ZIaK%#MmYlSBeu!&!kEpN33qK7vo6;TpO! zPFh_!C;XltF^yb%!0yH97q|MNae$ElgLZvOZ;_RRsY;AtvJh6$k-to!w=e1~Ywp); ztsY(lK^Yd?LtdjmXT5h~#OXB{PqTg#TnTTt$Z}z&J;1rtqJ$|qu^h3X5J3!E0kJzY zn1FB)7+Yi%tT+1t^s+wTR8h@-lvFGb>unvI>dw1Q3A7R+BhAD+f%!Rk1<$if{%pkQD3YlvUd2s1#q2M<$*bwd>ZHJ%d%hMK1*8byNBJ5^Kidk=rF-@H~9h3&7)SPN*ee zM>o5_?h^Zl9Qbism_*LvqIgtJwSX&imriCiCfopk9LZrnm+3JC8*!Qj#?Am<43 z^(dfslw6A-Q`nJ&1&7aZL^7>@nLg)e6-P&`Gv*{XJ@L!igK~ZgGR$QVCM&4rTsPko zo3?kQOOX-%`8})68x3%S6_(nAUU^0=ZAzj3Zo_O8>t6M3VB5sj(Dgu(ChbUcTI>=y zlV^7}wcgWLaBYz>J!C`m=o^EXpkZMslNEt>KB{Ur1^rcsPaoSd=gj&ebGZ`_g@r4 z@Z9hMv~67SVdXu1_lw!pU%-G@RJ&(Mdzr?Db6lr+GHwZv+RBmuh6bE-zV&<0XYqtS zZ+TS92@u~C)}S9{%hf}0rEc<prN^q19K#1Wj& zu?6~_ux)=o6SBSsVR$eLU%lQw1;xZWWP+F<;v7(#htV@Vcdzpe`7}R9ZJ=z2iVhO} z6ek711N_t&@q&^`B>NftpNV_eM2OFuIzAzEA3A`uMxzHhyrfM-8zs&nPs+p2{Af#^@fIEjSRzVx#PVUY)pG|)~izM-*Q7DMrgvw+!>ag5p{@1o3fh8GX z^=x1Ocre9x?RvBLmHt~YdaCY%ePi)p?onfEM4oM9NT=YW5hNY$$_5xFL{%FT?|M*d z?AAH{a`OZe=gsO4+JDbChT{Rekp}(ZqYo+Ii4r$QBZ#<$JMQ;*%^KjTz!opHs;+VW z3`64?+~Ut2191cx+1bUQQP+knx^BnUSNrqk}OB0Qq@;0^X+%RiL=4BNzs<_w$7T%4`|$V`3kD zf*xTudlpPKK|!^_G)i3wB>Yc`tMbk-yT{b z=huRrC+UX<=c;L*rqzzJ-!{TrQs-?dyE=M8cY5Xc+E#(?YNV)Vs9i5MCr3tMD;xYC zl$x;nf`YtDkq}Q1U-)e(rAf1qwkH`WpLg05pLY0V2`{L9WBZBOxwGGN zxG$tBgxD#U`+NKa(AU}z(_8|tvN5EMz0-=OD9=`#9oscpU5=N4<@$l+mzQ?8Lwplr zQoR|~Fd1*s4ZiusNUwAQ?x;IG*ESJl5pUO)x3BMM6~yX~;9Q*bBAWXeNrmJWQjkz* z0>J%=F=ys1x3Qt#3OI_48bN-<7yIa~Uf;ee%`d`6un+I3uACoSG&)>y4HCn;#h^d}x3gtDn7G)>b!q||#w z3ybcbje}5G^faHNct~L5iUXMQ7rcXoKNQnpTlW{R{_#u)l6C`C8$vB*2z> z34(E6zJ6sv-t_}qU`C)-<%Q|61AZTVrx(eK=mY=H53R&b zcGj`qr!=sxp1*Lpv9=Y50w3aFe{S4jp`U8OfUz%Rr7YpGloYV^nM+-jS==}fz^svV2#`LHaUPs$Wk&H~ znYZ=Puy8NwN7r11zOYFV@MATxE>>V|>xxI##p{aL0hz||{R#^qQ2bl&V9G>6KH8M! zI8KybQSyNP_wbfz+O*kNbdbpk0+(mO_cXoo8!lb$YR|bhhgX#Zm40CVUfMR566s=0 zZ5!_(#T+sgZ~(IXPrv$o?T7Cbb)=GK%GTO0vvzOsWE8h(>{%Z)eyK zeB>NRa;d6Xt0^;!iB{~T#81O>;26b|ZILuA$!!*Zlag%kc|Wtk_~}9IclFKYa5zms z>G0s(?scVVS=L)C)EvwAe8z^anb;M*Fljij@1Wi~Kzr*B7}g?`RH=9D&LRhmdd3(M zLDf6#7(cs2iUzc@ew@uHf=TOWSwlGLN*xsT89H#5el6I(7Jl1!z#z+pC0`>pd#GS;8C6%|{*eP(*eBR?gL(Wgt+gv{z9qR0^O@2| z!JVpv0bKE5huuH8Dj##j^&Z}Rm7JP$$Erbgux`v5Y?$99N$e8J_P-m>#+3PY#Wxyw za)n*(nK`hGW8PNqe9Kr+2@jTH6pdGDQke>}JeQpW>!%dL_m>xc6GU%>z&`d5Fk%b* z92E1Uo9Xg4l=#&;bO(9PaWe;);H445>0OQ`YxB&{?l#>L97hS8fcaxsZG5RqbX|U1jYUye8@HT^;!m;`8?YPn-YYGNTSO6&p`F-2--nY;MgB1_iUZRBg2pi1xo7fn6Z3zO#NkI!s+?B#8q+iB{7p^)#WOdohi@U_^Dds$25F)Yy}-D&MF;OTf_dWTw>*Io)4 z^y&bMe69S>(d%4PzsuxP{Sy{W*9t|?dOm6I8G*xWDI!I5(npzw4w@m@gFKKPL7M`x z5JwQ&V=VN@xrxu5{r4GHxxtv1a@Ve48Mc###LmC2coZws!-i=3^m%8HtDbk~mp zwA;diRrqIF@9sL!vQS46?83um?>(+O0kG!X39|TeVgSV?k|2m=&z0Z-=86N%_~Y2P zH#A(Wog`7vgYE!aGwCLQyvKu|+6}rwO-hg7HxhMZ;7{aW^#5s1ftPah;BUF|c%dK6 zj*>Qw;#8rkVc8|Aeb;rj7pwoeZO3YBXY}0@J6mp}u=zy};3_+lA;kj&9d*BG5dDVY z=`iw5?Cehd_S}$pzY;#lbH`Jebr7WEzAT{d4r|->v%mJ!%7uT;iY!m)K5LE<&M#vS`5SXdzRN zPLtV|mp`V+9}oM*zSK5tyNDYd1zO_*SBxKkOsVekBg-mM$!=fzb0PB9xn(~cDK|Qp z4I1`&bwOP(!gVVHd}yJ#SI=5&0?K-_{Ic|Y^0QO4g!jPWiZV1qMo-{CxzV;9^VcAC z35G?ix-IZ^^nuO7xX&_}MxCfL%3+oD=iD#r=&et zXKh%o%mw0%lcHV;ao(LMiW&;2)B+dsGz zbDeciS{r#{I)p##z6}7Z)6{3Q6IhKTU17!(MX(|%6o8Qm;a6-=Ql7i0EZ|K%ut@m} z*f5rcP0O_Z!@OC!da9lAr=;88F|6>TSh}B@Xc*v(!H>$uE$vID>If2Qw*)AJ=aoLc z3Q`M1_jI6m-r_!CeJLF&%Et1k0^f)G3ClJmL|?Vqf*|+w>eq50%~^};rg-eNj}nuk z-M2Jhk1gfeC+-U%@Tofo?+MNjFaZGLL%h&u)dIOC7b_W)eb*W!kHeOx7z9KR`}-S1 z>~rSI#teHdN~COW1uSAukM(8EcGtg6{$Oy%da`T+!KkOHgA&htSNSW|U@mPD3s2mkp7n318MJ=dhCxnsXcnIK9S=??;ekDsqAw-hwim8i3fh zHeGNnuxCUAY)_fv^t34cw%p1IJC+I&3;b5&hC~q=mSwDIF3zqq49_~SNB~N(94ywB z?fOl3Yft|>3Kp(BaB>PA9v4V29)KIfgIju2!B$4#O>_+kA;Zz2~?qGlS*UBzDc#j9Svx$2rRs^YM zKnRk$h1^#UY6xT^Z-LOZu`Vwa9yFHxgFesq4pZvsE6`f)J5wGC#Q9|{B*%0tHff@L z90XhpLY!eot0b(sT&xIPGGiP7Z^m}LDje&d=8Ns?RCuM{WCgDn#O4oDGG8+3IMF=k zet%Wi)h~Wy8-ZmFhzrv;jZor7VDLo*@Yj2M=o|lf;>YEY5E?YV3am02J{|O*X>a%o zP={#|n_H9F@^CoH2u#Q^?kZEv+T%`kPM*|}wDPQoBd!&Wg|Xj}#J@qsC?7hHuCS?r zc$?a{NiACNGwd?7`+>Lo*&j6sEm0(s(%s4Z4WRX2hrPZWy?;DBS^){)Jnv!Mj%V5P5M`jn z+{TXU^&j#9AWjV1HwnHe0Jm@?@jM0sb;K%t4Ntn@0tQsDDNB<;R6A~bmM z{02&JY4H14-3I9Y%ySpo_v4lqW^m0nz+WA7zn4B}coqgEkA2rcE<~z=ezaj5-!96j zYD_f{+M;^KZdmG~$`rg`_|&*9ht;>(Y15SYrFrSyHz6KU9+38!0sQHT#&|X)(_`)% zMRG>rBW%~ggDKDLnWM>P-&^T4bb_f9Eth(5y|D5IU7!TsWX&H2Qwmz?vnJv!^LouF zpWli=9UUmYaqk`k{xUy;;)TnCN&EL$8PrQaP6Xe2sSGncNnsVm6H+SUZp7yuo;eCE zBRv*fw7rjD!TmJbwwX^j(T_Gr)VjVOU_qsWHeQ(D7Hlm(ON|38cm6a5@rQeor-+Gv z{_QH*O+b;rl$~@TQwT21Ojkrsdr|HPm$)+Z(#ysv8>{*QKP^e~q!A_+weXB1i$EM* zRVfC^@OTOi`$`uaIP8<%g!$YXWGuOZyk#>f9d>XPi1!%-Pa!EQA0TT3|Mf~Idn~G3 z()ll7p)#kAn5rRYV;y-I!G_=k(c?1kjIsl)YbHi;t}$*n$aDMZq<@+x{&P)IMVJ_c zrLHbF9}lpyCq!+Xwd{x9Ze}aZ7xM084LHl$=}X7aC{?GvbNVTtHWN72H~_jo+_tt7%G*?jg198PjBdIuKKew1XJS^IkXo{f<& zA9qM4`>Id9WOd}LyC^iN?8^8KE0KBcib}_a9=VUKB;!{kSA4h^r~U|-l^(NrF20Y^ z)%nuwY{xIU1U#!U^k>zBW|WImK)!9NHb_&39m9W?Vd+hG)~7G;-uY2DY6J?t_?T$> z=@v0qe`)uw(zKyoj##*=1|HSMK0EqBBlWPmO)UIr;82~{==b7$1GF^*={tsqJ(JQ8 zjtEL)(9$F@g|k-E53A9}AN9CLB-AWolKd~gUR1ABowHfb0!2yu-x!*3HyY<3ONgWK zt+cfplxOBfBy*)Ux56J6!xo*u-pbH9NLJYpF+E-&;uHCsCa-_7JO70JU%sXrrW{y} zUDlbGheZ`2%_oJsu416n6Y3#f$%THnwq2Afd{JusS=3sm3<^D8EAZc~L}>&UiU6U4 zsQV3r*3XB}<=0t5bGw9-K<&rO$-b5OkT;kTj=2!6My#QO7CF#PRH+&jdxJT&;aMod z67QS+Pq6-G_6r8`P7_pZdI^#%GQUTzF9J#i&G8q%Bm}@xD*6kSAz7+zEz<&$4ua!- z<&F!BEOqwmi&ro7Y^U9+3&GU=YpFWA61z8qjop^*o1bvYvYTjE8%MQfX8V|`=!c!`8h}UXg39P z=R-X5(mMmLN!&?{#t^4ALN_vR)0-0o7_8i!MZKwegG!xum|$>s^944!Ce?Z^?U!>D zp|`=Y7um{0HYl!;{A#rydO@LXkRB-JTB!=LD6G>KUw;XI9xc8vz7r<_j=@kO<*Y)w zPYXR!pMOPon`lpw(!yExGg1RSt@JJyvTCof6}hccf6n~bjD~!wQ$N0`BU^OZf+wXA z|C)a|4n1>fW%Az=v4n38V#Q$XWm{3R4d`)^3gqQeBn^Sx9FbP`LwZ=YFsl` z7+>MNLa&_H7T2P;`gbg!;cNf1IXLh_vDZ^#xu@Ubx-+Av@ltJp^s6WB)B^Pf;$0%y9WXdG){uMTS(~O8Z-$KG{N27wV9pg zd7ta@Tlftp*7*2+5*d6kMN&Qzn-qPJb+h)-d&*L#ZDSX#LNLU zf@LU=*C^;qmL20}R>ilIfir&mUUaa$NpNB7E0i_WpAA8xn2ki$7T7U3Am%z|D9mwA z_fxax*em(9Ndc32QpM$py?HXw9IUk=UBJFfUS}+#yDC4N7Y(euRxnY0V5$IXgf5iy zpJ7BUBxnjB8PKI0hR)F=ifx99Ix6?7sc(oTK7zFLi>820bzFj*6mgkzVrzhYaQLuL zOi6;nZzp@4{bM6}xH&UiLZhV}V*I9FaMKnE6%yMu%DA@Yb4sH*bKpu%ks6IWy6PcC z8T3y^6yQJy09jC0*JV2G#~B|h`bie%d-h-Kn@6(>x@T<)#SY5z#_yGymoQj z5{Aq&B_(_$txf30Q_RJlV9b#j^&+0#(E44%Bm>6&b!6a{yCJik1YQ-gHnd636Tj#p z!R5>+bsuY)i~}6(ED`%7M}nf9Rw(r^V3ek(7coq$J}J!eDN+R6{|i<1-?y3gKRMnJ zM=IZGQGoK-DCj38f17pX`K?($h)RJQXfQQv*SmzgJ15r}{TeX$N8nljcB?ld0*GjW z<@gQoI6e+0%gyvGUL4y*Rh92(`(*|_OcgzX{11X&eUke|*F8%lc3Zq303Tzl;R5ADgy31 zEueY(RjncGq`h-ZYKiuNCgVDxjlz-H7!Z1sBaPQ76irg<(Nla(FR6nHn~-_s!BlU6rc=~BNEM$-yh$(Z!P{Zu9Ck6 z>sBdV9Gjs8{%(a8;FyA{5Eh&()sG42*`m=G`484=H#xN$wI_DcR6i(sDHE2-=bmSQ ztBbgH(;aygUi4P#Ml_us#J=UrlJK`|Y;hEhi6I6ib``CS^j^(Je!+TJ_K{7A?g0~Q zIS^;zy?OiVWfezt#%@j|yON&t{?GxY{MGZXBStpcfjMurltg=08}7Dzeo+5Z!iC|L z7@}`n=I`@7j{oSw#Mid`zuqyTgG51^<0Obl9fJ#*V3QLl`$8Z&0lb< z%p(XE(05}RJx{AE96d7HpZ-b$vnlTl{xq%pv=YLXXL<+dbnmK}6-BuuN54)`?Fg3f z!8i&mX6&m(j1iU`+S2^*R^JanV)&ct2*1tRq-y|;i}{R;!f^F)EH@+TVtPw2np`HL zY2T|S{=xKcfwYVuK%UldE#j%cdtzI;!`!8JT4!LD(}ils0$vI05)V|Ji1fH$h>M1i zvx}8qN1OcK++4#cn>>B0<~H&Lz4-9f8Q&h7iNLsPf(Q{(U$L_$0R54(PHF66Dq@+J z!AP-MS%$ABUn3C9Q=Wg6bJo7FdQ(mY^O{ooy(I0#?A^#)pwaLwV4QtYp7Dw4?v!j5 zlh{uNlv%nD-g(**gd$2w13_iM#WxBn1D$ftDl&MOH+{cxp`4V9Mkzjo%@*Ycia0&{ zZ#sW33}eC2&Ga2m^UMH#LPCT12)a2HD+=FXh3o*KH#zT8+DQvsyzZ{A>io%r0ym0R zB!(x?z&yg3upLEtlWhOJw@29)`foWy2W$d;3BhZoV9B>xy_#NnbWU&?0236A-uU0(4LboMME;L6~t8F3m~nwy+{&t3`%>9$DQV39hBLOy~^+PlcI{#RlRj1t|UvNUj*J*#cd$|*q74iO{=qt z5E`X$aH@pXcNnM6zi$sBX|O4nQwV)SUi5JOYD@-?pjbX<@vz-fL+X8c&#>OXZI85i%!<7Gqso+xd~)LDs~bnx@NBJbi*qNeP&JXphCuW4!K~Xc>tNR!6jZUqsHRWbUgJ~Lwqhh~BKk0P2E$+i?sLzu*lhXn_IZ@(hj)ta?Vp~5QfxhR|C$c6_J2EI~Z$m$B z+jn{z;w5$NzYID;ZTd?8_7ga4tLt044W#&Ux=aS;wjASg1lMnM@rJuCEXgDNoggjO=x zGrnRNai66aEk+pP6%0LaS=ERU-A_4Tt?3qP=tZDP-~4`^YHjKUaga1m6IC%_4VAY0 zR<4nLj>gNp-&OPs=&)ejmB`mn9vYRrpUU)X4oTq%AH!2PgGr5XFV$ET&`H7!EwRMrS8a5)AWwn)egkUo37Q)9QUK$9QCC#c#tbcZziQ zv3HWpb`gHa|bezlHnOybH zCn&OfrIY0Oz^-WkxkL+-RW9faGb(EBoy0oh)=YEv=hquT3Q>N6w1}YU;E(o^8b^eQ z0)apDP!~T5MSJ~J)kp{VpjMxssN%}ZH&{V@3eh~QApXUtj<++P35r|X1pPC&Fw4*^ zw^5u_*Kk}#C3BPz3*F7bB;xgYe%@Krgv%F=I96*vSu9{gz?=NGK;~Gy70K*t4H~}A znLcia>@Gx_MJw!i&{~ zFRty2c>iGh9);5M9pseCLm0Es4X$wZWYrh^PQX_fSD&8}MeEaTghngO5u$1cX^9!^kkcP6Z zitUH_Fx-h}sylpu_US#s@ zY(w+NVr3j-8Oz0GXD`HN+>2Ea#mdP-n>oqaxxhFP`oT3Rhae+`U zD_yAV=T=VHVo}3+>FPuKmUm~HM*{VimRL?@!&{r`bZQa|@pC9$MOo>k4 zV&%a%;2;i~WOpaTf{!)*HNs?R`n~Jex7Ft6VZ;ovy0IM#+kQ*$B<)@YYL8HwBV-u3 z<6~tx{q{&cf>Fp+OL<{@3PmQO*WN*@k^D{8*QS9%AvSJS&xjsn#kXqHd6G1h4=Oa`tr}z-~Uz^SMh6DxQvHm8RiFKIVxF?`9Nn5_fAB{zQ^!~ zBV~mmCzs^J6rg2)K`MQX)^?TdB7@#G0#Y&gEL{^B=xHN*7k$ z-%QRlzjzsxF8RK)PYub%0#h)bNXj8DI~}>Ngm~Os+06_2jaHV1<_{zud*g)T^UiFO z;@|9SC(U_bJh-D#1z*IUrUxqwj0)vMF{KwhTm8J!74n&cebUwdAsyj*W}p` z?bqm)6aByETwQ;8#1Ea*;hcooIvvE$_U}q5ES1aVu?FL1;+-;!ej+z4G}ITwQF~D} z0c4AncS+7Nw2f= zd#zm8Gb^pER2tzL|G-bat^|w|+COqoIB3Su45}b+&WHU+e&e>MM!vaTDEKX$tZAI= z+hp~gIw;jlos!yFzcse&nS$nABE^qvq9b&bA7%ZsS1$v$iGH|GUeaSjOV)^&a-rOG zJ%`V=DMBlF-qLH5C+MDY?B}#c~ad2jFJs?St2Z8k%eoAAQ7P%Fe(vX zo5Q>>(fv|ig|FH}g~|M{b`V50dI|FvyjGKuEHo4~yt|fgvU{WT-8APgIOo+P#J{{! zruCX@e8c`x>V$vNRtDF2ruUnT#}mH{(`WfYOeMTd5N2GDtDt(3zQE(DGjruYE|ALn zA!JsVAl%teXy|IcNG9BlV3Y}jUmNq7|09D0(#7j_JdaP3CbtY>vtfM$F@VLEG_9&e zSk2G&TdbVCd*V0I_mdo03w@YcS#Nt9a(rnrLK(_>QszPxKD%dYWrfdf<6hlxZu-?5 zEf9p`hjne0B;~}*o{fF+HX-xnVN!55n<97J{vubriK3but-p5})bC3PH5eTininB6 zTxFg_8KV$3sT%!)%qSFcxOJn%^zjKcBGXWxxs0M^zp*JFO64vBUuaI*FC4Eb0o;g7 z!IAIW5<_*GS{388ONc7#Jo8hPya(Xic3ZnaE4{-QPO6}1hI9m8P6EVVpuuxgRGm!W zM_)LoaK-V@Tqt+HIEweD%T7mz5miLyNz017(iBRj@;n!D+iD7E&LccAmI;Dx=l~}$q4@!BK0`o81Ad}65_L}AJB>V5=QfY{+X$%k?d(sbH z=i-#yV)Rz9JV8&(CP=ta;dba{qANhOWuW~1B%A*ut|r5ZFJRnpb9`PA(=dfPWuEH< z_PcXwmYhqM&&fEQtInR!z1dWDS3+WrG=IM+ov)nK)vCc`j>S=Z3tf7etJC_ze+h}` zz6=>qCipd)LgBO@MT7j=X!lMabx`$XpfR=HEJWzNVo^y_hSmW!R~Lg;SZhu5Aq?%XeAb^pat z`k#qRJ>9)*xOLPlJe+8Rcm;vc{F|DBmybtS_&@t&{yS<4bC5X*^tb{tlJj-21%cGm zKx_c@C>jVE2?2x*>>&WZ%1B6mZU5|pw4VOG|K~sp5A=tt6xhZ2s`czY_WxW5LWs%- zf$9?;=RmR`G!zt66l641R8(|yGz=^PY%EMnEYfHAxCE4B)KrvY6cjWJT+B3d9P|_v zEJCat&w2Ry`Kg&jB!qdzx%l{b|2zZ%9UUDD6N>~Jn}nB^f|mFH_~)?;golQxhT!x6 zQ&aqZk(%P4+_b;8Y|~j5f@KwRhF#FpQ5rd2laxH^#Zb1NuTa`oG8*h-#Xb6WSfPq# zCZZJ9*4~4g&A0!Tk=*}%cX&H}S04J|y_WfGX%T^d%>5a)R&MOkVyO5c5837n`0GfMNL&zd#eJ>SqAxsE2R=#zGC092@;hedL~TI8 z*WjK7lZsp};Qc+z0y{i>ChzLoBPf#kxX82a7Sl9ji5*UWu+m`A1oS|Jj(2YV$45ZN zPaZ+EPNR1lAw99sfp;@j$JLeh>SHQTdE9yO3hJbQ$557pj2WH5Z;XD9bv!e)7d=R? zK`HZM_>zLIM{0l#ovbAi$%Fg^-!qaeG+2E2?)@ojGTDl*4cd`)!W}-*OV&19H%Eop zD3&G@9u`Vt*Y39kj8eAla;ov+*7c+~YLvOK-3m@%19TpA1QPTcug8I@Pd*P>^>BU! z1!vtmMp}JisWSvdLLLIp=~ubloiD8^0sjEOM-V(hf9Yzcvp>x66v96B2wG8aq&_G8 zGWy`|dQt=@09ewU9e+K7=7=6aWQYT)TBbF?eUg9Ox46{odXj&S)AAO347`QGBC zkPFvqt(hC@6VWeQ@DIRPaKmn+!0SAJhGKkgk4dE`=ihkGa(Dj-8nm5*KL}m_{O5^+ ze~l!xeuLkjDx@c&a}Cb?_uvw)wQk=%pg4cd4ygn_lEY7paChmr=mPf^YYqro=BmN@ z^Nx#wb}!p}f6v&SFoCVG-2hGyRRByl>#qqb2BZBowMS4Va6NJYdtti{oFM)Y1U$I& z=zB;!^>MN5e|iKam4Tdp**z<#EIfkfuaTv|34mqufWp~%G!YVyRKJmGPysB6KJxA8LH zL%s71+)u#V_ub0EVVL=q?IC$&!h1dKVq8<8HSRz0sXyDgPYrK%kG~{GgS70Pf@6gL5iJ|V#G@W?Ihkw*nb?A-&0^X#-(&hIQEHl6*~FDIuSv*PGH(| z*tL5P`vLly|8k?}d15a5Hkvq|ew#wM=`I|verBIJ^LL?TBRYN}!U*~;(*5>F=v_fc z|6%Xh?58%d%G$<)IiQyQyUFW}Ac{93lCZ&7ON-YD1F>Z<7lyIpo9Ad_jxh?pQ}>=I zf0aOvsl^4d_D;E$5^k-X+EPfCEt|Nh^RCN)1-|$GqBQgv!cv$)`%n3pnXLnmMEuqY z=&Mtw*m;g%MmqDm#q2(o%y)iK5P2XnT1 z8#|~LgOmqelZ3$uwZ#=Kkbuy2B4F!LA*cytah7zpWEO8m2jw4ezD)4 znh3^_66+k(rkYw8Tzr0Fb1?6HIg@KWUauSdqM3R8%Q=|Laj$luWKS`^LxXWhtq%Wg zEu8h2fdoF(0atmg&22TShOlOz|Fpt`ErTI7?#5{Xb^z|$$^&1g7NQuhL$Gi6J~^B=lsmnr(J|JKuklz0hAZX?C&-@o)R~Xzz#N< zqW|d`5PKuSQ;HYxIhP>Vt6;ohSJWoG=Jl7WWAs&nMS^6Jd)Bc2K*0%WVxxtwAD`-> zg7iyV3Tu6~y9M{uYv+@++FyJW0zkrS>J-7Kndgd?>Ime>ni`=Wl;^K6P$%x-ZB~H{fE!m&? zAfNdJUhg#S^?9Am`g$0AZ1Br}>AVs--0b|-ksDi&319`_)MjNIzdX|3ZL*Y!vxy!B zS?e^#fl(LDoN}qA8XTg1lb2p|H1S z#VhTb$#)LO536+=DtOs=qd0ppi8wiB+PUwYqE9lfD9Sc&2kWeQ#7YwvT>!R-ky^O< z(b;R%f~^AK8o{ZWzE2vTv>CV%BX6KfZNcHOkqOU%&h+BMKGhCfm_*w30Yb-}6Ara` zE7K{LYD{X%Qh|G)BbjTv;mnz{P48r;V0E8f87g>qe?&)W3&OAEyPocmPm-_iheStU zR6Zy0$KaxIk#`Ea4toUAE!;eUN<$_M{O4I~F;`LVV}0NqX5bISQNC&fTDpq=gu4xX zx7Yz9YVfc3_Vu@p;qifc9Wp>km;Di9rO>ZRO9X4%q7%WXSQ=W2K@I`h6q?Uh&sRtH@20&?z7~hPaQ5# z^OMo-Ft!6Ca@l|Fd#Z7(SWXK*ml~jVuLEaPHc>g9kS5$So(0Ya}kob74;Nr}qAntwRi9)?ru#{{-TL|am zn&~3z+3`8@Lz(6{Nw$XLw-%P-3(o;a(evUM?>MT>C?jJuheM2lZo3av#%h`-AXC*!3@flOp>@!V&9I@-j>%y(_hZyweEYY=}G!LZ;1i& ziJ^VZ5?IsA4E?8Dc_>-*rdmrYHLzLg{q%#c~ zksA)T*uA#4#+vGw%oueTVF1xaPeq+vpz`zQ2&xD@=PKqgL`B)QFy9S|f@*++mD zIy={}YW2j{q7@hFw+y!|xABT0 zonBO&77D{)9W?P25TM<=$VWjd#IeRJ_qdnxm>}2{9oI&vyHfg>Og(}wMsFcU6!*mj z$ZPOGp^yPO@Gfh^!a8IY~NTE0h znT>}u@++M`f`o>63E8ek&_rt3Sdd0EzLG{$pYX?0K7!o+YU{pIQA<)z*fa>yh4AVy z$v7j$SrIm9lsviB>=(@3*_30jUKULoozAuI&c`8Ez7Al@s+u#+QDQW-S=5x5c09!O zSmNJx26=Qa9~Vo~8g3{>!sboTmDhQ1@k}1_zA_=e7b=Oq;gR)}VGJ~nx;mbd8F8WV zlwOM)`g%Wtl$?&9FJx!D@SPi=&?VyzTLXHYLyb29l3c7OXqN}5ozpFgZJPd;A1Kn^ zh0%Qe>4hy&x1PAjR+04`lyCZh(C4cuQS4VWPph_o2w_bhnpaJdQ)AQ{3et*56@@7? zOC>McH(E;%eyAaqR~O+!93mTW#&FOxZr9XDK2@(1q<${G$-5w2XuSQLr@SH_l5j2m_bGxgDndB|y=Mgf z>eWkl=?wsHy8ibA_bq=xn(O|Kk#WD{e&DQWQph%93PSuq|)?>2y1oz zzorCYU(w+)E=6;8OAztn3>*_G)3{n?O5w04nvpp4T03P^8wZEv@LzWfh&x$PUv!1U z6Cr)-9vDx&sO7(I8Lixl-4_oV*i!=#SC`p&2kz_fkCeG0!%V`pJomG@5q)PPb%Ad&sPCE?T$7$NZn=q*R zYppOJLFiad0*oOXs6}onkTbJuwPFfNf2@!h4Ed9`qNG|Gx0$Cu&6{^Tqnaehd+RC| zNf=JBc&r1Pqp=4jGN<0_*GEE>R?E}6w=FlJn#~i`MzSW2m%Y!F$Yy;*=dJJOXwBa5 zW$s#p+n;aGu3GVzB#daX4!9t*Bli|FH*Uz$UI8Y?y#wFv&tYbO6O9%7$tf$}FfGFQ zu+WaOTW-Um^4beX)K2*64SjrB|=VerR z-=Ll2q2%W~THo_nCUdV#)!-E!sR(DVDcJh#Vey#pC=D^Mdr~@5o?`^DEKMKHR$fZG zPr6z#hwJp$5gY*}^i#vFIdHt`ZwH?$GbJ~24F|*zk z*0}1VP2VhLPp;q!Wg?G()Gau|t63vy!2%!qu(2p?s>=;s>JQXkZ}fx%Ghn1Wi1mK% z1PIaF!kaDNPpqCP^n2X({b_mN-474X!d*bCsKC6~KTcS^44kXJS?%Cj+)pnrATlno=x@Ej;bM7N6qxq;^O_U)zECHg#|#!REB9hM4B%4GADEh zo$8H*Q%TYjpU(;yM7p-Kaqd!A7kv)){R)lAB=B*x3RSi=5Y2`vH@zM+;;~~ISwby3t_NYY@ov$7^Eu60^-W*v8t@%!?Kr#Ql%HZwoelT@TK8h! zU`{7lV=e*jm$UDl6Hl92y;V`ULGtsS=ooGP!s~WXCg)VHjQie6ERvK_Gm*XV<4f&> zOs2B}$Vv<**Kpb0x(~@k`M&d^*^hKqDq|5Tct{6xW?;G+INpc9jCwJ&1D7oXxSGW%ty{_?!UOcbXsOvPEc`z%u zA3bK?`@HXV+z{ja%p^+ZTchKx{FHb*$`2RL(}FSn97p6v89 zJawmpr&BBYyWcR2?9XZ0`*{BlsMJD~d+UqO6c?25u@FX%QuQ=hlz6iML?SgOIPc}Avg zc|SDeo|7qnM~XhccRp(;bq^RpE$&JIc9{6z-A}XhTbnX<-e^PgmGURHw*Y&bX~-3)6RwX9>X{4znwc9N5uA0$1eO8Y* zcMO=KBb3yDCw+&wi?e5m)AXv&(znS)?~*&iEDj3B--~eAR-&C z`#nG<=65d*HTRL=G3a1sv8-`2b5>+cF|~Re#acYRiQcs?xM2?S*S<~CTlH^W5ngG++RGl^;tKLEX3F4vU9DIB$USN zhkI!M#1{T283JvT2IrStKVej=)H_ZI+vXfD>)#Zz4!$GO?r-vg`R`QbK1=i-OO2Z- z`zUHD^=u_21gBx_^3L@f|0e#TpW-+BTk@;qWcyVi$k>oJ_D2VwivyVelm_9(KUw3n zqM*JWES~(GLtAaV=LE}pWEHp6Unle6Lf%Ru)`ak3jU+}J2W08C2Z3;^p{#KhyA(=u zC>NK`h6@3-YM?Xbb}_CDo|QJ7b6lxiD} z!vLj7X$8TmlNDb|JN1cJ9(W0!b`(sKv3V?*I^y#*(qk{e6djNZ+M$6vpg?x1`&trl zd?Y~VOyFiw=wUUu?o8ra2qJ%la0!3fH+$MWr9I^kW%WrkhvJKi>KvlAk@!)_qSjy8xD&c^3RdxmU%$*EX{v(!G6{2xuP`A6!s<>3K2iQcF>8kzG z<;`hU!tOckC)rc)_7bi}R-!hUYJ*w!oQxRM8GQ<0c`?>s z<`K4uFcXn^`-7ibM$j%x?{2@-W<$32`?H>8t^qxL5zxU--gt$PJlRG>?az%41L1yD z1OSN#fHVUHd@~({LPj>> z_uq7-7?AByuRmWY)Ne*VJ5;PShndmsa82bh1hr~mA*CH;%0ZM!BDG)o zpWSL-23vTz1bwEfz$)?__t^rnaJ zTjVidpNEN;=ubYOU}Uhpk*0^}hARmnn;a~kvWofu4GvzvEcr^uAV-r-K73X4LDY z*)#djE|#23o*nvOlpuCzHeJlYhJ%3n+Z*>~-NZSS=ZuJnI3nikm7I*0hO7sfiPq56 zZBFYCl&z9F5$_SR(~oEvU!vgPY$3w765Ki5iGgTA%GTW@XuN&2`yCkfe5Bp@CiD3F zBj^TaMD%`XynUeiN#oZCu!L-r$nDJ5ucfP)+s+@C6@h3o{HYD^YTU`99oZwEe@UI& zKMT*}{&CmZYByWD?P1axBQDKYHBh>f_)w+Syh=iFe&KztB^5Up@2YStIX%Vf)UJIK z)|4i1OnEFuvoAJ1odIB_hR&adg+XK&f&FjY3ldKH0|FpFCIZPVoSYdl8{8cI;{iT9 z@$X4_hh_PMBQZxEWR42o&s^GgJa6o8vuq{vwlb^G`6dz&Q($^u+QToW*{hV&o%{^k zMbGK)9nH5SgezFwHWRlJYDkOtL!CS~n_Q_qR#sQ0LocrO4wmE&A5Oo^Wb;;|9l(`aSEmcdaaR{qDLVHCmf^06T zzqhE4&0YM>7-|Hm^4@HBT*CF8+u&81UtN0^Ju@^7{SlNnT|aQ&0X{3+%Dm$sd*}_q z<*K^+wZe|VdAiZEAvL%;x>0~L!7ybNHLBhST zXtahKsEJb>dNR&Vq>UtGma6%A@a;!)Os^X`g`^!tCv{yW)y8M+eC*gG!B$~1uax8V zp|aq`We-g_!JB~9oJ5lhE(YWbiYONiq)Z|Qg8G+e9PYhVnF$1zP+ek1e9#aH#uep*!fP;=)mR#;PlH*IRz!c`E_`8klI&#GcbTROj@6<5YHZYLgF{1C z{MTja&%G55n3<_yxcnz0a@vaNhLBamfgyS>|J7pXs^K*h$lA`wb_?WH?_rhbV{3n-H20{q_;NiUVnvti#(`oAN+R>sS-Vbr_J!g>vH+8Z3;3!3b4msO*-!0_lN@U;utX(-2sVXN z$+x=vT4F)-26$^rH$<+3K%@}x%)0mo7~uao!3`|-T(FiJ!V15Z9IwnjG476^fF$UT zk3NY0?P_T0_z6TP|1BFJZ3MJY%$dcMMpd0T(O(~i{-y#peB%;TxcWRmUM2%?kj zkDw~1;(johb*EclwI2ScssPvSRIKLV>q*D?I zN44u-gk&6O!O6It$Yd9lurGja&=s%IqAVr#b*9K4Qf>`IYPa<}L?@I-@qal^I|C}1 ziEoDbfOVI>Wiu=6`l?n|HthHdOz^|fuh}Z)7iynGY)Nb=KkqwzP6rxYjcUE@pglep zZKJaGg&v>|(ZCgEKi-S2qC0bu%T0Ad6-OqM?)(vhB(SxEdS`T9l@i~4&9ub@TG9@s zpk^CL)b7>qXhj!k37khL7&s9dFW7h;T(_FCpeU(mX3zEXi#KJ~xLlQ#8P>BL#Q z-ZE~Qyl`N+qZ3|SHdl(cBA#vG#&y{0HOiSAIbw}l-CU#Q3Tb*bX;5f9`_;*ru%^L@ z01vwyB8>RC{W~m91=iG*=BdU>HqJ5@RJhIcMA4tkzn=0=e>gslEU4J~%g>uP{m-i& zG%5t_oVifkq_8A}Ynca%;H*KiaKQ4?diB)`r74dZ_qqgz1K!BHAfOQ^gRqp+{1fl3 zYw!%YA#C{D8j>bF@d_#_T7%;`|AWPtK>jI8_xx*%DYGAX4HEwpTPC`>NkyXh)4wZ@T7Yy<$eco&ToXY(KrlXB7$J5=pg_{Qs7xdn+k(Jy3A$E$g9>IcEHJ49-Qc&a&X zsch1)1aEIaMt!zON)PF?r%sWF8JsNmb1ku(Z=_M87w3MY_-b}`r!iC4*tybdF--JE z5Crg;v|}2_Fz~XajSijWos{)lRx#vSh8N#=vi5^Te{gwBrx|7j|KM`ynr_V-rsfJZ zOU(s~<}Ru{w>~2dUt%8Apw6)=N}8_j|9zyle?RnktT8}poK#*K%si-$x}_d&%=pZ2 zS7Z3pf^T3;H^5p)sciqg&*S}cv?Oho>%!mw)ztnH7Mdgi$cxzBAbQV5zLf^`(;xBb zjFg45&@Vl3?k)3S^XN&u1VoEMy#b=?Q|4VP(C^3(g^gY(e64q!hFcp#PkHW|C4v%Q zp3Ef*Oh=q?=6s>vQNm9yhmpj?&7xbPA_!Xa;2F$w<~Lf!ufujOsG{Jw8A9th&asKU| zH#T#y{|dMS{#oHP6mBVMWmHNcd-5Wer97hAJ|&|t%Cj9Q^f`hdw~q;qiMJCB)XXbV zRJiWVCxU=bnJFaneE1H{VRNbm9GWV%SRR*!A2hoP@-;( zbdy;}b8~x9rT9XjY&;Rs|3qW%*NL>L=$R3kD}NLJL7PwU0RKLsRc;RJ*SdDvehF4N zemcFKVdb}nAVq0-xie_>8!!Iodb-GYXGKq~khK8$~ybzR#4{bP^lIGK;X zL%zHqEWfn!Wd5rMW6r%S-YdDQ@Z8EExtA0CtDa^-^cxDKeYQ)Da6S3$cObmK)ZwLiAJWn4%<+bxr_L%EX@M`L0Cy;nRFgBXE zT?ny`{HMY^sH_O<`ro#o8Ii%PzX(##tZB&B9}KC9*l5a%EPNU?EY!%~&+KRl#4T^f zS3@zZBQ|Js^OUW#EAnwM+Mf7Uy`nxpdtim4FJpKYFet5Idl|hTZ9+{sP-Jc#zz0-M z5XPM<*4l+H(=C;r^+OSPBh-J&ffyCBHmLMh$alk2@GPOW-@(veCPzpcdyO*XkNrC= z=@dw+OSfs$dFATnlFxl0pv!|GSqDVR&zPex@4iA{EIu-y_k~FKowvqQO^j1g#DN!K zpmy73d+-Dbc!{t~oLHAB&mwm|(+Vq_sxa>|OEvGj-0$2?6Snce#id~Dp~bNTylLj! zZ1d}lb_m9+BFQOyvzM&YJ8uF47aa0t^`$*72LW0&|Ek$>xXo2;`m0!iw_be)qVb8O zce*dAvd1Zvzmwjnn&mJXy+7k_M`dUw!f}(wacsQINe8d(f=99*N^J73ZxhZ63`*|5 zA4v~M_H9s2F=~?KB-}qJ+)Q-BUZdW(D`eJHYr(y)3Iaqfx$qY=ag8dyjB#&n<0e92 zuhF|&681Fq2tsm#woONZ;y9f>YL~reL(&39d+sbyL+LH19Wv#?W#@IslO@o#m(OJB zTAmisb%b8Kcr3GP&5Pkq&TQR8X!lJzwMta7R=TO~pp{Mx^Usi$&%3G7<((Jv8={ao zlx3=FBJrq6u%4%eChSe85Ym?BHh_9?_<-fa0f-`V`Csk@_Ae5m2E-?r;Nuv_;9LVh z=Sc<>LRh2!9k4r4BMIr_F4<}?HoHZugX|qh0Nw`R#2P&4uXhAU7#f`VE=gHIgdnG! zMC(AopEXqHr8?{4xd%kb&%5q8cTZ>L2*v`7rdP=jdh4sievnx!i8H^IV+({XkWA~9 z{dJH4wYQ?C?5++YUO@n&Fp(V?$~TXom{s7w6!2C0U+kL$6jflrHL9$-?gTg|L;svj zlf+W`32W#+2QXWmY2%TCx;@gMM)yk<3a4n)vLs-BK$nY1MlN@+-T~M15O}*koQ0Bg zA#B}hIb*KB0mw0&z;L)Gi|ah4U{g9k4nPs}*XpAY59>hb*X6!k=>h(Z3vU;`#}2Ka zo^u|3cn)aVnVQYGG1SDeu3w2(cW#|WUBGZdfYdn;QrQ|okL+mF>zNw->6AHsg!R1R zqGj~PJ7)mn*15s?BbL3s^pqK=lu0}Pxpk|=Y|m=BH2%|v@}{-bNH%iqYb zA>SQ1v=g{ORe}X+C~G;W2rtLo_3pTBJRzJ&DqNr{v@2wku+9MJ29;LV{Yt_u$9C(D z$Y?Tb?%MV1&Pt8Ieql?pr|rUfkmZ+nX6f-*(5wufvV%l9Lepkqf|0jFJFr*WC$^&) zoJJ#<1u;FRVnK+?8u2X~_s&O28fbS5c?x7ryj9ToOG6y^Cm5|s(k5IN3vh&tasv@v zNgn_ZJ^gpq#H^9fpSVrPIglfPXGS#h=QvjM?-vdL%m-@Kn0)zpwrcpZbx4{^im&=m zGtId?`Up}RKw9_w7-F`ePcQb=M)#B_d+ZT@OE~a4@C~hP2iYGCgTNt3{DrC)mH3KZ zh3mMk->+f2e!aTnaqtP&9wz6D5Bns2Rq{q6)|XzS*cRmV;I!#+!q@M+rEN3%z+;q- z8=cE$aX0*GpfSC{MD5qDf=|=^4#JU{ICFxy;;DsK1@XG=$keVVPR_3DwP7$_Dn3<( zxYIA*S~s~tuV)65p$kfzSa!m7NE#G-M>xhtdGxh{2|Kz>*O7G`ktj8un(yIMjs9e{;k>k>ye?muQopNEH=Surq08@Lhdj6Axcq?A3T2IAyT zl4Ar>?%*nmjgx_tWmSgx&-xIbMW`q`U^%-j;i2AZ-R3>G1Gs=~4Gw(8MP3@W8xL}z zz%3w=?LWcZ2Ee)@=*ajxuuIp$2>{`Q8zTOWkPSeofHVO zwBD&Nxwx%UDNIKnqNXjzH*)2tK+}xfP-_f3ZH!B`ztY#}F?h|h#Zcz@Pu9;9h$#&U zn7z3ujk+QT?>%W{|4W$H({ZE^-tP0$+V()A$-?;F&( z6_$M13+PhY@U7I7zfonrnMMp5NTRuKZ4$1@Lrejpxhhs|@?pgRSbWbD1N$4D?JV0e zw`jp_W{&fpnL(>>wJNE@)ED|*v_X87&BcU|RI(wqax5Yx=EUF!M`hFVx7trVi;xJ& z)YU+Yl-mb#h)5(SL>WrLg>pN5wS(Z7Hg;qiWS&UiwoCXJ-)#CUYnu=<@cx zDxz-};(xa{9!fBSbi%SK@!YCPnBjaI+b911zp?k0L2-54x^NRnfMCIcyMk=n`%5E!S#xyfA2UFQVSU}Iq-omwB}-P2PvQOx`G zw}WoMWtxPY{Rw2ctxSP6AU$2r)~|A zj5aP7P}i&A?r6u??9|9FrkJ`Ji9fMsfL>&)QOe;<9+SgOp2jQdFXnsbVY@2lX?-9x zn|8wYb%{E0?%_3HhooNim3SGwh_GqYlmj=V!7dA%r$x93>%Pi(V#uQohc0U*UXIb6 z$bOL(n{X1RfPrD^zizmV>gy8L4w>}h-eislXzT%vLp3^)57e1K<^srpFD8OIH|)*i z#56v0%LwWBH)3K~ZVr^Ro1BP&*H|6zHk{?Nj)=EVnfbQ~b+P?3tEI zw6u;Q-8u1+$Tc;mkmk4d<$P3UH1RPfZPybsBr!dT3XAg07n*UxbI*VVftLe!k^A?0 z45#=A=}Ij`6X1@EQ}KP5A^7+o2`8aIY6$pCs0!+-o5Txff?$60G}bTOsNKO~5aN zt>d;MxdT-|I%yXudTD;*7A<2{IQsGrZ>Ni?krzexM-epnF$RO%2>k|Bn5uBFNTZ%N znNHFNDK7Hu5<+X%B^|nN3Fl-^oD=HywP^LPu?gZNKo6|eR3tpQBc!`TDk1$wf)fZ) zZ92|n``)7!_kp%tnEV`Rd2sU)*Gej|b<<+6(sFMf?LPRtbIpbOWQZ6GykD6o^BEKq#->7TG#ug`;Fq-jTx;_EOA6@Gf!=~am+4!tCAKwNF?&`ejoE<=1@+eTO zChgS{Y@`hKsg9J!JsAoVIjLZY@te)4ZRx=bQCmt8?KvfE>ELbGe%fM^;QVbY?T_$q z&5FwMp}rZS%kujPuB5LFh`jIX$6-)-UvgR5cUn1rzaSgy{Tr043dxppUoUBD^vYD4 zn&iA6dLL?9B5I4wyK=~vJeTdNqEeg0W4VoF<8fWu#-U>IyMH zcua81k zeV0>(jU-P(hS5$=QHr?81&j;XQ2YVjhh7rS*+g!109bS|@iSzOYL6xS_G=__*#+5KB4 zxq5iq$un#Vay|r-3m}s4`|Z$o;X90hB&`b>Y62ys*8a9gK9e&!w}q}}S)Rw@gj+ju z2Ir0;hC`VVAxQSHD3z`R!bxI7s%pPxJjCVxx+7WAZBD!p3UD`;7AXFJGa`I+ z9n^XA+0XgLsrj7Us*6Gz3&Bfs!v2{M;yL#agy1EH(-;)5izE&}06w)^HcyjlQ4VWv z{#TND6Vda*i6ZUV#jSx9WRB>JR&$Gng|YgEA`)AxT&r+wbmY=%qiHzHr+v>_yVK>K z#~F;0LsX3dq5_|gU@l}xl?qoWsUu4tEY%0jc$+)BQOOEBC+a^#PIZF#ve@05R~wQP zKj>=5L7t(4)*Gg~JclJ-Hi?`?K_8w5GGVL&nI3G8cYpxo15Xf?t!W{LTeqJ(pCQ}l z1^6}1xA-&J2%@$#!{Q$C~PdD_qta4Em07FSJ zwu?|T`v9jG^di2~=Y7b;;PEW9F>aoNN#xoIMV96w3KsOJF@mtXt>{zn5CTn)qKQfn zhy4bE76OPE;8jrVu~yvaF`Yi!?jo&z04DCnQSkwAIPh0k^=YzG?TD|2s@E|tkMji{9Lfj=hAPv`Z9ql<9~)F1!+Oxp56BN|?yVc(>WUMjg{$(DyB&+~E5s-E zG1*%Z^Bi1V79-D`UKEG~@PO{P$GGb`0Fw zdlk702`MPCtS%V2sA$jB%zdT~$s#{ng0Fv=*)!A67`Y8m>>J=v;2RlJsxzW#xrYX|Q{YQ?vjgt) zprPtObyhA+oTO3nb=;wKYbff0KuY^8H2X?+xS7(n8A6fpu(^HN8onJ zXMM~GvyYqF=G*n0_r?OjLO)HhgXT2qQK`-s zz^8d{3d+1J5kaX`Nsu)SCI7W;S=^sXPw1Y?L#c-Igg{j1c=d2bDBMmp==sOy9~D7e z!kGKHQ-L&{AD*uU_K+59rz|d~c9&4H0PSGE{s5@n_b5u+>Ds|T&{i!kQz(8PVvXP< zRA1^G*|0%wlcdTU{a1abRe*$q?5Nkb66*q~vpYcHa8l&@cx^Y8hKQ)s0I*1Pj9Y-m z9nJzexGI8G+0{7|BG)RS_q|Hx0Ugzk_(=g6o=ykA@PHVpW4Ww6>)eq40RikD!MEF% z|H00EbpytccJl)oA(tKdHPxUI2^F} zSElPR1_I!d$PqA-g58D8F%sB*A4ni~I8oqk%BEVB1^x1PD)5m{>H(z?cfMhB-qY14gkh-G)d8~$DObLAR zLO%Z4&M?8MmDa&|;>4+|YIA2($7m{ET>{YvH)U}_-8*ui&`1TX;#62!9JR{c`Hfo- zEvgvMnCe^#$nJJ`-;vlgz*rZ3BR5jE#PLvH_^R{D|A_0#2Hy9i`&7?!J~Q@~@SRtt z0Z_RP>P_5Hj>r?1*)BDBgk(3G;pZ8<#sg` zTvFBhYHAw=QA#gHM{;=}bC0TXk}KpLNkR+4hypyXZezb+~>MO4l+*a#7{&_u78$ z1J##hw2sO5Fy^)K}C zo8vY#lH-+=bLAM=|%!Pl=-}qAxXKH1)a>ll6iO5?2E9KwO)N&W7q+_%D zTP$&WR9C0sXEZ&jD!D?v5pFi^O!mSs0#FInb~~eY0UeJg4+y`HW`k5xR#wO&(Ze-| zOB_v&r1Xqyu7l-4pcJa{0GyufpfQw9S)ps5Wu$@5U4=;(Z^oc-Up(P6*3k}T+^Z%2 z+%?FqNt&7{w~6_wR@4$eg4QxZ6yG{intQDp*U(M1pz-2hR<>Cfi=A#Po)dZvfRkNQ z4NW$i1=d?IOtBWm-o-Bbh<+35(YA_vS&lTIb1!DL1>ebG8u*NA8gQm3oPnz4M0ei0kM$K|n)q==*Kwlg#~KT{ zNvob^><4&bgGy=JWM4?Rlf_o2-_zYbzn}alo;W};X{X*2JRI7^+m_KENZ|0D!9tWh zZ~`H0bl02kE5wdSBavOeBk`4N zzcRN?b>%x?e2spr%3&kb#Sch-A!%|b_tn1XJ-ibpFRSI{WBG=msVO>TCg3MFHs7{z zKs`Bvw5hrA$KisPu5kG$cZYk07t682k@JY@X#i{50_SvP6Yy|M4^@N_kVEh>5J!mt zS;(zLtf-z9y(RNrSQmuOThM@1f1N2ju$EXRsEPdG{=8d&u;2?uEOOjTtJVNYby9T# z@f*Z(Gx>Pct7yU}lqElh^JF-IM&uQz`E6vJ8=$t02B3hYLz6B44Kjk1R;BsOah-wy zww&-EsF4}>Jefd4-u&+>QA@i&C8c(LfSZ){$MVO%J$MgB$h31H+WtB#jEv%HOv{P& zEQJ$vKuGy+(lyIfVhreujdm!p9VtBN1G~&a5irUM1Z~U$EoZ4)UPl_3Jc`(&tuq=8 zgt=hDct8JS?r~TPKD#@eYM#JW?p#oNoIN&^qJ+-&c$j=q%u9Uf{#2Zp&3%Xc;y&t_ zcKtc^X?ETw^O}YZL*IzXZS}4KqeCG3d#Y67@k|au=nypr&-G(Pt`~gA z^cz>?S{9+(2;9sOL31t@%uSDe3``e4;nJ0AnN%h9y>lAd!!mORj@z^GA4;N{b@KClu4V1g-Jq1Z-HcrOmh)~snm z?MY}M%At|KYt~C*)Z01@Zv&@`Ug#jWh`P%M;3&mj0Q~OxI~(mx9U2UcWb@e zccdNzu;j;7fKtiJo_K&4=i=HwTngvj--{E{$9ko{8ypD^R8g~G{6T%e_$lYg@QaBZ zsoePMw2h4SHT#klG*&j58{3SP?Abov`h{ZI_SG4uDjj?;zMaU~ckmvXB9q2UG|=;4 z+FUt&8fV)Qy#Y$zOO$4=axau(@?6jOZvgjajpoN4#LgJ9GOgn8sQu>6d&!**GsJBf z68KJ{A>2rs*m21$;F1xDanLa|0~5pIt6&*Z)RpHPn8FWsEmDwP1oDwBijVgD;mDnL zWShtrRrZV<7A<7>XVLC?))zLLeKuZEY-@)q68mqNAoiUkWcH!Wzd_WZ;@DSTao`?l zl%j8n+lLJo7Z%a!ZD&@o6?iK|2+J=KBX@q?4ILDZh0Y!|_kDH=NZtqG0NG&Q*>WgF z@GBCb2Q@cMMU+owU4b{N18VL+wD($0r3U@f82)eokQO%*^?~3GqFm$k+Djbl3CjlI zMKxAml%ehFB7e|E0AaVEoDPw$1A)yU%fz-+B+9Vq)3oZ4D%wMeMI_@L+BRTi0%W5~ zp?CiF$J(ni(V4uXH$dY9>Fj?{M#hYLTWvnBtJzu$01-e#B?P!-q5nZ3@rJ>p4F0Y# z+3M(<28aNoseykH0n%*I@hTIj1e7H_VU-}1)7?n!+2DP7wIUG8hNiO|$-WFSj*lG^ z!O_gLI7!R+=M0sPsd%jNvX5ljjiC}6wi<62f^Qq~=lj)Yf6)m0NBR8VAoh+od~Jkw z-AAyt0dgHsY|VfBI+>EX==lc0vC$9m<~lJb(#H}Jx-x>SwmZ_fxS=c2REof>&jN2?O_i&Qu_a+9FSzaSta5zu0eF?$=NepeXRUZqaT+uv z+Ri!_zzP$x6RSeq)Q!er%MMJ-^4K-tyUWRmqwPq>XrkgK(YLO(QMVEls0`;Z7CeL{ zs8}!4!h}hhsfyG=vpikDL7ej7<9jXDY5<~7(sJZwcXn4m%y)i+E;tSSUqQMH-V-aL zBAYaTRaSthXx7UrC_R49D#RNkv7N-)_u89#s4Df_>ORaXeArQK{qi&xODFg^fG1EV z-ZG1u+8tGZiKNnPwxZ_Tjw^A`#;3oVC(U~CIC2K6JWV3;-ujupNFxweF;nJkCEwVY z-QMS(;;Xfi=#R0RsDL7Pus9S3;Gt_k^r9^q^s)n?e9(rX80O-a3=mp8seCBt# zNtP#{?=+AN8^MQKRcxBeQ{3cLU9ldGYTfx$z?O46A}*=r3VMG%-MKjbR%HU%eiadT ze%km4##=f2l8W9||>r+TkEP^#u0WtcgyU_;^B3cFW2>+Rb7 zA{l4Uu#Z?Cv_6^UCgn{}n+}wIWjghq(uEY6F8N|Fsc zq0<{pn?SXI<(Z*(IGVCRcsbqg_3G}*G5CydH=B%deyeR_eVnV9eB&qpXA-D`{`xK* zTX4OiugG3Yx|$+;oGG5`FYCn4b z#z+^ZY$+u%z9!k2VECB;!Pi*C?1YJ9S=l5$eWkGT;>^~k95ae!@bc$dF-hq#a#zzAi_W2k1 zLf?Lh+AX&4Cjh4ISaSa=@i>HsigL#WVFGsXZRtMWuLjSSY@Ah8_rRfzU^sfMny$#Fg1l0YqK)c{Jcm zw5zHBdNrWMZs2iN@Qq$Ehq7e}@0Kay8Kk!5WK_s~y$osd+^_oQX~tBreoZ8b+~h%# z6Q^3Cg|uzbIB*X{j{2v00#$i`hF^vJp|S|sw<9-6^($e4L{zjcsO#RJQ#Kq0%lXx% z9`PqSSu3Bc$z$D6+E-90@C1@{D@{`RkWQ*tbLLSnsfxIBHQxMqqCcAE+L)tOWXG6W zxav4NY30%A8VS;wqeVtYkUGN1ATczv=1f%cq)tFW1^>--XYGaZc~yg`Oo0|wN+fuT zn@?2c)1M>6K|=pEWR}OMQTWMYZD3#GlBkZg6TiiMD`qio@3~+FzZCTDB3vT)Fq= z0Mk(|TXZasBM8QDKnMP=VaN&;(JmN3tSX3DkhlQs$o^b@q|xLP{t&o82YGE%@FC-t z!Ump5h{t)f?g=lY-8=yjvxuHBEcX^42z~=`Q%CIjAebwlU>!>SLh*SxxuyR$OoH_Q zgByx%*ZCKv!V0^)yPHPH9R7!b)nnQcs|&6~f%nJ7i(?$mLeE%G6#*%}EJa%BNmR&j zm35Xo1Nyw|PsK`}%ezi5Rx(jG5r96f7dSY>%SOG{3q)T5Q-u4o63_~&og>lr4^?)9 zg2t$c8>`#BOZqOpoB*Bho%S<3c?fwSRpGMrdMm4Jj?_uve4pw=Op+`=^cK})B*6Emc zLd72xOQ1R}ByKdWvIdZ47~FOe1K)es`pX#6R7{s>z(;LBLofnKI_+8`LMmp#-mh5w zzFpqU5P1njlk9fH#s88Wh}?BsX)0N04j)ImAe^!b+c5E&)c7tPpk|Op`J#Iu5(B$EtqS`dD3!;$U}#r$>=8ZInAy!QK;cr9{Uaja z$QumP%0=A^nEVsEq(lXrJkGxphpX8-H;n%dINf4*wAF!6Rd+i&t^PsqrW-PO*a@G? z`v6U|dU8SoDKgMEATR9bs1$K#r?@*Iv0_*&j)&5qFR zP4*6ae*P=|R);1LV!vw^$Ae)6@h(aTu9(MrWJ~IGmkOSR9{6G+KeS?tRxYP4=;omJ z*TuxHFYU}cZS=%lVtr-U8UY6u}uH0mss75O9@$ zoPNrD94mNifV=m-&66NEitB&QH}fXlaLPxHlM4Z293#OIEkK$M|k>t&#?C2m6cNz2lM2eP8Cdu zOl<|yqfFOoSm(}l+JjPQzlZ>iKW*qA1=CqtIU3n@3aHsgIn7-}IY6JC_%P=O{YOHl z`&;1NqYTLIpV*P!VEyG-H9Ts;@n4Okl&c1^EGcJxUF9L_VxUIYh>K8;fZS|GCt;0= zN=Dgb_%xCWP%mBJ)UQx{4f>u_a+Ze*q$K)WZWBzo9x#h~t;ao;pD>6%|3*;qd{-Iv zBoM#TXo>~4uaF~nQFwQEk%#(0V5@Cqy_4_GQSCAYI^0g2MaeqW@xm25cLHe<`Q#JQ z=9!Hr`KkO@`KUT89K~AE%2BkhLnx&&-_zw$Pd~RM9&4lIyvr%h-0JYjFtHP!L~a}V zo-8W!3a8EXz>emA1|;~t7sr5KU`PH_$LZZ9Gw9{bp6#z*7Zny%g5?<`t5N#81$oS9 zflESi)6+{_0NiQHhAi5W=X}U|HsFi*g*uevNuaPm5RDh_cf0h3=2D))TIQ9%>kU5S$X5?-l`}WD-9_Hm( zOwz=A{JJ+LdWR#q78UdSa!cQ@s> ztB|wwz#{5jieyM4R+s+mx=>VD)5#WTF;DVQ{a#0AC4cy?l5m)LV0>v}6>MpN0bXJUZ3RS7!%6Wj0 z<`LUaym<2bP#45BX)`mU$-)$C7ak>|DKj&5B7Ck9`ogP_>+m|}MU@J3*S_KtERYBX zL3e1;0gLm1vMbacRGktlW9B5sTT>y8uThiqD)FWL07Z<|ILNKfaZk5a?R}97f%0Bl zFdg%_;Ia7lg#1K8W~?e}UNk%}-smbBQ5ld7XlRKrZJERrRc6ip@HXA zq2zakNCS|8gv6?60l9k~>Lb_LEN&GFZ#c^gXl-%%3)~8_o;c$SB-sNet?S0s=s4yG z4+nku7`#tFd!HZ!^2#RAQ2v{eGggJ3;`I73rnR_NF4TXeihm=1kDABSSnmQ%(X$VD z;RSdWBX5ChOcwB(omVEp*ATK2WMoW$v;gUzJ_WnA1I7-^E!tCNXqzgb0P3#`nm~ZiUw%% z7`efmhuw)F(u)B9b@z+6rs4QTMimR2O|6{gs41U366eI~`!vKUWXnALD;F9*AJR8` z>5Pk^A)E9-ovSt&pz4o}OZvt2b}LY+rSe;>k*A8uI3@;$3$Ld|xw5ivh+x? zvIg?-AUh3}IQWpka`eUM3e&OOPV73R<3=1@GQpzh!?wYZURlpuo0>h4Ag`Kwt3NPQ zo%z#?LUszMX7ht!k-PKH4Ip{J;_osL=KQ~h6aUw*6+M56BtWt;i8`6{yp~k0D^uj; z`kAqDXEmMe1DA;cvc(jBj&$9Tio=5|yE6yq<<5}bBgZ5Z0=-m#C(ldiQFKAwb>zA{) zl!^a*u4J#;Cxa^?ql85iOVm{Q=4)g>LBr3uFAtv}{KiBwyw4?O8z$lPn_L%eu|8Qj zsX0I`hY-K%jfms8VCVLUhz*Zo0=+^4eY$Y9?{z2^ziF8s=6E|Sb?K8H=9o^WH^cq; z@s#irYgoMAS7>gVDh^2s#qUZnu{&o`D|N;raJ;`?qsc2~R)}Bz-DxGh&v0A?!frmO z9;#x!qIo6g*0VNraBcJn=mHlrp&C$l)NG(elE53rSPQOK1%6||QL~0PzwLapHQC5m z3%zEW)e7AhVX8eo(6zS4uWtS#>zO?Y)DhaHehJCE5^cJcZ25h1ivJ_m5NyEtJKOX! zqEc>vcxZ+4W}*Fj`A9e=Tkevz!MJWu>NKXPwz}hC#piPKc)E7Hqxtw!&vD>g`O1Z_ zsJGZpvBiVNy}tAAirST8;t34khSwZ9#KWpd+04Qk9{C5-^ATV1-!T36h5ufU{}zY; zH%~)DvT;-U!8fX9!Nr5zABo$+VY*{{_oyZ_nH-RT2Gvo%dt0p~(;_-yy@{4PTeo}g z<Ax{~2ln^TR}!3v*^-g%MQOK|m`t78}4cEZuk#W>4-*ad@0 zg2QsN?_5vbDxAk)9 z_+he4A+Wta?1@;b<2p zo8>+jV>rO0+!K7dql_&k)+4(5H4JCeZEM?5wqPRZ;T_RS(|Q-#ITPN8PZ5ONl&|aG z@hoV2m<=_KU{^f6pAX_yOQz62cbgCT|LH}9B7-hDyYR^tICl^s0oa9h1A!hh-uXcEYYffjbFLIhxCrBb&RsFqTYWtU2 zK}}~tTD4UExy#(o%5kzY`~j-oC8!dDs5|f_-k0b(S)2Y0h1AI6kcM((DQjag96UVcrbOGPJFVO zVX8JJ)*<6a8rZ1zAWZ@7%;(4Y7TSq0y&53i)i_@n7S$^6bTe&yMZ98&tu}-R=HFEa zK3PT%3%L5(B}a8T1id=;-SJ&naZjY=!NecYt8Ve=7P_pgZ`+}!;C%M(BTeZYsc`8(G)9RHjFBKywV?ae=@fGEFl zuyM1c=6b~^$oW5Fk%MeIWs14m->oG&v@&)-6n8UAHH#YTe;^i!b$$>hFBEFFl$0eD zt7P;#ufOzYGe@>=4`eBy@9HzNAGIK|L^I<|YqzeSzxE&C6%I{n@)-F|7aO2=4NiSy zeRRp;x_|EP%!}zcF6x?dEHZH|XfrNIMuzua{0Z%WAkK3yFE4-me)_8u@*Czb9!A>e zxDp#4ezVB|xy^zVs zk~)zsj9QS9kukFar*PUH&yJ49gg|~LB@sl9jgM~;QSU7*WMqsmq*9U-7~y?0F*Y`y z{5CL9Qd6T5&a7fYYun%7zqPUPtHa+=|GmFIh0UYv{(%AaIU-73ZEb03X-rJa@SXnc zjSc#XZv~$tJQI{~unk@8EV*M(3M~cH)F%Fn>1}N6K}t4SUr0$w2}Z?{lpHyirX@$i zR&0Emk(-P2^yv#$RxQq;J({cVPpv7`%@?a*C{joDjf{Hle6J2@zUHaTIHCU*-|5W$ZohxmFg0CiXlVH7v$0CoM@w|2MMXu;t*uhg z^YgDSebBitudnf8O}%F#G|;-J-0Amnis6xwlB0$`B8uhJ)nS&64oeI5D1Wx&E&KPu z!G!K^$;2T7Dk?B9F9V`n!54C~7Pj%)+1Xi|!3u9QD?Od&BqS`1gNzN}US3{B z1*^-`GSOJxhuPru>oVC;1$I#Z=B8dGS#(UyUZEWurG48&e0>uWSz8)M^;d-_H&}R5 zF6?Bi%E?v6t&DdS%qf$hk*v(j>LmPs_7NrZ$RWSFnnOU~`50#Q;3nb9%y+%!j*jK; z--Dv=SA%h$^|P|FdX#bVhzrD9;vJ|vhcjIE{#m_1rS$alo}M200(>Ublfa&Iw%`zQ zXyQ*2r`?maoN1MnBeXqz26&pHq^MNCdV&TrD2AUoU@>3X3!M@HP(=GVSoYeYjpJ(SIs8l}P`2 zBXlDHH!ng-|8sfyh}Emj&CScJE6L9b3kK|RY~_VV9)a?}BCf5i%~hLHk&_?n?kcFM zF`24!2Y#h#w!*Ys$Fnm7R@2U|>~)}dYbL*=kB?77ef@3&oq~k3GgoYQ{wUM&uV$&j z*y!lGanVZPAr#xa>!YKi*vE$Q+N{R!^y0X=N9h(S$H#tN1qxE zx-?pF_=R=O%gcMX(z()qD)4rkx*Hi8d1Pe7lTE^92yJpBm6IQ+(4i9uwPqaM-I{{K zMY76DOVzV-Gcts{&#WvhVO0$B>?BAT7gLpnjak{*gvMCX%!=YCI}LR7(soi$MjDwv z*#hD~o@m(``$)y8#q-!iN-8)tRnOi1^5Ebgj4I&g_wTs)_^ww6ugO{;Gqcgs(u#@Y z&^x%h6XKevs|SYDR%*F6|5?a-2>STU+??emGAj1LV&idd1pfMCm&LcQfcrBt_V)G^ zYX~SQDVKl!syH)N8DgLlm+R!GLFa$P!!xtEC@d=a-f|*=!O6|i((>Wq0T7DyP5k6I zqgRhMyt4kOL3g5@2VoHr=x(plQ)9ScFD06?a&t@cUHDZjO#Up$FvF<-;X-{+7*6Na z!du_#BN--O&%MJgpK3pnlvKSr8D_0+yWPs<=!Co2+A@Duuy;iLz{tK9UuDv6|0-Qb zNJv*#H&5Nu(^FhrTvHS8>wJp6vWm*>?QKy>$;8;$Qis2bB_Y?=LDSD9FIjmk>8u(9|R#AfV4x@2d3IUi8C;M@Ec| zjEdQizs3KBCXh&jTW!Qr+IAFKMH!Jen=+IgFF{18!Goz!? zfAo4Zh{6>WI0}G?T=qL3(=+u?ep}OVDCJ@iDU{{r;&L})if~!|7Bo7loGiTnZ15Ae z3tvl)L8;FjU%yHcISwq*v)BU%TwZSN>&oI9^#F{VVt;?Xk-rOhklSJY(H0~fIZS_4 zC2QlG4<0-8Oa8OV$+4pQ%*oRz;o#Oh{mSbzPS@ShbZ(BelWx}u(d!cM1Nxx^9=#Aq z0}UAL#nExI4j5@eDym#yeM!Rv)(;OKGFRZC$8;J^Dd$@#S=Q3%=OKVHrr`bF#ZW3N zCZ_t-R72zY;-XSv?VM1Hd@*2V6A}^tLj}m9Ovw(mS6VXxF0O=RU0WL_lD)mXYL3YK z^0KOMTyq>nPpM&}10f-yGo{jLc2ZIj0uj(L(J9TuEtq7>-=T1qn3fjq>|R&Mkm}?> zuda=m>7YjtOAz9Bv7;gVH7aVP^|(Wc-Eru>|LV4xhMC!FhyT5ZsOa})K#c$z%U%eD zkJ5p?Z(ty^b@hv+t7~m}IV;rM%j;&o*2ZzUO(Anqj1#2eklI&hGaz z3QrzZd3m{lpBv^E1D6QPueHa1+roaDQu0Nd3_5t(py1%(4wQs9Ifct9Pe>>! zi%ALC*BL_++0LY1l+eqwr~m%QCK8e6;^RZAcyoV$&h)O!iYNAi5?SU_FmUuje8X8K zX@X>xG1kA`HGUa+I1DNXM%U5NSx@tWPMHDE9>ij%+2YI*-s=WZGnl2h+?V0Vtjm| zEsUiqs;VZP0l>MN@9DAhz<Fx-fUn{=Hq9M^;JITq(H#$q2e!^q@ zZ0Gu~p_TelE<Q&Yo^U%f{bfRA!d9L(3skwbppul(-)jpIk)cGray5Xgjyh9=-~kapR& zba&@NUgp4ldaz1XIcL&8-^~@?@)jM3J2k(cz?qmVGbT`pMkF~UWeR)4mV|`F_T9k; z$Lq5*NtYg4)kt?TG*owY_c~6ONBFBv(t>1}i2`xGy^mE6%O;g*`_cUX==*#5y5oA* z&@o%gzooJg%K--qOG2;jRf#qsF)0a2nQ(t)Wo3Lc^&>)tXY1xomn|!^fS5~DadlX_l9gy3nHqVn0J&0puofQ1v$a%d?x_ZpjvSR|B&YE8 z+rF=np4cpMH8)pPUpDZ-SdcH&Re0z&OYOl$r3&im&uZ$we0jzY9Nz7|vmg5fB}@8C zmqNZDUO0Gj_ZBu$aTL#>lV)`HqOu@Agf9-)*LvG7d>z)6#!rWO_>{MVzfv7%*tfb(6pA>FyL! zEK7Orx;>Fw(!HnGxc- z$E1Qv#(McT@hmv4vL?4Cyl$WlR(E$}13meu{QTh8oxdNn)I=|9b04CuBDe6)@5UaV;oI<=AGvkY z0>^jbcv9^;CaUArP4Ho_YeqV%p{v`^b+k#`c@y%S|F)aBS!^>Kc#*7^574Se1M_3-iSWOcK4S3T3dU4 zFn@jsSUgtK+u?1oYr(zSodT$jmzO$Mw*#>4etvC}B8HFHKAVxg58sE)+P1W`2-Q5M zG=&9tcOWiGz!Huh9`noh*^-O=UT*iz&d%ma+&uf<6<0+Z{=0xtW?9D?zqz|J zn5Zu#Z8&&46pWlp^LbrFm$A3Eua82=ZO3rsZq^bidNn^0kn$qE{CbcM3P1AfR8m&{ zC{x{W_d*%w)hZl=|7nYd*g1x=Xg=c2y4>T_lR6O#ZP0ZeEI5;PDe%WkqKAO% zR&>1Oul{1%ZjE#LvpCuqKE9sF4@$O<8L62%PUzr+^>xV<^VfMl1=;O9o7>PdoU9i{1NReO-Vd*NHTz}m*a@9x)Ri+KvXj<^Y z5+f(qk-<9Z=$RynIhCoxlGh>u);y zKaSU+`ewr}+r$U78FvzHQwU;lIa3Bd#?~%j896x^CNG;;EAkg?iInhQVMP@c!egfL zHr?1y&yie2RJ%>$jP5uP=}A7s|&DCbFUD8_xCh^;vQT&mMhb z-o%J>z*m_ZW9TKktbs|MK9a{Z2+f>Iat4nY<$uaU8A?q}C4SB3{p>b=N0EYz3JwNY2DiPVqa$1s5w%X}$el3ux|5}nGQ|jwW8laKU7KmTka32SnYpy1 z!zA}W4`guWq(MU3J21c`Dr)@w_6stlHT#<8+1XjINu0$K@*$;n?_TaAzvjFZKquV> zOdjBr0pN+VM&8NRz&Ure3m{Ke41aIUrB~MT1mCkEraw$0FuPb-Q1JCc zJ-w&b^^&K%t3t)ly9c7MUvxTHD5-fdO`aJaQV4tnTso?%RDa4GJfIFZJ>GfW!7+Y5 zKQkl!?n98UYs5L=L|Z}T8jx7N2_LuN6B9GV_6ajtKV8e9guqX!9(Lqz*bz}_G^Xmz z?`v>4oL}>&#zp;7Qc;On7g-~GU#xHMt|YQy^y1}9X|HFxlkz_w(SEnh8X%4@GxtZx zoXg6{oJO?YaBP1k^r{^mR&*nN8O^5n3i?2!v+&r(Bt5_ z^rq?axv52U{=sO!Zo@NE_z>X1&NFz_MRy8`r_lxGw}ys=l|4~I`Gghel40j_Jp=xjL(SfDHk>R{Dd-Lk#G?5>%Z+ed(!O*5NUcr3_a+(JM|Sj4E7 z1h6hVhcf!c#wsAq3$fGfz9?b>^0Htq%}XHyHqRx?a!EoRpp(vGDycwb$ozizUc9FGHDeIt62u zmHH1U_Cu+vs)~(`Ev0Uao@l`Lr&z;VmKd{lCMG6UR)xQ`mNfvDZk^)!x`u-TyQ-y= z)0eh3a%fUwq9u%Vh2Qc2W9+TNs_NdgQ3Iru?(Xhxq@=r3B&54bkPwjW?nb)1ySuxQ z7HNSq@O}3_zx`cjf7kIJQ3h+xHR67rJLY6HBrq^AI9RwVny9v}uCK2TMGo!W$O7tl zvdo{5PqG>su(El0c(NnwYm195EGq9xn9Y|GZ{Ep)AKBjRy;ir%hb2(&+f3rUot7 z?^WH9$WZ9hifY0uAb0j?(%4zT_W7vN6Bz(`$!)to66jLV<1P0mO+Y`Htw67SWx`l7 zfAIKl&&S7?nvxQ+wjDy9Z}YsplIk6v9v^^ytXKl{0*`)>bq6Z1o!u|s0$6BsL6MyT ztv)`!uDTjR2Q*hbcNTzH|MIjJ`$|rKzO&UAPV=T;FD8MiuxSlvFS;3KXj#TW*n&B6 zy7-C~OnAXwlse4W%j3w#9o>SZ)VjYKktwg0)M#Lk#cA79>&o8o=-3z(1VlfdA|7Ot z{xax;K<|e}Q!E6u|LO8_#ucWMtK%igQgB(2DBV01v*v7CfE7P)vx-0wS=lh71QhEK z^|Ifk_)iPVq1YIPTx0N9afyjkvq;bCHCUTNo<^{N1qB7`BLS1LyE;0us7Qk9+)!n4Jv;B$cz%j)ZXR*^-1zUJ7ZyLT477X@RAsh8K>}B4pD%^un@|Xe7sXwmr}2>r*7vOU6?j2;Lot6yXuPw}5_GbVx`DU@03LpDwd||2est0Vv4G zVR$@FzqTbRx#0V2zkT~=u|`spm-lAi_~ZnLoj{`LvDMH>9Heu87RF?>g^=^}@_M_w z6P)RLXGKLtA0Hn(9kH)@4RJuN)Gsp}y7=RI`BMd$5;)i5 zXzr<6`gV56^lTg)r<%Z#dX~LiU0wB01%@v4bDfQijhpOYsOTHE-;bkiH8wRpD<41# z0PckFmvjLy-8#G=TVVSD*7qG*Q%ei%SECSnRFlb7V3>WtCL<>oqL^s&Q!gqi%7WO8 zJmC(^b@B1_1-%0Q-9CxruDzMr_qD;15tXfzXJuPPyHk;cg=PDQJerDy1*K)AhSb(9 z@6TySlk@3j{POa0hRCN+pMd__2ZRl34Aoe0ET1*PwZXwbrF>bWgi`GWyTe(!rndtd zVR!fUpugDL+j9owwzQN!HdP8IN~-ri4ymVhr_;Vog;qD+-Kgm3-hDEN-=SI;R#HNdqyjAJ_rVk;(}j3wk~vvCzmv(%<_9$2AiWiTU|;M^v}|`N23#*E>;CeKq*e+F3P4}Jc^Ama&247Dw*FpAD>*&=qm2z?1C9}(ps48R zgEh^#(08*FJq$a$yF%2;b)ik{$wcho!mIf?Iqk$ZRCAaPwEgFtppx22bn1@xk!_y? z^Y7C>fFCDTf(d&kr#O9}bW+Ws*l?QcAZwrzbCHrl+#Vks41l(}#z`X%>~t=$#7w6x zLEYUVKl6TR{)L}ADPZhepPvij)YaBHftQJkiyHda=pZptumGQso*o_+M$Y7yh=+#< z`jEoH!pO)-uxrie{QO zd;d9;Trx8=GkxI%YQJyWe*hRMD4<+1XeuE2`9c&;djIqk!CFYnnn1n(Yt49w8_rY0I@+6rfT(9P1hbENaSPA0Kz zrlK6)HqZVYb&z|0Zfk73mzIWKHNg2^eNaTw%mdW!@@GPy7~oJ3OQkT z*WzTo8-c*#zEMV59Dcsk|FC$rCc#!JYO1Y8AF=4ebOvP*G%-a*)UOKi6#hbYz*T8k z+4VdG_rk!yv&_%ln$c9TQ1^n4gqRpuTu4`_C%e0|^YaCjPfE{KfV(z$s(oBWMn)Uj zA8?CuJ#ggH27ArGrvjfQBs5fkb`d{+@AMSG+9=&S8yGsDjg4(Fz#)#)Sq6n1yuFc; zQRA_A46uS^Bqb}4wALwrWtbVAgy-}Z&J3CWZa*tR#e03R@$pSfO^5j5zH~2NzMQqE zeiq@IyV#EZSzyQ2lQ{Prc2-u<(zVCAHUYARsA3C{A3t18L7~DN<{1cPYc{Wsm-6>T z{S1IXQyX$@RToCT2or{+_^6zQ&(2R!*r5TP-It2Nl7g&ElF(Z z>+9>q4{D@5UqV>J>+yr-27Ay)0{t8s0in(5I4P9D9d7;ib29_joTBJ{Qd$~66I13t z$LTsH4;UR_hKL*;q^!*4QQh1>fBrTs``|PDf8a5mwh-RCckgxpW^ZphI5-q6f7Vw+ zTnDKN`4!+!fXUu=_?eymT%}^Aph=Z0qNA1M<>f&J0$91?^@y_U*paN|g@prCQ&TG| zeB9iN;PPi?YKn>^bBq2toCm;M<9w%B0?th}(>GmhZB&$0)MOpAR}lM0M?feDkS%cg z-eSKe>+XI(5KWO8{qIy=gBJX|>0<;efr_@a#SnL+zB|K^EVZP$iOJddxx$4|@bguf z@|KpDN9<>>zb(qEuAUwml5*Z_2L&R<)ZAPt1t4|20VQzeE}TF^?FUzxR;Q(*;d(UB zw4#lTkI$Yc4dMddFZvk;1OyIilK!!Uv6p%r32V_<63Pvq@_9v&WFzC1SA?}56jsHmtsy7}Dw zI~?7L-hBim7!OZIPe`zX{<+p3a`W(P2m=o)k;OPEIeF&V=ebwC>y;-QTWNBx;Qvsa zeM|ZM6;)j4j;_&DQSc; z0DfSR&xco;8w}82n_7QoeLZ8q&`0k%CISXy zFM%)&m)&+hIK}w9F7kC|$mZ#rNvL~0_=SLw{X1$CdAD|zM5XxN61cI5hwfUZk<@W{ z0qy^O`}=dj?yd*mJOut4A0Mx-X4RUV@P`4yvi#AH$%%=Lot@m92D-nAfz3%^1p!|g z?Em56w-E2q%1TOr@3YA_ed=39209BWh^G%%&xAcfglh8K{z8gG1bA4 zJ-fD`;{%o{Kp{X)IF?obR=@dAtVUjRu|g3y;z>f7@xv#XMB-q(WPCQcxo5eEx{yh1 z0d@uj1(|%l?EDNxOGl^E>VYL8C)8*B=~Lz%7l`fvtaEf|YHS3CX%H_x4UMo%er_&k zV0FO0|G+Is+K-5ie$@d*05}J0kN+maMbWN1b_Y7?MmHCBUqfA$kU90wbF(XKRio}{Lt!uFp`258_hTtWJ$jRFAD3oM6w zvI=q*Y+c(g4i3{G!_?B^`R_O;D+`OG{zwv_n1P)_Y$3k|f*ky?Fjb|uX`O?LA+vi7Wwk1NzBaHm;y#e z3p`n7G3gHOnUMTFqJMK~clFif<=)Li!2rJ0rWi_){e~tcCV+njl!loZl?JgQ13{Yj zav-GPbebpY5Ketr;xA~VU-c^Mgm)zOkx&pSY~&j|+yhsi-yw5Pqj z9W-pjx4`i<9!;sJkkbP+9<&)$RE62uuRn@y03Jj{L^PW`XbJNSSRhfsDf_z3FtG^b zwA=it_9!wbF%gD{&e%gZd~#9|mvHA_4pX?+l$*PAT(_p$tm{)nO+`h-egFz@mj06@ zB+BQ{pTSOjEjXn;R9VRkdXvM3xCD35PJq{gHLhJIL2Dzu76%3LmsE}#@T*mBK;R-i ze(&(`hr5NdsVNmmGwtPZhT9+q7yR3uUFvZDRs`L5LmAGJjGUZ(C5Sl1@hJiVM?ykk zD*_f89UUETz#@gqf46p|^bIVog1fuBni>X1=U3ppnJsdUW7p>;Bw%iHq#T``?5cr& z2T@^H#lh(>3$O8#X#RzVhUPyH3dI@hX{PCs1y4phgLb{Q4YH23jqpR##`BAx@F|J` zU+mjALT}Jd`6F*z0m~i*1;s90Il%Wn1qvbNcL)gaadEqVAvrlM8fE3S1GfFVjIBjQ zux#{wPIz$Kv%^H@04&}?Lqo&C**iOn1*|o@PW74*Hg?m>`Zp!R1#Rcpw%awT~d10CH+RrQ$%kaHF1`o+iDA zz=hPDo8WW%U9dB%jg!oi0>UiRPF_=f2m*f?$;sb18)MO*i-V}dw9LrR_TQs>&RLPt zbctWc9(EiS8fw{qL7j7XejfQOK2?t{0k3b>ZnYGEDV=)`Fkdcz|E3%bX8H)UCrY3_ zSuNMwZucYgU`GKSDLXhuPDVj9wXX6zQdZm56zI*jrx&{(AepFiu|v zA3YIbtvCVy7b0VzG!zz6v0&ZMF(s<~+bRdg6WRl_++P6_5)u%c@*g0&Sf_-nuBA0J zJnR=8kq_XUjV*Q`L<917a|hoIkQu!1OZ(`8P@z2u^qa}4sr&o;W9Ah*N5>k_=6)1W zg${;x{kcZ;+`Ie^&D3iGlLvtJImsEe+tonSdnS4&3Etc$V$1g5Kq)AoC1quGH8nt5 zhi?HcUXGpXq|VoUa8d?_hJYymOfnEyi@b;DM6b4kVTz8301cMY?r@4H8FQJ6%`;d$jZqb zmcD-OqENfYIQd*4Ir`B-P8Q@)Dd#4@VOkM50g=0|!8nQv1JGG$Y9?9DiJX9$h)+!| z$p}>!Q@e8KfvrA?bh|nGbXX&2ZfA1ZFT=N!Q}KhO-{!^otxF( zw9~5h+g`P6(;=pE6c+opm8R+iw5$cBxxUlUiiQZ&9fsLDpDcrNL)1%kHzu)zcm=|8 z|6PzjVCcNuy`C!nA}R`rE+)C47cC7a%d%0pWpx8S08X zKzP~NF^c^wO>3@A;9+7C+6D&&QBhHKEaRS0P$h)I!x9ThMGrWfZ;E~gE`4GrHT;1xb#Mjy9^G*rJU7 zzPzLwB^lnRH|pWX06vA@tb8#AJ7d5`eW+LygwH zehI$_R3jjsB0F-MW2<04uOaU+0Ngy$4QT)ah zB{$T|-q!Z~`q~*}B7gt30Iexk@Ly&*aT>Y;=obw&wGD{~UrMYFtvFFV2`*9(;CHn2 z^hFqY&qwM11!GTxCWvgXj;J?({xsuVzoc*@Zh_7KEGFsOUwIJEJ?HB8Z#~XxChptm zLZyD3rlUx1K&nA(=!_p&&FZ}QZEYU`5WEMZb_sNe8yk_SsVlRy>>ytVYz1s=5W6mV z#OtT4Xm0kn`+X1?2yNz*W^H9f&i57;w({id+F#FMwqm8d{r!yr)*Q&6n=&UV)2B6) zL0kI!`-91flS1+MJs}3L4Xm1(Tj@W7-Or<=B1X=F9VZRARL7t5= zfsu)6^|x!_R#HJX#Ulb8}t7Jp-_P6=rH-#3-WapRBHm$SES7@ z=laspAv;nH^6>$J1*}nbKm$M@CBh5|1qIAYgtu?k z!#;?Km1#G0V)14^Grs)<9`BF9?gV18uQKQmfgH!)N=oQG6&xN8ie;Wn1%k4iT=;VS zz}F1xxBhw{-s=2tcL}0_JSUNo3fopU#Ldxp@HGFeD1&tWQ&|f)Ki)20+Xaj*|I3{u zod0nr$^Yg44i-kX|9N{yjk;7YE(c;O$FSFUMIU3OBz21(rit|t*;m{U3_C`COcvc& zwmvOixA*c%Rjz+a|G17k(MU*LQLSlJH6Ctns=txe~ylXvg+>7Z8{Sm>BJ4OUCvVYGB}ab}WI(w($=SO}KAdrK{zzc+e& zO3Yc@{*|a{wEfuBz+N41LL!BSkjShIHA``pz{z&ZtEp==r)_PV0@o(J?H6-UNlAv( zOE0$QX^)d;WqVnZo<*WOs`}Ixco)*+}V zgx>q-`h=RB<>jC~0&6YaJqz`ck$~J6@`m4=k(2&zs?w6ImAk5#5!T)m9Heu=6^)Sh|Pqdm)PPxsZ7de z=xC3DSA@*A(pN} z!4e*zF3C8f=IzO4U-?zn=|n<0Vr6e^V~@LBZ{HOp z{5iO{IWE*#E?h~saj2QpY8>j593S23AS&w)rPHwFRM=a)E{_-L`8IC?MOfc6bsRg& zo$fibDTf(h7l>sA!v)Vl~5ow2EX?E9ASRJ$vtm?qOwnTg5B7iWoRVHQol24~XH z(BKQNp{(^qa*A?c{Z*?WLBLdz|3o%tH?nI&3t-6FHQbIW%H*Gq?2~v<0z%b3jp`Yl zU7UP1%FRXgf)>16xJgFG*b6+!c#l2#wnfGwAfdIEyXB#HA)p9uAznSs3)ZrJS3Dne zCj}nWcDO-_xFhnGIXR{SIavClhMX@bABAQp<=5QST6#;EG*urV+}O7u!~h>OxqznT z^oBT@NZ7g{IV?5?qzoA4O(J_8AGjU0SNC^6H4Y^{=^Fcwk1SkA|T`QiZ(2b&izkwNR>VUI2EO^3uLSCPr1NDMt9@ID}6Q z(N*Fs$5}t_b>8pMX|V>$bhmY(L5&8d$;od){N{7h*v#)@TCIXWgPF%_0iy?prl};Z zyVf}>YQL9#_2%_MM%d>jB)ZMGel7ay;=-J^Fy+I%J@Y32x^_0&w~9Tmvz2h`*inuR z1vT z15d58b=*cD05@c&caX}h9&2k$<3&RCuZ)Yj6nFgw~c1+<0giyaotT` z?k0(p2Z`*`IGWY1Ysd#-X;yxp-vnlnYA^p6l;!#llvQ@MF=9}c(>F6Ra9~h!)OUFP z_Xle`O9n+FduvBK10#E0UItMY2QeiFaHAdgT1<(P@ENBS`T4;>D+lmjADCDOSy`W- zP@u^0fs>Gl?eF&)K5!7Su>La=j1>~HcF_X=VkBf?9^C|DF)*va(tP7k-YPf4_guL_Qc_^h@Vn>rSDy7e~D`5sT4A|#5Ob0f67E#q}1 zfAhlW>X*hfs=|^R`!;tc_rT2+F~h*62U+i%59{gI`cjYHi^7Pi0|~c8gC0f91wYmx zGXBJiHQ$k8W|F#``f|3eocf@{dYz}w5@sV&^&>`ct{PvA=TnRcaJ8>S2~t zH{ZC*tjS7)bs*fT-{`}5!z$CeHpf!Oj(N*>1QZl$Eg@W53_bbGn4Ua}8B&lIK) z6c$7szv^-TM=Y`X!0)ca#I98S}c z@(+usE`5f5K90&70uFF7a~r%{@*mK|Q=$Cgbm0yM%PSJu@&?d1ZP3#QOXQ&YbXhFi z{>TmXZ7RQgcg`u%h$uI?dMBD#D-Mf;I|_> z+FjVb**b`4y>TqqWQvjb*^19$h70v4aLQr1z$r!To5$Ax-am+wSn~?~2?`5OMFmWY z@a(0p%#-kAoKu?*w?WRj9*fO?r#TXDwe*LLv~o~fcokV;x2?}fIni0=bW5){L>5ms z>O~_uF7(%Z_;V~pzF|bZetdL}9#TK0Y$T*aBJO(RC064X2}IocuM%k|>PgJ4g0F&@ zsK5UV3UDLK3@n*|Knvb-p+RMRFTAAc9q@e__?gW-FCHFQBcQDKNNJXxktr zhijV4xu?3n%%K;#4eEq@fCA5EFY zJIVV#3s0ux*ko0DvMs&YuXC}do`PyfR6q?o%}X%dbp+?gK^scFGK*R+6+KX$Tr^xM zSH1Sm_8l;`lxK#S<*~F-p|+$}6dG+b$q8AgdnRYXlci*0csK_yx%tv}>nj|cCL(&- zs8dGH?Uf&J6Zag1Llqtfr+G_o%-$ZsDa_ot>T&F19%>aZ&GPt$I|9LYEE!p^`;?2s zbOpURfh0V;h&Cs6(6ti#qxTl4*Vp$xq2BE7oGr3%Nk8Q77jvxhYsRR)roL>%PVP0W zX_m6-8ijWhg(lmm5N=?C{kzz6rt2w>EF;iy@lFUNg$QyDyJ~ zF-jhD)|7__BThs`>el&vItJL79HrSwTd&brt6CumTyNd?6;y7*U~2_}E`~$%C~6e4 zi}pF=U&Ekh73FH0g7=S`c0 zlCs||Qb}Jtf~@0r#2p&65;0SMS)`oS_avqjaZD%ln*ENCjq|?B)Vx+iWgnZEAmdNB z>#J=CT*;nr#NNE9{QoX3EYI-%Ur(v|-%*>5m67v*Ht;<}BSr;flZ5$x%&7MI6-;*jOUv=*#(C-2M)ti_KwGQF_1PYUP(7)LiBJw#y5ECVb6V~L(cXo` zXRpeOfp$4Fp1$KghP~*b!rWJi_idKSt!Yp9XIJ;m2&b0DPrpw#>JHzC9d;;mkQZKb zG%(#}^5Td2ZX_s7(Vo5zkWSTe+oY?f(7QMJ0y|1!rTN-}|GSv17>kNHcVvVA?T!m4 z-@%*Z4MUuKNrKhZrwbCJu1w>51@nw2+*Y@kgpm5BpMpp;Ig8%Xsd6!20}*xUp>5F{ z^jy!8Aq~UFA0x3_I?1-8_0lcjpblt8ScAtZeUDKi8eZ1ByQHa#Gad{@i z&W;|1eh3&xxz6y|av$gW`0#6`kDwqXfZ+?@ADFY94X=$pTB_gYf=KtP79W#GIwqy= z>7&nm)7SVs4jc6s25Vj*@)P(aB=F0#z(hJ4;ix2s_fn(VuA}bHdE|(Z;TIOvyTWA{ zwV=|q&vfgwDJH1Iq97F*5#HpK_YCAN`L3ece1GU(cDih{w}z;~idLoNJOIK{F>QuZ=^t*ZzX|;4YVbAlj!Wd3^fsV?6P3SjETE44@+4tlqKZ)43*@Fg-8psDW6Vu zh+d{D%_HFyM7<1@v*)V)B3v3%QO|0->w4WItGh{X&QWX%P!xO$vV8fOY^_LY4}14=Ifyl zCEe*mg&Cf~cA6HE7b!IKYb+hWyt5tR9<{vc} zF{QI7QI|FBoqen~rR*!dSk+YD7N+x>Y?|47`f3UCGiB;VOy+m+ceB`!!8oc~mSRY1 ze{E{Jv^4_dDw1z~>LW;%X95iPv( z1^$yuTALq^BWBpp)sUYU+-`o`UUqO`#Q681^BHZVJIC})zE{%7VNV*&nhBP+{@z;I z-}IFRZtSSGQaz0$RW)_!tHiL`SRlmb+^v@le|` ziiHGAXNhK|Ge=TT@=XnVJh|ntG$o6mh9J_*5F_?(;~sU)91WJf%Ihu-7@$U$ak)k% zXZK=JP53}D5Ap^L(>*W_oEt}YR|npTrH*zi^v9t&{w*cX1pO@bpR{crqq( z4)wClnS6TC$$I*oYI%(TbrB8e@D8u_p$t6UgW~GuQQV(kc;hl$?DpnziNmY<`0-wx zT#~xuv>?$XzA$RG5}9kz%fk~j{9{W;d48t1YJ;u+i&Z0aAuSYx{#Soqcr~$Y#sz2z zrGF_u#ieW(=FO&k=SZ`&W87rYX;)Knd&zC*=aCnka|!(vHOo%BULSMZ)`q*HSo?5h zj&*l;h4$xX!mmdh^})fh`{ajU<1XxZ>rbBxw0_)qVmyFfGo<+w;rJqxILG1p`)qhq z{4a5`p}{O@4f$AKYPYhQxXnul2hK;`;*+AZAKI=4!fnVdx+8j=)9J)!6zQE(9q=00a-!4JjT6eae!;jaxT(52Nf6QF z)n5MM8e_LJVVB-FPPT|B!^XPxBu}PNqAN3)kiJ#e%!Hwsy_YQAnehdEaEj z*cUIfg_i#aQLvrtAMA}_`(uUXu)(b=v1syg=L#97r)%TrE45|%H|`ZSZmWS}ssQVg z4sn*0a>2-?*+E&-cQY!OlS6u>lv6#C`nbNMM)iq&Jg>P#(}fo#GhSUM=95qhH*v#> zS7slTp}5x(6{1I}NPn58?%91P_cwsevAMDADs5rm5l(o|RrB^r#{gXrJphSck&rE$*eNaz|mW+eOXN0IOx3q#~@_>%E5UBPRul=eI8gZlU zQX0SR{Ta|snS*I^oa4`QLIk=o^`vFd7V;Men6n#_2Qi#yIt$hb_hjT7S&_8O;@c)q zig89RG)}7asu-=Ly`v8SrGYL8m@*}{8e{Oc6Sz}mCh_BcHdysSv3VV z@6u*-3x;TKAPe!@^PTaO*qAe!>Y7GZi0An`Or$9oO)KZ^7h+kbGKzO^Jm6ZSGKv!U zg%F3TbW6iP=jn+j9u2&napAkFEHfl>!o2=OEXl?%mrq-OcdZrqHh##0CHe|q6@3Ef zdp=?U3zmu1Y_C|!m)l-Lrr8(h9W1U91AZ}93c>OpjYuZ35$KvjR&+Y+eedVrhO4%G z;FdEFb)e{Am7)~B#r1&^EP|Z6%{j>Wib2+9GV>X2RMwP~VsK(KH10!HQwyXhHeD#R zyn(op3yb`nTbkIv56Btu#*i_SI-t54|3%jmfPueQ3(dFDtk@v*jq|grN(t_m? z)fhg~yq`+CBVx-aCw~??>zd~CjZ*ku#8!5QUvyK2RqtYJN!(Z53q$j528L$!%x-v+kj`^@;)SDGtj&sv z9?NRJGqIoPtFN)XmHNZg6N&5U#9HmC@~|a#*caBhG=%)(v`EvDTW!*It#42%LI07Y z#TnWz~3rO1>NAH+y8{S`;u%uY#k8oZs|nd&kNmRCmnGsDm+I;AC5#6`{C zols%FRJI4rd%Yiz}-4iOYf^zjDn?bHD20gER+Dpl1 zi33_kEB;W=2z6)d5WieauA&=n@M<@DhbGS1#Nm-YeZOZtyO|O)5KV=9nV07sua%U=oss)uV?_8q%fO;z!f7W~0S*)@(wgVaU|3US@)um``6U?cbJ6t>CdqYkj~tW|K~ z!wi&|xL1oj9LKQ_Wbc<1FKR?R%^&t;!>7=^_3;{WUpVabCa0PR-7#wx{Wv>;EmV?~ z6lr#aEFpIc=jq8gxcp3KYLTfSxwtP#-GDY^$!9`jIt$qx##+w2j2VHM_>Ls|Yz`h( zBr`kpvyj^N&)rG;b=@lWE?MWV*y}|Q7|4#J9BCx{RpmFlj#bz~i@Nb17(3At^dC&( z-A+2bUVP<*|1vYmX(9>9S#7>w*{`q){r%&<(z`mX!^DpEhk;AGC6T$3-$yK&S_chq zGZ=1JKYoPNt-bg@=W1o>iKzRMLY%?xGI_s|S`CwZpk~lAX|~C`*(mg-Wp%Nb(+6h4 zUTze~WGOAyv98ls{zIv#@YrI@5->(SCgS~S)5lhni-TQIuU)&0`4qnY%1bR?g3QsL zz=w^QNn>i5PWLCED*Wm6O+BrB1D5i`MT)kWSfxbI&-zV^x40<@IvU*%4U~lL{2UG9 zU2~$pgX!yDsD%aY?n5ty_0tI{u&BovJVbbI4mJ>}ds*2EUrE2`3{Z8JjWWjj~Nm3X<(i8cb~oq!Se*qL3taX%ZW-wj)jKia;R~<~vjjMeil3 zKN?5JHzpZ^UcZbYcW77<-H-LzP)gVL#Asg#<%#zOTk3X!T&O!V< z^s*qvWKZvMc4#CcHrCpit|Y(WRF95vg+}S#!4vAokYB5B4HO%vx{mUnyfzwnbA+MP z?v?&O1+aYMJxelRjQOkBkVMFiA!vJM0%1Q5oodYM-!hHL3eMl}g4T5ks)mB}aZNwM zRUwG;#KXOmJn-Gy$gX0i7Ib=2n#){<+@>y*XRqv;3&aS>86y60^>P#!*26Y@hkAKy zGGXwnM+Jla&phNHrSkbkMu*%Ns+N|BTdZY-()CyL4ibe~u+7}0r=F-fX6^)OL&ra& zSYD-9zdw<*;y}KS;+Slu(_nNaNUzI*sOjzadH8~3;_?B3h`j1*VTDezR9tzYb)fY% zW@}#5bi3NJaWmA6x^gH2#?xj-CE;UUtKI>G@9$je6Z-dz@PP_1KNlA))}vkfFwbF6hw!sY@rH@Kw^M;n$zz;8DIR@dZe`+lP=JG=x

s4uabW)Nr4_cW!@AwzZRXDQsPaFC_f=k)R=1KnRmESI{_1qq+(~q7q$Ex`$CV z%_C~>*F*&`)fS2noLZso&hEuvfjZsgPlQo;-30|qamCa++x(e~7eiiN)p}AVK`3fW zSS<9@OzpW(%dv}-UZ!Sg6^+d5dBPpNg@{A7gdn8LNdeDuHi>m6?;zInF!S7Jf zhRKq+;2h|B74Kl4xav=T{`Zq{6~03-9J72 zZRf=11#aLBk9uL%2esXBu#WT;dJ26iY2TVwyXixOsTqhL+_=?xA_rG368g%P|JZHq z!litL_ke4!SHk8$cD2t{u8XgPvqw13E6A_t9Lpj;vZLOvw0sgn!e$Ql^Z71_kl zvCPuY)K^eg6c@Ydq&r|Wt1?hnz7`xHXUg{~qosZ&?U9B}Le&r-@k@{p-4Xgzk&DPe z6{z?kYb6_1){azaIEPTu_It4unzht~P@{`mLNn+(-$v!(728z*1s^NpJvJW*a^-PU~U**PkR&-kM@HW_af zTW6>}JZ0EgT1Y~pVpV3&Z?P`xdCp`1HT&IEZ1r9Wyhb%MeOgYstwz#;k+z~#ONdmy z*g&NnDF!pWYy2V>#+VVQMif#6yDxi$NTekFxy^xO>e7HozY#x0HGX+r$hT?=bDY=}9-GL52RZZp8oZoH@7$8Y&*LL3iCApq{k(-Pf9`*}-v>DQ znzJcfmYwz%Tdns#y?)KlMf|^rtxW&F#8y@|E{^{xwzlXTm)wIVP+3HCX9E8OHk zzs24^Sz(IO0?$KZw1a-@&S3kBCt!OoKrSffJ93i*Rlg?7N{ssHU6Hui7k5uV66U95 z@*pTyn;tRAZ$TRhksH_PA3r``1#EmQW2gfU+VlF;?u#9Z+4}hFPXA5zON6M9z;Z#^ zljM(=<)>Z|U3E|U6bNfa*3nPL_jB6DKrr(JUbKz5ywdl)(#vK~?1U+@<% zD38A9YCGjijUu6u;mANDVfJ`9=#xwMoralhikj2C_mq@p(C(06)_|VpK8KJOf5P%T zG8S{11vv=O0NU2oRq6E6*w!_BcQ5&oLubCJWxlMzf*g6$CxV1JXlH6%>p&zqdA&~> zs=%9xX)uZbFUz6#oHGAi?ph7`C#rWQ)N##S1h|8H-An$B8RG-rS-?{ zBfn-Ve1G{PvSL3-#dq+#=rSkIOr0#v!Nw`+z2B|mH@XYcTE|E4y`k#MdROV4x1;+7 zPR2(ZcyT1h#$oPLFu650s}{4nW65D=8fomw0|?vQ2hi9Qj*AY;YvAS?**n8 zzE}d*+<2GUu6aVJI9MmVsgL?1Z&PG{9;oK&pTRak+izS6u|Z@OVR5{4xM9wb4mjc? z`QSjB!<+q01O20^_$cmjWjsQ7<2)n{$DlrogDc7@%jdo3AWc~LA6r?yv5Yl+iT>j* zMzP{_A&&YPz3BU*ZXQ-sZRfy;O&wJg6F{rjbbHqz?b0bky( zZCa#5wmcK^dy-*10m9f{#2Dz|Fe$5^Na3m3CF#ox^o3!sgyN2wZT1)57!fg(VNb|j znYU7sZf=K)QGW>gWSL*Z9LuI?Fvt3(IlWp_kqD-&C9@6F*PVsA^L@vTmlUkba0gC+ zCAnIIIh2c zl-V!5NaKyJL)I{KY`|(y=Z3$*Lp_lWOMmZWojX{@zN$VmNU996n%}Lrx3}pRI-mAV zN@-gHbF9uTUH*6)M}jySHTZ!!dQS+NG|9XO?lf8h;)+;L-doBD$DWHzQ$KUK7lOju z(mgSVyT7nd2mM8JQtmkeOTPwuVZ&$DxOUp{d0ttz2#wOHFU-lG z$U=Gez%xILT}W93DmLa+aawgVBU4;==V&t*L=c*dx~f7#HoEmJJFw65&Fk5wW@mpx z{rRfEYNve_z!mhhG(Fi@vO6vz#@Fb5-q_J1mGUv<0O>s*DhV{pA(6K<{$Q1S)Z801 z4d|mFSM9uDG#8qr6~e%$Bsi8YDG(`R(P%q4!v`q~WzF<&Ldf!^Zj5kJa&1^E5Utv3 zn!ntvJB|?(X-5qoS`1{9xMIb}RjJY8p9=qEpVr{wl-Gi&dO`ReK3)mNO+b!S`&Iu$ zG<#%4Ha`6lw&$tqHVjt3LKG-(<{kf7`Qp_LAhmW~wy>wwE?JUxbBpxClXiG+{-o-gFAK(rux?joo zKBQz3XU2Go$^=%WuXg)S1b7OPm+BGZWZQ(qd6YRA(aEm6&`t0Tc1)1JZ5{bq$&s~g zk|I9QH;G#wYHe>HR#c0{bHCYjja@1Ga-52rGK=sfZG7n~t+W>H-8W2`M)WrV%LmE& zBy3y4$#_;`^3X3_P-qQja^H#RdnZGkD%yIY3&G^(5f14cKu@T1>KMuXG|fUyKRD zS{bTzT70~q;ye>M)^U#4hV;Rcf7xdeb4AVEpG1@%m^kmK;ghHs5f__G#QQ}yI9bF8 z(gPbSWj|c@$j5CWpIVI+y;wD!Lzd3=jC8K4XI4@xq$({OfdYBWvj5yPb5ahMT!L7` zpp%E@T4g*QnSYq+0iQqN#y#pZ`dqJ;C?YmLA`AHv1ygbX2&NT0y=ad*e?DRME(p;~@R&dYZfkVt;}Pn^cEif+ybz*aYWSc^ z51JaD&7Ldgx?8%}*}ga_>z%J7{nbk2D3NTqSdRR12ZmG4+POhd9@SY!q1pBEyalG> z3}1uyd>k_0(5GLQj&j7zx-rn-6UXJ0>H`#+R=_EV2%;~~i;P0cZxm~bV?tSjTWWri z%ooMbr;g@>Pz`zVpN9xvpf5U62(iF65ma&-1SYYq1}w2xe=<4EVMare)_Gb9jLA}H zuyoL~Hfkh$6RM-(lX{uocEB`E;nBoqSyMKXb!0+;E?et-hgjSbwnxI1ryi*a`Lf8Z z9+7rF(X&eDmCvUTQyaAysVDH@=R%~aUm;NC{WT{XpMQT(x!(B}E z6ow(8wnPF;kQOy<*rmRh`*X#R(1kQ>*tiNMKFqa)<&`XL0!ZPaWqlxpA`fiP)A?|I zGaSu_wu4|QLsBC~OF3uMFW$4&b;V8z*ZrZD+s$AYJh(^lbB!BjioJ>)Wciq~>9sH! z^*V~|r&bB6NcaSqyg$>Qlo{2zleezAyG9f;XQ;pf5?v|G<+knK_&-Y8uFy!ltctWY@05FK3WCMl^E8l*QQQ zH$2vCfAm6j_PY(0Hfb%q|1B>PX%<7BRE{WL`|bFp9{)z9;0h^$T*SvOF!`@0(Zm|f z4oLmKO4lLgx~u<=X7b_XJ8Fkp+M5mSbn6u);BbAp>%PvHWXUpw4zc!2M%uL6WzlPe_gsbSm zO_f;DF=m%qIS6_S1M5{lLJ=?lrGgYyJL5O#LlfXZd%r zf+Nw(=5-2W<}ZqT_-l>f%>?znYFm;b(`nBww3?sP|aZTSf_po(G=v>0~z%LIE>vM*T zHg`>oZgiHlJ8CU0iX`RC^xGv!`X2B*(olLNKe<<|hwX;S)oV{5DZ$Ue>8IFu%9@}= ze}J_RmcBSoEf6}@R^}rkHS0{^mJmmcj+}Z&Bx8%YO*1~BX7ISHkA1<&sl)w^5MB;_ z>bCJ<&ZKu*Q`J(_oYjDd7*Z~d1gA>N`PY#Aq4dm$Z*N$$-haq6S|Q&G3Rj$^=%xRr zHW*yEVU+Ar`v1`O9`IDYZ~S-~R7N2q6(?k`bB;~2_ugB!V|N@Qt3nB>lu;ttkv$?i zg|hc5DMgf-Z1I22P>yrz_xb*Qum9)u>Qf#(*ZY27*Y&=y`+n~0JZ~wquV1>+_CP`* zaEe=4^r)$FRCS~W1rz&Wva2J9o{lu;U;e5TH5yFPz(sp4YasvL2*thzYu&!1Pw1Ei zbTev|3?;K9MsF#Y4uuf+{W8xo7jP{L1=(JIn7ug(LQ!=lH8M&KR}~c_-KPy7a;|!uB&+nV&Z}A$MgW&FHmQn8>YG z?X$v0)cjx}t=E#i5nnfcUQ=+98jiC+U*-IIe7*2(QWy`{%eYJI zqiJSHa@0sj=a6A}Th_+w)#|z~&hw&Q=}%qd2+^Lsasiep`+3}EEaf2&znqS? zOCa4R_28{L{l}l*-}(`HI+6Fa(!Trpw}x}Q$%51RUQ0FH8j@rEPM~@pB>X(Fg>IAa z<;{WDS(gty6b;i!p1Cb?Q+)%@dHr(bFOm1k0{4P!k`J0UrmLOid|~-^yr`-n{g_m` zn)Jul*(ua-OI|>sbJ6+xAqwTt(wAROs9!Ir{zj)BEEY$@|JcLcR_sNlyBPU9lAKTa zK5>ErOA=qGZ+l)HEcjymevQj#c*3(gBi4MrgnJ(P?#1ji^>Z@$)V!UCj>H zs+{rJ^breG&=+{+rMJ4z=+m6!Q`qYb6HlSg8JA!7%2crj$Keo0Kc@gVoc-PKog#1D z&@zuSF%dc69Ip=x5piO9mIq9-Y;rH2@|CK&L0s~BIb&RY(Pg@Kgs!xVsx~KrGv4Bt z)tzpSlB!~)N1NbNSht8>mYKx5p#^>#v>K@v6iu}7c^HU z8mef5PdiU8RS_@>5slH`_nGHRTrB7KS{!fb*CwBVP(BYoQV>+}g94;#_F`Z&fo+rG zqSlFD4~tc+{wC?m5H|s}4-XH0$=YHF z0jPY)c}n@l8fAz>@GTkZ8)l9nnX?Q~)y&#AcHSS}YkyX%dXX;gUKAQfmqbcqm$ks` zHKJ`zRjH>E*&$C{*=zW5?TJHj{=wHKTfF<)+%8rsgx-*#S@|N^l~&TMCEugo!Q%V* z;__n=`TE$VvPmk|ko_~P-t}r`AqP$PxYiMujV<~fP26B}NPQ1_cqpTVKvYrCs<7Ih zMyssQzf8a}U&QBhLJmt_mA?V0MEt=|v2*kU_tkPPRdO#g_p^AIJ~g9SfxOvqhhDs^!xc`}Y7f0nCA^BiOsq;! z-fvwgxIJzMn>g*7ep*ke=#CWfYuhBzH&?_CRI=QApyOj;>Yb<{tQuNxVPV)$nYkL` zcW|4t3&kG za0p7(C;UX|#Bj~6Iemg_z^hum8*mCNv>^2Ia>tkh3;Bqmo~>5P)!A1krAFE}w+g1; z_sK|c&@?f7^})CQqfBf?m*n%wv9TGmSAr0h%Eu;s0@J7EZ`nU1a<{dnO_tBn^Z8Q1 z$0)xf>68n;#pYo^RU3MP9M+zY^ct!s7d(8F>3gJQq@}FPsBi1gxo`wwq6( z8c)LGQ)EUA18UAaRV8jbmrwY&@Avq4|BfTawT{l|6RnICD`e^t&GqZRd7}bH^WnkQ z2Zh`y0v(3l*UlmCM*&KH3H`5 z%B#bxr>%ti!{&fMxQp`g0-ssWV`=5)#bfQp;{@kr2T1_`p>UxN3<96_^KUQ^urSYW zFc4uO9zMwbk6@7J)^`pv;$cRFp9lD8BoMz4ACDju1Qvquh(Lg^M0kXu{|hxPmM~|8 zo2ZASv#p1P6I}8SKe+S={2LL3AHX26g9r)%^8iQb{~r0Qfh2pAGOY-0y?-LH7yn6KKrZxe*ZKvOq%wo2)2=4*~)6L4?4< zd;(A~C!Yu_A0I35V`^?T|A(K@guB^zTH7K~;xYL@K*CO>-CcC3l+^GSVeZJ}1_q~T3Ac4c-U`#| zfxa<6^87F6#zL4b5E(a5;0L*(yub|rO~JH@7#bM^@&XbP0D=g}7J$85N@XjEiAbC$$l$KgLgKY4V zj~*+=tNcg-#ZNw1aEE>LZ{SCXhmhTx{2Lg+zm&EbNX8A0u=U|q8T0@YjC;_;Vcdh%**)&rExmtmK|zB2D$=}Ah>A1_g~=6gl(n0UEy&K< z(!&AdV(a1tzx>|-@dNYhEfx&TPp%?$x^cC&|B|2MNxVRZ6iynq~(_KsOxQQ}8l+MAqE9zl@KWgt3S zfN(?w14z#mh5*7rM@H|zMgtUzHGH&YV4?w!A|Wr~;t&Es__?hB(*~9ZYX=)Qdk_NV zf(lFnS>6AN1R{)u1T85TZA3{cmLmjqcU$~%gfK|W(-{HdcK3w4yLs4xz=9}TXgHRx zHXtbE&xQZyDG0KU?@zvJ=9fjQ2UO$qW3VZ+7+JnP-% zDAd@0YQc zuyE{FTx3nM7YFhZ9vu9@%O+9DBn61Sn>{zejawFG4?_UJ06hYjLK7q<`=31s6~^)) zijp0EP|AcIS;$Lxa0!4^Wn@7%aFnzDOq2gD!JtqqYEW$KK)}^yRGEhdg5XZN%Urg0 zhFJr#W8>*+W9e#r8OV8CprA5klhFof0okKG3C!&O4IKn)))2tM39djxK$n=?k=cy%MNxqE4aIy6+{Ug@WN75ISav*IGEJOTTo%jY_v29;z|?h_SnopfZ7TJ zbr&1xx){GjAQh15gfW6E#wgf(jiC70&B0%dq2mwl@X+jFg(GT^*v6nc^D|Om(IpYy zvK>9wVMt3@;;_#J`%9wr2EMN|-Z zY432M=yJ^ks8?}q?zjP+`e-)@qB3pA4Lk8Ki2f1$xOR&wo@FNLcX4n5jzATXNMs-& zRd=T+Y9!h_5rAzPQ^a9dz?B6Qeq3w7@(x+HqO1Wz=?bhduvfU)Hqj*DA1(?Hc0S1ST2_C zyCVX9b4=W0Tf(AT2)95*UD``I|4!s1mrHxrDCi*B85Vlv_=ko59@^PLxT=S`glidC zQ{!Kn{ll;zvWog$u%JhYky{~LO-EhAHO!AJ2kc<>o^W8(0=0(%0ya=A|Hd{hP`F##W99#DZ)2n2M{dym;Q`OkaaE2V1T4dVi5|}q z4XaMWo_3LI;os^njP^8U+T96x%$$HfHqiNlCP)NNRN~4ZGWwB7t^TspgPub$afxjk zc-8nW@fX6?0MsRHe;`vp24%_vwRYVV1{g~i0Tx2b4O)PO(bWisiJf^6^9y*Hzz~UM zLKsh$Aa9QY4d6miMpXr5jV8kl*reXQ{$@ApNg)7gm8zBih{kyp+Ir&VT<@{ z7z(2^3KNFtS$)s8F520DQ7kk>3?aA^66*Fin1C>padWl-!S_Hz$MH`5p}EGyp8#@G z@pmC6jH`{POSqOGz@`n{<^Ny_#pUk-LV?uz?Qsl0m;s{r!HPFwJj%!e<$;1!^|k+P z6_fLr@j)Nwlly6>gnUzQ*M2Y;N5 z6~!oau+1Vb;SLW9JgWe#di;;JA;^UKV;j#Xkp%BGCxBc$?q1h{?A+kUnGM(H7;4eU zjNhNQnTncCv5f*Ifr%5jxI^x~?6QMBr;DIh&*<0^K`&VL#unP=c(Gt+Idp7^;AR-= z_IrQ zY;fBRUQ{RPJFU<~6JD)5gUUGrc@kD>{ie&ijoM=uEY9YFMV=FuUKj(=?1 z0(<})X28LOT@R&@+k|^82!Ra#F<>;Im;s}|*gIf!F5n+98Xac9xGIC(-`xubIpBYc z7ad%f@nV@4K&I8+@#4yuFbKV4Z;jmg0(OP}?riiNi5j~wu)~g&aLm|u%2jks{UzSe zNKs=K2IH2iz=4asV;2U-js*?`=Hmr}dBG4~Fq9X}&kGjd1q<#1EP|pGv$z)KLt{h( z=0kIX0lc%pu?H{|kboUM5C%@5?9l`C9kBxv!1$j~{yk#Rv|>Dn*3Lb_gXRo9g5BXO zjJkx22WJBiHBq1+5n}d^6%3ci6y$%BZ-9hM7#yAK|1%D?N`bY31LM0Y@mqnNl zM+JrXkiUxq57$B9zdH!c2PUVmpzLuF3Ou%ha5Y#MDChr^gLYAdiM*X4L6d}^4ir9Y z40vQ3*jdC52k1XC=F8sHx5n7ILGQH`E$ z_C^G<=*L$O??v<{j4{E6@d+3+KkNa45)olO6hJJepyn)W5Wquk%mYGRS>z5J`jOxt zgV+bCgn>t|$Vn43gQ8V#kFbF;^AtW%LYV0dLldsHpzgm1A>g7NjIuI+bq;zy{!I)T z=N=3gv$%4Cd`Pt?Uq$}nn?F$gkc24)ej7*gvd1{uD`*0-y@IQ!zn}i^suoad7}Qw+ zpn~{gd#{7gx`B2OFahi;adz?s%!lF~Z;i7v5;Rl5fylkWi##K>hbb^0;34#3gD`MEtEfTl;q93XP`AX68YC!uu>KxDy9F1Fo^Jm;Y0Ec_=D(w!I-O>6F>k#B4 z!9O0rRajKU?6D4F0&~IX3gh;GP7A>{eLRr;Yz!qx?x=w7c*N3_95`35@yz zn;+!;_aX$m@(1Hz9x@1{)nG^DFw3Vs7%*mW6&5uT9JBbH@*Bz@lKyENy88*3Fq%N@ zF(YZ%V;r*U1j-*fd!2!$rs!ncJKbR>o;^gM)gD6`h80}hKt2_~0R}kZ&*7NACJ6-X zXLKpJOqUsn-Jusy@dQFMgCyv(5d3f^0< zsx!>dwViO?+28=9wR*3fVw{CWj;026`VL#vu|7W=b8-?{LQ7eBxH)?wY(XxTJ}?(g z;8BGo0@&2sg;W@V)~p>;(20d1MGy)U8o%Qe`Nf_I9(8GtOOVAgaNf_&#l_au1~{4M zhJJ|PYL7g2Z39C-PWXp?bS`5%1%2FF7{el(1Z3&47YrT+E>+<=LR04pq@zmcPQv|!Vd+V*cd3dlL_ke8906c4)?iv zgS_0F5x~Ry{}s(YrZ&{TceX{4XFK*f29KKZ0|&5oqk#dTVU29F`Oo}d$|3yxpmQ6; z53bH44=V1J5`LiRND*f50J4MIUh=d>o;Un`IvM8t8?bbf@(MW6@_*5EsP8&#j+y9`|d!gW)7IqW}oswv$p__BCpx|pd0%RCCBjV}q z?tB?!?FmP9KmBJ~u+(^`=LtO}>^>wajN6lhzJwzIz%p0rzpEj-Lcu>J?e0wy#_df) zU&6Hy9P89n`tLdZ4>?AU8MD`i?qR}q2JYSg7;pwZs-phS9{7g{V_KFl(SR$$s7@tZ z1_aOxEdHE$|3X2jRB& ziT9p7!OX^ZQx@H$wc{FG`9-xR;W8uiN8Qk!Q2*6S=*r|Tak^V*`Ei97bqN;>Qfb{i z5xl_RZ{RPGwWYhIHE=xN)q2;dx4n$~LrgKchf5r2Usu$CzgN__@V-7YR zyj3qSp<)j202;HC*Ff*h?n9eNU6?Cyn8gKH`24*ASs2|ywA0y)uG=sxG@+fH70ir> zH>EJED72T*r~I+Kghzq}fCUJ~#MCTMX*7u9`(g9h0ci=l(h2PokFCp7;MUo;&%DUB<> z_&SjUfQMqg(fseu`Nxw6bm!3y8eH{7HSOSVBk%{!|L&=Ol(d*;draWsJ|Dx=YXlYm z)^5Org$msN$w&W?T}-PI1_vs%uo4JQI}(5c_?QTwD*~B7{}YaX$SrPjk}xWr_c%ll zqye`9_I&_#{inQOwpGw|2mTollh&A#<8CY9X*vQPYXBc)VC(GW4(zcYKnOQC=UrPW zdp4hdDE_l8huw_xd+!NVe*9%y4%4%Q!H1hYsQd4sM+mts=jP%L16u5Ni9Dt~Yljpp zk;gg@FN|)U!Hxht5-J2Jn5?apr@j5Z?EIs2#*l*<9B!qGr_~571nemRpTL3qPzRt< z`>(^pZm`8(ykUBSFlKS}5l_z%U>4|#FaVk}k>f@89U*5@Ic`k_HBRK-8cqw6FgD-+ zXgL%{w_{=RjdTyTU$ucx&+tIjHV(jsC-!F)U{3F0T#KHg@y9zF6t=0|1?S&i{|6P? z^Y*_0ofg+%zT;JkR1z7Xhu<9sQAi&>8zuZRxjS&*wR3VDgeT!2=bXG?kF~k32`sm^ z`&K_MgWb#ay|^6e8bLy+-{ViW#6q^5GB|_w2-RW{Q=XdrWOgd5N!s@2CAtIHS%}dt}|6 zHZCZSLiJ5d$Z`j_>52uv+T-%V`8jTJ(ezrGh^yTZS-#iY?!NwZvgENNd%8lO(Z=h9 zE?p7!v`{wcrP-9ubzZwxzrE~I~;MyEqHH^BAHSX$|n z-1HUa`mA4$hrY6QmyV>x*IbzV`Xdx|%+Uh@G$OhDIq@ir)wT6^FA4|%pXtM^YU^r` zZ~#safCZpJg2-stxt~wMbiffGouk|CXAc%Vq}Z=T@dPG)*a+lw#Hif-iPaPlyHR|@S+(wxw*Ab>YQu!m8)-v@ zTi$s#2Vm=^ql-UbTXWlH z_j|#qYCnXm?EtxwlS!U=mbmAaFLo)7qZCtd?QWHDrI)D->M6~Sx##{L;8!W}ZA&|2Lg+Zx8T^{C5=nc!G}u+M`h zZX<~G`}r%6Yr9n&v)&v~QG^);#V1M>WDJ=un%#(HZ>#RPiUW7~PA8;mAr@1^yKj~&s($jG&w?tK_ z$D96_OHZ}r;JM!bslUZ_Acul92$-AF^4q{(clB*6C5lMcJx^jGz ztM?T7M;VeboqJCt&wr8WYR|oP=~(}?!%FpBCjSv1==#$`x%>5HSP4r=%A=|!9}N=M zgldv0fA89sKTD*4aPr`)&4FeWO}FDezQ1IBMRwkSxs&j8eNviq?lvp?DT(p~;Pdj> zX)gJY&zl?nxRAx$k@}XvIJIlTVc<$;e#etj+xFC3!GZ4rMvoq?)gZdvRE5|_bH(6J z+cf8<_s^A%eT!S0qi>fx=eB2lO(#FQswDZYwsHn^@a$o!yW7g%fd&~HH{!1xHVHZ& z0x~`7TsHUdn9Hl$qoOU_^d`Z;wS%8R56agB%RhM-v|)D~`BTVWJuLl~e{KbUF32p_ zYVgz$Z6rNEcUWq5biZ@cF&8P+&u?y%1XPc{y+Gtoy2|;{=%Y!U_m$&4`$1(rT|<6oMEyEi^pFU*3%v)y_>8U3kaN9@c33b0hoo%!;0nB=4i_(oq956)2*k zi*e*sZ_36!dg6z&cdmV4y+oJFnXd5jdM|VS6OQHmBa8t`r(T4)N>#tDie>!b(2Ngo8C%xQkRqC4Rwc$w(fe&C+%N#{0y*m>=2xgdtE|g%zF6B zaO^h!{Q2m>g}KtJM+l6l-5K3!`GoG$@c#;ZzP7D**Sl++X3b*p*D)6zG?Sk!_OoAQ z-@0H?#{wd{t-t-Bi7b9+Sou*SxxPdet^2_nmsXxHgv-n%=a; zb51r)>t3|(IkUI=9MOWdk!?}*aUbFr!dFd>q-hckyTe@gdzOq(eOq}R^)!v7r0|FI zshVT8izTbZH~kqp9Y&cNN0$q0`fDzaW?uOGJ-d%<%htN+5BMCp3#S6SA91fS{G zH%IUMnze{LEZKiyNqbsu(|7$yVrs4Iroro|o0rKIm>!2YTp#^Ov&DSq^J%h8bz-(? zn=?`1*C*(x>B(WY!*7R0MrbIiDnCz4J34wdK=X$6{N3bN39q2VMGCiC-?p6+5UqVu z;GnuNcxm4Fq@J#RWD?&c?Il}*`%Ki$32ra`#50ZkoP1**_u;S)y&smau0$n*z5AV!GfZIh9A#uRpPj69J}fd z8MM14zB9bC)_T%Yhb68;xiONPUPssP?gb8BA^R^kr*m3AwOh)Bl6cvW6lK0U;biO> zeollPd{%--kVUll^ht7hYR98sx}Ty(W4CS?3_puM(btvMOtukn zRfV>R{|2irwI>{M;}x60khxYC%MfSCq3md_A#sh|L}Lph%fg}e4`1o&f3Qk=cCT7B z65+_9o9CJOtwk@mKe9;k)(uxZ(NKn^_Y(p=?^)~z#W$)ZjFz%{r>O&EMY`Os#}_Ok z#;$YG-Qmd}^|_QK_d}P3M_0E0^U%|$l^OjOrjC?Z?;-^?E@yWxxwu;`Ar4h9 zkk0zXxJbr@(}vN;T=CCcRz3?ePJ4QG8M3jzBZWm(twChGRNIRz?3HD)jgs|3lqts2w1o_tuj2HsD9@6e5t2#YMzUd zdWe+~q?;~x?c9>rtt?6A+(Tbr>{sdP+B8)XLr)q#y%8;Rxm8Y@=i9Ril47%k&B)^f z%g`iK4j7r@&yy3iQ5WSBNJ8kEH!k;0>4uV0p0#3YN~mwVWE`kFG5@Uky{j9P6Xed& zS6|jPo8nwPYDY=w|7<|cBT7pwqkm)ZXj>^Fiu2u+{^k2@BEuFGLNd{apK&C*ZbeM@ z{7Oif)CEKd&7&q(A2c75S-q$nJr*rCCjIxGKU0I)J+?UPqLkDaL)FavR z3vY->i4`R2%;&9OF4Wl#!Ll^Bm?Y^NRr5Ua97}WR|e-BLm|76%^gapy{sb zpv{ve%?1g7qbU&VR8g+gQ+C>&+|wbwh^6xfx4C?L>maXn@7Q?Y!=ceAneT6|Ln|9N zH&jf24MS|?Yja-ZpJ#sJA{%BDeVUoa^;SyfvBWpMeU++fd5iOQg|O| zIyr#*y8Od^g+28*BL?n12ZssQzBgiMJm1$tel4X__afL#H|J(JNAWX{>4Cx5lf4g@ z1q2VzWCxAVDJSwvG|Vip`Ap9%x3v0R;Rqj`KT+~UUu=eLt7DixJZGaG-VUwp82n)u6R%Rs96Wti7X$>++TS+>k8zO&w@{vrs~7soN9wIgSx`+Ql5%x+DhbUEZY^j^{zMRM6@xa z4MER%Dycq_6S#Qc(dc_enn%w##zy7>EK^CoJNQ;BQ{QR2O&b(;QcqKUkxXyy0o!47 z7j-|6XXQg}G&Gg^+c5_w96ylf8ga}B3hDaXm{1WWBX-GCG!`HGFgW-ngf&IHi$1+G z_|T{W^_BHJTRX~SfrcDi_F-Kile@u`Ea3^KNY|)jAR&z3oOnYkUJng9yeS8DnYSba z+NSnrdxdE_ZRn8<6Su-Mn<5eFup^*o!+l_IFGW%hA!ejtf0 z+jyO|)q<45dz;{@&s^)pM~=K7j@V~)_4VWx)D=EV^gN|3lm2P!(^Ryw{#$m^RI5%) zvvu8P26WvsiX}B(hIGoAS54`L$5l(|?-HtHnOW!XLcW%`bTyx#&l(Nv+zDsQA1_v5oVIJ z+R9Hfu1P#OC`Q@ov|o*&oxqh~_TV>x^Jk3+tH^y0`lxeWQaWPh{iS|6B+o>kyV~K> z?O2y(dwT)Lq1l#^Kx1g11}DpUU-+gY)8gh>4&=fa@%I|3P`Kzpf$LvSb4SLFf#3Mh zez+06V9$OJT-43Oep?q5_mdz@C483t%bTIgviHK2Os)9uE^aP_a5g=zi6pb9J&!UwziTn^};`$%^px+%3jTB&0No0 z_{=A{ujRtDenP)lI@9W-wEYdNT_dmMoB6+^Ntgc$_OkHlBnIzRsHW^1k zj?Hr)IqS+C4t5^OIYhVSWH#B@a>rYwIVXK#Q*Uekxw_BQn{jjj*DvIE#jSbJ1(5TT z{yewkZxA1&ETg6V)S6Hr*e=zyDQSxSgv(Nl`~uT_A{9)q;Gpm!VWRRwProYo_zs9W z@zaUO@}4{rZ}w9mQbPXN$+x9%zQLoJ_EAL%hc^bv6zL32edK9+?su0e%zE+6N7=FR zDM5F}OF3pl>ddzr41y`ctUoXU7x-HVSb>*pin=RSZC*Y@zGp#xLzyzvF z=**4PAQs&8>&n#6N8SlI(~3WTHGW#Ob@*Ckn`J*Z(o_7ksIgHKX^!=o?`(Ewij6|= zd!`lK=I{Sxbtcr1z_jb7>G?PtVzaQE&*%CVPJDN;KSS0Z^-`3uknH++W!3wB0k8p6 z4BZqDRRkGgT6kI_>OJ9|;p2;f(?=BU(i5$hBoHerS6GO!t9AaIwFGF=*J_7wC0Rf9 zA?b0yrM}-*wG$lD1?Gp7kjgT01ED~FDDdb{f~8LN&`%~M{1hnShT!<-Z+w4eBg!#LGvcw+Hu=Y z8?}eGe?+(+WhedgXpOqib1|2oBKQc{I^HcU=YSTRQG~yuTpDP#xlsU z%S$b?UB5sO-xC9&>|?Av{VJEpDCBAB=(=7r>^p-_)#>Rb_RySKtt!a(qAj|6tji{& zsxKPWt)34Yerp*TckQ$;f8PQ2L;acN-MRzG7Zu``2&I-y@-bp_PZc^#X7d1Y5q1a&m*y_8ry!w5`$f)egOFtp{x%4i{-(G~TuOTYq9?myv*z;No z8)a4GsdBsP`;peb9BYb=1$xc3M&AzF38it2hLrJmE}2hIrjSxEkhc(6aXG%4p_U4D zE+3i6c)j{eg+e8zNsdZi&tIeagkmBMXn{haRCPrEe07ae@8k1XwypvSp93W3`@U(s zRsa(XS`58t4nHu>8&v-NnBwO_3(m|VFZlE7XY!Vd9>&wNPxE%lOm52cK*yq~ebZ=r zHhsl5eNR3;RxK4I$;%u9;jg}R_qdvdopgS2fTpe7*C$f(>Os;o@#=m-@WOVLlbvth zbRx#YCeNvTO*fhW!Zt@qtVm*x->pj9*j3tRZ_S5}I%~UC`%0yhM{y={&(?i4xt;NXoA_Wu zVy}x^uBOQ}mGajIMx#37Dkts~8X&rJnk&}Zjq>`#^T2uFnB|G1-YcuaRhug76}C_E ztA^)bIr{vutm|}1+vgkBQhcf=IW2z1@UGL%(d94689yE9_omBgHIr!3sJ#62h~>Kw zKYydD7cL3ZudJQJgbc~Xz7;)^PIMJ$HP5(lKu*gJW|zfQ-%TM$K33c;sWnDw?Hn8I z?ET~o$))J(TM3*7rTHnZo3B%C8HnvmiFp?C>Q`3rF9w2U4m|@8xeetMN6*2Zj-?0P z0>wxP6(7C6+nW+-rMD|E@tK@t1FAO9Fi>!9FreID;{!2 z=B9a-rDf<1T$(=YdF|MBvN5Z3?crWRgoEt~In}k1SBKPD*A|%@-{0XhI8=U>SD)}{ zElYOEN~O)dkm7^`^d?yX&tCM1JgecCrsKBNVV`Yhl7vb@r931((wq%SUU4k=JKY42 z(m7@q(EX(9-_jpZ?>>{pe6eUYGn!e9E$Yn*`MkB%2KBlG^?1;a3zh>v3GX@xoo}G- z)zHCqKHy4#W&+Mv4g$Kt+?p@jBl_1yYoI0R6?nxp zGHr2{pTDA9(@48dJuSUf&weI2E8P|$R@qt>Zr7!B`TTf~ZAtX7VQBt`vLWx#Idb1< zGR4}TiJ6+p8Pwv{HN_R9>mRNkuC(|$soN+=5TI_TN zRVAW%KOa-%d~=gtVeFv-@u#^NhhGPSE?qeGxhXw#ob`*_&#Zt&&}1fvvNa z)zbpYVBT@hO^&Us5=mp8U#2{-pk}Ud1K(ZyN3yre^K0gYYAlulKD8{2sOpd(`+o74 z+0T9QURQ7T%*`jH9eZ&5deLF=qs4_Mr;Wvh3f>LLI1BkDEIo-E7$lm$BRsg(So2Bv z`HROU?r{`C9AXyNRK6!}N(7$naN4BYiirlLe{P#)%QA$kv|Q|yhjBg*=-z-A`I|1k z>=3DNsYt9CsbEFab|&_Oo(v2je#JQ|;U74-Mj6msmgQz*VPJ7*E+G8X=`oUezj7hu2;O3Igwv>_R`Kj}~ZHdI)QD zIk%6c`kYJ(vKMq4Z@E-f8N4!IwmNW6>SzpOx-jekzqwa=zl65`$ur z%IMg_Tb3b?%oegC_B(N3-#q(5^ySW%JBVTg@Atrbp{ck@w|>8+D=(>F3CaC@eVq=2 zR)cOnJ%b^W;_@4l_B7k63}WYU?{lOFlsx>}tmI?xlVj{MS=)U_VaAv_yGN@_Iibh8 z%~Ba&H5I%?sC(57bSx%rdPvA;TlP7Na*r|n%AK+vKM!j70TyLqXdE<`YZ;h)HAuRt z6F}<;p4mQmu|tW;Ci5qxnmf^ka81frL9pb~kjZnZfA14`dadfIhCxS>@pJ9M`lFX6`o)FaMR3|db#A6;RsRT zv1nz1yUdJm9vRctIAvTlieTVZpL8Ke{mG|1N!z z*v-B`cAfHZX4J_{FV|FX5f$VvL3Gt2bx5%1<;w|;Q>J^-Cbe_!*qs>k45 zsbv)_EPF0ff)m=cf7B{oI&?}a_;x(0dTU{`d6Q3my1qnPnYQDM$>9sm*JxgETNfVP z=-~bVzg)dZHF86smUN?yC+E?5Ly_~t+xyQ-gf!VbrQG&+ds0N-uKu0WJn#;yb%>b1nSN|jF@Gn*Y;JUBp|xScs?6`pVd9LpAG<#X5S@#SW?q@FxkEd3J1Pa9 z$_&$WeXp>_x=1h4POWk_r)aLT%;M+B(7On5Yiz}uqYFv$Pf9RhdDn`qx3B!;_U{|r zF|)MsG}%IyzVj@anLVM8Nx^jI!tTE`bPgw(I{fKu`BeE>J=3DJI-e5HL@O12Ow+x3 zV5eB?!R!fofh2E1YNy*&jgNWd>r+yQi4WaA{W3v4g7el}LdvP1K99y3uC5J*NW1JS zFl+AX&K0SCI2Sp6=B#INh{xCUtx1iBko@K9w9zcT1J;TlV$w!s?&H>%9zJJrQJWyX z^_Dtfb?__%%q|E9vv*O@Jd76aJ{j;}PWE7lHtp!t(U^Pp?=jmcskYKGe$jtZyxN|3 zt26qQKamKz5!2f3*`KTmQ*shyYl{3Q86{qs#&};2zM0$zO-ck`xgDb1o^XMwF*}lW zv(8<}P9$IGf*4%ZYK?AyKUR#t4VG?dn-*g$T4#G~KTSJRZZxRL$SC@V=`Hg21-)l| zT3lox{_e3c@`F*b1$>+l182dZ@+R#4S3}m_EOL^Jn&`L_W#-kZOF5ZD4<2MvQ*CWN ztY&3N5uE9vq73&aZp-wTry%P%mH3E~f#z9RrkG&`uvIG|WdHN2c<5{R$qgdgXAFZA zLo3CPgb+pbaBmr-Lz>F9pWm$v%`udpI&?})lQ|@2mhi==E$<{T_E>gs^; z+if!zW#46L)`MIEKc3N=-|y!&UR{=Z;*b^9z=rxHp|xFZ`Sn|N!yF#J;4bgOSE-IT z75CeXp58WG_Op2(yY4Ptd(Q8dLyg2Z%c;3Ad$l8Ng7geu!!2aHAD5JUzU-&$$6*mu zRbOw=Rl4y0e!+)&hC6gTpj^!sHRq4(MA{b|O4yoKWjgFL&6L>@oiIcjHGWHy>m*~iko;jQo_<=cr;W8l zM>UB*xYmv3_(YuJVF!cz5~yh6?DbVy-bQ^>CXV`1)%}LvnZhpApn2BVnVNj;_5Jq| zlMUt+ibWo&>mD~BlALF>DzMi5FzFwvSPJSY>{>hGE8`#Z@}+zPTcH#A{kjJd$3?XB zH6#FwhH#-3uXjMGY?zp}@3cj*ZHRt1AQSN&X)|!nx+kd1!Bd@n?R^GE^@Dh)EPIGRB z+I%ov&=z(|^XKSRzc;mu#eh(Z&{>H#{-N5>B)4sBZVS@3+3~i_WF(TyYZ^pb+P`+b z*Lsgpsr$ax%4mkC_m)SY*+mmBcIslgsSL$ncb_A^)@1ZCaTi1{7`W9@+S^TkG0X4g zKW$!SH*Y8w>pj~0YFdT*((=sWXw}5Bv)Io?38y6KI739Koz~!SiPx<%st=S4w>&D< z#Lf{8%ZQ~9k(-acpf7$1JQcLnut{r7m_2fA;DLr|6boe%`AN&jkK`KiL^;%z&7(%` zj56!ocM5fMKU^ew{C+iLRTz4qmaI~1eek8zz!}%4A|Hfe60PPKBnsMk=GTS3j!L{+ z8IVXVcz3RJ-s07)tglwFpEet@fYE~n=10=?uj3Apu4^_@Hk4J9Fsn3%Ou7e4Q#PI)HF+MSDSpzr!@>Zdzr>;0nM^Ugg^4wgIj?hU&xGN=h8g+X7e z`deSJ^tyzQK5PEKKk_I9e$eton(V1pub4cwrKJ;icm(@zQ4XAd^*@v`V@agFB&cre z;ij(Z>cz{qQqMt7%*1j*g21)&6k%-r#cE4F{V-0auOYO{*6hp8q((w{XDX-TQ`qkx z%`<;ktRCp-@v<|3iragfbX1(nsyE}Lj^Ky{tT8r+*~j6~tb*K+zy!E!n~c6OU41P? zS;dnu;End`6z3Te;~*h|1lH?eluD*&93?mCBYa%l^-2 z^1>#Ls4PEpAMxGqn&&A}!bt)xw1QkZau5F$CxNJlvs4>IMFyL*!CgFSQrj%KGpG5g{p#kg4iWiX zYNJRxydg1m{if^Nxxxd?YwCywYIy!!h)NiDm5G(j z93PEHqhacAoUUCmAMUnyP~tUSKd?*@De_(UC~02=OPjRxL;+va(ILtEG{XqB*riiz z8J%iTyz-OMB*|e_kcpylC8hD$iU&rQitl9@p`yC@zls06tw*m`w*-NDbw^st}?TfWG)&@H+ zyloeUid8}vYdRJKYC6E5UQ9(CbE}50jN9{yR?S2{bA4C&Io`=@x_mZ%v1p#}Vx5sj zyGzSbbzIAMVA2kY1@mdhTZG z)BT1g2pet*bqfa>w&sMC)%zWsP$Il}qDk7=<{HV%7BWZ$nYhM@x-XT_<_<4hR9tuP3wIi1Ue4 z*~b`;M~-=?T2fV0#w?tXuly*1P$Ns?)lU)A(liP(dUt9yDBB`EyD&&Evc5&8bTGv7 zQ%I4eBwSjjH=$FagIot<>h9Ycx$Z>cfx^Zk#k$)Baxj9_<&<CJhl33*98(x}GHCk9DzIm9!#_QJ$>mht} z*TkI5ttf-G`<1Wkop0}<0Zr`mC$q2iGx`oKi&lj-2Tj9mN_`w-j(2eKg`0Y8P_O~= zSUp>{WYryc+o?C5(oOXO!}u{|~a zmf?cee6mPaqL_kEtC;&pvc?2&&F3xBynzqD{J*v~tz3YAmJ5zz5nMiFU8P9*tnMu% z@7Y6}ERQX&JlH>IlWkK%z(GMKcfa)ME3HpqHy%33J;>Fh2%kO%%0AgsNZz>0-%sK1 zQ$c4f4xgNigFx8o6#_{{R67>e7v<$I=;}pS7+!ERY*`^utHf&LW@jRJ zG{A(D*R|4U=5%M(U=krbVn7uCsZ--?&za;ue-(VNAO5QJ^(s%S* z>7&T5H=5!B^RlV+vZ;>Gz87d) zZpBGG6Sr+sSbB4+mqym)Wxo%}V!O$6T- zzu^Is3b`s8-gP>9|0~1AWgYmaP=7(05Qpi9YX#3)KRHWrtx}s@bQ!H53NoA*d|pSq z7JSI$qstuE;p^5v@{|e=^-~L*9CtZ8*VMW$Z=F%4S!3|wY%Oy!@-792#w4lwF7?fmgUMdk zB4wAhj(HrL9#_AZ)cJ&=C+vQY27iLHyB!3SRBCm+2o`_iJw3Y_$ITkLlV4ar@TFIf zn2EBX!`s%?emZK7K>d5gH+lzvaW?x4|Z}Nf3HHopM8{G{o|7zUVsPBDtORnS5dXb*%8ZOx;TC#bL8AM-rt?x8m zeMNg93d*0j8kFF%)bcZCJy$e!`y$CACCOqcoAioIZKUOv8rb>*tupMRI^xUxh-1@= zrO{hSu2Wa-j82cA-DI@BE2ntBVQt#8{-GY1HfQ#rbUzZ zDRNqt4e5+EFMYT|7JW+iWF}n=yGXu>c=LAAzO&T-Y>*gJvQAxfR@Ea}I8MG?{_=bA zc1QK?+^>(%Jsb9RO(-n%Z{nUG`EdTe!Kl~1!*QejV-4n=*>2@ioiZ!4kHwpbw-;Yio`;J{ADF<2H{zVt0*^_h;`7r|`Z`Y!?CVdCrcBh1zFQeR8EtZ;@U`uY!NA1q z(vl|{7WOD;l1qAGBlFD0j>lmB%OfB+t8e>&#@GWQ`%`@H;7-@<50HKavuIx4=j5vWWAkRmsDvpX3`A;N*}M;eQgx;ke}5Z*bsa9 z_2cyo_W1bl9G0s&jSA*)~hxv3!XT-=dOYkFSk-k2=w zTPrvj^%wD9FK%87BbyHPRkRum zZ(qJk{Arr_Qx36+@(=p>8N0==O&fRJhq)TW7GMjk=MQe2|DwJz*CBCIl;&x>#noT+ z`WIkNX>@&>{F*ZFyiMvWup%vwt)Mo2Wy-^^(lX8&O;z*gDd|O~V*PS+WA1!C*X3U? z*Q#3XW<5>1*Y)uE<0IjO9#_p2BBn(h-+9_wmy8>c-%KSfznaYO}x6U&IS<=-&>Ya+W7wfQb4W0proBtNw#?G zP(9``J{0)gGJST$Y^iXqN^ z%-p{@(e{6(NCAmO2U_%#1{XwO;rP|0J*@t1?2U=K)L!|;6ADh zajQh%k+yh@i`n^yW{_*l%K@S>FOVwY35&B31qW!+sUN|iL&~t{Lu(2tzTqU9 z#Wb*sen{1q?et!A(bQ|F3A(a$o16`!^!@hxB_dMS-CmA4p* z6*yjmTmwTl0FH6M21L6lh;xM7gnZ4R8T+yx-750s>wGLD*hPR*RvDnqgG+sTUw!pe zy6M$dPd`RFC6SQF{RBx&Rq{Yfe zx?*T>SY}MB(ccuA9dE2?9N*Mgwra^5eHkK!-Cze5f?L{04X;e1<3%a~x0qsVC>R=;Ib&nKX4TV+BZzFziQc1n zZ?=c3?&--Qn?H)iZB+Is(&#)B!HKhw8LF(T9-)<0YpOPNsw8m4JMjIbIU z5d9xzRjrLo?Wnryd@Ml9))=fwKHOJdM_amDKg<|91SS)u4D`4SrY@GOuj4o-QJPHR zB6qz(#cLT{*(4!DSsJ+WHh{EUh0OZV+kVot{nCfOTs~t$*$d zTe+=fV5v7$dvUr^G1B3Q>;cy5KTXqy+gh z69NdkfrNa#WEygex`d}Mn8Liqe9X|B7{GJ^U`kWu1N_G_y-5Z#-qtn#H6E_yTY1Re zp}qCC1$Y7ULVtc_I>CV6(}N76A&5+=0T*9;a0wANB&|EsPu5nSQYN!bKXgbvbZ8xG ztr6#+uuGacVOR7gvn)R{Yi2v6(E{JycN{m2S$t`EbM|`@JB6Rn(*oB;4>si`fK1k#qcox>&ZM7pD!+C)<;(+_m-7UnW5>G`|fxiXkx{bmC4pK+@Qxh8Ef_8kNZN zbu2LqKv|^^N&pcnx`aja8W+`_@uDoW3KJk+YgIwg3FInk>j@Aec{5CJf2C#qf;G3! zXnk&D?hbI)^~2AbFmBbB-1}hZPt#*&jhJ@l#@tS}yJ_zwKmB!G+5XlAPhU8gzQF07 zKWY4B6{oiH^635h17e$b>CgCO-n@`QTSc*}#$~O$-OD~fKdS7d+m#oT*QukCuOd#>h&Uq=x{@n*R)%9G=PHfv8J@<#LUwWF zYU3v3Uiu#O-tczt2;A;`Q*}{pDyX~lAcGqPc9&-e@s5>ebPX9$$Q708P?Qn%w00hq z#(kr~5}!TkK6}!A`AK&)`qD80AY3M!N~1tDMF>Ra&9NF{syX#v)0h%s zzlupA(|+)*-0wc=$-RBwQ((-&55O5CpRGH1$BzG*v-H^7hyM!U;J=@G4*dMjpMdF4 zA9-ca*4rP-{r$Fmxz9H2#~g4A@?o=(-D_xGEDmVIO28PwvQDQS)hNL?z={S|{%tEN z!2=_L5>nz4iAjhK(h1=wdx%jH4-qVh==QMcj(nb2w+K5jD*Xo=)xT_``r03Z?Y#H?_Q#`Mf@_;c-J`W2ty;zSLNTENct+_Ytp|_jN&eshmhjnr+y@= z$Lhr6*r*`zJVi5j${b=;q68l6xLY@d@YCq?Vvd*s9YKW=VZq1SRh;;}(tJF^CjjYu6PV^d1T=2k?b?cqT~bAJrRBnX4j|L1s^lGV|Zhp*gs zM#C|3&VL+@qgFl;$CU#{Vh~By}>BnDP`di)c7;(;zADW^iPKZ2TN15ef&xfiTU~_U?v{lNc;vg&`V|P2zA}Yq?RFXyu(h!b}9yg;Gh!{41 zDjV8Eo5^HcC28D_B><>6qgA_S2`3sZm+B*suF?bQ#7@%Hf^mIl$~gepy*~a-h@c%e ztKy&u0fv*F2$$ZPdwuIWxd+?Zz?AnN1mO1cQ}Lg5Ubg1oFXF@30eIVW$A1JzJqdb` zEN|Hhe)`T^prw65*RN`pwNCoU>d7}gcqsRE>qYgziFD)Mkxl-p zY;&Ovso)zdb*gHxw23qXX0p}9K>$RxX$P8BQ&~dO6hL%aU?DNcQT<#S7sYU^X96uB zIS9Wwc(9jayL*2PXMHmcw)IXzck?U)rt8s|(_jjzpF;coXGjn$a|N4^twQ>or!9qI6%e4A{7_= zRa{Iv96!Jo+8Twlgmba@_9eS?Em>}X2o}%9drVS>ld>@vh`CJH6xW3=#s$(8>GH9B z?B}*Ve~@=36O2xKxO((#^T|SVfC5k}PuWv7iga6dpivYxhWJu+k7TT>1~#S)8;S;w zl-bfFpW>Iz8^5UhVAFG}o_iH+^>4psOv`ojm!|_=FE9EC`&1MevKL^?fYZphM(NV} zj3ACEa}4E%InH&=px4rG)BKf=cjo8iU#KQhMz&^c47vji~^IE$(D`_D#UA1^vY z!NJlD6Js52mPK0#kvKO3C5MAzAp?+UA-i?ZPy!Uw1xJ`#4GXriyVwKl5td=k6YxH% zh6gbe^6%nlz6-8x`;Q2PT$2?0Z$rK>`^nLEoKC3f=cB#Z<{q3+#g3%`!%ss4ej#kZ zIR)GYht_`5Ho^;fgU|pb?D9{Yuq)J`LBK)!JHwl-$^_mx-X=To&>lB^NZ@qUIYiJ^ zeTax9hmK4-|9n$ZRli~3RuiXKipKbiK!(Au(ohB&^+%mvBziL(o&w3p2&L`}W4beV zN;*%eb?~r&ss(AR!+zDeGpkMV`bmf{?kAf|}rlA%VG4UMs(d zhEg6ckJso5CZ(LA&ZK8ES1P|$*C~PoSs|kgQzyd-^f|mKOj6EP9e2Zf=*|3QVLSa8 z&lylt)fyHeAcBIdC^f8rL_xkly8xH~LIMBJZsa6YRmbymq0wqUqZ@8V?ql$7HYRj| z!5y;bu#>j=%&aLkI%1~0QUX#px@{Fm=vdf=RvMs2JBo^96!AqeCQ?*PTc!iO3pVbF zu@|zfEb`EBdz%yUpa6bsB-`NcCB6gaGtpMCX!B?m>u&>j&eZ-5>OCaSx$at$=0q#x zYYOSinZKoEL_CDPwHGR=)q&s4cfY;S8PBL^#7PHSXMIMk zttVo~Ahb8%R0CbdeeBoxQ6`KUH#acKoz z@(+t;neWo+LM&Uv)~d2q4MbnE%_qLipGjRQlUc_QWwHGd-FIXUaz{c8Ehy56Hn;}I zR7exr1#?^m4dH>#aT&p^wZz&CkGv61*mVYwSZj%RZ^C-sM@nRP)s0>*ynUk6g~W&@ zMv&;i;@3|X+!H9WdP|XHTA%>`ZMS4)N9zEl^uT%l3pDJ0;dBmZ&GpPmq%p0hTJisM zM3MG~>>HFyg@DD0CImI$*4^%qFBD=JopDP(Da7pXb*j&+w9n@c!C1s}PIgW9nZcRt zOmT)j-8t7a%Qx5G7@QHh$#)Ob15uhbqLSztz%@NZO5(A(W@n=(jUR7)rs&Zc9_b>U zUfw3$JZ_r7lgeW@iRYEK+Z1>_;RKZVU~2?KG}~orwhC#5$XrWf%_%%}lMm5djTBde zyMge${!E9R|I8MYWzx4F#mQ!yLr+TvNqkBo9UxIMO&2{~gR6r$Z$_fydY!7PrJO@x zI#Ei^12=+UuYhq+wC6gXeJ$6${Y4OY`+X3)>a*Man0p((43>fi4(1;H;N#rZju*kK zXLA3_y#|JWP#ci$$bDjEJegi(>k8!uXIhN*66az!oS;u|&(>$V8A*;JAg6qOD_>*` zn2_&ncyDVo(!xG8Jyw-NZ4^i)LD2I~@q}sMaT0>DAV7aXzhaNLVvo2|ASud!n|S(e z8ZJ=GRr;$7&DNCUr^C*}kzg06GM-jtl7LcGoPhFwe*&u37lmkE;&CUE3&~7aar>kt zw>N!}dntD#xO)GV?8L#VbL-h|)wrZ{>AqZV?~^pxxN^=79tG!|XZGE~eu3=ALzP3C z%)p&<(+{R$z+dlyQkW^hNgH>GJHb`5gM3x#k!*u+M0z4S(KkMwWv3@*rY~c!rdO~V z>5c52)V=g0)D!fZ)SKQ`b+vt*?b{`T8^D^^YW1CVJV8&{ONQuTJH5GHE7Z_ zpCq=;i&~V}wwAZ;I_q(+>Y@kXaSws@P;XHp44XdMJ1lSWP7DC`=`!Y@>y~G>Jn-=E zU&!r$Y8M#$62^g__a586^a*7C@8;{fIa&PC*!MQ({jSOIY+=jgWPJ{@4suZpy`WQDP6&S<9vr6U*OG_A$ zJn$xvB~O+~oJ_zIMII}|f@}+tEf`&We{C~@L(uwoTWQ%4C*F>f4bk&!Ex$(Zzta{; zTkoUe_52#YZ;nSoNPbamA1xlqjs0FL+jE$RsW{x2+Lzm&Fyq* zPE8h#5R3=C4$i<&qOpEa^!kE&GZs=J z(X@I$XFuu6*~moFeF2jA<4E;28%r)rwkGLhsULo)bFlz-{vQf=+<)XI2^?5|H_x8; zK!KzFeC@~jXCegkQ0$F1GS%d{GwZQ4w(t~i(GMmJXiIK4-=Oo9KO{JUoIguIV>s@LB7ap+kByAyO3u#*@vxNlFE9+oONZCT# z7Rqp@avYY*m2?s&>9W)?ZAjvr)Y$4-vBt#o)DmftvRIw(zQli(v_e^-U8`S_Y)P%9 zH%RN14cg86n&b_s+m+4QW=}L9HV=xYjZiu$rYk_2qAG$0Q#&|KU4o!T8FW=>eF%n9 zUS&|UECo`mmo1b^+2|lK8uikII^nWG)~avdRhB%%R^4O$hRi{!q^d}4JRFIJ1fFAP z$bnR{6z${KXlPK-#5Qy*;vPL-Y7i*^k$^%6F)#&O2$q3OfCF7%mnjd5#$2wmr{fc| z*rXM_g)ar&!Fl34>KAgkT!7S zgFj{12w)Vte~f7uC<24?MWO7Zqa+!cueRHsjFjB*gR**WwhDK?Rh_^i<@Aw%9fUh3 znsA!5x!=Y?@dmN@&>j#1gF@axR#V|1$r~kX$V=N5No1~8>t+%RQe!~b5(c56F#?An$Y4&I&F zx?=6A2VTg1bq{!3|M|@`&Tctp?1F^vqN@6bFS+cwi!c4d_3BNxTt9nqUESjHksViF z@mkB}pW(bx&Hp3pO8}!N@4n}mdFH+*QtMG536O%V`7E`cRbOa5`eI+iwupF8`7EW1Wb-}GGc&srv|j_6 z`R`=1JDK_4$L~R2?OxoA2ErtQobSYvsiYyr= z4$!ysrR>(KJzNUV^|&h?fL*|;>m0XaqUQxi$$#eN+y3He`?Icg&)bNFMi|V1M<4>l z(mksr&O?>Qb!O+_C@PyXQpQw9Zr#<5WJBvA&cV#&P4Zi_exHrXxR>( zNt>BMWKxd zFA=N`NVt#e0EUDE&&;g}p@L_OwAfu=@;#NIm1X9bhv-b`96$bmTg zQNij7F5RD#0;>8e=Y5a42SM8DS)pA*in-Y$e45kE3DRzL4-uZkuI0n^eG*wp6e|iW zpxOGvuN#M7Hyax%I}3rE@6+`xsHLizj8w0TQ970Tg!{xEWl%Y($SGxxLPJ^>XlEcn z1d2@Z&h71_`4IiKB#J444G02DA>pIh08O(Z`lt_65+#UB1h9k_NYSpkb&deKg&qOD z2EbBjtGe}S8azyIp=lZ)F;naumL9`)vOVk|dy-|@0s7uPx$|i|mDq(59`JX>urXC) z(Gw9HSHXj($-7F;tZXyTA6lI{%P6jptdg9Rk`2)CPbh3ODc<|++m)N~u{;_-2`t`7e z)D2Mxz^}vJKmAX8W?FZ73q3m|fewpk9xl^|hYDvfBhGO7O)qxgLatDU@Gzdu(5^LP zTmpTb>s4v!EnJ?|J^ZPA46ri%Ci)oFX%Tj4t1(wYRhl)v%1A3U4%w=4k?GV_bGmP8 zWIlC;Ip23h#CS}2OsCBVE~6P_>v$@S28czo!6vpznZ!;~W&|%|FH^1zE@l@iD}rm- zHOg&4oekpbybn3CI!*3*yPZ4>6Q=}oUnv7wmgacGeG*bXqN?e-67c(cc%jM&imTiD zSSpgjd&Otsy|pkXh$)K2$c;!311iD_LTNA(2nHiQMHEYeK1BLVMb}e?888gfCn`cD z$m*tnlrg#_mWdd;E{cc^&^1MTKGURxSU4OrCW>G#l|pxHE)_)I7R7?OyHmK+FB%;H z5A3vqhmKfu=1?p$G!%;tMP^N2GU-?$VsMk-I9PBX2;COnnckH6$Q@!!3?;W8ZQAy` zly`&JTt{qfdW6C!;h`d11TyGnwch+Wkpw5{t`&dKr&z3o5o-V`*R1ZKJjjIwn`o(u z59tE*$N(J_oQ`3fI=5xTZ_A3`hj#u(Pyuk~FaST!-}c)h>DYJ)gg^Sj?25#wW53Sd zv@ic^6(0`dUqQOO{n5=Irg>G*YHKB~P^a7)_O0MnnXZI2e6?JwRr1`ECG9;BsMwyi8l-TMNG_;IQFV->v?Q@P7V&X)_!U_V`|g zuLysH|1BKS-u8U}j|<1OW4=0$!0{+1^3y|jD+_pw6z1oBm~doPR;XaWh)5=fWANja zhAD%iXcd_lnkHF!%&&+oZ1LxP}mI1vqMt#9Mv1H8|etw|5ZFzQ$XH z@^~wTX6;Sjy40YROtkt@gwLRFRSSjN{Jv25d_hDM0}&1YYDgkz+(j!9C3>Ne)Po%rTtE;6ynVilB%J+>6vNGknUPXtYPeaPmtets&?e3C6oSqd2d%;A@%IF*dzG=5V@8S}CId zp~$fWgM@@)MXojJ^M+pi1eDF0eBo6f@t2`J^o`8S{FK{ozoiFkKifC-Jsca} zqZzZ$LiGFqfT9EiNvbR$(0ao zWrZP|zze8qs)Z>h?@=eJVr^87)z+xBwXN#7U`u>L?ey9XwWD^q zx~#Ty%>C-dn(v2x5c`=Ltah6Us|ea8rnW_&soovkSG_-ap!yHN!_~s15Gch7I1`8c zK3{PK9qFr3pP6qdwgf zZYT>xuCBSchOSA}Ywg;@+7@kCW4CDAwNEuh+vlqBJvmKrkZ?b8v2jmX0gSq*k;!v;qO6>wIHFE7Woev@aZ<*g*vXhQ#5|J5-Rv06B8_V}_K zawHs11Z-S2zR)k}Bl?_330Zo#wp&$mwuBc= z3U#>bHR+>xY{$?`;F;=;tLWKWWt;BO1H#V!e566+8; z)cJZx=&IOqVWqlMzbSN6Y%qC9c_;i%^e_HT!k?Qgf z_Imc6l7GN6hGGOYh}3yJfm}Z+n9yWAOj#`AL_Fz&!eFIUo{OXp%D|8@ZC$n@X=RLqL<-3ywU1dzUV{v|!-S^Igu8QQBjs}&N1*C9jzGK9 zh-7yg3yBZL!G4S8m<&x10?-4tf*s%_fJx8}W&;M`lrR=rfI(nNuy_H&-GJm#fe&*x zAl!`uq<$<`lM{h{#iN!P=c+%a;|C3KfCTmnBLZ%(pFyHf``R-bdIRmh$}Uqa?12`B?C z$P4&h^WAR6sIuk^iFbJpa6Kr@u4&1NsNd z_tmG2r(%krMy2KSGG;luR#~NXtJ{=a;%;e|qJ)%<%3oY=t$?@=cyk!JY#L#$7-6mHiJ+^zgDz_a9pzn?3#Je7 zAj!A$G_R44ED65>PpmTULgw*`BR>4tc+>tu;lpM_&j`zEX9048sW*NB`swOZxOZc< zI}n9br_pf~{U`M<#IOqrK)ZPQ)Q2pD4@L;bqM*!2RIkhzX6`)Grv#77L3nTrTV(Np zww)!P{`{T%KUaTv-}C>I+#bDi;l0m1b@%dzz&+tT2S5pso&)rT?N7v4to-#GZ@+{+ zo+*fPkJxkOw4Z3o+a(&R8MR5Bq_WL{=EN2BWzwaAd5Ih7#q1LCx$uJH*4 zfJGOL(dM$S_#>WUp zMoXLKc=ROBMv!VyK{b+t_5cdfmu*L#LJ|HhDoWhH8{f%bC5Zw;2B{Q{l4M&`%DirC z%JxQID{;2Vnn&P?;Nbu-i`|o^f?eaJ8>im!TzM<4}YuEkf)3>dEV&jh={PM{y zfVqF}L|QvDh4#JnyZ`<2JFo4>ws{7!&80|J1`)@F>{ua5C4wkw?_fK`%jG4^3if7k zi7W)|RU61XKWbfysgeZ2{qr4S&je1#;8@>;=-9+W-^|#=#9ZH^=%tBkd^g6fNv!AA z2T#+dBL)=$x*8783E>hR6H4ek##Vzi3>Z&HJhhj82Ft2z#Re_%s12lZHv5qt!(-Mb z|Nj8%eYvouBA1j@_f!|WRCVWtNR~>hs%_e#0yUPz^D~*sCcNK+-OeOPhW5D*@$Oux zv0x911KEOWr;RjkrE8m9esS5%QBzhnherVlER-NDWGl4B*EpD81dMbgSFH))YazT3poG>WU?e~l~BY)bt7xQj&X=V>LAWuK_i5DOkHVE z#u$V4Glm5Hj(U^gM7>G8J6wPDRUP$Nv$4LT%cJ7#KGOhSMv9l9i~tjI%vF2q{`QLx z^Phsi|GWh>aQ3*=d(U+b4!uLqRmSJ;yZvV%7k;uIB$1Dzfa?6a`7eyr_PtBN=8YFE z-G-xPKVrjf_6;fwuCn`010v9)_0cg=E4nKB1LcS6&r~6%R;xRrgHaeIsm55csYFm2 zMNdc|NM{3n$Z(XjB>)1$e*0nV$@wi<1SoJ7LfDz4mr*DIDTaOokS6fHvE!RaGk7+U zZ0eyv)WRw#YN<#CIeDjQl5;A@N{Om-GEbj5%~t`Z`RXI$SCLT?f(Jh^e1^bNQcp&r zFMz#N8Fd;+l$)L^Jae3=LJ>Dn6eqGLI_yjp2LEm~?LpmuVR9nR2`H*DL|>dTIXw=t zAX~d(1IQv3vO2ztl0tr5)*scslHnws^iN67+B%I5_5?shb7ON;3+}-{h6>xMAO^YZ z-Ll0WyKCJgi{j%OE}eAX0Q3C^yH+$!xx)7&X-em{51zdq>xm2VbD58jp1^2tc0AuH z%WR-d&IB%zCkHsOBwA7@R|e`TTIF$pi{&YS96w)PDxZ=55!6Ok)Ky(jaY5B3RXufE z>-ceH<7(RLrpQyuCf8h6c3I6b{<^a3YC7w>>)xq4UiP<&PpiyuhzkzTJNv5>ex7(b zMv59k{G4vW&?wZU@30Iukq7UmZskiI1k&ox972UB^qjNe@D|!sGonB4= zC=>XKY?NP}SSCH1ty@!ujZ=13QL}V8{Xd4+J`n>&G|p*^pL^87b31CcGjt)x2zf(D zNJ9)o`4cSh^5w-!p-h@ zr5CU8`t5SVMQiT3H===cJN|U?rr&?(g>PR^zy)VG#_9 zAVi#*kVU{fs>wEhnMcHF6qCSwkl;>?;qye2$0qBQki3tGdrnBOh=D=K>(jf*WY{dy zW)p52*R&&aGDNQmZ4K=R4ToTe4!BGda2X}w@>d{Z54RZT@=oH#VNytF-lHICh(VjChChO;pnX3)HxBakKL7Z2gSRxr$%y>3(aE(g*e?#^q9tJ zd`9DxI8X(o!6=*_-#}&YA{X)9vP4M?i!|4m{*^2b+#t~%Wwx>5%?gvS3l}su^?z${ z-Op$AuU#?cJ8dW&{OpmAr+zqeHT}e<+vYuV$Iw2cweCd>(T1nXDV~<>`Eu#peuE+o z7$PP{o#M$^Pr|H7vmP3{bbpSP-8kJPIG5lNQFzlKPjpqJSq}|8y%W%Rx{OP3F2N(h zbEUphzhd3x65l@OdB__pHVz;=(IrN@5EJ{fc1$;cD z8Ifc54G%i+P@7}E1t^Z?pv3VRmV#U0R=5KW!uKFI2v0(qf+=_qy@JrrGtigA0;@s7 zYEZ(L0Ey9ruF-^UPaDKp2??_uoF$ygaI480kC?5p-sK%TR(nf1ws$XW&e}lC+RalAdxY$zLh>F~|bRToG#` zNBx24%ch=dM{p*nZI*!x&|OsfOq}@15=fE46EPbbHyMHrBcut2UMUz-9Echpr)-Ho zr;R+m)8vuVTjEMnm%WcF>pUx9uM&Y9=P)4R#{T@$@^Q(Qas7=GADs?A{Qd90xb1Om z`Xg}Bms|JGT#VEml6&TJJeAM*?1@FKP*)YduJ4TqNgJH7Las%eir9f0CC!&^W!6gXFn{IvZ5*iJD*24i%8eJ>)!FI-xPY6_FA(p5 z-(VjXU*`S*-{y{TAM*d?z7T>wNn#lW(ijpz6wnJ%5HdCp00Uvh1_zLkm_ytp2U*;j zB+HZp2Y_ygEF@)-asj^zrbvFqu*c(iP#{%A0dUz^RvbV?IYSl1r@;1f0n3Wc0Dye$ zc4{`#)EM|-EHOufKPejwgJ=qzCPn6lH)41jN zRQ5!nNdkr4;Z|HKgf4UdS=`jr~&N64|2{Mt}MLDe$-0)y;85!H`4dLCpy#@gcKs)kEA-kQj zJJE}?Q23*KhanIGA@pg1KpWZMS(4re{^d`0#_iKV#{#>AP;ApCq#=Sw8W245VSYJy@!k9rcd~oWz5sUQ*9|SEli$qab?@#%_OpecvuyaP z^(-_Re2uL<$0im|{Td5+j-~Uw>sRdBo*YZe7r|j^8E@mUG&S4%F=Oq$y|D10l|eqA z&L-I{>=72uMw^o?lVn%1-Rv+6(Jhf^#&(kMI}v9oh@zt{6d0sV;uV669@FPVkEz7t zG1<&%$9{qn__=-A!^3W;wqwkwS#YE=!`6%xd~FOD^6D$LtB;cGhvz)DyZQ;7i|yrd zl_(yoU~VSeV~7o4|2i@ze`#iMip;c#8P;h4kai@zlQ&yWY@F+Yg{yF@9ihYYc zoub1+szQv!QzFAulqR?!j<9&ZRm7r(bT9*YGFvlr1{ql`(_;b?lDs9ER5VG&l;kY~ z1Z12npN84Qq)GOY*jSU)N=|Y(ijpQy1Ql{3hqG=Du!NHL@;0VfBMBeHv? z%!>?HipbuToE_LU;5jr`4ufQjB}@?bNkBr9Dc;cJiH2><*FBp2*6)7&Okc&K3s(KF z{`re9*)RcCZk~1Zwe$CG-#t`C|7hja6E;6J^eElCe*K*9e|PAR8@(PwavcK4NS4LV zGMt}&+88kY%6#BI$(;6c5c>z^h;P4PfX9r3k@q6Q5ttGJS|H>@(JSCWs-$X)HX?-8 zJd&>!LfAx3OGik=8X?iEOyX9V#I156Zk36?lgr5&q^L<8MiRH8*IzhAPRXf$p0)>Z zWfB_80R5L|MX-L2;TSe@GD5G4Y>n)Q3`Sstp&NrCm-j+0H-=olD?~K(>3-960BF88 zbd}BtT}@Bu3LSksX!*_!g=d8cn0?{P4rWi0aCXEGvM!bs!Ek6lQH*CpoGD6z#7hik zRGOR?2fE~Q*bYPBbz$crZi%WCLd?amKEhJ0n=2`>lT)Af@Y1HAtUcWM#2iEFuU#?i zmY>4PN4HO2HM8N4pNhu?qI|jhdxep3SOtg zT7s!4`GJp@qRLcmnvmlb2sd!c1fj{8;F}O?j!ZUY_-2GAM;5V*#7m71Uq|TD$c^lc z;$q`Q-;JTgky}Af0kIB{Sb2r+1B=}glY9#Fi&r+9`hw3FcC;1Q%L z$pi;Z?IT)qnXC}3X-?sBB9Z}0(QtN`;3ZR}ic2L3Q<0p#qr8anrU*J2WucG8T9KyQ z!ens~R>dhbQDb8`z3;5O>g6P(E8BtG(~gn+D7mm?RjMZ?ObAbiUmU(TzCgHASrlFrUoNarmKisOSHuTXZv+lU4#(aoJsLP# z`d(@{6{>()BO7dn6O1YFVq>B4zWi}X-jGd=2_F$bF_KUteS?k-3k3sY9gQlJ@i5G$rzSkI^xlKZ*B-fT z;lrcNZR^%Q`_nbI?94A?e|i7hxepFM_GJFc2QHZ~^d-%4Q>($@=5pm75{4(Y} z#5D$$0KXz!vr?wBbZz83dItRsg=-JCM`uKPO1GA>P5!2Md+8+qr1(7ly!dtg>*AfI z-KB4GZ~2aKA1WV3j2gOJ$p%~LW@S1(MOjEMqYo*6iu^V7Vf0x1EUg2m24V@B*SJ6e zB321&jnoKkp++<^6jT2cET67wY0h1`*$U^(^jB)k=X5k!)j2**)E`Oi^CY>?hwMPxt`?S* zo}1QpvihEszMIfL)%I2Xu-OB^0AUX(Yn&>_2z$sQ*#nqPQ^PnXT|c7ATvz+(<-g2- zdh;9K`c2nQhRU8>f6KP*>()M*Uq%b(%>ttVzcqi?wujDK#617{>%ac(n{WRX`!)Aa z6#X*d4ik`d60P&<{lI{r0ye>m;5>LeTmw1L6huK({idi=i~wY!3@Ayg?h$}cp7H}f zU4HIr*xtMSuXEmo2<~&s^f(S2(eWdERy*y@d2$W2d{g&-UE2Go(Q#@u1}et)xYb?9 zk}_V|q!IkDj@6(ez6U#%$d{A{m~kFo((6asr8pkh{Cj?K!Ls%%ue#vE3(vbMPzo!b z=$ba+r&UwiJ68|AiSJ?i@Ns4*x`$(6DT&4l<;_B@5XE_}7Q*(`9ue>^EL1sSnY|*N z_Rtj`y4*vTdFWKZiM%}r%LC;T#EZpA>0J4e^4rCS#Jkhm{Lj|C#HeC87734;QTKM3 zjnkLYw9x>h$Rc5pxJX(gFH#n%%Z26Qa%s7|Tv@L6SN2!wcvL)HGcLVQS|Bg3TwJ}T zVoka`{XOZ2$|Kc})@>g1l=L&@$*QNS`zn7^8LD=JxpJ4NaEY`_RNHAd=NwE_xJ23| zO7L`wue5ccP?b?67)wIk-5xy<<@9JWdUNzh z6egn&N9pJ<5gP>&hm#HlD}YZoFshva4g#721|ayVeSuID>1@DYlK_lfRI;*!E=dG= zXgAc7RQWMC9e&L6V_t-b(Q+~dV(F;mk2E#lUvD7A>4?3>Y9boK>>N$u-;Ad4Z$(Ll zE=t-A@J}a-w&kR+EDrwmA%#VH(TK>E%b<SnWDxSPTg zQl7x=U|GC!co*r@#aS4Pihy?owCu3$>swW$N-NkvU8QOG48Or}=FInAeth=C@wMNbcgHUmnmd$RmfgNQ6snKk{oST7>Z8tjpLH;1m5r{YkEAAAL10EwWkSO4}&L%DmIPr-cfbo0j8|PNp6)v5RMn|->imz|bj2RD=yu3;nLBPz-#vLmkc=~1@HlgVEyIs} z#PXmA$-*g*uNH+}UIM)Itr5`OwUsVd%DcQy=<+)7Fs)(wgM{}ytAlr#PUanEb?`>~ zK4KmSQrm-%S{-~kU22gFI`Gjk+LyC8_}rOA7a2dfkD9c6#NHqglArUz7ktn+B}h>z zh^Zm?Z4g}(d^GrU@LZ4$=G<&K2VTZG*Gv5PImJPMKnM%Rg%biRWEBS?r#NI>_#$=O z(+D@}tf#gHfx5|sDS`70y%}HlkBthJ5oZ!mV1b%ub% zYnJn@qT&WCSQD#qSok$+u3{WsI~9ZG?2>A0onW+#`pkSou+~iThCg5aSi9=zb7(83 zPQ7DH-_QG6uWqmFM!V9zJ4Q{II&J5zDEY&OSPFt<9R-#G5iR;rRM{|a2=I@=A&@s` z${((b^Fu4K3Bt$lRn|Tm4@BM$?K$9wQVQ}JuN;p5K;a*b)Y%{?){-I_wIwYnc=fzm zo@@{vs(SGrX7(bn@d^b+wV)ht=sZs-j?@A#-r!Fk8XL>2YC#xp6lV;S3uR&w)QPQN zf;bb-M05Dr!V6)JRsa9J_YuO&w(S{ z0r55PNAW}OXYniW7x4#hN*sZ!Bl>|?EC*#`gV+uXQLq`hx7LQmxHjW)5(w&rohZ9R z+!YEv0_b>0XhE^`9Tn{CL3ONJ0e1}t{`=l>z%JQxh5 zJJX#(|KQ2aOlrfNXH83^;n#q|{KN+@6 zC0EV@a_eN?Ob16Rkj$R3xxCxwl&E6+1)e~YwcKH+^~DQ)vb8wm1tU&Mnn6E{8Uuk7 z&xfF0!O|)@X|$wMgNPMbP0YAetZ`T)DT@cx_XgB=DK>Y;$4RAcNPqGwZdk#_L^Mg8 zr`@438qG>BX493k*@8v}HleVnR?W+|-15ee!qQsS?i5`1kPy&qEMV;p!6Eay3S5kv z%i}|ie7O`vxC*{ft_5{mBR@tS$4syr++@DZafvcPo21WEF4eE%7V}r?J@$3nYW^Af zQRT4yo&5))+@Y0&aw#g8D^We}9t|4w>-k&w2blYvkHfuaujALwL*TIesQfDXp8W&i z3-$};ulgzb_d=e7f(Sb4R<&CpgO++st-P6TB_zrUs{@Va_z0&&WMaCKIYxrch}3`X zJ)?mPV-oHiDyV%*f^L^xbZBK_r8b?tRJ=^<(l%(@HBl2;+*c5GSd6(4(%!UE^KC@7Q{OG%f^&}Xf zQ-s(9uA!)S%hOF-CMGD36&l5>^&*!%bg<%g6PY%kbf z;NDOK#qcJBj7#uHLA4GxI&Oe>IQY0ei=D&Gam<$QhYyGkIF6ux=c|s_1|h>F`W=_hI%s0pp}l%+*rB|`m7JvI0v1e2=D&P+4u{F!P&m?+9tidu5a+TtBY zkxWtb@ri+xE3zscu=MM;%Hz9z6!I?Wh z=g|7mT0=6y$^KMMteqE#b-w6U7zdLVP;|S)?lN>G>@b|+jCcp)mBEjL{()dXB|B>F zq(f||SIL74p?h~;vfMpW*)KANWMCNzmsi)SWWzZH-7ER^s3Yo(O7+fqsZM@SbCm1l zu2%0HeU596XPLgtwan9FzfS7W*16YtZkD!dx9hjNwz(e=_d0&3KC2yd|EKs@_jgiS zJ>x!?SD?H6vYZ3t^12*(Ayyfu+@vr{AXf>?D68iXw;B}1sp8QE>%sxI%N5Z@H~yeF z@$eCGh;D~NbdlhHhn@TY$W!xBJn#8Dl-G}%4=I=;hPxk4Hyq8np`-cw^E%S|;l+m) zSPaI8MDi7-nGtqYJKLR1hw~f-`nk9_u3!qG=DtvP10G5+3DYD|E|z|hNW!n4IvG$; zcCH!>`qe>N!jd;Q)D-yP%hX6)$UykCJuTxt*gw<pB+H@U}*NVWR3GMmG^`VSvh78h3jrO)iTsI+>+%v$rxC)D!N&{ax4Tb_P! z%?%r_Ls$Lq>i&!8Oe3wN9QVxc;#MNVuW2iRKh7^<$TRhR^cs(JSYzSVyk!Wu0GkTv zO3FVNlW-x1%7wU^gh_ECY(XvjM4?^13{FSW`ME-e+65P(Mf`GMJzUMN7jA<$^V@_o z@DvIK_%aydD}^NgEB<}RkzV77>ZwI|@Dd2Td5NBcs8J9RFNzU}u>OG{NyCg55>ySl zxDYo|GDj1rI#nr)s2?hQSR1j~pGETk032~FP>*)8^r#GhY{(1b&GNUhjRHTElCR{| zK->u7egNCSN^lM^fMSw^fTFH0BHbtP`dEt`)if!btfWyxYMPiZQ|f2Usq|+wt}rtf zQ{`is1T`6xA{L56F<8bETeL+zp3oA1`oj@IMM6p|ncu286xoL84WArTC~S(g`{GC_ zDe&IVSfU*t^d+fbMD!++8^43zp$?X;LhKc>BHzzcAL=4=y$o=OWvn7j5DQwxUSdJ3 z)F+BO<>=qKXPfQJ;`ECvdzQn$?P7TQuItm6uNNN1Qj#>Mq7Ak`feP>l^&m~2pulon z(P|OdaDIne8`1H`8}))%MTN645_aK{OT)ckVR&Ci*CMt+V<=L_G}`u6L>tTYRaDFe zI{^aADzCBdS5mk|l7EsCo`hu41s_(l@SwHi!=erzFT521I0}js*>FH>vGRbHj1Q0z z!_|cJh9=ZdpGbI!u~o$)LNf^yGYmNttwN}*ESj9WFpO-%sMgVCGe;LL9p}(zb*@;% z@)yl79R1S~#`FLRFPw}PqxS7^O5OA&V^#5ywj0gw$6vL2WYu%;zZ4l)|A^|l@)vOF z_6|f^Q5@6fT1ubCEUO)J?(1GmnOMKIFT?EX#q{CHQn4g1_{x1C2B8#=6lroqautQc zvb0YRHs(d5?nXUgk2aR?gKVRHALxLavCJP51o zgpHyLsRTVTLY#~^dr3(hwN*FN*Ef*R)@WH7J>)Auy4Q=Yy?{)Dx?pzq#;T4-+O~Xu$;z7}4Ts);sqB)vM^x|9UziVU>%cUcg+{V7nKi%*EF^o} z^|RiP!|i3IaHVq$?zUkV&^RGXAE1VXcb(u}cbMr%vkg4pI)i=)0=`wZ(|JKkJ)Hva z$)^Yn233-HZjh#!GZb8HNfiXi>1G(oZ~}ikIAFp0<4qzyK$o@CC1lhx*WjUMcw(BL zwfw2nP3y*wFS+QpdSlC_sjCOuja6vmz}k}9e0|E8cT@Fw%Ns~M-ezVm`VHo`1NcC@ z#cu`eI0OVJZ1MD?9S0eRJIo!26g*wq;>0CGpjboxM|!BN52iZ@X;3Kv;OSEY4?{SV zI7XVKtCp^8bCZW^80Pui{Mfat_dM2p?PFIjY8k(1(fF1{?C-nx?CI`)Y|rYJMT=VS zn`Byr$I(*e4g6%)899X03|X+hNh0_VSmT`axb*}ePVRk>F$7AW3vPV%y-Szfd+)NP z_oBC!-E+?}T*enq0f6KKHOc*_j<^lsi*l~~vv(^V2?7-Y) zII)pQ;eHhs8;(Ls+o01DO_E$YHHd2%hzHaD;9wxAW_E*E?KmAI=>F8Pl>wm%83MjN zutQd`vh3Pzg1{O!%9li3Pgc@qO9dCgI`YrpTC@JMbqqXvj(G!-I-~E+g}tyDj_EU( zoPG6i(kDG?E@QsOBYOaDpfw&_&X(KCy`$ODV$#vdwu-HeIqW=PIg3YScD=Zr-7adn zH{fI(xa|iNI}+V_oI{dPA`l_#xhw?d(6IamC$paY&$CC&ENb@GeHr)uUoDIx5R$E+ z+;n!4b}l!}-wYZ>34#kkn?va7&fs(BiwV5O2 zez@=rbqUGDZA4+5@ExtBmM3KRf_z*?Kn}}K%ZwZd_QU!E7K%XU&~mU$gbhA2JWe<@ zIepSv(~%llZa}$r1QSSfFr2%esX5%mIRbV$;|xez;d4+csk4*FnP^#5E6L$c*Vk#x z_rI)7v~*50sv8d;tX=byj*Yb>6l!BfL98e+DQ()GGn>A14S#!CUuJVj~ z+r7x!kJcKJE3ZtNs&=QWJTX4Jw`ac#O^GPaAl52L0J)BdLJkh^X`Ks_V9f$--$Ol^T!Q0 zz*U{012K~S>Bv=Bmtj1y3hMuh@j@6E4s?pU|0IZjuEYk6N_Hk`TVk7haXKp z|L7&n3n25zF*xyQ^Qig#UNha<*lhpxf%3Akdw+lBcIex+_6hU9J}_Tv?b}PDG~ny( zO048EU?i0Y1=bOiH=2up?OjKK-a7vY(i_Wm!`JV+3wK=8nEyd@Go#t}^mi`X9oQd0 z-*Df!(5Kv|F7!6{whKMaJ?}#Mx&1D*o7?R|JGq@Mw2|BBLO<|7xKS71SYw^vSHB`d1oWOjErr2Jiv`kZ4PNB5?mjBj`AP?Q>1b4!Pj&sLd=mqWt7kZj| z+J*LTdt7K2x66fYQV_~Y%84l&m3&QDWg-NX)*!^B048b_5Mb%$l%7Q4K1DTcLQG4cBzh#FVtO#U zKcAdAO0HUkDND)8bDZ1dl)zBfV2+$5bkL2PLlkS4iDBhgFBVqL8&O}!{Cl>*{x2rs zn5nS|-ucspN@N7v%={0WN+t6iO3V87{OvrFc$ntkC)_t2dX9UWLl1NNI5d~*;tB6v+^e<;qEvv8-I-`jhH+!jpgyB}GJu)H_>i zT;b3m&9`iZF)&iKT49M}2GV#2J&+yKA>fuR;@i|Q~M;}eJyr7u~ub2C1C^CMg z&)6s7G28ZB&ngoYEd?Rz%uqNEb6==~_!i6>an>_14#~ z%*y-FAxR2~K|+^Ps6kvmqk7bhd(}m~__xd#o9TLy?wo7hmML zKY!;f_wN`p^3iD}@J94;GCA{|f>>pBQ~VNj<+AH$Om7?AJ+`!mScuUZ=oVc6O0b{S zUn}8NSBW}VQM@)7t;T2k4p-BLYaYXNcIF47^Mfmcs5uCOr2bx9|3E%e9(BdSQ9f4o zDG0=ZQ5XY(5m_P(T2x4%qGY(1&;e6})aWcRYG`!9fCQnfL{%JEQMTD)xb8>tgMon8 zo1bH-da{P(rPdN8*2>E6;~m23!^&lY_d>#0W)Cu%E867_SB{x5vvro!BlF&=ZK*M% zn|(WDKU;g}mI;^4nf{Aix8KA3Qb~CWrZm*xk#_}5RYqz@H2RiLzpA5QrptT9xX$e> ziM-r{XMlT1WH&G=y;9!^u%4+GkcYjO{T2HjVmagxyeKG?p^-uZYGj&)iHx|6SuU() z)(ZX1Gs0A%!L8c+p{{>v0T+C59f77My5xu#W9HI|xsiPA2ukf5T7BBHPj5roT$} z{q}VfhvrL|Y74R6{xI%I^WjsJYV&q$yF+L;oWstx&64K1r+a4mX8YIaYl64<9hccU zgq60%!gYczBDCpk?iT;}pv_@pg?yV^sIb|b9^@MnWV{6&BTE2|4286jQh}o8=jExq zg!T7cRn;I-;6orUtH9@JmIAM}Q->>7;AK~tW0E4PnVD4v)>{@c^Qy1NvM*dxGm|Mi zS(9kt?}m%?s#vd=m(MSRh2cDd+UnOH$`6z=lk&5weSTK8&(Es%`B~J?%sF)3?^kq^ zmQQAD6x1w}QOiDK0T%xJa6Za^s$h7an5F)Ap3Y9!QuIJ(3ZUlZ0H-War%Xf-ev#Zj z`JsMh)WNQN4e$D{lGJ=aQ)uP$oR zzWAj%;mJL?C7nA8BXBPyqpSZpfY3JpqQ3!vzCB=rah0q~!w*hO8+%P1ehnl0GS}Eb z|Nm=jq3?Iw77kql!9Tm^v!VL{^C)Z?x`zK3uHnJokMhH=QU8T&RPfDF)w)InOTb)q z9y|LA;70e4ApU2UQ!n%TQDdtYXs{hqtv^M(5hzrXNvFb6*YdD2z&&?%>(Z^bbZ>QaEedB&GC5rMf?W1N zZFOxGE)YqgC@@$XRKPOJf{D(3VN~(XTG=k-u?nz5iLpx&U;v|bbS*GE{#peK4{8VJ zNUStg6g8EMuXLpY4Eoi{>0|RR?Z;08{whB9OpEMgeCRVmC{0iFBQWBvi)Ml zwEO@qScwC(Q7JsyI;> z?t!_i&PR-C=J7j&JuUIXtfrQh9qsTvb9-_7oNIRfbnd2=lc&S6`m#uDT+>t|0DF3V zQFrIc4L@l~jK&mu2S0fnQ_PEnW{RQsw3scAcw!u}JOB&uvl=pI|ebz&UHMIzrp_?jdCB-m* zx4!7-Rh!nfT{>&pCi4y0w6d+qTytyF)Sb7Y{oLgDTAIeKUDG-aUJdVQN|;w~ZMkR* zruEmD$1Y539$;M`0UL<1ydB{uJ-`C;K$wYd4!%iL$*Ark4n4%&?;kWbqsXb^4e%mZ z($is{GYIuOiqnOw0j+IvMvAjHItOCm{5tFK_a2ct%3c}<* zYPRC>L9E4FRsMu*HDU#2%Ia#1tV-v&gD1vri+h%kGs8aJek0^pO}y;($LCL7*K_HD z13jZk%F9a<@#3<)dz)kN>I!2Q664*^M{AaLkBsf?ZmzE#d+j|hF7bupqw@Uzkhy(R zeo?BaxPY{Pk8qvJah?2lf;h>LsZ%YMA8^I61Qt?u3Y@T8*e@U`VBrrDA=Ic&@5u<^ z`ON%?R15RcQn2f?mBH;5a}$>#W~2vK#{6ivJ-;+@e^t+QEp1b$Y=k4tS5{6j;BA|? z+;Af-Hn4nQZKM^hny@wXEA zS&B+DduGmwE;~4`ulwhJZdk*#)_0ceuexah`NdWMm@jeN%fYXVB@-iXop-wzZTG+) z54=KyGc~wPhHGUwk%z4;ERL3!=XYtb`-;!J#;uK-iX47qEAMTMwwO78=|Nq zx*&=!j>7Wj=qQRpz1!t#hY-5##Zg_4ss;X|m}XJ*>;d4Uq{A|-CzF`fokWNSEb8gx zAl~DShqR;4+^eVv)3Tw7sjIC*q!(&p5*b2sGQ$-yU!I*`IP;-(D{ma{4khO{edp=t z#;m-cUYc%iNi3CY5pMV13W}bqzJGO-<3#i>mWax#r$Bzq)Gr+KH{pXHJTh zrD`f%gf?T%#f*t*lfoL$`kTkVn;YOw^>AAa+%^g>8qqxh%^U$IjDX8xJux)96fXC` zZXGVx;S3$N=y00^w>jYw1}+Axv5X#` z?fV}(xWkS9dmpCsPjR0Yv%QG*{1Whhab<1ExRRSnn6eVMwHRJk47Y~i!~iVyK%2+o zLCails|v4E;c5lmF2P1=l7z+!a5}e`LyH+Wje(;f)G!Hf9~r{bh!g=|ch~_PnqE+V zXCHodfo$^^@KUzHCkEfDAzfxo4ec~(2mfPXr8U<*Ze-yt7g0ocJm1scu)Y-f*MHu3 z_WO7KWWJBJ8}M(R`};<{vh5Yv^0NmY+;aU>Poh(QFi*ezj`<(>8F#|1@b+i=)Gy7i z%@5LBU)!1KJJ1svg| zh&x+`$Q~j^j2?Z}z5cnmUa`o1NP>ZI-6FsoOM8nwMtz+UKUZ zFE=+|V&wPF97*6M{c?L>d)xQHZ}7~SIWzMw^Di@V&cT0Kp)9(|2djLr$qlRA(3l1x z2W+xKTO#bULa!AD%+PO!oyJ2(xlB&;0T1tDysjLd}DRnsz_@=&n8YJ}B7g!tXv6 z2J+mYR};ipAKdfi@9zHf{8`sGG!5=s)3JLr`QANy9(-iy?nh8^mxY_SwN4~%G8C*Y zcFu9q8V8g);6Mua;~^;?RPoSjfo2P=G{I^{q8s!uM-P+qP@{%6C9KAO;wF*WwLN4g z5fT&SUNcH>T8})=Y;zDnNVPf)`c#K1YTjca8>3Hv`IlFrj9hxNFMl~W`+kR)2QhIb z*?4-aFFMn4It=vZFGFVd;|uq_o%l%R&+q$Q_@zB3PVT(sI}bu$Z5ycH_zlE|UkGmx z_k_1Sen$TxmhB2Rz7;VudyPuu<<>gFEWMPYPVzaXRT&z!I4`4p& z4=leF%Wb^9WD>pK#_QV&)8}m$&&fJuuVX)zWM^>xq1muwHndhiCsOoymaq4SNdPDFGs zUpxHGH?A+1sp66^5_jwFBh`)9R@uFa zudQ4C?R|^W>Px)~$}^^P+|{sZsB57uInP*sYfDM`+`c7=T@P=WJm;pfw}gMzaB!a8L(3wa}`BHU$(3kRd=Zg(D8Q7S)FX);OTr0qCY%y~JsBQl~RB zHJ*JQ+@MZXQ#Elok;uvE4ug>Fkf~y-fh%j&)?6{5rK*=S-4(*mDD36i@6r?2aaOC# zA+R%D;9>{5^i^||rmU~9OTfgqq=Xc)x!O7)cKBcJH`B2Nrw|y6`7y8V<`eD;O z{nhYV{}a~)+g^n9C+`LQb8W59hyVCU<#j(Eob&V(Q1i#nrfhqhY3=(7k*z`M*hy*7 zwN*8sqWhFES%hRK+j1ukgT|>d$sO^6o)Rre%m`|17E~0D`RXoHL=xEhrUKSLR2;

H!l)u2A}LHTygU=8!x;g8$KIYF~bwQ?LSUmcsMc| znmr&8ExKVNN^UU6wXR*Al@7T)ptDu=WyKB*`_IW-D-SHI=^AjkgOJu{yhvH!B zArM&;|OwLXpwCA!V~+4x3K);kzRKV1h=0VUpl< zs)kflC8`_>rCM;X4^fYfux~l$)sLPVF`gSK$Tv$L59A;4n?eu&{`aH3AABGiKK13d zPMs3hvUcx9X#Tt=7ECAeG# zey>vj21TlZD!dM@kYaZv+fYOk;|WC_69~LLVjL|je0zk4(UCa1P}1hR21iC9@w}1! z&vt{p^2dXA*Da|YHwwOpUZVoip|C^58JbI?FWf`8C7)Nz~gQ0PY%wj-g~BG(UzZr{>04qjTRpbmZKz1vSS${KoEI9$m`6S@fas4tgh6l1bWwH6^}EA1z6%OrxcaDhDl1 ztV*O9RM+WS^t4XdqNK^mRu5P#(pyo$?{Q17s9vWLHCDOP9%od<+XcCX`K8R<;lJoo z19LkAV}XJTXAN^cBnuZPOb8SOAQsX|s0;5rC>Gq(61uN{#*DuELQge`r^7QRZ=9d) zt?rmp*;bjJx2Sb+(W1q-zO-}qD?HZkHnsies^&XG0cOR0l+7%ZQTFMBty9@2Q_qB(5O)>Ju;DMs9!Eq%DJSEyv$Vd%Oe=XO96ZlI}H-Bro;7* zJ@?#WPd_ajKLpC~=ZDz4w8Be-_c3zwlX=0Mfi!3{>@d)k28fFzp3F>pj>fH4r(!_w zv{QSQL#|P~?Un?!&Mq@B|Ax8s@o$$*(%}v!j4lrYj5i+ zf7JZ?jMf^TIQ`DH*2BxvPU~`3%$>KXBK5Rw!vouAdzRc#pZuU}?#`9BuUIauoTXYpN1!d5I-f~ZH-tq$AGO;oPR=J?n3QZ>1sD(bwJ`HWsK)-xY zPUX2f90whACAxGygy?&Q+~9Uk%I$GtOq1!5qnDiOFk`?+$|E66Y?%_(D_dk6@5BLH zKr1c|T()jmQX*U~9o+|;57(EObMI_gf1-2hj2++U=zHSYnZ;Yacg>pW>8UD@@A{g#H~##((DN^yyKem#&*jzbn7godz9)0u z<~dcnR!?G7zz8D+ZIu(fsP16(ssNM)ssqf0YEFPQGqmVor|yuBw(DR&#qUMDz_6y6@E5sZEZdvUwVVU=;A8Y4k~VP7xBxt9>ZRWe;xGG2>DmKGJ} z2=37ZGe{k*XjxE}m8#3Xqp9IU*VI`%AMNOS{F-21+auSkDVp9o&+n@aO`o-8X*ZK0q2+xxrM%F6SWOH}a9>#C}{2C4A3^I-mt zdJdz($oEFj8qDL-ApVHmVmDe1D>)ThYtWn37ONnd#Zvh(6lP3#WO9p4UM+)C87O2R zlbM^%kYQe7re>;CsTH6G4^ddK1+qiU9&A(73^l0KHgv+MU{_krYPH#lVVqonq5El> z7_k#0s8OT^H#A6K%VWGomufKbWS9({3=C>a+{>!xSE1gBE{A@^6bEb-#FZ|%gmeg) zgS$nA-_7=#>d{+_+S+Oi<= z2bI5DbzlufwtE)t`4=a2)!h^-UVh@O!GmXSou6N~bFS-+$sONl?0ukp(xKfb$&8Z+ zkdsQ3pT zQy4I~!XJh|3I~TjZM$u)zp&}{#`9u#_z&UVhu;go{mnqj-R+&n)@Cwlvyr6ZNHk=A zFhi--h+H!;g zY%rJzUh{yN?l(e62TOHOs;*MgY7wT0&Ihi7+#CtFTQRod0 zzsr?uvg1VZKbCB#Byaoz$N4cQ;F1X2BX>oG$!S)2T`^H&yYnvb%tzrr48C)+ZpmHm z4#Cmd2WN!;GjwNjptR-ay5ZyD`>FQ$BTMf*yZ46QoLCY5k^7bD-QQf-a!+SjXgEqi zv5xaEKNzR+s3?``l!8a5^iwLT6>OUWM5e>aN8&i~Bu0%$n|m(q+`3EwvF^<1W5dIA z!5OL^{S0NL41OZD4ok3gfg}Z00*b*ePz(gVRmk`OToBn8hhfR^FsFCs#Xr&aFcn99 zL2aoV;ygy9Mden;;Z2V#RT@4C(JYip@jH`a;NF6_s3bn(6w&wc*X&vP(03me9-3T{ zt{R56mYY{)KJ#7k|MCQv=hH8uc<IbEQFxyQwyli2~jImDvw&Q zs0H+8)J_&^GwKkTQ$}S1aQb{ds^rT@Ol4!j#lr#iZ4>nU6Z7KAFoe{Ph$DltL}Mg2 z0F0SH5e*N-edoi?r_P+AIsYDxr^!ddJ5h0j99w%kTR2-aWrQ zzHH@Pzv#c|cgL4p5T|yX3^jkNYf5p~L%nN`bxn&{Cl}IOPi$mnFk_o_zm@JV9W+t7 zo0yI0OPTe_T7!o@cSrRGzeb}|+hQi_5_7;YFuS4yM|ET7+mDi=8tH$eb5h}^`+LJ5 z4G&*9crc@?Wya@XcMiribN4n)4%gC}`b}jueiNtkz2fIc>16cl-wz%i2*AEVIFJhm zd{C4$JBgOqDs6O%b*`1pwLqB#Dg-DIU=Am^i#VNZt=gNf)o4NnSb-!L(fOCTH)6n3 z#}>4`Mz7N=c)i8>?$iWG7;r;B7TI0?Do34zI-GWUoW`%!?l(ZIVY`9W8(^*hCL16V zo61sxMu~E)#40f+qB|%pbMsRPqi>hhvn}=d*y0Pq`NDb>z(Q%ywm!ffKD?6M?9?}V z(P+k4RADYGVY@=?eGyl1f5pPWP+?K^z|``a7DuIEY1P~X8C5%dA>j&9*#Db_&OFne zgo=EpYfL0kat-_9O4B-Dy^37Ch;osD(N$eA#}d!pEA2M&BYJN3q7SECu-nyXiAs;8 zY^@ecqFOrUr{kBWLmE*F5)TY3h7*HL3ulHy9gDQpzGoz?wyH{2617958KwM`58 z(?>NpTWu&qQ^*g4y<5wmuM~FV9n7QKvkqj@Z5}w_hCNOQIboR-22x>r5^S?Uvk{gX z;SdF#GR~bb#Z5?iHmuHuY?5Kj7|IYbG76@pxb1eOn-n+;Xu%YIc##mDpes(ZlN4hL zO-ad4%T5sN#mo&&vnNE|P>Ju-0~69YjMyernIt#oO;_%qf;Z-kCX7Fg!8S@HCom&d zh`Fvpx$3}mrR(No*yrq6xAtTo#?kjTUH9Cc3h^rM&9|)aPu+ZX zW77lM=7>USW4kqTipN#xbDJ&s3;OD6u31@F8oH@gTV6lik(56xGh=doW`cF!#mG}cMDGo zuU*I!UOUO!xEZ;$0NY3k*pcHxrNt~NitWpuND+1wdER&7(s&Y9-E%^*Cw+`k7N!0MudxqS47k zfy$}qVUJl+L7+MV0Ue;~1PcN73Izgt0JZ=$0RT0KMBwv;N)8)FmDBiU84oPSmh-ts zGCo_z*C5z8$O0I-NtRYzA1?TDc-o6lq|~aFGODx~phE2a@(3EQA6wI0E=ziaSD4Hw z?jS@y1;_4z;^65FBN+8Mtx>D@=(HA{_EsI}blL-2XwgE37POk-1n?z5QUYia;C2*STw*dsla_UAuPeuB!fZb{mCpH2I9&jk>`aA+?&Qs|}8}`h@+)_*0q!lPW#vaxDA+ ztg;h_r&SF2^Y~gSZOvo3aEpGDEcEBz_SKM_4o6+6lFE`(GfX1^KfH`zKbv<~GLDuwn&D@YFI-HfApkC) z4-Vfpr2%Uq9w3rmm(sWns{|q+Ig^;FvaKmO+cz{Eg50@-yhXfyf$E8g_1aI14X2gK zwEO#r5IKn5p8(M5NLxIfGYa$h;Os`!vAyKmz{WgEE%!;R1?jGstRAtyZF$6)hQebw z6*-qE_~+<#_j)0|ls|Z#2z7z*h+F1{+(Ij);+6;#oPR1Ys%I%+_h}?y#_?XN5o@Zp zKF3O)ml4M(YWdqF214@N!(4(_{N&|3hvxHIluQled}FlRqmr4aB*ZCnw7N|wVEJ~@KNB{J zewmDp-+|j4-|o3R%Iu&oby8$b<2pWCW+ntqk8shlhopF0vo}tM$0Ir29h~^RqNW_K z3D-dVO;&76R9%^h_Vy-hX^5}+S&-!Ue_;ac#0vn*jCr5Jkpck&Te_{Ro!n|!#759Z z=b$Gfg%TtEkn(Q2@AwuT*pzhhs}^fNl-vH*YA&}Qz|ZY=C+xwY4xef<{Hvows@Xtu)<+4tRGXSjY+zZ> zl`G^r$qftNR)HKw>Ov`fA{C}oqa7Rm8kL!qX9O`+s!0@96sC1;;$h%vMt&Z*`U>1; z*O*Mq{%)o}9~s+9I4crUt84ufWfQu5j1%nu?W;Juwnt4Zv8n#+9lZiw3+<7X*Xt>( z*5?bZWCjyfKu+t_*9T#C*J*2jq$HaT>xn%7DC4NtuJ~@BxyiJySRz<-e9Yt0)mn2w zUs8;B+2X+ zx|urMO=?kdj$dXV+{bdl*Vd{Swr9RgI;he7!Nj&i+h|SS#QbTjR>UstkMUgD!G%PX z4NOHyqiINUIGcQ=zcQxK?F3540y{Gclyq@W=w@3m|BXtzfA+oO+c7qUvSgtU0Tpc) z>ZQOYL$DN)<4Aq)WS4mjA_?7ZRFAH$XdctqbDOtZo- zxExSCwF_wX7cP)%DD#QAW|?A7oSN~Oy(4ER$kE(&2JMmyLzjAWK~KlWgV_VE;e`Sy zT2<%TKciqxtF%VX?_T{;nbZ3|4d22<32%TnrpKE{IO({rzdifW`*!8V{4q#~+Cy$4 z{SHkQCOJ4(rPce5(DMx!9$f^t$4HyQ`5?z61kaih4Q>3>=X57GYHOnT2_}9aHT>m3 z`cy4psr6L4Uhr1R>(>xhX#;gBT{pLvsEm18TU(1!)o9YK&r^KeiBx{MmA=gV#wq&v zq;73z+j}~bV|fu8iELT+xny>2o6|K-Z}c3tW5#U|%&r$t^tRooK^rSOE9aVu7NAt@ zaySd{g88i=DJh}ANM(;q_P`-5qh0(#P+aDi(A;GD+Urlhq`XXQHMzA1R&0~S3*dau zm^@S=6@z9hOdM8%Fv(v08@M>iv#dQ>R4g54k7$4f`Bxr;$7xroSTi~~^*N1K|soiK|IL!@b0)KN#`pCbO z*YQe!?9W%unIs;9AC4boxw|cDf1f*8S6R_s(0m{7Xhs{L^D>)>Mw=3J^Bk%8grxuG zUtEnKc@8C-jHm5#URdLGW!cj|hF|rSHZq$^rOJ&9!uP3K@J|6o#nb9l&uB#AlvEFx zm$usXSM@*4z@PX%jlQUC0ASQBM5yRWAM<-iRC?h5wO={wO^_MN@j8Nhb&4UWWT z{V?7AO)4CpdpslOZkD5NR%O1i`ZgB%%IY(-V`gr}zLB=hk$>L>&|Pa@o3xp=4cl~T z&|c`Oe_weB$9={n%&ngVD7KFiu$Ui)dvXrwi>E?e7KbDNtU z_dbERu6d!ogY4~IH*qh{Ju5C(x>R2#@84@L!z)KCLp7P2&}=h1omY5K?~3oy?~)&< zE~~Tspi};KUnhc}Qbn?;E=ghB2UUEK|^Ln7Z8D$l|aC0Xo>?;kzfpVuap2 zaY=^ntVHJE=3xu+ab7R##Fd1Q#y4Ub#puR@Gprv0lU|y{@Iof7WN}48`tGc8Xkuym z$*~P=RZ$UUG}$7v4tX^qn}ihv;I$kQab{gqaVoK2Ntj5^M2mA4-Ou2^<@tG=pToLo z1exc1)5wlJ-^*mFT%B^dX^hN4x@-Ibb)JqUG!G3@(8$Y8NHQZY{B7?{j3x08haa#U zEjo(=U)UfdNKrYbd4}$$!EN(~1psu%7B}uzovN>1?wJ!|r}JhPPozZM${w1JwY;cA z7Xl}NU(QS7%KeJ(IqrRon>=)jFWY6rxb{&6DcGdWXdsN;zfCPgSr0znXfl($%1Q#^*r)bglNB$7(3_+(kdVvH*E5 zGG!yeSkvI0%`kt83|PwDuoWe4Vrbfr#%i!l=Byd`Ro|L9PCB-YCB?G0yrKbdXc@IX zbS5`(cjhB;*ZiQtE-p1?(~soL0fpP4cDzG&**3J8NOO1&hx_@^#}+!*-j z$Qnd!`*y3Y+in`}h_G(rusZtlXWJd>10?3KbmsexW)vT|{h2crehIM(dq;^VlUEo0 zwN?je5>J5J>j#IA$~|V6o0XQ#Ii);)+lcC!)iVwBWLgn#KoJtYE^2i%d*7!O&lIOS zZ~Ajdp(i#`Zu6D1OMrlYQf}DtIp(c^{q0^o?QMxB{!z9SKLc;4?KJDL_@h zq)C=-pC=YWHf}5`0Kd?u-tb*3%738NN2XYW$FWMJ{sXG0(o3fCb_F%_IC(DX&)lRU zPerzSXoXIvvpJkEjrGvf&k`@2?K=F#=8`jA_&~Eu)hNqRHcY(~v6j1=kGwW}*lg~# z*0-9=Y$u1vnXAMUiMl1bP$hAuZmJvAJ_!jX+y?f%&}Fmy^@yf^3r6q9WFw{7)lB5c zh(^53AC<_Iw%cvBiBsDfP`0VnD2*r+UVvst>U^axrLuZu3JdB*OsfFuC5i-;@k^xX~;15@HZ{mg=(#oYskB{-4}jqG{7g?K5rF=rB{QVLkZDcs#!+3)af z$oX=hL!DFxj(IQnp}NO{t748u<3sii<%@W+n7U38x)YjxXUimLxUHG&Q6kdoqYb)w zrd0#ibPYp|wp8788m}j-G_Q>3Xoc_lu1sa(FHT$=Gxm}0nuhfz<0!)JDHHeJ=$D1; zNr;j0NJY)WUoSQ-4bfDv?jd-R} zlTZ(7rb!xmM4-H9MB)09;O8&;xd&zkj0<;Ryy>kc(U zYIKXIo7sV1Hb7ruQ+=!^`J}Tj9y~&AG%{qys#c`!EDt_~301`=bkqHF0Pq4AZ62t( z+*Vi}(M&dpHF6))yz5p0$$O{_8$T$79l;r|RK+>2}-c$bFjRe$^ zIx@l3PNs6pr3B`b<`5ubVF>-9?f0ChH8k-GwsZ^objOeQjf5$3fiZ z+D2k9N#eUV@_I7${3vs^dTFSey?Et3k~}#$`26TNlAJg&s8+dnEj3mBZ{|i}s;|JP ziqg_?sZ6ca$0CaQKeKh#tGC>b0g~@&&l9ghT(@0Q@nDZx=j~pTuC0eS%n2?8CGbG1 zWo7t`0U#Cve3-3|qWL*-_@EIARcJ?L86^n`peMOJ@ZCvaQ4VK`)JO?xemv3(5)ci? zkUGKz+H0dFl-f5-go7bGzkjSsFB=(h*u?K?fn&jf}VaVN}ToPQ** zobqE8BB#)&GJ#2$zmZ=~nEnd<(SPfFGZ+{4U1_uri|^d>tZ(|^Lr3C$xJ(u%3sp zi3=oR8IT0@_%JmQsozdC1Kc?4Fi>M}$}s3@}lTKkP`MRu|tu;vj$A5wB=*vqOLb zu_RJF##Ev}lfOWgQb33aI%Ui#62L|XLxdCD;e|Z6=UJk4M8FQE;#jx&#uS1ZX7H@nDn!f$33DZLqD;M1E+HQZe#mPzU)^^0dyB z5q?lVomEfNNIL=o)o-^v_9z7?K7_I|en^_YhS0+)IuLxddull=KqGO$in%H1*~6l6 z<*FW`Y&_rVzOPao+VHvZZC4j4%di9#D-j6V2^N)R12c9+FA9AF*8H(Wh#nHdo(Kc1 z+C{96L$`g?EfQV+6D9>iP83AVe@e(5gkHG|NFMbVl`2H`2}^#9XGcld)kpw{-%qxJ zUINO96bpkO=sQhlbaD=9PCy!vu)Q&#N@&Yeh9_AsK!sK|W$TC>2{rzgeIFRG?l8$$ zY56Fz5#+lFCCo0|6rFi$qYyo))JxBkN3OHHGEtz}T@;X89O!9ie~}pC5Xg<-zV}8t zA~~P^2?z-O;k{wGQ%Da`C@?*xhy>V}ZPXkyiYqBS?hdsoW{9H*J-8YWCYT_>IP$Gn z1F&zjSkfNnXP1`#Py>l0#64eGkGCYV+&5tby0w?}~ zOimmwjEfc%B_Wid8l+TK0cLgt^(`5i?V5uQWOZ&=MztQm8}lFuw5> z2XJr%q9E-^nm!5g=-~uH$06dn5fY5*3qT2er{GA8M1n1-BWIQ)ew_wA$~Xoaj-J#f zV15UZXZ$8)VtKpBU?UF#;2RRixcAZ{a?+eW zf%eh@*nIgJ%ARPr4|z5xQc(H8J|jD|95En`IyP^?{v#_&V8Z$%D=;KpG}IW;Eij@K za%B1_eq{yzoS?2W_^9prq=Sn@`t2w*k) zao~Lfr^flUNtH_5r=zr=(KLN3`j$0~Tko(BP%cxdWc;7=$8*u05BQ$vybr6N@0~9D z?#I#Z51-ku=c6mHwTB;uQ}07ruV242vCV&u_I|8>{Np+ET>JQ8c=?DF?N0wrgF6aqk6S~g{J*`M`TB=rIgJ#gY4-JsI!&e=Ev0WsN47O(t!knh3Tiz z3fsb?<{l)hvdif5();C-{c`V5$o#fd!p(z&p>RW`$0El4PIR|uLP=o*5FzozK~w_Y zQi3QTaJzkjx&&-tzmIzOl~lzCzGFi#lbz69PjS~_W zSn!e;aooTk$GseBSPwyJ&P?zuDdnY>)uFxm-)v4Ww4kvOf}lYy#+xIgSXI-1cBPo* zB<2thhOWT6_o{$~Y)4dA_I(xPW~4sYBl&vIupbG^6n^WPNFG$H4_<|!qUV~Zx4>|6 z;$}*)GlG&S(p(V5JDCHL{S*GB;I|o2Yn(ho?GHBT4iBjGpTUq{KOE_>!B9n``ZhF7 zVi1RH6{2LZ-KYlAB}RABN;7jG*BMv(9l@MaJ_DTQ!94A+7t0&1(7+MbL z7hE)s1s@ioXO9grtE#K&ES#JZzT8iyvJd2uJALf}ZNz92CW?aED>LJf0Ms#IfI7Ps zK**ShR4&6?4|3U-Yo+%Wn9pF(qJ;N&cR8Y_yBiP{eLloa_>5dXC4JyLUuRVtNTB@M z^uaMpdTMnt&uR39aU5O3kKcP+fxoE47-7BZ%O_o@JaE|an@SL{w_Yp)U#k?KLaU_1 zIC_O%52YETsnGCvyv^2REqQ9GvM0DRF&&We zHwTdCKr=X^E39hL^cIMc>8@_bQQtT$#NYjucqcW6jX1`b2_>-D(o2ePw&jt|-a2 z+ah2~)W(74HlqSA0!feutO+DW17}~vw(CixSnX?(CXK=6^^PQOUdVQMHppE9uCBHl z)LV2sxJY|xQ}c%6)K2Ov^`d62tA44$4Rt_(OKtCerIezxqbo3xS2Bt1HtUvG;+hr0 z6*|oDLndUNqb$nPD9U>-WSo#Aw1+e_2bN1(5xb=@Cl*-u>qCy> z`b=cCW?J_*8u}9l=WKgxRpq^HR!Bf36_=CSYF9n$)b=P0l{w^DLpYD61%64V^NF+B z-sPe1p_OiksdUYI&5()00IIHky;O54*>$hw=TMM-yn{g;T2)s4l(ll)bYEas^UTF) z?lDo{nxV=hb-RW>Am8qY+6rf~o>bFjmfdUoBbt$;yh_?Hnzk3sw`^m@+e^}LGV&pa zzi*S#w2ceK`{o)`kyPsQY$-$Kqne1Oldz6u^|7aOx@kX*G#r!xE@*(7py)$;Lqtv#9&-o_ zoRBmkSZ(%4teiecSYUEEW70jk{xdIO zb{Xy~+4(067LB%xn^UKXjl4(MNO5gFuXr*FPsfHv4fE#Z^y1>M)e!XLKy0}p&-kWs zX%CK+B@1Ve^|j}!+S3Eky~H+#c*$^g-sV4xDr3`tggw#R#WEW9b;}N7u{oLINE- z{==lsiTZ8$epgAr>Y%W4`Ga<4P4~d_(aTzDt0i94*>MK;Qkxv7xX%!Iip%pa4OAMv z@}$$iV~FfSTQd)~-Hjbq)o=*)s&yaVnd!E2nsgfaVxBpdttB>ewV8~KOq@<(>rZK&(x#VQf1_tk}FkGZ6jcO4Z4%W3@aoO>a zRP^+yB>b|W>R@%TTRof*;k7q?$`PPNMH4hF0;UjQ2mj2NJcx~r5zHWQnFlV{Ws*_l z9%sAj5C?lZdj}U+J6F8Ry&lLBy0p{1y%Us)S4yzifj3BgtmJR)!ELRP9OSDjaV1?B zQ-WKrLh4}!7 zWv_^aiW<`Musf+)Wxa?{E-{>EmZtfNeu1;7GqHh|XM_&DHgYsgj zWo~xf@mfmnrM;);x`v9S^p=@7UpSOEW{c-thG*bCJjd~idiC+**J?yZpYcJl^I|3) zmrLx01Wpgn!5aYf?duHMiq1e5 zy_H@jTm8WCvA@Mo&NS2nW&C$(v<^YWcTd&1K?JO1*+1I}$BCEUMP!>MKNO6eELK3R z-^H1SH)$-Y`o0Bx|2|Gdr4<= zhv(DJ_9{PluskDaNOr-IIEnEpwnpjq=-#gp&v*D$dpC8d=xwK~F4(P{(gB;KihW;e zVWwq${E1}tkL)OqO_#CD!Y*pJ`d;C?o{!1GhM{KkIA=0`8;!NbDbi<2xXKi-v<1O& zr}N!Ta;vxrq&xnN_HXJLO`o@7bKi_G^}fzlb8o@9FqKf>S7-wr)dl>-ni59@Z&Ohp z1;t30{^U^Ghmm#W$KWh%pXa0WjwF%;y17HW6yE6J7-d};{C#fy)taWlDFdt{P8?tS z`gO;}XBqU(1+*cRQ!ORFZ+YF8|EQJzw^i`4WY3%0MOt0|pdBEF~Ob~4&TT|}o-hk}90>b3Qm{fMSQ z=i3ujoU8onQK#jaGa`SG+bzV6w+T2tbT^oEKno!hFXj^Jf}*W4-&0PXrg{8j5N>6PiqVFR z|H!H%^Zx$LZ6+$i)CJzu!h+do?w@m$^mT)z>ef$k)5*lUwqSQU7F={gPL*hEZp!Ul z{OpV3Zz%8^7JsDK01>tJ#u-&kf3_8(;TaGjdxK>2Ogz%7bP?P)7Z@EqeAAdoLifz+ z7A!sDwpQxZ&^KKalH2QESGRLzF~`sWZL3qO8n1WDAl%vR$tw!wrgmd9-+IUG#^tmP z`@_32t9_Y{laI_75Tc?t=q5REabDaE1$5rORa`p@|$x6qAys^Z%RoprA?RYiKfB|w83vrqNW zsU#)K^QmhgFffs(1C#$?NXElbR=8czp z_`*UlQR;;7)RF6k0a%<>C%AN9QSc5KC(LBrnFkzpzo`x)j=aZv;4Z1HL5R5)lh3KC zT5ci)6?2}4qW^YT_~_>Rrwe9G?HxY!ZyEXuC$aYK-I#YO5EL=&3z?eoU`F!@(Qsl6 zijPw&v|lg22MEr@t|fu%z+P1yuYvQ!87+@(Zq0q<)BD3?*~RMC510(JRfZzd7yW4$ zCTuUUzwTd%Y+HZ7bL_S0G{24rOpKC7Q(+j!O%+-NDt*Ld-oAE*aIA6zrMg8I(}4@c3M81*wmRx8)ft@99pi1(pVQf2iQd@76EHFAj=kcYrQA+vJ5r0P za>EeYZ{^ElZFtIK(m9lHeR!Z+xngS5X{hQ%w&WnwPUCI3H`{JVNUJV=Z@_{BcZ(N! z-W7v(;r9DQM_?dFuY2#r)#7~Up@fRiHjBQr3e%q5=!7VGmLGTV&afZhb+UM)x;(k) zPb?4CAC*(p<)^m-_vMN4j7dI@j(jg;P1C1?Fxh6x{L97fM&qY#t1S1(e{3K(TwbHV z8IBQ?g$so)T(z8Ntop}SjWpNLwevjIv;;1USWUEF4K~jpGW`a}jK7IKw>9s$QcN?0 z@EAO_5z-ai=510YBXo9#UUPKcr09yjy@b0~(Q3~mbGGwcoIT0BoXTcxYA3^8V(V2z z&Ec1p4~k4hlUwXn-=6pq@B35XmG*-<&r*)k4Pr$+ka5by(yE!Z_b?@lz_0KbCxInN zP2_!61HAnYr zkKsl2vl#IjmfqHiz-Ex;iR_bk= zb4A-`OZ-?wl+9XUuI~Ex*B?nORYn<)EiL9wS%pz@T!!8s@8e5k4!X}Pn;*>AUXiDc z*D2nJlvZt%n;yn$@@ASfJk!&PmxV45%3~hI`mXKRxRXJ)9DI+{@5+yR)t-;s%f7os zBeQxZ%&x_)02A19owbg3=@cO7rOWIrT&koqHsb2+iriLQrpZOg?MTFkMNWC#ry_3G z+|~gjU}w?Uz>!@r%~K*ZdG&j=j%#pr>+VjE$JvI4;i7jb_1rT(DRX|G)7Y(r zOQiB|f*s5-w^*Uomjnxvw2Ir)G{P)VaO)C#oY*#aj-ao~m6egLn>oAJJ(&w!j z*0||UH9P&q`?B}5*-&IEUIFcPz*K9iD8d~JS?GWu#+1fm{d@^U2u}5{i04xkci(k) z4^1KM)?7HO`=7Fo_6jXF95fe>CkJgp~-$Ct(kyex5ZP|X? z(P|jsbNVA(B@))0>s{|QP{3UMbt;#lRU6v|{(ftLY_b*YT><()b(f{H?oT=GB63ZhG65D zD$5*wt32z5dzQYpSE2DvJZn?K+N)b@rM_bUx65NfqFTIR{&2;(qwtMU9(|rzia3Xv zm(b%^gl)W=Hk)a08A4?`Cl?~;xUYa&w4ON|W`bE%H2I2BF4LmcdtaWW_Ue8;2;Ip= z#}$d`Ffq4jez4_Gx6|64W2wL&G_I_Oi)J;90L@!upC)ym=_IHI zR2|5ORBl9Et{Y8UmG%$6oLvlmMV_uVT~|kcZYRC*U0`s~xeoYSa%N}2Sk6_;CK!d0 zpIdhTx2t)7Nk-8Cs2n!#x(9OQY;KyQ9`XMshRStI}xG26?$h8oCJ zkDbuc?BsqM7<`LXZDL&$j-1lnzj|FA!DMX+cRFtMQv10QfS61@L7G;LGLza^p+Wf9U_K zdyIcA`5G)=*Z&^>TJayb{~Z4=)j!w&vn*e-e=YsX`_Euv`s+OVm+mj>-%G*3#GpyQ zO#c@ShQG_g^bax&%&cE#F|+^WGPC~|$?_%3!ur>229_@_E8s7946J`;|8a(a^^5x- z(Ee@pmjnyr7vunje~@PU(!t97MQVRVcz^Abe{T=Q|K1*dJ*WS7`_E>e|F2oUy!k)d z2>;CdcaDGQ_y@WF%?|kg1phC6|MKiFV*icvKiK?x8~?AE{|mYQL&U#y{YCpfEBgQE z@jv_QUpl_d{%4GtzKr+>ZZ0l55pydiV+T4BD}5(pA!9>ZBV#%#V;fT^GXf?CW*#1R znEyOM+%lXM#%%ftkUDO^x;84*EW{USqZ;XhWBq8v_KT}y@5Fbjv7RvEd@}HBWIOa;*8|A-~|I|8?R;U zu}|n2IzagpghyT=+6mT~R`+6i7KA@qds~hqPwx3VOE1+f)5el zwu{l`q0CLPXU+`%8HNMR&|WDtAEm??vnuUl5;w9WKjJkR6LF}7ZRaQTLUW{~QhVA5 z5<=bECH0=m$?X5kacEmQMz1=wMeBJvuWa81&6P}nDv(|7T3zvO$ragSz#h`=da#xj zy@TU`)X)AuJc$2ph5tPY%=FC6Uq#vefrR1fnask<_&=lamDk5hNx12;wC(XB>7tKN=2xb?_Lm8BtCaT!gJ(iwqu<;UZ8zo z$12ON=YgXim-%lfv0b;T*d{Du2Oq{l_#B}f>`N@`>wB$)7Z|HUe7fybwXOUXidZ3$ zFLKMS-u=#z^K(~@2J#&8lVL^J2du%6bC=T}anrVKrM2Q%yl?DzWzIsix-lu`sYkn* zm-y|BMMtpVLjnPSF4Y9mJx$kaF?Pp;H9RtPn}Di?X>>4iS_Ey8eHjkNgK% zcical+_xMkA{F*c&0y{{<+2c;k?7zahi}a(-H6x_xe(4kWg&Gp#^QSl4<9LB*t;v< zMz)(1CiQxX&4D?ufoS3RH<%tx2br`0IiT~A^lD{_GEs7Y{`fyVD&D{};M&uoID|AbF z>-Z|V=Mbnk&kO%XzGe)@98z>l=>0SQ`ja!>f$(hs(YpUJmW%i8oHuXiE0gC(b(8S) z3Px*=4R+^4HqRs=4dKBL^27A;kEr+jzx$_mXeIpRbFTH1sJPFkZ&c;KuJ95wz+Be) zWq#Xu6SC>7 z3wM%<1X20K+$9OcgyIqnc8SK(wT4BGltqCJs#ddvx+pxRrMVLT>&rZC9}4LcbC61z@AA~Z3-og5#i%_b6IWzjhLi!z}$&`kED+Te9YrJz<-n|Um zMtMJ78+z=wK05E+w|;D$ZPfknHB0|gKI&+sU)&CEDvo|B`P)B!#CfncK2D)~MWQ%R z3o*U}@8itII4by37KEEqOXs&XgsdK!dn9p~v0{&I0*=#^!*O>L_yN%i;h!q?h@X1^7^hRQa%&~e?f&twC# zTb^t`Kl(RwhqF_1j?nWFg;<4Lh4CY@LZ4H+UQtmZ9M=awAbyF6t3+5O{6WUujff1F z6>}nK# zDT)0>1alL-zyB4e-$ia2;(L|jpV}1xyzz0Iwn|6CLb9?e<3K_>F!Y-Em^ed>>Ne zBNNZwNJu$~m8a~{*kk!!r!rnTT`us=`}>9ljos*QG}Db`Lm@Y!k>bvUw$yf`Z~D6L{#F8}*G;;F~0HgSQ}(ujO!n4A1)7-aNq;Pg#&HwuPuk|NHT=fxoKr2{Y=i zo>^#?*g6p=#ef3SS4+?iod%~&Yw-+1jifAVcF5{S!Z=r~c3uX(>&(|M`?ejb!w5L~ zrA>32hz3>Z8x`pkm3-x<#mAQ>iyQDlvDXks>&{j_FZalVK2Jw3VMYz)1g1%faDhl} z0@JNABe453zn?kGlqmp?tqOcpaZBst9J z^+Xg5Z(ABthTuq{8V5?t$GY#RtIkaZvkhr~WN_Z8NSDCaclYm&8_z+fRq8eSQ!VEm zV=P7VXC%J@#A1Y#% z&N|N4D}g;*1ye|CYn;Sn<>Kne$P{3;z*EQ4a?k0z?44xB*h2@wwY{~r_iGPFj5D3o z<9(ISmR*%mRlqB2hAa*_Imh)7uKIB+B5d>^CkR0kYvL$SrI7}w21rqqvbEuIV{rq0 z0$K(OY?^D|qF0M+lE4nkSH7!(#{Hc@Dwe*bj(eVoj-D$dJ!j+xWC;Q6Y( zG0GTq@Dxm=9BN0^Cn2t(7nd-D16VDZf$aT+sR+fK%~(1usVVd1+Oj&-#|FbbG4%w$ z6{ZqQ;`?h9FGK7PXn(tr$kD~3m?Nc0lA!MksECau7?d=E zZIpxeEz{s>N6hTID_fppyF{e|g9hmAyPDr?WbcyGlgOSzsVtTlyB-2Q9luQ|@?GU{ zqrrkktwN<*sS3tI*b$LAiH3>*nWl!2^sIme=!RbhRQUh_PTSfr97amJ=8c#Q+h8kt zl~IZGMU4pT*m`e^o8ZJB{pd-W6}k!0j49FVw)yqgy~$4fYUbsX(HI2RFd$}dLDYX6ep0=K*rD)_Zhjk^K+LD!EAPB%)Ok)1 zfpfhkik0s5r806gr{wjYtlIM}fFHK+O2+ToZ5Z9$&g_Hv0BlN=#0<%0!M9>FB09*@ zzmqh^ySni8JlyjKOG+i-!@SgcGFgb&l2R11q8^UYOlwlSt9E00yrY}HXhGrl-O+4VpAC^#7zu^7G z-jx}b`(ibn9I;x7+XZYfu5&l^M7n_^rMN3${U)x`FC$nQ83iqF?`2rS=Tt}9BCF_n z%iLln zXAactfwfO#2A%;ELPe5>$b$|cB}nr#V?>f3JfhL_FM-U%3-KU0ffwRNy62k*mA57M z+4)6ahgcAl`IkWCt@0^>%EJq>AT2=TVf|&o|3vtw*$_DWtAXbJZP+0=1P*?~z=T75 zdqAQbjxxily6N(H;h9HBF4gM$UzetEa^9os5HG($( zBZxfg5F5}Lcp+A#KYUJK^k3!y>{=mFVK>ko6oDuZy8&MmkONo@ksl7j-&2s`*o{(F z?8z;t^ncbt&;J~x33i!QuN}@R8CmDcRd^s|AVMIa9zHNXsK2Bh0mxqxBLqOO>SqR; zH^J8cJOd$wjbsgxHvzSdR>Svp%l|A(2j3mIpk`OSFS$Y>NShlUq$M>3=g!%PeFIpv z8rD3P5v0un5u_!~{xOsNBVD|)CPxEF@uUx#9?y&93E~Q(#!ZX^2!P$%zvbGipH=;s zY&gCZ9$|RaHmLbLntO0 zp#_Z}WFNepF)_pvfjDO7h#P6i#jj;7rC|Pfa3;Ed6ufaSX&UDmih@xPj#gEfzK&lR7)r$g z)&g?4VB3f$FFzmj;1c{7`745=1WoSpAcRD2AQt42-UBUir<>%8)Z9$+sQbCVsSDTSQr(FmKM(k&&T`uf(#LIZ7gyahxB7+T9w%-J{ofa?<=sVS)jhO@HRY-`o&C@ zr!%RPoz9?-Ef?|>=@e{aFPQV+d)IgtG6>0aqE@G`-McxLrsV@naa9k?lgr>wgc@l< z@A;jB+GJD28!LirHw#tr`)gB#MdUh(^XKU88$80x%2O?Jn`EDoMTA~xhF8hB_*!FU zf_u6HnK*}b$?KQaH`k{!Ok`>X)H9OI!v7f5t5K7NTWzD& z5jzF7J9j^g)A#Ou>mv?V0^G>ZDqLUZxjpKk$s{Wf=#=d!$B(Kh=UAdCPt1ep%G|gx zVs9LLv&DoRP?lfVtLuUCRW4@dVvyUahDj+eUTR=+@ujf<^#a#PB3*K-t0~b)BIE&> zn>L(vCHxskFiy9B%=#HfsUeN{A{` zUJ0}QgGXs)!muU3&VV%d40f;k}S-lwKNaSVhEl^$dP%TToI~7eN+t9f=zbx zC)Of)-XSQC$FTt4)B!o=Yye!v2c44CI_a_5L~7qrc)LIA;fZ+OZL?C zjYWlsSuIwz2?k*JNK835t`Lh7Zpv9il#&So*2uRwZl*lWSB7L0L(*XLxCA-#r@yH) z3WUJW=cjn2vCkqygYor`Yj)+%<~n38e6>csD9#$EqM}ARo8xz&F;!m2MVuelSfDf; zgJC@ew!ap6?xr7^@lhrOJHMYhl{h#^zy9c~C1g{=*EqH=DLE&nD5L1qt4KT?ETeeI znhX;%WihCTo4qur{XRirP@%w}Qi@S7M8_~#mO-`C)mHRJz_@XriLfqEbOovZp5nOC znATLW7V79v{_LM{#fRa6CWVAcNr<;<;C|*-z z^GN84nk{CgGYxcM8Q;gxGQO7ra~47+5Rd-H>J=}3k@|GXLzOMqeUm`@%xdx5?&P%Y zXblaGgYbgiyxAp`^ll2udQV_#1^~m7moSZaZKo!gxQI5F^2R!*^ z-n~1mh-rDCk{C?n(jk){^`wTgy7LE9oQ_4^zCSP)6yN z+g2$ggPx2jX(n*%q>MhkmA*VoZ}+~O>6y+i>_d~6=gwU--nT2@q4QIh=U`OGn4SC7 z6@}sj4{~L_n&iq4+uGrMLsJfBHcu^PsByj#sN6Jt zo!<&fk6f(?=1KxHbBSNl+?VCB!pYxZqU|_Kg7JDSKa4cScCIcC@+g>-My9XlI<36j z_@9W{Qt%2Qx6zj~x2?@|rMnBN^?S4JhNyGKa(I7X9TOdcEd=>Wp=rp-yEUf|Yt8f7 zZohy4xP%br!q1wnmM~cSFNA!Bl_Uzc1GjC>>Hknw%SWka7ekuSG5s?Ab+{v5%G>DR z`&4<=zL&4z5tijI?XyS{o$Hh)I%bA@E7mP^f&4=8D!8OE7OchNz5nf{Ib%9n(f&={J8 zk$=I`n8tkY_*>k=7xTr^QMw4Tt%J2Kw1EOxkv+7R_QN;7r*1mI5?Bhml+9!hu)lIU zpUn?T>!qF28#0rhhQGa(0UtHfCfY^6rq}2&Q_z17t7g}*8`z`lC#;(X_(}eiY?1Gh zzmaz|ypS`vrTQeT-R>arNh;cm+nif!_o_ z-N_%~4`cMh;u8=44*w(ngnuC^Qh#Z#Ul+R2>rrD+@(}(6e&39XV-7~o7Paz7;2?atOh+PXJX%Rf}1Z_mz@5CCs z0+0R%7I)KWtU@wNXPL0Okd03YneSz0XJ4bMIxZIcd5N2L#> z52aIBwIsQp+#)ZMACtGpJLT7vA1T-2+Nc~*x|G+IZ~reHD#NwF>N*d*=#Zo zH&>fin%^*gZfRnvY%na>&i~dU_h1k9KJAu373B>!&^e~&p=F@g|ij8EqvjVn+-rz2261$sxXAZ^Bu>3L?6W1B@A)(8{BluitKkhMM)VJa8l|mP@8(~EZ=FvlmY&_+M zCc=iJOp*iaw}usu@y76SX<_JEdX=8Wn&|QZbA^19yiESa_#O-?xDY{RD%;6f$Yk#1 zC3-w5a!4YZSq?GsSWHTYOZ%9QtT;H` zp?jDo^Z~4GlG>$4!`djCrw?1MERXt9`7%m2DW<4Kr7>!OvLMPtio{G&Hj7!ojHRg4 z7K=m{&1uWFmDnU(C%aX*Nt$Zhxg^%f*LK)9l?(6Ho$9IUfo0B9u}Ot2HmZCZ! ze%4?7!xp3jZ`rJ$+t+Jyj?86YLF}e^y%wB?lc=P`S>(jZu{!4U@qB0e@Pa(f6BFW+ zT)gq%V{Nmh-rcqG>WlNep$Q+bzkY<91@GP;dOdXcUw#_ey8bF*NjWUh4NJ!A?o6I( zyP98Zdz5eCTcgcZi<9sb=M**(;>WOQr{!~HyKQBJ*_5=Y{di^C=zcuS9;LXInCK|G&8?(KE=sv4)lc`ZPUh1StgaJ@))RW7KVW0F z6*1A2SnLu{+?lcQ!(7EFH)*MQa_X@0saK}*)Z&;EdbClDzA~Ce7t>7|6S|4eWyQAQ z7%MBWuC{WkN8Nav+mAg`ccW+AarbF|vZv>Coj=*#;}N0B}r|CHeC663n8UWHKkYlFXT=FCHCp znQHMYn36K;uB+X_l!UTrKgz%1!J7vpKDPW~$=x#H(tZ{>EzuUp*Ppt2>>-OfDD8QV zd-H=iAx21W(keQPO!{0**f_~-Knsd(vt4%{DP{-!6IHYKb!0%$=;Co??2Ojfk?@Zel+3fEZFqqa2(k9{be`dWSsTgIF1N z>U0l_Eu>hnapKbx6HF#^=J4SK-g}v6aLcrUDP#Bw=6QM1eN9?(>dYx(y!mW7U(efc z+U4r$IZVg#UVyXDDQQxUBuf>F(-=!4kG$zxG1l?AaZVf|*{A9-1ZFdz55$9!I3Up5 zLr9*1^%4!x6Zr_Tao>5?%IB=@5w;G^$pii&@xX1M!#&{-_$b7xL?iSxY}T<{O5j}l zs1wdz_6bi`q)*VxLxvrv$Kg2pa@;B7Fk7x%@V@;LT(T*+FSNY4PpId+Kc$@#;^oI2fN37GWxw%^ec}ARTn0vFup~hs#@E9GX zn9Zc59UG6Q_T>Hv$D%yxM}PL6y*CPjPx)^YEH*WQndK(AVx4A_w?D-?3x+54HVjsv+&KgLT8ZXRlCxLjn9h+rEA^J(3PS3U2Ab{Z)Q*ITKiGy z)YYq6O8U@6vRBbL+$A6RLsZk@+(<*VRUxswg*xKd$CC%@taixua!-HJD_ z8u9PJ7(Lhr{@))_?UG6DQwhV9&@C-ZBzP8;Yez-%AvZi=acuG7I&)w(< zUv;%2GO8xj?5C7)H>HBp!rdcAjHqQd;_PFw>BHl2+6~Vi=JO(0GKLSx%XML|n@zIG zEZe`$bhe#*(Lb`Wwq}kc^r44Ye);y-myF8`eR+wCDWPv3X4c=gms~#OipFbhP5tnd zkDr^>F|+h^wNI?lIP8@atkNKQThCpd_;Mn@HFZ@g-z+_?Y)RN9?NN3myyO0($Kpz0 z_qpy%;^{UA$t)?}m99FRcHG?u==SjrraM+UxWmC*os8=-YJ7G)j~DKZ-<+c0{xbD> zC*lh62j}FXTHc)L2-v%@26mV8*b;TMxd&WkR2n>;B%Yl zbnkXT1jZI-*Yz%lNJR0*Q{oXq9EFBUg;(KJxR2`MGmO1uc+XtmI_Jh>o|h|r)Y9xi zLf-xZ#xbYkrU{oXylLX_D)pwDYsQYbDk{{Ia^0a@4&Q$D(Z!F3-v8~dLTA{r^f}kx z-E_@ui6^AFmtR^lyMD;B4b$(wcE!Q@Df^Zk41IP2`w7mKE8tffIp|%z(5^WOt#*&y zZ=YnJXaBR^bjra@vP;g82Rg<$raQJcUU2-5~HZtpuF2@lR_OgL^x#eu=Hc2_S6*#7vdR=3?YtK8E0%vOw8HP&9Yx?A7_8f z{ztn)k)VZp{kX;Dv%J{6L~ksMWqfhZR({*bUAsb`g?6yaFQuoxz2d9T+q@t9A{2#) zn~sRfS2p8ZaO#6B(VD#=t}8@x;LUgp_|IqO*fKgS~+Gp?ce$AYDzcR^8Cdq^hBq5m)0>K;wBM>1$Fc5GgK6r#y zNvIIO0`WltY89*U5-+huRJ4Mmfh33`Rjl^*O4W;~rDBVKwyFI6DWWhZckMG1486U^ z+54Q6L+0$YzqQu)t-WXLl9O;r9np1Y0|dOQ;I-dGS3m_~HCtD+fP`X+08!fMB;b>s z?s&*q?9`pKvj@GTJ8iZstw_T~T9sC-(Hgl`Bk$#~S}lxK12`V_Al-vJ{wzxm3hQ>Y z6}@(pLOQjb+D(#V=7+uLhIE)D;5-E&H4jL*)XZoB7h+einrN}5OltwtqAPecv3eCy zqYkhuKdQ8Z0)#@Y$eDw@%Caf5R<5{hMdPUr_)PNe!*9L)0AiXRGk!Nfp%uZoiyzz2 z(ea}&zGQs&U6FC_lkP_ke+pQf2^KE^EPAL2bxNM0&*2%CJ*v(F1JT6Q^lE&FT- z9fxf1J5(1t$~Hk;>F&lKXh)s=0qTAD=M3V#b}bNsphZlW2__2cw5nO*qA(5nR%(IC7&!xs>!*LiD{qjEQMhXAa|)f(-HZc;aU zcc?FVU-a+Hd_KB!_>0B8{{5MrR!6&|-PsXkHo-h@B6_26GaSW4a-2&SlA%`>))wNz zKKv-8vO~J&_Qsnt+A?rw2Fl3b?D+&mBHUJ-ujk|Z9{i}T+SRJ;+H9On{&hCF;^)|` z<6>*n8iG0n^avHnENG#h!D*hyysswEMNp}f4Z z_^5RHBypdKWJk#*#}Ek7LypnJyYBe%!#|u^)VOkuk^K0#YoA%xJGZv3aqiS|zSTK+F6h7Oy9sIB&a^ik)n|>h)!63AD~0vKi|mWSPRmY5H`PmbTY7B2cDzl!XFKGu#U1r>gF4rC zlcUkW`Pf$X7S9Rob0@pdfl|(y6(|Dd)KiYhYT*z#CNZ*RI%lznYQ@jRb7|-7OgZO} zj2uY$r|LakYe#l}Zpu%UA^v&(3X}am{BzL2=?GR1@d}s4!8M74MzZseX-k)B*96j} z8Q0HTXkW;|~!HSRXH z?WZ%=ZM^r9N7uH26>moO052>tYc}tNU=X2OM z*gklF30>Pu@dL+ovAiPAC|c3iIuN8xwS45JDl8s|$z%4n*btx^_I zi|{;pKD$s@Af2VHlQ~3+7_=mc3@;)SqIeKBJSQ?th~=Ct%L$V14_+gg>C7LDOSzb) zIfm@&&@CK~S%yKBpm;of;Jml#auza6FKuS=B}W&tL{u!cfjIBOITQnTh#^n}KKa%= z2Bn%0<`k>Fq~o>9%8s|*N^yi=i*Zqn zQ!zpgYWB#}YxZPLn++tH9x(O_jMO(^fTb?K$}yuyr}=G)9f!j-{GhOdrr9@)*V~f& zRv1U{SX5E)-Vrp#=wkaWKZHZclcY+w5iEZ@Sl$6Ve>inquWBto3oXkFPBRx6Mhv?| zE`NA9*KN;o)w*!8Yqtx#T+T>#uH7MooVkd?foL<=#$m1|Ke`)Y7m)~}90$tq2q@x0 zy|AvZv9P(Yt#CtOXQ2=(EQVm_%nnf@M={Xa9{h0Eh>_C=g;5g3L89eCEJaMsIv{zO zp~#d6u7S3_!3r0V2Y%AEz3L!}paE_g;yo!_S;-=+6au>t+8Qp&#Dif9bUnw0L3EUq zk0OyNnirvM;q*yfWFwyZ%1a%y7td|qka%|4WaCRiMfrz+RdD0Xn#sfeunXBcW7kdB zSG>pe1#j6hcfrfC=xb~4JlLWNm^otniWO(xFyUsAP4*hgMI|xyx?2i}Hn?rz40|i6 zb3b)VpV}_2cdmDDr#5r%h#%1($^WFqTrpqCSBE)=xtFp_#dcQU9lXcm@OXyd0y>xF z^Vu!zCh=YRZJDh?wZPPF(kOJ2I!DT&U~-!`ZuS!3r3cN{J>C(FV9_o1xTR*U6_LQN zyS#BwZ25Y&eS}0?zp>O)-%#eC@)tugaYZ|MWaYE?V&J$idtHIm)2}eGsT#zoG^scb zx%50KH_B|5jlj}j&$ViTFS?tMgcK&psB}kL z7=^;c5Y%mUK%rKwVU3_L^lwpk1%hOhEr`I#<2Fl(9Qlc)Ky(czxB(PBiG%wa@9SwC zQ9ggl1Gn!;eu(l<{Iq=H+{(%yPrs&{?aRnJY@GS8?gu*Ws42)|4qq;_*z4ckwQJve zyM}dPcc=O zzrrVzA-a_9yJ#Hv)@b<_o_-TN{e$rIBDF-Xf~V)$Ts|Ze3vURY3rvx)LBN7QrG_BF z^H*`T5H)V1L2zMzNG_JKeD(87|L*w{slrLJ(oP=!-#y>hg{-AlCl&$3T@M?Io_tew#;bOsk9-lk{yE3ybv!w9)EMsT8?IGnwv)`1+v+Z@E( z3fv>CGzEFXHy18P?e#b-6ry3s*~P%oe9=w`S*0wgn3VUy>%yzIU*&aV@Y?zQ7BgR@ zybqj@i1x~4ORUlic%}oQvak(2FgpjpXfGdC8e-Bu=+f+jMU>H1cy+?q-hJBG_41+K zpM3!GvUIrdY1T_^hrc}gTH?TX9QZcbGkg7;Xu*eHqC4kK`trTbWArN;DRwT*kf|=r>JqEDKY~3j&~%6++zmCOv;x&(_sCwNa&;)ixCq zsCI(hW>hSvCi@VnE17UzdbI;CxsXV&2AL@n8Zzf5UTG7rbSe#y?qBda2#G5{8&N)< zfbxyw$=BGv4C zu9_LxDJZ7BpOUSa06$%>~a;?GjLXSSmi3FSan0AIIEbDY_EbRIof6Q zrFx4e)x#ZT4>y^8`o6suM-?@6*AmbNGE*pw;D6q>1&~ya9!(y{)y93sT@bF5OYy_W zw=ds^_x#hi9%k|xh=b3uuTU&CPWRXG1SJe)a7tiUKac65w&zCn4h?YzLCBP38YCuu zUC+S#on&9RH0fIs#~_%2Xz8OA?A2wN&J*%vngQ>FkgbauW8zY1%-FbT&2+Et$S8!X z&~SjEzleX6fYnKo17|Rrm?cH3B>2=ok$ z)kna)gcv~-OH#!^EK5=ejo}c(pP>~7V+EW_s*%k;dIvklP(X&vC04u}^9PQEIK2~E-83*6q3P%g;F>o z`QfB40xE=13L}U1iv%^Mn2MR+djEWXp_s#fsOMQ#)aw7u(X>lp>>+6rq=>( z=@j+~r)cgi`hDS3fez6{LYy8e)CxbRw+o&0Zeb7oh9IXzYH3*<>!qehJ*lfjC2<@g z4eu<2E1PsNTo}hQpfT^R&J4jBGy>*%FQz^Ga2(~w;!=Jp*7;j-Juf-)FXz|sFJq2mlO;cFRvm;w17#wC#1LfLj6!%8au^>cUj+b+ zpg;V+nttu_1j4QxfEk?uW@M!T)Gzd#H?f<9&B|sABOu-)Sb1;MyIgFw^R2e!u6Aa< zuwH4mthKLqu5+#Ptn;?}72Xa=@Vo4Or{C-H^Nta!=o`V)?&xj_QIaNwQoKeF6$kZT zW3V~c7VHdiq2Re74rB?CBn=9nU3&sF8>d!_d_Qoot^wd}4P;Pm|4+{FpgxG#1`|ZQVjuGUxR!E)(X}MdgF^Th$)y z2ydmfI=8vEdAQ};Do<;uU23(K#v(M#+KJN+^vs){a)kJBt<(C%L5>geX zsw!5+rFz`C4$X-got;kXbW))(0Wv_^MF|#C?21yV2GsDQK(v$F!yV#|atzne96_N- zaRf)guAz9&9?BR^FHKi#%(V4`G&AEf#OB11)frQ)z#IV7OWf(YvBwdn3vnc3v;Bbg z$VR;Qum9b4_|3*ui@J>eIJRWQt@A5C`|m}SwG(rGeTMC;eeeF~KF%23{*v)$RP|Cr zIJu3UnltOV$#WEz@TAEDrL#Q;9VYv$K{R9W9Q}H!!1|u z$^S$-7Ev0cn=LnIH$)aH^X&`5P5BFkw+7pT8^ek{Lbz0BR-E+uJfA;4Eqhwz&FnWL zOiOl4G5#eG|ftr(@6RA++ks7H+osc~~vPhj5S)s1XUavlsy;FK#eIeTc zL|)~xxrk5lsqSn(J0htJ^3;2EUnsuVixzvgd$G3<&!Ym69~2Om0TdYFq^XH!-F1>b z6em^JI@E|ZpiZ<09YO;7JEQw6GzKvv3PkTW10Lkj9iF(ShL7g?3&Cf!JsQ?(&^NZ! z1o}pNkd8(*(`UU(>7yIWTAR5UR0-zFx?a@$DT|N#LG1FJ%jt15CbCF1YBCD>w|6}D z__!P6y?<})So6(`$ca3>aon+L)qRtShL1*j-e3C20QH9PwQ&rc$as9+ifQpl0ej)t z`W3G<|7QL_-cwudD9f&h=N8Ss>)^wy|M(+BzCeq76C%@V)~lE3ks`5}DQ4@$X0c7& zAo3hyaV|q+o)Scl$Iq-GObCt8C5{iFVv2mgo}AifOC4^;ZFmD_uun+7oSv0wvtGq8 zE0w9$WN5CRH{nz|TU46DfGiP{N(cJfn8N(bn93Z!c<~bXc>fcS%X8qVeAGkwXkOq& zUV|Va-XPo{@-xMHZKJlyw%PTx`+04@`(xLa+yzcn6$Me4&vl5398%vWbk~&Zfx19r zfNl=71#l=(9Ow)j3NQf#+BW1X_8s!kJ`xE0|EFZ{H-mvGbsb?FOo`icZD1ps1xK<; zv8?O~lrK9T`|0X7Kgut@@AxYpe6rdZ1bTA%;ONFkwEHI_6k!L%o=4ZBpFjc{ll=c| z-=*I$jj^{&y=oAEzkrgE^7vW84g~EtYXjYPWQQ!ogXVV}tg(DGYJhxWfjGm;o zvYYs=;*;_VXa_C&k&Dkqd3*z^=hxB?u@8x29F66%PYN+b(gfy4X^!+D^N92~vt8=_tcXSmb1$7C4!q1(t%DWhoIvdI*C$f)h@bLloCB*SWMj(a0AbK90>{iRY`c(Sj=opabQLT z-Gzy(BMboma|JA>b6EzX9-OCx+T(M&@3XeT#+j(anYZyY{(4R-dsmF!u#B0 z%2|Mvbou4M)Cez9RgIa;FR9NqoHpCRfS)c$AQ(g-6gR0}ge-8k*~)Y@k*h;@qQzV@ zTEn#=0f)l*abAb}Kuhi1Yb%qfz~!fn!H@9(lIi^yPhXCF3!|De zaF!`#uAw4S32M<7@_r$M4Z8i40~0eQ<$k7pZWBlOs(mx_=KB`pwdeiZ_k@3^zc=uX z|F;2!<5ZWM^SPtkFjs@G6}RJ^TsL=wQ{ISwqTygp$w=F9HAjyX#&h)Sd}w^Z_~M+) zIXI`<+yz=}vBt**5!tP}C-_~E2?mFwQc8!bDTCh})-!BXVLhNh&ON#CWz z!gD?7a}TQZ%=Ikx&>mlD)3t-ueFzpU{fX2xn`fs?76gWcB$R}XFltj5#Zvg)TNFes z4gG^jK4;+2{y;E3Bj?T>oQO4$83A0<7A-~jS`s9LL`RXmHf|T~bbG?4e&v8l5&l~~ zsyw~HhExvBogwBHS2S-R_QCtF_0-UTT;pq5(EfGXvc^>g$~H zSrcx&zEqR(p9{A>*|2`U(eudK8#Bs%LUr}tb+dm~laU+Bn0EbG;{$t%H(EKiz9g?a zXC9cm1JLlKSux3=p6R9R0~hs?az%OIh5)wLbM;cayWZQ7@h#7lF=N%SjT1u!6q80gt^i}cDbdt&qQ4gAf#8S zMjgm^|K7ufk?fuGs&0=@T9J6*!3Fc$*}mktCyg`4cg8v6(>V>>aKUr6&D&qv_v|x- zPu&b-uL4i-QD5lOW?38T4eo{3CVP{6m3M`26W*j8(T;dO){c3<;=U5Ta(v~w$T>zk zM!P24C%db?4N8;3kFl4#%e{0f+iLA#+pX(;FW8@V_uBWlMT?2hK-^*yNoU+rs*)?d z%($AapQwF^p(L=G-6m5y+)C+iA62>maM}kHhJjy&JUk*-QJ5-H$$=WK1$yrf@L{LV zKkM2bb_*t^^vBNk$H?Mh;#4fPvJZVKZ?z2W6HM(lDrY(F%1%LMr14kF9korX*8He$ zz6&{H=YRi|@mJ*TKl~;BTgmhpkMBCTZO-DN*AGLIV-SyWpC|Q?8DP8H(zw~6kFYmz z4N`+Wg_g|#jfxJ7K{WoK<;cge@0Rh%p&qs+wq4Apk3C6W1g)*;8oY5)I*SS$cr>(h`p? zjD|vmxy`tA?W?o*8<&j3d+$fSq`hdu%G=gGxZuu?ZF3q>6x6r{`JTku<>p;C-u>K; z{m*VU_o$s^qJR}Am4SZQOKIRI)pEraajUvfdx3pkIv^fUd;EgnL=*81T(wl2`GUHS z+vk5r`mJ(II<8#gzg5)?YlcgQ2;tH#wz$>xhUnx2H zZ1LJjD%s}?#8Ii;+#C`Nr8bFV4@>p25vksrVfMNe!f7YrdKx_TT)UlohX5nny~Nx( zGEaq3kt+qFqRhFOi!--pGMUz}psUt67(5*fV^{4K=_lV|;PmSG&ML2-X@v$tu$RPY zlN(hf&7y)G9s=$#OMq~bJsqk^zjyG~^JyY${sRhrVXq($z1Ks!Jzb)7jd^-)xXPru z4W~#1O_*{X@Km_6;5U2#>6dg9Tt0Pri z@Zj%}chpzAjlZsKLe3BSk)2EG^!>M8H#D#fL=j>hBh^c-u0vmv*B zSUpVDkE|DM7ajJoYN>l{e(CTUb%MJlf5Px{O41`e3OQR=RJlM=qZYTvH9}Q9ZpNEK zJfPe302AmIn+cLGSxNQz1t|xJGEm zd_^tG$(BL6<>)y=hN{=>(`Q}29s6te0s%ZF#l8L!MG@*)g6o#I-|xMgi}HsvlDHb2%>#E~rLVcnj=ykEwd8*vGMJ!N5=(}E)* zAPPgb<*q>23c>vPyUTMO>gq$sR^5)!8*jHE{+i|kj~V~?^W_H`7d*Od;k*Z`qoZA! z|HIg~07g+={oi}%wKF^Wes4CL-OXk<50c$vHwg(L86bp5c!W0r7Q!pM6*yzI$gj0qNK8-@wky-FfW2 z=bm%!Irsj~8NWS0edbd?eQj48)I#^q_H+$<t}T0k5gGZkL+2jjF$ zlWf=Rc2p?hc^mG6g|jiI7iRl72?~TR`sa|AyS^Vuhra&=_t19SL$eh8&OgY!(x)eN zCS`3`+ThBKbCi*?uwlNQeMR`C@GgH|_(TvUV6O)0I457lPSM=QGC$`(r#tB``dgM6 z!;R6@vm59~=%?tXnFH(r?tn%}qs?p%ox^0a*<7|JqN}E>8KDosBJdPTYZ;m)Vd4az zB2a>$rCZQa$<0JGC21Vw=If9)1e*aQz$%wmu`X$1E9eyCjRq%{DB^YYE;p#_2~?2 zy|I`|Z%$BfK~fg!OQwcF&CGG;9CL~JS_K1bVh-ak7&Xii+pG}u_8M@}|I};AeoBUJ zCb2&o0buuItr&&_&VVd@cLM(w9)(03YZv2GToZT3(<{+X%}{4$y6B@KSrhoWtmdpk zSwCiva!171*w@74S(mb|Wa&XxB&!CW_+{4TS!@;wE3UxhX5}Qq`DxCbN`{RS0_Rso z51KQYOyLxdClnSi>lut8vq_p(SZ9LEF(b90D#_qZ38i}QcgsDn&I3L8yHgpVP?%U; zCjba5MhIU)_EHh9cNkw9mImQZ8U6&r@vu}nFdhki5dJ(&8NzAd<}d}qzHl_$6Q;wi z%s-d)I#J1m0999(-N55L9+GZgC$;Rh=qi(9>bhPp+P5)>3`;P_;jB(S9rkwiNyEQ_S2Z^+H*NGg=qm=9U5txIwZ_So$@W_3KD3|Ruh}QI@NMXi z%w@h!yvkfmVeu(wwz~bJwM;NI@xjKlp)r zqB?o1(cU}y@r#W0*k)hkq#+slV8RkNtHE6)@-UQf$2d3s;qIrufpPi0zwMT9J`8<( zmo3|~XW6p7DDVhmAC}+w`WyMJEj`B$9y@mU>0`%8dw0n9(ED(Ejo3dqAm#P947VV2 zoGQ_kSmG&_)Ck=OOJ&M;DLnCUd;OLh+;=G&EI)Vpvv#f4Xwdiiv!*P)-Vibx6-PyT zw?{j=vkZ5nvE!e7T4ih^<2~_d@9nE{ha$Bn!8{d?%JAp@@I0ld_(@YYEt)Ks52*DdgkQO=ZLQ|9k+cBZo3Jjf@h>+ za~X>3;?^?HNK~n-w2t&>R;0mHjm;6SWom^fy2+MWN3DBu>Jj0H=N5lMchf4GfIfu~ zf!5kor=BwyStoYLyyh(InTAXz#i{3a8KKdgrkY7N?r8t@yPBWVkR-q&W|2^DS>#yc zs!zoPfF@Qka#T;0SUGow#ZV)Pe?058RS!ez+~TLoASGXWa9;iPEwg9umhVCKVH36; zf<}nPm}%3W`R^*~)bod)JO0X3FB1!WD*#ln()-7x%zX^x^>6}H&#Ypohz*pg8sEi z^tj3ks!7h8;9(-uLzYz5)lL~UaKQKo9on~~vhdryK`+YR;5J6FL>qA%v(c}mbF7I? z*MuD=NBVyAe(S#Qo@}18R#}nxb=?{LJN_@yZ|QCX*eu;7-F)31?LPC-z!{Mnlr9A; zLkj})LR-yStq%nr2=c|Dp=_0Qq;9OC%0D>31%lyFu~_IQE4hV1jujXa?|16LVjvJm z=Yj#L-@Rg!b&c)5tX0|DY+JGq*!ErAM5bjC4YL{E_u@8 zX?FNIc6wqOe@(`&43r@`Q{x%#ehL&1k2^K}V6-2M^n?Ap{wQXy81#eW*rY~C2o>tc zOi*C1oTe5+@-95yD06p79jZj0kddepBCN;0Z~WOZjkh9KunqWcH~yTqzkN+0~zWhaw;DE z0aOtKbRBGl*B}Kj-xK@*tz2ob<0~PQMgtnoqOZ}2G{G+6N84i#2|w2%;fFe;!s57t z%*{Haj4Zst&owyGlxa}fG083A@ypX zk~4l%rYGXFSxwdDlb?L}jh#F4%Lk{~)4euN{mANvcK_};Ag?<33O&X=gGa>+QkD;3 z=T*oulqhajpR#ODd|Nm9}yw|`^mGEuxwh&bW$OQd6KQdddT{Um8!EgTOYMvvR<P_i|vBC@!T6<0#_Jl_|=d2&KwnWQs-VEJz=!!h?{v1!rC)u%aL-Y5*53c|g zG4;Ct^R=P&++5^UY06`ds6VEu2tSqbF=Yk7B7tN06ktbQ+QhgyEAJIdqNsow(zPX2 z2|Jt`&hDr7v&#Irw7TCgOhPS9Gc>OiXfXx2X**-(U4l&%(?KR3W^(yVAuQ&DVy2w0 z0>jWSW;i#3Uk#e*)l3uLB&-&Li&0>C(rb5M6n+R$7@Y(tjFJinK;i!a z9k43sK#1ro^oSr2G=q3Z685lYDbV7N1SqIK<%2F=>lx@)jST`iNMa6D$U)-Yz#R0# z3L0_%(buQ6lCA_d^VSI+f#KZ3%33RlGy;iG|%DIo-{j&l((P67BWEuNH)1$d@4kZUZtqt9QabS}e2ekAA8{^6B0Ej?$zll~ef2{71?uw4mQRHhB z(~_^v*VM^;HG$Op+w$9>g!s5d_XYd>5ay86(2I0Xk9ub!9VLB`2h7N%f$B7if^i^m z77Y!J1nAHhgG#?b(yJoeBtHhHyzv`2Qr-`@%SYQkLFtH+FTJSF24;RG>2;5A#8>VWPbvelR-+V z5OI16`$S=7p-vBTn^32zCgSUXG@CQgM@(IU7AZ8B>*GQ=B4`Bn-7s|t&19MLCLdrXE$jmC%Q~nn8_{mE?=t~0ceJyCeB=#S=DF}lvJGW`HdH4c}|2zUC z*d&if$mz_2p(`-^zHHnEVC0LuJl_`;{zLxH{gnSB&$ecgw5_c0i%Ve`Zh@iG)@`C| zz~gasCa3#GLS1Vn2W3hMup0>_nziqs7q14?kS16_n{8Iq8`2td1Za{*l8zMw&4qCk zv^e_k55(Z-?pUQp-@XkOe{f#j^X|Lyp7S5T#cv;!KRxn13?4oVgU=t4KlMBtI==R$ z_PWJ1Bqr++q3h^W^4dVdlZ^ zaM8wxuDreZxARtQ42>APefRE%#}bTI7krUkj@APT_+L{K0k)tG5)Y7@0WKxy9?6ao z8BG%ZkyM;CeJ_0RcfSKrexc{L=x5CP_|9r6^(Z<@Aqs#sDinz#FPK0b6n;pD1mDCQ zM`K50Av}x6a1uP`cJ_kRunNB;ksnNO=`hxEh?;+>h(&$51+j!vV7Qb{Ca;t8*i;?f zp)_S0o5H5VzdMPoV))4&_{5qLUQS!?vGsWpjmOX5P#^Be#nAtRIbdmD_ z`Ut^6{-;vPvP;Q=11>hZKB?6AT*9`bDt2Qai5g9z4uB${raZxeb2Ayk?6JV~1RB}p4b z{4uhm(Mhtfb>xtFu)azsa(c6c;o)EFdEJ9NRs@m>c~tK?2ip7!0;o3?8%gfjo6-*d zLrvY!YNzaLbfgu;Vg>A*hO(O7J08y~a4#)&QL7G4dT4cRYABr1FMqIcRnf5KDMhp6 z?#a1M(xaK^Jlc$@kpoUhnV6x5d8c^my;OP{m#)_Wi0R{i(7N0K9S$qqk!9`h=+6^8 z+Nsh)tu~9$B-aDQpibvpo(9v}Ou;%1a&5~)AB0eI=+O|W2^|k1Unm+vp$BsvZO#_- zgrtLQK(cw`;K6>2*As5ZAqze?h+gPUT<=VnOCn@|Es5kPIeASbkw*OK%TovY0^%*j zKTK5jPvqf}$w06U$!yV-M)J!_^1K5b@d2*vtgHgf30MB8E$bh%d7?vx9^2l0fI49< zE-Z`Y^f&B=j&yr!MsA^dLBGoNH6dSasxwhO>+y|551fS=a4#yvQs8_OP}DN4&pG^Q ze3gL_<8`1!vXPj)y>bxStQpX#-a~)+|G$U+^1nZ1`rW<nj0Eb5~2Oh)1AOea(1sDd#f+=7YSOAuT z)mVPtmF6zIr)J{B8B?3q_b*$qGP7UZyx^$eqNY-!u^piS9$&DmUohCOjGF3+N3BMq z(=%q|eT|KC>Z=BCTw7GIY_ZusellW9%O~T1ftl01?rCcmPn))QEma>7^x1iNp+G%| ze0HHEa^cbiV!%cs5#yx`#tYb`!ABR!;hi7lIv7#+#*6CpcU}BX*JBD;(*xu!)Zygb zlH5Cz``+{1UFEy?cb~tzoN?Fldw-AmFdC0XpCFr?vHVzmkQ~Xy1^Dl0vHbiP8c(*} zZt?|spzqr5m!t850_9@(E;%R9AlsYd;wQ)vwHI%qxFmlRi{*cg3veGkm`onF4sYO_ z1(Cw;;rM7zG#W>~%JQiJ(m* zbg=pk1R|Z6J7J{rGs0a)zt4m}R6IRkvtnBK;86Tjk}I-O3eD5Ou&!8#bc{B{6>*5u;vuAs)-ED|5e>z&+7230Ao6KzcR?%Z z=>&|lcDG)@8W#6%f+Rajx1|@VIT`(h92~mj1a@OKKD|W#^J%Erz57S-4|3k0{)ETz zOSnBzY9{c28B#oyQj~&Bc1N4VY}T~#I-3o&=`_6A#N&V3UmBpH!y&n9T*qCM%cZq< zSa3a*R+FSGvCf3Tawhznmny;kEdiAThDz?{SV3W2O>SsYk{degFq)XU zp=s!D`= zrBEOXQ-J8IOfXw2dsk=|&=~Hk`YBDwbm zjQo53?EC@XuAUtuMVL00)wj8=piS^}2qME8M0iMiMf_Uq5otB(lM-2x)i^uMnxqh_ zy_#qstVkp>cA2z9k;;I|f+Rhi(g-Y}WVv0D(SZExJGv8hFpORxJvx6bye6+zyYnXQ zT=`qvqYv%GmeGu!tMo8>Ag*f~h)O9;yU!7INDj*3@TayDmk=4OM+BYw2xy^RR@Q@I zB+*GA)uc8`axW5DmWN%1a%AaU2gOuG<2Jsrv3zjz>uWZ=v7ut{rq|cp+pzq;hQ+*gKSH$l(=_zbulAQN%$yc++PM$O1z(>WGDas$u4w`zh zn}*{j4+ntu(-k0yEq;Gi3UoSQn1yDW#lp86y*@AUdPBi>0Bj%_^qX7Iv!`sL{|MdN zaLij+zHm1vtuKfWUME@I6~jg$1k2$eIbO~tO`e9py$`;<^vH_-xg+ZqESNe-hh}$$ zOJ}X!xOP@)^~l-ajZ6j;{kfJ)m5! zr$xE~a=_m6jl2o)uYwe%N`++AJYQZ%kELUZ-W_-XJOAWOih7$4vO1`vv@{f1riJ?1 z2`$Bv?9o^YW8$@5z*@HgTWyh8>xF_uqWc2zU4EDWcl#X~aOHLI!5h@TuGX9KIKBb(KWa6@h@SX0S zzsSwxPI&vyWadxAf)t=UNuEbX=yH6u2c*awf%XJ`mP!ES`rb_GC-+O&fDchBD0X|=&lpP`|*C?50kKnTNTT-5*s3npiCV!`O0_Y9)bmOQ_#f8olOEpp?UlaDkM=Zy-5 ztBQQ3OP`)S=b8H^xGX-?xRoQ*9Yr&S%71d?nf1}~;i0_Y`R>5zjfrIDi_MrG9uNXE zrGZ?ABLiuCP9Nf&4krp@ixq*e$72oqypIx*%(SJ3qZ(+?q>&dxdb}An8y(0MDR(Z- z_sV6bLLDrWifG1^H2=g%A^9A91gwxjM3lDK{B{+s2}vLaAr3P+|H#w-DYu+{0ha8( zxZ=$2B~|HNCO(!^cjzypy62-4?iqLqG;UieD3nq|JWTaLs82H*MW0U})g!%$%e7Mm1a(9RD=Io; zP}L;3+MUUS^zl4I>ZM|aly$;_PFm5b)G8JsgHGZyF@eaaTJSIHh8)Q%nr@$E^5pjH5wnYH*N*g&+InjiZXO+7 zwq#C5dTrw)lLo9=UNqLXq!T2ZJ73|;sL z%O6v#g~D6elw4FUgR(hl-I*>h{7WQfkvub+S+O+ zCQ+ih)fB^KZe(kY5&UW^L()SewmOYDTQwW-QG$%4(3H$!#2k|E?>;1d48v$V+}OPm zUFeQ6XS)}mC%Z=}Qap>zR`Q%=fCr>eRzI|*LM|1$Q=mg{up1WGq1_k6f|rqz<}&&W z1|b}ENv=n+-JKSWf@Hj&-JX#VbOdrO27}RP(pz{>E~luy7~#PP=DY%IfJYSBRwPBy zejB#7wnArT!blF1vzK=!sNq-+`jspr8IC@K#7w0Aj#M?j((uqGAK0_z36ijeTCsB` zNmujbtGU^7&kvKnWdw>%CpayH{jZ7wtlukQeHImIFyT`2a*aa5 zoMfU^)#g+$(5BpzNs z(`wAbaE2h#LZB4;XP!VhNuw8L9S$EGWhItk*|L0pR1fumG!pcfo?&pXB)IW}g)1UE zme&GYA$1HdxZTH?D8}yhNd<9@?&#tqobCserh0X1viqrDlPVWKUpM#J#`3DQKbfhZ z?Cxw+Yp`Nce!)~JBRFtkG&-d+Q(o;HI(O@|#ly!>+IMN)=HKoZF>U%YU8t|gRQtF! zHJRb@&9zfE)MVsL*-W^?fC(Tmd04CEN=5{=Ar0qaqRerIVi-hPs}(dXO~4NqT8VZ` z;GJSaszE7*WQrO|JLE4Pc|?J#be*NDu0V}^3qSi|d7OeziDTc$Q|KG&L!nTR=Yv68 zQ5^X5^PM5GYKUwU5t)953=u#})ND9DSZwaWQp=b|CCR9aulMX-1_xvSPr(gNus>t^L{3 zRi`%&j@R#1xskNMh~}5))xWxVWc82EH_!h= zdwcz??{%S}bIYZf?t+chZi{0#AJ6)!=)mojgn-c%` zoO=_3OTYQ&|NDJ2&xc2n_iXQe-m~1~q_~VO4jvM`loAOYGzgHCWN3S>r?D&`-GUuh zx~%4{^VO*rNH=%V(yMsc9<^iHZa#S3oOeGtwlIzPI>T%qvB%Uf>E~Z9` z$BU^fP>_TQk3d^22&s~2bVbM%2`Pz*457m%;xP2wb{9p{j2N~!yU?%E;h>6kYv_P1 zq7VJfT;9xln8Q&(4eJy2^+l3;m;od8@xg`o#u{~oT7o{~0X`#9Lj=rV4*L#P`!Xwi zZ<3#plI0>rm@<3?B28s_)hKGmYPnzrHVS6YqF%0eQAQYl? zm@qZ?SL*o0C1#7ADG3=QbJ8!VbDgXBO?j*<7(2Vo}^x@ zzDLdQ7g?**64dCTW!ROdBk)v~9Q`Z^8wz{VWXijfRtVdZz>y+916Xx!wdJ4l+O2AqN}cCmPw3-VDpa$vM+&hM8yejQ8b{ z?7HfirEExST#j)>+;kJ;mMh|#Z+WJ3<0t0C5h;=MivHP=X+^`!7f$USuaSvF{7sn5 zNZ?d~W(0{uPSgGy4CO>9%x#45V{Yhs9ZyQ71cS6p0=F{IGWsx=un8P+EIi8!w^Ivg z6TPy3J!S0w3)RcGkL^G9^D&+>{S{D_5oSXQ3=vvKQ~35%U_k+tb^Z_X(CBkN-d*Se zNz5LBI*{dw`l-$wL^E;|UDN+AdDN!{dWXOB=>)%e3H&OHXfb4<&1e=$CR3Wbv&czV zYqKaei_0=((OFs9$!h-2;FwrEoM0oDun)*afoo+FX<##2idPr;Sn~ci@`IcU-XeJi zD@4a)m-R8QWFfVM(q?&AY#e{f7k4(+ZaTX52u$|AFB9iat>~)LYU^F&)HP;9EV<>V zPpcW1pETu;4|}hD?~W@TeN11|l-aOw%FGqhw4w2=vQ4n#)!2>(L!4N{K+F%Nc;QK1CZnVZIV>cQ68q3{kyoRj$G#JTFOl9vvgB6$_~A;3PmSawXIGP-`qBuV zBKb5>q=`g>jN&N~2rqVvu_Sh4J&%KNpIt5VVSdD1E-_R|C5%j>5sRY5Vv$tD$QY3f zR`3+fz=nzj38ER9n$a;7!9>8miV2lzbPAGCL?~DVt&mYLhs)&*&7WQcZM0^dKpQQz z@+F_Y5#8DhAv!)UsuXrCOkY^vjUreGOYtWLlmlOmQzJoNB&Yid$gg}4_@3V2`yAvg zBoFzD`lpiLZ}2@Vc;v4EJB#Y`l9gk1^YVuSrfE) z!@vnWzU~ek(!&Y>{c2QX8VLz;t4yZj=y*mV4^uo%uJirIoF~5Yoo9T%k?Z!z!{kyC zIcr!BSDa3bJ-eDNJa-8FG4B3-!;ABB68skTVvA2U6kaVQCrC-DG#=u%L_@D-z%vY> zJ=r{ptU%ZN2M>DsB2VS53*6_U@qR+@S1O4W3k+`}BgkiHGQ#(rZz2tBR8zapuBIO8 zZzS-#@CW7x82@O$*kH&hNjIlc#p)_GRT5>6qN-_fBu&cIlVG73w0{&n0EZ%VxFQWj zAJGLz@yN?(^1I-HMH3qL=@)DpXH44o!Hvs4+}zNx`TZMi{BW~{%*d&oQfs2wq3 zu3_xliCRj(>eEg2mdzh6U-99_Ns~6dziQeIQ?j$CES)}WNmEwl5%%MDqY>@j)K^`jZLBs!|Bv9+Y>`e}N(OyaZAD}6sjL>M%>3MtL-T3;<< zLF>baBH}KCxsoG`Q^?9_(xfEKauNvSsDR(f1hP1R)FhAzOssmu^faH$GR? z+|0PcQ;Rb*uhi$vo>Cph#MmbKzW$|lT7*<4qI{*)Hky%?Wok>r6!itZ)$|0D0sd1= ztT0r&Gsuk89JO?qTBW)@jGPljP75Q$2x)|rl^UdsRH`f`)WyZAYW|mYijgYRFc63^ zI;?{HU?|WuQ>E+n+(zx-#InYT*QvxqOUMdU!(DkbZ_f;_lHQ|(a6TS`YAC5Q^rkR zG-7gF%E+c7$8AT?sL54V&I(J>rK-7bNo-{7D7JZJ#r$dd2ObMC8n_Y4q{M{q=&Ts+ zrcT|gri$1R&%XrP%7Pi{G~N$s4B>AwBqP?i&<`U>0CNRrbnhz=~A>ZN>W4$ zvo9WB{82BSR)vEU{3Jx!`%C;M1De7$Bug`jc1zBz{pq%JVwH}(1$AI zvQU{qBbP@?u>`zAtU))oOQn%_!Cp^7u(1ClWC~b4pl5SZnHekql~JOl4g>8kRUe`WII7t zC6k58qy~2R>1u+A8?NTBCyqBqkRv0=tOznfu9nFZOuRZyq0S2#3(*lhMyBE-k{Mc- z77~Y~B>YnHOMuU2f-9GzWjk{0h|lm3qMqQ_05gSuY8o6n4fcEZSGB;K^;l{ovAzg@ zrG;Uh2@j_kS{eG3@3ik-QtgaP5Tl>S4HIo7)7CNJ;R@LKB}6*OB;R3bbzx~_cy(Ss zEK>V_X;`9(95r@i1eMqSR>a7$qarm+8Yn5hMvMZhuEHyZIfgR1SV74oVg;H_MCj)Z zM3hXV5C^^+Acj?fOe3YErE~;ZSrDPd0Zz_IUz}*C+MVv5IEwO$9?|g>Zd^u1mYw5AT>z`2qc)XB1d7_@r20=)WK@g&OUX(u?~aqMYAS_Z&Oa zKknH1^ZYIVR_&Tl^bk%=eDt=Ch&9Mbf{~Dvfh3t{=*J)|Ws}*3(7N#ak5o5xij;(w zkWJ^8;b+s7!v8dRI$$_SouMVfNrLFlA|y``NLRQH7L(L`T=%2Dj`G)K0@lUUDX2RI zb?K-sOCi*OH&Gj@GeB`PX*qurrijkUd5WpfvzPh`YR5qBGSrjt_e8ud#JrY~GX-9m z0Izz$+e>{5wPVTY=a-|NVn09H^Czk6s2`!uKsKIVN+@wI6T8P`V9!F{`NU(m16R~)E~qF$sniuUljpz3g~ zgbL__dWq`AwV(@X29iig2@>h4w}m>8mbwDfDg1R>pm`mp3DN>hENukMON;*%327Da z=|Cb=$u@A*u~ZLrC$*3ImYzdD%v>)TA=)kOlE@|P(qidHe3A_l(g*UDiY&#QivExZ zAwPsp4ZS_|_OQiakA;62@j>K_$iAqx%JOJW^m8$}F^6K0$2}CE9{)pdN;s3~85TKg zh3b~1@TC6a>yqC{ej~+}vW4}s$JAE!(bU%;|{+o8uP#Q`@>3?b3@`t2dLun}e8>Tn3-{w$5X($b)p){0+ z(oh;oLun`trJ*#G{+W~;I+XrxQ_N5rO8?QxAf)SZKg`R|yCUx;PWAto?A$u;1b0qX zsx#{*>+HHt-F3R9x>dScb$96Q*FCCxO1DqWRy7?nI~^{9777mr>& zCU4A+GE>={vS-J}jomi(tf9*cw>gK$Y?S) z{zs-3<5k9m##@HcP#Q`@X(;`>CxRdv=vgJVOR!2CR4`+nAblK^ZMsuUjIDI>z{{t{qr!de;$?)&8im! znAblK^ZMuE(6H#F;TT5WXbsD*5MYo!y9C1uD38j%Qh*sEIh)s22;wdKS^)-rvb!)G z2IUdiTLhRPRN1RB9D(s%E5Ha3-(HDynEht~1|4SeI*G>o928*04=-a3lt*WOA;1j5 zW*@&0*m#)JU`wc6gsixCkfwd5Hx?7cMD>oEu>DYK3wKZjlQ$ zMxp}}OIV<+4f=Zt56;=)-43ni!K)3o425KdTr-r~i5@7a!`Pib*H5PgurC1I-GGM$ zye`1$AX)%!0k{k5+yOdlfP0S61EdM$iU}>|!3r3WCbV>5-dzEW zWf7CHmOKGTiUA|C{s4}g0FH^k-G8sI%kkfkzyGKB`^A1RV5JJ|2|du(3Hm^GY=z_y zWXi$1cL6^ROraLnv}4b+0&ZlRdhAJVT;sswr~zIFWJfyF5v4>4x-sG+Uq^Cx120`z zN?zVp%&!;QZyMGyi$~-FtW#bG-hf^Gtx=f^dl%9^;>nKrv*C7Kg8j94tUEECF3dIG zw?)8W7xGq&y9-Ou39Y=i4)toreE98op>4cE58hMU7nQUIWY7lk1HN&wcDiuh27Ou} zuNC|RjYpoYHbCb>33!Y3U_G_qk#i}X^$3zW@R;hrqsKqeFVsEi(}AH(XrDF6S1*m7 z=iy(~ZSY9&`==ZGzTe;czJDnR{M0YzYgCXAAW3*xyqKOpHoEcXS%Ccq-7W0I5yN^} zNqOJ14)Q8~HYwoEbD)g3 zlizFXc+Tt)=8FOE{=K=(@0FLI&yeNn10%;1v_|0V&3l1epw)(b*(umvi)D5T^9&z* zP-L)T{quJA`!VlVT|xxsDWG|PpI4j#Pq7jM^ZAAG><_R-Kton6yGxjJ{PDwvOS(Zf z{1_Qnkxb<)6e7OZA+keY|xAj*3Jsjkqyr>9fRV`#WMa5J{)}=xSzlErK6kn zg6QVg{e``e*7$fDEVrMpfz{T)s2P}v{5I6$c<;hgS_64|kcXhS#aqY&*al`;p6h1J zi(Qzhx&yWhjwQSe^8|}~@F?jB@ZcZUgMI0r*J|(#&r2CR(+u|M0ZsH^J$C+!cJ$8% zXf@~*G-D6qz=mHD%>lj4ffg-6bBFhL;xQljZCC>Tj5l&n6t@CK7mhfWUSIR;KmRN< zpdbHCHlU;6m@>GR2geHDR?ULkm!5O2m$9fjAiW2BsS{)8M-e~s2G8jKst5SzH#1?v zwRJ=#J$2h5DL^shEZdur=V87L0o$l-9tzNoa?9ltW1q|%p4(b1LnYMnvY0Rjh;ptVE8h<3XR=U(Y=ro$fixhM5$nK= zxf#%3IlR{c=Lmll)HdQ7q7HgiU`ZRW_Dq7#khC>8Hy{;nsd6k0(kjwH1;7*GuPUHB z3x4H!u>@foth*_=exMaEpHX-%$C|6dIo=xOIM;}6g=)2eEiG7%3#m@Qo@m0Yj9B7^ zfQM9K@8!AodnZq$E{GGJ9jd%D5TJZH%(>gy zd`?%)Hn!W@i7uzhyP(U?mb=_tF1OX|a5-~XV@C&TakRC2J*>sQiG~;Oh3W9j-P<3)|xATHr=~ScIO_vl{ePtYt0Mj;?mrY<0G{TINFO zcvriVHFw)Qh*D#_!^3t2<7sud*>R5M4o8c%gB2)38y65|J+5wdiyhuty**a9o$Yqo z>~7YJYhHl$>DQVUDnR*eKhTOOXwf^?dVrlSx69Vu;?=UqTcEEN z_3;w`!Ft+3vLMcSfT+XS($Q^0uI1<6jgCj48O-MZ)~Jbx7~yEh72`8 z0Cf-G8ih5G=>RHTdndB0+W}N&!M69$T%K61VC<3fgO6axviiXo0e^L!`KAxX=%5* z+dyWdW6%{?6uPsnW*C!Bq!cTTCw@Qs=cPalSv?*Xj2z@2Hdjk`Cs@SF4|_)kXeJY3 z93(BMgs<9Ye7qwWR)NAK$0Ic zf~GMOJ?*Z}-;ogwgKoDIn6YC7HWvh5%*z~mi`Va91AYw7Z*$;*H3P9t{Y+@VgDjTO5 zEhe_QfvvaHO|GsmRj?Vx2FPb<*(ueH=DMav7Ft-0wT;u*x=PkqJB^)CU0b1LO;hVF zriKQ#&caqttgopyL0NTec}-JAb!`MLs)-)M1Y=hZYQ-etvn}B-@=C8c2ewwAa%G}7B>uM@YP&m#6oEgW}n0QhkRC$fD zdZL!CFitd9nQ*T>!Ik6O?aiFb(ihVKUYLt_IXOxbfg8N42oi zXv6yrw37+0@evl!_@VRo zq4W6v`SW=Gnd;D){D0<|Ja4_BbNQij`Jr?9p>z2QqxsO;{NS^BzjlVs=ZDVchtB8! z&Ccg9xyN-}w8urOKooK2;WZZQcn8&1;q{FN&mmqMM+fhFkHPy~;wR{R49bJ+CgUE# zC1(6S5AUA`*VN-ULn9@N#8!x$opMc&; z9h46)MRBbQ(32OPnoB|Tv@(96zR}TX z&uQ>lJG+GYE}hRM zJIfti=qW%&gNZdY)Q&7E&{yQ>iz|zADs^0eE}cu`1yWs7pa%X~3fD`f1}m5p5p*vZ zPC&Vw>Lp2HZRx2N(~R+3m=|_^I=|t;Qx8wypS|n(n-|WRFx%X4=f(q;N8#_1T;qJeFbl`Q}zBAdb-85OJ-Tm3HRCB&_ z*^=VjKi|FbaPlKNx7Ni~Yc>zR@AQKoSL_-&YTEDCpN7LnXZ?C?!E!TMetm^8c zc`tv^P;{DZyG~p`>cD4*7d`gL-P>_S#)35qu?r=oG4WjNqUf}OUp}-{cF9i|&dxjgWcK3+3ZD$;8c~B3 zW+FF%tG>JHZqu@I;qgn0yCb*LZ>QWAS7%<=Tn8%66P|u~@&aZ=R>VdGr_6;W+*Gj? z#-&IkAxWl&8_${jd5&5(S|HHV({m{TcK7dK_Hs(ZZ#siqP(VpbFBp$B@)GClt2%b~ zK0GWT`tR{^V}ClV4?h(;&%5wU*Sonp`f5@t*|++B%)QPW*Y(n?8(+5FKJ3+5Ra4)a zb+&oVJGZ|!r})d4)VaTzJ)2(H|MQR4N4~FnJ}G0Y_U4YGyV%(^*Y$qJO2++t$}X9! z;r=-@zMFJ@wdl5GzpUTAByacZ!@A~(6CE=0@Rf<&wp~wzj*Zw?X+8b;q&xc##ZCBj zYGKlozLYn&oDF>;aj*QHksWWGY5hsm9^Jd;{rbP3UL*Zw)v;~EKAiQ_sp*@Q((gX8 z8q$B3=l{~N^LXSUjW#>pHEr#?YZBjm(Q*7Q%5kMF$FA;bZqlUx&D*~+G3Flbg&ST_ zR$V{)vGWJEsNR2)>lKp_#f}9h#Q^g0~Oin$V`nwetHHH~)N= zNjkk$em>QC&*`=?FJ{2(lX8YxZ)`dK<(`<8CzM+XKP%~Kbd5Uxs7c0EKmXh<+~$x& z^IrMcb8BqRTQ~1r|FU!`dm{P4!c$kh@VS?maMRnLto{DTeBY|y9-X~>%)Vz*b~JDN z%ZoScT(jfIW7+RCo-O?7^{dwXE&2TMtL7eBD4pm1JYtgh-BZLtbIrYy!mp==_FsGZ z!M|Pc<Rn0X%l+nU%60mUYIFWCFAU#GJn>w^t4o|&(-)sC zaW4A%-s4Ke_x@%N+3U#g4{QSa#)|Npb(CoB*T`zj4_o2Ar;?$=)AAGf&y7lrQ@RAYA z)#bra5Znd)7`zx982Rrk^pe9B=Wr!B1X_baU=s(6m7ox4`Y#Fiqu}q5@NyxDaV1Hf zXDE)iU^vsg6iJ9_!s9k zPrr3mWJ%_JkxBXamIce7ZGHITz28$!X}iay%{O-L_~jIF#rn-Rsa})adU%Vfg4=$7 z?8|$qrk}|!xcRPIrWPNlRXvvaYQ*aw^hRti{BcL>!CQtuu=wVq8LF>ZlU9`Fo}WTb zeBQa_?)>j}J(*WOd8T-0%*umFExSD-Umm$y6Fy>tXMQ`7~?6s4<&p+HWygdFX^V0dt z4*&Z09b*$dj9&50s=L~UFK-{U{pCfqns21)nwI|C{}eM(|J3B!b?=XVreyW`+)sDT zy03ihEA!vnxp(d@OFC|JKlI)GXYcwn@kr@8+bf-A(yy;wvh&er@7;6Fn;RzIclFdm zQB}=vt52RA-KSIhoL6SMzt}aqe(dgwHFb9@Zhr3isXx8kcBA#rd?b>2a>}_?+qok8PNDaG$i#H|op|PqFxk$!{jSyZ6lcS8r6EUNncS`}45Ho+sa# zo;r5q)cB*ze`xEg-j?@C`psjmJbb*MVr|m1YeVMsmYwYTAm<*2T5bOI=+3s8AMgR&c{?K5h;l zh?^Fd3xX%OuA|k_V)fctW4E{6<#u=%py19Ga|N7UmtUyo&`LF5hqHPPW&h`v(En9@ zzw4fkoku@5uN!gg+}wn}?)&1)7dKBztAF&3PvdKchyU=_wzp~?^>S?F_mcM-Z;h#5 zKWyB(9h+uwnvaON-(Iut_zFq*&tc4_A6LAVa!5b?#yd{`qfMnfcg;7;lfL_=_TGD* zPiuH})o-RZWrweP?C|5`n61A)(DA3X_cK4KY02cXEWa!=QR>9m zzuET!+Kl7_+?y7M31FaIw%GF@t}fTiw+J`m+W%7`0UqXUUzxza{znx$AT({mHisqw zp$RxXuDke?+Ey}zvEASm`5`uotG1&zNiH{?{&Dfd^I!@ENJojeg@$Ks=2^f{H^6iQ z3P^y)A)+2pCsOO@*TtLF{@2rW}-a~Jr*PmPu)8?9o z5KFOVIHcA$albIAw-sY%L|+08IAe$602j1YpHHlScJf}2M>$tmgPt0TgH6->u-e1c zl|?ogyM{#QBkxwC=i=HX5718!S0}PDH6`c|&sy;JnG{?e9Z&FjiK~5+GRLeO!7!05 zFD2o({BdOzoet&v#4_wxC1{*=$(zmpY6VH-nuk(@8P_{!do6*qIB~6E79o|zXRxE^ zU~mP0_NI4!@GlW;;DI_er%SW1Dt5d#jtgl}RXA~j{JtufUH5#ag+F8(XsJZI+1t_Y zVB_QJ=;2HIaPSv*n=&Qk@x_S9XJ%G!v;0y{-_jn5yU#n&0Nov+shmz3l>2vG{&EwA;N9gfYm6a76c{Jtde!*cN zxNLsF{jDzV38AKJ2}qmXgu+DSyv)6GlxEG6FI+adY}>YNn_aeT+qTtZyGvcRZFSjp z-Rk$uJ9Ex_-vo2oadffN9#7x}1)eKc+Q+;shEhAE`Tzs=g z5C=}70;o_{NL8Z*2XT>GTGz$27@be}WiCsQ4-242!^->xYv{!Mfk~27Z0cUVo$tCP zhBNKZ#8p2QkWOIC4gZq6v*}_u`z7-uWAmwFjI6PDzsrtgdBqhAGO;~1si7%v2&5zP z7n>>|H#BMw6Nj%5pqk>)paQ(F3$S3}CxTKX5C9ew#Um5c^9GUMOl^KOP^pC#zmRn& z1n^?SXZuh9_v9L~>4YGRGvLoxI;Ycomqzm%P0FMoIw9Qp0}lla#5ryOUvJM0Ky19oAkD_4gfx>?2&U+rRoL7Mf@Dn)k^ z_O;_Ss}M*s%`~ja2Ivlsr{&WaF0?By6Z#Uqy_`5<1qc~??TfT|)miEImVqBh7ctJ&%dtO3 zUov<n?2DUd~26&Mseb0yB@`}5OYtV3Y4+dM`A)%c#N^9HPuf5DN}n3!dpL0(eC zY|i9bbLVPm2jl0+o}!;c#B3QIB1dN<@%3!Ex_wO3t+cK9!S@dnjEb7p;kqpr&OOUj ze}Il^@!r0vJwf_KnQl^VmA7nxM>lY0-1v{L+M`h;TO)wlmpw4_?yZwRn zK*c6e2DN;Z6zr^2@%R1aM!-*V6 z9Y>HnlF4gGF0BKd5Y}8q58X6R%$B`m2vPErSGkE<6oI7?rHOm8%P!Gz2pc z(6YQ^SW2X#3JBS`*Yf5qQoZrYek~5GE~&fOR^B<&`8KZTV>u@?F$xlp+%iJHN4yTm z6(_F_y1hIdq=L&grl;K={WfN~*3=_%eaK)egck}Y`04c=2!EK1HJ9T0Q^20yHKr)6 zwG@F6+@loQ5vT|zJ^#BSr1cyeEhmu+^-=+IdSKdGx)jjBQ+ak4?)b+d`S{niqT3)T zm-a0g_k^o>quf=QB@sdH=3+#L7L23YqP_mrqkT+CM#m;N@bGe07wztxzyV3>b!*EuW_CHU!fiGVY=akp=G+(QezO9pJr7^k1?J~%*;tHc(^V$%78_vdXNiuiv9ih zlcX3sc*aJTgQ6Nz@bgj=N=ZaaHFN727x}ac*RtFvV_<(=c1Dbp zc}EGlvt;IiIvU9M2Jc`*0&?%^eBCVB&Z{%<+-e8~j07gx{dbl%#J$7Qoy+~haD-2!OpNP0Cv{cz)aU`q`y%i~rP~%1 zZ|@X|4p?Xd%^`cz_#*{9oOriNQ!&_a2-&b;qQ*iJT-27Od**AA+rI^<>;I;!0II zVa;Y6^u6OE4r5Vm`1|$|KT}cQHX~i~DXBfzkYSsB^ zf&1}y$9~@|vo*p*IKOSxc%#o8`l27OT^fBLd%E!0eR{8Yn4a@0{(HXh!^S!J@Yh+z z*B(JZOoH{(s;^P|!F+Afmi6_Q5Kt$`M$sm}$)XOFd9sy3;U*s^R%gE=IyQRNt@1mb zo^TUeI0sTQt}2;;HQ+MB7+oj>L?Xf<5?Z!OoUoYO7|e}$AWZo+Bw8Xn zeN1xM0bPSuz?cDluJW=@%PTVki0Wpr=z{voL3G;tET8}Bb$1}ykc!U5p@2g0BHA#K zC|Sy4pf9|xMd99xrxvH>y?SQm9q>-?dn+uIv5k?VlY_Co^ar~E?~+kbHWSrz-&YX9#2yJq@>z?Y=INB*Jz z%;8V{SE2s{9s?7{*W#G|sAgbe`%AYDz7GCBW6t_tnE%m5|1ZD4@&3ovKdpc8{HK<` zwf&p=U#0zbldvgK?>I(TJfi2$(ERM8Z;M-^J6OFg| zcg-8fJ1+1w{eWMIhFN{RsQE)PiJYq29Wq*KHeBhYjXfKjWLcV+0p*s{c!ZPTYRf!7 zr}uP^vAB%nh|aobv+p(#_uys4At@J_x9#EtY)N$|g7TnU%(9PotHAX?uyZYi-6-F$ zaR#wK#f5eKFgPHz6aAUw{U}oT8{a5G~xFDU7hmut` znC1$RHV_m1als({MKN*21rdk*;NU>NUL6UsB0NkqGFnV?dpQWR{EP5=kq{l^3?qZG zR<(v)PXO?GWC4f$aF9V(F4_Cm^-~Nnj`60pR?qP*ye`&KtKYw56Mgdu-y*f06LEeo zf4M*1QsMEykNP$mlnSY`HGN)L<^FqCF{l<^)b|M$Zduh@XDhH4cI}L^J-Qu z8-k@-pqrB%bpJNCs}49nfiS3A3chS-*a`Zkmph*>gqg#s4<4=0>qIr^sVZ#P;s8#z zqw#SzhJ$LeB}E;DV?xO!~& zcV7zB8+$o|g`Jh0*J9AObM?C}XOz`6#TYo9#P!dHp%+i5Gux)rtAXwf-Op6`&w0~B zt=caOeUvy%9r>6Lx49jIGPER|q>~DELf7$XozsF6&#T>G)Mgi7F0SBAH^?&+59z)j zF_(sbP{|lHoo)MBXQd7=H&67mu~q@w;iLTKIAz-HYOdNawbC=0V;j+U)z1+D0An!*{@$(n~aU0y`jURV7#!yD&SJu9*_ zkNL?I=K!RE$rttEvwLtV=s{a!9uAPO>rDXE>Y^W-AC|#9vGfTBQ=6qW)t3%(9-0v| zt6F9e`l@h$a)q2(8ah&vLs=BB^;1_d_}dUs3S&2Z=iYI1zw7x*F8QcZ-~*2XkjXx?5_m&Jx!hOe&YShvqB^Q1+Bx7(g_TN zq)6(`Es616YyIjG-c=iH9$I+l6>iKS&BE4Xk!ck^JWt@;Pj#e2f4taEqa;~boEIq@*V*Bnp^PC$T5A>|A^!(D*d#H1d=+eJgT zdy=V%sU6tS9x1?>+@0vm<$fP8q75101mndTF7|icATfHmZl(ueMX~CV4Vz*h#21LJ z9BFLZ>LMam$BMfYf>dd!>{=qP_sKEYb+Vr1Qc=zUqw;F-)F;+10i)Ke2EX6;X!k>8 zt)>oe7t!K>?h`w3PXHenmnL0UH|rWu{%68$3GmZG`{wAtcP+~^PXVP zzM`08%nZFUN0Un%FD}&KF`hm8vUYdP0C(zTz(EYm%)tFzW>{sTjF!8!UmKu)Jqn+k zoRRm;C76A>8+(;9s0Qxjg^`S2V6g6Y(DHmjtWFishTPH$*FG*S1XdpzX>MPP+|%wG zxo?_Y7r}a?w#?C=P^#n@&9JRMz^ZglVhPn~@Mk&IXoz9?N}gjdLAh9|Cxc{3UCB@v zVhZcB0dRgQPbcUNd*aLg&O2`_;0<`l`V>E$O>7KDIkACHM^u*vg zt)Q=5r**pem162fI%X(Uw^d6TkyRp#K$bZyLm9>sjU`%2RjL&O7WEFQ?0yDqUSvxv zov5yZx(|7>E$FX#YrHW^Y+J}oYfHQ8vScUg?ak!P9fX7Dg)3Ira=ATExfc`!;b+Xf zZZA2nQg_WQXei3s@5mEJ&MqR~g`w?;5Vv()CDpHh{i9s^j?i&%hzbpz8XyF zKf2t_Prgfn90r4~ z6q3NMv*5sm!IT+Q+DaT?(*xGH(npC+LwQx4#3HNqVR*~sjDOj&mfqC(R6I%L=IS_> zfJJ~m+PONg0p79fhdc_&cgo`h(IpD4Q-lPbhHWx`=Wh}(+;cvaLc|NLz|g|Gj&{KFpKHe@xlD8mZg5e(1+!S>_Nqtv5rJ6zph zvFk{`#J&v)cm1E|fLV_6KB8rD^^mW15iD<@dG6tRZ60~$4zI05+EH9%j~S}zbm|V& z(E)g+daZNUp-X_}5_YA_l~Ti_I{^7vMatz;Zf|SQ{f#HspEX+rQ!!7ZM#Q z+#z%NPv%|5^UR3cfxUcdWCDkZuRvhiIhXgyH+zEfVmmVQRcyoa#mVh8_3Osl`)&u# zZ=w2z&$D+!dqHsFelfJ(?a+NdYyb6y)KA14y!GqnGyNyXC-W!L2U*E|2~mldOiiXP zo1tTr1?5nJY1=|Q-Y6h}2s-iwY8N>-wHH+r6iR?LT%0A*Dx9K-K*ChtZ|@|{bC9IN z8EARhJU&E@Jn7SfxY?jJJMc}gVzey~Ha`Hkz4%t)*gdjqg*Wcu8))*H-N>hwC+jy_ z8axNoYQKuGv84fL$F(+r3##?N^`$n`9wIq=I)@quTfeB()<=OsX5P@L!^7KiFYu(Q zw~03hUo_t+zOnE7WIZ;=CCMc!CDtWc0uQ$(QY9@t30diEsTrpe6Y^dMdCzdSKDR{M zGe`%JQk)BtF(gZ~25nOg%MPPAQe#DNbjT3U`O1(if-T!LpNsX=U2QzexW3W|-& z;2xM=zFpoTcU=IR@7@=xkw_?ek=w8>w~hc(#C1c(&>zUbTq3PV?e-k%Cu&DRyr9k* zlZEGR6T`MHx8}WEN91ss7yVOLI7b?HLA6NT!;jZUZ%7Aq~Eqt57+>GMwxh(Yc%O+Y^bi(xpJn!S$>EST)Mm6QH%-%!Yem@v` zN-QDr`uPmk0Ya^A(ABdQc%s1Tg_T?wynSl&?-4|(@gVoKE-;iuW*D1J45gQ8johnu$|w>Y=zxh z!EK5gFlo-uhoQa@^{%yI-Kegl==VMf%G#N^wuy@m^p>)EeN+ugWTfO6B@Ifci!GrA1++s;@W(@)~2L`gQ>^sH`(>y(JkZf>F>8`KT!=G=s3tP0v7J zdHA_qJBhI_&{9|@smUv7P)wQ8ZiVhcT+ets=ypxl%R6}o)ne65jyG!E~ z>5cmbMpsm`OnfCXVTQ9BVIvUR#+X`DKGeB}nZkCvL`Ss>w)*bEwtFzCWqg5ih$)xMez^g_CZFo| z-YKPZDMNECyU~Dboy%pb;eG2Oe=`wzU9d`M<9IM(m+JI;;ULB+rWG}snzyx0=m^{1 zy81VktRYsplPof;zhpKN(jDF$%e&h0zHmhuMm;HC8opcJC+P;Rtf>qODrzc?uC{77 z46`h6CFrk&Ts3w1VI(AE1L)&JG*naw)K|eC3*r|xHiIL72B;H@vJZVo($hTnxrgB5 zrv2>Pp`nY*ajci)wHYkeEssb@M@`)e&N=Jb2(io8r;y*zpll8uSJOgrTj*Y3HFrCU zp-;YBIOW<83KA2mBs!rUr))c?pLwm?u5wkheGW1*EPQr`za!c+!MoZy<~MK}IiaCv z7wM#AGGF3ebIR-;vL`H1ekvU-o~=0^J}jOG9xhQg%&#*(OI9reMW6;XN7+=aJ&bUH zFD)jeb~CnqSd(#os~akz)5HrhqYy(Wg(7stumCx&5{CatDV0L)tely}LC(`)WG|v3 zW4%$|INSRY@>_<6iW)U*ak*ob`htdfBQsIyi1?auD}i-NL*@z-GnI|y$ATKIhUQGY zLGi$^AI2qDrdP0b@s-ZSG`wjVEK>+&mE)Cs6V^f$vKE}BiI+MBCWZ)rZ*>?3O=rFR z?C3OGN!g)i&dxBQ9%!c{QYTM5PZ5?=z za_>j?kxG2M?@d^j$rqeDpAJqVqe&bp{xNi)<;Qh7otCZZH}I6zjLLX6n9Kx^rww^%FXt%o!q@Q@pne0xjl<4+b zE1}sR2b3*QPbQSProOqHNV9g?oZvOs2N`u39>x7eoN$Alwx&ySxPg}L%}{=W08xbO zVB%K-ncN<)Motq%D7p#k!35oq~!wQHC%D5olOG4w<1YQ4eqdL4nAx9xML z;c@-7Qz#V99;YzWh3`oxi|PKt6>r_!Z2ob5gRax*(b0~IGvxa|smw9)o)7qwnJ~q0 z00rAHhlWzN)R_|hxuVP2a`nbg?B%L=)X&D#r@D=wu{edR{3!(G(a7_=l1;rdmUOF@ zj6#^3N29f84E~f)f$IWFP=vW@i$l_~8Mv=hz0p;n@st$uYJ?=>tt zPLAi^rm6aPEyDJQB_0k#YL=vK?OeXGK%u=$|7P>;1Y*mOqk{GI4c-iryk2>t`_VM~ zRD}`vb)b|!6y#QmbG#7o7K_tLvX`OI>Gt!e7Svd4oRd0_Cj|(nxqy32&53>CjrweG zn_1b?T{D#F3ZA+q@q4e#K5niJkD$K)Tk*&Xb+8h^n5DNuD8r}(#gaap@d3`XJ}%3C z^ieGZhjD zVVTIWB_RtRVaw$f1S*My5tx;TOHc2+sKz~Lkda#ZwUy^dohn-1^{qOPaBle_13oMe ziGB5?k-8j}Fd)V?M==rE8HlJ$6(4@ssKt3|R`(Jac-u{*erIZ-A|&LC%q%K%8~_&O z{ZaE#%7UEXdF2$qlJYmNg@n&UC2DW$abnk!yI`@HFkJmC86|K+Qf5wK#5B~R$}@#v zf<7Gh;6w%>rN>l+H{wBxsISF-KzDv3j5uKRU|X84P(ejl9KtfHO@I`oXM{NQ{-m$Q z6foTMla?YBSw)Slyx2)r{Xa%RhzVpi%G`_<5CuR1SxQTV^!4zoD{HY47JL*47=O_c zz}{g;0nkW7_WQFaQ?igFdH7)g>8eaa2RjU4t+VdlCDXk16yVMK z;So~$t+uY{X=SY{W|*G75~Oqf0k<1*wvDJBUMdf~MHsydkqty-S9{URQsY3vopP z`w9u=NIGO#e7P{Fj}l>$2I;0-%LAjtra!^28qa6m)3p!3WMwADkcT4B!k%9ZV@Q>^4D|NA8ZgPI>QJ+RPOYQYEzFz2(ih5V7cMXn>QJ zK?<>)3_Hb<7KvjBiA0o?9&=bJ26t%Gh7*yn$%rC;1yt*M9#i-MoZwejlsow6kptcE zI-va!}Jvcp4x*TjUBNluy<^dwcHH4~lUXUmx&(e=0fQisJAn;|I zBo6T~6-ZdCc<_+7ghgtb6Ppr>PtoPDqw22mcG4KtvO*FOXmqW78&dyJSaRWSdQq*# z*l~>laiAs%?e7h_B*F{*q-*#U!_42smS?s>fG9V53gJKn#0zFY!mDQDB;N^7B83Gf zWDl>d>{NQvssqrSMH>m^y~SM=sklO6H?turck<BGVriKAH%&M0%Yu+Ren?zL$jZNR5hRMN8@C^1|zMoyjGa`O(uwHT^58^9fe*M075}t9Safq0(jhm_X<|=n3J5nlMW#q@?pKC##}R@hE>;Bc}G$WWFQ^MjkRrIe0IgKQQM|u8>fk zRIr7nFctu*Q}3_<%MS$__*3sdcoUrH3lx9%_-ZJ>hj8H_hqzVZf?HO{Scs_TY4<== zAcPp?Y`zi^Lud8F2TAY5_X;N|Qer|c#Fg-U;3PxP^N1;QL^I_z#=E|D+yrM`DTcnK ztHz4=zW9C`bI5xtYs3O&7e$)kq^?p=0Y3u-UmPIm68{RG$SaI|)bk(fm@WqOK}TGb zmO0nS+u<6a=ZUq+lIB=%4DAFuHRTs{kY@xIY|##g!I=3evJV!9Z0;dw#Afi*!!UrT zJ2?t?vxafUx##>|zl4h0R;O5WTq|W31^Jph{+BT>cHC3P)O5M{WWt>~h!1uRmpZK-Z^ZA zD!sYrG}4|m^RhlovGjl&d9F~4Ou8Y7PU15|b|s87`GkGt(3=xE<`9R17U|l}cOBZq zf)RNLa&8>GguzsOvPx?+Ukb~QO-shW@9BqI@Px@@8bC3HZ$t_52{3SbSIwfI^pxTj z%Bqz3>5?28st7e32)XSC@gyPhWBi;oKE;EnVqzHya?hQ7?8B^MgnNys8v30&?VXYg z`Ybw!Xu*RCgPuC6ewFYUg3r3s`tTOPiTjS*hk+?LSLSjX^(k47Ky)NIRv6UIMPU?)!e{ zSA+z$5mqKdLf-?Oh!vHE8aRpD@}t`;krw*Uo+kAuHqcdqmC0+0x4; zIfN1ox_kC#s0~c2utU*%EzCNr&>Ux1MP1~K!vdx=$qu=K`6zS;C7*X>HV#6g4O_69 zR;_rPs5NOqOWWAjsQiHyX0%0`wC&52%szllEYPDg(N57U1lN$7Z=Z>GTERjs>}{Da z#2fG{GnLk0Cr7(3lvCy=BIS^#{MtdO?&maJ{VwiCen247Zv|OcERNOhvOFUxC zcIFsqm?~0p-FphvZ)P{#2+F2^n`j9M#Z-oP>{KRoVI!y;urZZZ8jX63e|ng{bEJIM zMJ?F;mc5f4{+e34MNN1ujep$Yl)gEP^1hhzRKi64`v>9(GZ^}JD-re!A||nyJx2Cj z@4!W?RsAhUT@OUDJ9VS)Ws+|t1%p+&)PT38`tQ_NIzpy>*K0;M)?-{17bBPtJc@8n zQf45aN9X;XuqUF`A6D%$@^b6>>R^lx3&~`9^&le*EQvKK#CZ4#^cu@(Q3&anj9t7d z6KvvguE!Y(q?2RmEgpTGBlhL9SmZxL>utIEGQe=~c+7=zPYTakqVjCgzYd@YBI}Ix z`$BYIxQUhtE*19MQaLEf6?|m9SwjEZ!b0y3e#X}!m{A&t9Ij#JekIzzbGY#xGx4t7 zOiAp9@_7FRh{GC;afW{-vt#Br{|P!$!}aaoxZODZcDw!MRA>2zUzqXBna<4ere;CJzvj5nx4}ZGPO2A0Nu_(R~f33>9~5-+5{)qsC6qP$nkIpKLc!^ zj4f3d>rB@Q{Yqk(NrU*1Y}p&nbMYN>k8bh^iF~G6&wgJ3k2B{k3Lm=plKN3+^+&(vN3aZe!GOt?9fc^RZKfvq^|0~c~m^j$~%dg4G%u4^S z7@l*%x+N~OT|WEVEHU$0lP@FR1Ov>mGEX-qTjYN?hi>)bH}_nVEH+2VsBIoeKwh0N zRnE=VQ4wxA&AKIA8hUTjk@2mh+10D(xVKR{xNG0A9xYK~Qy6sC4kc;3J#yK& z=$zVkxWk_0xO~ld?C3t^;`lD;58wyL1=wu0c|aM?ysibt4O+N9mx2c(w?wtcaNad9%Tbos%jZ*Xt`C`&*m+ef$8zSWIg z%&aZHH^o@K1DcQ2*>eDuOQOx`)AQ@@&nH_v5OOX5x9@PF1$Fx-{O&4rN*{Lpc$=N? z)u(`!q>Y!>d0i2(xmi9}1MxV6qg(zez>VB@Wvf(2PYJ&qUjj3yd{r-JSkha^+u}T18oF#}fke=90B#9;s`&wi&@(Me}FCCvc)-v}x(43V$tVw~+A6})&HDnI)z zRef4*1mp{juC8bl-=-H2#x=OkwKO$RwUI-dW1P?X`cAQD+jk!HFO3{(`v}sp`-pI- z>{_dJBKlGe*acp8w5qP1TblZ1&H)`z+NIys)wZ;an=%z5He}yBofSjigm(kAGL>3E za26HfbVO+EtFtGurKZL$irJtSnp#>mD6_3sqgTqSPJ|4! zVZz+7h=egPgLC)K)uJNx{8~i-$5`bgOw6~gnouGIFfYw_Pa@Kmd0kD3Ct+XWCfVsS zP>xZgh$lo8DJ}94W{%q8Bmsu0*YHO`-{g?+n_)J`^q-WV6=5nyrZJ2#TK~vS6tobP zb%Yk5D>4hDxEMpRft^e{8rR>xQqW5*V+5Kv)gT+i)SxcM}>rG15i#yamRtYJ(R15l*ZuWbd6K*rDYWrMzm1 zCM;>p?2Ym~v`1MV)d4r0nO1qz7f}VQkXo20st`fUyRWFmcdB*jq*6a=$2Q?-kv9wW7S)4FL zi`=}TdH07FK^sg)k8m{@B$imUK(Ume3VXF;R9gc3?~dQipTZ2L3WK(~ za;rXXin9-l9_rabqa#phvD(I?<%-71S{PDx&KC}Ar@=KW?^v9-h^dX8!(`SPM+=;H zI#R0mL@3*eAjnkEsYr)r!RIj+z`Kuzo*-E8qGz!No^Wea!vyv-{atepT7Yw(bR1n$zh%pM{VZRX0D$zm;0A!6WS z@X>=}xp>~r%C1&-cjRIky|I(u$IOhXDz6kKw|@n3 z)hLr!UBypIp+U@{^KQCxqToySGPUwL4!IfGuQ1#r1&_HLUfI($e0KW)(|=r^Ff>~{ zu45Rqmz9k%Pfd!|V1>xV7)9yb*zuWk+oA&Jc+S0{rAoaVYe*T(&5fPjxUKrlb~)CB z!Dqd0x^COi=hpLJzUH%rQ~e(J3Kn|NdfU?l+=7kHILc-&^LsFirgsEy>0~ULN7y4n ze2YXyuJaX{%v# zZdMxB>#!kG?wz`8C0s1mWkVt)TrBQ`Ivn5nX_qsz{By{*7zz9 zs23|ZI(+U>aF!O4esC6vT@KuyseCtD-o+eiOR*ct2yXlD@2lP|TV3=%Y(CZf;AqX; zGnc>Q=n%V*}=`eb^sbi9e)9})KLO*Px$1840x*?@)L@!VCq3QQl{LU2on{(1f34)bwe z5V;e5#qq@G1@8lNIXQjdA9&>)_PyWLPXRBe=2ss*Sm+M>Y{<53kq=~7{4cZst!=m` zLhJyvVZmURtcJKGP+R{TE`b6gzWqQX3w3h`mO(0IzV?J=cNPv#%gppjk~K3{MHI zHuSH9++GZfrDv5T6;0%r(q>uDu|(mT zd5RoS;q;SIY1iy`aDg0}H_DeY@&mFug!QF5;#HkHvg>$+$3Y`8rgB#`{CE4erOD8K zmknLo@k^Ax_DWIf#A=qv$7_Zzxv@yjn5A;|Z4ix`T;dzIis|_5Xg}?F%3)@(0O@RG zqZ1wo(tK63Z?&Zjjob>BjqTLB`{fOZbNn^MjEz94UU>ERQvOpTE6jdbpr{Jw^yyw- zNkafZc!~qVCBboEdG?ubpz9k{*ejL{w`}P#twLzPbmz$r!hx9J0cBKCn;P;|=9MrA zrEDqC3uKq8W!wJNkwKT2VCdYzfUOK2h#RX*GNBZIYU{ zlodj_!K|v3NvrilTUzBodR5jC>b{}qs?#2mu_Lo%NKh1zBU3bN>^pS>u`KD-C|rsz ztW;IFf`(>ZT(Rnqq7)rBs3;NX;_$4cQCXK|owfaf!DNQyky`~zwn0TWiO!^TQ~kc8 z_JUcH1v6BjXbttu5&EHFRR-uhz=RPa0h$64^Ed?TnlUD+Fp<0xvz4!c!186ockxr{ z>OX<)m4qZ9c~@0bemGE4UR~QXaE&Tc4jD{pYx)?wxBVox?OK`0Or>$8R-I_rZ<87g z&LGm-(~x$RMn6vhMX;LfT;!C+fOThE2ZY7iPI)g?lns%QH6?M;vW{;kniRz;{nj9D~Qc@ z9?qOGCr)YelGxCi3)I*as1Qw(6)FtquU_XyH-~5ALfZ@Tg$2grVY$ZcOS5MTDyt;S zp_({4vGb2ZWe^}fd;)!CIHMB~*@$fg39RdFZvJKE<=o$Q84tIaW<;^6RV}>m*KZX8 z_leqjCw_lk0fbP#-KQkWlKJxg*l6^4fz3acs=`%>u6qVjE4$eB$?%}Wr1hqp*XgEH zc=xN|4w3^MRA7&>pWo&gd@ePk-#^OJ3`GNE>Pp-u60OYZL%1@AMDmPC^HDLVwrf+X zw#z(6Oq0<&oVZ9JF(!RQHYb)b65B25cYNG(2`NP)&8PWtX|;sABGp{W$#&npn~sVM91J}XACJY0J5l@Ry*un@30ygpKQznH0m8x z+9BBUMC1}lThdx3$zVXZos3o2Z?w3YF2pqQ9|+E3q>6Wiv9$c)>R zRnF5!Wy!8TjWaOb&1ae-vSAauhd+)O83ay0Y#+)lB(foWsKpdjgR&OCY(IQPO1k)l zd1$pe%<$>D->_*NPA#-?svVwaXIJ=&IFFIsFQ&ij{%OdeT((oSIx}^Lr}Dq>p>E^g zG5n6T`?gup!>tX*14V6g73LP$t>LeN;l!fN18k{>TZ*C%4c02<-!Rg$69HluE$b{XHpyTYl=}lGQn$I4mr)w|9fE%b}tcD#p zC*WWfO0dk*>fSBQh($F_gN zRR5?Y?K6=H@$v@KsagJHDKi=vbud?|WkQSyaTzSikSE5Da5MZRZ(FClu|cx{{u8LK zRQWRYh3Myd*Dk4V|Ka()961_ zW1gL#UEJ!!m{!8yyTFU5g4e{o@!*(^fx0AJ{irlP>(aALMZOj@jMpQZSONugdXB2B z&;X%@FGxx24kcwn6t3!;&e^<(TXMC(>nM|dCYsOwR^Ho1hk6ky!OQ2Icmwjbu@gxY z!Byz|e!JkMkhT?7 z@Y^q?QRt0nXy7pC9)J-rzE_=n8Y{Ge76F(cU9Y)E!ik}+vDhoQE3rD6>(FQ0o+bX? zTT9T&Acr7Ufp47gbRY^=T=rEUEYg~3_qs(O2q(b?S!JTn8|;fjRnS1}XvS%HVtfvl zgPWmQGBCFcTphy*MqbDf4C%aCm--LhFy_j1*^v0oE|Kl%o1v`5ZCv(e0$COijPC;t zl^rLDQl(WodpH|!|s%xuNMX|4$SSB%lJ{oYY@~b?DLTMEY2T(NVzT1rm}{q2x7m zb?p~n_NcYr0C&_>EA%O@YNQ4&?WXU*sK$<CFOxRw*WoNyCf5IM(PsLa_kTQe!pQtTTeK6cLIogbN8jn}s#*|9EL&uu($`S~uaKR$63Lr%k|0jI{|0L2{}p@; z%#6$o|7F}}re|bk|5xkwnLDJLvPiSs#YtoH67XcN1JH zk0t{4PMe>Zm)@73*;}#YTAtaQ&L_&w_(|Ukg2f4|l%Rinmn?uJ3Fv^9q){6eALG7q z&ya@DfqIS@1@#Z96gmbIoD@vKuGU_!oEM?1BAjnc`DTBY719Q+c;U$kdIFDxXp+YD z8N%_p)SWA4wyZ07HnpS-UarjqUpCzW-ur|}4q<7}+V^Bgz}I0Sa62oMDiR&?mJ9LB z>F(ogoOdU`VjzfQL%3fR0_tB33;l_`2zGBgt*arwfz5s!>3}xunKq(^rY?Wpm2XUt zZ^{RHooiNVYoVGsj9A(o+hl82Y2$VuNU#Qh64Ms|kJRkar=+l?{d_@J-EfejFizS` zd*dZKqx#^z7l1;SEu%(qYa1mK$AaW<=I2wAU5*p+0e!-j=G!NR2{NWtS!4r*AtcP0 zWuCMwj@bs*n1o^C$DI_$b*xEjV_f-(+4WyEzZr z$GN<$WJ(TojWmnJIIeI=FL89(UnGG)F!s748BQ)o5*w)#7m^G`hMyG2vrFzk_Y64< zA2+qEMosv5Ra5gwR2GsX#Qv=0?F%)Fv>%5pVlwtROfVt^cm2F5^uf+S97P!Fp1H9f z=azuYs4D@75h5r~)==zHRdt7ZM@2MNEV#Spo!xJ~E{#~ECf?gkJXBGnNlCbHSk(|$ z^?od4RN-Wmmwh$l-_ARXm+<6kYy$%1|K$2BPQc=$#hCx?6MWBhr06!eu#?~$#8($T z{{K{c(ESn~&iS;)_@9{mU+Vja74pLu3=2rRsSysi!jV80Psc|Q2tmM;Ai*e#lO`o8 zN=k~iaNsE;i#7^>N)wY_omm?jityvDG{#I9rzIIM;%rM$78q-H)STU9>co3vUkJWj z;luc4{H$>$_tQhw{rIj-DS|Fj+v&LIEj;z}Jt?@?VXzaCH7mry}g5O%Z%Te0z`Uo+cmgp?%l!$ zla+^PZXu#z+B}MLq>0!&79xVx2}i|A%muTZjT5;+l-bby^u0o>Tra!0JISlH&S|MF z$6(V|W6qmrWQ+Aq<|wlBPUnYB)IHhTnYA**-!*#KdOu^LBI*ou4Gj~O=AsQG#^EVF zG#*0@F1ijz2udRn@VmX3{ z!%m#MmM4fr=0Sx|oo!d)u{v@AlUp>VgWg(9M+K2542hfsV$@K?czYd>#LvTiSX~7TPUXiaU zQPnPf!Y10KP4H9cEopQ+yQI#s!se*v-s-KTRsF#uyYpJjH5?dPH2+)bWI$U#epX{U zpJhd9K4vckt4}6d7uQLaJNtT$w2Zuv3x=1zV)MEQBfv)W)@1RO8#EfS!{WGd@{xMP z960Vkor3wP9=0=>*K*u^7>z7l3*tC0ah)!1$9E#|@8(6}&qL|3CRSJQAoeqe{`W1m zE;NW6&lT);lhoXaaJgDH?er9l5!)yCJ;l4fTWYl#Q{6bra_aMI8uQy-eXu_0I(++- zw%)b;EvsD!a6BEi>*)Z`SdkI&hRRk>YIc*Msr4_O8@1Saf^< z5j%cf|Ay#qrRA7dKYMCtB%T5g5!-)=or${!aUUe$XSo(fZ$j9wqp$5NQq_j4T_)d8?|Nm=-NS*A1a znAStNZ(PpLEcwS3N+U}qhcZj<2qK_&OfOM=A7Dc-oGwjkb7+Q#A4)$#Nbx4`->^+0 z26crn7S${|O5oX&PQ7ZBkG!x6+*N$Hr`Oojf8k+6ubm%ekmR^H5maU|MYC>1JQF+2 zG%E%%V?t|L52gS$;l%F42>$yyb;FM1C?Moe;OLKl47j*_z|#*`xjsUJh?7)K@s0p5 z)s2c8VecE1pBQXHY&`@8=jR2R%gaq{L!69J&g2u>kh59ZKg(?jHWt}i=wjk*qPm#{ zr(8h6P7_s-QcxnBuRXcr973OI^*_-WzoJsV|0$e?x$@BsOES*%aKCcud@0QI?B4@4 zGoS(Xgp+eU*sq+~#Ww(~kIeD_)%zL|{sExvd{-#w5J3FpCB(LVhSa{NQ{SUofdi(S zgMme`;`^f;#`A!kgGHO>SO2B@b_{hQ;DTrL2|kn+=_+Cd;cw3rc6P(7dk6pls%6{w z`lCGTIi(59mp)$K4fbXeV%HpsmKcz;6NL|Oj&LZ@4r6_tdIic^2ydVn4c zFV8}UJMbrY5Inv+6s6ZO42wY4Erf7VaW!>@&_(ZW)y_Ob9mcbRl+wV~&D zlc28KLPyadE^S#<)?Im(Q1MP=U8IXRp$T)Y{jR;{1Orzjn|OD@pC&{I9;qg5209jX z5TZ#9UAtWnfT7*}_RcG;Jg?THT_7X#lg75yYJo`orSkfm4$;4(Dc4)vadoZPTe(mByTUHpX5|N#siuDgBvbF4{Af*(bb&85tRxGc%P& z$iqU!V6ojt;_@M{?lE! zPf?>oC_K~CWvUT|e287pSoVocZWJznju8ai$!?YpAt)nBmq)UZ45s#7h}!ZGmajq2 zQB!b#E{1N+AHNq0Qs9xgm$>HItTPP;?r~c$7MRe zWC!3o&MG42Amf3wBRD%E&s*+OTznYDBP!4OlJ*BLI-Y#Ew;6J>_e=Dq-8tGa>QJM) z5s;&xruc2CjY%|fVjz2(PKmCdZJ|hBO|Q8JUMh4cK}R;i^YUyMulzuy%}Ls)5LWQg zMJ2D~ZMn8!(XF|BASEx$GsQC7`GAngMw~N^-k-8YLp)d=Q4=6q7Jb&y0nHF!&~PmO#vYR4U0c~!|xhIJhMrV-$5?BjUb){)W_~zi=YNIy93CMa# zYmz)h4WyusS~uetJ6c)>9T|-R+CVZBnnw@=``Hsc16sxKBxuI!wMeY=eq}W>JRi83 z67z0`7bYBI)huK<3Qiv>1o{T(^TG$ zFFJC+r**ckfd%Cke~QDdJ?ve3frx~dTS@GgU`sS{WtK5=h!E@}YUCBLU)@%=dd&=W zIEY}{OpQiV@bG0C2MTU@{6iqX+h5piS}-zUWLbsJf5eh33yf~>AX)(jOld+fwJYfm z^(+l6^K($R>Ewo*ahoPIdZv*NMZKr91kNl?{}i zpdnAITdd4{J+}_q3 z`fz)u=N7=QkU$RwabJ<@0Xrz;slVckn*JVf@CRhf6{odNJ-|(|$d`g9T!?4W_ zTW|I{p>rYUH@E+WX52^*$29S4Zv$Yn-gVRcVSK;5eLsi(402eo$DslyrSq*D_bE2s z7V>KIO~WfWqxGnmQ?x>aaC1{+vi52MJrb!qf+CS=W!2KbTsduY zm4SWN|V(W6Oz{!Gs3IrH88nWkFo=)YmTa1q4iN1sGPghSy;roy2XF8Vp{ea}={ zjox?WmW9$}{GigfqxZwg5wpn92nw~|(&OwNn-wmeL9sSs3F93p?c^SvwQAZ@W$^Wl zi;v+50{d%8Py3>+)nJ)neQ)nB>_O8e=1Vq(E(-KjHQo!&6vaGuiJI=qVu`%dA!9-U zwnzE1Ao7yK1em4=UZNJjt+&N6AIaYSP(HUX+}f0U?Y-Zo`C-_pc3;N_VIwrVd!_uif$5 zaRco`O^Z^GdYOU(v-wxSnf+B{2pa@`FEQVq)4H*QO`F1VKX%kDfKxyDeca*cpEa_1 zkI!y=^BNh-wh@5aPip6Cx)Gy-)!o>MC^QlyN^O#|%`29O@kCQ==BIHeN#KEV?X+Q$ zTx-Y!83ITj|H(R}$k>!voh_tKjwPxvK|1~{Hew6ACt0Fz-f8pb<+W{C($v)OxT8Q{ zy2t%`<)wK^gNVVqS7l#x1p7Ia``@u3~}p>`!Ang%TpY3gPTW?0`Lm`*6%{hg8R) zF*3NMX9pEd>Z+|W#u~|q`IPBy334^9yM?(-H5E(2H28e^r0ZmWvNHe8Cv1nul|~Dc zRldgIRGebgj~*82&3s70?zmqUnKy3LZ(z=K26Z@VdJIYFJaWHE-5V@j`g}lbd5pDm zH;_{61`8E)pe3?RrpJs$4sQB$`xh7~D1Ewg(=1cv!`F!=dT!ug^F%@YM>|Wl=zdUys+INrUlrlG7)>zMIHDs@DaR*;#AaQk4x5ns9ya1GYskOBF zDu|p$oxC|i(H>j`j!+0kb$ISabtIjbXscC|*9skw3QV-h8~xEdyB55wM@9N|dQK8e zI^+?{39WJ|NuA8&bUS2?YL*HYmtlI#^!IudFDyP&O&0>$Nch=Bfjgt$&vAxh@pFp2 z*g|M&cqBUR!^z_`^{*m#51^0vg?}`h)Q3^24bYf+@^dRg;hzgna;vnr#N2(hYm0)i)_iWbua4>aLd7 z&~z_QL{h7t-ixBvZO@3SPn+lU4*0mE(wc%t#Pc5vq-n5xRx#HqX#J@{aU{rztsPIW zB*kV8mh5A7e;8p&kX*=Kdf3*#;Gom8tt!}Ft0^U);zp!E)PBwUSxY5f&Zftij5W*T$UVvRy?}uPT6cTa z3r;8q_Y?^@SsIq)Kt!gsnBZBt<-x&0ts=LhlM^@f%vmXQp6n~G_PGMy@T|t5)Pl=P z8_d2S<7U_hYM0>XQ5!){?k`xhpy#bte#{hKEyI1< z0Rn;FG2-jiaxa`8C&I}>lR_i5dOfbiA)-B=`?y%0j(KqNpl;A2+f8O%nU>#I4PjZp zrmyArAKskLxCjK8P#nwh*XnZrl5QEvoXxwdQOvma7e70-K4oh33%f$WwG$Stev-%l zDQ#qA+rH(5W~P3bN82E2gW9f>28%kk*#qHd%XbDvfJP+uj$;1Pih54^LJo?Cz@Lt^B)+zpI z)pk?2bqJz#NTE?rZD=EJt+>BisZ7RT_T02H5v1NcH@Lfahc9_3f$Op?cU#fc?{|q1D4gv_Axq zgUz;(m-gm>NiByy9d zmbKg%J|2tsL&2S--u+(1ofr30#an^boEQBQ{;W7?d2l65uWoS)%rZK%=I19W0o{A9wg1-_D^ZC_eAX}F zdTt>OomN@Jh*_VvH-wR+8PiOhG(ivLY-cM!7kRrPmM-tBf|sD7ZyH&q_4#@7jmeOO z4Sb{bEtawrg)}9$DPLi6qPB`Ojx@hjFjEAZsFpw>jx=Z-sFDh784nADH3RWo5KKCP znTs_10{e|FyKhDQgYnObyu%+SoP^qR!TvC9Xs?{2HXKPH%;%_qN)r~P;cPbS5z~D~ zHNh+#bG}qoJESzG9BsgR=9WJEF-njo4b0_vdVx7vV_J2X?FZ z4*K~lLz(&AG4VsTKKyXD!hLXKyJ0SOqn*2rZk>9&uo4RIb}!RSY-@*jTdJ1UL|p21 zk36mUVel4+Zzz5R1L0Xg5zm_^y8zb2dG;nyyQJ+$2(eT-nt$@B zHLRgK?Y7>s2GB`qZis$ZYWkp8o&G~hAqhH-sM*djXWU&ZuB#sgu+kLj1beP#|oCjXjX@%Wi5vjEztpO1f~7z}oma_6jwx zj-avsvQ0UEqc3*=Kfcp&@yc194g6T_hl1C2Xlf5b>z8Tb&w$mou!xA|49o3>C06T| zt&Z?pR{t@mBZ~Po%j$Kt;#dWoNDcI*(Z9m>H32#Ep1=Ay zr8JfUqR@&D5S96Cjr)vXeVp&$>y9$^!o$wn8kAdG>`y!6%I_$VLF`s-A-zk`nzFv* zE6``Cvouw{)S^OIG)-%LtaTRx+iC~zS&j>Fx$E0qV0{Jp#>aX-JMdI<14-`kx*UJo za3OrRt2PsnU5ZxCcQwxFfez0#Snaptz zrUIT6(HN^i=e6s#@QI{*rz25FtQ(urdHQnA>L+p1d)a-LMP&W)Y)Kpo^cL5v?zHl9 z0=48=UO1kX&->{n=M6{QHyl~7-b7RT`NhWTo=L{NgB0(GvbQO9W0PG`rMlux%9OIbaXGg_UNXx}kgAcKbA$Q_Uu|a5u_2EMJ3*cuU z+Rqj zpEc$Ae`q(@+`j!9SA4DKu(dPbv6+ur!9Jxe3^}D880L%wK?k$I_}SXy=l>*s)2|4> z4+2n(J4sC$BU(mbDCSaS;R;J8a)e-EaPa^xysRb^Z0P@X$qd9cjMvA_wDv1=h0PU%Ngftg(g`cTvaTdr!s28qE& zMgEdnh!`0;f|Z+-BtUG^*LqRM-9VJdPnG#uaruQYN_T2hDX>AU|Gi;+=;u8dJ!c_9 zPjh~8yE1i}aw*zW>wB;h>TQE6D=f=Uk@yRi%P~a0I+M z)9=XEU~TB+2xwX=a>X3`wx+vt`siwB`PkRjrwa4Oi;l+xI-^_~1nM?`nA`27DnggX z+p>fG+j;o*q|`TCtoGDvwdehV9{uf#$J1q^4CXYo=(C2y@A39-k@NE9H36^{@aa*a z({5hUxv!J5%}m0Vb~C!q=s)KL$Y?{K=JPPf+lAim*7)quWQ~h(e%o)wHo@&6mVNYl znpjC9*Ll21rXT7a9!X&`r6t!AjS(^1Mo+AYr_CoJc}t|1MLXo|uiCKh+3xBQygKjh z)Zcf@VV&l-n0oQu&b{-S0(C}4c{@we(DtV7<95@$m@tdJUf#G7zO!4bktE5_l<@e) z5Uhyt)~EEuaPTzA{<|}O9$h5_ScX$YbLGlEjLGkv=JT+kQTx4Vg2Upq{vjh;%gLdX z9)4i}C}}&(rS{=+CQG!j zDK^SwQJ}_R$W5J*D=s|A-zg~I-r#H%444sQsLN#DB)a)|+#|I!6$CLm&!(XJ^o2JR ze8Hlt>NW_@i4~Ptpey2c}M@(v%KK2cTQY zYQIpITwhYh_E|orH(m1rW}A?^_1>_)E}TrNW6mCj`gTi4ICyX7m&?yZrhTMc+fW$= z2~H!D-n!MNTs!VxpVdg(llgEV=HlB^&eieXzip+4@JZC4`P)fK@pevm0(Rg9%~@xP z@7j;uC{aRcoQ-?j6i(cn$`s@->7gVATcpDA=_eo>)%(2n~`mwfNFE zD|bqzMexIU$nt)ynBu4LBhD}JS7LRu`0A?mxQ2cK?Ogk%NrSRD za)I=IO5^ojNFob;knX>A5q$M5e38eVP3n(#Qc=P!qO~0>M@}GkYr4er7tmCZ`R^!r zDs&ihT*GKf*K%x(1mHslbKFZw?$MT|~G7_Gl zJZHQ&x_{94PS~umvkY89>61|&vX44r49}mGuXhPEJ#HK~vMo|Qshqp=I9PxWY+&p= zSj=PMMd6qi96>v*uDGIP!<(*V89uJhocp))u8ZNgs~7@a92gEw3oW*JC&dURXFm3v zZdc~>&2`qBOmX12m7x<&97(gi7<V;aEuHx(T+OcSD?hth8=2 zt!V@;d#gnjD?XAl3cM6ywZbRg-*V!F8C#G&199?kZTNDU;~URBNev2yR)D0T<4RVJ z;(gxIdzXc=?_)_1sq_MA$ix2y+5g3p_nI^-1q7uV2}BW!1PR0?0!0lfwIa>45+yaV zCjC>2XuwR&bf5@{X#Z92i3)%wh9)QrIjSG$fqR?!8Yv({qhUX%_W# zyGU3Jsx{0xiQRQ(!Vf~RGU@eL%tm7&Blv?#_+;?`a%7`sKAg@&-uD2Xp9a#21*xc0 zw5O=E#FHPRTW>~*+i${AOo{Ku_-A{69;n-7t&QF9j$QltLl?=lP#<51qX`{k&Wf@_ zXfgWW9fGA&%3@930_ezEf?DStV5N1^DU`Ppi%&05n+8QyDrpjji&%CeAEbR`-%6a_ z7@!YAhD2XXTJ>-d$d!Z7AbC+nMmpZQIX5R);k5$16~*WLtS(<&r68_m(78Y`A_PgP zDA3ke8jx-SG)Tw600+nq4bSPJ*3>w78!{MuM^rOBhpaSPMb4c$#w)M!ykYsa_kx`; z1!fq-5`V?}Ij(dC*3(jFW9ba?-ZzM8JBC&#=5J4|XpqEaob|~^xh!5D8@v8UEl*BQ zj&L(0bpS_k`gkerXTzFHqW<~Z7K@qGRf+Etu0qX=6{k*hUYpi5$Zo#1Mw=q=4c4{8KZ@9-yY=$(N*gS69PG7^+}fHDv|@hBig z2(nM_FKFL9!U|pvQ0Q>PtO{EGG)?+#k-}ZCJNR(E#Ar#$m0`Sx?U$2RK^aJNoMEH$ zZIqkuB!b*WZ{dc%BS>sOl^ysjVCi2L zvN3P?G>}!Flb1~H)nzLC+VnSSMO#xE=x>NmU^pkiW8%ukbbKR*Vf=ByHH(o5GY9%N zwH2(Og7YR3rzJh`Dj7@_jO;z5d{ZD%H06kz9UC1~klHz(uJAH5$TiqWwMXfN>>_ot&v(n_o4Gn|k*`DPNyfp@DQ@@T=KT)VM z=j*@(#9@{hHNqW3E8v2NIhFgaeED_0&)(Ti6*1e#`Jz&L(D^nx0NE3XR(4Qako*&N z@CBHPptvq!6}f(;Y2wk4{D41YuH*#Oi)k*Vw1nuc znSy@;dVVQ|Ll?X-HU5rysA^nC%@CebOHXm_t4CN(CDLwn4xsbO^Sd7`Y}mLeYGGqN z&aQeJVu_*2>#HgfeF~KcmXa|j$-3eh7h?i=`Xd;P$dYpCNL7Aysx&QG*UF=9*UWf* zqz}I`%T6S~KSTgbFQWM_$w6;j;*&`}WH_5CB_l@+v>}eHU?vg;$AVr2WfBcm)6sZD z2a9dSa&ZstejRC66+i6EC5}D(%-@OHDg7e~bEr{yWUMI8Qe`xtph!Lvnfx=eWtqlT z{9a>kJXIr^Vodj990ql3fwgOjcyylT+=|fpo++t5ErIrSbx8ER^qD?$QNO>KR(cjb zj$4V31MZ!D)AyZ)&#GQqWtuUWGKI+neZxh!(aZ(^czL2eGJU7+?080<$+m(+^M>WW;J zUZ8xK=7CLv+&D#m|0kj>LDlc1D<|(ZFh$70H-Crv9bBUl%<-sq!x2$Q@$lrxQqtyIBAQcf%`A1@gnI+P+v zUrj(RnX)etABfWX5Ntd5^wlYEB+!I7^AQ)|Mw%J$b zg4Gw@viaA>@eUm$lFsdB04%86$tJk6dx`{2}!lK|O(ka|kE;~+y}tE20RCB}9yc|x|y`KKIITV=Hai&Tnd zew=is*GKEES^ri&qkR&LSOEw&>#gJ+M7h>`k}2iUC9m2cXmIP25%CLj>Cfj_i%vtg zzbRbhue?uCBP=Odg1pfb$51pd?ddiot5Ujr(}hKH;F%K$52c+(T?c2F^kRZC+Tix^ z2vsd#AhsV%ubJIb8>8myrgHSbn@ZKmLHc~-iF!b^k&DlzURzZ>T;8>*8Zh;KYX5z) zA{UNWuTl?pSFWOyDqAS6<2+xtk!0e0844Jez0_+K$w_M@8jDdUx~KFN*1Q^)cpF)2 z_I5iMRUY|MhpI^ZoGOVwfT1dAOs5KQqi?i;t5{QVlwfJ2R1{J7um|0kYH>ha{?Z^L za5_FYi2$?rUYRhV-s+M_YkRlko}^Rdem3CK15`W+etItiaoMHuTX)KzwJ6tvv4;{~ z$1#C+6Fh~8M`L*CoiBd*(7-hPEZZ7}b$w{+pbVPXr znj(g!IQoVx>?A>aBsT|@X#O@{Ez=!@Jc&`lVm_G`^kOD)KwP@fc5MI?fZmyOlkDVu z3zWTcO^=y9Bq=E*>c_M@`4zYF!BPO;FLnP!jE&^{B+`?Imj{WoDagbP^O|dnhBO;H zlBBu(evwLodt`G0KP`D+{m!G}g+tTHBG@pbsf;0C+&(7#6m|mwGH^j^2>7F6d&Sm( zN@QX@_~L&3g00k}#Q=KbuLtgRVtH*~xCnCT*ZoeU(93rpc;GCQCoF6PNunKqz&P9eCVxH4}B9tfH}9>dos&zqqA^=uWO=Lf3!=S+vk=GEXOIm@;l^n zm330+VxzdgM1_8W2<=7=eduk>G*0!B9-oa7FKd@~fCohrBZAh~}k*AaPdkVRV z2rG$*uc}Ja^ZRra9Tl$o6((DaPj3SiFcszYSe>RRd)&5ZUmIB<75B{Xv#P3#W)4Sw zU?Xi02)(z>{-E;`*3NC5kisa`-z-um!kca5iG0p;rtBq|3rrB=7~*X`imtEr8B8e) znPjg}T=I)n*#Cf%r#?uR85(23t`7pI2DJ&&Lc9QZhqQ}X{r!K4{`;>yJ`N7nf4IDF z3LiVmKcF1jH(HK~`QO!d=p`HTfAHqMsdcQ}{|I#d2yFk#SpQMyxW4Ijtn5Ub%$!8* z%>Q6?Y~S=fPNsj%J@#)R+rO6o*m~^TOhjzo9)#TA^*A}c>vOUav2*_Gh5h@k9L!8a z>}=mTtpBKe?BD%zu(AC9?B8Yo!Omm)4h1FR`nEY_|K_~0b94Vo zvHu(Uzt-IU@Phw>MZa5oH{<>f(jYtQ_vkq}zsvutDdK-3T`ZulbJNyrI z{^R;voBvtkKh*h;x5fWpGjjc3lJ404o2{W<{PaK4-OxYM-M2Ml62TA=*phn)-ebi7 z45a<%4f+2D()ttTk|}Ps-7y6Dmi+I$Ae+9!MAYUXdv1Kl-m10NUhzg|K7*rdF-x!c_@$Yu~~vVDA~U#2{|@94kovj6yy zg8~PlRf3gn%~_P;`<@A!0qu!gxxb}NKHWn~8Vm{}-af8ThNv9Ere5_y_W&b>A#b0o zTn#;W7Jk74BqjLgNf`wmRscXO7(i?utyvtyK6Ymm3t_jlQ(-X+ChtIJG=KdgZRk8J zZjc1SKkk4wk_c)xG5qf5xC7M$4)F0BHqZ8*)lQ`h;%?{CSS@*-ugqWr)2C_(T4K2^ za-YwRfnXRxP7?jLgqYMp5b!WdJEsBnc7mS|7=Z&iz|TN4n&MZV_dY$vYe{SG-Nj0v z_udz;042g*i=LR|VdGi&3=j6m6?xO!`#$v~tj)lO$CJpoi42ca35=Q^qpZ6b2#|A^ zJWyC$(X*C4QYZXASyK$LnV*Q!ZY%V$E$_@&yK_mN%4B%T68w^2i!rISe zo@DI_3sxwjPY86eFpPhxNI#JPE{c8NH*71_3(kk+TzU6#qwgNNXMH_cgzw@f?`(HS zxKFw3sfz8-JXkM)#wVxLa?FbWBh;*V7nYzB_|ah<@NrHY-KG{x10+lz>VO4_ho;r# zsuR06)U1!^^Atgvo8AEVd?0?!^I9SX*g@E#uu0fo;9nw^yCrp4&iAz3We$wL0mc4L zGy~W5+HX1>zQt{2bw++4YwT`K?28x;Wn-FJ%KGM1^a;@qDzj&OYTz$kZQQF`>DZ@M z?F-2Vg<^KW5>+VRIiyO9)DC!wBH{V*hVeB$TVikZ@Yu~}G5PVKm9eyDB6CQnN$N7% z%FYKc(l)wt?PSufDy(do<%J4bFkK78vPShL=Rk8$ZX+QomN~PT*z{PMl-Ru9{8RBf zE_(PXKjEaa^J9~$)2H$g7C2K&?NZmXwN=q4tWXo=#AuR9-;SiFAo`#fN*bJgfy%RZ zqmQNX@`!plL%Iyp^X5)i?Xh^<}TIzk;ZE0rfi3h}a{sx(C`OvD@% z@Ah`h=`OyclN#Z}Az~-t)%Q2s5DlzDl*O`ABy(=6%HO$LdQ&@7-_!p;J(XP zb~5X?u^Rb^UA>Jt0I6F3Qx3|z7zMiht>p4WurEP- zt_T>Oh;bjpvcGQTPRHBgu^^9lbBD`X+(q5n{R~RN+g*!TgE*FPwB4x;m89HvmepNL zvP5i$qPUP&=nsdP(gsGTRSsg-+$Nyt^~?|_0QO0XzH^OJU#NbwuW6$?&9;~U$6X$<5AoTt>B%|8b>XAy)3)PsBXXs= z@JTM;egPLQXRE4btI7|RqWnHd-tK?A-Lt)yDs$M&Eo2uP$j{{D+a*e*XUVJ@abhKk zklC^z*wS}baMejaCZ>LzTK++?q-W9|p2eZf=*63LbR-RBd1k1tsEKtAn_ADe(S-4v zp^S*c&`?iam!j%|ZGT;N7Cn#EGjgls{NZo`Q|9B2FoEpsiquAY#YD0th(y6W)?N`v zP+$=hzoPeqPIb9MWUAPF&|K&sWwWy46l*P27Moh5yMJ3zVe!hVBt{_=>vo;oege#X z_(<%L;$%VneR6>nMRb3F56z*&gIiP~QRk9UXv(R(DmlK2-#8ucp>hQj(sH^QY9=04 zS|o$6GO^iu%q|_lWhZ3g6IW~yPc~ik3|;&4Y|wa2{QaEz3~KvOw-_E(x3MX)J;&mM z-8A*AzCD3)d7{hUh5c>%Y#nRFBB!RV61^!|V{}7>e^rbTrxGn$HfV3d*|2h247?M^!_S&yQ=m?ynCNp6idK5MO6psXE4fWTswXE(mxL{|h+V;3u}SczE&| zsm{D$I$w`B8ZUhNK!%0c(74j4K*IB?kuQF&I8w02THLAt0#Ap&c*ep)ek zf5JD8Mp44oTEeM5#zBr7{gJ?)aED%}XNGr1V~V&WgS(#C?8q*xw{5Np5NkvHi%=sv zgTR{n4bGihuJi!96vB1FH}i|(T9_`uAmso||G;JeB9v8yiw)Fw*L%den-%B*VrV}J zKwYCT+a^+I&7T8W$4D|m?w`k|uoiK}gU%-kz|b)#+ZF9p!gxMN2L==HhA^%cZqmY^>iP>C&y z)Etd9#a$JZkPhS%%ON(p<$D2sVQ(9$+Ff#j-@vF =Zjn6z3*}(Jo)zSMwcwe6Y zH~@Xmeuw__d5`-xB&{)C+NN3oYhfbxRfd8V#KZ`V7Wo0DJY*1#n<(RPuMyi%xHe(M=iF+bn^twMM{Gdk92Nb7c4ZE3mv8jm<=+)P%>_mTN51I4Jl-{4 zB%hfAa=!9=p<_kjb}$j38~XnA&G*HH2B7O>Rt!=&LC8w+kqS_D|B=x^FI_5q{bAH3 z!&>E~ff}ZIO_~S+`N(}mh#;=`uai?eE=fh}@M!T! z{z%V_K@`8pZEFh!R1M1-`peSfQ-rtHEZ7P%gEqt$SRq}JHFK1Jd6vlTQ>A_m)Onr z=*djd$<21e49;_s$(cDktKxrv!VH0`AGyVZ=sTp5f?o-0p|V8K$EP|1Ii=SaIVIBZ zun*H9(o;(NFkz$kB@H&NkuOFnmhyAe($&aIrWd0w1f%R{0?|)tk!L+t;Nt+SjNtvY1cUSn_dqjmy0(h+dljr08Jcv<>bpWCVD0BwjQyJ2=kam7$0?wzFu zjzh0VX`y-nhRlYSM@DuaU9V8CYHHO&GjQuukV+&es9P>6p%4j`&M^fpul%)hEBvoK{VQXv4Kxl2Kb9$uH-hbcDnZZ`V3zYsr zetRp%pMAML3qXPGDoWvsU6^}gPv|b1>-@Xtio2f=`4!J{e>UO$%Ry@k4StQZ>d^j6 znBPo~WNH5p0rS_iHEd`I6K4Izl9pdUIgAire0&;NN>j_04|0xRwx+VOtOIh>gQZiZ zUrp|ZQF<2!J^r7GBTQkx<~0m@^1Ah8ps@-gX%xnbd(}Djq-?6>gMQ^iCr5Cbm7MSpEd{@#X3#HC=_~C?3A3Wl$4yfuBpc+ zq!~G}(I(>!M^aoAGua|9U0VRU86`7`zB0+l*#gEg3Q9_SmaK`WVoYUd@e~W!3eRPB z#tZG)J!LIUY56Ic%%Yr`KkiJ@yFa7comp#~aV)q?*ozhO7je}3dS8;me*spKny0b> z9UXL^8yy>OuGWhySSHv)#BX%%8Z{FgM#(qi3DMvse9QB97gyx#+dNLUF(2^lf(ROR zG~;@z8^)2@bv=?Zf4UgK_&Xk-3MUp8*c0>e;GR;>=Rl#2L0xciHXlyl;~$ z1H97fYrm?blnix3qqQP2R&T(^T}vlG2!1tm{1ughN9|_k>Qob{v_2k!0HdasS(;tJ zPu<}>T8?^wvXy1ig!8<_-C0%dz*5LMWt_PrxyS6FmYHkx>@oGzTiwbYA)2r>Xg8-~ z9dgJ1)PidJ*Kq;o)IdYa%0roTN7MtqdR$IbSBt?X0*%7JDNz5}KbpI_sivHnliJoh zWQ4!f^qk@D?OoLC^;Mz2{gLDUd8gjP+xV>~?a1F-Cevg3y>UH$DT^Cb)l!isKf7*I zi-X%~?sPXMJ^!r%)$Z@4kn;U$p2zom82;X)pgRyBX*aaU6;dY2#cN8hZ%aS5kslZ| zkeD-mF|biBN?gJs&Yk@v1R@|Uq1Vo&y;ChYRt1%m++O7I*Wk~TexHIXCX*jr&Ty_6 zV#brD_3{OaZD|2pOY>U1A(bo*W2k6NHc3k2a)w3I>a@WzYZLkd99{2M z!W!}rD?#kUC#p|Z;yLOSdo!haB zaKH2{F}Iz=bFclEobPKKop-o*yA;e{X@4DGs|50@&HShQH2CK$z05n=)(Vd^YVQlTs@;Y6Z_ZKMW$ zgj5~lsKTVv2uN3}Q$&FrJklux@;bad1oFt+^ZfN*EfDE+BSgCZ^7_8Xbpa!u5f|)* zBmwZZ5od#Tt=bn>}AF=YomVJ0I zRxFvx-(-=dVA;<1;I1*IRM!kk)K1qrNGPX&qe&%piovSqZ6x>de^7croPQsu7Y#-9 zZSz@@d&1{tYX%Zd-Yt|+76G?3Hn4mP#tq6pH61sGcKCdcFWV_osefVMNA*PAf6Nz^ zpDI02-8#p4w(T$_;>Ru(lf$4me&ml*NhBI{BzzQ4(~0#?!|Uuekn(-yt5qdSdOS}E z-+jRymwZd@yZH!be7l4KzrGoTC-p1q*);}b89uG*VKMxj3xMKsp(i&P=M14Ix2TqB z!myJjqCP!5g>x@(;Qm!}I6jDcrE}0P4DM0|Euukr)Gj1TiP11QV6NFh1xe$uTlvCe z0h(0By%A6^6_Ap`m_@(eE-UMk=4nQDM=jV7<(ahx&pNSl0x}0)=m^W8$s##IPl$eh zWD4KU(b_3m7-qykwI3|P)PUr?kcO@V2z}(i!;6Dm@vKFz%%gO&SPHdOqkKRWr zYf8JlU)y39!(`HS%n6+|iAtR(m+=!Ql8xyJU&u7&w@y8m*BX&m`T*uVaf#cwmAU9# zxO0_E?>O2cX)1ND0`K2!h1%S8?mPiz6@wVfzKIG|qoj`=;=26-OP6rJK9l4|3w4Zl zAJ*zuN!jJNKY!9_8`svQPH=W!`PujJpj4l^{*m*r`MR38(QB1)CbNdI9oJWo0*+cNcJ&p@hsm)pH;_=V= zNXg}g+$sOK-F!7#P83HppDP<(4H5^H+TI&`K=lP)@+f2Ng=QkNWX1dFSLw z+j=lovu0u|vHj3x8pw=6d{~n(yed+CXU?GzCj_;u=jS`tEQZ0!s1E@g;vl>ic{VvX zmK$0SG@4VKbRdz`<_7|VR388a!Z#LUa%m~qQ>K3*MvJa?FMX5+qxiee5E~W{S;ee; zo%hRl;#{aUNmf%!mzrr`1Tx3*(#&#>CvaX?8WqdY!Lnq|wR6`JmgEh=z3;R^R=okq z*RSp0{z4FL?ern8Jy=MElXtrCaj!8>S@#!GJd$uIRslw(g>(r+=i$9KKI)S}if9tr zsoFeOGz3yLb=u~&*2y{=j%(Z|A1XrGVdL|T<7~6ab!~jM@!;8{spHa(}cdS*PvNqL~?94B%z zo*WKEiX#_aPfA2)$;z$e?`#Gr8c`peYeUYrkMT%hZwzps)`K~Cy_-R-wLZ{8rr2)7 zbc*qp{$|Yg-huZ!4??g8d`QR@KZhH{d*=`553a7+yWNAlAir$jU1q9)voY{FBziy9%|MFCEQQ}&gZ{pT-~K5wuV6(-msrobj@rOmqZ zZVYqvqCdxK9L6r{qv+yG(H$QET1w0(0lA6sn?fgL3roCHiF)u)Y{M_Ej8*u00{f_< zEMn#X7x08e+T_@2c%~Y=hnev+JDV~rC%sfywy4XwRMbg+jx4WJvwJ=pFCOGO?OVLaux;2i8$FBxg=5Cbev?sDuYPYa5$Wg$g z*?dYuI`G!hI7*J^Uc*RDJY@{CyFhIuBld4hoF~ATR`wRq&$W~rUDFu59$m*atqa{s z?LS2$;2SqeG-IZTN3d5o-v)g0p#4!x?crdns99C!S=|E$ZZ1~-x zH`6&xm#)Cpj;$4#!sDx1I=7}n2Sa!b3!6%IDdzoi zt!V)FJ`s?&3NbAhM{=oSc6`w-ZJcMI|KW{wH)&i}#XVLZRkzL7iaLP=OV>N1(F2JN49g&lw!Tz!dw)sPzs3I9 z3i+Y#D3)qeu5|&KHnUzef7{RldOBxQr_`94TkP1WD(zMqLzJtj3`fbcS+hD|BNe$c z{nP__^-Cjrbs%@9SGwlSsCXGe!N==Y`i z`*JfKqy^cnM$pvGfjQ1HVcc@R+>)bP;|4#+g2(l`nu+R1^8?M}@qJPuj!Btz{JA?r z%}F5v=B7Z8{FEZ=dl$aC+DYTnF#ds>Lg}l>C%&1)=<3rV@M)NN5Rz>rpNgsBP}j| z=lsJCCAVh#vF_c(#L+Y(MJ%c&?*z`?K79E*{}Sx{UuZ-B*`AH#KkV85NvrH^|3k85 zX8xyqvi(27f08FB*FUlJKgpB-G}Hf{XB*dlCbH_rPg@NWA%#Bk57XIWV#+QszzA6= z!)QK)m-_xFN>Z^nz(cM;q-KEa!T1HP5*tb={z03bDF!;CAiifhoNpg#Zi0O0pJW~<CVfcNu=r zgC(KB$(cM94peC&c_id`i}+?ev08pn@IMDAVAlR&+Q8KbUcgGpN@xj^5`LE<$L7AT z+r_JNT-c>qaM?aS*HVe4bNT07SvtBYoMI8R_&bU3{$jPyD>|Vu^*uSBE7#S7&+vx0 z>h2w!x5K6Jzkc^z|2^k6W{zJhod2IN$Hm3Mp zrMKxTTqiG5O|(<(UlXr>MVjKb8tEpO@=!pJ$D=07u+aI8feA|(85I6Zgg$j3rvrr* z2?!)6Bdm?5n`9Bph8qRRDsTDrs>|C-6oD{XGj$jE1_BqiRe{S@Z>zo6l*m$04FhRm zXq=DsTW#Orbv{A$b+f1opZ&6*n~<%(`M-$Kst&W?6*#Z!v$6ym)wB4Q$L@ z{4Th}bLaS?kpu+X%$Ql60aKmOd^e~P$~;{DJ|oW=l)bWNdWggzJp8_PcV&o(x^ibi zk?|X8@-i`hdLPck{opN$H{z_+RIr4Iic`p(bhHKN(%4^%1!QH z75~WV+;1xQs0fy)^zqtfh00SS@Z-Z>+l&nMO~x~w71H}g_p=X~Wp+|24||y%SJaX% zRbS-jdnDQNm+I*a+=lKYP%@Er_}8o0|Ab8GjWLik#LyM{m#B`{C+H?pkkVUeCOFwv z{hlt7fJ0VnO|22zFWLrmok%~AV%sTbH(s}Dq(?$51YDvMl0Uw6AdYlOoMVn3$&L+$U5gtVY6EMZG@m>oOPQ`IHX^Sxv zmZjqZR+$~UWtjXg1CV|jjAiB>=W%1kNSF(arhZz)>WEcgf&&%S z&3hL?+oa}I{bn^rlOzky1Gl1f2{INr{N~dGCx;2&Zd&ga-_7B5g7HWAs5)b5b;U>+ zNUyrWHCl2qIJ>Ek>;o3|uNU_#6J;tNC=xW1ZmSR>lVBWVnj}jP7F#Z*VPM2@1O^GH z6$>(n$eWWWWI{U5yn3qf9yZnlGN~wvl^u#`;RQab=-V=nSwwRMGOQ?fiPI2F0s^~H zu!Q1tA3_4gBQ|84AbVM+K+%q)uu11z3@i!XzS9tV0>mRWqUhU_iC!+9+Mkyf{1zua zKk-;FqJ(-nn3OLxM{)rUxu zRhpE4QI~mKw{ES9b5C3A@FeeO$`jDqv|@|f50|YtZ_Jk@NJa0g$doO$p9*c6Ag;%E z#8}!AM#vZHMHi&WT0|42i~S;(=o5xeA{i4l$Wb5#us zZt0PMD7SRT&nS-c$fqb3dL)0yjr2)c$&GYLQpt_hF2=qF~gtdPuuT_Td~%=KaiMz|V* z@b&yo_}1nkHu0`aa;c`H>!va$`zf1eo9FHl5nE(u>r@R5wt#8CKQW5u422qr$1&-0 zT^2yD)N(g5F?&|@FbJ^nLd7( z)=t%YfO`Wcxb~^eF<>6{Q=U=k>cf2`%F%5er1r-q)I~=dVc4kg9vx$qjHbQkHZQhzT&*Yv?p{$W+UN*Aid@y5epD~Q?Vrhq1*~G zyJUK(Y>0Qs1SsX9*^iP2#O`44G?faKk3e2ge34Fe-y`(WP2>jwbSUP?X66&k$x$lh zg?l3|9n_~08--~6@(rky&yDY?_W-Lm~k|t{3CzoRYNvj zt&Od%wJvPu1j6oEKWOIivt;s7`W5%X!Rh#_S$S>h^42h1khq8yNPnj$z*X;6U-4c>kpddebRMtBtPF@YnBOoPR|G_=8Z2aIufC zGMq#D)S`JrcN@jlZn{aMVo;jzL0Zo%Tmb22khM&mA^x;LU0cF+o=WQ|Jf<=3sAr+4 z*7tkGoH>Jzzi!y3RmZZ)OGmA9`{GW+7Hp%w-YGC+ZPFaY4OUreY>j|o+((fpw%;x zI_z<2Rd84|BC@qhN3WV+G^ZUb8cL~sqwCD2BVPr-qgv@19*t&mq528x40XOy+(_{Z z6I|;Wui3A$3_XVR96oX>mHu4R*v6-_B6cAz^TmTDBMqPCJB!_I26PmjR`aJvrY z)xCoPsIa%G24Y)DK47#W%wD*PNtSIUT3&KKuejmuEGouZI|XXyQv?^6U`;CF!~eDH z%85)BYNz>R+n9bUHx3XQmEfRlk)&;*uC^bKPLW*1F?Z#xu~09sVzI7X$z83Mt1&bw z>{P8|mE;!TWoJvTtdh2a1_No>*Wu2=C73CJ^Mda1QFpZKsH4QUjd(e<f*an`{!3U8tYT!%|5i#aVsuqW`}!m+S1@{Z6r9QTRRVLJ!=ujPmjk(7pg_SVWA~ z%HzEe?(X^k28vy!MhhiYR8rStciN{)$pK```sh`C!+vRP+iIgL4qZa`#ij|Vn4cc% zz_1F|3hcF}kKs`^u0a_oUy$U zue+v4uw7$LmiHVIot(eU%$LK3feo8EAp}mGZf3dtX$AqD*_!v>B*0qx2t=Bt#UBq@bSXgfnzVGy;+O(SPex1madVa5rm^3}QEvR$tw$$p>mbab}1={Nk?F1GflXFo>2#G&-E3M#~cv3ALse!$$+zQbR)72nY6 z+)Ul|UyjuG^${2U9>JY`I1qL11^R{)pLz@|OQOGxVphAoE-^ zJ`=phK4`rt{(ccd18mZ_Sg@!{8vNqaq|IlavrvszQY^_$;A#_+Sh8|K?O%mT z%NiaAZB5Z?ie2e5Jz=XdTc4{{(bYCKp&Gep z6}ik2P_+yXQ8)FLBDuX5xzW;H>I5ob-}Sz?KS=t#^$$Tde9Z(WjGFI$a*nlAvIon$#528JSPv)o zI^#Ok7WRa@Ts%UdB6GQB8(MGSw>qU&R#!oMoBVR!qAu|3k~B@%?IkDe5xr0fpKScq ziRfl)=Ib06qRn>mZe$+5Tg{9m(*Tx4@?9{WlRd@`SuzGETDi#B@f=NGpVY5Nka%- zM)`9mr$5vBfJT^UrTMJ#2})%Js1=z zC5GT)RS|!;+Jmm@JsD;)g%#^~yQ45o!R|_Nn7^Y<nQ76)M$!6? zp2IA=w?OEM1nf*+o-W*<)V-2|JEU3x4)ea?)hPa3@?K zwn7AZGkWj!lMW7w6WCZQ*qp z!dSP$q|sq^SzYQQetvB$46~3N6}D*QluVFGQq-uy=CA;&ETcv5bc+tE=0v}nxpE|m zQz*Qu(Epry(Ox-vD{Xc_UdYy`RIy}Mx4h2e$P(x6jhS%5xuZ*+`h(J^NxHfYm_dnY zK|WBg`S-08sluII?B^4N85;?$uRSUl$EZPCXlc09l()Z(Tt3Q0US!ovt7XZSLB73j z)O9oKc2+n~&X>9+^v8z`a#9&M zV0K6d(xd6c%t~Sg~?s#Zkq39S>(hzXWsD^oS?WyVS(P7;=ycv)*a+BNe&D?f3 zx`(-LEMwwAq1cr%^B!%vFQQY@P#>q~t*b3BcpEx?ptWr|-KQ3^T%B&j5dz05qy?!t zd$0NeZSNnn%@iRQRjEDyS|5&Fi#*_}bwfq}BzLPfVSFcFTKixgsjSfRyN7{{_{-Z_ z^{zooQCwkrGJl5Wf{vlJ`P`(VC(pR;;~w>0ym5I zpgl4KBMfk@|Faf7$Iq=M0$TaoAU;30zTNhCP`Si1N>M~!pe}89Df4mH3kO5%OHTE9 zpv@JhpQ^vb|D|gOj*?DjkYE2zP6c?&4fTZ|ej7^HvELm66(dv-biFzD?>G;O;{4 zOD8~8KG}|eucT`s=;{b}I#E%Z9O`6YcR_?=LexUbP8{rss?$IRtY2Bo867ov!uy|@ zt@EGqyG$!rcj1&((khY@lRA3!Jm51(QSpcrhOn>auR!Z9zL%OHcznuTL6@0Mt?tCb}Z63Z8 zMe$}B>V*WfNgLjh;_hrDwo3M49q`l(XMx!C!rSs!mdwAc(UJX~A!QeODG!XZ1I@`3;g;(gpf;G{ z-v>|UgVM#M@ypB9(4qeM%mSGI(UuH51xcR~s+&y;(iGH*u)_Q66V_`yLCyP*Pj(_E z{SL{5aO;UKl00aCeb$H?D4NR|cX&aKBOn8KO-mMkNWb)^BDD5Cpg_HKH40 z+d4$?=*sloniRdZd*n3MeLgXAwbayyHus#|VyO1FK2`g`lPlwvcihdpu#{>ys54o> zKAU}VYy)m0%Ep`OhZ=`NQ6{N3F|79In9l-ukDiAX<}Ayhyc5hPXBc%no0%jgI?rfs z{E~|$WaqI1Y3BY)B7sw?kd>6Nb7;NZso`_F&P(f!q?q^reY;5Yn?S7vuJ34+71&?X zD+_+`0E4lCsCqdgHDCnKAHPv5|UDv58->~bMmeCHkL*@$;fzZkhAf) zcVCKJ=!-QVlp&a$`*u?;deTzuI|7yz{RI2&N#KHwzww0aYj#rC$GViYiE+Zeo%)pe zX1+JW1tSSm7$ponuU96gS(2%|H?b~wBSZuAs-$E3g10oL)b+TM_4!g)akF&`cW9+$ zMC|Z(MW?SyG^nK|XZp*DEMt2;L-G~SZ(^^^v9DAUElSRxOGLX;WTV|l;!)Q zRcozSgN?B{Kb`^!eEYs|E3<|jic(`AbtrJ<+=Ce}3T&Z7d!jSR`W+|pUr(6cYrbag zy%JqPZd*!ItHIvswZK=`wwGIer}rKpBQQ5NkQFiu2R93Wz)G$xOH(+b3E_&@+3mF7 zExo3es&+w&emY)f{Ni&sQO~M1+g=cu+Mp z#>O?W;}1)L66K|(ruh^g?@XZIdGK1x@#c>$k%2oNQ&-R(N$@=eb9tQ6cUIk~sW(S` zf+XjTo_yo!o9C{aH#IQ9x<_FiI97P!IO1zbWRi)${e^E9X}&H-6>LK`!fZ0B9w~lP zcnf&n>ejHfdIf0vp68U_eMzp%SJu?eow&7m`eanI=vnC|8ph4GiDy)eQXA2@1XF|8TKy)hJ` z*CLU5>Hxwtt7|oY7!@qMY*)c-ozY^U7X+C*V>niplN^^krhL<`GXlit4*sDN`6K2U1WIM9mjiF zZ-%%P{Q9UuA}rabbsZxUz`Q#im+;Xy`KNN_4dDv5Q;)Dfz+pq7nHQ1^;V1WGllZ!Q zsPVvQs5(1rruj-Gje@K6vj3u4bDMQ9^;5Jxx&Zyf{l zrd)JLs9Y)t)-($$$dNLsgBwwoBz5+|DJ+&(jwI=?Pb)HF&e5*awwr&CJY=({^IwRe7AkukPZF=k<6c<{1RF&r5h zc{_G6aP~4Zbv7_Qwq;^+J0xl{y0kX1Rnb2F+r!Dw&(6@r(aNs%<0d!qu&hiR6G4V9 zJ19C~MW*)H=uWxp^t7yuXmY%LeOTsbZtHw(>a2b2Tp2Z<5p9xD9OIP47#6iC8Wld_ zwnF)SvFH?sq^RjI;Wd={FAszy#h&|viiw|*p_!E6IIa#{wKy%y|R;!=NO$kkur6Y1G8g3XcIhpub{Cxb(e)Dhb z>*vl1ZxtpcMkiR$D^^Zp`;XNrNpbQ*xO6hvS~}@Chk9KaKm2{z>+IG+AC(m*4u;^| zUkA{Vx%u7Q+`Q~ud}^M>(A8z>ZZ4*T6mNXJ9KRlnOnidWlghZ5nfZEGiZUd20qsss zJ4ErsFQ-FG4b=5qA_T<93o=gma!|I!MJ5;{Xy5z@x5SA=pf@~%ClX<7f)+gM;vB*r z@!1rMCQ(y;E!0jhJ>vUKf)smP1o|OJaj}ETzoBA=6 z*ugx`H)Op{8Vt^XQ?Heve1#jee0CyVr^9&4_%b)! zhfq&m+Tr2N6xI-~iT~}#O!B0y2EDm2e5uK7UdksM#BSYHQ`2$g-IB3F)}j*-%U^c) z-n{f!fv;G8fDtG@s`kE8(oW0q;qB|q{Orh8c6jXPD|J1p+$f$*@TA4AAlmRJbecE_Kq5^T9ND$l_n!MZZc&VcxdYLVcANukK&5%~01;_U z5nl4GD90$t+kJ8}@i3B@QHC5Fzaw*0f4+MBK!GZ+-=dMB_ojd42|B9Ynv1tI2!G%&UxL9odZPegQt!XYh&!7QmJ9pdOTK&dbrLpLdEFiPfa zCW0cgHXXO!{i_!$tA4JrLBLV^lke4+)MrFl zo$49m8>hbiJW1{B2+e23-D4F-+9PIfsiUux$E{IqoxAFVIG?Xtf&OP@oxbk${fx9~Oq`yXwiS@uu+i67Ofw+&t|=TGzoPqbA5()|~pQUl51*FGAWMf~_=1 zc+FdB_w&O9obI9j zg>E~Lec|>Y{h}l28}wlOqWPj2(+~6^JTiY_@`I5-JQdRW$whqB7J?Kj8oCsZek8o> zj+@^4v!^UV8e#CcnG5O?03_}X-i?`(EnpCO*G z0NMqOFZK)53-zO|p56r9ZbZ_D{)_BOxeF9Hpx=*p0O|okI6S+jf2d5<}?9H1;o+h%vNOEEM@?!=&VJ{jt8 zq8W_hkl9Ia06d)|+KByv^F_tiAc`xXexO}z;$#Y3UpcrBsYY(P>dzZ=KVbeiec605 z`~dqh|3LA}F<3*7r32A~aU#$Z*%(VXDk9Prl8+Y%BR?-stM+$DkB;nOz-JZIH4 zezV=z{KkA)2w?zUkLdVW*7iecv~R_Iez%YkbBt}u6{6}dj@f!p=gqRP-B6nmnu25#?yJrH-Y@xUbLJ2cPKE|5D8 z&nzQi8ouz_;xs7BGWGd5Z+>l#xH`Y~^MB9Ua{bA-dzRNd>hrmU;(Nn(UxkVtI!W$; z(Ss-F`2BOnxvhw?@O^$)kd8ab3HGQ>W6<>lWsHz8+#-3{9lD+H8|)jTH^SV&jP^$p zAqn5ny$Pdd1g~)E7~5DhQ7^>nu1XpE14#$M(IC+(>?_!|*rH2beBEMifj|zh^E2|f z00<0h${aS&mS(jAZ^!s#K6=_d`}u(S0Q&&q1&a%NRM6Oiq`rvePFMsY2vJT&fc_j44o4L@dJCx)6<^;h+#$L_ zcBEw)y###4!~L0;_I?Z``dX?bdjlS*0`{Mueblp`3GN>o+KplbdM!ffFw1Zm(hA(- z;|99t5q@R(V#={Do`DU0Z-6gAq=kiFS`{0iH5}_%H4Y zwim|q0Ztc&KirdLj}N*)m_89r`;VEJ%{`r4c;dJub&GU8Df@h$^S1Nd#ja)vE!sy( z{X~M)uisv>Ui1M%D)AkH-g`xXSAm^EJ>Yk~!~(D%G!DWD(3&)y7Kc*kYk%omV-H)Q|@B{<<;QFzY)!_|PcXq7h^@@X9w>s>b z)SF6rdRAy%PsA8dN=h01&FhtuVO&c(uJ}eNyBA~{XYiihQ!iSd)q-<_RF`A;;=8vqDyyE0rD_EBF%tl!j!hheI7|%w0mRm3`O}nh5N>cy{ZSWJ8e4bZBFCzHZjGiN? zF@~82U1?nQtTav6HwbqhoabM2H|;zw)D$-SxYDv4AxV#yaol|B{w`*FJ!K@#3_6!3>CIo^g+5kH1ob_ryoEX~A57k^fXBsVEg zxiX~h8GA?cL43S*LJmC(=(MGez#d*UL(`q2}xb3Ju*T_LlD|bJk>ZR$uBWDO+_iB%2uW$;mz?f@k|)vv51`6gTkKeZUVSQo!}dIMMou1Jg zH$w>?(nH}nUbW^5&#FuW)gRyw;!lq5HtjVY(^fT$?1Uc#*qojdm$eaqMh8-YbnFQL zM$fiO4@;uDa|b8y&_gDq-ZjHArHb4fBaBK!4pucMs2x9LH3W8-ZS+tI2l6AKYaT+$ z740$W6A9Sm!37U>kenWTK>`VmIw7S5c{{tm>VT?`gPv(x{55sDS*oAaRGU2Je#|qg zI@q;5{Oir5w^rUu@J8b3EzS^bTaQ-W<{W>8^l(BxEiW*wpRz9*b5Mq&o;HtCDZFir zyXPROMqocl4qH>S+b{76?{##}KbG(iH)|PHLS4@Q zFF#hd4+RTwJCTRo)cmQ9&e7Y#diBeD;r#JZw2dwu49&dc8KQPmp#EuKcr{1hEd+84 zz{KYN0ZLA{nSHbo~`2gJyW-R1a~#tvU| z@EPL8^F8Zl)F&Mdn`Q+`&&o`hLMR+r02iw${Soy1c)zXoqaWUr&bS&44V(4?;Xa8p zjbiA+bSawRDtA0v9g(Y43y?&U;qMc-?flH#>j!la+~q;J+xRbEwVz()xU&J>>pUyb zQY4`P&CAVw@!~pZ!lW^9Ck%jKlWFd=iE=9E2b`gpIgxR3b8lHj-8pod{>H(BbJWQp zPu~luqSjFU981KW{u#=Sj^YxmY{kT5;CL3}U%}v>&9-`&=JpYIbp1xG@!RngAt9z) zE)&AJq-W#nHZqZ=+L2E77tZI(+}(V;+O^Q3@<3x$OTH~98=H&U4s_q%YJo6C5;lX$ z!nur)4s6H(ED?FFc^E6m?+n9zi+U)g3h;W^)C}*#$|W&JBVdB#yuJ%V zlsvp~ECyd4Z! z_>6mwH+@%5ossJwH@rFpWLBzK22~NJyc~@VlxtMy8807{Y5oV?0?kpaUxQOBC$(YL z7vh9l*tU4H5~ZiqMEt0gyF^ublz!AHt--8B35u^`m&q(bfryuAtU`fx-e^dae>RsZ z54RDk7ftFD6xBb~$AmHSqk>Z{;-?UOv2J=O_6gm>ST16P^d2;cR$kh(dzTJk?gwjv zz$fYFkIhN)XLvMj7l-6IIaToTgi&B5$CbyAyhaRmDu_DV8VZatn5(+GeEKLlr+lGOJNdXF#iZU`tD&2;u`^ zEz?sxeq=0}CNl|zbeCWxQ#BBOHRevkNe}n3|tbu;I14V?LGmxwp^FUkjc6 zZlrq|s&8uKU=|TY0(np!V65n&r?{V7vxZVJJoA#YyG6^bI!8LqWY(OH>6_E8o?w}N zE~nGq-l8=stA5o}Wm)7ohlY`}RVMH^#8!u3N~ZWt7Gt0~h)T}An`>{DQnxg!N8wz^ z8B$86GSV{=MlMYhR@8wA43;E)fk{7DbP6qczkRRtfFoG@*XY&GQ4Ljc2M(Px8#cTn zJ$}=o0_Dlec4rcPq-b@6UUknd-#RufBRt6p#>`~4QbUL`+&{!pwpi9S6M4skr%T-> zGIjZZfdiAs2Dc$3aBmY|CaF(_lSp3k2m;;Wai+z!rZ7RG|9fZFu>C0A0?cOVq(Jcy z(;9difHD=C!E6HM*UOCX=z0X! z+u}_c|3oriK1-t@Og?X$ORn8Wj39a+NX<9(#9H8(*y3{HlUD?K}@rrhk(ai=ezNl8Sh5B)+5`?Fm$kJjV zw+OoJ@x09BTxcC+M-Fng4n}L8-N+8Nvhm|tvDH~qSmU0k8m)nVE=Zb=81fm^n4B#h~_Hug>YYRgZrqTL-Q+~>(J1z-%UynnQz(91*s^$zA)$M!Y5gPvNH&7nlDRYi}J?*VFF# zBEcOt?(XjH?i+W9jk`MpcXxLU?v~&#K|`=j2p(KQkRiYG&O7JKtvmNr&HbbI>VBT? zUcH{x)m6Jz_xCgNYb>@TRt3tK-6yBgc1Bl|BP^^2g+C6Ha-yck*?n%m)<<(qA6Jn{ z6dy79t_hbYv}rDhPT7RGoa2v7u5w@qV zvraH|b&0NVT#rj(ZN_!xD7|IgCs@U!~sf5$`y}( zVicKvJAwXXn28f9uoyw91)gBHE{ze=^&H@HXjVS2nTF5vF$=LJe}t@olCI9|HLmuN z54jveKRiojoo_ncN9y~vj6bX*(p-$E?(KC{@^7zagTL7b+owahlq0wwG{*?`9^C@w&DC3YNfnrloj59SVTsHo&CK;qd;{uk5B79A z(C(v^HGIPO4w$-fgcVJc!#ce3)hgrgb1?&>{p35;!0&>*pT0h5^-{*j9OVTC1?`-4 z3y1uM$jrtaGUqs{GDU+j&}7agkR3m!&{c9!wv}brR>wTe-isrOTt_(l2H_+w#f>G8 ziB#f*OfO=lzaGz*m?t+1w&o&0fPn^b=%LLZe2}BrO1W$?pH$$5P)Nlz?1tH7Y?zz9)9N%k6yNE)gjU08E0_D^ExKBJqy1f+m93b#D<^uES< z7=A_9+V2L&3kb~J^oDFMmL>^Un_3I|{h3Q1+4Z1EPQOnLJrRZuX5uy;P)sa(#rJ{W z{B5uNEYgHJE4-e_iSb7XKSzP745x1gaf;4{a(g4%n+N>zegudsey`e(2V8?8QMYW~ zEO*nyxwxSF>6|IkRnw}!-i9eC`pR21bHi0#5V9g&$9LkFRX;oqM>($hN43(Qlmv}S z_6L;?eX6e4)765eyM}dOrB9(fhE!s89)FHv*k28nF82`c?wczl#uIMx;&5Oea(IS1 zI@A`Y%$U~P&PF4)mQw;giZgl{#B9=bYn+T^`$mt_=z&2PpNV6p`?gt;o`>g6-_pI; z_8xE&6Pe^mK?m&--`fsB(u^U{+52}aZPd|y-om#)QGU6ia zGlWw_!n!1O+b^U$Y}OPqaU)*Q*f4Ih_QJ;A)I>y$WpC2P@iMARIx*hu@ZwSk;Hl>a zTg^C*@gs%~-8pZ8ds?*`C)+7XIJhXrv-kqJtcoE|SR{Q%ZAZH+K)Kyfh!cbryA3$O zxF!XRy$b3~m;N1`BUR=MzZ#-0gG8W^6AcxR=v0liY-KbQW=$OpAJs{6|ET9TbcNvX zO1NMb59;1_w7Et5I$utVXkldiOwUi{O|<>0Sd}HB$K7siH;Xk*>rd;C)+p)$q7Y2+ ztjW&ZlyN`5UGF(dYltcYoeQt`SeJU%{HfkUWx#;e(Tm+ob@yM?=1RD3ifx3#2*kIO z4rC+ETe&4n9E?V+x0~Ftu6Xe!xqX(FwP_i7x_yDwCEywpQcP=ekoR&fEa>EIRAXO~ zbtnlVj(EdB7^pQIRCSs}iB(3ywHZ;VV(9D-2;J`AK0w;a$!&#d4+(2nPE?I&+bl@m zhd|nB5a%ysq15VPIH7^n?790?%Vy9}NfJX5&)t?o#lFnC#ew2w8AeVBNv zcZDZ!#V55Nx3Pxf&Jb;w8uSX`HJOb*2Qapul!&_E23UtmvvjOoWeziI06B%#Vp6YedGS`If;eAg~rqK2a9pS zebt?VOS@opX8!50Q{*#>wmj<|*kfC-`SBz0#Go0qW+0c+A63&5W4avO0v1Hn0#CB1 zHzNE&kE;Dc%LF7tOSB4eT|Si0GV2_Y7^@f}JQkz*4b-kH1jlQitg;ll8 z#Ko>NmI#QP!=EpoL;^y(=jSEV$TQ!y)G-ocowdhk^gSr!buS8>L!M#z%6=GtlX3FY zk@!-ecw3}d>B?;TOloX7D<9>4UQE%AAU@*5j0tJTG)H55x}we&LlHLv?^k-yekTQtkbl{WkG6D z#M9d|H#1W*Mla#V``Hovs>7LY0=^=b9r`+`vJs*Pn|>jm1mAR>med3oT{Y)jVbI`R zVVbUa)`k}G%Zled7j3h2K*{4{>(^90gw4!|5w`J)-*m6+DzSp+h=CY9FDPJ0-xi#| zT%ntD@qt3+>*cS)80@_Y-P69&i502DJ*}tFpLPV6iW4^lZ4i;yyiF_elErd8T$fqiFNK=C0HE z)Bt<4?OY?6!fx8psAyvqnn1Yo*2k~TT<6YVFd~l^1F;0QjbKkTTm&3Eh-l(_*Unxo zJz9DR6}+dh+2PL&mJK6iVW2o7_u&2(!c%%+Y5^mF*6@LJUY1l0w^-{)!ULlRk8JJ3 z>RK^csUlgu5n_-@yl$>vhVl%XUyke?bF}MLn)ko`J_~NaU5`7XKBqW0 zXKv)St!wB02G_3GW_=E9knU9?{mP-QO}Hr2&T4P67*ziv^+)!+sNKZ&P11jiVA;53 z!1P7deyGRjPy2oBeeHejJ>mIITc4MNU`>Bx!P0j0h%RA%w}4)%XRG~s!x_&#wHK>z ztdKZr8tvr###Jg@=<$$7Xj!NU?CahRS!Xzv;ci_b%4K^Ug8g{D(`x_m_9T%k|$$f8{;c{x;^~A>-kFM;@^A zy#o6bgG9#tHz4f)1nci3 z{>NQ-+5QIZZwUXZf(hsU3isc({}o^GuR#CH`maF0m;ReU{@;50Z^Zha7XO!l{yV<+ zSMcxO|7T?1eSc&8{&u2|7$S+vHh1w{xetq1IEDrm(%dC3Ch9ojCP-v1rka25y`psDrkmH$Cy zdWMQK%`#P<#>_gK>O&-eEc8P%a`}Q_I083$LNnkOPg>my?`u`7>j;uJI+eaK{@6lm z6!wgEqSNW?-9Df`v)+M(_f>RZxyNO4%zK4Ap4n(xH^9_vdQ$n5_Aff~Go0n-z=iL+ zYnSIEX~UrT*n3#sVT@p#v7mCs_S(`$mK?V0ud2s#mW z`K@oO0q*yC6V5We7pY?%J#{KO9l5S197{G#4F*d-TcMv0Iq&J847yr8H>NtAcsFN! z#>CYJJf$t{m%eP<#uvC@kvt$^xtrnjINyW0N#{FJ-f}B)vYY;h>b=$Pu8lY5JimlW zp1T(1!cyXo17sroV7;=hgnv5jkn%M#|I%ovAj$NKy6pPX>}72;T!QTax%ovGYB=A6yZHo_i);KQP3@I5PssGgb!_)E1QUiK>uXJRe|4WU3_ws+XzAD!HKd5enzjsN?!yOxc^hUK33P^9s zJzfWvYh)9XKx+z}&eF8Nc7!=jGu@3@iEcK$%&T7dzfH~e4vzhPLh8+`@?HyWu?jAF zP>Jp+dR!A$8?VXYgnrXh4D@||1690`YTv$@(4UPbJb*5C%&>!1%?e*BeGgw-jeeu$ zJ+V5Ti}tjJwel2gbhwRPuUaW)wdJ?@sNa049E*gxIh-xv^w(DQ1dZL=YE&r|a=Cta zbhQ|dZ>+7SBjx7MVF^HuTGPhTbBr_nCG3hhzCl z-Sd6v&j@mU>_!~yjGNEZN9k|>VzQ5Nv34t^eCpOFC4?zLYUjgfG>g5>_UTSLvQC@V zTXR*rxL+l%`@6|DXzp}gU=~LXNt17F=i8*atcx;TcZQ@OwskCS5=z}hh9}A%y+$1) z<9890gr61%`UYl_zU$TP2S!v+Gjms7_rc}+-&q6=)Cik+9tlr=YDTRHXr8Ds3JP?5 zBo1{k36}1<=s`2YbF=6g94zG^x-4tH`kE*d5_PxXHQ2dtA368uA=t0Jisgjea&ypH zB9@#ahvtXk>;msC`u@#tDU-Ql#1hr)WvUj$^?k&L*Q4X z$MrY#bVIH^+wn#y*ikg)|1NTf( zDnE^xZ0S;JPdcML1d=A**s3nunK_JSWJZimBy7+)w}Z9qN9;cDHGGK*UUsouZ#L8i z)*n^xZQK2_ZowS_`c90D$HH=TFpT#A z2%qGlGb_o>mZo~WVeswO+&pt771z97D}O63jq3$wNr(sv)5-d)p?9V|u@E18u(Bl% zpt)|>IQ|Z@#d15Nu;JAh2~@O9_2gjxEZ!;tLL7r>Q0NPz$8Lld>Q(1SY$etvncpe^ zx##};1wJou6@Or9sIXm3J*T4&IF}7L>ZV&QBNs}7B@qw^Tc|%F){eYyS53#GM($mB z-?{PeLB66>{A}=!dzQGJo5HUNaHbV-!}gG5YBnkgCCsBcY#qE`-83qy@HqW(F#Z{K zkJtS029P+4Bi>oInj{vFr7`15+FDFdlUjSV{S1kLNw_;bp;Suq9$yB)q1{r- zi%-Pgfq2_Va|bGEP)eI8h71(b(Hzw$!|ulTlbF1u?vM7{*x}W5{Ua*ZaIqU?0QFzCeaNWJ32C z@&2<Z17u8V*<(F=nl8)h8-2Tj>fEi_=Qkce!qPlVX_x z!4kgl+aqd7O{#v>SnmwA)6`~)!d$wK*tj>i+9Uv!A&azZo{~s}CSAq+RDQWM#I6iBba5czqKd?FDgrk&T&;rbrmD361UTi(G<708 zXa*PfU@MheDdkicX`Kj+;MjE4EO!md^kwIs5WI-=iGQJhT0DyrIVl~F>=qPwCN?|& zu3f^GJO8866esz>I-z- z+wOMAnU7_Z&BF%YLeYhAo!_P8US{zF+Y2>=Q{oR1EU$q!6e<_ zutIvLuADE{v%q5l-5_ib_A}z6)urMt?q{@cQYcaQppb}c72iB;NKS~mXgdfeC}YUm zGpe?c9GE+PMQsubY%%BsSVO2I^?ULkTOV=wZy0RO;@z)Ybr+O@`7EQhz&1tKS^#0i zI@zcny-JFC0f1JqSv!^+Sf6R00dNG?r<->J8h}h`<~0Dta!?AGiXKO?SuWO^9!Fu3 zO1cby0$f&X7K;_2x2woz9TlSgslYB9y8)a@EtQG2qgP2cuLO|OTg$N<#o7XuGR$KE zX%*R2qf+!Va_q*juE6*V^LRj6MRjT^Fcy)1ssfLCRE|Cjm@dyQ5sOuh$1=)BAE}_u zARPmcEXQM#ZU#sLkyFi!0np`i>7`<^0rc19N37Cfu|dGw3<{lC5#SHG6lUp6KoGs3 z;$GSp#t7M1W1yu%3VDPeCv9PB=W*kK@1D!3T1qv$FYdr|(V9Xlv@l>jhNyt1Kx zr5e=%2GEb<0s~k^ZGdN4U=4ba6mSwSfN4|~_$(faR`J*mAeFlkqz_^o6`|kG0t?e` zXMl<6gD6Kgfqm4YdBDE+ZQ{T_n$bF7AI0c2u#ajq4%kOFdILPm0Q1uqW`ObO3p2rM zKq2bUG@uaq=sxf)1*`)Uq8Tj%3Q>#>1BIwY!+}C%qc6a-O!F*&5%4?hXg}~f<){k1 zmmEe)sYYxQz+2HZ3*fEb8U@&_=rW2mQE<%zbSk*U0eBT%(*T3XU>SO+Wb-`0nxbm~ zU`@d_0idJkngP&JaE$l5ykCwGY!tzPj(AdAL@80GA!=WQbej#eO9o*IT=HmOd zcl|ni@Ders2QBM?l;!_N$Nw76=H7M3@PT90^d@RnCozk&py9SxSKqmd@8;ft;Kw82 zT=Xr$-sb}TrD+6#0$`Q29|}yn#))QWLW;5W0<1y zV60%y(&ozE{RG;fE;^LzNpVZ9NYP@>QXyi@lI5y!C4d+f0i}~tY0)&8%47tpTr6M` zBmzY)8ZZeQfwCsHYZ9E6%y%p?Y^ejOGCF6f6(r0I^?nQ~T6C1E)Zyqz;2p3Ovy@ya zJSMiLnW9vDc}lDl@_pmDE~L81$~5JPDuC=nb~%eKs~U~FKr&s~(Hi4-jGx9=5en9o z8}vJhDXJ+lH>?WTCEC!XXv37-$zsh?fSW)}>ST^oy4;UqA;FY{d<3a0Deb9N^qd$l z-Mo|~6^GPPWyozxTMZd;ih@c6FhAOMq&EiDUiy*zUHapx@Cf1{P`1W7=2hYrWpNE- z4SAu6vhlcBRQ-gplr?6cxNn~R4u3JIRF(b$t5h`g#-cPS`c>?f9Q(I$g-G%81>K!N zX`s}F#R5bq*O zDGP%yX~i$d8JM{0pAg+j?1)Y8_NIf`!*ZT#SR=mLCK7Kj4zHhQoFRDp_z{s=x)yKFxE z*z=q@T5SZjRougQJ}Q4hS@-_uiO?}odBZd?hmVFrL{}oI~AXpiJD;HDj$Bap*y0Y$C&~rzExcKWR zCJDteQhqQO$I4yT6kp4^34%1Kni08D>EtwJxF}Mi9|T3;CFm#<2^QE&OE99=2`Z$h zF~&g)$3He_j!vU8@wRKz)uE7hh~iD8+rc*KUH<&d;9TK3ev8gRNcgm z>3s&PqpEw2kXzHoO{hBFSMmfdXJ_eOq2HDI8?iM3@xqXYqj0VTlYc5Gp&;E#~LDmGSQhWZ;i~&%njD&4tIv zclgbd8-yQ{-98LK?g~B*L|m{A@eZvG745xYU1DDkNIfC#y6eTpkP^MCgO$gXKf{N;Q9a1ugU`)Fi?A zst>bh-xuEp;c4_SB8=U>Dov=>_&J-+Lc-EhU}YlOFi^E zIxYSL(-=BH;Aj-l(I{o0Gvv+hg6~4_g5bjLf^lLLeb2(CdY$iFc*Z~9x6ry0(0XWi z(@@B{;xLbI7h{9PdX-ONhgQ(hFYI9lk_7GFqmWO-I&JK3vjq*)6LvWJ_)D@ani`Yp z;|f^)=~I$7n5UQKX6@nwy1UmR->Utg0C6%d>YWw$*YJrnsYDV&oprFa`3w`JAlI{xD5!TK{*tPUE zs0Gp2oQl>TB{pq7R%R)oC~t~zb9Gw+L72~2iephU4y2?6Ivg}y?=aGZqtse8YS?*> zGyHAj6&3+DdT3!YCz09(VvoU#*DnngzncDFbmoE-AIcd69c}vp+z3oEz3jgIwymAL z>r+JNdAk49QXx|GWPEa1(^GD0xAV-9X|8%zS*^m}ksiZY%f(VhMxs`qu#yIzuW8}$ zo;`MD$S4y0ZC&ZcdKkYcUW;Jmv1j@6VCYQI;>;oD=A?bI2YC}X~` zQ2=b!93tTyV(UWv#!5kckxto*iUgnDGcYDo#Qjt|lix0Y&)v^cYO90UU32!)rFY?T zab<6WH60KuyVGrDO3d@}!%v@`s|ZO?5r^RI#&w79)~6lx;)DXuikDP$;4(pR6dGha zpjF(%2;+OnSQ%k#m~w1wT);{uV;0;@SHdxE8Hd@Ho}mN7>>HsK%soeJo`3s8Y*Q#; z#$W?yVIZY;uTF}CtPkvOMYsgE&&ae6CFN#-%^k#Cr73U}H9j5OdQ{yCEgD>OzK%cB zo-;+6qS^J>%@& z#W++y4=6l_@VkengMLtLf|Y%tl#N>DUV zSRq$Ke5Oonuy`n%kQrhyYN+v0GEm~h$as+X5Xf+mkdmRm;tzOG$gr`Y6sG8G=y(tS zC>Sx3WVl;6C^6Au)?}nxNVgCNGNeoBen`??7$GrMGOP)R?_vVUuw5aVWH2sJlVX_3 z@O%)0rqGxnK0}DQ5S-|6*$|Yb5Vs*tmb@ZbVvu}8y3kQcq_C)vaG@8W&_musokJ!e z&LN*dMWKVC2A~E$3_ys$i9p{&zb{G6mMW9|G0^pxX9w8oyFAYpdFGVg%E?F*7 zF9~-YcbRvUcTsjdce!`9cCmM@cByxzcmG*}E{QIgE|D*}F70>ecjb2xc3n-;E*W>( zchz?>cFlIlcg1%hcY{oMn~;v6enJ0&`330(B^Uy~fbcQ2H?%iIFjO$)eCT|LHFPt? zAk-ivFElU21cDce7h*HyH1ssYKGZ&>F0?L$F_bZ6I&?ZjF;p=mE;KF#DHJK>CiEtx zVW@kEUkuKL#|5VeW*s?yl0c@zJwG_{X5RIce@=A#_aWaK(P7d4^Z&u90}%~L#2!Kk z>oNk7h&O}*&1ViFi@f`PY5ezn0~1^4UGMqlM8-D``Th{u6z!k6=f4}@G{*Oex>uf; zi63(a4Z2&#N0qYeUxmi9uLQ?=vyQAoLD>+N+2B*Gj%)Vf7R1ey2Mx4ikX^M7-*k&7n$-9tkz{8$FQeJqC15V!T z&!+DB%oVd|l=>XzFEjZg`spR|uM z7SksT8Y^^~xgYhXRjg0f=^cbO(O(S9d{-%L3Nnq694xEMtyC=ihCd73%bb9CF8 z&zQXKlPc##N(I*W&kXBte9xXqmkpBSjY2*lZAh=9Wi=^oSe+rB#no+akNdK&J?k`| zuHUV5Qd|Ii8rRY%0z-s9|1$G#?%nABX1Hpx3X=KJ$7Soj9?P$rgyD3uXpuX}Eo*I0 zImR-!ia~s=|EPMQZsF-cB0Z^0C*QCj5UtHa#EXS%id>$Q7!Ay_+ZR1K=VuiCnQ`N) zoRrh8uZZB;_Yie!b*7&`M0iPWtyN7}B5(BRxbXEfF@3ADBKWhDIj;q?(@MJK^>?U1 z#$FVgKVBUL?_XNVda5V};enNwd_Jv6h-zFGJNPY+U_BoSSmnfGqI ztdpZP%c9$o3}@X6!S4*KUy#ho9*?hoO2(<6yZhjeiE(0kkL;f*JWnEP_v!vO0La>u zwLX33NrHAq@dvLcY8~kbO&5wVhV;$bqVSX1&3a$3m51Q=?eWZHhiC9+f8`$oPh@*A zr%PxbbX{9oL0r*#776pD@J#(5W<_hk-a+-l5O=ix3TKf3i-$v{r0Q;9AcDGzm5lZj zO^f{5^<_@z37oEw6Ft2H-QXdKjdTS4{3E&(8R88X)xtbK3(ir1`gP#;mg6mOG_s-A z!U1=FQ_uQ)?56KVi8tCgGvDp6*O8^Lm%iPCz>8n4!QE@>`fQ*b#y_xtp76=ztB3Yt zyXLonO!Jkdc4^P_n5WNOnJvZU-@k>)7+Qti_J{Vvg!V&nVbKw(4HJt*MgI6O3R2>E z^gm=A4RnJ=Bj9rR2sBLJzbGgM4U~SCl&KDfy$3E^9^;opbLp4}@F^AqOcXoZX3Y|n zUt~BbCh{I-AnTZKUpoA`-Pjnu;Y_GqEIv^l`%Yfwm*iY?_L8}na;!9|#Fc}|sga+9 zx?j?HhX6OQL>pkzX#e{abA`=8MK9AYVx#;BIkM5Xu`Osgz{2KR>Y0FI>Q;(52aP~| zH?}*fqnC9w4@bU=-RXkV*aqr_ z9BtV9!KXO4zOkLNCcEcF)Hu^ojI#{xAv^9~weJp{F=zm;JoTwF35*-3=k?JZQiMwK z&n>X3?OniqBtz(Hl)~>dg=*$!}D00B~LDc`_Zj(^~S8!8E9Z#4)TJ?5c-X@%o9Zp`Y5;> z92@JFR-G1UexcmF)P7qQ=hWuG-VKB7gV=rh+kjT;t+cd`k)J9pm3j3t{o5e!W1OJi zm_-LoPnlLoMUMlFH|Cd?`s40j;k31#wX)hE#UqrvvGD~(s~o@X1vs(~ z5SN?tHF?9qd6jqi01(&hY)X;I(%iN@;9OkRriuL7E5NneZTwT-=gT+4PC(VbV)hSZ zQG}=GipRjBC5k)1M|#pl3ia_v{*P89g)O3W)v0<56vm zNNCtj3&j=I^W}4El26guIX044wVZFQ&Y(wJXXoRl=S-9z%1;Y)q!w6q%HC`}*Iz`Y zXne6FpFls5-95|>9PlB>qlP0ZGK2OVqh*v6xn>5iFS zDyj9gl_GGw75rzUi$s3czOBon+n)ihsnnUwsZm{bLT#%X)kwKF7Y4G$=}n9OjtIT_2`(UKkLU&{Rb@ z@aG>n+-q?XnR4~`7o4uJVckVlR5iBm(9p_>2N)Z~o>%l7AA;@(zK6P_Pm(TxW2zkR zI=d95spzm%ikF>mNuyCKC}`5R=Ld&nSdW5CK4gS}n&_ip2@@LB2c?_LQFGG*v@(}O zoQxzyujbVoU8Ri-J1NadgP>^eXg}T)!o=&8x|V4o;acl0QrrDk`5bXW>x@W{ zCd_g+*<~=rtC_BaChv|jOLQzquDe2uA`bc0j=Ld;&mCMj2jloq#NN|l*o?O?T_4R$ zQkx$HzJxgq$FAZnOkV#K05P|h(e<)ZE0Pe{sV5lKFe)^TlQ7(I1PC2mw{5*a=l~UW zcwRY&$1r7o%qH~M9Y&&69FLhr9fKJ=SkK>XR$=#CPo(HO`y61~S~;s{BgP1F;@4l2 zmQIo)oJq_^ut;*mFQBZt$K;~xWQgQTqvz(F&e7HtT6R__r?ZorkyD;*BW#sLWuA?H zu(HzkWYEDazjYfK9nDF-p+)8g@aQQC5Q{8xDrNFHD8$)*-HjFDS+V39r|xRrAEQfR zJVi#$9F5&zVrNY0Y6JiA<>t{M5fub^`(&1n)^zWsA3%TV=%`^V^Do!jq=Z+{CsSBL ztoRXW0L(OiGu|r2j{g+}bQHZj+I$UeCx%5+U#<8}M9(8BzICbIs`#~^@-Pb}JJY_c zf|j;w6UF8rac-93dcD3M_NQgStd2<2*eSY?3=T3~b|1)RWoj}spQ!K?@3E$q%@S#0 zVbKLM!-I_$_8+KG3X)E``Agh8r_Ax%k!bH*6&u|HtngKjn?jLk|IPe<{Q}0M#-l8M z%y#v$2lfkF{@k}g-SN*9jY|wCk8!bc)x3HRDpAbCr>cvawrsXR!L@ZJiK6tMv&@%W zw-ixz!qlA?3=F$-qMV1DJj@+prx6LyCzZL6l_EcCR=T)9@ILcC`F2U(TWy#VJsh=e zVV!FoJqr3S?)cwrh%+Z7Rt~my%JRmo4S1`}&`f!8kkt`yI-isRu+GbmOd}mO#}cl?U~>pz5z#1ddKN zv71i2sFFbK$X;Fw7efVYYZ(HJ}}@|4z-maxrA0p~Xng4U<+VvgEd;>^s}cio}mGj?sFWBWq%DpqNq z3v;uNTJV9hlLMN4lHkWZ=J7{F_vKkP{ogl0ViK47c_*8h#G)9RW9w6zy#Z&7ny#B$ zGZ+VWMvJlyOD~GNc^q)nA@`Z4h`4_^Q^C@Aj0|#=7Z-%Pj*9W)jls>Z2bcJMNgr;!pS+<6RlGRrQ7Kw#I4`pmAA=`?vyE`;2ce zt7esQYLJP|@XUT?6(tY)?JxOq%KkV^%I0@I9$Oj9uY~D$*Zu4cGtUoHM4E7U2yog> z3U*Payt=3%SFxBBT^%$o;1?+}P>u0xb-QsH?=f*`-?zTy@=E1q2MRAFO-a zyPi)U)_elsU}GCoh`e%uhKeEe-o9>o4{>|8qwVB<6vkGUN{*`ziFCmdQ#*-9C!69x z9wknNNMTBAa%c}hdZ3FfW9VwYs##f$DD~{n*J;ppbSMYA}5+`g3JhhJ;OWKPUSyH>?1h&6$z+m{7>L&=@&#oSR;;rJgCZs!oWoIYC( z!lZpBTJU)z$(!RFt8ecbZE9%~qY@8GB^T*Y=v} z2x?lnF?ZwxiPFmkYUi_Bs#IcQgnU^xHoqTf(Fd7qK3*~0PP-Kt?}|B-{` z%>Io0X5q5&FV8>a|K$32b}tx$zMo%;}qKEXs~Ly!Qg4pk*L*9ddU&i`aoN*GbcN5Q>tf{<8$-S*;eL) zqhgvXBhzJ;Va*c3J~HU*pDL~c?2YcA%y22XYdSs=>lEVO+)hzibB zK4k@-tuSH?%toG!pf8)aT9a%vyy%DjCD}}22uoitb{j#T0-7as%yXj3jV$i7|DE5E zuW+&is`g0;HbpXzTY1d)>L|Qty3dFT5(=d3VDW{4Oz7C;TD)CxeVF1bIDNk#aF1ub z9t+xJIc*a-Y9@~k{Yu|}04?FA7;udQfqZf~!-#a$GnJI_Bm|}TtphLfQi@nnI_nQP z+9@0X0JeabmeM%mJ0zHd*F_yl0MU{Zg}DMTo(@ANwh~pZ));C+J=}v`=uc7$Gj%VN zG)nwOCIPn+%}ajo%ip1X%Npb+gy7s3{LzT(ve;F{1c$stwo_`J6?lDS(uT6OIoLqd zZ@rb^)Xtg@7TF!J4)4V>JEuGV<`z@r#MD*ghU zv%jCxC@WO)&P2;{C__8({u$-Vk(OwmfI%q3a^Q+RlvT3+J2=hRaTfn!kY;}jN}ej4 z^qbY|tx%|RS+yGFkH|pBw3;mQx9#m)jD*edGw;LCz|7^c}^U zpKt>LY1L61e2lI63=+kgWIGvp zEmsoLf}wAzDQpy3el34&NeCdY7qeOvdXlfvBW>0rX{aGO=YsjBu{`&-aPNYmrS}Xm z5F8Hglq*0zJZNw5^+jCEJFIcu?+3qpxWI;P_*3n=Zlg;YUP!K5k6IOsWaBb{DhW{2 zUyCj&TC|oP+aC<8E`0#a{i0$k-R`~+RC1jLSYhWcFrX7a*9dKS8@EWG0yBK$yjw;Q zlyY*bosjsgqOyr^?FpC8YSo4si52;I3Z*PJL5Nbuk=~lmgnQ0C!8^>PtdC66R{*Vm z?#INRg*tOKSmtyDHe~luu357Lw<^(o|I=3K7uzASS(6%-B3%^tBpEJq6fSsG3$9^W z42>WTDHKlu^#!eRk)F5uFZiX``&y`eEQ?+49yB%>Q#+b~36QbonD%0j4P`;Q#~ph< zW3{_F&AE*vk%ntU$-E!{j$6PxE~PM14#VP3Yrs|H4`F~=TQc4;>N!o7dw=?N9p+Pg zgG5X2suI6I!l|0WJ1zNpXK}%D(;T$(pS*QBJGTha9#WAU6766uiyyygnuHX z!C$e*Ks<$={%Og!Kd-SHoaCcvzib>;su@wO(R(z^xD(6^g?z^hi&ebwfeG>UDcp`; zf>MG_CWMIrinFE|7eR*db2RIaT~x09vEZ@WHzV`j1h3>X$tdVq~gELA(!n(WqG2-mzFnKp#o^2 zCdpqwY;ortejr+CJtKOH^h1vz!lwxAIpwfg6tP*bpoD_ui z$d1#bpQp`qFORqWA6g8dPSm%f#riGZmz(LzKza#3uAkpXrAr~qu2RP2$KYcm78T{4 zqg7&M!(ylaqDKZAddTX^&yyr2)p$QOK&rtYT#%NN~R4FP;R=0$_T#$?42#IT?6F3TbGB^rkyY7VH_JlfT%Xrc_wO6prZ4hofQrI9IW zjtmtXl_N_IiAtp``3esDl42Qz8YSArDQ-345lM!xaR-RRv=a5nRrUwTQQ2y>km5tK z^-GWp`o6F!QH2r`nOxP=%T)r7&^IlCKi%*XD(K^5UV9*9=K5z!>rM6~k7p*^dHqfc3MHeq>n$ zl$=<)hR{*ecEtkDGr#K$4e7eMXEb zA*UK@_ruRip7JC>A4-?x*5}X8fB@h>0-$-P+7!Bt(IzTz$r_ON;FVy&G7a2Z9At3! zQ9a>&$v@?89qQB+1VgoC#O>tTa>7#p_L98VkqE7g8Famu%iK@-Jkf{5aw!Lz1&nQ;trf)&LRc1Ab7W_W}ewqKVGan0()rrf$+CInenfQM{1=IXv8X za=51<^>!KT8hH7;vg2V&qmyYi)7%_2hBNB+oX}>h=%%P;?y;gStcXZ$aAY}^X{H*J zrymk9kl0luIFr<%3yN)rjDaB|kuzIt$`Tqpa_Ok3F$??xZN4GfLmxPr5I^uV#iuJ< zg2b1?4L&%GC>3+Iu{U8~(&?&He-&@h5HE{XFXb88sIrHI!94Y_i!nsrhd$#>Zqf8( z&rm%;G{qN{YYy#@!Yrs!eWHvhry~RgfiTey^!VO|aUBG{7vDzOMi7nAj}k5|0W%O? z#PZ_+5}==y9>Bq)4J8#K;U#b#Wl{E1Exu z_n)It|5o}c{I2uV$Ib{n7`ZI~H&X`5B@{Gkbow*|GlRU@5AgXt)*lEc6%`irtcP@3 z<(HDLw>S6EkN1_i5$Pv3izSx_!AF>aQX$4C)YIS`=S2sK(a(8J!0-PsX zp#E?B+P6XW?UMMc`Wf8iIzXx?(}nTCcE_-R;8{P*_Wpf=I!4{lGV&8X`=jgy9v-si zM)5W)6Y;BEZphU|^u?49wMU&PbXw1gvO5B%_g4pya5YXyJ#6Z%^wcAB7CKE7BW z^k912-2}9~r6bm$cB?;HsCrL8hZ8V&DP`<(Jd`E)|!h=zyVV^ zw$^@{n(CUzDZZ-RB*-mB+LVEGme?&Oc`5`dqegp6XorV~ORTL;o7w$5K~g^xLCAmV z8TzgNfQCS#-k38`WYIDW*k@&i3J-=W)HjS+Ur`NE6{!i=Y4|M-u4fsxuF;@I{kdSj zKPim-2v01mo@m2tH8IWv_ZXWX*BNx3)#wxbu_ONVa;pWxtNEAE zEjex|TUUL}DIJk%w++;0h@9>T`&TgZA9i39ku^09Cl}+ND>Mv>=Eh5_u!ftxzYsu5 zIl}iitAyV%Mbod!mO~(PY>i7pK zYrgi*6`CMwlf~Gf$)-bT2%ugo*w5cSlXMsU$E_1h;yZW}zX}>#!DFcq!p5Za)!XS6 z;#+Brm{#gs>MrS)jK`GI+?&GBr8)ZrsL-k6q|22m3OAYye__`-+gsl)(Jp5^_}@#T zx4gX?wJD&*7NS$J2pfRqSgnuytp{C520I7|^$Yq#_}ipPq)?Z?X%ai)U+#2f!BEi> z$PYe}aQ)qEE{AM`*t!doMnd#2e6f)y=&be4otc^OrS~>NxA`>}GB;Wv5fxvGWopE* z1zhNF1s|p!&Z4KcnIMN)b?eHK%UBGN`p|G{(@0<$bjZ|&_c6F%)UAOsfvr))d(5gD zXh$W($p>p;rF{bAW4-Bo9(B0=bj)tLrEpuSIXmkADzn{>riOd{YJU+off213MNiNw zm;kjh)x(OBuDl}RL1{j}TL8LRkb-$Fx2<{2OKpQE7m`-GgiLhz8+rv#W4S##M7f_& z+RUnEUM^WkLByEj?E;Q6l(+gwj+YLnI=xp!1GnY4M{3QP=Ajdd(~bL)9v{5N`_N5JItb*80^_09e;zCFQz+@P(@~2t3ww)a zrmbS6>iMXpq8Rs!qi)PWyLthJgVO;AB2BdB8z}GF401_B&E<3FtMhVThJ|2x=BQEV z&QLhfdwl=hi9=r?QNP*u&m8^E|Y}8D|Xc#^v$B&?Y7!o+v;-DbZ z=mvu^UZco7IYv@XQKr#}3CnOl>36ck$3mFI7SM_8MwVD34YsvdX$+Ek2>l*DH8iF} z4TI&$xu?amKOOFiF4|+!8G|}mO97@_dnXxvRciO6fymdFDbfEg)#(o%b662ztH8N9=;rEQoVlpp1VbG;al9D!B@9mHc_a}}6winPA!D3#Uw}V)9o`s)v z;)ash#SL3z=2p7b6LcaeB|ATHi|V|JRT@CettPkQsXs=e7mA-5vr@)GiQP!sXQ8lR zdUpFoR;d$V_Z{4bef20a7F{Jiw+ZohrawkMdL~6l5?Y#S>eB0SYkMDMMs{r*NiI}< zsQ;a&Vl_Fh#_1=3bb#Bh0mpqFhcIglJSR$tuDDV|3a+klzCTzd?r#C>&zDN5F-#rT z&(0lJ$B&81<0%^*Zvp2EoGIW0=mX4SXEz?_;H-sq)75_tJl~1hLbA_~8Ykb4$Digv zm-fTjn=6EHqc%JwVji4wmQkOL4(MRTx7F|!To8jJy{hVy|odXuH!zTNx zr%aB{L1exgR+FTOi(JNQjID^S%M^nQR}viDiaR`|2YhMe7o&F`^p1{TDC@LBH zu>ftD6ksQQ{J|ZkRR8GC17aFGeygRs9X~xCIW<0YDGVJyCR+a#;yr+%?@v4g1-&Rq zSGJjb{Y!>QUfyLhxhft|fASRoZN(^5#=@BhF3{@B4j~TPCy_Wbr#?1e(6-^J`6YE7z>pK?hAqY%sjA9F?kXLQ3Lt9u5MHRI0uNvRRiVL znzz~a=Ta3-rtI;tHF=k#?ANiEkI4q^;)=u8rfmfXvSNsuO1mu23B_u8Lyx#?e(|Q)LQZ#vW13W|DFun$IU=~da0)=)yZ)!zVeAgeb*8mW!SaT{1S)C?fp@; z+Fn3YA%yH>?fAX+^qK>mGK3~(lsD0*(!t{?vMxMq&GxDi&~@Pdo>pyJ7?Q|SS>wad z?C=?F%;)KCWpj2X4;sxJBg?n@xie+@-s&<9>LIQgSRO+5w1LUNl(%6sUd;fSjWe1_ z&f5dn>JCthDJ`H=b(B1(%&{g+dKQIL-OkSNuq%1&cHNa@I}xN|E5Wt&vUs1L8$jm4 zMUU=9?zU7ctl;D*)tl|x}Z;#wYD~K&3sriY(}x&jEBmPTTi!I z)SDT`sKkfmQgmzZ^4dZvTf}$spGh(uB{ArV+&MmJSkP$e{z2->m;55D{Of({ zm`#fNdLvR-rEY}m^rlhO-;i}A#D=@OjLq_NA}PFv(dY4l(;bT zqK09$Mr<-uqMVdhf(X3})3LbnEZKE66`f2gTg_HRA-o%PQVS{IF$`#Sbe>b!ez7Jo zk48yk1*K(fm@l_-;rPB|L-ChQXs3gpa{4?Tj&I9E(&DsfH@;lFwqA2!-iCIQus=ryBt24^_^#hP zhgpGTM^Yt}^DU32*kNQ^NXNPui|3Nxmsr23Z(1+HZRwmtmkRO?5jstOrl~dDtZJG$Eo*x3U+@ytt;$O>L_Wr;pjWTiWwichP#x+?%Uh zfX(66x~32p*T(=dFT3gF`(i|%8nw229o)mGu|t>Z$|f#=*!<@wKr>~nt9kDf!Dn;n z%;qDJ?m5H>x83S_6Q|(P-N&0!;@m5TyOT$k6@a)k-W;?a=16nI^Lg1TIsZ--qSRhoT;>wVtUFZ7ms zUR?W*`^!t8L2Tn3&Izi>%N2^^&sslM1-!~mcAwc@o3kvDZube3XU8sAI_jsrcZd;M~=ig$GGFcBL&fC-zamYX6^g#ZsU3V zv6j~{w33(1LFb|jm4lip%AAb-ul0*CT8>K)w~8v|!+>I!740v*4gG5-*L6~C4Le=H zDF>~hTs@Z8U+Go}cdW+9fO z-~J?WDGEK7E(A)_2TfV3So}ip!*?Q_x{pXNxG_jb@P@=XYx<;A)V zzQ?hq4d==u^Opbm`vGwX$mt+M(ZD(tD=nJ07nkKp*jkW8hV?27mXGGV z+W>h+R1Z*wi+f{p&qef#CcD(|zBj|N3OPnu#0JN~1urD6f%Sas_hEuf0F}nq@5g~6 z`cuv%W?~=Xwn2&=qna8WtuC`eJ9oQ2=)7;45bZ-+w+_h;K7d!#J-=y9t5Q!pCU2dvi6d!P3e$@Ak)QAZyQKX(s2vQemL|RSXr#eDxG8!U`g@-uDvjHGr%lb(4zC zHWP>SXfR*!Y5rwFeTx9cqP@IOm-O?dY5r}AcR%{$7Syr7@pu3i7yU9@gVSEDsik{> zo~`FP`t2>qId!>7F2zt+3AnYh+h8Fx%u}}F&#THJl=&udsNB^{d#yG?j_cCB)?{Itp-ACn>r!Rceo3^k+e~u@)7QkqvL>I> z$hN+G6`kJm-KP`tbvz>5flfxOT9u{aVLKBaWlL){-dbN$d2-**E#_@bICZ0Xp)z_I=*hasTmwyGUXAVNz@Q04=lP=;nD)=*3$1E>{%_xiIZk?H(rw ztJeYhhu2gfCNS6jm^G=fy_NkdrY*xoIEm^gtJSI4sJzZ)$#2cABkWF9mph}A*4$ca z#=o!L)-r-553B1pMvuPsXD)e$&aD|}J3}iIQMaxBZ=Y9SIp~J2CnL;=CKfYM1g779l~#<)l}3db(Ks>x3%FCO(qFlf2j z@bS@ng?h8(S#fMqb>`#?bG?yXO^DBm3F;SBNPea^g-V)OGp9`IuJ{XM;$HlK^*cy8 zYP0|ZUccvskUWpG1y_1#emOB8E@6|8XxNY&t206B``bX&ds-6oR!7*f8?{CWL>tpJ)?p?``as3)iXH`v)oe5fug-U}|b z@Rn^`yI))Gt4Th=K>GniUYk9Y9|O-rA}(x#yta;Oh15yziz;3+bTAW10J0w3As+2L z#*6Zby=<#p4XMPSx_5=c3~ef6K;Mj$_VpChY|C#V)?u+U=o?K{B)%>jeftXeiu=&s2|MEkR(lUrF^iwtLPZRZO9i151(GHtx(|3Bx;5a&G&Su*t*RITYUE*)b zbLDP?&K2E@a4mkB+O*ME(QJ6P+I=Cgl|U8#$9o4b*S#f{ykQVbg<%ma==jFxLa_Ca z#hQ?cE!dtGT&VqtBZN)Q22``lnxTvCuoD7kyQEACw%2xHC1Zi34fUUEZ&u-V7pNz=$P|SPeM}30XjzP_P zWcd$$^TOw{ce=~UL03iSVKwwfiuqPpsuZ}phMRHr+E=~de8uM>^w+jo&21fS*H7!) zj`R3NLq4rdtt0$xSA&C0F*!$~o}R{n??0CGJ129#2E& zT&%08|HN+>3MT&Tn`7X2za2bYPwywnZL+v*`PPhkSGO({%P)K0LSj1B*Yn&fm3t;O zvnypHHn};_eQND%zV|ax6WX+Wa?rv)@#1#YZ2mW@cC7yq4f{U|gE2BP^YX$$J32WS z>s!M?12VN^Wh@5iVS}D|gOcZm^rmO71aqY+jArrDpMFGF6$Xp4hBu5}QhD^FP}T}~ zUn5V?rCgO2#0vB{I`NobfVvHnR9_%MWP+3>{KV7R>TvH^B`pHKY9ZO*n8i?@_x(b& zAM5PfogMjko#<^CgKg$Nuw0bVt*iLf_lF`t-Wmp1?9YS2d_~r8r=jR9be@At^2wZi zM=8kTwVY~wt%p|P^H>_0QcR*n!F%dOO5$(1?J|9*?c|8}FKQb@-0G{(F1tgImcKT} z$=uGrSj58+A#E)s8BohJbzx|2nf^C(#rFScu2`7a82`J58pA*RzW>M6U24HNDJ`~r zJ-bbEC8Tvi!rB4pp$L%~3H}Kzn-D`G2;m3ona-F6rx7s48Wl4k3tF+1&H4Yd970!J zQ?@u)R`<#zUW14jz1-hwwn$oAYJOfDLA92zZA865VsiZMnodI$Z)|?tYI0Y70&cy( ze}7(oH$AW3i~oT9p;m|7+uW6ksjv=0AP@y=7?hV!uw zOm8OVk8VAw&aQju2PGWg+!K_%h zyS@H+?;Xx?sNsfz2c5ZFjE3{c+n=t4^Wpy9IpN`m!MqYsC$h^$(=w$m$zYI|vimaR zh+&l357#TguEWY)!-Tim36e=IqTS>e-VNf}_>I_y&r7b7H?H7(fY2Y&Y4!tXg$R&G zWZ%U|D|8^__*G|Ao0r3MoLCAW(e|lH^9BN%xsN-_hSwXFSk8++?Xjr>@m? z5?($YgqnQ^ZhZBcGTmWiV;*K1t(a^woqn3i&;`(t*B9{4-b}Wfs!Np-4bUNj<`&D1 zBp+((?mKmF88~%%LpOVfj4%(PYx*23I}?whT`#v)G6WjtDjTW6hlSm zA74fpRTcLGS%4n16_#4df16QJ^-@aH!LqO%Ky{|gsI27PpViWD|20*tp=!O-mL>0%PKkWmCi73s`V?^#J z?L@vO>TeO%ijy>Lj&cAf^hMmSiDX=bb+Vto*ajd6mPA!&yH(x}Wy0w9Z@FWN$?n759DtUwD8FK6|es6oF z(qn#p+Vu`M8rv`GWS5`bJ`9}Pf3F3DN-Mq3p<#ItwTVlv>zmaT7&w`SUDk>IJXj0AJ13ezQF!2;*LT(# zt9iVI2i6e&b95Rx>?e2^F-}DRvORvV?SiKmI8xpN;5NgL zsd1CI&(mNqBjTdVbwbj?W_5?t{ByKJ(^Ck0*J54e<(HHl&{b{tJ;R=3yhvJdG%+_l zJ&vBI; z?SnOHt2|2HI?~M0jNC9Kn^0it!vqO~GO?4W z(3+jECp}sSP4jV4Wv=+uK7nD0Amm85g9GcQM|91(1gj=N5aHXPeM&#U*uOsN@`q@Vn@mF7wj*)%tqfb6efOL&`fM zVcW_*;XbpRqv;Dp_jdk8u5CBZZEmJk`wn&)wuQo;Kp+}xva`ttD)JQE))Ma%+T7lM;BJn-SpO1F!aG$Id+$6lirww@mxQ*So ztCNa&muu=|7yb7KAj@2d%UaR8D{X>He)>vYKuDsHDLm8`9nw179o!by3tz$T`dfIB z+>tpiWOtNYRZ=ux!wz=1_^4HEKS2fPkS3Mf1e7tvh@= zcF@u8iN3%4c(i5w9J@XHou5QuFf$ zLiz6YfyGKKPpJ*-Cfq`WauY7R?$mu7pCIR!NOjj`|EZC@-=_0g<3@X?_}q)gh%@U8 z#X~U=lCDT@)2+l1_Vx2hU9wa^KrU?hjw%Vo(iLoL3{i1E}rhEYwpDkNPMHXG$c zv)I=GX2iP{%pDxv$m};YJYX-vq0Mx;hFxBfYuqtCBe3p zqti+Kxw0Lt1lv6vh#Qa`Gi=Q?{~~}MGDQC9z4eH1mxWyj z)(E+q7dF=8cE}mE1?n(df~GCN@&E`!l1s*;g=>DCLu~sShhk8*foxa(g`|d`fdjH< z7u{~47IdjU;sAQ@5bx;t9?3P+18`?m>xgJTw)6Y86>zkt?g9@l>jFRL{kYMI1^nRs zbbmvXlsuIy;d^_&91C)cV9Kly3R&FX#T1(#X#)uS(f*2;Qa5m(`7_#?f`8v zlc4JCbDBN3==pE)z?2}od%7BQTO&7&OIN4O8s%#A(NO#{MG*40LQXY&C4v44YP4 z=GgSxmY)BDEVTYw-(|eD^2*c8v%D^K4jJ?eHK!J2jivz#d_P7Ut!tA(Y7&LwmaT@j zU_olw?%W&X58igLRBDla8+V%zCbgXAjMimwUD^x*yPYTi7I(!ok2{aS1O3q0ni7^3 z>oV82CG)bGgR(7A?9OyWwo*BgJyDn54vCdy=cDppi?cd5%N_8yFJ=VK5V4bK4?=r& z(rhN1UvPIq@Y9^fb*gT?j+pbOS_ddGU5W(VvEC;l8+kHm+t9Xq@Q3^FJr$kz)ePE9 z`-ZGK*)e5%hnX8gZht>~7e!6B>CjeTTC;8rutzR~Z8@xMvzoK8swxB1=d;u2Sr!ge zN*v>4kJATMYf5#rw6&Ghnc=jXr?bw}3#h2c%gZa#wxq9Zz0A%Xk6A0L-(B!O#yF8A zu5;G+-X=|vT4*oMbUM5~_YtO+KfCMGTp6 zhw}c3j>q*(Sq{a6a=)Qw)nl}fMV7Nv-cpcT><_2xP;6fB9b2!kbMj96pn5iWTY5mp zOdl(LFch?V5Ibd?BYP>Hz20fyhIy3ds>#KH**NT)BtZ0I`*#Iu(kLn|H4lo;F~7xz z9;r{w^dORRQ-EhS<1|A<)+l5?$xu_0x}oXr(BoAE%H>@5wv5d`=%bck>!2(r46{2(9i~D`?ggg z^z`L4taRy;`y5xwDpzzamt8F5ROSnO%aEc{~?9s0Yyuc$z z{LoTN`yAoM=g$dtBlnkugL#qd78@YkTW6^($Wdml3^_D(hy5Gc7#{UfcIq4KIO@8~ z0Jm>SQJwHL6xds!P4yfa44yj0(_0(riTun12SXp=;jfQ#=e$wFLe2mCnR~$f0IRhD z6D>E@BT4^FCNOAvw)O{8n|yH8iAd-_e+G!k7aCjvu73Kz@%O(g^%ug@m8l7nnJa}l z)dt*Gc#B7V+`mpRTLq@|yTcvdbOc#rHVa1REZXL~(R(Tlr2ZP944xXDW^%Gr^W_Mp zA3!@R+5HJuU>mFrt9jZ3!Gyh3`=frBA=p}a8WgkGUFp>`U2cGmIr5eH!*>_tXc~`M z`cvLfC-eivFgh5VoT2oUI{$7s2vgLpAYu1Z+Pf=QeoJkjHsGp;p-g{6*D!Wh-O6X$ zBK4}KJq9VEM{z(&XUY+3Q+J;TbNG{`oPk#FZhMwK39opCo_0Fe-i0Rb}%J@WgEl@w{Q&Hr812AY^nDvX1@RXft+V+c4*%aburK%`4c4@y#)M zcV8X(=FIT1K4JD)=#;TMGsdmoCo~D5+5{Zgp?g+{BK_~V>PVbm*vG>I~iOxdA9?Ez(sX-B`7lV@4fL1$^($dKSfhuxL}L<_Y0qOTB1 z9Vg$_RK;qz>D=3}uQnpPwGhSNu8(Pu5kxxH4u#p%B!vT<_GvM4Cq|rTO#h^!i%^x> zk!>Cdqf`YO@Mz=I@t^EnfG;QoAv0%Ur1y7opj0^YU+(s~kixpR>B9~zK zLo@Kjog9(BY2iu~?M-lJ|9kF$R$t?{0DwZ*t(pfRV$6ek>1W}u>HvCTe6XJ<{iFk& zh3j$1!6++E}=VG@$&lEWkZ*f6?ahHB59eJ zzZd`Xzsh1G*TT6i&}N0U{orXb}>8<9A&#$FaxmpzG=m!e1(wJg=OC4e?6q1fUE5RIBbLmLUVwV(cY7&rHXwiJfB$3Z1i1_rM{D@1FdbvjVl@dY`YpU_^H|Fb z{_n?R%A--J#2Gs4)PRhh&iw2o^GoRd@0SDi>FP%1p)uoSIf9R^4V@VRgUscqR4sC* z0T(*9#~x_}zNJ^b?!-vePs}h(sqoba-U+21OiM@(9_l*`58UnR3vgOaW&LJ4hAPzp z1$#CH`=yD=^$Q!5$G>CnPq01Df0S+0Xsu0Y&8B$??>aP ztqoXktVcvl>$bUg01%(+HyRr*2IYn592#!PcDt_6PYRzOH4elOt;OJ!@;&?qDNQKG z@+Imzr8@`Y43eAsI2Ig`Olh-vqN_R+J22njwd#!LR!M1wZIWv+Ca&K_So^31kgAcA zVVVS+YK3p0@qS|l79le?b{f}^Plp6a;ASM5N6i@F#-z{$Nx+N;rMS5lK(~u`9C`S z85r3Znf`|-n~9N?h4p_u1-Jk}X(=7PeZOZrnyf&i{tUL}2eRiM`NDFMACgDl$3vFp z=EK55Q$|qJ(nzLaX|!ok&)c|2ox5wp(-s-CZOlnZQE7gbtkPUkniSK74_tG=M2Kv@ z_vB1}ethLjf4_QjTz7L%-}7EO0{O`a29c4XnT?8$B8j^Lk=!LLKNO`UGhFy41QeK$CVwyLnSl}Z#=%lYG zA5{6;ij)?_{>!JSt)HQ)Eu|Hai}yt2DPo>=d6WpkGm1q#u4-OEp4EKAM3a|O67WK& zth%_wVKJs9NT-85qPm=@;#2oI$sxGb4=KMXB)qxE<$1-`z4xRvU6|1s!Sws~dGsM< z@S}hr%J%CaX<+EkvV?|yDTrPeV$yNze8w-@oX=}fK&v}hx$+v$=FtL%6-8$sc>%mhjk64_@yR*2!BWGLyqQ z?p>(U)`IM#R8mR79wJ~pm{#uDb$)T6vTwyuZV14Lv$zqmyq3bLcj>+_m-5F_fb4NNlOm)T1$FsG;ik*`?596r=b7j#ojR;;G`Yez~p}R>co6HY+X((tJEiXtr ztR1?0*Yx;d^o0~B?gDcsFT!TPH2V~lh9gF@2ub#KZ_A85kr#&QL}Jqw28DVmHg!}q zh})rP7>oFGq#V8!3@Zd~{JE89(!gCYj=p;NV67C(eK_b;GSZXp`biO6#A@WF`=CAq z9*UKaFCZd{fEWb062i-M5?iVIDexoh{lhSDLrg~74OJHpK86V@GaqJw^M3b!X>-Cu ziBI`|@<*Ah^3%(hUW!qR;>)Q>7JGGH7yeM}5y^sGlkMw04Sp;zDq1`T=dz=$%;-+D zUmsgc89#}{&*WD|B&yd-TdJUx9*+EYVOKEYa7 zOsFnwIXzv2IlcI7fl#OY6=h9VTOV;w1r2g7pOF&`Uj3n^F;lwFmFu@xN4Iz+$ucH`iq{X`tfHY=W z1a>7xOKpQ_3$2Nmu901V0of^UkHK&irL3Xa}!6+#-*ZV2fyh z$UmW&*Hntk8Wf|NA@+LAKY*+tu1M%?mJUdP6?Gdd1?dxt9PuAPrTFOvH}=XcjMrLaSOk(Yo)Q*9b0b2b zfI&|GV70Yu-%Tz8>w#a-KA79eQdn<({nX^MJ%H6afTynh7h;P>?Ux^fC$$yTW^$+J zkR%kuAzGM+acKF*=C<(=kfEo^#$-#o3HMym3rZWEk4{&d$+enjSu7onr{c8d40v)8 zd~#E}`?GE{!f0-i8`9`z7<1Mje`2^zU zmVB~zh-q?U{$&kx&Mx$iC^iNjZ9heG=-^4tVg`=UT z60_J6!_v^Igm4oEjjSCCejzIu?NNiizt9!&X)NFt|n2Ox=2yi?-{K}B+L z>xK3*hm9h(I0;j^BPVGgcMFV1P`R@sK}c{^qi|>uO)2$Cg7_^N;KIUmZtvoBvgaYFDpWGYj9G+w_d59`qs}p? z%M&~g7*5?i$^^RUEke^e_SCiWxm5(dXt5)e1AGOx$V0HKbX1C z`z$n79FLlAD*a4Z7OJNDJoB5>k5g7=I?LS@ncg3vmOSskOnD#VhOItJT3q+mM>y__ z#MB!eANhsyAD!i@TFV}@_HuIv_e3Jyh8&vQUJaUFT@Io{}nATxirb1$vWWb|F`A)Bl=GYB>&Fnlzy6x`wu9b%d;!A z)3W8~Mi)EYb8Vr31Zct=*`w51#vs|F1S9b)*=a&&Ja>Xt{DOoPlEip4aH7axmDCTP z0-!kRCzOIM9#t^938`+J*ZM5X&9+51X( zjJ63OdfjYHc?D5kv4DZrX1+Hve|J@}DlG>UT-oYw1e9A4t^n=cYJ!Tq4`L4KqpvRw z=78YuS)CpxD%fnjq6<0gQodJFO01n*WJPekxal$DQ9crnQF)3UVV5{M5A{0`f(zF8 zO~aTv#PEtg!MNwf4;B1^pcGOXV*`bL3$Y=i)DE$pqOu7+zQZN%mHU(>k$C38f<&)a zqx_?m3df{(%#pmX71sE46f{xegNq0B1938wMh4e)jvQ&D#SO@?om{SWQW2`Du4O?lULk^>tAEEz9iAHu462BsF8d#5ze1wY>z)G90~(A z2-)-xjBBq`4yZ3)c{wDa0|tG_-u0hk>yxY?(%531YriEiT;Ybd32_n&XbaJ-8c^2Q zdQ-FLzvw4FF_zk34LQYWDTwFCtnTpDrL*zR$L@`|+`z3~;5meVakd_HZ{H*f=L`2- zPfiOJuD@^jbT#|rzVp|9T(gkPjhu}gBGQ#$k9+4UwAtmvhO*WShO&+ZH;HKEJBwF)57j6$&`?U~3wY)LFo)fovG*C0wR&#MwsEk}n z*Qc82_OMpaFff3CoYk^w8weK$)srSig+)Z)Exwn^c)iazc=a&4qm~rO&~(z-hL=A! z2N>Gm$l~hi;bimu-pVrVBbq9g3x#PLIlJsHU33B;Xl<{PrL|~^K;B1y5fcNDke+pt zi{s+tMFjKk+cm9Gu+nC;aCJwmr~sa7&k{62+lG$hZTO-98lJ8JsM56-^b*Za1q-fi z8CY2PK`ozHoED45=NMUit7-fJBcirscg!&BjS|oRlCyj{G_<);vlYokWt0;Xiv=u0 z!@a$|{XGN2w~V)bV7xbOB=YDxq2i_v@6*`1qJzb8Pq*Q6%J+-$%OvU_sdGBr>h$*| zV3#?ZVK~*&ruRt%Q4top%HS&AuVo@j&hEGarueocVpG#7`3e?HuYlwVrS1t(W=zFx z>3w3Nh7s|o!ELuL7%@*sL;q)tS^qq(&a_8@pY0_?jY=>*XHORYmT`dyeSAy7yg~?U z@&1K=L2J!?w8S`R^i7EIlYAnhCPJF6?pgWVs96-OsgYr)(?aD_F99atf@^R3(EuD4 zjwa^ud~3xN)p8bKWcS7Mvm$!F1z279Tlo=H*XL>Wc5h;}N)t!d#C|PcLarqWV%k1L z?HvpdjSP@YUmTFAn(d|e*%lV9=+~&y6~3u(=mt!sp$MqFy+MTMJPZzHV94MR;&oYQL*SQ(an= zNdzuVO?fpj9e1VQCToF6yF0K#f}kxno69M@f{;*(!YX6A<kZGRbGX*LzYbWNw8>&fZzXps^< zK1M`Gl!#`Xm5bu!x^mx?6>KpLj!oHz@XFjz;mUS{{$JF+Rcu{B*Cc8?#+aFznVFfH z*^Vh@rekLIF*C%>%*^cAF&;BR%*-^IPrrW6jP6KR_wBxP_g>x7mbPj?tm;*@PAFb^ zx+Xs_gWK0Zyi5H@$J;-#F9e&Y0>lKn9@Cyf?D@Rhs}Gi3tNr;5{|wQkP9VO&nzkwK zRCdomJSlOwgeqb05t{lgx1-N2@tWv?ZK8{O6BwrKSDg`eJCumHF=-9;@~L~+;bXQE z+qL^srP5tc_=ZnT7fY?}gq9V=@W_rWhbT#P|XuPUm z=8zk35Y={Eo9nTYoK8T@@9^H3h*g`Hm1-c!du+bYz>H3?jj2q)Ud`Y+=~JcTmj|DR zxHYUf1d7BelxttgN3_#==~VAgZpvOxhE#7H-xM5nOl&$Qotyh1L4buwM8W4&4flf- zy^4|qJ=74dXq+;7N|XPyqEhJTNb?kM)ZOs~& z7xxorwrgst`zadVs2CEZB2fux6e{i}_AJzgNj+7^Mo`SNh4q4B<2Cq;RN)~y7GH!Jyi zP0t3FNe}9l{qypIfG#<#6vI}DQ}{$z-Yj86m_!P#Fb^mK`hv>dMt27SlBNuKdA*&i zq|Gd$-$`po6~=kRJWgQwz_#vmqHSB9)e# z3UYiVf$O_nizy<5WKIj!yU90xC3yLAX=hEX^S>=E%J$p=sjS0nmQDtv!Oq#CkSI8N zeB3$gD1s9$&?>p{H-E~Ps`;n>N*dxY4n2EgbcJB~Y-}2q=)5*&Z*3;KhoDCiVKG9x zt1fcUHp-(KeZNvevvxL(m2=`&gS}VdxIGHz&nrVGtm|7DOLpb@_&q0g5ueLp;Tjpf z@Mgb{;JJ3?D?jw(?JX{_{B+QZcZVldGp`Cv^1m_|*!1YkN*1URIfDr@>l?1K6dsP`2p z54bkq&U^znz4aX+pTmZ6_U#&X)H5-OktKd1JJF*>NsuMVQ2hUK`g)@Xy>^2ukbtDT zRHF+fCAd+UWVkuCIR>O?N_^w&sHW1Jhmlg7fwJ*n)1g&IlUb&*2G6 zi&aa-y1D~tt);<;65@&0FV?eY69k4)t^L07`)5<#BjS9>N2zjI>2zq5YU^DTsAC%PSTyOQDKh+8@@R{)0ML+K1DE`~_+qnG zqfZ5gQL}yckKbz6`QI&=SG73j0hE)y?uJ|qvL0>p^tVZ=7KRozWdo5(L>{u~O2uMH zKG4nDvbX7Cwj+kE?&duFZ1`~1?DwTm99l9bCiN!Ul z_vNX}G@9KqwIF$}tNStsT)wd|`6LBxhLwsg22)J05HGpHk6Vn*t`C{VX5RVF!X+!& zvy_lcOIdRJ`EtF4^->uP_#R}Md`@KG#D?x{1$^TlZ6^_St}78b}W3`TK&m$#ts_8~v0*ex(GTM9;!9UD^HgkN$8L z(~_j}>0>{gPJv#dLB}3>=C3MWxrKp$4P{Y5_>7wa1uAlhdX|F|Q&|>rTo^r#NLqMe zWPz@fNNILHZ5Sb`g5)Xd+LW>uA!@jb;g{>v_9SO>1af57c;}+*o4Y^#qKv9V?}4zU zNr~KvqV1cZqQ!R8=AotwN0pkIE`5gq^Jdm7(KhVih=1})l!VN<2DXIL&UQgZK!af%js9ngfIX~3WgAt zk3I9+is~xR@esMTr|(K){5hM?Fg1 zltz|{wk1Sf^SGNnF#JNH+&1OCX5TgTvz~QU4F<;dE&Ce;*xfhy{v{pL%3W#tBDIeF zYAE(g9?2Zj%AW{GCXi3^^nEDVd?{oY)AB3cUPkgU*zh2-Q67-___X&ic>)rld)jS2 z9vNGl2=93$@87Qo;8bl&jr4->38V5m!Bb&%VtrtgJO6S6SfG_NIt-)z$7>99N z0)56wfXy;eO!@95xe1hgnFFJ3XUV#U|CfMjt9=g5dO_1V&F=O*VW&$%ddo@c_@l8$ zGVqqKVAhxaT*^S3NQO_in1R)RgLq#);z`(4? zCAlN^hMhHnQH=*~Glk!T^5tUG>LShttRg&)9Qh^#lTGSshy{e^@DKH_tfiEqxEq8+ zu0y?1y+J@TV#lh%PR5Okb!{`eJBJb5xi@wTcIJxi$L48km z#bJ{%(c0qQd|T@SBwf7KnrxkLwpdS&$dy;gl^&&lSLsn-*&VGIpY&!8D3{-*mnNkLtDn&j2HDNoy~M+?v9yStTNell@~NTr1h;Vd>E^ zs$o4UG0p<%rQ7k^uV{0;n5vblqu)rx$ppOpXmtO|^{LXe(^HqPX*()>Z7x5=_acCi zh(I~nnD5HCM7dbFHmnohp&lw#9ISum+$O2XlV7Ya^lM12NS(_5+Dx@BPBGAH<+TzW z<(ZF=_jMeYVLn$D>b3~52P~^yMPt)F))=Ey@HXCT33ZHktB15@Tm}f#Ugq@fDbf1e z7aO-*#vX@!qVq7Z#MH1z7Li zr)jNjv5*yg=CiQee@4Z7OSu9%tPY3#JQ4g(UR#=;>^{}Cq~{KFzHphi2bud^P5^l<_?19ZLp zC*~$IvGGBFlK9rx<$gWMqmc+lS$sZ$6nRo&o$;sK%@=HnvI*jEO?3k-P7?8-m0kl%#0gm-e) z2Vw(MGuwOXJ7&=@FAF)%HXyFE3*I)*?69GREJ@zVkcQEuI-EK*5ao#zoR7%}r<4tL;`=mhlWl*6M@ZDo4 zXdgz+qqpg4d7a&i8)$I%0b^0*xKb)1$X1T`sAr9UQFe>ufet+j?s|B|VKu`d}3<zosMwTX-EK-!HnvHK*u)TB&n2}d<3!~XtAMgEW2qt#m2Zwyr(_Qc z`FkB^mwcYz&Qf{=4)uk%&6pW+@AY_T(Zj!#%C;E^aG1E!A)KND`gS!cJgJvV&0*QQ zj&*yR8y?+;RKuh}$j{#!=v&btup7g_eWlO26Zfim@z7;+Yb=!2OWLX9-ua@Oy)ARz z^}$+K-Mlwj2(h)f=6U;kpH{ipRys?|)Xd-`^kZi(;aoJI=|w;V`*pkkpSujXmq+;d zDD2lO{!>2Bvi}WYTX^D=TeoLpx8%N-oA)a>5Rc1zvjT_rV5JPkzV+<4(QF6*1r4je zi+}kbqZGH(z2>AQfO^IlX6|kk_WBf%6sy4c!&O4dy zWH!R$U0Sl2wBpc%#qw4`;x72nega?xdXyS+r(U4e8r?G?urd*QM)kZ}^|c2W&FW*} z*DtVXoi971uo%fS6~CWKqMJpb+0r?>YBZ0a;aV`s)(>NhrL7H`p~aXDniUqJ526?D z7Y<(hGq+r@Q&nwXU68ws4m?SHtZULF=5Tn30bX^r?Ul5hWgcw<1ACy$D^K-kVT0QO z@k7uEp}aD6OCn;^=WnN97kjs>n!2)c}x`|vt@*=w_^LYy{2N9p#uA2kv^`^N5rU) zE`nG~#L=;k>Ol6o_=xeE9(M}!#e#pU8WyS&!4%u6{5Oc_99c(VbZ=| z)->cl8uPW7y?TB-^S5bq>MT8>W;2Vi#9=1#pSGS$K@9M*^cWZXFmxl{vU}h8;3@O* zFwj$Pup#w6E0NV!b}nhlzspGQ*?U!=3h>hEdu)G|k&TbTWhWKC2mS-_Aeo$Up4#-#+WC8Xyh($@Dq(S6>eNOsyv(Z_9a?EahFQ zG^Y9!Ois+;?PqJ&ty(mgwr4!%PDd>tP@z(`BZc$8;eY+AFD_;sFXK7oJNg@m#uOM@P}s?2+j)IR|kp zMuBghA`Gid>4mezI$_E{4e3$kZ^!G1n7d#a(rMFlaqR7yYav;0X}} zn1|pNNv%VsU8Mr`W}iN|_r?=GTmx0UULw@GN72+Np2hxd)x1MEKQuO2$IWO)yPqp} zQvF%cP%LwcE;;277ZrCwGEA~<;1pX)-byf_*)bLNfFFf2#_`tJ08(bG)Rx904;c@B zFFHLFk4cvFKd`L!@+*q~*>!8}HQiFt#3pCZS?RiMg(vJndrypCYU$eI`ayw~S}W43wY|2MtTo7|{lcu;o{`wt^Hd6um?1K5uO0Bmr%pT{smmj;SE8rDi4Z#9~K@(x%nnhC@9 zXNoTPDasQ2Tc_yiy++0-w>L9IPa;I1x3Mz~xXb)*U`_ycNAY&1W$7=H7h{TkG08W2 zG#*iYa&s^$KjmyzSuiTn*pLcXAEQkJG;Y$FUPg8?t1N(n0B|Se_&UH-nE}2tBgP3Y z-?%zp!X++?@Du{)LR1v{4|MZ&)^l>AO@J`tR{kS(O*{Evmk0|#7$jk!MB6tJl~-!o zn;F-imxd3Hr9;J@zk_4!8%c%T-JFh0op(fF)>gnYkR*+oA1zIo&ws)yRR=xR9}fHQ zFY5#v<9u(zw?Kfd0O~EK>22|Gkby-rR7%ziyU$bwVoBqpozUo`xk;rf^1{qwPyv-G z4gMn9<|ybEBy~v%xr$3EEIOX;Kl0Fhn|zJ}EY`)3v7B{M=LXmyioUe2Ct8}7>Pq8t z*531&wy4?&JxlSQ-&T2O&I`X^7gbptqIOio*(P3$9xdql(;7)mz6ui04Z{eC z#?Q<1X3l@t2=a}usSMr{Td{b}H@7vm(NeOTj?joZ+!Uu<#^Ev!t(P?@{TiV7EW{K` zE~;&8obIoo)Ze$o(W5v=qTb=F8L%7!xkzgitGgauD_mqLb=fHk3n& zT&hTEtvhc6VkwfM-ESIUS<%;NtRKtYgKZFY8;8V+A>Iu4zFk;wZO=A1)kQN8jWXUO zXn|fCf@rv`>Q58rU86Yn$G+3p9S%V zyWP8aQ2Q|EsOGt;y-FXJ3oqZ4NwBwZUK6l@X|nmN5C$*`uk`9oEsA~M!UX~9m+^S_ z4Cz+%K9^Qt@`R8kw1ffT;Oc51qx4sxCK%Q07ukpt*b2PNNgsN`_IC&zID9!L5mj$w zJZVowoPU4y85BI$yOl?v=L$GgLkNaz*i`l>L&UF<4p>0XNT79QIOdi8l-V^QjCyK9Z^uwFh1y(DvXDwKlEGY6tz0nQZcFC2L8N{skVF3yo=Zy^o_jUqxQ?Q|f&8l=>2eu8WsNed?KU z>2AiDBce{T_B1W6h<=|E&z`H8_-(6Wh^Sol?SXA>FaI{C-A&=3Zwdn>GSZfESRzL< zrLrEkPX-_8Dacv^pVILmrm|VWE-HBO(^&72K2TArVAYR1txZ7yXvaWM%;JZ%k5F+t zr6eP*&QlDUkH{7g()LKsCirwFm{i~{__X!A;PeOZj2v9yappI?x``7Q6;%JmN`S12 z3m7_5`9~`O&M@VdwnbV~-2&x&vbPuib5ZU)ljvsRR^aO=RDWPFiGhwuo9b!n`f$s( z{qs5J%^!-h`AcM+@o#;MB4JRy+L0YK5=Uiy+~RklU2lmFg_-x()#OSOxOmp2hCjoL zrLcX<&!ZlwzO=V?jqw&sPu4Ud*PdLp1)*~y3!`6n^wT4Y6L>=BR{g^d=nZ`V5n2I% zBH2$E-p+YFg7N5bc`GLKx78_8G71Iw_BQ}>n3#~d7RVjhp$N)4KBVAM;{tIO6~DWb zClWe#dQXrPN2bary!1}_;N}3&IwfUs5p{yH29g9sYg#AO+6K3%%$YQ)27d_*Ea-kG z43^-tRLs}?8NP?(9PpM(&jz~bYM({f@nxb}&Bs@4{75zbx5od9kfXNd-!+r;rXAW$&kxQ@Ni1Q%-)N z>GOfIf?A9?lgnK@5Hh>jzflOH@NuS$wMXK zZ>dtH%5EAL#raUKd!bbek%Jo(*a)|<55u?LMNchtXnRB~x}6<_+|tBcX9a4Vhzu%! zjfshnu01*nUg@Sbt`S(L#Zm%)gvCHI<`&ayuXlp-tkz{BXPVo8I+PbZNEC72HF6qe z#2;I^d6@OIJ%wo{%b&-JN(m3uV_1Nt6~ZDwfM+ZQ;2UgjBfc-=4VdL!M9$VJDaa$4 z@vL}~ZjNxr5RF0-o{o9LMGb}!98V%?*CF!d34e0MOQGk8tH z)8Ud|9i-RKXTLB|C7p0L3?o+s!m7d)}#(P0$e{45$!F6h}YNhtnnL1NCJce6;t}iSDxG>;g1{Uf4puWAzk65 zuLfqlK|tu|gg%ww?FuEjH`Eb5Pwx-JnDnp}PDV#6I|{BY_dM5tnLuYl@c0T*E$gP4J%0;*ci86&i@m2$`lq#^S2?>UBfbF%{&|puy}fAk>wBy4P4|GQS?f67Sy zUuZ?RxmY;=TPwoN#m&q1e@I1g;Y>7^9S=@JmquG^HLKKYTcRh;B@lz7qu1!lYuZ7%of_(MotO$o{7-q@q!QP* zA8l)gxl`VKKC^~fJ;xiK+wuj_kYM%ulHU+h4>4Qhxm&itgI`DjuN5p_s;xmSTDcIx z&fI|++@7Wm1B&?^e$npOCUxPZOL4jBeats-FsRbIv%5fz{Bqh zWzDshy2o=TME$ogVD_H1r$22r(pnza1G7C%?jw6q^r)hbkXER%k z(2(#m*faKy2Aj8*FL&Nxb)nx53|Cg?Hqo8>z1#B`tNpCB<&U=ol-<8o_rQ|e+MD$L zN$v5=-mUsaYf$-tvqGCR-W9Pwvh{M9T?7x+A;ocz;2oLjlShgKf4H$A3=%D*4b>6x z&03lxZIrL~%t##FKPQGhwuVAHiQ zbEj$h^H|}WP)Vj-9OBq^QOv1vwLRdU+4+B%0;ZTCd;5>i=-ZVe z5jp(aK21)uscH5j{CuG26;AN}z!Bfgmzf0=VSW*6-M`gIJUgID#XZ#}Lf zV}BTz-F}O?S0=oG+0@OeERk2@eJLJ!aNk-BgFl+h=C^vR&dOx3EYsH1QlQU2&18EC zl|`SDlc&M}&iM4Rn(MjyO}`j&h&MYM{YF`DlLi714!w8_wYtjn4C$X&uw%x4pS#W!J4fb zdLO}@0jzS5-!*v~?H~Qi7?P6eBMfji^+?}Bp*!ZaTS{B%8VexC&bYHndCOWd8k>G? zn~zrGy7qEdS@k7w>F(gN)-+ZdLxzNHE>m~V;b;5Z9f35pc#@J%I}l568hksgg#?M^ z9n4(A;SWEnH~p{?S4?%qEoGXTI&Kd5@)sj>UyCPud++=TGJD;u!kGPrf_@sf#4u0k zs-8@_?U``GOF57{H#2pqU%o*&-OdaEfvp^LSh-W5Q1uM@dy(1oLK!eQ_%kS=^pxQv zm)$^^G}D1LZ-2s63!S6u5NhtGEo!d%tj&QeSmvr#f@<88tsR9Q1(kLxpViiJkM>4X zR#pX1FT~iN1AtZP4*WFE7B;TddtQ3FamN;SA!R5_fw)OM`nNlm20ycJOoeeBrvACi zpw$z2&ouRK9ODeo_V8z3*d^J_zFEk4St&-n8*1^Mq+FoPK z#{|PvARp|AddIDCFgz`c4ewlxe}oj_J{`Ms%p@M%Ef&3<=DIUJFvux&F@G?28>01* zUxnG?FYv8o)EHc`jk_0$S6a=9g&2fkTfIDt3QCC>m`nGBsCn%imXu(`$k&nM!5EETZyS{H13R zJo$4huWa16U<1boM~9~>L^BCK-=H~)2Z6hRMrukZgBrxEpYx!!A)e>$Zf8FY66ocG z&*i+s2HpIm?<#&QM_Wo)nShbiA!LYLa6;Cy;0rcAJUr#Ja1@62TQG8L)t@dAV!)u!Pt|n zahTsZ;iDs{xzTsx0N3R@GQ*BzUzdBs0gq0i6ya!JutEd8xW0w1E`21YV!l*X@*T71 z3|5b{(?VhAe3qd7Q4}&LHzfY#SG*iArQPt#ZxJH;sz1ahzXgfd8_o-jnfReOv?Qxy zgI;1Yy&i21*&8<9N)dnNU9N8|jvs+<@hxj#WzA^-*SUB|xH5Oy_h6+gAnjB~-s&$R zbF)~ua74BO*s*-G&-@-T&r99yAAI@O{ZuX(hUynG^tiiJ9sG9!fPjsS3tHhOjxvL z_>K|~pnTPL^F|0J>81Df=26YcAJZdVZ+Yb7S{)D_%9VTrz*Je*ckJZfIagMnklSUv ze5>#8KvKryvKC4rs`A4E#YzdhSM=dB&Lo*wed8yep2YDPue72^7VVR-T?^{BpLvii zqTrvJFha633pRssuq(g9x4q6y$;`FDv2Ag3HO?P!IY&BR4zURR3E8Lm`qQSH1F`L0 z!kW&AIpuZ-pn=Mv#>7$d`0G-7NoG|IIz^-~rG!O&@;aTOR3LUlU!|=`E@<jZHEKoLq6#PU>Vr$vD~pq&cgLKqIVfq#IdsqO1&VcLHsLv@>Ow9JLBz8dV{q z*RY)GgKiUbfb> zhL%|J$rbTYBDTN7eJ|*H=rF@|A8?tGb?zn-PurNIAWA7>Tc<0^xZ(=fk>}(xhs^d$FT^~t=q9NlCAr(W3nxhZl!1PT~3=@ zx>O_5ZUwKXYqDLaLI1(KP+=5*Wv}>ahF#pOVWB~z!G+MWzpZ^mX?>IevR=X0Jf_II ztb;|N(y(1{$O{?Bs6-U|OoK{;-$DsP!3RG=-(a86TG1~_pW!|}+aW@M^}mU=Bipl` zuy>UPwFU`4+kJ;GLbp}=)?wSw{1w~7uIUGVFMgzlGKOZua-%trya$K(<~b3%_oZFC z4swQ8!D*}Z(Zgw@Fj2q9I^piB4N`L4k1T$W4TgmZ4JyN7t3=$v9i#e-@uWK;?b`Jf z!8&7^vYVpsDhx^u;)X83;lrN6ox$3|*~0dO5{Ypa{9{iGlK<=i34uSUtEsBVswr~h zev&t%X`!T{>%smGr5a=tBQFT5PpJ=e`o3#EC>uHw3J92nlpx%d7$gf$SCQ9|(Gkf| z&XCTK&k)a0%#bW6ou-&3(LgUnuY^qv{XWPc#%oG9Af6$Xp}-Tw62+3JB1Q8colYhP ziw-*ydJ{?}Mp_V-my8|o&8N1D1r)&8!Ej!FwzCLC@5 z*E~Q2mn=J6Quod94T1J6*#WoR1?xrlxaxx z0U0wqSOD88tX^<9393Y}2pAHn2o4njYA-1P6#?0M+%@Mey}$mhd@tE9Je$ALGyU#= z!-y0CO^C`@;+cI{d=Mt|>BRr)g*-P>7!~Q6+&Kj93T^8~I*nwDs{0U_6YjM@tQ+JN za?Q63{2Z4Xw*4HZ8?Fk~hGky(!;ETFJYe)|;1NBK`aKBxP4L0zKad*y526;YXz^Y> zL$~J-@+kyFpL{lmb>mmW35kmE1?8IjL!s9dy)v#*c0~vO11yevBs@T1cyE4qFVKnE zlz;OtQE>hr<+caZR@BSVf-ABO*%i6=5T`C-h*`s3?$5}I$baB^CATE^zW`>0w2u(8 zV&BjQ3_}e6{)9!|OJ6jH=j@2e+K^Fn_|<8wGIdtrM%dE*4{oPUh`Tlc!ve#aiyv}> zn4weFa>7Mv>8exmaOuzFN90VUY1pVt6!$MfXDGrC5g>A=>xleVo^4|P?|T9P&kDoJ z@4M8bGbNp_<%g?_2Mn`Z2cr5Gd(_LP!);gi^c_8v9I!rjOE+~TR&|SyOAFB7W0dYLI9knn&sMs zMnM4H(^(Z}_EsvRXaL;lNI74Ysq<%1&(^%%4nq#KkQtO%72jq>Lg$1O-v5z7#vp^Bx(K>ZE}<&VE!_7W-}hC`ZS zLcId36+8kI#YGW=wG56jp}>I!^o51#9rMDyVE?Q01F8y(eXyTK;LLEVZj1O4J^;(> z3VNeozlR@MET*dvi}|6rW1lK5!l*d*tGq+Fi>xT*ZS5di==%%uS`nHunj#jYv&5+= z`De&oqm-ghp)38OltvkZ+sE;cNuqcajA|30hl54 z2<-^P65SHj29_O;9d;@7B$Q5!vLHA?L8fqGDpW!Yf;10B+6mWAPC_TV4;>{tF&=pfw#^8mo|bB^@wPIQ7U_)Q$0FD6EB z3e{o3TM+g~;U^gbIR_dZtUR1NY#E9YRgQ#?LOJy!suBeQnHOA3sDT(#2VZuAHPs77%1PT@*1N)9BWsCWV_ndmQSGy!h%Z{^yFD#Ezk39Gv>z{} zLq5~CE_!?bB|-fT$~uk{!SHB@dtB2|c}PVil|6u#r{7Bu=V;$dq&FF%-;*lL%6JeUe94e}AO_{!`YZlMw&q}N zE!WU@Pq}9GFzRRbDDqBq$MGU=mGG8%rP~RP__)mcxRTY!_BnGs?WX?mCdu1kbhWH^ zHJJ0V1*L!Nb9^*?Gb8$To`ZK)UBkJR$-A_Lt6$Y~R~dG~tGOjt!GpR@k`Nfcht5S! zpztRHe~*8EWahbT4|!BR*BNGiSP^LetBdw=US}d4JCTVsiZy+71EYyi%61=@wwT?{ zHDd+0lUHLJ{vlKd`&FwPTC6QJx>equd$o17xTCeCRoMSTDckH-daPG0+mS|_)`4}R7PHfEfT7{dKwF`yE_M{ofSBm;9aVtz z^1f180X+FHtLX^!XrL+r4pai9E{aG!ImNe8%Py2e9ZEr(`o5IKf7~~8OD5;H*ZyF< zeA6vipD`ABj@cLs-+7eQtVy%gqRivC)2vvCeUN z>%SbfuI|R((i<3Y{EY#5rC7*S*3;3`Q`yp!%19;Mt*E37`^yQ|OY-rtJ}b|mpDJ?< zb-6IRAf5a4(r_)t%mgZ{t0OZt?V4%~e;+1wzxE&kWp}Lm<*OCU!MwGqOWIMQ71t@> zLz>xe@axq$fV}@2v*=T>UpK=aTz}Wd3ydhJY{&b#$|M;28BPG7fWKeavx_z?HK~!2WgXZgzZ@61AHsb9Kl=J-AXtoD-E@ zu408DsbEK|7rj#@i{KXm#KrW2(Bl=cwkwQD<8ZOGXikh?$5DOqi7 zlKV$z7N{{RdsnNS@KWOQyF-xiko`42!*duOkMu*{M%w;!+*Wvp{Cup}aR7V(%ovu8ARO#S=f3s`wKHujQ4%P5 zjCE;+1+>?(MD4Vv;PNa0UJZIRqD>i>N$fk=tpkY=UawY+e59g~UVN}yO?yo^-!O~? zDkOsA?*7PZmwD&rKl!+sFOn0LVD_?1@&^zc4hawVY_J~)RtoM3F7JW0ni^S}5nQZ$ z)-!wOKUh4@`TZ-3)%iqGjsVUr#1h}g_(EO*54N>WVRo6X;d}?`V0P67ls~`co4v?4 z6AaI{)tE6Fo4(?GLPxL!Z}sCvwoFL;MmJP<9aOze_08Q8`3yh6t zkYqbJ@PTdj1%RkfXn zXC}+Ks>nxZ#BmdWtRtqFv~0(1yNA@r|3kQ{e&vYa_p_x88r!6ro!0rt=jMesSQgtm z8eE8kj33TuRNo*qh1Le7R3z5z`?BR&V8V8tA-Fnp8N&BT4|91LIgdL^irwxON#4Q* z+)0n3QoB!0W&^HwDKP*bSjA(aSKbmSAX8&=q29&95MUN<2=N+F|s=$!I6xku^saPQ!6FdR!@v2<1$0)Lg!nmY=@^_DQsg8Y5|p+e$jC z#LLswx2i{T{d@E_ZK)~Fbp8DNPpsAX`R?~Q@Ly#|8Q?hDJn=9jY+`R2tBJ=h$0M1; zyT_cA>IdgM*&Odlky>s1Ak#@_$En8yitz42?}elc-86ul!i-|7Wi zaiqf}m;ra^kxTh`7RpSD_-o{8mMOgUx+fcFLE`go?aN{t)`$H46k82~+j+Qp!=J&c zf~+YYel`Y{e*=H@S?vv%&w~%R)NK%yFnFQ>BL~zFBnSMb(|UQl%yTO$c$4n_LN#7X z)SHouMn^}-V#G_x0fZ%(re+wDYUb^1yXirk>=Xt4hfJ}^1LI4r25Wj){QgR+3gPst zmz=oa#BlD)bVdtCJe1@=a<+<7IjDTbyR1tt>vt8&ZT%d$E{h9CT*3B{GI*ub{nVCm+dl!iOjKu z#v`J$qwmVBq(V!yF-DHCv8E<0vt^4|SEm)(v8M&E@=9BfbG7{jCBXAFnv|?sv%~)p z`*+yQvCO>gra_F}Vt8+$E33U(aQ>2Xr@rRo+1Jfh@P+|&hz2h8LR!#2Qzi7;2cjmH zbq*4S`wW3h_RUsUZz)J)vP<;V>3>4s8}V-G+ZUI#Cu}tTO436SSK3}I>}Ts- z6h9|)%mfNiG745=VlFZEAc%T65MAS(+;ri9rQ*{|s7zT|vCysJWQtr_ zVFC6L!fe%ZnT&*8nHh6u0|p_c?gGU?JE!er0{B+&fn&HRPQfIAULzw}0ediG;BO-3 zwE{uYl1Wt`gfHx-h3M`&)Gd@2s-7)#xE7s4GjuV;#M);b&*F*9>~w<6Q#quj(TKAf zpPStk3X0zSAvE#`;>B!4JT6bx!Du#AejHAKct$Ky0P}SPtk;T!2p`2|u#7X9c_a#R z$85DbujN0d+N3&RbYE;5W-i*lv(9FH0$W%LteNeIKNQ65WcHq$n+qjkmXkDc@`OZN zbq?T8PDW(0cDV;Gm<`S0+Wy|BIj0S|F32a@w;m+j$EuYf8XKLzdvRSCTsM~H&MI2E zc4f|99KB6HBIFq2?<}7CNcEMk7)V)S^Lcn6XBN&M7RS<!~O z&m~;J-%WJU(R7xaPdN>8#M+)NZX46JoUY3$jyz4L)4}TNG3#d7%(6OYttP4mWDRzW z4vn z-I;?M$uOvj?_;#FYB<#(AT9841>kouX93E%33*C>JjTR4G@M{E#yrA4Dy!ePhJ06H zXs==s?o%=7uN7~YCdp%;_xO9+T^JmULE2YNW9*friIhKGYuvD`-I(LXX>?o9@vdfS z`kAeRQadnB#wGl$ufZeFY2823c;a`V(92sq}y2^Rh09WNay32lG@yt z$nDn~rQ*G3ajK2lLV)ZVE1$Ldm()ZR73YU1^=9-{F5hA?6=#L4;=k?fRR6mFS|?}y zcliIlEDh+okI!1X@5_D;u%ysefIfV(jq-3veEzDMnUrT>4i>#5gA7pDj~^{N;6$nM z7MrkT{R&S4nSRPs)JkEK67 zGN2I zP!s!PKm1nDnq^Wl??IKOH#ceHU`!T_5MeQ`I&@&LXWT zOWYRM`teV_)~Jf#K!|TEn6~_*He##*|9`Oema&yIOPa75yUonhW@ct)W@cu_w%xYd z%nZlO%*@Q}HZwD`{rSCj=FXkf&bNE_$4Vo zM}rF3iSMICizR;o-83S1%XdDGl}(qUk`~@7nN8Uq=VLLexm<4=rt1*zXeNA6H(9L7 z>M6OBCoEYSzby55rN|ZSVy_oJbwrCY8Xy3 zGp)qCe!=_K{Th77s1oPOX3zRk<(YA#ZbAimqm|GXFNQcd zKys0Jt7g&ld>Xi_n{s^PnAVDN z+n*^)?r4+w(6dkR$(8eC^qH4oaJ+SR(r4eO0=1PwdXKa7?E54Sjhwg{Yor z4Qn)_7ezC;p4r(cBU{3z{3rA|05q9C%!@S-=4_jXncnAnLHc}X3!c94oIZq#Io)G z@sPo3fcztAPICv2$W7HI+9{g${MwueAdVc;p*Zgt%yUJ}gv1?9R26yF{b-+lo*&<| zu^C+!+^tS3dph=e>M@D`W(;1$U~eQrN{3x4pJ)*!Spoyfxv3 zueHaL6?x6G!3?Ck3WPh-an`IRHk)0uxKY>vw%ovds4C=laMp(Et2h%c30j39Khf;= zPQP6wh_c@#;d^Dh!x}Q|bU%ANn`~oBPcio@dd&gNHBpw3Jj*u4FsHvKo3n|2rKbZJWZlFS5O(n zO8{60O&(DL)=&c$4M71#Rm1uZT6sB4fX(^Kg)|7*()#9SX5FFRC5{;h)8odaZ^!rq z?yuj+8a0Geby=)>eM0$1Y0|%6v;stfR2*=_Z+g|#O7nHKEDw+pzw6ASCFdy+o$cq4 zRldH?Hd(3E^_Jz&movZnw8|k<6yu3}CcB=MKu8>gDHULLLO^ogA?GX?B`*U7lqqnb ze8xnpqyj=Dri)b zV*`1f0fR~L*hXto!c++L0MUX}I8fq9f9-TRH)kFKHzcT!tS1F_hv*I(FEA*~W;O@H zh7B^#Z59%+gKD0gw;%rIH;oLuGVFtp2Cj04p`Y}%#X)UJ7`4cH8vb7L4}gkOvL>WX ze%cV^t>;96vRg*%n(&SI4s^y#ln&r;DO*w;g)j~!>({LSwIb+A+|7d1T_gXZtZa(f z^i4UKpT06KDA@6*JZXuEN)O>nsB$y`tKbtXuo*yESsAj2oXzLu7IMkHnM93H5%oWS}|fi~vwGJUE{~N2^gTfKoRF>(9$6Ee!5u zpyG&_ir-6K8my2OrPWg&4XY)UaYAw959GvMsZxbvvRQd@N=k;ZB_R-nOBILB-Aqa> z1FVeVBf`doy@H{#9KYX)s7YDZPz?p2rRc(^%F1L=dgZ#Kej%9srX0flBU$prKdIt} ze>lJl>k-9E8sh}<6Ojri=O7ru>>#5!{vJubH5u{78zE1@r`j#zH&E5`&2lfaw}^784GMXz2KB7K}WF_&~BbG}|qgMBp1Z+4UY2u4@24 z95l;yzl3oNfIyXe%kfuC8qv>KpxhYkIFj8P!e8qsQ4#PzLOr}O^ToG>a-3OFh_-G9 z96#8_Cl7_Z6{rD2ySD^6rRY1?``W4eVZTFWx2{of{N-hD4xzwn7=Z-?K|@J^2($YT z$AHmN3E;wZe}nZhlZ#}F|J;+Pfg!|?lK|53XUC%l=STHMOrbac1|RPCPR#(DL6ye= zufPvamXzgXasUh#86OR z(BI1S2#4FG_QpRMIwR&!<#luby+E#)U^HXn)Nf1hCLq@G3sw2U~Nsxqr1qo6n~_3G+biTt z{J3U}VkVpjLWcGJ4h$aCOF}D#M}`Pm09wpzctcX6Ob#>|7!jLoE`cW3g-n>mPYW(v&RD8U3Y4b})C;KwNB={Q6p0)KNXHtBQYBgn#07XWcG%N) z2LYzPYZT7gKe=B80Wnz`!YOn(0}rHIlmZ&WHUPqm;%71cI4UXd=jE<05yZw0fxU82 zFa&$|mE2k>5%d#Rb%!<~vb~DzvJlYy0G{@){C9RJAXEf&an4x6nZEe^-$6)lRb5{j zoK%1>L3V&{3E3oID2Bnfd5K6t;=9o$U#(E~NVmEu?fVHpm{pO$YCyohuwVZC+-HYI zVt^X6R6)*BkHWd4M0_+2$0KGh_VK49Er3D-SLBuJCX_I~rWGFp=buzQL>1H5ou`>* z=b!fGLG-2ZXDK5TMEEWBJIze$r}S@2er{+1N1=svJ{6+Uvt;VXh+f3q;Te7qM^MzO z9_p<>{7l?LC}g0a@X&||5!d{t!bALO-MRXlB%$EYauMV~fuyH+e6Ow)2*K=*Ex)L? z2tI&!zU9-@1mumDfDlecfCkh6>Jg+eE9f|KajvH$ifjASwx_kjovoU&70zv;9(no% zfv=QMZNQSHRVskMnSUcdhl=x}_YuZS?i8Itl|m68E=FqQ#~q}UQ-J@%Ax%RVyF_on`7U4r<O`d^?CIO!f&i|l{X1YEpCn~rX zgpiULD^1u841sVZ!Jag7AfPt|frpvwHANMt}7Zr)(QgV-es3 zO*0-v@RMc>!WJ<6$v$J`#}^I<`~DkM`a86MgaR?pqjUn_XZ4jiDGzzdckqxPuxb7x z{O|Un_pmu7;=nm?LdAFTL@4~<3m|5uH4K1Yk-?Rm&{3s@+JJZ{pAM%ALl_Vk-uf`C zNzIY`6Qo@)=gB$4MSm4bbHXZ!6EJ?$Zu7 zT}%js4x3sIEzS7S$#UgmwbeC!{#fDfXJ^Ha|Ni{-*V^ad!_QIA%_gW$J4EkIqNh%g zD-+hwPMK-H^lUM%%_f*mJ5=vY$|pbDGNAs#6E_6f2FRH=pN3WRER#i zpMWT!3T`1G>YqKeU8ozwP(f2~s!#r)3QszlFns0+Fq=0OSAmekSL|<1z1Y~G?)C!& zT`fPwwqsks^pUs|p;NBW5ot^Wz)nhJ474`c`v;xE%K9 zJp_V5HXqhCx(-i7rLW8ZkA3H4dz=T?Ee^X2G=`21Q{6n8eZHlYLH)JO!f;M_-^tDl zm$XYm5}InVyta0ES;zv*6H;IntEk-2^fY}QA`a$?djx9^c4l!%-${vlHaipsj}5=@ z6i}JjJVcb4P1i&#^yFj%M28|zMBWe?5S;3uJT~?uewiQ2jt(W5dZSrU|j2@wP0ja)o*s62vR z+;88}?xG0zi&(q#Pr1-xo<{H-CR=e7q@$|UMr{epc@8=j)Gt+ORjbzJS5 zSx3a9(SCy(V^DRu^smG`XpGh`VO&FwA?0;_k|eb>DtzSb*sUQ7OH~ju416~5MlQP#s*i`_@anq5g-gv zkr>_(xC70W7?tgnC`+&N^&b&_WsLK9gL2}>mjv~HZxM2C;~v?fEy0L<;bNV z@>~>>Y4k_fWc>h3&`jB&N~B#^@w>ujcR*e|R-Ts3p**&MF9{*JpYZ)lvQ?HfAuj<} z0T?&FYsJFbZd6<`_DtsKMG9*&?zVi}A=(taLtoyGxrk=9Y_Udt*;34MdWaoXYmZmc z-C9(|RIx59*%UgeEd@b44o_20X+6o{!}UD7&_LBSdveeiTxx}ky{T%K&n3^ylY+(h z!f+v4UI~+M{2cLn5l=gpA0^k5IMbA!+9~fyj8Wx)P%j$i6iS zR5B<89keGjaxGF-n21!lqF}lbAOu$^HaZ9?U;%Jw;qd-sy*)fO7|A@kG6X+<>|237 z)%tap|BOWR72E!9@Q#kXj^xXJ|erem>KH>V6V6l6pRepQtQQ~U#OojekTqU zU6`NI%jUAkJ7Df;^!LM@jy zd|_;#@u!-P?Rl?oJ)L#tY0HI&*YMy4Z5}=2P@Y$Y=5hB-t1K7#{RxUyniL;0^+WV9 zT%+1n?txUgQqG9)S$D>H(%G@!R9Z2E^3yj)adN}YBb8|)ofqs`TxSL}jPU)U_`Y6( zotB3=n!Da9q2J&K1GVdEpbC97EY|d4W=Sxj3!8iCQyJ4vq1y&pZ#gDyjf~ba*2ycg zzA`2lkxz2VxjEv52s*fh?>U%p9v4r zs1wSJ<_BE(44$P6(}}Wshs>X(k&-3OP4Bn+r^FL8yAUzg+7obrUsOfdoEN_2znAHq zs*8kJ{7u&yDQu2wnlstTvbKn<;XGFJ6-B%@xcj6hXw*$-ikosQ;zfY8bvf_OX0lV% z^462kE3$SJ#;S`kJbb6CXhsG0FV?+87pofAvl`kLHgH{Q4^mMta6*H_?8rFTKgSDcBuG}Dcj0Fp9S<3uC>*{g2PG; zY9k8((Da_Qz1Zy{HpuMa)4bzvPR<5tO(rIxwEXGv=%F=4u%w=NQ{Me|j%eRtu(;GjBN=_k%Ah%l z(zV+7x|~67aKuJm1V#iUwnf|Ua6aw~4%-|nVFiN?uxh#aVECn3U#$#;sGSg|qF#8B z>&vLu^xPod7QK0}`a&Nb$UPUGsxgZPTqwsoa#4M*!G?31&be`~q-gtTKi(BNR6Pvy z)IW;ozHK`$l&#%LQD>P=4hFw7a!Yl7DvpcmRZ*B$NC5@`g~=^zSPg4lqDmoJ-I`-Y zR^Q_jd3B1kGNi7WReJ2&Y5SzscAOIdu&rM^`h=IG0v6P12gZ zI}yRZ_Q}(1MegBm8N74eduF0GZ=0)Z)Sr)~ONWAy$ZfR-umdOOZ3)ejhy)0e%I6b~ z;6D6Z*H>d4SVzT!jpGW6VAV0mf9~=M{*Zg_C>B;<_GEI;R1zgR4&fTQ+$f_;u}1EE z?X_VFKJPB-N_t@u&|cjQfJu3zWxlYMh3`Luz2NZ5OL$#UoBhxQs*MEmpa ze8+l%Y8DtldK@T7a}=)>w_1im{!e-2QReAQn~~wGFK6FAe{A z#cw}Pal6`R#D#h6i)iIw6*`OiKFFjto{Dv3=V<-;(UEg~FX9Venk#4WV+`8;xWZ=a zYyT*UU6lEV7Ue7T#9R|^UlSbpR^tTIn8|VPV7&CO2@5mjB@tz|OjQrbC)3E=qM>0l z^-}Vn6Qj3qh z`H}mPpEBJ(RT5@1v8{>OsW9(hl(~zgW<&3VJY`^Q4J6Q#np67 z(Q(d_L^r~aqDEKjNA9bg8fRCSOfR~$y2m))jCH&WiO2Y;*>Lr3>4?evIa{J#K zT(@FY{6QN)``0Ef+-e2T^4Dp00EvNu>ruR)CSfkIoAyQhVoH5}yyfQ>QAPMV@>c9S zjbb~ggftNASw%4QkBiYA0W5^CI-?AdyELf)t}#{hYvlJKF=(bVEw;LcV`M9;%H-&c z_)0Yg8>72tje}oe4kLc@DXv1=D{d#jdTaRh=AL5~ajLVEztF$uqU+8{26ukrUO5<9 z{c+GzWJ`Ad=iJF`@Sg6~b&8>rnxrb}X3fT_V4dMewA6O`-Z7&DsSzZ~X)Tf5@co)o z7%(%teB9-_ec$qWU<%)Lq10mdg3no}ILXfjw9ruNZA zKEP$=-3-a%I5FfouH(U`*WNV4MJ=3h!QJ(yN?k^!`HpzT!QbBXkn3qxTx`x7X;=PP zi#xr1XLxbGQScENU)BZ}9_vcFUjTALakU5Ljs*e;v zza+x;K#TkEDi@KA{fGxkjdsA+q@&ZC+vMsRu=0FFwO>``?(3p+BXkLdjI&|?i#IsP z_UXl_`2F-mFRb<;Ozhw+h`8#S&rnc*%|qKY=`CF*3Y%L+Wi6&kzAsEDoXlkIvy9G% ze=VRGyl172JQccaGGBh0kxeLXoMGEOB}d`lt+QhM9n=>*L**Ae{C3^VR=GC2l}%Ng zxTgK0;Gd?DFVuwjdp?@Fm`zcjIA~!U4JEcee8( zZEdRbL?`}Uzjx9k{M2zd8bdHvMbp0nFiSpYs+k*?NY+HTCT7F7#_9PLV2FaAA z!B%dl$k1uTt7U;arlGBgjhYy8h@*UMSN8i~4x)YcAZq(AiP&!c+d^ z#=7pyke%C2NXO^=v#G~vJ)=yu0i~{O-DMKp(3g`Rzsyhcb3S$53-7GWch33Pcm+jn z6vyipJdy5v^G)_H0POiS*9oaVu2v{)1sd%9T%8OOzo5#&JVW6AV_MBkm99D_Wil`E z2Vcro|E4BaCT6;h)d+5U^1A2lK>DGO6zlTla|3hL@v$@Qy>7&0w3K(fQoY|?rF1PL zN6$9SS*MFB`-d>q{TWb5QP-^w=gkLoYGVz!Qv=DB`(1duVuwUeAPi$g8hw;YV!D)% z1qJci6tms3VnP=0jm{NBS>|P+HKX49-GT8=j)aFBfTSSJjr)OIoGJb8`NTKv~Va&5SedP}5S}456!b%#+PUZba1$`W%Dce(6vzxvaa1>f_V1qeS4Y z0-Dzybrdxsw~~-Pj2bSDqctCUjXGwlI&?#B$_n2yEu+tPt4<9JoJP%5E@CZrwvT(b zn!dr6-sUH^lF+jGIT&ubhXZ7Yy@#pjlH(iX+A1|BB{Hq@U=!&aiLDZ&BRDg3-0WH0 zMq0@jn(V0I+zq-ns#$}MJoglv?MC+QN72bdH#r4bP#1r^?rSeedwG0w5cPnp*z#DK zz9v*I%U5oB1-P6NA7nif#}1lM@!-k9U7xsy*8e`XPhX!z?##R_R<~kPIVk(1>HA47 z;^8)!GJsdL*s)FhN2TRl*voq~F%QwjPWARdyo&7vUJoYuNsp6$(&6bn;38O~Y787- zDuEsH%H43)jM%0Y$qc6TD=S{hiC-ha=ZkE5u$*kj1hdX;w%rf{?C-}YgkjJbx~QR; zbqeFXlo#~qyQrTBjE<2vkgF5t8P!gm1Y1dD+u=Wucc=;{+L>PAR9f~w>xm0EKDfC% zXy{FR=Yl*`h8SJv@k1!oX9DPF;uHCbFce(-+4K_I3FNj|hXXQH69G+?xAmGD48rZM zy}2O@d@p^cc`I;rs$IGGBp=J{*EZrm9F*k6S7uiQUK=xC_n*gFaoSXC{n;I@yQi^4 zUa?m;7{;L=o4SzGo5pBGMYbndYQ~A&Y>2_i?|KhpQkev7r`?IU(ycUW48^y5ok`nV zp9b4H;b-#!AljRD8?!<1=9c6cuHjlH?sB$#D~7XR8q!0?R$apog?r7#`691jeY<0q z*gmrT$7ozG>n@{df^;k1QwGTE=ktaIvF^T*+zu`r7x(2}K`Ec0j_99;{{?so)4v0x zXc4f`)Bk7il)rNG{;$DPSQr@@{(+ssz(&u)@;`v5oTtD#D=)MRb#+X&AC6PS50Ma# zjKm{=fC+-YNV=;u^9umepttF#NXNC1q#hfC$k5OfL#fEuyM(HYDV8lB3l*!B6_mOA zET~*5RH;KIXyH@H31H#9g&cP_YUD< zU8pmf^i}#cRG{O&GCZNa^m<4g@@22z(T|k*$rzvObUKdKUvB!os}j}3Yw2Jd!;-;V z-s#F25-!cm4i_fW>`XiiiBn$?%#ynOXnn2xN=C&E;FBhScYTC&@ln=jkMExa19a7q zVO@B?Ze>0?`f)bdU2emOjWdMog5xaR-xcuU6Jc&Yk%vBS9z}}v!moeoGlilOBAwUL zy*>9&oYuqeZuUKk>M^5koPU_c?QMi}T%5o^iX0w60th??*olU4L!bC9jqzm;?zu~E zkVni7m;Bo2`3++=r+o4sdMztT-3`VPTsoI8W7h@4Ub)cm6^HaPbj*KXE$b7~jO0v= zt6eFCNB3J)jq(X3x#XGE{>f5hVMCN5vrrX>nHEm#k%8Usk;aef$E%GK!i!~dZ0B-9 z=_*j&Mo}$U&LBZ~mDoV`+72J$C=y}Ot{t|h$+W(pM|C!d?9HICwTdXYF34JtN zoOWf7lLe-WqNDLItFj@Y)s+m>HU&=8`X(8>Ox6aI(LIR?^$ny`w)<7brU9t|OEEA$;i}{K7m&iJIumYPKiK}=UO z2#ai`OiW$ay03u{TOTFQO%Y}3(XS@}%BGZ#HEBSslCz~uLB+a2opd;Mi zp(l2c=NLFDjC|2UV?qPtT2Zx@AL_-vk7UWf{?Oc2Z!tW5qbvx;-N+RlG1vDrj%_H9`+2zLZTSCJ2Tw4P# za@&sUR&Z+UjPVbBRW_*DV58fGFOsT;jc(wcZCXSgMy&P_J({>_Hxu~K=*F=3Om2Xm zv))qMb$vC0_i55%)JClKMW6lGNbM5XMi4oubra-9mtxBB$?55m9&2gc!GAB!~^%-Z<^3aENddMUBBY1X`oQ zhZFD6UT3}0O;CvTr|&>NXILZiCAp1w8S_`7(G3$l*WX##GL&oVbGC@KldyR3U&J?! zB^qW%nBzSsp`jq}YcA6mIm+`=?K*}OS^;KO_LKKiH6_NL$<93LViz1@R%^_J94D1j&M5Rz2Kmk$+c*!bJ z9T1VN`ppI;9m1O>&JY@i2qcePw$a)KA`Oe0<+O=65crT*2d0F2RP%di}Dqu zA3?luy#K;0Bs)g*)S#MAiq_7vnKo6z*AZMDs53+pIo5%hLwjKr=~vbyT)zoI!yFS$6U2X zuOt+?s1d9TbRl3f#AND9_xXPN_@=s@M``ClF4CJ^bH5EGSG4qme7-?c!BkvKKcjj{ z;^Ru9>v=ze-ON(T=k?oM zDRiQzv&@RNYSDnsj)|mXd+P;HtHXUgcqBNgiOs&WmWGJHZh%D@oA1aa73Cc`NM|n* z0AS{p%1+%l+!YfZCf=pT9;8b??_C&%d7jFl9J*4ItFC3?&=Mx4BWty@H?Yh<%x^wJSr67^7PEI^K!uA*|q|#lPmAtAvxN zWy<$He&(j7BDFfK*AIC^&N34abqvRm(_JRfMR1Hor4n9C$smi(15sMudyF7LYf!-y zG91CEU}Gg=mA=x%U(JFcpRS$|=|QZuYPp`VwbUbc#WlY zZ@a-eIVMNAE3DDYrb~;jOYO(r-nD^FO|_diN|A`yVg2+|Ter}QG6YZo4>qr(#CHRE z-S|es2ic&gB-SET7gryRPj;>ZL-X+SqVih8aX6IFsZ^;jMQJ}(b76l0#t{;JFjzY23voAa*ieNXP1{3dg#2)FJhw06<1LsH4=R`2M-{h zoRU#j($F<%j8v>qU(n>n>>Q@*Q#N(ngx65kS1NPhDgw(N(A3P82oYOtf%`S9UPS@V8?U>XO zmrs_X*ZQo^+GSE^XGDwy*Koy zPp!(+Iz!dAsClm4gT&bI$-YB<0fPua5x$YJ$u_-3@;Y;hJb#YTWey$^{H_20xl?Z! zDaB}i3ki9jyRX@3Kn9Mx+$LIN|q_uZ=ZeSL5z_*t8) z#pAdXJU-HbhKA<0m!cA|iOgaMD*8uUw9N>##GG-(B0p|>(p-V8`}e(99kXfPRIvNgCUVkv>KIZm@Z^(%sLpwuY3~ z{4OXYqQ{^7aYCrfk{FeZXvCZy)rOVR2sCu#O1=*9;*uF@1OpIhFPRX%4NQzE^Dv-D zabepR!_l;%PO?( z?6l|yma#SeL5%3Qu!j;Gxk2~&$)|&l4fp2Ty>(c#M#?nhvCv!jH;xp^Z-o()#hQhq z$Dt3TvKuoagKHl-)~&4sYlN3_a@Il-huo=H6oSaZ+0Q6NV1BW2D0#LYxdqL(h(RTQ z#T39g4qYL{#0kJuhw!s@1jG~5_$A5Ex@pEvTo`8y z>p%g0a_;=l5Q)uRE4xdo86yw%E-NB0@?p}6G$mIE$rQV7qpX8yhFIoXC{uGcj$4f9 z#0MSo5Z-VjeQ~5#!xap#MFOyGqQ2L&wrQh|X<0(c35zz~US8TG0zM=MUs|S{=|YRO z3i{#H@0OG5mJib?u8H3ex2Fl*(jLF{cc|HTPAC!|mT_sW%VCRN)IO{a=Z-^`g?_u7uX zC@uET*;$?%FJ;^ph(bCjGMHp_V{v*f9@m{@U*{>UoYtoOo;mAvfsHhFQQPV_@6DFN zWuv~aZKvV zuVCWXWw$GqK(`XrydGdQ5eK;B+*S}vmpU9mWgPRQ)mxf)OM3Hugs$RTSvnMHCHth(DYXA8kvQT;oqlx z^_WFYf7{`k3!-RpgYRT~^X|*^Y3|!e7k@cE2H&1O0S~6!DZ)qL$6g#Ii_fP5K0=M& zemcH~j*{}sbbI@r>aC{hdzESJOK*PgH3VdE_{1AOp#nqdes7ab>RYcMlb@WguK?+0 zX0qO0h_$amY^onUA0NB6;Q?fLsN^s;`e#q(2pui!tzSAoFJ)xu_C{)B^dLE**xSm$ z*LTLgWks%oTv%DQR62+4UfP%3_`oeA;WIXMcVvw2-G{ZSUKz?|j}wwFL{oyt;dZ0# z`FVv^+SUbd^Gwr-ZL?YX-tW4XuvVv1X-kZLc=9P^D_AjOMAqb!V)r_C_#r>BWJQ+g z)M1u(ilMqWF}Dws-m3Ekcf-lMqxJsHDHH#ClelQ=Tya%LQ#A*OrF(n$C_VDmfSXh{rmNsFkr#M<0>>(}WQ7Pgz zT$N%`DLcIg*LPW6{C+V!x#%>|H;DIwfmYKnAi7Fhry*LV>3I@R(1xeC?)<*fdYYu2 zS;5nfTgc0@^rxGuqLl!`=70}h<}4Q=npA9J2AYF5*7 z*)HdkN^$aa=Y5;-%Cc3B-R3)|5Joe>xJIlfI_1j}r_m@LmnbSq_RJv}unO z3zH|Utz%U_hSoNzIaG82N-nfiVi)ZV$B~kEx7En;HRVbSotXSzJgR>q@Ej*GtD@bzX3m z8JqVDS6(!|1KFe79Yi7y$)ytUbGn(guOW1`s(nFN2svDgOKv(`FQ#ILqK zyXA$r^$vl8Jv|D=_$8rVPpu<$%1D+(_)$dX!Ib7x`jYF219CO9gm^xId9#+-{nN~e zK4}5{@GDABl(PHF4YST4N{yMOSFKY!n>}^rteHZGvp6U6pK7B~R@=IcK2VxFoF|?W z_pMp39VLEBT|!+-U1RrROI($1Iz!rijva?Db*DZ{dfNESyw13f-YXs59n&4d?{pWi z>*y_PZ6RxH&34Y4XPpBbboQ06mEGgr8vb>lb!(dreoOB>k31Le7oZoHZI>>e-9CU< z-$zh?y^y99HgGneQ*tqM{;NY>M*82rT@oN7W=yS;&tm5DQf zp^2G=Ef3LUTNe?5g)tA28jB45R}hAYxrMl=qluEItg?}(l@W(A5g#wNJEyyiz0KD! z1nxH0woaVxJVXY@c7`ULU-iGb>4*saM&fM6L&QYOK*L7QK*S5f?PzSusVFS^Z%kh; z9wKvRXM0XMIyW~rS~n(IJ4Z7*297Vp4SGg8Mn;-13K}O5TW14z8e1pgf0rO^;$-A# zVef2VXG`#xL<2)R7iS(KB7%RDYyVGjZJlWUrWmb}oekaB1v&;=Cc3`_ebqVT9qo)= zj7%JPWh{&w?VRjPoqH<@)a)FM3I5SRz{JXOmR7?37w>=2bJP7b?my}AZ*%*np8u(4 z#zy~`u)T|;_1~szY(!^bZDM0$>+JNU9K+v~GdAKhax^h;wsYkDo6VF=9R6Ohw%|R> z$Uh5?_&WbxiLai&SN=vr_s^XFhf03k*?(yHpUmfOVE?7z7Yy~+Y`=&&h5oLhvYnmv z|BeO!N0$GPVIpkcY{JV(|8>V1=xG?)lo{AL=~+0L7^vtuIO*xR>Hfj`cgnwU%GeoO zn0oy0aQ+kJ|LKkUQb0!L|KBP$HveuI85vGtJ0q9BZmEPYuZxR?F{c2l2%|8Ypa_j1 zo2U>Cvygx&4Lb)LBaNs48-oZty)e6=fWY6T`JZ|Jn&?-Lgsqdafvu6r|B1AJ;{BgY zp5Xt&0rP)h41)h2rdbWR}{@Y?iUD3ppPRYX4gy8EUothQ_0|7GuqYeR`qMe=dSA(8Fkxs(a)Q*6S z=`Y@|hOid5polP=2%`Y2sE{C=fGD%jU(V7$`%1k3Yl^QCL@lhHO&sY&t-st$VG|=e zW0QYh1u?QSGXL``$UWo7ACHWQ1^gk0O)fp@N3W@OUE*NEah^w6g-A@(vqEZvmwPEf z=Fy}x=wmV1_k;>UsaO=4pjtYkK~-M^d7?x#-(e(^k+>h4{io~rJowRRUY(mxlZW2C zfLj`cok6~(DlWDUokHQz^u0hWV9BU}h?baT9Ls`GSwqHoStLwDHe;1vXSIf)^B(vo zq5~a<`19ccKJT=TEaq*XD=sKxTd{G5EzJ<;Q(wTC1K86HQV~DJAYtAKg)bNe+0rkhY=hRXx0^+qoS|Q=Wff( z?T4XT{;iLz`}fjz0lvO5Yx^5Wdo6@$W(WfZy(>fn7sT^|K|3j{0iQHAeEfIm8On|i zD(MCI!pI=Dsa`D>{b01`a~XOir<8m0@hq52A84oa9&Yf_S3uvJVl}@&{gu*FF*EfE zaClc>L0GxTRQF9m^$wf53tu@NC^%$JH6qIQz=oC8d(GkRQ+=Wx_l0&_b0~kx@vWcH z!tVdrtbCk%_DrD=0!|6e-srp)zO@NmnPC56LhO9`);VMS$P2V-`Pwx%=T{8z`qKAt zZqHA)rH{^j2aMyoHN0!@jEbXFkEix4Lmi^)$T?%aEyELC77(m=+NV*m%rUS9-qCNj z@)6Fp?P$}S3;A9NsbdA@SKb*K&kVkkVPEtW76;9U#!o8eX89v@X2-4gJ%JrW0~bur ztu`HMXQjlc$Q}M93HdpI&FSPj;ulu+WWY1WcTH%;n7Qggf(nkv(QvgK2l;sf>yY@o z=lwVE$8RWN+Z$0ku;z|c#$tJ%FBRY3TnJ3t;B>q*@a=iSmiMeM~tpoACgE<^qPE_%Kq1uO5SP-KC zg3{CpWrCIRpQt^t7hen$ru9!Dpl}cFImb_3QrM2@?WPSjijA5@gzOfkwOE{Mf@v40 z2e3TTgEtCunyik_u{=Y9H*#|L=B6Qo!{Y7bkFT-=-edk*W^!vgwX9{yg4VIGJj zsl{&lY1tR0$-Ca1o2K=LT)su0Vr1F(`}I>iwUlLJady?Cc-F;Zo#j7VCj7lD?$^M69!~DDlK!DD)^z{EAunY5vX%b(sW-V2p7hZo}T+ zGz$gsBnYO1RH^ABhG8P~!$IekSG%cqII}lM<*$%Y;=w=;DPsL$$LVq?830!Vfj`>2 zJISc`523||`hGZy_nM?N{-R2>-AOtk9R{y70%KYD&K?ltJT?p7(i9K2CjCO)L{W9h zI(O-)f!B1;btUiRo>F@5nt$)aHD}i1^Vm(VI)Xd-m1LQb;qkj=B3DO{I{a-h@Wstd zz?PHRG-E53#mbt>9)cSS_yCPN*5Xl35VNGM0&V$^ik4G$v5S{kL8)qgb|<#k`DXmO zxeK!XUu~iK_Mc*&j(jF;>l2UNhiQG2g@RP>KY1Ld+)!TPVVP+|DelNa88|=tPY|z1 zWdh)1_X09G7<*{%SbN?y=tE)o?XhBU)&!xUZ40U)!$*31aIM|#(L=s6u^y5?fs(CJ z$<2GXh!5(*Y4mxeylgd4P^imQ(Om8{7!h`ec?x=2mM-~oxZ}2*ctpo#wR01TL+g^+ zl5ZHHP+U47RW7BTMlG2jIs0I?Dl~MEHSc=J)n@6+aROJNzsD_cA-^Da>u&zezH@&c z*4On@UBb?XjBEzI;fUOLQVd8M)ykEiBUJI+bhPbYV&>jp@kM8B*B~D^65@rXSB?!P zOXwX9nZ@&W-r$_C4$KC?Hx$zUx;c^*%p_mR=~??NIHfQoms&Kx3fnRFxO2y> z^Y?c&kw4?=uy*l6<6K~X)RDM!4eF#+nM~Hpxbkr?!mm*F>S0sbM@XhK>Z{qbQUW1bW;;d0Ud@i z=EMc3?4Pd7<=c2>FeQ!+H2?-S`c@#`ilgNk`js0w+ znVj$U{@V%vWb$?Gv-eqhz1KRwyJ5zSSM8Xz=*acyzZRake%W)M58pdt`yv0br+M}2 zRr449_WeJa^n(xVdgg~yUU};D6BmwpVeg+^dhM&XuKM`vZ$D%8V^3ZG?Ai^F-SFCr zkL~{J12bOy&J(9RxqRy>6OaG-->tv-7vyP@{)_8(d@-Fw-=yXy=7 zDt`8+cjTD&e0BM8$2|LuDRuq2OU5o(a{18s^WV98 z>7L~iCp`0x*RESOc*M~C>lc6X%7cbCwf}qC9lOq)vhSH$!{5GT&x{`&{F96Jy|7^B z`!0Ouo&U6A-0-LkH@)|V69&)z=^5>p?%Va>+t!Ua{mzZEzI^+*hwj?<_jipwbmP49 zhQGS~@#7bN`SG1g$K3N$`_c3EjQ`}UwRazV=!Q`XzPNXAPc!?Ghi|`d+Kd-=f9`+g ztlqF?^ybxX|G+^jm%Znaf$yv@C!Do?+EWATKK$s?nfLBG@Y!*b_RsoUbHl7-es|ij zlO9;KarflmPwzNt@sm^U9X$Qr8`n=?Q!UxF^YeUmy>atvi?6(W-5Gm!T=DQBhu?hm zxZ}c|m!%2MT`_0lmMvd-e)0G@?amL~{HfXhu&qA(!2AP$GGW65^DjGm{^Wt#pS<^P z*NqzWpA&z4>(d86eDtTj{aare^T^5zuln~5i}$WNd)k8!Z5g;^^}ykGJ-v3^BRB21 z;D|#`Sot4To*R-{B zwoL!&D_h$sTiVsv>=^p)@_#*X!ig(ZA9LT6A3SO5k~NQ?@QE+{>5o3MZTs&mSh;=Z zrDIFQ-zkKk!SNND~z00bqj4xGHM>|UuO2?Y$&ubgyUxgglS?Z!Ty1Hl`t7_Y$ zu1z+ox@lC_s=DQmx(TmBC=6@L%J|o`$@XnSX=~e9+rC{>IuOca+JDE+8Djt2%V0(KUtXe%;iq>O$RgSlmAEYyQx$(Y3YNy1I@2 zZe11Zd|hj{spiiI+a6q-V{PqNGF`1x6;;;i)RuOZl#GAeWK{VJut3*hVofhY+1UBe zHj|-H0Scc()tC&0Dh9pFfB>qjg}N~r4|VM9%%KXlZ)jS3UPz_#FEnn$Lc>3Ok0zQ= z2`!#M>2UdKhXUrxu&%0XZP%DT4_z|Z4H2g5zOiw>;AU)(Q
&wt={n4$^118U@L>kQ@V(C((^@^-7Yeq zWOUK)ql?L6U`&|ZZ-*+_zW6ueRq{BZOCE=Hi5t=LrOw|sMT_fTpXC~na9n*rWc)^L zQzU%R_lO0~N6r!pwACGSdSp5VFWo1WRv>7!E^B#g6RiQj`J@i;~!Cp-CHV3<6pw8 zDW6kOo1SAL%)X?;^K&|+7H<$;S-m6`7zE)#DhrFf(+K*J@H2L1^h0A1qDk`sn6*}U zPdaEh1R8Di10st;ZAn6B)RrW4#?FV%*!j>IUyJuvzEs@^`=W71m(12BbjF@nyKPVC zjOs_iblUsYjm7AMv6jat{I9(mVr9IqaRT}tp>W;@5@4ev12!x;?@1WccBaspy-GQl zx91_{iV+K_-ADx0c0S@xJ6}^rC|s@P zoF$pL)-1uOQ1NX?U>4JWb*gV*QDZ4Ei=WG)0@m~KqaDU)kCj;)^v=O7#|CTF=75>pgJJRYEM6Na znZ-{|XEi+NT8&@8EJg>58l!`mjVKEoi17*oEqV@JtvM4|P&)}`H3hI%eIpo=w(=$6 zF$F^?HIFK}U5&lLD$S3;YR&1uLi9Zvwa?IXYM;TZ&I*RL(=(Ta`s9*-ns)Ad{WNPy{%kFV*RWRO1J;tX8y(S# zV&to;zl1MZlP*h+r?#miBozM(T`>Dx)@0tcZ>O~u)<(Z`YAyC2P1N|AYr{h89<;LT z%p~%5X0BXqaoL8>&fGTYf7!P)ou&e+ejhr^7m(aSV=gd+P2Yo(xxNRPq{cM7Y^^a3 z7*$4j9&I7hnX2M|Mn{%tSTZ@K0;+w3lGE9{A>ta-KxcJAu*P(ievjQ{Rd*WGur_K; z1D4EAk~NwPRm5=0`-NFy*xeI0zSEGASTUXyxB@%R@tAq7OaiE>X&tOsG=Q5D@==}!DlWL2z`02i+ z;^u2ZK8&0%AO?m}BU2yH(Ee4PHjU->WlMWT&rEGI>e`mFt)98d&38E;w`Q>l)gi6J zmAMwA&m(WtT%#oY&|IS`LaVV#MT)IT|EIWskB%_X6;SeYo>dh~Q=OO4Sn*aXJ12enuA6+|1g)&MFh zK`K{Fh0~#t=MlE64nk*r1G;`RNp&sE5fm&=v1&?4wo|ihSz0xVN7zvDm zc7H9H$upjj=DX5iUxcT0z)@soxR+&OgS1F*1Uj=GjXN3oOH5^IeS%;xJTUoSj1xK?+Ms8qQD-za9vYWH z#-)42pt&h+SOSDT9hl{fv7nSD&%>+Q%otcyc|p*O&#;O~1=*MEQsZ`wCN~D%2@(Uf6-OKE2|H2y_98iEe#}nUPE-I{A=1qb514$TGbm?-;B#`AekOwl8fL$E-h{uTA|7 z7$Jwg2e#8N)Ymba!y_m`lk|C%BaElGP@}_kIu_JEBWf;dM6sF^)BT{5u4lm{I>zS= zMrXAfo@eu?XbgpPUCS7#$@2*I1$X3kNmJeUOnchoo-qL1mpoqhhq_sB`;CzVd0#M! z3jOzBtbljvQ4ArDMd)=_o|?jmY!Bx9ZQB{QaTOJK~d>U%H^BKk{3 z0TPAkpJr z#xxILHiAw1X9mlaM_Eg>A+a)a)MSi~z*x>qCmP}CKMw(~q`rYIMF-`~9J-b|H8)u@ zL?F+jy&yJ+ZX;oFUk(W1&=27itsUbPDUQZSS%7qAk+M7{LeGMI7P%)MA-$A)1M6%p znUL5p)>0r+z9iBQ;WKo#^px^ijSn)0#0dYG*OS?!OqcB5@`#AuC0Zmg9BV1@^zD7d zg7l9vrty8oH0H{f*0jK|5q;a4G0j6Wrr+#kjNwq_L2iy2rr(3*wOX$O;{zmHOK_p` zhh|BAEw7~@tNT(8vv(`kO0pQqoyWlZOMGe+r5&zG}%#ZsaE zgGMC{R-@C{j6F~;r{kkXTdUtZ=Cvc(Fk&v@J(p(w2#hGRzXzDcQcRNIq~y-Qv}Tm) zv<{asq(k?}g?_`dKF(Ug6_If;1}GbWGS*wR!5imax$F(D)fT`V}Szd|E1YH&1k^4*&zyJt)i@PaD1>GCHlTa~6VS zd!EO!(2<4d^IDzF%g@8$8y%&7!!%E3En$xFnNPHBU%F`Gw^*w)*7shQG z%US|OyCXg?oK9!`@*X-PmodJY((_rp2|5B)@%v!57T;0lH3%`^#e@v={#&}`C!J>zJTdBveZ*4!|A)Bs%rnp zgmiX>wWQP{8+7d%(2@6L8q={>>+K`~e0HZiB}-O44}9h?Jtd0mIf(1;%cs|5-iGNn z2n_a63Kx6803TfuS<4{QugmVdxgWcH_Qi7#8#w8tw|>Pk{{R1UpY3$#XCM^T9WdH9r5u=Xvwy> +endobj +7 0 obj +<< +/Author (woo) +/CreationDate (D:20180205142815+09'00') +/Creator (PScript5.dll Version 5.2.2) +/ModDate (D:20181105145139+09'00') +/PDFVersion (1.4) +/Producer (3-Heights\(TM\) PDF Security Shell 4.8.25.2 \(http://www.pdf-tools.com\)) +/Title (\(\\\(DOC\\\) KCD-HP MODBUS\\305\\353\\275\\305\\307\\301\\267\\316\\305\\344\\304\\335 B0_HP100.xls\)) +>> +endobj +2 0 obj +<< +/Marked true +>> +endobj +3 0 obj +<< +/Length 3615 +/Subtype /XML +/Type /Metadata +>> +stream + + + + + 2018-02-05T14:28:15+09:00 + PScript5.dll Version 5.2.2 + 2018-11-05T14:51:39+09:00 + 2018-11-05T14:51:39+09:00 + uuid:dd46b564-0c90-11e8-0000-64564935d341 + uuid:8f7539c6-144c-4d56-96ac-bc6594d2f198 + application/pdf + + + (\(DOC\) KCD-HP MODBUS\305\353\275\305\307\301\267\316\305\344\304\335 B0_HP100.xls) + + + + + woo + + + 3-Heights(TM) PDF Security Shell 4.8.25.2 (http://www.pdf-tools.com) + 1.4 + + + + + + + + + + + + + + + + + + + + + + + + + +endstream +endobj +4 0 obj +<< +/Count 6 +/Kids [8 0 R 9 0 R] +/Type /Pages +>> +endobj +5 0 obj +<< +/K [10 0 R] +/ParentTree 11 0 R +/ParentTreeNextKey 5 +/RoleMap 12 0 R +/Type /StructTreeRoot +>> +endobj +6 0 obj +<< +/Type /Action +/S /JavaScript +/JS (this.print\({bUI:true,bSilent:false,bShrinkToFit:true}\);) +>> +endobj +8 0 obj +<< +/Count 5 +/Kids [13 0 R 14 0 R 15 0 R 16 0 R 17 0 R] +/Parent 4 0 R +/Type /Pages +>> +endobj +9 0 obj +<< +/Contents 18 0 R +/CropBox [0 0 595 842] +/MediaBox [0 0 595 842] +/Parent 4 0 R +/Resources << +/ExtGState << +/R7 19 0 R +>> +/Font << +/R107 20 0 R +/R149 21 0 R +/R154 22 0 R +/R156 23 0 R +/R17 24 0 R +/R20 25 0 R +/R301 26 0 R +/R310 27 0 R +/R356 28 0 R +/R379 29 0 R +/R381 30 0 R +/R41 31 0 R +/R65 32 0 R +>> +/XObject << +/R8 33 0 R +>> +>> +/Rotate 360 +/Type /Page +>> +endobj +10 0 obj +<< +/K [34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R 41 0 R 42 0 R 43 0 R +44 0 R 45 0 R 46 0 R 47 0 R 48 0 R 49 0 R 50 0 R 51 0 R 52 0 R 53 0 R +54 0 R 55 0 R 56 0 R 57 0 R 58 0 R 59 0 R 60 0 R 61 0 R 62 0 R 63 0 R +64 0 R 65 0 R 66 0 R 67 0 R 68 0 R 69 0 R 70 0 R 71 0 R 72 0 R 73 0 R +74 0 R 75 0 R 76 0 R 77 0 R 78 0 R 79 0 R 80 0 R 81 0 R 82 0 R 83 0 R +84 0 R 85 0 R 86 0 R 87 0 R 88 0 R 89 0 R 90 0 R 91 0 R 92 0 R 93 0 R +94 0 R 95 0 R 96 0 R 97 0 R 98 0 R 99 0 R 100 0 R 101 0 R 102 0 R 103 0 R +104 0 R 105 0 R 106 0 R 107 0 R 108 0 R 109 0 R 110 0 R 111 0 R 112 0 R 113 0 R +114 0 R 115 0 R 116 0 R 117 0 R 118 0 R 119 0 R 120 0 R 121 0 R 122 0 R 123 0 R +124 0 R 125 0 R 126 0 R 127 0 R 128 0 R 129 0 R 130 0 R 131 0 R 132 0 R 133 0 R +134 0 R 135 0 R 136 0 R 137 0 R 138 0 R 139 0 R 140 0 R 141 0 R 142 0 R 143 0 R +144 0 R 145 0 R 146 0 R 147 0 R 148 0 R 149 0 R 150 0 R 151 0 R 152 0 R 153 0 R +154 0 R 155 0 R 156 0 R 157 0 R 158 0 R 159 0 R 160 0 R 161 0 R 162 0 R 163 0 R +164 0 R 165 0 R 166 0 R 167 0 R 168 0 R 169 0 R 170 0 R 171 0 R 172 0 R 173 0 R +174 0 R 175 0 R 176 0 R 177 0 R 178 0 R 179 0 R 180 0 R 181 0 R 182 0 R 183 0 R +184 0 R 185 0 R 186 0 R 187 0 R 188 0 R 189 0 R 190 0 R 191 0 R 192 0 R 193 0 R +194 0 R 195 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R 201 0 R 202 0 R 203 0 R +204 0 R 205 0 R 206 0 R 207 0 R 208 0 R 209 0 R 210 0 R 211 0 R 212 0 R 213 0 R +214 0 R 215 0 R 216 0 R 217 0 R 218 0 R 219 0 R 220 0 R 221 0 R 222 0 R 223 0 R +224 0 R 225 0 R 226 0 R 227 0 R 228 0 R 229 0 R 230 0 R 231 0 R 232 0 R 233 0 R +234 0 R 235 0 R 236 0 R 237 0 R 238 0 R 239 0 R 240 0 R 241 0 R 242 0 R 243 0 R +244 0 R 245 0 R 246 0 R 247 0 R 248 0 R 249 0 R 250 0 R 251 0 R 252 0 R 253 0 R +254 0 R 255 0 R 256 0 R 257 0 R 258 0 R 259 0 R 260 0 R 261 0 R 262 0 R 263 0 R +264 0 R 265 0 R 266 0 R 267 0 R 268 0 R 269 0 R 270 0 R 271 0 R 272 0 R 273 0 R +274 0 R 275 0 R 276 0 R 277 0 R 278 0 R 279 0 R 280 0 R 281 0 R 282 0 R 283 0 R +284 0 R 285 0 R 286 0 R 287 0 R 288 0 R 289 0 R 290 0 R 291 0 R 292 0 R 293 0 R +294 0 R 295 0 R 296 0 R 297 0 R 298 0 R 299 0 R 300 0 R 301 0 R 302 0 R 303 0 R +304 0 R 305 0 R 306 0 R 307 0 R 308 0 R 309 0 R 310 0 R 311 0 R 312 0 R 313 0 R +314 0 R 315 0 R 316 0 R 317 0 R 318 0 R 319 0 R 320 0 R 321 0 R 322 0 R 323 0 R +324 0 R 325 0 R 326 0 R 327 0 R 328 0 R 329 0 R 330 0 R 331 0 R 332 0 R 333 0 R +334 0 R 335 0 R 336 0 R 337 0 R 338 0 R 339 0 R 340 0 R 341 0 R 342 0 R 343 0 R +344 0 R 345 0 R 346 0 R 347 0 R 348 0 R 349 0 R 350 0 R 351 0 R 352 0 R 353 0 R +354 0 R 355 0 R 356 0 R 357 0 R 358 0 R 359 0 R 360 0 R 361 0 R 362 0 R 363 0 R +364 0 R 365 0 R 366 0 R 367 0 R 368 0 R 369 0 R 370 0 R 371 0 R 372 0 R 373 0 R +374 0 R 375 0 R 376 0 R 377 0 R 378 0 R 379 0 R 380 0 R 381 0 R 382 0 R 383 0 R +384 0 R 385 0 R 386 0 R 387 0 R 388 0 R 389 0 R 390 0 R 391 0 R 392 0 R 393 0 R +394 0 R 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R 401 0 R 402 0 R 403 0 R +404 0 R 405 0 R 406 0 R 407 0 R 408 0 R 409 0 R 410 0 R 411 0 R 412 0 R 413 0 R +414 0 R 415 0 R 416 0 R 417 0 R 418 0 R 419 0 R 420 0 R 421 0 R 422 0 R 423 0 R +424 0 R 425 0 R 426 0 R 427 0 R 428 0 R 429 0 R 430 0 R 431 0 R 432 0 R 433 0 R +434 0 R 435 0 R 436 0 R 437 0 R 438 0 R 439 0 R 440 0 R 441 0 R 442 0 R 443 0 R +444 0 R 445 0 R 446 0 R 447 0 R 448 0 R 449 0 R 450 0 R 451 0 R 452 0 R 453 0 R +454 0 R 455 0 R 456 0 R 457 0 R 458 0 R 459 0 R 460 0 R 461 0 R 462 0 R 463 0 R +464 0 R 465 0 R 466 0 R 467 0 R 468 0 R 469 0 R 470 0 R 471 0 R 472 0 R 473 0 R +474 0 R 475 0 R 476 0 R 477 0 R 478 0 R 479 0 R 480 0 R 481 0 R 482 0 R 483 0 R +484 0 R 485 0 R 486 0 R 487 0 R 488 0 R 489 0 R 490 0 R 491 0 R 492 0 R 493 0 R +494 0 R 495 0 R 496 0 R 497 0 R 498 0 R 499 0 R 500 0 R 501 0 R 502 0 R 503 0 R +504 0 R 505 0 R 506 0 R 507 0 R 508 0 R 509 0 R 510 0 R 511 0 R 512 0 R] +/P 5 0 R +/S /Sect +/Type /StructElem +>> +endobj +11 0 obj +<< +/Nums [0 [105 0 R 34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 39 0 R 39 0 R 39 0 R +39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R +39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 40 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 42 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R +43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R +43 0 R 43 0 R 44 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R +45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R +45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 46 0 R 47 0 R 48 0 R 49 0 R +49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R +49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R +49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R +49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R +49 0 R 49 0 R 49 0 R 50 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R +51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R +51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 52 0 R 53 0 R 54 0 R 55 0 R 55 0 R +55 0 R 55 0 R 55 0 R 56 0 R 56 0 R 56 0 R 56 0 R 56 0 R 56 0 R 56 0 R +57 0 R 57 0 R 57 0 R 57 0 R 58 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R +59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R +59 0 R 59 0 R 60 0 R 61 0 R 62 0 R 63 0 R 64 0 R 65 0 R 66 0 R 67 0 R +68 0 R 69 0 R 70 0 R 71 0 R 72 0 R 73 0 R 74 0 R 75 0 R 76 0 R 77 0 R +78 0 R 79 0 R 81 0 R 82 0 R 84 0 R 85 0 R 86 0 R 88 0 R 89 0 R 90 0 R +91 0 R 92 0 R 93 0 R 94 0 R 95 0 R 96 0 R 97 0 R 98 0 R 99 0 R 100 0 R +101 0 R 103 0 R 104 0 R 106 0 R 107 0 R 108 0 R 109 0 R 513 0 R 513 0 R 513 0 R +513 0 R 513 0 R 513 0 R 513 0 R 513 0 R 514 0 R 514 0 R 514 0 R 514 0 R 514 0 R +514 0 R 514 0 R 514 0 R 514 0 R 514 0 R 514 0 R 514 0 R 515 0 R 515 0 R 515 0 R +515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R +515 0 R 111 0 R 112 0 R 113 0 R 114 0 R 116 0 R 117 0 R 117 0 R 117 0 R 117 0 R +117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R +117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R +117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 118 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 517 0 R 518 0 R +519 0 R 520 0 R 520 0 R 520 0 R 520 0 R 520 0 R 521 0 R 522 0 R 522 0 R 522 0 R +522 0 R 522 0 R 523 0 R 524 0 R 524 0 R 524 0 R 524 0 R 524 0 R 525 0 R 526 0 R +526 0 R 527 0 R 528 0 R 528 0 R 529 0 R 530 0 R 531 0 R 532 0 R 532 0 R 533 0 R +534 0 R 534 0 R 534 0 R 535 0 R 536 0 R 536 0 R 537 0 R 537 0 R 538 0 R 538 0 R +538 0 R 538 0 R 538 0 R 539 0 R 539 0 R 539 0 R 539 0 R 539 0 R 539 0 R 540 0 R +541 0 R 541 0 R 542 0 R 542 0 R 542 0 R 542 0 R 542 0 R 543 0 R 543 0 R 543 0 R +543 0 R 544 0 R 545 0 R 545 0 R 546 0 R 546 0 R 546 0 R 547 0 R 548 0 R 549 0 R +549 0 R 549 0 R 550 0 R 550 0 R 550 0 R 551 0 R 551 0 R 552 0 R 552 0 R 553 0 R +554 0 R 555 0 R 556 0 R 556 0 R 557 0 R 558 0 R 558 0 R 559 0 R 560 0 R 560 0 R +561 0 R 562 0 R 563 0 R 564 0 R 564 0 R 565 0 R 566 0 R 567 0 R 568 0 R 568 0 R +569 0 R 570 0 R 570 0 R 571 0 R 572 0 R 572 0 R 573 0 R 573 0 R 574 0 R 575 0 R +575 0 R 575 0 R 575 0 R 576 0 R 577 0 R 577 0 R 577 0 R 578 0 R 578 0 R 578 0 R +578 0 R 579 0 R 580 0 R 581 0 R 581 0 R 581 0 R 582 0 R 582 0 R 583 0 R 583 0 R +583 0 R 583 0 R 584 0 R 585 0 R 586 0 R 587 0 R 587 0 R 587 0 R 587 0 R 588 0 R +589 0 R 590 0 R 591 0 R 591 0 R 592 0 R 592 0 R 593 0 R 594 0 R 595 0 R 595 0 R +595 0 R 595 0 R 596 0 R 597 0 R 598 0 R 599 0 R 599 0 R 599 0 R 599 0 R 600 0 R +601 0 R 602 0 R 603 0 R 604 0 R 83 0 R 605 0 R 606 0 R] + 1 [206 0 R 119 0 R 120 0 R 121 0 R 122 0 R 123 0 R 124 0 R 125 0 R 126 0 R 127 0 R +128 0 R 129 0 R 130 0 R 131 0 R 132 0 R 133 0 R 134 0 R 135 0 R 136 0 R 137 0 R +138 0 R 139 0 R 140 0 R 141 0 R 142 0 R 143 0 R 144 0 R 145 0 R 146 0 R 147 0 R +148 0 R 149 0 R 150 0 R 151 0 R 152 0 R 153 0 R 154 0 R 155 0 R 156 0 R 157 0 R +158 0 R 159 0 R 160 0 R 161 0 R 162 0 R 163 0 R 164 0 R 165 0 R 166 0 R 167 0 R +168 0 R 169 0 R 170 0 R 171 0 R 172 0 R 173 0 R 174 0 R 175 0 R 176 0 R 177 0 R +178 0 R 179 0 R 180 0 R 181 0 R 182 0 R 183 0 R 184 0 R 185 0 R 186 0 R 186 0 R +186 0 R 186 0 R 186 0 R 186 0 R 186 0 R 607 0 R 608 0 R 608 0 R 608 0 R 609 0 R +610 0 R 610 0 R 610 0 R 610 0 R 610 0 R 611 0 R 612 0 R 612 0 R 612 0 R 612 0 R +612 0 R 613 0 R 614 0 R 614 0 R 614 0 R 614 0 R 615 0 R 616 0 R 617 0 R 618 0 R +619 0 R 620 0 R 620 0 R 620 0 R 621 0 R 622 0 R 623 0 R 624 0 R 625 0 R 626 0 R +626 0 R 626 0 R 627 0 R 628 0 R 629 0 R 630 0 R 631 0 R 631 0 R 631 0 R 631 0 R +631 0 R 632 0 R 632 0 R 632 0 R 633 0 R 634 0 R 635 0 R 636 0 R 637 0 R 637 0 R +637 0 R 637 0 R 638 0 R 638 0 R 638 0 R 638 0 R 638 0 R 638 0 R 638 0 R 638 0 R +638 0 R 638 0 R 639 0 R 640 0 R 641 0 R 642 0 R 642 0 R 642 0 R 643 0 R 644 0 R +644 0 R 644 0 R 645 0 R 646 0 R 647 0 R 648 0 R 648 0 R 648 0 R 649 0 R 650 0 R +650 0 R 650 0 R 651 0 R 188 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R +189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R +189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 190 0 R 190 0 R 190 0 R 190 0 R +190 0 R 190 0 R 190 0 R 190 0 R 190 0 R 190 0 R 190 0 R 190 0 R 191 0 R 192 0 R +192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R +192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R +192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 193 0 R 193 0 R 193 0 R 194 0 R 194 0 R +194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R +194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 195 0 R 196 0 R +197 0 R 197 0 R 197 0 R 197 0 R 197 0 R 197 0 R 198 0 R 198 0 R 198 0 R 198 0 R +198 0 R 652 0 R 653 0 R 653 0 R 653 0 R 654 0 R 655 0 R 655 0 R 655 0 R 655 0 R +655 0 R 656 0 R 657 0 R 657 0 R 657 0 R 657 0 R 657 0 R 658 0 R 659 0 R 660 0 R +661 0 R 661 0 R 661 0 R 661 0 R 661 0 R 661 0 R 661 0 R 661 0 R 662 0 R 663 0 R +664 0 R 665 0 R 665 0 R 665 0 R 665 0 R 665 0 R 665 0 R 665 0 R 665 0 R 666 0 R +200 0 R 200 0 R 200 0 R 200 0 R 201 0 R 202 0 R 202 0 R 202 0 R 202 0 R 202 0 R +203 0 R 203 0 R 203 0 R 204 0 R 205 0 R 205 0 R 205 0 R 205 0 R 207 0 R 208 0 R +209 0 R 210 0 R 211 0 R 212 0 R 212 0 R 212 0 R 212 0 R 213 0 R 214 0 R 215 0 R +216 0 R 217 0 R 217 0 R 217 0 R 217 0 R 217 0 R 217 0 R 217 0 R 217 0 R 218 0 R +218 0 R 218 0 R 218 0 R 218 0 R 218 0 R 218 0 R 218 0 R 218 0 R 219 0 R 220 0 R +221 0 R 222 0 R 223 0 R 224 0 R 225 0 R 226 0 R 227 0 R 228 0 R] + 2 [229 0 R 230 0 R 231 0 R 232 0 R 233 0 R 234 0 R 235 0 R 236 0 R 237 0 R 238 0 R +239 0 R 240 0 R 241 0 R 242 0 R 243 0 R 244 0 R 245 0 R 246 0 R 247 0 R 248 0 R +249 0 R 250 0 R 251 0 R 252 0 R 253 0 R 254 0 R 255 0 R 256 0 R 257 0 R 258 0 R +259 0 R 260 0 R 261 0 R 262 0 R 263 0 R 264 0 R 265 0 R 266 0 R 267 0 R 268 0 R +269 0 R 270 0 R 271 0 R 272 0 R 273 0 R 274 0 R 275 0 R 276 0 R 277 0 R 278 0 R +279 0 R 280 0 R 281 0 R 282 0 R 283 0 R 284 0 R 285 0 R 286 0 R 287 0 R 288 0 R +289 0 R 290 0 R 291 0 R 292 0 R 293 0 R 294 0 R 295 0 R 295 0 R 295 0 R 295 0 R +295 0 R 295 0 R 295 0 R 295 0 R 295 0 R 295 0 R 295 0 R 296 0 R 297 0 R 297 0 R +297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R +297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R +297 0 R 667 0 R 668 0 R 668 0 R 668 0 R 669 0 R 670 0 R 670 0 R 670 0 R 670 0 R +670 0 R 671 0 R 672 0 R 672 0 R 672 0 R 672 0 R 672 0 R 673 0 R 674 0 R 675 0 R +675 0 R 675 0 R 675 0 R 675 0 R 675 0 R 675 0 R 676 0 R 677 0 R 678 0 R 679 0 R +679 0 R 679 0 R 679 0 R 679 0 R 679 0 R 679 0 R 679 0 R 680 0 R 681 0 R 682 0 R +683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R +683 0 R 684 0 R 685 0 R 686 0 R 687 0 R 687 0 R 687 0 R 687 0 R 687 0 R 687 0 R +687 0 R 687 0 R 687 0 R 687 0 R 687 0 R 688 0 R 689 0 R 299 0 R 300 0 R 300 0 R +300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R +690 0 R 691 0 R 691 0 R 691 0 R 692 0 R 693 0 R 693 0 R 693 0 R 694 0 R 695 0 R +695 0 R 695 0 R 696 0 R 697 0 R 697 0 R 697 0 R 698 0 R 699 0 R 699 0 R 699 0 R +700 0 R 701 0 R 701 0 R 701 0 R 702 0 R 703 0 R 704 0 R 705 0 R 706 0 R 707 0 R +708 0 R 708 0 R 709 0 R 710 0 R 711 0 R 712 0 R 713 0 R 714 0 R 715 0 R 715 0 R +715 0 R 715 0 R 716 0 R 717 0 R 718 0 R 718 0 R 719 0 R 720 0 R 721 0 R 722 0 R +722 0 R 723 0 R 724 0 R 725 0 R 726 0 R 727 0 R 728 0 R 728 0 R 728 0 R 729 0 R +730 0 R 731 0 R 732 0 R 733 0 R 734 0 R 735 0 R 736 0 R 736 0 R 736 0 R 736 0 R +736 0 R 737 0 R 738 0 R 739 0 R 740 0 R 741 0 R 742 0 R 743 0 R 743 0 R 744 0 R +302 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R +303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 745 0 R 746 0 R 746 0 R +746 0 R 747 0 R 748 0 R 748 0 R 748 0 R 749 0 R 750 0 R 750 0 R 750 0 R 751 0 R +752 0 R 752 0 R 752 0 R 753 0 R 754 0 R 754 0 R 754 0 R 755 0 R 756 0 R 756 0 R +756 0 R 757 0 R 758 0 R 759 0 R 760 0 R 761 0 R 762 0 R 763 0 R 763 0 R 764 0 R +765 0 R 766 0 R 767 0 R 768 0 R 769 0 R 770 0 R 770 0 R 770 0 R 770 0 R 771 0 R +772 0 R 773 0 R 773 0 R 773 0 R 773 0 R 774 0 R 775 0 R 776 0 R 777 0 R 777 0 R +778 0 R 779 0 R 780 0 R 781 0 R 782 0 R 783 0 R 783 0 R 783 0 R 783 0 R 783 0 R +784 0 R 785 0 R 786 0 R 787 0 R 787 0 R 788 0 R 789 0 R 790 0 R 790 0 R 790 0 R +790 0 R 790 0 R 791 0 R 791 0 R 791 0 R 791 0 R 792 0 R 793 0 R 794 0 R 795 0 R +796 0 R 797 0 R 798 0 R 798 0 R 798 0 R 798 0 R 798 0 R 799 0 R 800 0 R 801 0 R +802 0 R 803 0 R 804 0 R 805 0 R 805 0 R 806 0 R 305 0 R 305 0 R 305 0 R 305 0 R +305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R +305 0 R 305 0 R 305 0 R 306 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R +307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R +307 0 R 307 0 R 308 0 R 807 0 R 808 0 R 808 0 R 808 0 R 809 0 R 810 0 R 810 0 R +810 0 R 811 0 R 812 0 R 812 0 R 812 0 R 813 0 R 814 0 R 814 0 R 814 0 R 815 0 R +816 0 R 816 0 R 816 0 R 817 0 R 818 0 R 818 0 R 818 0 R 819 0 R 820 0 R 821 0 R +822 0 R 823 0 R 824 0 R 825 0 R 825 0 R 826 0 R 827 0 R 828 0 R 829 0 R 830 0 R +831 0 R 832 0 R 832 0 R 832 0 R 832 0 R 833 0 R 834 0 R 835 0 R 835 0 R 835 0 R +835 0 R 836 0 R 837 0 R 838 0 R 839 0 R 839 0 R 840 0 R 841 0 R 842 0 R 843 0 R +844 0 R 845 0 R 845 0 R 845 0 R 845 0 R 845 0 R 845 0 R 845 0 R 845 0 R 846 0 R +847 0 R 848 0 R 849 0 R 849 0 R 850 0 R 851 0 R 852 0 R 852 0 R 852 0 R 852 0 R +852 0 R 852 0 R 852 0 R 853 0 R 854 0 R 855 0 R 856 0 R 857 0 R 858 0 R 859 0 R +860 0 R 861 0 R 861 0 R 861 0 R 861 0 R 861 0 R 862 0 R 863 0 R 864 0 R 865 0 R +866 0 R 867 0 R 868 0 R 868 0 R 869 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R +310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R +310 0 R 310 0 R 311 0 R 312 0 R 313 0 R 314 0 R 315 0 R 316 0 R 317 0 R] + 3 [318 0 R 319 0 R 320 0 R 321 0 R 322 0 R 323 0 R 324 0 R 325 0 R 326 0 R 327 0 R +328 0 R 329 0 R 330 0 R 331 0 R 332 0 R 333 0 R 334 0 R 335 0 R 336 0 R 337 0 R +338 0 R 339 0 R 340 0 R 341 0 R 342 0 R 343 0 R 344 0 R 345 0 R 346 0 R 347 0 R +348 0 R 349 0 R 350 0 R 351 0 R 352 0 R 353 0 R 354 0 R 355 0 R 356 0 R 357 0 R +358 0 R 359 0 R 360 0 R 361 0 R 362 0 R 363 0 R 364 0 R 365 0 R 366 0 R 367 0 R +368 0 R 369 0 R 370 0 R 371 0 R 372 0 R 373 0 R 374 0 R 375 0 R 376 0 R 377 0 R +378 0 R 379 0 R 380 0 R 381 0 R 382 0 R 383 0 R 384 0 R 384 0 R 384 0 R 384 0 R +384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R +384 0 R 384 0 R 384 0 R 384 0 R 870 0 R 871 0 R 871 0 R 871 0 R 871 0 R 872 0 R +873 0 R 873 0 R 873 0 R 874 0 R 875 0 R 875 0 R 875 0 R 876 0 R 877 0 R 877 0 R +877 0 R 878 0 R 879 0 R 879 0 R 879 0 R 880 0 R 881 0 R 881 0 R 881 0 R 882 0 R +883 0 R 884 0 R 885 0 R 886 0 R 887 0 R 888 0 R 888 0 R 889 0 R 890 0 R 891 0 R +892 0 R 893 0 R 894 0 R 895 0 R 895 0 R 895 0 R 895 0 R 896 0 R 897 0 R 898 0 R +898 0 R 898 0 R 898 0 R 899 0 R 900 0 R 901 0 R 902 0 R 902 0 R 903 0 R 904 0 R +905 0 R 906 0 R 907 0 R 908 0 R 908 0 R 908 0 R 908 0 R 908 0 R 908 0 R 908 0 R +909 0 R 910 0 R 911 0 R 912 0 R 912 0 R 913 0 R 914 0 R 915 0 R 915 0 R 915 0 R +915 0 R 915 0 R 916 0 R 917 0 R 918 0 R 919 0 R 920 0 R 921 0 R 922 0 R 923 0 R +923 0 R 923 0 R 923 0 R 923 0 R 924 0 R 925 0 R 926 0 R 927 0 R 928 0 R 929 0 R +930 0 R 930 0 R 931 0 R 386 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R +387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R +387 0 R 388 0 R 389 0 R 389 0 R 389 0 R 389 0 R 389 0 R 389 0 R 389 0 R 389 0 R +389 0 R 389 0 R 389 0 R 389 0 R 932 0 R 933 0 R 933 0 R 933 0 R 934 0 R 935 0 R +935 0 R 935 0 R 936 0 R 937 0 R 937 0 R 937 0 R 938 0 R 939 0 R 939 0 R 939 0 R +940 0 R 941 0 R 941 0 R 941 0 R 942 0 R 943 0 R 943 0 R 943 0 R 944 0 R 945 0 R +946 0 R 947 0 R 948 0 R 949 0 R 950 0 R 950 0 R 951 0 R 952 0 R 953 0 R 954 0 R +955 0 R 956 0 R 957 0 R 957 0 R 957 0 R 957 0 R 958 0 R 959 0 R 960 0 R 960 0 R +960 0 R 960 0 R 961 0 R 962 0 R 963 0 R 964 0 R 964 0 R 965 0 R 966 0 R 967 0 R +968 0 R 969 0 R 970 0 R 970 0 R 970 0 R 970 0 R 971 0 R 971 0 R 971 0 R 972 0 R +973 0 R 974 0 R 974 0 R 974 0 R 974 0 R 975 0 R 976 0 R 977 0 R 978 0 R 979 0 R +980 0 R 981 0 R 981 0 R 981 0 R 981 0 R 982 0 R 983 0 R 984 0 R 984 0 R 984 0 R +984 0 R 985 0 R 986 0 R 987 0 R 988 0 R 988 0 R 988 0 R 989 0 R 990 0 R 991 0 R +992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R +992 0 R 993 0 R 994 0 R 994 0 R 994 0 R 994 0 R 994 0 R 994 0 R 994 0 R 994 0 R +994 0 R 994 0 R 995 0 R 996 0 R 997 0 R 997 0 R 997 0 R 997 0 R 997 0 R 998 0 R +998 0 R 998 0 R 998 0 R 998 0 R 998 0 R 998 0 R 999 0 R 999 0 R 999 0 R 999 0 R +999 0 R 1000 0 R 1001 0 R 1002 0 R 1002 0 R 1002 0 R 1002 0 R 1003 0 R 1004 0 R 1005 0 R +1006 0 R 1007 0 R 1007 0 R 1007 0 R 1007 0 R 1008 0 R 1009 0 R 1010 0 R 1011 0 R 1012 0 R +1012 0 R 1012 0 R 1013 0 R 1014 0 R 1015 0 R 1016 0 R 1017 0 R 1017 0 R 1017 0 R 1017 0 R +1018 0 R 1019 0 R 1020 0 R 1021 0 R 1022 0 R 1023 0 R 1024 0 R 1025 0 R 1026 0 R 1027 0 R +1028 0 R 1029 0 R 1030 0 R 1031 0 R 1032 0 R 1033 0 R 1034 0 R 1035 0 R 1036 0 R 1037 0 R +1038 0 R 1038 0 R 1039 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R +391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R +392 0 R 393 0 R 394 0 R 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R 401 0 R +402 0 R 403 0 R] + 4 [404 0 R 405 0 R 406 0 R 407 0 R 408 0 R 409 0 R 410 0 R 411 0 R 412 0 R 413 0 R +414 0 R 415 0 R 416 0 R 417 0 R 418 0 R 419 0 R 420 0 R 421 0 R 422 0 R 423 0 R +424 0 R 425 0 R 426 0 R 427 0 R 428 0 R 429 0 R 430 0 R 431 0 R 432 0 R 433 0 R +434 0 R 435 0 R 436 0 R 437 0 R 438 0 R 439 0 R 440 0 R 441 0 R 442 0 R 443 0 R +444 0 R 445 0 R 446 0 R 447 0 R 448 0 R 449 0 R 450 0 R 451 0 R 452 0 R 453 0 R +454 0 R 455 0 R 456 0 R 457 0 R 458 0 R 459 0 R 460 0 R 461 0 R 462 0 R 463 0 R +464 0 R 465 0 R 466 0 R 467 0 R 468 0 R 469 0 R 470 0 R 470 0 R 470 0 R 470 0 R +470 0 R 471 0 R 473 0 R 474 0 R 475 0 R 476 0 R 477 0 R 478 0 R 479 0 R 480 0 R +481 0 R 482 0 R 483 0 R 484 0 R 485 0 R 486 0 R 487 0 R 488 0 R 488 0 R 488 0 R +488 0 R 488 0 R 488 0 R 488 0 R 488 0 R 489 0 R 490 0 R 490 0 R 490 0 R 491 0 R +491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R +491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R +491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R +492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R +492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R +492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 493 0 R 494 0 R +494 0 R 494 0 R 494 0 R 494 0 R 494 0 R 494 0 R 495 0 R 495 0 R 495 0 R 495 0 R +495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R +495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 496 0 R +496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R +496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 497 0 R 497 0 R +497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R +497 0 R 497 0 R 497 0 R 497 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R +498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 499 0 R 499 0 R +499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R +499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 500 0 R 500 0 R +500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R +500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R +500 0 R 500 0 R 501 0 R 501 0 R 501 0 R 501 0 R 501 0 R 501 0 R 501 0 R 501 0 R +501 0 R 501 0 R 501 0 R 501 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R +502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R +503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R +503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R +504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R +504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R +504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R +505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R +505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 506 0 R 506 0 R 506 0 R 506 0 R +506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R +506 0 R 506 0 R 506 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R +507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 508 0 R 509 0 R +510 0 R 511 0 R 512 0 R 1040 0 R 1041 0 R 1042 0 R 1043 0 R] +] +>> +endobj +12 0 obj +<< +/Annotation /Sect +/Artifact /Sect +/Chart /Sect +/Chartsheet /Part +/Diagram /Figure +/Dialogsheet /Part +/Endnote /Note +/Footer /Sect +/Footnote /Note +/Header /Sect +/InlineShape /Sect +/Macrosheet /Part +/Slide /Part +/Textbox /Sect +/Workbook /Document +/Worksheet /Part +>> +endobj +13 0 obj +<< +/Contents [1044 0 R 1045 0 R 1046 0 R 1047 0 R 1048 0 R 1049 0 R 1050 0 R 1051 0 R] +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F10 1053 0 R +/F11 1054 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +/F8 1061 0 R +/F9 1062 0 R +>> +/XObject << +/Image14 1063 0 R +/Image18 1064 0 R +/Image45 1065 0 R +>> +>> +/Rotate 360 +/StructParents 0 +/Tabs /S +/Type /Page +>> +endobj +14 0 obj +<< +/Contents 1066 0 R +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F11 1054 0 R +/F13 1067 0 R +/F14 1068 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +>> +/XObject << +/Image48 1069 0 R +/Meta50 1070 0 R +>> +>> +/Rotate 360 +/StructParents 1 +/Tabs /S +/Type /Page +>> +endobj +15 0 obj +<< +/Contents 1071 0 R +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F13 1067 0 R +/F14 1068 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +>> +/XObject << +/Image48 1069 0 R +>> +>> +/Rotate 360 +/StructParents 2 +/Tabs /S +/Type /Page +>> +endobj +16 0 obj +<< +/Contents 1072 0 R +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F11 1054 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +>> +/XObject << +/Image48 1069 0 R +>> +>> +/Rotate 360 +/StructParents 3 +/Tabs /S +/Type /Page +>> +endobj +17 0 obj +<< +/Contents 1073 0 R +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F11 1054 0 R +/F15 1074 0 R +/F16 1075 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +>> +/XObject << +/Image48 1069 0 R +/Image66 1076 0 R +>> +>> +/Rotate 360 +/StructParents 4 +/Tabs /S +/Type /Page +>> +endobj +18 0 obj +<< +/Length 6079 +/Filter /FlateDecode +>> +stream +x=kwd3_ӿ{_N'vۆaw0Lr$&ف}~VYwm9.IRT%36r10~5;~nϿ)cqxI^r<&nI}1dxjz7~<H$rRpͩܒ22cCB0gfǟ ^}l\k=zw;|wW,7xlv,X4s0( FKXBzx ywOO_QZm +=a2{ S#6zn8a:{aa-|b4(h^|0xVK̰ @ Jȁ37|g3>p4CSڊ)-׷) rܻRNpm{3Fz}*0 +pFHF)Gm8Rk~;;:.D(F-dXf ъ0 aGƅ< mIG/ɤŷVHsWŗi*ܷVNZyॅ_q 0uqaʣ .=Es\E4>YtIXpmqőbց A `?YT9%OQ/ᖵ >(':,@b~F=]r/gfel{PO~mh)hU׎{ 7stn\ fl()4͝5 +Қ/gyL9yڳ%wGj9 +Fit `~>8bGOK^`Xš7j^?SંX#)NU;-yVС#LPU_ +^+K 뾻P*4bItmmv3d42H;phV͠˫$rR#"J|i@6Lds21|ih1H6*xI:ŵەdUW4u40#l"I=ˤۃW&|wa<<+/L P۞1'd'Guф#4FCd^yx~yǴO7=[Q$(eYĕSSݠ?٭AGZz?Z54}ɰ0oo5N +^j'TƎ9LԢ#Vx)L[C^CdžEXjz!rʌc^q 5v[;ca7~)CI5kc /8u}qrt<&\i4B[!^$8Ms]xbP:xWPo9s7uN+TlSc"tWLPw_–dZNZ %wƛErPA̐W+ +~>y T +~5Q*'Pƿ))S{6r/_:ك +6٦ +g"ȢD4: %Eӊ >JojL,$رed__"wKnP8nG` 1THJX /v;L.n/Jl k|nL3?ocwيv@ +BQ@RCJ䔯WtHU!ڙu|/ޚ"zWpx |pnX7J7_~=>DvDiMEeI]5PR2"4\ňϞ&,t/欄o%!B!UEq5g3FWT/Õp`tz{b]C/hx_[1ɜ}IF~Xq ǻC7;h!lRPxxQW9i_X7 5a ms{7Cvnz[`Nc4)9o|ޔs䊂leb~SH3z{,|%+;t?K^I3m",٨|.5WϥRu+ U'ҐMr.R؞ƍN[/{4!ULs1w'46:W1ʻ<ޣͺ*+x:]i'fQ3ԉ*S▿ Ǝ8(ϢgƷ׎?q0 |Ĺr:O`Jeմ+h3z鹉)?h(sK&#SⷥG:M}Vr(D/̐8vDQi|fٱ 5f%nmD$A(vH7``u\AFkvH%a+,)4N_-&⌕"A1 {1E =)=<.AG𠾋?ڢ~'I%` vqAt`jwk0t2}X.+7U@N91. (X@ +&ɭ +Gfųvbns.|vI 5RH0'@wPqZϷ\U>}ǸeχEz F$辔l"?T.l@|b荕Gyɨ^f|d҅+ܧw-zArҧ7X1Jx$fTג(..tJVo0wh,RK¢v1 +nJ4֊bz3I0; >͸AjQ6%=`i˿^QAWuk] +RngU=/E]EXˢw]Wh iڳt/ *o_i[7iK|XJnYp˭,!2 +eYlK%#, bp82q綀mn̼w_ :^%PڑSO޸&*&P^iE?I eh[o,O+x5ox].=R%Mu%࿽HH-6$%SIyk s|ZQ%; G|oIg845*@we| sSzN~F{ѭTv.w6OI~B_[͆a )_ +\5cUI^$w:34fXn䔄AZR꨷]D%\4̀w:;K`[XZB!eN^m7-G$ؐrY9<ʃ7]߭Fw+Ε nuV?g"-ɫdYt#rI~㫴wISK6) rNZ)r]U$6T?Ŕl@Q 6nJinUlG޴` f W,;XJDӁRr?J ++`>/Jtc* +!w>U= +#82|8BghQkWpUw^Qm#:f^?U҅gJ^jpC=f oJmmT!=Vz$(5^(pU8VF# +., :UB;LnQeCvPk0M-7&3U!AmѝSrX"yqKm3o5N17![;hCbޥO:ܲ皼Fu wzx .gYT aVP⋸ |p}k*lojXCXS~_!skG)2nb\|t@݊tvͻ$o?@Ӧ9ˬ1hh}.Ce͗K }@B E0L7ƽrgƿyC a͇^rP{NI4 ȼo } |AZ!%Ԑ +6^JtA<.;ע&L{VFPUԁw5oT{R 6ہټlGLr" sM үi8]V7: `_7PJ`aarJ/rYWtש Q)q +ܙi+C(`*$Vu664p&BVJVrYHn="%›*K /xT"Ud_sVlLKD7 "qwT)+ ."gŠV ;8&F)7!]\Cuc ?8 +|¹[b.~l?՟͠rL!xKcZKV%j M;em#O]g%;ׇ?[fpM 0 p-@lHU-bz kebtH 5Vm~D{{}\Z߾o#ƪX67 + 0!K`N9J5)h(, SLŘKk̊0`p!:I6xwJoh_@`6phn)ɫB2T~1 (bxF!xp'jvgg7{v>;==R> +Ǐw;|b볋g[+BYp/X*־J{DPVPU0:i6<#$.oE34f )RHo6<+ujyT{}P.R'\W& z>Yi!obؑgbd@| x;ՆzGr *Ι-t$|> +endobj +20 0 obj +<< +/CharProcs << +/g1600 1077 0 R +/g1625 1078 0 R +/g1892 1079 0 R +/g1896 1080 0 R +/g2208 1081 0 R +/g2487 1082 0 R +/g2844 1083 0 R +/g2861 1084 0 R +/g3 1085 0 R +/g636 1086 0 R +/g645 1087 0 R +/g723 1088 0 R +/g774 1089 0 R +>> +/Encoding 1090 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0188679 0 0 -0.0188679 0 0] +/LastChar 13 +/Subtype /Type3 +/ToUnicode 1091 0 R +/Type /Font +/Widths [53 53 53 19 53 53 53 53 53 53 +53 53 53] +>> +endobj +21 0 obj +<< +/CharProcs << +/g1493 1092 0 R +/g20870 1093 0 R +/g20931 1094 0 R +/g22426 1095 0 R +/g22510 1096 0 R +/g23906 1097 0 R +/g24986 1098 0 R +/g26038 1099 0 R +/g26146 1100 0 R +/g26178 1101 0 R +/g27546 1102 0 R +/g27574 1103 0 R +/g27658 1104 0 R +/g27714 1105 0 R +/g27770 1106 0 R +/g27786 1107 0 R +/g29346 1108 0 R +/g31306 1109 0 R +/g31342 1110 0 R +/g31582 1111 0 R +/g849 1112 0 R +/g854 1113 0 R +/g859 1114 0 R +/g861 1115 0 R +/g863 1116 0 R +/g865 1117 0 R +/g866 1118 0 R +/g867 1119 0 R +/g884 1120 0 R +/g896 1121 0 R +/g903 1122 0 R +/g914 1123 0 R +/g918 1124 0 R +/g925 1125 0 R +/g926 1126 0 R +/g929 1127 0 R +/g934 1128 0 R +/g937 1129 0 R +>> +/Encoding 1130 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0169492 0 0 -0.0169492 0 0] +/LastChar 38 +/Subtype /Type3 +/ToUnicode 1131 0 R +/Type /Font +/Widths [33 34 20 59 59 59 59 34 34 54 +22 34 59 59 59 59 43 44 36 34 +14 33 33 59 28 59 59 59 59 59 +59 59 59 22 59 36 54 59] +>> +endobj +22 0 obj +<< +/CharProcs << +/g19 1132 0 R +/g21 1133 0 R +/g22 1134 0 R +/g96 1135 0 R +>> +/Encoding 1136 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0169492 0 0 -0.0169492 0 0] +/LastChar 4 +/Subtype /Type3 +/ToUnicode 1137 0 R +/Type /Font +/Widths [34 42 34 34] +>> +endobj +23 0 obj +<< +/BaseFont /DUCRGK+TT8Bo00 +/Encoding 1138 0 R +/FirstChar 1 +/FontDescriptor 1139 0 R +/LastChar 18 +/Subtype /Type1 +/ToUnicode 1140 0 R +/Type /Font +/Widths [644 634 750 413 769 615 355 960 778 634 +730 567 653 586 999 999 999 999] +>> +endobj +24 0 obj +<< +/CharProcs << +/g14 1141 0 R +/g17 1142 0 R +/g18 1143 0 R +/g36 1144 0 R +/g37 1145 0 R +/g41 1146 0 R +/g44 1147 0 R +/g49 1148 0 R +>> +/Encoding 1149 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0138889 0 0 -0.0138889 0 0] +/LastChar 8 +/Subtype /Type3 +/ToUnicode 1150 0 R +/Type /Font +/Widths [57 62 58 38 57 53 52 52] +>> +endobj +25 0 obj +<< +/CharProcs << +/g3 1151 0 R +/g572 1152 0 R +>> +/Encoding 1153 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0192308 0 0 -0.0192308 0 0] +/LastChar 2 +/Subtype /Type3 +/ToUnicode 1154 0 R +/Type /Font +/Widths [52 18] +>> +endobj +26 0 obj +<< +/CharProcs << +/g20987 1155 0 R +/g21302 1156 0 R +/g21946 1157 0 R +/g22523 1158 0 R +/g26167 1159 0 R +/g26594 1160 0 R +/g26602 1161 0 R +/g27126 1162 0 R +/g27567 1163 0 R +/g27735 1164 0 R +/g30766 1165 0 R +/g875 1166 0 R +>> +/Encoding 1167 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0138889 0 0 -0.0138889 0 0] +/LastChar 12 +/Subtype /Type3 +/ToUnicode 1168 0 R +/Type /Font +/Widths [72 72 72 72 72 72 72 72 72 72 +72 25] +>> +endobj +27 0 obj +<< +/CharProcs << +/g22618 1169 0 R +/g24862 1170 0 R +/g26279 1171 0 R +/g27378 1172 0 R +/g27722 1173 0 R +/g31326 1174 0 R +/g31347 1175 0 R +/g5166 1176 0 R +/g5167 1177 0 R +/g849 1178 0 R +/g857 1179 0 R +/g858 1180 0 R +/g860 1181 0 R +/g865 1182 0 R +/g866 1183 0 R +/g873 1184 0 R +/g874 1185 0 R +/g875 1186 0 R +/g878 1187 0 R +/g884 1188 0 R +/g886 1189 0 R +/g916 1190 0 R +/g917 1191 0 R +/g918 1192 0 R +/g922 1193 0 R +/g927 1194 0 R +/g928 1195 0 R +/g929 1196 0 R +/g933 1197 0 R +/g937 1198 0 R +>> +/Encoding 1199 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0147059 0 0 -0.0147059 0 0] +/LastChar 30 +/Subtype /Type3 +/ToUnicode 1200 0 R +/Type /Font +/Widths [68 68 24 23 40 33 40 68 68 68 +68 68 68 26 40 40 40 40 26 68 +43 38 38 41 22 16 41 39 49 41] +>> +endobj +28 0 obj +<< +/CharProcs << +/g849 1151 0 R +/g860 1201 0 R +/g865 1202 0 R +/g866 1203 0 R +/g867 1204 0 R +/g868 1205 0 R +/g869 1206 0 R +/g872 1207 0 R +/g877 1208 0 R +/g878 1209 0 R +/g882 1210 0 R +/g884 1211 0 R +/g885 1212 0 R +/g887 1213 0 R +/g890 1214 0 R +/g892 1215 0 R +/g895 1216 0 R +/g896 1217 0 R +/g898 1218 0 R +/g899 1219 0 R +/g900 1220 0 R +/g914 1221 0 R +/g916 1222 0 R +/g917 1223 0 R +/g918 1224 0 R +/g919 1225 0 R +/g920 1226 0 R +/g922 1227 0 R +/g925 1228 0 R +/g927 1229 0 R +/g928 1230 0 R +/g929 1231 0 R +/g931 1232 0 R +/g932 1233 0 R +/g933 1234 0 R +/g934 1235 0 R +/g935 1236 0 R +/g937 1237 0 R +/g938 1238 0 R +>> +/Encoding 1239 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0192308 0 0 -0.0192308 0 0] +/LastChar 39 +/Subtype /Type3 +/ToUnicode 1240 0 R +/Type /Font +/Widths [31 25 31 18 32 29 30 29 17 13 +32 38 32 29 37 27 32 17 31 34 +31 31 35 31 39 34 38 31 39 26 +19 35 31 33 31 31 14 25 13] +>> +endobj +29 0 obj +<< +/CharProcs << +/j1 1241 0 R +/j10 1241 0 R +/j11 1241 0 R +/j12 1241 0 R +/j13 1241 0 R +/j14 1241 0 R +/j15 1241 0 R +/j16 1241 0 R +/j17 1241 0 R +/j18 1241 0 R +/j19 1241 0 R +/j2 1241 0 R +/j20 1241 0 R +/j21 1241 0 R +/j22 1241 0 R +/j23 1241 0 R +/j24 1241 0 R +/j25 1241 0 R +/j26 1241 0 R +/j27 1241 0 R +/j28 1241 0 R +/j29 1241 0 R +/j3 1241 0 R +/j30 1241 0 R +/j31 1241 0 R +/j32 1241 0 R +/j33 1241 0 R +/j34 1241 0 R +/j35 1241 0 R +/j4 1241 0 R +/j5 1241 0 R +/j6 1241 0 R +/j7 1241 0 R +/j8 1241 0 R +/j9 1241 0 R +>> +/Encoding 1242 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0169492 0 0 -0.0169492 0 0] +/LastChar 35 +/Subtype /Type3 +/Type /Font +/Widths [59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59] +>> +endobj +30 0 obj +<< +/CharProcs << +/j1 1243 0 R +/j10 1243 0 R +/j11 1243 0 R +/j12 1243 0 R +/j13 1243 0 R +/j14 1243 0 R +/j15 1243 0 R +/j16 1243 0 R +/j17 1243 0 R +/j18 1243 0 R +/j19 1243 0 R +/j2 1243 0 R +/j20 1243 0 R +/j21 1243 0 R +/j22 1243 0 R +/j23 1243 0 R +/j24 1243 0 R +/j25 1243 0 R +/j3 1243 0 R +/j4 1243 0 R +/j5 1243 0 R +/j6 1243 0 R +/j7 1243 0 R +/j8 1243 0 R +/j9 1243 0 R +>> +/Encoding 1244 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0192308 0 0 -0.0192308 0 0] +/LastChar 25 +/Subtype /Type3 +/Type /Font +/Widths [52 52 52 52 52 52 52 52 52 52 +52 52 52 52 52 52 52 52 52 52 +52 52 52 52 52] +>> +endobj +31 0 obj +<< +/CharProcs << +/g10 1245 0 R +/g11 1246 0 R +/g1141 1247 0 R +/g1142 1248 0 R +/g1144 1249 0 R +/g1291 1250 0 R +/g1315 1251 0 R +/g1398 1252 0 R +/g14 1253 0 R +/g1446 1254 0 R +/g1464 1255 0 R +/g15 1256 0 R +/g1539 1257 0 R +/g1587 1258 0 R +/g16 1259 0 R +/g1618 1260 0 R +/g1779 1261 0 R +/g18 1262 0 R +/g1819 1263 0 R +/g1866 1264 0 R +/g1880 1265 0 R +/g1889 1266 0 R +/g19 1267 0 R +/g1966 1268 0 R +/g1990 1269 0 R +/g20 1270 0 R +/g2011 1271 0 R +/g2043 1272 0 R +/g2063 1273 0 R +/g2067 1274 0 R +/g2117 1275 0 R +/g2118 1276 0 R +/g2163 1277 0 R +/g2165 1278 0 R +/g2175 1279 0 R +/g2180 1280 0 R +/g2205 1281 0 R +/g2207 1282 0 R +/g2226 1283 0 R +/g2228 1284 0 R +/g2232 1285 0 R +/g2234 1286 0 R +/g2252 1287 0 R +/g2316 1288 0 R +/g2416 1289 0 R +/g26 1290 0 R +/g2651 1291 0 R +/g2735 1292 0 R +/g2799 1293 0 R +/g2829 1294 0 R +/g2841 1295 0 R +/g2843 1296 0 R +/g2850 1297 0 R +/g2924 1298 0 R +/g3 1178 0 R +/g37 1299 0 R +/g38 1300 0 R +/g40 1301 0 R +/g42 1302 0 R +/g45 1303 0 R +/g47 1304 0 R +/g50 1305 0 R +/g642 1306 0 R +/g7 1307 0 R +/g702 1308 0 R +/g709 1309 0 R +/g783 1310 0 R +/g792 1311 0 R +/g90 1312 0 R +/g941 1313 0 R +>> +/Encoding 1314 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0153846 0 0 -0.0153846 0 0] +/LastChar 70 +/Subtype /Type3 +/ToUnicode 1315 0 R +/Type /Font +/Widths [65 65 65 65 65 23 23 42 42 48 +27 50 40 38 57 65 65 65 65 23 +65 65 65 65 65 65 65 65 65 65 +65 65 17 63 38 35 34 38 65 65 +65 65 65 38 65 65 65 65 65 65 +65 65 65 65 65 65 65 65 65 17 +65 65 65 65 65 65 65 65 65 65] +>> +endobj +32 0 obj +<< +/CharProcs << +/g1045 1316 0 R +/g1052 1317 0 R +/g1061 1318 0 R +/g11 1319 0 R +/g12 1320 0 R +/g1206 1321 0 R +/g1238 1322 0 R +/g13 1323 0 R +/g1307 1324 0 R +/g1308 1325 0 R +/g1318 1326 0 R +/g1339 1327 0 R +/g1393 1328 0 R +/g1420 1329 0 R +/g1458 1330 0 R +/g15 1331 0 R +/g1529 1332 0 R +/g1538 1333 0 R +/g1544 1334 0 R +/g1569 1335 0 R +/g1599 1336 0 R +/g16 1337 0 R +/g1600 1338 0 R +/g1625 1339 0 R +/g163 1340 0 R +/g164 1341 0 R +/g165 1342 0 R +/g1656 1343 0 R +/g166 1344 0 R +/g167 1345 0 R +/g17 1346 0 R +/g1740 1347 0 R +/g1752 1348 0 R +/g1762 1349 0 R +/g1782 1350 0 R +/g18 1351 0 R +/g1813 1352 0 R +/g1849 1353 0 R +/g1883 1354 0 R +/g1892 1355 0 R +/g1894 1356 0 R +/g1896 1357 0 R +/g19 1358 0 R +/g1969 1359 0 R +/g1989 1360 0 R +/g20 1361 0 R +/g2046 1362 0 R +/g21 1363 0 R +/g2113 1364 0 R +/g2120 1365 0 R +/g2121 1366 0 R +/g2163 1367 0 R +/g2166 1368 0 R +/g2178 1369 0 R +/g2183 1370 0 R +/g2193 1371 0 R +/g22 1372 0 R +/g2208 1373 0 R +/g2229 1374 0 R +/g2237 1375 0 R +/g2239 1376 0 R +/g2287 1377 0 R +/g23 1378 0 R +/g2319 1379 0 R +/g24 1380 0 R +/g2487 1381 0 R +/g25 1382 0 R +/g2509 1383 0 R +/g26 1384 0 R +/g2643 1385 0 R +/g2654 1386 0 R +/g2684 1387 0 R +/g27 1388 0 R +/g28 1389 0 R +/g2813 1390 0 R +/g2844 1391 0 R +/g2849 1392 0 R +/g2853 1393 0 R +/g2861 1394 0 R +/g29 1395 0 R +/g3 1396 0 R +/g31 1397 0 R +/g33 1398 0 R +/g36 1399 0 R +/g37 1400 0 R +/g38 1401 0 R +/g39 1402 0 R +/g40 1403 0 R +/g41 1404 0 R +/g42 1405 0 R +/g43 1406 0 R +/g44 1407 0 R +/g46 1408 0 R +/g47 1409 0 R +/g48 1410 0 R +/g49 1411 0 R +/g50 1412 0 R +/g51 1413 0 R +/g53 1414 0 R +/g54 1415 0 R +/g55 1416 0 R +/g56 1417 0 R +/g57 1418 0 R +/g58 1419 0 R +/g6 1420 0 R +/g60 1421 0 R +/g62 1422 0 R +/g636 1423 0 R +/g64 1424 0 R +/g643 1425 0 R +/g645 1426 0 R +/g68 1427 0 R +/g69 1428 0 R +/g70 1429 0 R +/g705 1430 0 R +/g707 1431 0 R +/g71 1432 0 R +/g712 1433 0 R +/g72 1434 0 R +/g723 1435 0 R +/g73 1436 0 R +/g74 1437 0 R +/g748 1438 0 R +/g75 1439 0 R +/g754 1440 0 R +/g76 1441 0 R +/g774 1442 0 R +/g79 1443 0 R +/g795 1444 0 R +/g80 1445 0 R +/g81 1446 0 R +/g82 1447 0 R +/g83 1448 0 R +/g85 1449 0 R +/g86 1450 0 R +/g87 1451 0 R +/g88 1452 0 R +/g89 1453 0 R +/g9 1454 0 R +/g904 1455 0 R +/g91 1456 0 R +/g92 1457 0 R +/g97 1458 0 R +>> +/Encoding 1459 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0169492 0 0 -0.0169492 0 0] +/LastChar 143 +/Subtype /Type3 +/ToUnicode 1460 0 R +/Type /Font +/Widths [45 39 54 31 42 36 32 36 15 26 +20 21 21 31 29 35 19 31 34 15 +41 59 59 32 13 59 59 25 37 46 +32 29 32 27 32 18 18 16 34 18 +18 24 41 52 34 13 43 56 35 36 +32 29 32 32 32 48 41 33 41 35 +32 37 28 28 34 32 41 59 59 59 +59 59 59 59 32 13 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +34 35 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 23 59 +59 59 59 59 59 59 59 59 59 36 +59 59 59] +>> +endobj +33 0 obj +<< +/Length 5364 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +/Height 43 +/Subtype /Image +/Width 231 +>> +stream +AdobedC   +  + + +   C + ++ + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ?ⳏ /ilQrT)5ՙj|W6E%ũ_:43AH,N)J"U qn5 ;^\mq@ߒ*^T)c# |䯊4p)q?@QJ+FrS~fgw[N$Nt֨xU_,Y:}Mb͡ȣ(8;`p(@SzQ@ +(Pnn&NE8@ @ @P@[hPH*gkj*_1Οhݴ3qfQQI= w&']OO/1lJtC[]HLWv:wwyزw)]8ڿ㶭9(xzY-6䃎+ {Q +[^\@2$!42 +f[ƟW|k{p .d|[42)*JDWI$q/nqڀ5G zl=}|8Tŝ\4w 9t? +kFXf1yf=~׿?T챦 KYs"d O(f_?W'_xrD;7w,"RdctďGqg?k7z`9~ZGj?g eu'KMI-pƲt-P~brߕ#HUIlC0`ɠ A@{p>ѫ V6HywW+R +l_)^e񧈬>&|pג]cZ:n ĄTnX"1# +U*zGs+,U75⟈v?NMߋ:żKWl؟k˲c߻~UpV57լԍ$ϫk}lrC_He|,U]Mg|!Q-:v%[4|g,?޼ FEn\F Q孫g|d_>/ !YΣ-=TeGѐyNS=^h-ͽ-W +뎻rhD\ÿ4 qox_kqprp@A'Oڇ??hoٗӴiu=r~-QQ  $LOGz_Ak?f߇ >KZK1`#.RM;PA4~>=oV+|HxW6MlVLd#n: +eώ''M_ Zܛ=s(b=(mV \xqZ˛ᰆ=Xg2(|M|JS u,1\Sk3=K~? >,5;Y|4nWiXms(GhM{u FxƊ9'ʪ1,HzPطF|۴kz?D}+BG}G433<~*)(>/Bg/ |&gU\TۢA$͓֖ΤEg'Y;Oxwy{-#4Q+yd*ȓwC ɟ,"W_IFQtݟ$͗փ/+{e+~B +c}]N"u){Kn|l먧~? +!t Ko +x,4FQ%sN+&gf+$ >7t_[^R1̞S뺋]V. N/Wl&tr>[JP``; +lPPVG?Sy//da)j~=~5f_>oQ7VEΠuh =? +`?hඣMsTğ Y:Fw-Y62'Z%}:5V@.h$g@~P~<0XWzGm~(-)_~n +xG乎#YZh#XS>~~Ⱦ>`,]wgw9y!#9p 4_N/~i>7ig%'º5%ыf)o0@B.>'xj}2 3rbQ@<_> &0yC< xʲ=h?+d +C2 )<sz|] ~ +xMҬgX;'{u{huC>$}"YlAghF `0%u 8.𶩨Eq?ΫF=sha_z_֐cwca_*> sn VE;I2΀=O5/|O+'}+a58=c7?<7?ם ud1s?ąWVIh?zxr$0#Y9|S-bk wNs6Z6.1s WNjeڋ%V~ec@]mtG$sx~#q%|>#igvrGZj)qxVIcPH#]ج, 6r&a\=w?'sXǍsr}@jAxW %GSC%PukA'kݩT +qUNOc-c1Uc^ˁv*<=jqVeO'>AI|Lۡ? 㯃ρ~!]^xvK [%r3ڬF'_Oj ܟR\K=r[E`( x?tsJY>"iPp@= mxJ]/ěW<%d46p#4|&># ٭eiaMȠkgχ}SN`<[֬㿓҉gdYژ @+@`>o<).?\ J/;[%r9< ß/gxq&Qf&q3L8ہP8~̿ >OG?i^4&񩟷HN$DxphGw_On*erRx4?M/j5/\Y.@rr߻ݴ{b>s=σJ |!wOs;|A>& 7FHmpƀ=b/@98Qր<࿃m,\kD?ƒӽ˼"Dg /~m|e~>YXG#R7{#$@xQҀ)n]#'>*L>!顽(*w :Pa*+?nMVe[XNP<: ̿ +GDy_+I xuU*D^aPjO+{_^7wZFsfgrws=xoC4M5k+ h7d y'ԚB@M$h7z37,6S)43pł7%)>ODXQU7t6!ZJrP`zPzP8hp=(}>*-ه^9H5@P&Vmjl;@]a8B9` q4<<1}m9Uf^ր'Fdp +endstream +endobj +34 0 obj +<< +/K [1] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +35 0 obj +<< +/K [2] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +36 0 obj +<< +/K [3] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +37 0 obj +<< +/K [4] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +38 0 obj +<< +/K [5] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +39 0 obj +<< +/K [6 7 8 9 10 11 12 13 14 15 +16 17 18 19 20 21 22 23 24 25] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +40 0 obj +<< +/K [26] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +41 0 obj +<< +/K [27 28 29 30 31 32 33 34 35 36 +37 38 39 40 41 42 43 44 45 46 +47 48 49 50 51 52 53 54 55 56 +57 58 59 60 61 62 63 64 65 66 +67 68 69 70 71 72 73] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +42 0 obj +<< +/K [74] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +43 0 obj +<< +/K [75 76 77 78 79 80 81 82 83 84 +85 86 87 88 89 90 91] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +44 0 obj +<< +/K [92] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +45 0 obj +<< +/K [93 94 95 96 97 98 99 100 101 102 +103 104 105 106 107 108 109 110 111 112 +113 114 115] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +46 0 obj +<< +/K [116] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +47 0 obj +<< +/K [117] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +48 0 obj +<< +/K [118] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +49 0 obj +<< +/K [119 120 121 122 123 124 125 126 127 128 +129 130 131 132 133 134 135 136 137 138 +139 140 141 142 143 144 145 146 147 148 +149 150 151 152 153 154 155 156 157 158 +159 160 161 162] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +50 0 obj +<< +/K [163] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +51 0 obj +<< +/K [164 165 166 167 168 169 170 171 172 173 +174 175 176 177 178 179 180 181 182 183 +184] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +52 0 obj +<< +/K [185] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +53 0 obj +<< +/K [186] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +54 0 obj +<< +/K [187] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +55 0 obj +<< +/K [188 189 190 191 192] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +56 0 obj +<< +/K [193 194 195 196 197 198 199] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +57 0 obj +<< +/K [200 201 202 203] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +58 0 obj +<< +/K [204] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +59 0 obj +<< +/K [205 206 207 208 209 210 211 212 213 214 +215 216 217 218 219 220 221] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +60 0 obj +<< +/K [222] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +61 0 obj +<< +/K [223] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +62 0 obj +<< +/K [224] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +63 0 obj +<< +/K [225] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +64 0 obj +<< +/K [226] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +65 0 obj +<< +/K [227] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +66 0 obj +<< +/K [228] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +67 0 obj +<< +/K [229] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +68 0 obj +<< +/K [230] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +69 0 obj +<< +/K [231] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +70 0 obj +<< +/K [232] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +71 0 obj +<< +/K [233] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +72 0 obj +<< +/K [234] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +73 0 obj +<< +/K [235] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +74 0 obj +<< +/K [236] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +75 0 obj +<< +/K [237] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +76 0 obj +<< +/K [238] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +77 0 obj +<< +/K [239] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +78 0 obj +<< +/K [240] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +79 0 obj +<< +/K [241] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +80 0 obj +<< +/K [1461 0 R] +/P 10 0 R +/Pg 13 0 R +/S /Table +/Type /StructElem +>> +endobj +81 0 obj +<< +/K [242] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +82 0 obj +<< +/K [243] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +83 0 obj +<< +/Alt () +/K [554] +/P 10 0 R +/Pg 13 0 R +/S /Figure +/Type /StructElem +>> +endobj +84 0 obj +<< +/K [244] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +85 0 obj +<< +/K [245] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +86 0 obj +<< +/K [246] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +87 0 obj +<< +/K [606 0 R] +/P 10 0 R +/Pg 13 0 R +/S /Textbox +/Type /StructElem +>> +endobj +88 0 obj +<< +/K [247] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +89 0 obj +<< +/K [248] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +90 0 obj +<< +/K [249] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +91 0 obj +<< +/K [250] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +92 0 obj +<< +/K [251] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +93 0 obj +<< +/K [252] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +94 0 obj +<< +/K [253] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +95 0 obj +<< +/K [254] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +96 0 obj +<< +/K [255] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +97 0 obj +<< +/K [256] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +98 0 obj +<< +/K [257] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +99 0 obj +<< +/K [258] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +100 0 obj +<< +/K [259] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +101 0 obj +<< +/K [260] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +102 0 obj +<< +/K [605 0 R] +/P 10 0 R +/Pg 13 0 R +/S /Textbox +/Type /StructElem +>> +endobj +103 0 obj +<< +/K [261] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +104 0 obj +<< +/K [262] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +105 0 obj +<< +/Alt () +/K [0] +/P 10 0 R +/Pg 13 0 R +/S /Figure +/Type /StructElem +>> +endobj +106 0 obj +<< +/K [263] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +107 0 obj +<< +/K [264] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +108 0 obj +<< +/K [265] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +109 0 obj +<< +/K [266] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +110 0 obj +<< +/K [1462 0 R 1463 0 R 1464 0 R] +/P 10 0 R +/Pg 13 0 R +/S /L +/Type /StructElem +>> +endobj +111 0 obj +<< +/K [301] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +112 0 obj +<< +/K [302] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +113 0 obj +<< +/K [303] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +114 0 obj +<< +/K [304] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +115 0 obj +<< +/K [1465 0 R 1466 0 R 1467 0 R 1468 0 R 1469 0 R 1470 0 R 1471 0 R 1472 0 R 1473 0 R 1474 0 R +1475 0 R 1476 0 R 1477 0 R 1478 0 R 1479 0 R 1480 0 R 1481 0 R 1482 0 R] +/P 10 0 R +/Pg 13 0 R +/S /Table +/Type /StructElem +>> +endobj +116 0 obj +<< +/K [305] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +117 0 obj +<< +/K [306 307 308 309 310 311 312 313 314 315 +316 317 318 319 320 321 322 323 324 325 +326 327 328 329 330 331 332 333 334 335] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +118 0 obj +<< +/K [336] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +119 0 obj +<< +/K [1] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +120 0 obj +<< +/K [2] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +121 0 obj +<< +/K [3] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +122 0 obj +<< +/K [4] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +123 0 obj +<< +/K [5] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +124 0 obj +<< +/K [6] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +125 0 obj +<< +/K [7] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +126 0 obj +<< +/K [8] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +127 0 obj +<< +/K [9] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +128 0 obj +<< +/K [10] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +129 0 obj +<< +/K [11] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +130 0 obj +<< +/K [12] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +131 0 obj +<< +/K [13] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +132 0 obj +<< +/K [14] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +133 0 obj +<< +/K [15] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +134 0 obj +<< +/K [16] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +135 0 obj +<< +/K [17] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +136 0 obj +<< +/K [18] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +137 0 obj +<< +/K [19] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +138 0 obj +<< +/K [20] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +139 0 obj +<< +/K [21] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +140 0 obj +<< +/K [22] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +141 0 obj +<< +/K [23] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +142 0 obj +<< +/K [24] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +143 0 obj +<< +/K [25] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +144 0 obj +<< +/K [26] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +145 0 obj +<< +/K [27] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +146 0 obj +<< +/K [28] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +147 0 obj +<< +/K [29] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +148 0 obj +<< +/K [30] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +149 0 obj +<< +/K [31] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +150 0 obj +<< +/K [32] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +151 0 obj +<< +/K [33] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +152 0 obj +<< +/K [34] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +153 0 obj +<< +/K [35] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +154 0 obj +<< +/K [36] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +155 0 obj +<< +/K [37] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +156 0 obj +<< +/K [38] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +157 0 obj +<< +/K [39] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +158 0 obj +<< +/K [40] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +159 0 obj +<< +/K [41] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +160 0 obj +<< +/K [42] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +161 0 obj +<< +/K [43] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +162 0 obj +<< +/K [44] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +163 0 obj +<< +/K [45] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +164 0 obj +<< +/K [46] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +165 0 obj +<< +/K [47] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +166 0 obj +<< +/K [48] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +167 0 obj +<< +/K [49] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +168 0 obj +<< +/K [50] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +169 0 obj +<< +/K [51] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +170 0 obj +<< +/K [52] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +171 0 obj +<< +/K [53] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +172 0 obj +<< +/K [54] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +173 0 obj +<< +/K [55] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +174 0 obj +<< +/K [56] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +175 0 obj +<< +/K [57] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +176 0 obj +<< +/K [58] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +177 0 obj +<< +/K [59] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +178 0 obj +<< +/K [60] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +179 0 obj +<< +/K [61] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +180 0 obj +<< +/K [62] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +181 0 obj +<< +/K [63] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +182 0 obj +<< +/K [64] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +183 0 obj +<< +/K [65] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +184 0 obj +<< +/K [66] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +185 0 obj +<< +/K [67] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +186 0 obj +<< +/K [68 69 70 71 72 73 74] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +187 0 obj +<< +/K [1483 0 R 1484 0 R 1485 0 R 1486 0 R 1487 0 R 1488 0 R 1489 0 R] +/P 10 0 R +/Pg 14 0 R +/S /Table +/Type /StructElem +>> +endobj +188 0 obj +<< +/K [163] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +189 0 obj +<< +/K [164 165 166 167 168 169 170 171 172 173 +174 175 176 177 178 179 180 181 182 183 +184 185] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +190 0 obj +<< +/K [186 187 188 189 190 191 192 193 194 195 +196 197] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +191 0 obj +<< +/K [198] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +192 0 obj +<< +/K [199 200 201 202 203 204 205 206 207 208 +209 210 211 212 213 214 215 216 217 218 +219 220 221 222 223 224] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +193 0 obj +<< +/K [225 226 227] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +194 0 obj +<< +/K [228 229 230 231 232 233 234 235 236 237 +238 239 240 241 242 243 244 245 246 247] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +195 0 obj +<< +/K [248] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +196 0 obj +<< +/K [249] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +197 0 obj +<< +/K [250 251 252 253 254 255] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +198 0 obj +<< +/K [256 257 258 259 260] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +199 0 obj +<< +/K [1490 0 R 1491 0 R 1492 0 R] +/P 10 0 R +/Pg 14 0 R +/S /Table +/Type /StructElem +>> +endobj +200 0 obj +<< +/K [300 301 302 303] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +201 0 obj +<< +/K [304] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +202 0 obj +<< +/K [305 306 307 308 309] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +203 0 obj +<< +/K [310 311 312] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +204 0 obj +<< +/K [313] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +205 0 obj +<< +/K [314 315 316 317] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +206 0 obj +<< +/Alt () +/K [0] +/P 10 0 R +/Pg 14 0 R +/S /Figure +/Type /StructElem +>> +endobj +207 0 obj +<< +/K [318] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +208 0 obj +<< +/K [319] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +209 0 obj +<< +/K [320] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +210 0 obj +<< +/K [321] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +211 0 obj +<< +/K [322] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +212 0 obj +<< +/K [323 324 325 326] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +213 0 obj +<< +/K [327] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +214 0 obj +<< +/K [328] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +215 0 obj +<< +/K [329] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +216 0 obj +<< +/K [330] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +217 0 obj +<< +/K [331 332 333 334 335 336 337 338] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +218 0 obj +<< +/K [339 340 341 342 343 344 345 346 347] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +219 0 obj +<< +/K [348] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +220 0 obj +<< +/K [349] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +221 0 obj +<< +/K [350] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +222 0 obj +<< +/K [351] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +223 0 obj +<< +/K [352] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +224 0 obj +<< +/K [353] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +225 0 obj +<< +/K [354] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +226 0 obj +<< +/K [355] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +227 0 obj +<< +/K [356] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +228 0 obj +<< +/K [357] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +229 0 obj +<< +/K [0] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +230 0 obj +<< +/K [1] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +231 0 obj +<< +/K [2] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +232 0 obj +<< +/K [3] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +233 0 obj +<< +/K [4] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +234 0 obj +<< +/K [5] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +235 0 obj +<< +/K [6] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +236 0 obj +<< +/K [7] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +237 0 obj +<< +/K [8] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +238 0 obj +<< +/K [9] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +239 0 obj +<< +/K [10] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +240 0 obj +<< +/K [11] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +241 0 obj +<< +/K [12] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +242 0 obj +<< +/K [13] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +243 0 obj +<< +/K [14] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +244 0 obj +<< +/K [15] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +245 0 obj +<< +/K [16] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +246 0 obj +<< +/K [17] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +247 0 obj +<< +/K [18] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +248 0 obj +<< +/K [19] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +249 0 obj +<< +/K [20] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +250 0 obj +<< +/K [21] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +251 0 obj +<< +/K [22] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +252 0 obj +<< +/K [23] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +253 0 obj +<< +/K [24] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +254 0 obj +<< +/K [25] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +255 0 obj +<< +/K [26] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +256 0 obj +<< +/K [27] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +257 0 obj +<< +/K [28] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +258 0 obj +<< +/K [29] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +259 0 obj +<< +/K [30] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +260 0 obj +<< +/K [31] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +261 0 obj +<< +/K [32] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +262 0 obj +<< +/K [33] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +263 0 obj +<< +/K [34] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +264 0 obj +<< +/K [35] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +265 0 obj +<< +/K [36] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +266 0 obj +<< +/K [37] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +267 0 obj +<< +/K [38] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +268 0 obj +<< +/K [39] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +269 0 obj +<< +/K [40] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +270 0 obj +<< +/K [41] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +271 0 obj +<< +/K [42] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +272 0 obj +<< +/K [43] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +273 0 obj +<< +/K [44] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +274 0 obj +<< +/K [45] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +275 0 obj +<< +/K [46] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +276 0 obj +<< +/K [47] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +277 0 obj +<< +/K [48] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +278 0 obj +<< +/K [49] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +279 0 obj +<< +/K [50] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +280 0 obj +<< +/K [51] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +281 0 obj +<< +/K [52] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +282 0 obj +<< +/K [53] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +283 0 obj +<< +/K [54] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +284 0 obj +<< +/K [55] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +285 0 obj +<< +/K [56] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +286 0 obj +<< +/K [57] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +287 0 obj +<< +/K [58] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +288 0 obj +<< +/K [59] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +289 0 obj +<< +/K [60] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +290 0 obj +<< +/K [61] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +291 0 obj +<< +/K [62] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +292 0 obj +<< +/K [63] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +293 0 obj +<< +/K [64] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +294 0 obj +<< +/K [65] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +295 0 obj +<< +/K [66 67 68 69 70 71 72 73 74 75 +76] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +296 0 obj +<< +/K [77] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +297 0 obj +<< +/K [78 79 80 81 82 83 84 85 86 87 +88 89 90 91 92 93 94 95 96 97 +98 99 100] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +298 0 obj +<< +/K [1493 0 R 1494 0 R 1495 0 R 1496 0 R 1497 0 R] +/P 10 0 R +/Pg 15 0 R +/S /Table +/Type /StructElem +>> +endobj +299 0 obj +<< +/K [167] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +300 0 obj +<< +/K [168 169 170 171 172 173 174 175 176 177 +178 179] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +301 0 obj +<< +/K [1498 0 R 1499 0 R 1500 0 R 1501 0 R 1502 0 R 1503 0 R 1504 0 R] +/P 10 0 R +/Pg 15 0 R +/S /Table +/Type /StructElem +>> +endobj +302 0 obj +<< +/K [260] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +303 0 obj +<< +/K [261 262 263 264 265 266 267 268 269 270 +271 272 273 274 275 276] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +304 0 obj +<< +/K [1505 0 R 1506 0 R 1507 0 R 1508 0 R 1509 0 R 1510 0 R 1511 0 R 1512 0 R] +/P 10 0 R +/Pg 15 0 R +/S /Table +/Type /StructElem +>> +endobj +305 0 obj +<< +/K [376 377 378 379 380 381 382 383 384 385 +386 387 388 389 390 391 392] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +306 0 obj +<< +/K [393] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +307 0 obj +<< +/K [394 395 396 397 398 399 400 401 402 403 +404 405 406 407 408 409 410 411] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +308 0 obj +<< +/K [412] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +309 0 obj +<< +/K [1513 0 R 1514 0 R 1515 0 R 1516 0 R 1517 0 R 1518 0 R 1519 0 R 1520 0 R] +/P 10 0 R +/Pg 15 0 R +/S /Table +/Type /StructElem +>> +endobj +310 0 obj +<< +/K [515 516 517 518 519 520 521 522 523 524 +525 526 527 528 529 530 531] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +311 0 obj +<< +/K [532] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +312 0 obj +<< +/K [533] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +313 0 obj +<< +/K [534] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +314 0 obj +<< +/K [535] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +315 0 obj +<< +/K [536] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +316 0 obj +<< +/K [537] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +317 0 obj +<< +/K [538] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +318 0 obj +<< +/K [0] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +319 0 obj +<< +/K [1] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +320 0 obj +<< +/K [2] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +321 0 obj +<< +/K [3] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +322 0 obj +<< +/K [4] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +323 0 obj +<< +/K [5] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +324 0 obj +<< +/K [6] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +325 0 obj +<< +/K [7] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +326 0 obj +<< +/K [8] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +327 0 obj +<< +/K [9] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +328 0 obj +<< +/K [10] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +329 0 obj +<< +/K [11] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +330 0 obj +<< +/K [12] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +331 0 obj +<< +/K [13] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +332 0 obj +<< +/K [14] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +333 0 obj +<< +/K [15] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +334 0 obj +<< +/K [16] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +335 0 obj +<< +/K [17] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +336 0 obj +<< +/K [18] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +337 0 obj +<< +/K [19] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +338 0 obj +<< +/K [20] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +339 0 obj +<< +/K [21] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +340 0 obj +<< +/K [22] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +341 0 obj +<< +/K [23] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +342 0 obj +<< +/K [24] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +343 0 obj +<< +/K [25] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +344 0 obj +<< +/K [26] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +345 0 obj +<< +/K [27] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +346 0 obj +<< +/K [28] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +347 0 obj +<< +/K [29] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +348 0 obj +<< +/K [30] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +349 0 obj +<< +/K [31] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +350 0 obj +<< +/K [32] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +351 0 obj +<< +/K [33] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +352 0 obj +<< +/K [34] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +353 0 obj +<< +/K [35] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +354 0 obj +<< +/K [36] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +355 0 obj +<< +/K [37] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +356 0 obj +<< +/K [38] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +357 0 obj +<< +/K [39] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +358 0 obj +<< +/K [40] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +359 0 obj +<< +/K [41] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +360 0 obj +<< +/K [42] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +361 0 obj +<< +/K [43] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +362 0 obj +<< +/K [44] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +363 0 obj +<< +/K [45] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +364 0 obj +<< +/K [46] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +365 0 obj +<< +/K [47] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +366 0 obj +<< +/K [48] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +367 0 obj +<< +/K [49] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +368 0 obj +<< +/K [50] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +369 0 obj +<< +/K [51] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +370 0 obj +<< +/K [52] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +371 0 obj +<< +/K [53] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +372 0 obj +<< +/K [54] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +373 0 obj +<< +/K [55] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +374 0 obj +<< +/K [56] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +375 0 obj +<< +/K [57] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +376 0 obj +<< +/K [58] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +377 0 obj +<< +/K [59] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +378 0 obj +<< +/K [60] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +379 0 obj +<< +/K [61] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +380 0 obj +<< +/K [62] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +381 0 obj +<< +/K [63] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +382 0 obj +<< +/K [64] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +383 0 obj +<< +/K [65] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +384 0 obj +<< +/K [66 67 68 69 70 71 72 73 74 75 +76 77 78 79 80 81 82 83] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +385 0 obj +<< +/K [1521 0 R 1522 0 R 1523 0 R 1524 0 R 1525 0 R 1526 0 R 1527 0 R 1528 0 R] +/P 10 0 R +/Pg 16 0 R +/S /Table +/Type /StructElem +>> +endobj +386 0 obj +<< +/K [183] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +387 0 obj +<< +/K [184 185 186 187 188 189 190 191 192 193 +194 195 196 197 198 199 200] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +388 0 obj +<< +/K [201] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +389 0 obj +<< +/K [202 203 204 205 206 207 208 209 210 211 +212 213] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +390 0 obj +<< +/K [1529 0 R 1530 0 R 1531 0 R 1532 0 R 1533 0 R 1534 0 R 1535 0 R 1536 0 R 1537 0 R 1538 0 R +1539 0 R 1540 0 R 1541 0 R 1542 0 R] +/P 10 0 R +/Pg 16 0 R +/S /Table +/Type /StructElem +>> +endobj +391 0 obj +<< +/K [403 404 405 406 407 408 409 410 411 412 +413 414 415 416 417 418 419] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +392 0 obj +<< +/K [420] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +393 0 obj +<< +/K [421] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +394 0 obj +<< +/K [422] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +395 0 obj +<< +/K [423] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +396 0 obj +<< +/K [424] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +397 0 obj +<< +/K [425] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +398 0 obj +<< +/K [426] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +399 0 obj +<< +/K [427] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +400 0 obj +<< +/K [428] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +401 0 obj +<< +/K [429] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +402 0 obj +<< +/K [430] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +403 0 obj +<< +/K [431] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +404 0 obj +<< +/K [0] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +405 0 obj +<< +/K [1] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +406 0 obj +<< +/K [2] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +407 0 obj +<< +/K [3] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +408 0 obj +<< +/K [4] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +409 0 obj +<< +/K [5] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +410 0 obj +<< +/K [6] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +411 0 obj +<< +/K [7] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +412 0 obj +<< +/K [8] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +413 0 obj +<< +/K [9] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +414 0 obj +<< +/K [10] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +415 0 obj +<< +/K [11] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +416 0 obj +<< +/K [12] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +417 0 obj +<< +/K [13] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +418 0 obj +<< +/K [14] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +419 0 obj +<< +/K [15] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +420 0 obj +<< +/K [16] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +421 0 obj +<< +/K [17] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +422 0 obj +<< +/K [18] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +423 0 obj +<< +/K [19] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +424 0 obj +<< +/K [20] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +425 0 obj +<< +/K [21] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +426 0 obj +<< +/K [22] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +427 0 obj +<< +/K [23] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +428 0 obj +<< +/K [24] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +429 0 obj +<< +/K [25] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +430 0 obj +<< +/K [26] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +431 0 obj +<< +/K [27] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +432 0 obj +<< +/K [28] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +433 0 obj +<< +/K [29] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +434 0 obj +<< +/K [30] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +435 0 obj +<< +/K [31] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +436 0 obj +<< +/K [32] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +437 0 obj +<< +/K [33] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +438 0 obj +<< +/K [34] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +439 0 obj +<< +/K [35] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +440 0 obj +<< +/K [36] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +441 0 obj +<< +/K [37] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +442 0 obj +<< +/K [38] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +443 0 obj +<< +/K [39] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +444 0 obj +<< +/K [40] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +445 0 obj +<< +/K [41] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +446 0 obj +<< +/K [42] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +447 0 obj +<< +/K [43] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +448 0 obj +<< +/K [44] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +449 0 obj +<< +/K [45] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +450 0 obj +<< +/K [46] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +451 0 obj +<< +/K [47] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +452 0 obj +<< +/K [48] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +453 0 obj +<< +/K [49] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +454 0 obj +<< +/K [50] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +455 0 obj +<< +/K [51] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +456 0 obj +<< +/K [52] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +457 0 obj +<< +/K [53] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +458 0 obj +<< +/K [54] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +459 0 obj +<< +/K [55] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +460 0 obj +<< +/K [56] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +461 0 obj +<< +/K [57] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +462 0 obj +<< +/K [58] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +463 0 obj +<< +/K [59] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +464 0 obj +<< +/K [60] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +465 0 obj +<< +/K [61] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +466 0 obj +<< +/K [62] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +467 0 obj +<< +/K [63] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +468 0 obj +<< +/K [64] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +469 0 obj +<< +/K [65] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +470 0 obj +<< +/K [66 67 68 69 70] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +471 0 obj +<< +/K [71] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +472 0 obj +<< +/Alt () +/K [1040 0 R 1043 0 R 1042 0 R 1041 0 R] +/P 10 0 R +/Pg 17 0 R +/S /Figure +/Type /StructElem +>> +endobj +473 0 obj +<< +/K [72] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +474 0 obj +<< +/K [73] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +475 0 obj +<< +/K [74] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +476 0 obj +<< +/K [75] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +477 0 obj +<< +/K [76] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +478 0 obj +<< +/K [77] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +479 0 obj +<< +/K [78] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +480 0 obj +<< +/K [79] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +481 0 obj +<< +/K [80] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +482 0 obj +<< +/K [81] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +483 0 obj +<< +/K [82] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +484 0 obj +<< +/K [83] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +485 0 obj +<< +/K [84] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +486 0 obj +<< +/K [85] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +487 0 obj +<< +/K [86] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +488 0 obj +<< +/K [87 88 89 90 91 92 93 94] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +489 0 obj +<< +/K [95] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +490 0 obj +<< +/K [96 97 98] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +491 0 obj +<< +/K [99 100 101 102 103 104 105 106 107 108 +109 110 111 112 113 114 115 116 117 118 +119 120 121 122 123 124 125 126 127 128 +129] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +492 0 obj +<< +/K [130 131 132 133 134 135 136 137 138 139 +140 141 142 143 144 145 146 147 148 149 +150 151 152 153 154 155 156 157] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +493 0 obj +<< +/K [158] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +494 0 obj +<< +/K [159 160 161 162 163 164 165] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +495 0 obj +<< +/K [166 167 168 169 170 171 172 173 174 175 +176 177 178 179 180 181 182 183 184 185 +186 187 188] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +496 0 obj +<< +/K [189 190 191 192 193 194 195 196 197 198 +199 200 201 202 203 204 205 206 207] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +497 0 obj +<< +/K [208 209 210 211 212 213 214 215 216 217 +218 219 220 221 222 223] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +498 0 obj +<< +/K [224 225 226 227 228 229 230 231 232 233 +234 235 236 237] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +499 0 obj +<< +/K [238 239 240 241 242 243 244 245 246 247 +248 249 250 251 252 253 254 255 256 257] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +500 0 obj +<< +/K [258 259 260 261 262 263 264 265 266 267 +268 269 270 271 272 273 274 275 276 277 +278 279 280 281] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +501 0 obj +<< +/K [282 283 284 285 286 287 288 289 290 291 +292 293] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +502 0 obj +<< +/K [294 295 296 297 298 299 300 301 302 303 +304 305 306 307 308 309] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +503 0 obj +<< +/K [310 311 312 313 314 315 316 317 318 319 +320 321 322 323 324 325 326 327 328 329] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +504 0 obj +<< +/K [330 331 332 333 334 335 336 337 338 339 +340 341 342 343 344 345 346 347 348 349 +350 351 352 353 354] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +505 0 obj +<< +/K [355 356 357 358 359 360 361 362 363 364 +365 366 367 368 369 370 371 372 373 374 +375] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +506 0 obj +<< +/K [376 377 378 379 380 381 382 383 384 385 +386 387 388 389 390 391 392] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +507 0 obj +<< +/K [393 394 395 396 397 398 399 400 401 402 +403 404 405 406 407] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +508 0 obj +<< +/K [408] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +509 0 obj +<< +/K [409] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +510 0 obj +<< +/K [410] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +511 0 obj +<< +/K [411] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +512 0 obj +<< +/K [412] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +513 0 obj +<< +/K [267 268 269 270 271 272 273 274] +/P 1462 0 R +/Pg 13 0 R +/S /LBody +/Type /StructElem +>> +endobj +514 0 obj +<< +/K [275 276 277 278 279 280 281 282 283 284 +285 286] +/P 1463 0 R +/Pg 13 0 R +/S /LBody +/Type /StructElem +>> +endobj +515 0 obj +<< +/K [287 288 289 290 291 292 293 294 295 296 +297 298 299 300] +/P 1464 0 R +/Pg 13 0 R +/S /LBody +/Type /StructElem +>> +endobj +516 0 obj +<< +/K [337 338 339 340 341 342 343 344 345 346 +347 348 349 350 351 352 353 354 355 356 +357 358 359 360 361 362 363 364 365 366 +367 368 369 370 371 372 373 374 375 376 +377 378 379 380 381 382 383 384 385 386 +387] +/P 1543 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +517 0 obj +<< +/K [388] +/P 1543 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +518 0 obj +<< +/K 389 +/P 1461 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +519 0 obj +<< +/K 390 +/P 1544 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +520 0 obj +<< +/K [391 392 393 394 395] +/P 1544 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +521 0 obj +<< +/K 396 +/P 1545 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +522 0 obj +<< +/K [397 398 399 400 401] +/P 1545 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +523 0 obj +<< +/K 402 +/P 1546 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +524 0 obj +<< +/K [403 404 405 406 407] +/P 1546 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +525 0 obj +<< +/K 408 +/P 1465 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +526 0 obj +<< +/K [409 410] +/P 1547 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +527 0 obj +<< +/K [411] +/P 1547 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +528 0 obj +<< +/K [412 413] +/P 1548 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +529 0 obj +<< +/K [414] +/P 1549 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +530 0 obj +<< +/K [415] +/P 1550 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +531 0 obj +<< +/K 416 +/P 1466 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +532 0 obj +<< +/K [417 418] +/P 1551 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +533 0 obj +<< +/K [419] +/P 1552 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +534 0 obj +<< +/K [420 421 422] +/P 1553 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +535 0 obj +<< +/K 423 +/P 1467 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +536 0 obj +<< +/K [424 425] +/P 1554 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +537 0 obj +<< +/K [426 427] +/P 1555 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +538 0 obj +<< +/K [428 429 430 431 432] +/P 1556 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +539 0 obj +<< +/K [433 434 435 436 437 438] +/P 1557 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +540 0 obj +<< +/K 439 +/P 1468 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +541 0 obj +<< +/K [440 441] +/P 1558 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +542 0 obj +<< +/K [442 443 444 445 446] +/P 1559 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +543 0 obj +<< +/K [447 448 449 450] +/P 1560 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +544 0 obj +<< +/K 451 +/P 1469 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +545 0 obj +<< +/K [452 453] +/P 1561 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +546 0 obj +<< +/K [454 455 456] +/P 1562 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +547 0 obj +<< +/K [457] +/P 1563 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +548 0 obj +<< +/K 458 +/P 1470 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +549 0 obj +<< +/K [459 460 461] +/P 1564 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +550 0 obj +<< +/K [462 463 464] +/P 1564 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +551 0 obj +<< +/K [465 466] +/P 1564 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +552 0 obj +<< +/K [467 468] +/P 1565 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +553 0 obj +<< +/K [469] +/P 1566 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +554 0 obj +<< +/K [470] +/P 1567 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +555 0 obj +<< +/K 471 +/P 1471 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +556 0 obj +<< +/K [472 473] +/P 1568 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +557 0 obj +<< +/K [474] +/P 1569 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +558 0 obj +<< +/K [475 476] +/P 1570 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +559 0 obj +<< +/K 477 +/P 1472 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +560 0 obj +<< +/K [478 479] +/P 1571 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +561 0 obj +<< +/K [480] +/P 1572 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +562 0 obj +<< +/K [481] +/P 1573 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +563 0 obj +<< +/K 482 +/P 1473 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +564 0 obj +<< +/K [483 484] +/P 1574 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +565 0 obj +<< +/K [485] +/P 1575 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +566 0 obj +<< +/K [486] +/P 1576 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +567 0 obj +<< +/K 487 +/P 1474 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +568 0 obj +<< +/K [488 489] +/P 1577 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +569 0 obj +<< +/K [490] +/P 1578 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +570 0 obj +<< +/K [491 492] +/P 1579 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +571 0 obj +<< +/K 493 +/P 1475 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +572 0 obj +<< +/K [494 495] +/P 1580 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +573 0 obj +<< +/K [496 497] +/P 1581 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +574 0 obj +<< +/K [498] +/P 1582 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +575 0 obj +<< +/K [499 500 501 502] +/P 1583 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +576 0 obj +<< +/K 503 +/P 1476 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +577 0 obj +<< +/K [504 505 506] +/P 1584 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +578 0 obj +<< +/K [507 508 509 510] +/P 1585 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +579 0 obj +<< +/K [511] +/P 1586 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +580 0 obj +<< +/K 512 +/P 1477 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +581 0 obj +<< +/K [513 514 515] +/P 1587 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +582 0 obj +<< +/K [516 517] +/P 1587 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +583 0 obj +<< +/K [518 519 520 521] +/P 1588 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +584 0 obj +<< +/K [522] +/P 1589 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +585 0 obj +<< +/K [523] +/P 1590 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +586 0 obj +<< +/K 524 +/P 1478 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +587 0 obj +<< +/K [525 526 527 528] +/P 1591 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +588 0 obj +<< +/K [529] +/P 1592 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +589 0 obj +<< +/K [530] +/P 1593 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +590 0 obj +<< +/K 531 +/P 1479 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +591 0 obj +<< +/K [532 533] +/P 1594 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +592 0 obj +<< +/K [534 535] +/P 1594 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +593 0 obj +<< +/K [536] +/P 1595 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +594 0 obj +<< +/K [537] +/P 1596 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +595 0 obj +<< +/K [538 539 540 541] +/P 1597 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +596 0 obj +<< +/K 542 +/P 1480 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +597 0 obj +<< +/K [543] +/P 1598 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +598 0 obj +<< +/K [544] +/P 1599 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +599 0 obj +<< +/K [545 546 547 548] +/P 1600 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +600 0 obj +<< +/K 549 +/P 1481 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +601 0 obj +<< +/K [550] +/P 1601 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +602 0 obj +<< +/K [551] +/P 1602 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +603 0 obj +<< +/K [552] +/P 1603 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +604 0 obj +<< +/K 553 +/P 1482 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +605 0 obj +<< +/K [555] +/P 102 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +606 0 obj +<< +/K [556] +/P 87 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +607 0 obj +<< +/K 75 +/P 1604 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +608 0 obj +<< +/K [76 77 78] +/P 1604 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +609 0 obj +<< +/K 79 +/P 1605 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +610 0 obj +<< +/K [80 81 82 83 84] +/P 1605 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +611 0 obj +<< +/K 85 +/P 1606 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +612 0 obj +<< +/K [86 87 88 89 90] +/P 1606 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +613 0 obj +<< +/K 91 +/P 1607 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +614 0 obj +<< +/K [92 93 94 95] +/P 1607 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +615 0 obj +<< +/K 96 +/P 1483 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +616 0 obj +<< +/K [97] +/P 1608 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +617 0 obj +<< +/K [98] +/P 1609 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +618 0 obj +<< +/K [99] +/P 1610 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +619 0 obj +<< +/K [100] +/P 1611 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +620 0 obj +<< +/K [101 102 103] +/P 1612 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +621 0 obj +<< +/K 104 +/P 1484 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +622 0 obj +<< +/K [105] +/P 1613 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +623 0 obj +<< +/K [106] +/P 1614 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +624 0 obj +<< +/K [107] +/P 1615 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +625 0 obj +<< +/K [108] +/P 1616 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +626 0 obj +<< +/K [109 110 111] +/P 1617 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +627 0 obj +<< +/K 112 +/P 1485 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +628 0 obj +<< +/K [113] +/P 1618 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +629 0 obj +<< +/K [114] +/P 1619 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +630 0 obj +<< +/K [115] +/P 1620 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +631 0 obj +<< +/K [116 117 118 119 120] +/P 1621 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +632 0 obj +<< +/K [121 122 123] +/P 1622 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +633 0 obj +<< +/K 124 +/P 1486 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +634 0 obj +<< +/K [125] +/P 1623 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +635 0 obj +<< +/K [126] +/P 1624 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +636 0 obj +<< +/K [127] +/P 1625 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +637 0 obj +<< +/K [128 129 130 131] +/P 1626 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +638 0 obj +<< +/K [132 133 134 135 136 137 138 139 140 141] +/P 1627 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +639 0 obj +<< +/K 142 +/P 1487 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +640 0 obj +<< +/K [143] +/P 1628 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +641 0 obj +<< +/K [144] +/P 1629 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +642 0 obj +<< +/K [145 146 147] +/P 1630 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +643 0 obj +<< +/K [148] +/P 1631 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +644 0 obj +<< +/K [149 150 151] +/P 1632 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +645 0 obj +<< +/K 152 +/P 1488 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +646 0 obj +<< +/K [153] +/P 1633 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +647 0 obj +<< +/K [154] +/P 1634 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +648 0 obj +<< +/K [155 156 157] +/P 1635 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +649 0 obj +<< +/K [158] +/P 1636 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +650 0 obj +<< +/K [159 160 161] +/P 1637 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +651 0 obj +<< +/K 162 +/P 1489 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +652 0 obj +<< +/K 261 +/P 1638 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +653 0 obj +<< +/K [262 263 264] +/P 1638 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +654 0 obj +<< +/K 265 +/P 1639 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +655 0 obj +<< +/K [266 267 268 269 270] +/P 1639 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +656 0 obj +<< +/K 271 +/P 1640 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +657 0 obj +<< +/K [272 273 274 275 276] +/P 1640 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +658 0 obj +<< +/K 277 +/P 1490 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +659 0 obj +<< +/K [278] +/P 1641 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +660 0 obj +<< +/K [279] +/P 1642 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +661 0 obj +<< +/K [280 281 282 283 284 285 286 287] +/P 1643 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +662 0 obj +<< +/K 288 +/P 1491 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +663 0 obj +<< +/K [289] +/P 1644 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +664 0 obj +<< +/K [290] +/P 1645 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +665 0 obj +<< +/K [291 292 293 294 295 296 297 298] +/P 1646 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +666 0 obj +<< +/K 299 +/P 1492 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +667 0 obj +<< +/K 101 +/P 1647 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +668 0 obj +<< +/K [102 103 104] +/P 1647 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +669 0 obj +<< +/K 105 +/P 1648 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +670 0 obj +<< +/K [106 107 108 109 110] +/P 1648 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +671 0 obj +<< +/K 111 +/P 1649 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +672 0 obj +<< +/K [112 113 114 115 116] +/P 1649 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +673 0 obj +<< +/K 117 +/P 1493 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +674 0 obj +<< +/K [118] +/P 1650 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +675 0 obj +<< +/K [119 120 121 122 123 124 125] +/P 1651 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +676 0 obj +<< +/K [126] +/P 1652 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +677 0 obj +<< +/K 127 +/P 1494 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +678 0 obj +<< +/K [128] +/P 1653 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +679 0 obj +<< +/K [129 130 131 132 133 134 135 136] +/P 1654 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +680 0 obj +<< +/K [137] +/P 1655 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +681 0 obj +<< +/K 138 +/P 1495 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +682 0 obj +<< +/K [139] +/P 1656 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +683 0 obj +<< +/K [140 141 142 143 144 145 146 147 148 149 +150] +/P 1657 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +684 0 obj +<< +/K [151] +/P 1658 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +685 0 obj +<< +/K 152 +/P 1496 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +686 0 obj +<< +/K [153] +/P 1659 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +687 0 obj +<< +/K [154 155 156 157 158 159 160 161 162 163 +164] +/P 1660 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +688 0 obj +<< +/K [165] +/P 1661 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +689 0 obj +<< +/K 166 +/P 1497 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +690 0 obj +<< +/K 180 +/P 1662 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +691 0 obj +<< +/K [181 182 183] +/P 1662 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +692 0 obj +<< +/K 184 +/P 1663 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +693 0 obj +<< +/K [185 186 187] +/P 1663 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +694 0 obj +<< +/K 188 +/P 1664 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +695 0 obj +<< +/K [189 190 191] +/P 1664 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +696 0 obj +<< +/K 192 +/P 1665 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +697 0 obj +<< +/K [193 194 195] +/P 1665 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +698 0 obj +<< +/K 196 +/P 1666 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +699 0 obj +<< +/K [197 198 199] +/P 1666 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +700 0 obj +<< +/K 200 +/P 1667 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +701 0 obj +<< +/K [201 202 203] +/P 1667 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +702 0 obj +<< +/K 204 +/P 1498 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +703 0 obj +<< +/K [205] +/P 1668 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +704 0 obj +<< +/K [206] +/P 1669 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +705 0 obj +<< +/K [207] +/P 1670 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +706 0 obj +<< +/K [208] +/P 1671 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +707 0 obj +<< +/K [209] +/P 1672 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +708 0 obj +<< +/K [210 211] +/P 1673 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +709 0 obj +<< +/K 212 +/P 1499 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +710 0 obj +<< +/K [213] +/P 1674 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +711 0 obj +<< +/K [214] +/P 1675 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +712 0 obj +<< +/K [215] +/P 1676 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +713 0 obj +<< +/K [216] +/P 1677 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +714 0 obj +<< +/K [217] +/P 1678 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +715 0 obj +<< +/K [218 219 220 221] +/P 1679 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +716 0 obj +<< +/K 222 +/P 1500 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +717 0 obj +<< +/K [223] +/P 1680 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +718 0 obj +<< +/K [224 225] +/P 1681 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +719 0 obj +<< +/K [226] +/P 1682 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +720 0 obj +<< +/K [227] +/P 1683 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +721 0 obj +<< +/K [228] +/P 1684 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +722 0 obj +<< +/K [229 230] +/P 1685 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +723 0 obj +<< +/K 231 +/P 1501 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +724 0 obj +<< +/K [232] +/P 1686 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +725 0 obj +<< +/K [233] +/P 1687 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +726 0 obj +<< +/K [234] +/P 1688 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +727 0 obj +<< +/K [235] +/P 1689 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +728 0 obj +<< +/K [236 237 238] +/P 1690 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +729 0 obj +<< +/K [239] +/P 1691 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +730 0 obj +<< +/K 240 +/P 1502 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +731 0 obj +<< +/K [241] +/P 1692 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +732 0 obj +<< +/K [242] +/P 1693 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +733 0 obj +<< +/K [243] +/P 1694 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +734 0 obj +<< +/K [244] +/P 1695 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +735 0 obj +<< +/K [245] +/P 1696 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +736 0 obj +<< +/K [246 247 248 249 250] +/P 1697 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +737 0 obj +<< +/K 251 +/P 1503 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +738 0 obj +<< +/K [252] +/P 1698 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +739 0 obj +<< +/K [253] +/P 1699 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +740 0 obj +<< +/K [254] +/P 1700 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +741 0 obj +<< +/K [255] +/P 1701 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +742 0 obj +<< +/K [256] +/P 1702 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +743 0 obj +<< +/K [257 258] +/P 1703 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +744 0 obj +<< +/K 259 +/P 1504 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +745 0 obj +<< +/K 277 +/P 1704 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +746 0 obj +<< +/K [278 279 280] +/P 1704 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +747 0 obj +<< +/K 281 +/P 1705 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +748 0 obj +<< +/K [282 283 284] +/P 1705 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +749 0 obj +<< +/K 285 +/P 1706 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +750 0 obj +<< +/K [286 287 288] +/P 1706 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +751 0 obj +<< +/K 289 +/P 1707 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +752 0 obj +<< +/K [290 291 292] +/P 1707 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +753 0 obj +<< +/K 293 +/P 1708 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +754 0 obj +<< +/K [294 295 296] +/P 1708 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +755 0 obj +<< +/K 297 +/P 1709 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +756 0 obj +<< +/K [298 299 300] +/P 1709 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +757 0 obj +<< +/K 301 +/P 1505 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +758 0 obj +<< +/K [302] +/P 1710 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +759 0 obj +<< +/K [303] +/P 1711 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +760 0 obj +<< +/K [304] +/P 1712 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +761 0 obj +<< +/K [305] +/P 1713 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +762 0 obj +<< +/K [306] +/P 1714 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +763 0 obj +<< +/K [307 308] +/P 1715 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +764 0 obj +<< +/K 309 +/P 1506 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +765 0 obj +<< +/K [310] +/P 1716 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +766 0 obj +<< +/K [311] +/P 1717 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +767 0 obj +<< +/K [312] +/P 1718 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +768 0 obj +<< +/K [313] +/P 1719 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +769 0 obj +<< +/K [314] +/P 1720 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +770 0 obj +<< +/K [315 316 317 318] +/P 1721 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +771 0 obj +<< +/K 319 +/P 1507 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +772 0 obj +<< +/K [320] +/P 1722 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +773 0 obj +<< +/K [321 322 323 324] +/P 1723 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +774 0 obj +<< +/K [325] +/P 1724 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +775 0 obj +<< +/K [326] +/P 1725 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +776 0 obj +<< +/K [327] +/P 1726 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +777 0 obj +<< +/K [328 329] +/P 1727 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +778 0 obj +<< +/K 330 +/P 1508 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +779 0 obj +<< +/K [331] +/P 1728 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +780 0 obj +<< +/K [332] +/P 1729 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +781 0 obj +<< +/K [333] +/P 1730 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +782 0 obj +<< +/K [334] +/P 1731 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +783 0 obj +<< +/K [335 336 337 338 339] +/P 1732 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +784 0 obj +<< +/K [340] +/P 1733 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +785 0 obj +<< +/K 341 +/P 1509 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +786 0 obj +<< +/K [342] +/P 1734 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +787 0 obj +<< +/K [343 344] +/P 1735 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +788 0 obj +<< +/K [345] +/P 1736 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +789 0 obj +<< +/K [346] +/P 1737 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +790 0 obj +<< +/K [347 348 349 350 351] +/P 1738 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +791 0 obj +<< +/K [352 353 354 355] +/P 1739 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +792 0 obj +<< +/K 356 +/P 1510 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +793 0 obj +<< +/K [357] +/P 1740 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +794 0 obj +<< +/K [358] +/P 1741 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +795 0 obj +<< +/K [359] +/P 1742 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +796 0 obj +<< +/K [360] +/P 1743 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +797 0 obj +<< +/K [361] +/P 1744 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +798 0 obj +<< +/K [362 363 364 365 366] +/P 1745 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +799 0 obj +<< +/K 367 +/P 1511 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +800 0 obj +<< +/K [368] +/P 1746 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +801 0 obj +<< +/K [369] +/P 1747 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +802 0 obj +<< +/K [370] +/P 1748 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +803 0 obj +<< +/K [371] +/P 1749 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +804 0 obj +<< +/K [372] +/P 1750 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +805 0 obj +<< +/K [373 374] +/P 1751 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +806 0 obj +<< +/K 375 +/P 1512 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +807 0 obj +<< +/K 413 +/P 1752 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +808 0 obj +<< +/K [414 415 416] +/P 1752 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +809 0 obj +<< +/K 417 +/P 1753 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +810 0 obj +<< +/K [418 419 420] +/P 1753 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +811 0 obj +<< +/K 421 +/P 1754 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +812 0 obj +<< +/K [422 423 424] +/P 1754 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +813 0 obj +<< +/K 425 +/P 1755 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +814 0 obj +<< +/K [426 427 428] +/P 1755 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +815 0 obj +<< +/K 429 +/P 1756 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +816 0 obj +<< +/K [430 431 432] +/P 1756 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +817 0 obj +<< +/K 433 +/P 1757 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +818 0 obj +<< +/K [434 435 436] +/P 1757 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +819 0 obj +<< +/K 437 +/P 1513 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +820 0 obj +<< +/K [438] +/P 1758 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +821 0 obj +<< +/K [439] +/P 1759 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +822 0 obj +<< +/K [440] +/P 1760 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +823 0 obj +<< +/K [441] +/P 1761 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +824 0 obj +<< +/K [442] +/P 1762 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +825 0 obj +<< +/K [443 444] +/P 1763 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +826 0 obj +<< +/K 445 +/P 1514 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +827 0 obj +<< +/K [446] +/P 1764 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +828 0 obj +<< +/K [447] +/P 1765 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +829 0 obj +<< +/K [448] +/P 1766 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +830 0 obj +<< +/K [449] +/P 1767 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +831 0 obj +<< +/K [450] +/P 1768 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +832 0 obj +<< +/K [451 452 453 454] +/P 1769 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +833 0 obj +<< +/K 455 +/P 1515 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +834 0 obj +<< +/K [456] +/P 1770 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +835 0 obj +<< +/K [457 458 459 460] +/P 1771 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +836 0 obj +<< +/K [461] +/P 1772 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +837 0 obj +<< +/K [462] +/P 1773 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +838 0 obj +<< +/K [463] +/P 1774 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +839 0 obj +<< +/K [464 465] +/P 1775 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +840 0 obj +<< +/K 466 +/P 1516 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +841 0 obj +<< +/K [467] +/P 1776 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +842 0 obj +<< +/K [468] +/P 1777 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +843 0 obj +<< +/K [469] +/P 1778 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +844 0 obj +<< +/K [470] +/P 1779 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +845 0 obj +<< +/K [471 472 473 474 475 476 477 478] +/P 1780 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +846 0 obj +<< +/K [479] +/P 1781 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +847 0 obj +<< +/K 480 +/P 1517 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +848 0 obj +<< +/K [481] +/P 1782 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +849 0 obj +<< +/K [482 483] +/P 1783 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +850 0 obj +<< +/K [484] +/P 1784 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +851 0 obj +<< +/K [485] +/P 1785 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +852 0 obj +<< +/K [486 487 488 489 490 491 492] +/P 1786 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +853 0 obj +<< +/K [493] +/P 1787 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +854 0 obj +<< +/K [494] +/P 1787 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +855 0 obj +<< +/K 495 +/P 1518 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +856 0 obj +<< +/K [496] +/P 1788 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +857 0 obj +<< +/K [497] +/P 1789 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +858 0 obj +<< +/K [498] +/P 1790 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +859 0 obj +<< +/K [499] +/P 1791 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +860 0 obj +<< +/K [500] +/P 1792 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +861 0 obj +<< +/K [501 502 503 504 505] +/P 1793 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +862 0 obj +<< +/K 506 +/P 1519 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +863 0 obj +<< +/K [507] +/P 1794 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +864 0 obj +<< +/K [508] +/P 1795 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +865 0 obj +<< +/K [509] +/P 1796 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +866 0 obj +<< +/K [510] +/P 1797 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +867 0 obj +<< +/K [511] +/P 1798 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +868 0 obj +<< +/K [512 513] +/P 1799 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +869 0 obj +<< +/K 514 +/P 1520 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +870 0 obj +<< +/K 84 +/P 1800 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +871 0 obj +<< +/K [85 86 87 88] +/P 1800 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +872 0 obj +<< +/K 89 +/P 1801 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +873 0 obj +<< +/K [90 91 92] +/P 1801 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +874 0 obj +<< +/K 93 +/P 1802 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +875 0 obj +<< +/K [94 95 96] +/P 1802 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +876 0 obj +<< +/K 97 +/P 1803 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +877 0 obj +<< +/K [98 99 100] +/P 1803 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +878 0 obj +<< +/K 101 +/P 1804 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +879 0 obj +<< +/K [102 103 104] +/P 1804 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +880 0 obj +<< +/K 105 +/P 1805 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +881 0 obj +<< +/K [106 107 108] +/P 1805 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +882 0 obj +<< +/K 109 +/P 1521 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +883 0 obj +<< +/K [110] +/P 1806 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +884 0 obj +<< +/K [111] +/P 1807 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +885 0 obj +<< +/K [112] +/P 1808 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +886 0 obj +<< +/K [113] +/P 1809 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +887 0 obj +<< +/K [114] +/P 1810 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +888 0 obj +<< +/K [115 116] +/P 1811 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +889 0 obj +<< +/K 117 +/P 1522 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +890 0 obj +<< +/K [118] +/P 1812 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +891 0 obj +<< +/K [119] +/P 1813 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +892 0 obj +<< +/K [120] +/P 1814 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +893 0 obj +<< +/K [121] +/P 1815 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +894 0 obj +<< +/K [122] +/P 1816 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +895 0 obj +<< +/K [123 124 125 126] +/P 1817 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +896 0 obj +<< +/K 127 +/P 1523 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +897 0 obj +<< +/K [128] +/P 1818 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +898 0 obj +<< +/K [129 130 131 132] +/P 1819 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +899 0 obj +<< +/K [133] +/P 1820 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +900 0 obj +<< +/K [134] +/P 1821 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +901 0 obj +<< +/K [135] +/P 1822 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +902 0 obj +<< +/K [136 137] +/P 1823 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +903 0 obj +<< +/K 138 +/P 1524 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +904 0 obj +<< +/K [139] +/P 1824 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +905 0 obj +<< +/K [140] +/P 1825 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +906 0 obj +<< +/K [141] +/P 1826 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +907 0 obj +<< +/K [142] +/P 1827 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +908 0 obj +<< +/K [143 144 145 146 147 148 149] +/P 1828 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +909 0 obj +<< +/K [150] +/P 1829 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +910 0 obj +<< +/K 151 +/P 1525 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +911 0 obj +<< +/K [152] +/P 1830 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +912 0 obj +<< +/K [153 154] +/P 1831 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +913 0 obj +<< +/K [155] +/P 1832 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +914 0 obj +<< +/K [156] +/P 1833 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +915 0 obj +<< +/K [157 158 159 160 161] +/P 1834 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +916 0 obj +<< +/K [162] +/P 1835 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +917 0 obj +<< +/K 163 +/P 1526 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +918 0 obj +<< +/K [164] +/P 1836 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +919 0 obj +<< +/K [165] +/P 1837 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +920 0 obj +<< +/K [166] +/P 1838 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +921 0 obj +<< +/K [167] +/P 1839 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +922 0 obj +<< +/K [168] +/P 1840 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +923 0 obj +<< +/K [169 170 171 172 173] +/P 1841 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +924 0 obj +<< +/K 174 +/P 1527 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +925 0 obj +<< +/K [175] +/P 1842 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +926 0 obj +<< +/K [176] +/P 1843 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +927 0 obj +<< +/K [177] +/P 1844 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +928 0 obj +<< +/K [178] +/P 1845 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +929 0 obj +<< +/K [179] +/P 1846 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +930 0 obj +<< +/K [180 181] +/P 1847 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +931 0 obj +<< +/K 182 +/P 1528 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +932 0 obj +<< +/K 214 +/P 1848 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +933 0 obj +<< +/K [215 216 217] +/P 1848 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +934 0 obj +<< +/K 218 +/P 1849 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +935 0 obj +<< +/K [219 220 221] +/P 1849 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +936 0 obj +<< +/K 222 +/P 1850 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +937 0 obj +<< +/K [223 224 225] +/P 1850 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +938 0 obj +<< +/K 226 +/P 1851 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +939 0 obj +<< +/K [227 228 229] +/P 1851 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +940 0 obj +<< +/K 230 +/P 1852 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +941 0 obj +<< +/K [231 232 233] +/P 1852 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +942 0 obj +<< +/K 234 +/P 1853 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +943 0 obj +<< +/K [235 236 237] +/P 1853 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +944 0 obj +<< +/K 238 +/P 1529 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +945 0 obj +<< +/K [239] +/P 1854 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +946 0 obj +<< +/K [240] +/P 1855 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +947 0 obj +<< +/K [241] +/P 1856 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +948 0 obj +<< +/K [242] +/P 1857 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +949 0 obj +<< +/K [243] +/P 1858 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +950 0 obj +<< +/K [244 245] +/P 1859 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +951 0 obj +<< +/K 246 +/P 1530 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +952 0 obj +<< +/K [247] +/P 1860 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +953 0 obj +<< +/K [248] +/P 1861 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +954 0 obj +<< +/K [249] +/P 1862 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +955 0 obj +<< +/K [250] +/P 1863 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +956 0 obj +<< +/K [251] +/P 1864 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +957 0 obj +<< +/K [252 253 254 255] +/P 1865 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +958 0 obj +<< +/K 256 +/P 1531 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +959 0 obj +<< +/K [257] +/P 1866 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +960 0 obj +<< +/K [258 259 260 261] +/P 1867 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +961 0 obj +<< +/K [262] +/P 1868 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +962 0 obj +<< +/K [263] +/P 1869 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +963 0 obj +<< +/K [264] +/P 1870 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +964 0 obj +<< +/K [265 266] +/P 1871 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +965 0 obj +<< +/K 267 +/P 1532 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +966 0 obj +<< +/K [268] +/P 1872 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +967 0 obj +<< +/K [269] +/P 1873 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +968 0 obj +<< +/K [270] +/P 1874 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +969 0 obj +<< +/K [271] +/P 1875 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +970 0 obj +<< +/K [272 273 274 275] +/P 1876 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +971 0 obj +<< +/K [276 277 278] +/P 1877 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +972 0 obj +<< +/K 279 +/P 1533 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +973 0 obj +<< +/K [280] +/P 1878 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +974 0 obj +<< +/K [281 282 283 284] +/P 1879 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +975 0 obj +<< +/K [285] +/P 1880 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +976 0 obj +<< +/K [286] +/P 1881 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +977 0 obj +<< +/K [287] +/P 1882 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +978 0 obj +<< +/K [288] +/P 1883 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +979 0 obj +<< +/K 289 +/P 1534 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +980 0 obj +<< +/K [290] +/P 1884 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +981 0 obj +<< +/K [291 292 293 294] +/P 1885 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +982 0 obj +<< +/K [295] +/P 1886 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +983 0 obj +<< +/K [296] +/P 1887 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +984 0 obj +<< +/K [297 298 299 300] +/P 1888 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +985 0 obj +<< +/K [301] +/P 1889 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +986 0 obj +<< +/K 302 +/P 1535 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +987 0 obj +<< +/K [303] +/P 1890 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +988 0 obj +<< +/K [304 305 306] +/P 1891 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +989 0 obj +<< +/K [307] +/P 1892 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +990 0 obj +<< +/K [308] +/P 1893 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +991 0 obj +<< +/K [309] +/P 1894 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +992 0 obj +<< +/K [310 311 312 313 314 315 316 317 318 319 +320] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +993 0 obj +<< +/K [321] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +994 0 obj +<< +/K [322 323 324 325 326 327 328 329 330 331] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +995 0 obj +<< +/K [332] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +996 0 obj +<< +/K [333] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +997 0 obj +<< +/K [334 335 336 337 338] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +998 0 obj +<< +/K [339 340 341 342 343 344 345] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +999 0 obj +<< +/K [346 347 348 349 350] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1000 0 obj +<< +/K 351 +/P 1536 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1001 0 obj +<< +/K [352] +/P 1896 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1002 0 obj +<< +/K [353 354 355 356] +/P 1897 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1003 0 obj +<< +/K [357] +/P 1898 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1004 0 obj +<< +/K [358] +/P 1899 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1005 0 obj +<< +/K 359 +/P 1537 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1006 0 obj +<< +/K [360] +/P 1900 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1007 0 obj +<< +/K [361 362 363 364] +/P 1901 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1008 0 obj +<< +/K [365] +/P 1902 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1009 0 obj +<< +/K [366] +/P 1903 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1010 0 obj +<< +/K 367 +/P 1538 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1011 0 obj +<< +/K [368] +/P 1904 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1012 0 obj +<< +/K [369 370 371] +/P 1905 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1013 0 obj +<< +/K [372] +/P 1906 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1014 0 obj +<< +/K [373] +/P 1907 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1015 0 obj +<< +/K 374 +/P 1539 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1016 0 obj +<< +/K [375] +/P 1908 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1017 0 obj +<< +/K [376 377 378 379] +/P 1909 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1018 0 obj +<< +/K [380] +/P 1910 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1019 0 obj +<< +/K [381] +/P 1911 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1020 0 obj +<< +/K [382] +/P 1912 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1021 0 obj +<< +/K [383] +/P 1913 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1022 0 obj +<< +/K 384 +/P 1540 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1023 0 obj +<< +/K [385] +/P 1914 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1024 0 obj +<< +/K [386] +/P 1915 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1025 0 obj +<< +/K [387] +/P 1916 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1026 0 obj +<< +/K [388] +/P 1917 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1027 0 obj +<< +/K [389] +/P 1918 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1028 0 obj +<< +/K [390] +/P 1919 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1029 0 obj +<< +/K [391] +/P 1919 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1030 0 obj +<< +/K [392] +/P 1919 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1031 0 obj +<< +/K [393] +/P 1919 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1032 0 obj +<< +/K 394 +/P 1541 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1033 0 obj +<< +/K [395] +/P 1920 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1034 0 obj +<< +/K [396] +/P 1921 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1035 0 obj +<< +/K [397] +/P 1922 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1036 0 obj +<< +/K [398] +/P 1923 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1037 0 obj +<< +/K [399] +/P 1924 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1038 0 obj +<< +/K [400 401] +/P 1925 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1039 0 obj +<< +/K 402 +/P 1542 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1040 0 obj +<< +/K 413 +/P 472 0 R +/Pg 17 0 R +/S /Span +/Type /StructElem +>> +endobj +1041 0 obj +<< +/K [414] +/P 472 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +1042 0 obj +<< +/K [415] +/P 472 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +1043 0 obj +<< +/K [416] +/P 472 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +1044 0 obj +<< +/Length 1688 +/Filter /FlateDecode +>> +stream +HW]sF}ׯؙEbz'ı[Z!v -+kK2ؖV:{,/Oϗ/wud\.؛Φr1gGG'DpwL!rL+YQW1 5Batᓞx(8Jz#`'Zq嘴ܡbw>FC8pdXţ7lK2'/dXNqO1Ǧ¹"@'@seG_ Z(]km NhD>xapw{=y\ˈVC AV%As%+j +[wZ9J +2.pi{U!#2"$r/Ͱ!kCC11 ד[]nClw0BU~%T#\(6<ުX]S+i,7]JSyť >_Kܣ4иV^*.$&AC +2Y4EE +XUZn|/!Or_Sf_sA)L^AҀ HId8^\ ˓%ӲȀ氤Qva8lHxzl|(Zd{S}śzz^I5>Z3Ae-jz1N-` ݐ-V<s/2(^:{ʩ9r +MB[˕6 \:nmBSƟ,U}oZ|k; PxQi`Fn$ bЄ1.jxm%7R ꛥjN_34I}6FbR1FB5F븏>k /PH;b^%QTejC+෻:HJGؖ2jDÀpo#̗ySM Yh nYk|ѪӠHCBGwZp@;%!)Vy(*ԇlx47n(Kg=<9ʢC /)Z9*@Vn^Ε\Xt~cC}ԣt'娼VP눨jF=k)P݁K35ara1vۣg*UU}*ؗ_k1eRSBDeByiESiC_S@&hD #Zې5=޲Oܪer~AqFdvikm%%^9 +endstream +endobj +1045 0 obj +<< +/Length 1634 +/Filter /FlateDecode +>> +stream +Ho7+tH9rU)H]$M:@nECEWTUVa@O߼&iNޯ/7U]ݾ^v/nmxپkW`סQ+Ү n&p' ΍~{io,QW4Ym9RxizmnsWs?cyIVjs*އ~qY۩7k|rʫnϪ7>]_Jx?dڀ.yɒl /.pdu&뤶`~$Ј[Nd=exqTB1Hqkꀲ:O*K((4qV;ZVFl;˂vAξFc'L`V2dIko̸\IGYH 1'I!=԰Qs$6X^CD +j;{<جB( Eٙ.!*Ua"#Mzd)"ڌ+6I- OKNՒk@:#O> DaN +ItE-V1V@K [ӝׇT!#$'du6|ic"ӹ$EhAmg@7'N<|,Ho/~yO r`^X0NzAKʐ&+{VsS(.v|vtsU#ӳݾKҮH@pRpYq[g.t&RF&H 9ӆZs :Y'lGcb+O#ù؂#;ZAvţD2hZ*_]OjR|rK:,[D;;KN7x*); >+UH3ȸ3%Sd Ucfb2h<Zᰬ9n$*~So_UP 4̂Oaf|Yn0F·iʲ:O"͸I~IriJ38x A3MVefqQWr9fxf4> +stream +HWnG }WKmQ3[!uAS$E/.ZC8im$rV+kV^A={9$nz}aw}}.nnWE}pA%ߡ5J566* v{%in{6s0, aЍ W_0p=4a(*ʠi$HE%7܃c[S[%3؂sʶRm8l:7 {}Lr*Z*::ϛƯ6HT^Dx9/XK +=2lҸS/gyG-h,ؚZ5` +ЯtJL_Sb,ƁU3J147IӔy̓.LE AR>^UHJ>ykz6B'zpA BfClhaHss/j-kXb@9 nwM?dc J 9(*AVVw2|h٪1d6hv_~q>>,W ??ӯ|nzG>+D^һvJ(:Vs&A/{ͻl`"#UTɊ/h3|&LADm.Q>C#r +gRNE0lumj=y>{<|/} [_t)+Ct.7#L~my=ޛxcը3ehie$+ӆL L0 + +Ҍ"0)ƫZ{xB)~\jTrr8%PHAra"' +FtsZ>XVX1(Yr^X1(ãNJyD&. bv)uBB|BJ :Zx:T uH8ߜ]GC %W]G:mUbʋyv1ZeżxAH9y('E.0r^"iq 2@Z8Zy\.v"];`fsf|H(hPD 1(y`wZ +endstream +endobj +1047 0 obj +<< +/Length 1621 +/Filter /FlateDecode +>> +stream +HWM6W(RHA c [-f8Cnr(A=g(^J7u;[fW[Sy!u{?K!jnQV v~Wf7ۼlgWbqYW谺^݊F_fnOB}*~lyfꁙFD'No?7I{P:VzfP)󢩮^?߼n(W[ǽAe&H!B.th+Q[%E㚰[yb+!dPe.O_J=ƺq_x甽u9[D(pN[7!tѺe0o)3 * +Sn|gj9ƥ/կo7mm3rwjMBk-VN? +}QgOJ[5/hB9yb:FRk Oxۀ]r%3$0G*rb +ruTn7wt&/VT+Tj*F^uPjX(B:+WMKh zľK{3ѱL~<;M7ܢQ]0]eO7ݳO)@'QCN`4868} i}Z35h6P;1AK\gMLCʛ@I~ a#mMn 'ƐQѼĉ)dJ*1#"'qbx%mƉr?>qwR\щIќF;u$d#aCG N`yکq4dCjxl/SG.YKN0KT*]зN̞C2"o tg +endstream +endobj +1048 0 obj +<< +/Length 1694 +/Filter /FlateDecode +>> +stream +HWK6Wvr* ^HM㠇 , + $wH4%KC>l6΋|3ܾTjvꡖZæV cb J1iô?V}jӵaJ4L8gV/՟+i +{aY^ zwն& G0wvZF{ϜҔnP[#p.g'lyHNcn LLU;;U11UJ<vrӒ^p5zhƊ[4c3oQmK lԴ|9-DUѱyȸ +AytgؐV m u–A:`ՉHNww|iXKE%Zx8sޗt\+=wEOI>+"I"$%& ̷Zn88cgh&}r.jIS!= ܟ]$DR+jvQ}wB˝9$S2wEwxL-MrT?<2US2urx\ +Z@  Isݞm|dpC5`_|x\u^z¶8w^Yn)Ъҁ=JFS2a|#NEAsEy¨q1*?jD~Dـc ZA#Y.aN:}:/ /(B*I|)Vza XB(/Ao$ h?'6 ZqZ='diwI;lh=n8H^I$4qR3::V@ӭ,Ś5w%/ْMMk\J5jްD G"! +D! Ŕv|Dj1Hxq^1zD&wή%)8Y!tL}QOOy|k9ݟ5j͖AEk5.P&ȴ !BQ {w) ?Zxr*۰~^->J֖nQ^9CO硂DZkV( 31JItb9~/Q G}ΡseV3d"ŷьBp03c;Cxٻ7`|7ug' +\K8 l2膮$( /m5?=%il+S͸8HW $:%j#z?_ eδc[n`z#>*nac E}gTO~~~pӯ X/j9 +endstream +endobj +1049 0 obj +<< +/Length 1594 +/Filter /FlateDecode +>> +stream +HWK7ϯ0cZ$;/p!!crSRޞ5]/ꪯT>__tYNoW:()iC3igOV^}]ƶ7GA_?}{fy_V}Yݟ \hvF;pgɢ|gz6ӹk[ Yoae@p`K# SE xU2؇k섢ʒ-(l~e*tLIUZKгZ @US>&LrX_ +U9.$sV(@C;a0&Vx9~<\4CQh =wz61 Nd_T[a`NG㜡zNBp}+Np qSAD5M%SeL[e tTc :-&cbexlԴ/8U.719q೬J3z]3Hq,µ`wQnK*eTW 2^и^F<w +nkɾkhnz\;[pl,p2TV"|#_7!'Z[goƳ^E[ጨ:W7`N>;7/\ā^]$y,AZQ$dlOUZ;hEHe!eP4>6ej6L{dMuJfI-.BvͣPciSK UH2vX3WDB*Tf,J +1RdUc˕>LCӞ,^k8:W03^ghmo5VsrSZ@ey]  v*`(٥^R9D7~"DqeQh!v@OD[]`U*hDDG} Zg:ˬu!85 aI392SO›EfD3y͑U9\_"+Qnoi7C=6hYUk$7Y>rOQqfj'c,}BPP j AA=A~APRPEAx(dKA㊂2k +j)z砒>~$wvR6 *8@p}+Np a?3dJ@AT-s Ix$Ϗ:RV[y+m<ojs?YRV/~\υ37נZ(n˛"ר2Qjo69.9ú , aU[09>I'%H|R@K !A+?W@ra){mvK=g[͍LqMi +'hMA1ZMuA>lQtՀuZBƆ;ml[\KyddyT;hIUm")KĴ8%S 17|ǴXOXkYxGn ]@: +endstream +endobj +1050 0 obj +<< +/Length 1654 +/Filter /FlateDecode +>> +stream +HMo6+t 5\~ wMIiuEhzhODIhWvqP3! y{Zj{T%TV+!4_'ֵZzO:YNVk)B]Ŵ"Vëo_VۂDXK')$߾vۻJU]_όlm֖8l9*܍fj7slE6( 6f4Q+N,D*C,(|(QX-o(ObrŃ.>t+ݏ7)eYTh!"MAJU Ja0N@EX΢˶+zFdacq Q87ց[vRuPn|MQ;qK+rnj_q:y<#M ?M4!K+hT덪২M_Kպu:[_`Fkf0? g)q*Ǟ<K +B`Hׁ8( 1߁+o-R)pGWWA<Da&l,atD i|ާ|_ +W3x +UbJ6:,MK (h{&W8~x *^ ].{50I kbfvW'#3KtIؓ^E%MhCD(;2ѣL#'ED3n(”YX>eǷsǷLxH>q7s E <0\v1덮՟PRl֍՟#c(Y12"unk'pC^`Igj ='NL5D?)ȟ/'Z%ݍRʁ4']tOE ,Pc| *˻)G%8kXOo_Afn +VY{ODkp$Lt"50w!)iH3+;pǎbBb,:P'!(M˿C=4ܖ#C" 9lm)vh"1;mץr&ܰykjgD uNJT!.{"r /70En<7k}4%S-y-,lM8!O^zIp%ѩ`F[j`:mH tv|QLnq 4*3fhzn3MX;25E:_MuŎ;G (3 >~u MYثMx#QDZ9ul%D[ sKTRKU]3'i4IÞr2IΞ9I\KܩEM7gg1K9 +endstream +endobj +1051 0 obj +<< +/Length 1655 +/Filter /FlateDecode +>> +stream +HWMo7K)hr N6ݨM7uӦ!K\I ey{ϫ嶢]uz)kC+%f +zgǥZ[B,G.U⠈E iG;I'B_nc0:z]]=G¢#U}vvͦnwf?/ĨtQ7PL"M FԔhfvW ;nMa|@cb`3ҁFVmdԁ@ rT l4Rl0A .sDm2єf/&!$4%SJ5d"4 ~>}kT}D`E z|Pņv]GN0Ӛ'1JBZri[k"l"dYB'R,Gu+ rJ& `G8xL!:o?@0$PN@pbj5)NcFӲR{)(U1J2r0/HbKQ +!5{/10PƾSؾsw&&.Go="[C$P!z4 J oLsR/ZRzS SRⅹ.AKŷ=;|L]*Q.|r5JکNAdC7gcdȂϖ++`Y\9ϸ~nz<S/&/m%t12}gfϰZ/(_$~˗ko&]j}9'(F:Ė }O)LMAfYKZ D >&pVQgGƸL]z+ T24gޛjwbۡվ Lx6?OgZ_⋖[G;R6>M悗|KWnpZgYBm[?b62Dt QĽ1)P˼I)FQƽ`!;S+JDĵɔ| Yq/vx]tc0w+:rQтnJ )˜*)Rc_B{.JSh3' +S<"LuQ3L0fP~Gh O0 +OdE.1] (F7n)2æH|>YZ7ɅB@TYJ?L\d謶JABQJn +P1bjp3U$>KX}zTxAjk,'7zN+FtqPbFw-w64naQĉE|o> +endobj +1053 0 obj +<< +/BaseFont /ABCDEE+Wingdings +/DescendantFonts [1928 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1929 0 R +/Type /Font +>> +endobj +1054 0 obj +<< +/BaseFont /Arial +/DescendantFonts [1930 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1931 0 R +/Type /Font +>> +endobj +1055 0 obj +<< +/BaseFont /ABCDEE+HY#C3#81#C3#9F#C2#B0#C3#AD#C2#B5#C3#B1 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1932 0 R +/LastChar 120 +/Name /F2 +/Subtype /TrueType +/Type /Font +/Widths [333 0 0 0 0 0 0 0 416 416 +0 0 0 833 375 0 583 583 583 583 +583 0 0 583 583 583 416 0 0 0 +0 0 1000 0 0 0 0 0 625 0 +0 0 0 0 0 0 0 0 0 0 +0 0 583 0 0 0 0 0 0 0 +0 0 0 0 0 583 625 583 625 583 +0 0 0 250 0 0 250 916 625 625 +625 0 0 541 0 583 0 750 500] +>> +endobj +1056 0 obj +<< +/BaseFont /ABCDEE+#C2#B9#C3#99#C3#85#C3#81 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1933 0 R +/LastChar 32 +/Name /F3 +/Subtype /TrueType +/Type /Font +/Widths [333] +>> +endobj +1057 0 obj +<< +/BaseFont /ABCDEE+Franklin#20Gothic#20Heavy +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1934 0 R +/LastChar 115 +/Name /F4 +/Subtype /TrueType +/Type /Font +/Widths [250 0 0 0 0 710 0 0 293 293 +0 0 275 275 0 0 586 586 586 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 628 679 0 0 0 +681 0 0 656 0 0 0 691 643 0 +0 607 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 587 0 0 586 +0 0 0 0 0 0 0 0 587 594 +0 0 381 530] +>> +endobj +1058 0 obj +<< +/BaseFont /Arial +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1935 0 R +/LastChar 177 +/Name /F5 +/Subtype /TrueType +/Type /Font +/Widths [278 0 0 0 0 889 0 0 333 333 +389 584 278 333 278 278 556 556 556 556 +556 556 556 556 556 556 278 0 0 584 +584 0 1015 667 667 722 722 667 611 778 +722 278 0 0 556 833 722 778 667 0 +722 667 611 722 667 944 667 0 0 0 +0 0 0 0 0 556 556 500 556 556 +278 556 556 222 0 500 222 833 556 556 +556 0 333 500 278 556 500 722 500 500 +0 0 0 0 584 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 500] +>> +endobj +1059 0 obj +<< +/BaseFont /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/DescendantFonts [1936 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1937 0 R +/Type /Font +>> +endobj +1060 0 obj +<< +/BaseFont /Arial#2CBoldItalic +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1938 0 R +/LastChar 120 +/Name /F7 +/Subtype /TrueType +/Type /Font +/Widths [278 0 0 0 0 0 0 0 333 0 +0 0 278 333 278 0 556 556 556 556 +556 556 0 0 556 0 0 0 0 0 +0 0 0 722 0 722 722 667 611 0 +722 278 0 722 0 0 722 778 667 0 +722 667 611 0 0 0 667 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 556] +>> +endobj +1061 0 obj +<< +/BaseFont /Arial#2CItalic +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1939 0 R +/LastChar 32 +/Name /F8 +/Subtype /TrueType +/Type /Font +/Widths [278] +>> +endobj +1062 0 obj +<< +/BaseFont /Arial#2CItalic +/DescendantFonts [1940 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1941 0 R +/Type /Font +>> +endobj +1063 0 obj +<< +/Length 4652 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /FlateDecode +/Height 78 +/Interpolate false +/SMask 1942 0 R +/Subtype /Image +/Type /XObject +/Width 370 +>> +stream +x{PUU*"8B +f|)!AM\P2 x%b.4D%a*/b`% #i=};ϾpgXYk~{^` @ @ @ @ @ ]"""kjj-LNNMXX3lllEjHII?{',_)ݎ;d\? 3sss1Wy[ns=V۷Cr!9b&MJNNnkk@޽{L<2 +_kɉN8m4L >ٓyܸqRj+477#]boooX>(,Q֯_I_ +[vdd$AI8`-0P d(33SI>zXYf ;::WZ%dTVVfgg?&L?V1:+c>|Xܶ0U$Gͧr@O)++ST6nnn"k~@-))Q%V}}Y*4\[xɂ*f\O>P8MYIo>[ɓKG]]̙3™*...*HD5Z  /<0FԚU+^3(Ν;M}衇x#*0  7l +jR JHH)=ʕ+fAf^AUZ[[e+VXsZڤh@&MkV\]] +#x{{U& A5hWp*0YBlVqww=g/Pb C +YJnVrGGGXԩS2 ڵk5Y%++K@,Ξ=+b*P:06ouds΅t?2Ujj*7[uVquLڡ!h-̓}o޼-w\V8q˗W?tgGjkkZ#>>att4oud T?)//U*(RRTTTU-Z Z__LdffrU`L_ $$įۉ6*}0**_E&X;7'H0SYU.\0~x +fJmm-3jJ̀N g\\\ѭ*Ö*%[ HU*n*Vi8:[X +J{{ TNNN~aSSx:= +l(رc+*@uuө .Ո'9}o-2 + b8|V{{{N4a„A0h*@pp0uVJzJZZ-|ʔ)K{ + XɃckk+++a[dB]kk.]*d *,ce_cYU&0ΗTV+(JllЇ2*,4bwww3+x =[&'OlAIVÃEUJfhoot~~A$ܼySbsG*Hꢢv5y |%K\IVinnֶ$dh9666tBUU%}"Vb٬*D{IjZ2Xr% dNVV) .--ݻ"оUi~AiV;{lhh՝U@#v?#,,6U[HNNVe7xRm!`c4Ukjj!Xŋ5sYu$ +bU"##B@Y`Q?/vrA|r][رc|Vś*uuuȰ + c0*0G-&7XGƛ*}bp-9s/>#/#󃩤$d3fpC+ +cΎ%m{e&B&Th$eee(gLXQQL*H222XQK.' mx,C߿7T̚5kZc`Vոaޒ*q0Q}ùdVѰ + iXQY+i:,``d~->>*v.0K6%N0ܽ{ꌌ $D`` }Miqݺu8@[:P%O&വk׾'O xY!F 771_~C)իgF͛ҵb.^loLOX s]~}\\\0RWcsՃ0)b7mtL.K^ A%ضmzGo&cƌ <<<''Oadxdpp޽{3|A5'O ((B{4V^)S=zt˖-B}BGM96VEnݺoaRRR,XҕG"|$''+&ׯ_77 0$ne*8::.rURRb QHV5k(UΙ"۷KNNNu c(9? OiiqQ?=AwMz]Fqr_~iFD(CCCw?>SO=5u!@0,Z۹#/T+W+VM FGɉ'7J}bcc׌dժU*0*lb(0HxO1Xāw@:ҙ"@ @ ⛜ +endstream +endobj +1064 0 obj +<< +/Length 9547 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +/Height 214 +/Interpolate true +/Subtype /Image +/Type /XObject +/Width 285 +>> +stream +JFIF``C  +   $.' ",#(7),01444'9=82<.342C  2!!22222222222222222222222222222222222222222222222222" + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ? {`tԍߜsFr6`rX)@9ai8ХX0$댏֗*:;h =bP)>zA~4X}1602iW'Z +{/MuPn +Jg 92KPr_t$iDIy8 h>g9g#҅rc8_zjd.>\};~=˜ 敂8s⚬b8)rR*v?VQ#hprx \ n9:iy `!̫P;Yu@9#rď?Hb|3<I +2T.8Mrʙr + xϭrWR^NfT"[Ӧ.0pXԌnFS+KimerbQ€U@ t?SL؊xiI.ȥc)G$sW> >r>+c'xG)6٧K?(5JlC~t{sHT`tn~17} +W4H0ېy{6l끓*[+w'8 p;4| +(J\Ӿ?00N!H|^JH^=vRuaw#y'{ 9J~'9S +À SҐPwARq* @:5@U_ߍ._qrJ`b`Gg>8N? erq)(O#pߍE/`~8PI#Gc.B'Hb>[#,`q~rP*GLi\1=*cЅ \~&K8Zn`=MuZ@,wHkjgWGfKv+bO\c$ͼۂ?Zf+Q EG0iu' N07 $~ Jsk.I!LtvCZˮ0ynP;79q1g扻}=+~ "-%OO7V\ Hd^:d+qїH .dHOֳXG&{JY\ I=2u>BFg`y$ `Gıq0i%Xh ) +3N$zPU3'b.J㏭', GLbɐmMY H< :SIQ\SK7ݑ?ݠ%rT䏯Q#d =P ?~V@S{bfP~J?,NAJ{ +FD0Nܒ?POoƜ  ^6*@g2<wu=ivOD=@AzRprѶHeCw|ZG,S%2 WwݬuiXȠc9Z wZ>\/^|٢(rT + mz֚pOB{|~5R52zw͑f{ԁ؃OBdo0,jBduDմ%dLI0׏ֵ\϶jc(ܶFOnr>UbO4(8?JxY$QN~~(v2qߧ\ALs9TW&B8$?Z2@VD挒#-V;0.ʡ+]԰ERrX[G +2? ]G BJ zזkDEt #=ψpKe{cMK`<LRw cSry$3d4B-LhBWҘrq#qM+>JTtE8۟DbDwg⁏9B>@ ~Tlc f66np}6n=z ;i-9O1w!GN˅Hɧ%c qfoԊ#R'=)I&fPeCpi +Nl3@[F O#n7aRY[9<1a\&Ҫ$Pqg45EMz`v$PaB:6@h4'Bq\`*2$Fj WZYr  qHYeU\=ϭ(Yé<8ʌ ٶ)HU5}λW~GB۶})Xr{{Qnۙ^hϮrr"u 5u r[F,hQRˤj Zݳbh@OQ=}wV7V+so /qJUtee 6*?V .p~a=~hUo~Gr+S2r8jd%-*籧0wmW,NIQ=wpzRlmO`8A䌞9b ,_ CqsWiSEY2JXvr1@G"YOzn<u@c+cn{wC+gHvggom%چUN9SهSͫ uaPJCPXPBI52yN×V- gb9 gU9b=vӏ9֜>zsB˿PJ ~\שs=?J@]|48:4i0@4ۀ=۸.:D J|zP!*?x$98o +@ (]ʦMKp;Rp S Tʞƶۜ1JϼP1s4ψLwV#=IJ+Aޱ!YfQiM>]B 3>COҞs3Tc isD 3J@)nց'+fO>vǥ=T6 e3@ oc֓`9'ӇSh[h'g87$,H =xy€pP=¡ABI YSt cW9sE=xSUA2L)J4$rKR0'9T96H>@ s?i0sMtd\ u=x,BNnϗae9RPg$G7H)$;o39`*# erc@A8t?/ 0!'p0lVm:0zC'!'R~d#}=K!n:`FUՈn` +d.pAWETG 2TrZs$`\%ELj ""LP6Ѷ\P"F ZHR3% ڲ/tŗ,]3GUX(-*nއV5wbW\X79'-gR3J6\ +ʷv+N2Jp '@;*aԝǥ[8 H ,t˸̼1LDmIA?MHp:5 'i +;H<<n~b@*?*o$Zy ?)@;"-p3ޛqҥ,/9?acP9H<t?ppێ:`ӳ2Nz cNӷ>)733|'wwL:1ذR:qsN)sUS ^Ì R˟.Ȁxb8pIB8Sݜte*neOϥ  z{JO8q^h\cTcJdgAPx 4ճ֔5a[iB)TSJ2"(HVm3SJgHaR)LhADb2F[=ⷌYH-zP&$%1U9A6 eLz8mHe1v |(p=iXb \HFz +Tg1#!Fɦvg4$su!qsO]0d^iry84gsmg84p}3M?3/Z6 :xs!4ݓ*ZL`zPQ x|W `JDŽ(a fqҬ#;zH\`~8rW!A9 ?+0?«4`:0wOR8_yfѐsSٞۻSX1F8=}8⫕?ȩcSHDԆ@|`Ug0z`w7댌S[q۴遊@jTqYN8^FUhR5h-iiBQNk-7$)\Ulw4\ i'?8]@'vpGbd:y8ZP*`z:B9s4q(?8 +O@sSNN99:'C_Mؠ<I)[l+鑏]5F9^p@'IKa9'OۻpN)X ;Tn?3wc?;nŒz68_(zI?%C9:P<(bN !x6~SM`8#{ϠJ F 擇\7H3HUcl' +~n(ntU q܆`=?2ƘN>'-lbgO_Nq#h,$恎9ۜq1Ua}@czm8$g# !ON#8 pL8jry9@;OR9!OLѰ֏-pN ? 4#p sֲg{V2 ӸQ)sLHџ+#tX {H.ĽIx2K#RJIX.C,yMeLǖSg3>xU#p==i{<–+L*;R;GSqH Airm 1UHBU4aӀ3LP`Iꤞ”ϫ*G_Ǐ¤0S րېI&V$o^8S=/^ 'nG zRnc~B9෥4'?Af8RGn3p#;AV )0X(>Vec\2[\ $l\sJφP>lfva"*›6w"riȜnUPi$.py$)guu!"Q63iqY1v49H)v@' אq\Gb(֛OK2;q@ 0xnpM; l 86N16aTXq=4Nh\6Rq9dӀ^opJUw#nڴmnBIք#ET֚ +qTr~5BȻ}" 㓒NN9'&40w#4dp1?Q$/JgI1X)On@?8ii~qυt27m8)pSy3Ԏi8zuPx$ b' |9#ӊ|J#]CidOjrTlp;8#⏙  ɤ 9Rx0: +^@8@ ,>)cmJá_ d@ +_s9l~H.yc4wq~4` (#@#zR(PAÚUƀsғ9mO̠+M HSxHŸbI8)7$"-.s9X=xɤO'>Ϯ(Jc_Nv҃,Ol7b Ƕ{PޘQIsҞr:!#٤۵wo\g's? +A=3r xi +K -14%y\PurqC1?h<(sco*C18tgTᗟq@0=),rRːW=iY7{g(d2lqJ`;{Q@ `8]Hl9S͐95)_20MP( z8$drzE..i]H׊(00*8QE ) s8Q@ 7 < PT`63CEN)1HFx?P1\140=E{DW r0: +i8;sEeCJPd xB(#y[Ts4Q@)g׭"`86a9mͰQ@ +endstream +endobj +1065 0 obj +<< +/Length 38948 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +/Height 561 +/Interpolate true +/Subtype /Image +/Type /XObject +/Width 849 +>> +stream +JFIF``C  +   $.' ",#(7),01444'9=82<.342C  2!!222222222222222222222222222222222222222222222222221Q" + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ?( +( +( +( +(,-Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@ekkwV5mRH仸?*:yq{=,t;ZҤM@n$r#﮵NY%,n'йѥ$}EeO`s]^$eΗK񾯧`Gx'#Li6PhOy";?Z¯ z\:O5GXkZnE)l7yRjXzȮIAuE^bhp=7u[i cq#Xӵ5 ey |:4QE +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +l$Q0TPK1:oɨ].jN8kUp;9Jj~nl=>洭td ՠ-aXbyJT~Usg?*w+RՁQX Usr I-rTgT4d1w=* -%̟<c+E4%&B Z֒EOתVEր$'4Z2 ?"vjh$V*Gpq]΄²LAQL&l5?jF?qi|O0n3.%<1Q$~XJjg+ȦV~j|ܰufV^AWO@6nz\+>'Ky-|" _N53{+ *-bQE +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +()jڂ|ek=e">i˻5ml?˧Wܺy)++[39릚 +nV5]nҭk:8'VSTF-8crC`ښmnox~ W=I {pEFJ%ryRm==5CKn)s)tV-ƆszS@:sU&FV.y\T* +)lsh<'MܰtvGIWKqp~qȜMDRA+\13߯_ֻ=3~soc_Z2BUО;VZei)\+r>]bЩi? +"1߯_ֱt.Q\.?M[l_+մI7Y^C78$}GQYe( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +_gi\zU|C?5]=R/?iXM+_id$ֈ#$riUqZW9Blڭ%98rƏ=UsXc8:ƟCi #̧zSl T|5j\VshIsB]JGIdGlU&& 9]{BVق* +ןjyOZgWp6e=;zJKUK+?QGgc5,7t*"{X2.NEDR6֟^]F:﮵i˝} J,oj#<*I{#:_3},+#FpG]VZگpQd}kM{ui˝}xܿ:]ua;fEKVn(EPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEP3Fv8 +2MpL^0ɒBGӷ]'nɡ\0?4_!@)i"%"M)Q\QHHU$2h6u^]c_5Gdk2E?h{v DgXIi2)9WOAZM1V2nBpXW3k~my,jMh9"/Z4qۋtPe1POv}A5)JVse%đW^ WFbzV曡&gw١HF(O } >isTQTWK)&vڸ.QCjvxAe>ERd[*t㞵c[ΐ 2:QʀIR+ZKV5f;*\ڍOnjYvg. { |sWfqޢ*zXJbϤl;J=Wpο0$}E[aPd;HK؅I&[2>wGQ\6;J%wa]ucǾ)Qڢ-EQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE}gh??Z-6 8wZoxDuTvr:5jvkqJɧ4QN^e\7ڼCiUoWAkpf10J0GS袠aEPEWRNno%8Hc.@[mC0اVᱪ\wC# 3\d%bYَ㞬y?>xx{vQ{n./?+f{ +ڣxW5r5<{,M` w7&} i9|A/[˄g?wtK]R\{8)rM*LH#E + >ƟD!>uc-i]׼UO#t$ck~-kg@Fe8*XÏƳU]I(m^g 2j` l+K%֬ /$A۸5sW'zRj)˩-(A9nGZқN +ۀ9n-Z5f (jYfx5ci xe*%r*uXGO@j~ۊo_I6Ī^C&4H'μ'f񅖝qw5ݽ9X^ 3*s;$O)GRG95Fv1^-01Z*{D8,dSW\iåd\JI{Ӵd;pC뚞 BU9xVRAJt*8>ՑsH?k9QL3wKYmYfK21vg&j^G-}?1^=%N1PZeF$uavUEqk(xextdbKa&.vs}bUtW|MnrZ9OsW]gYw1Nf(EPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPQ-o?IPǜͿy Nk^;zm$ڂ,1  w^an3޹>a.}bψ.[h+HpJpB{W\yupmy&NYIwVT,w$e9Oޱ$+?LWҿuCxU[Iv|xi5gpjzI|ҿEtˉoiUν+5iOӣ[kts洷R.zCN> پؖ[f/kUQGV>0~M`t=F< {66V0O<(kCD=O[> U{՚niwW+w,yu4%[|@i`A j6lkZۼgcU3Z:ڼ]p)܇T*QkN# 5hyy߳dd4oEdPm7sʄz/k+j|/W۠Mԏɮoի6[@HFXkt Lh$׾x@_ >fيyF~wKMޟey/lUH|*"bz5\GMk#m0y\ќdS2i٩ qB@r7Z5s z#FfIhzUg32+>['V+ԧug hL$CR !u"VEƌҳS6:Ֆ՞Tq?Kao#s9+t*I#=X3KMB?2)7fhn,Y!qё#KYKQ0sXmt{MiͩvZ9"7'9+̴tQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEF -ш)huKۂ%luz-JzR ),>iwjv1ZipGz0Nx1WLU_D+ uVR59 +@~b;ٮu.NG֫mTEExt<{YMv/voڕ;RܿG_G4a7ȔpzɎޟ-9Q }&W$nuj.1\[O2Ok2XYZ=R.\v_B|:wGp=~VݨmksbǧiGm#}(q\GmW?ĬC^H zg-ְhw<đ9>l4I{?xR 0n!&[V%ǫ?}uammeı(Pן(KGb: ~5*&%lc#!yނI[g`~zͼ+om cP =[6~t4큁^Vi mKXؒ +[{ ך5 F9H>QƢo+ޅr9#A +l\]0$D?һZu߽pZxIgoMld` ~E{vVSJqH].?{+'9~P7xk5t֚h~Tُ+߫.vӅKO#񮢕yJˠ଄* |/W$Gͽ%j؞ tm#z废fex "nI?NR؇3{tX&@A4iCcx[M_-UsSVeGeUUq4Ȱh޴rִǰըm3Xԟciz$ݤYYG{թ&Iw=;U皦lF0Gң(2#ڝ)v 52̧+ҥKÎ)8YM5FfyX[T򱏖*h kEf Oƌ.4>޴jj%7AT3љ2v8,d bJm9F+.EVVƛG 88=qi0xf)FBAB'VEΌɟtS)LҾ&k6aV㼌vas]3Gڷk%~ҼjK<=*2GT SG֗ m.bx_3Zj aez1Rֺ^mǎ7lʸeN0ߜ.N\+>%h[XnOsWWi}i}isU-42QH((((((((((((((((((((((#: sjYHC^ֹG]4m)tG~(CӃu;\%z)ߑ{Y wu+Ƈ9b +1mM؂l=;+%S8INb'mFw,{.t jWa۾$ +~]r'hUJFO իW)]1t?V{v`Ye.1?tAӫMhPN4o "GkǠ :(Uk=3d`?H1rj#4$ӢϢ-j$d{?ֶsUx(jKRxf5WqA-#{Ujqc9?) j%-POGoJэg[ĖA#P@*Z7+KonEơe;TXxvW])@?Vu Kڍc+s^ƺ/ &nv'Wdw #+-ecɮ+7~n :>bL19ÿھ6&-3u.G/Bѵ ^Q2`WsCM;M*OIk#+cGMt}P|""~'f?Z?|`ֱ9k{*_t>/<Z0%?B +审{\1w>GT`~C?ur72JܗO9tGմCW? M$k6[R㻌Qvzgĝjݬr +du!a>_ ux#QX$S_MxzhӴ6"~֟`]N/Ҽ3{t$Gp?X95u&NӑviE?c˩Im8H##dWN^as+Yeյ{==c! +*/PխOL_:"كF3~n3޼ƚ|Jrpۓmxbu1Qx6eaFּXf;u31/J@GBkteo6A^#7yo/w>">swVty\KC5(mL{opDcB +< i3^»Wֽ5Uy]^?W.Z,M*B5X2Mx7!\.u&'|=pjhMrNm?M+O 71BUzW|)Ჸ&Nv"?J +ugʺ ++B@ W[)sPŒcUǃº'FgcTĨ*ڰ]cۆUdMY+T2WG-*ؐy+E4doeH.#*imqUI u#v$ 'ҭﯤqz[{#kv8YK>l9XMg3^w/[9r7'9+IisFsPC,QE((((((((((((((((((((* 7o j37#h:=̿z TdjC-#[1S/ag*ޞ{:iJ0?_qUOzw|OE[R *zxzwAԾ{}PN{\V4Vs,[LHOAdiߠxЍH.d[{gaw4b6sv%Ai<$vȽ$fKps׭jdEץy}DfXXs$+}`~s%]~xطg=c|UY8+8e~c;$޼cA{vBTlO7Mxo}O2UoV?`{ņ?A|]qpFssky+AR !>w8A\wULZUP#]ГޮHEnVG8DR}5WZWwEf':O`-~ 3F`9#)k`=aA3TWt$lXi@h U||&蒻 ݎ]5&bp9&%kB ;+ !uz~" mն3zqN +{Gm]re?C-"HPUF++33n Zv^Kt1_VrΚœoqrhc 1G5I4scq?y.K 6hȞdtooʟGK f1JgSNT pSh视u fl\Evehʰ>H8]~B Ecv\`w'kfZQIr## 70\gɚ91+sؖ(QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQERPkzE,mv?Jۢ?5ֹS߽XWⶢZ-6Xqָ X2̀h3kOƗ?o<~'֏k r:3SQv=KɧyY8=M:[ +X`3VՄy7=Mo|]:!vȰz-S57&3/Տʣ¸vl\&c፟|95.$$m꘰o~?T<=k#gonmG?>|A_];LBs_g峻]j/Z(\sk<%hgy&1yD\`jQ(YqHIOY7n.#x=85SYEHT8HSԸX⎵j]6($SOa|T>?]ڡM''[- U]CtTSY=S%ǒY `f1T%%hW}1]\Yqk*-]xRK.ac3ҍ#P4֪DasO6zzwn 0'<T%/AVOQZeF%TBz$K4Q3<*& ZȀ +2LHgv"I?sGU60!GN+Ed"yL\<0I:shc [y<̻Ƀ45kRFUDti6qC쐎r=.6Mvf hCF}Oy%WF!/v@ +ߵ0]H郃Urmxmc7$q>wfnt9򼥄$g+8?}VJ{&'Bb zN "U<{+҉.hm-;y0s]/Gݤܰܿ$Ք*) +E3=FQ}3n*| I"qdbE{uaF?IhQ@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@[G sz뜽j_UEyeD.'f]7)"0`{))} /#z;1Z j?-%}ۿd_r:7,֦hQS%ޫbTNئ5"tG|.jkE!Xc=:.sG$ҭ^sHެ-i.5X[c5jD<6Y1 V%ΓK+w@Bp>}jw֭Gu`LЭk+Ś[xbcaQ?j$>U]j_K0Y)Pir9NuѠnab1 D?\dיP83r~uZ"+6G|LvXY؆O]Bq^_㋶$V!SD2[Mn?տ@kWz +6l k?~SDvjA-QY{Szԋ?5%E.Qk>MLY"^zO9I ޤZYsRp _zzYkq5*t|Ɛq\Sfa+57r~?>kL܅RaU:ă滸dc:٪OzIY8Xj板56*R恍( 0 K4&b=W{Pz +ۑHWڭLSKAڪ3o`GJT'e&fA$fvZ*AK!U=SBŖv?a-\&i-FIvY"c۴3{s.-biey~3gz4\\aos6 iL:\ܗ̫3VXmUa v%ݻ%{SnJcVZ_,-m繊 b[}3+kvIq,F۔F1zdD7 ssֶ}J̒7[an\tZ~(K[Kx0K%uvh6vdfBN6ϱ}pM _k%d!dMbh<jYSbi5IYX i#PL@ +I) +c`c N̾?fKqAקik"@Z$iLEWQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE^,㑏񁵿1qڟ%mϦ^=|G?½}WΔI1?1&^O蚸&4XF_Ɲ^ gzv3&xQk~cW {TX)aʟ⚐L@C5-ZX[Xk7ڀթ r;ӎn;ͬ*ܐzjGwe.KVR%K:ijyҗG u=;K9pan=Y8iNzVrZfP"4'RZv6\bn@".p32iS:z +,KNK}$4EٸM<-}"Xθw[kG ]w8y=L +5a.Ck0jQ.{*]T.kԸ֥K8_ 85SY:ԋ(Z9抄8o␀idQaej\Eb@ @UsPɀثRdg^iZmqKUC +ǃVQODt1tGBK䕑68 Ets|X? +Ό&dV +ۨkEf?Lm )bv'M]QXݛ+2Dd^pSsd*M߃֫9IhGAۥk{&_8z QSZС^cS?]r~?fa˷AO]epb%y۱QEaEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEP]4gv +2I8}OY ?Ow&>)p#ϧW'5 Ҷ;ȔjͮśPD;GRYVoH.c>~_Z٢y>50H~etPCs RT?Č$7Z}Z6+7GJg^ye}F؅;[+f~UEǓ! _ץf$RiU2# # ■g/|?5]_Jz~j ;mϦExv7c>e4.O.kv@?C޳ʞ4]Db9cn"5j t Ksۤ2S1Nx61I9W},,K=.;_?ucse;Cuo,"#Rr*EHGZ\Kb;8ez҇#!Xގ`sմ6SGrGz"\N.GՔs1ݞ9jwZ6:4 qZ碼 ެuNⱿ^+ .֘252͑s;iOUMRYUz佽l_) DU=6ze$cwOMY?Ja1_H==7;U\ %l50sXB_Ƥ1Sш[ X#W<.J.G>ԹP.xS-ǽsv¬-1*oZ':\9spJc5:cCi9_5*:f|RiUC30rI).Wr4*xc#OZt۲soV绸2Ŭm1u/Y.\9/D#mb:W\xWl-ف8\i~="yܥn!O1NG"ȹwuqrW s*imVyMjk2 b۝o1泯$˲*t%rwq+T΅Y]C)=M:4UUTtA>mdݞ%s&K1c=@h奰 n>kQ} S=ZtOKWQ{k4R kϧ-?&~ Wm /sn9?.A$R+};z^Bغz63IOUQ5FXkm~"I`)ޥY=jvRrqQݐ5E8IZ"Z7GyhNEL$RN;>j]}k􎦭UcKVaswuI|ҩ%-.|vlD#_!N OXtɫ sLF RsL990\9\gSHJc;(52ܐx>bqissNebyS-9>(kyQ`Mss8*uPCHGD#*d9\Z.r3.:he.^]7XΩmK~$Ѓqʟ^ʷU=@:n5kWub?CE$nx/ ׄEegps2tEʹk{l [PU@GbV4 q^{i~U|$dr#T_Ð˨JC7NΜ#^{y$" āXOdJa|OAZf{E)cQi \s}^vLfɫT"5:ˑyDkE4qh訢B(((((((((((((((((((+ڣi HLun\]օ&GBAgúBYy0=xgاuWCkyM.Ct +JN +L`ZպQRnZ`Sڶ nj0p9lzUW#t[ڪKhx錎0EmIh*QaN=*GaBGj2+Sb3[L&HKȥ ޓoXxV./wo1MSk)Ҽ܅#V(=$@ +N4cu$C?PxO?Fx⾌ h3O`L#~Ws:\|MH/ nkjմy6|2Ê+R Fyҿ4ZY[zeTg,s#?NNR }Z#jU+:@[_>,wާS'c9QgS5v-G8թuipzeu\Wq\֩14lFAzfWh:u=E)|M$ҝ֌z3h.kB7Kn8Ѓ]Wf];{gsw:g zul͂9 ;{05<;.iw+ Wߺi0Okd00 +|Cp^[gHC #|rz@ϵu> 7ӹvzuPhWH$ F 4.(7䢄 GZKYi@^1$G9ϷNު\cqUMlҎzTy_ֹd8Z.7rR +}*qfםYU5aN2܊Ǻb 2{7"U;qErÂjEUFFܭϨR4Q@Pޥ=+:If-yd#]q_bco}pI*QEP((((((((((((((((((f@5^"{ɷAƥ `Ұ +aSڠ08Eɒ#Sӽt,G5@;E *BEu2كکKcL R)2A$늪24U +{FFiJD)p}OO`Cv?0ASi@Q{wuTԣ/euu܏+_bDԶ6maguiIeE=S{V1,pva1km]gg|LX@H)ȧe 4 +Hz \ú[Sg);ogޟuPj uk@ϧ\E{o:/._*kg%B3*+h.hn!h(`@( h?@?LbN9u~Sa%a*rtLW|.l{QCy|M;Q#jYg)H$^"?4ԀY*=ERZ[ɬr42\N=C]0߭r+9a.{Uv1duW' Z@MZ'HT@k;{դM7 5Izp!\c'vЋ;(׽rZR}QN +nk72]^! uŘ4.x =) ";g5zԾBC\Gq(˰npI8 uiqe} .<\muo$-mկN'p?xH֍VѭAlܟLp٬d#2k08洕I$FmZ)gy IlA^WҞYRTDo +`Qҹ Kwʼgj&6`\yJX'ש~֚Q*E>rO>Ծy=p &LW>.J]Ġ™Nr(ScJ[޼+رϽh[^nPz?"['#-EtȄ|W*q3{Zf R52ɹ9zL)5V7ŠP[{9e'TN:fj dS +HXbO^<-x֒6>[32+峨;L6-Rev*@}k}i}ks7 V+]VkyK{Bb;w˪K"Y@~pZ!$Zr/QTw+6qJL)m}S/p((((((((((((((([imlI/ȿ+I1ּJdƛ@K0'v4؀V=ԑՎBӨ u@VRd6K@ݪ8 +* F zƣDԶ<5][j%'5jQeA{WC-bCVic09ԋ1ZKRX 0GJJJ#[)d8i~SE2XuRXںB֊GJw؃ҫ8h'W_&ҁvYܳz/^XmwJ)X.">5~ce4"@Mk=&;a>åt6>; l[\~SV)4ze4C.95# W*{seQoOkGJ}^a5+BzCSci9spܴ/QE#*i:>M7J+VQ݆}:y=ZվxL]¿nwq_ҹIb1$QV#k;Ste6j72ч" +]_oݻE8޿Q #_5Ń|r?E,S#ڴ0x#Tf!O,TW+S,+C֣HV-tAȯcr? +xfJB}2zUs1TwQ\sz7#,nu2h͗3[קuZb}L }O&V5/ݾǶ&規==;]P73{6^֯uָ^;%d\g"6t+R{l]}m L{ 49#jμ>ߠCb.%Xt}; + +N!(cb#{-Z^'9R")xuof<gsqݚrO?ҫsEc9knƖ9j6qIڜZ.qXjk?P3*&mmd!"\ZrSoǜ:urh h@[I#ra``)/8NPQ#KbܺzH+<#{D𺦧c]$2#T2SE"uSLRE+;9-SWVVm;WV?“ÏHg ᗣWs$6Nye9+.d),N8TԻna/pw0f2M4Kzte԰7!CһM&[ܮL򅐭HޕJi Ttbc2GM;Ou4NV䎵a/;g}ouowxCQaTŶum#< 69B#iKTyNh~8% ˃ex Gc]Y74p4\/xdX5}6f YA\[?CN2=h(((((((((OQm!һy[{y&sE, +XEKJkJJ7jR]j*"v&MJ⎈`jPQX׊;X]͏S*Y6Kwc/89>,{h;h fPu =* +IT.)2كکOa+1Q< cTȜolW<jTǯjfr/b)1+vkUHkU$UYHg`8 )Z04Vp{R!Q4r@F;;)nd 鞧ռ.yر$U[N#S +0V@8j]g ,}xh@vHP(h5޷=TQ`3׭8>zlGnjo•TknF*ÐA@ziZӈ_<\?q׭uw7xJ2ZkD:Vr5&{-Q-T?Čk!{9|Ytb+֧jDh?7Xʋ[I4yON6+yX0H "i˸QE 1uo zcyd?_ƸM[ h=WCfW@8jִRnHQ?cV_S@ 5jXf?lP;<#Wɥ^rloϡ+]KGlf9Y~S#EkCEVd7sU-e)""0h՛=M|O2 0!*ٵ׬p8G) +hHš^ZMUlU9f3С{Ky}wOhY3Ir&bz ֶL?TV;#*xl`zb eIjh'k#Ke6YQʦ v8 jx3Cl]j'$* cڗPyC?y"izc}_haB;u5Ӽ.PebgF~麠LF03{@MԱ7dmEMc.>JS̄C"ºպ3hnKVtQHaEPU/4?QMV ,a[8-_u= 2|u?Wsi}ՙJz([W&;5\i76RHXpU~ݬJ1:H#Pia_W_' vok#th$ )t\f f5ecfQ%3G(\kVmSY$6BWG~U "t"*R'"O<;cgki=S[Jv o6feN^Mev)l@Qk +tԞ9ms =4L2t bMʻ36>l~߆I4RwE1Htp)2AYZ(5Ew#VOjiZD2uM`skhcTqؐ|4ipzSW?ك4p(p?3[ƥȱ8 #\皶ڶLU̯EbIE\sRwt mi"o WyaHEd'X)I2:3%>6HPqa֚ MEyuK|3Il*ʇӞ⺽?p\ƭqxy̵4Ά .S|RaL(捣ц +?>57h;<νt+9 ֫dWWVvװn#%@_^쌶vw'_]ZN?׷,s8?ij |A{hPsƪZ5v/~ x^&^Exj[XAFIma/b } E||T3Jp8zWtP_>(((((((((() $Zi g`3m@@HfR~kjֶЙv'4zJ\ާYǭi3ffՠSŹMzGɯ ׵-r9YebARHtbO9{sy.uEm?cz0~:֓fg ܲ6%yIsx'< +>$K{n!M3MsCgj1?^ON⺳Zif(6yh7oa~1E o<\sU>t< k- nҋYGm!N jꖓuo(a)o%Zc*.)9zw sԤ=NƓ{SQ]r2e9MV*KK5]K ?Q+oQWJùfKёQfHZU揭)Mqaqhfѵ)!֑&Ǐ+@=={/^dDrz(pO\%uẅ#-˄\ZZm +$;0CܢZ(Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@T&6UԣFu)}Td[y\gBR94RsS/殢ˠ'NYػ aZ]ԹPExY4jh{`jQ5jlK>ETԀ@WJnZKP{VMeH@`#3]yQ:VbAnHkNKLg+5i WޘI#WOQn +$Wȱ=}X2*r8t- +3ڸ{ ַ3kE;uhe (ΣMGҌɼ|<C[J0n%gۖ7,$g;YVT\lŐeg>\ZFF_jd8Y* w$(胠j;KX/퐜s?^j՝Ē[$pBMpzzRwfZ[}؇k[E;;ucUێS/'&p[VxJ 0A{fݷ s)(?_?yϗ,o̓0⽶; -"HP +RQ\EPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPMtY#daaN<݁7+Qoz#Է\bejwsN-$۩G#[E?Uxݏ>Hiyf2*?*HcT'?Ҫ&zs6)n2˓kad#Ɨ[(a"I?Jc&ҸAW*ċ "=V~݈4~-%Zd6-4[Fx?xv~4#M+hPKHQXSM7s3} FYm>H U=NmIwv)CXIONb0%OBK?znYglR$u asbHJy8#NTk YCSRwaG߫}O&,KVRC\Zx~2{8xj&R jFZ5\IOfĮb\QJø SsOE|T-tR&T5Y:h{Ucvmg8^y-:Uv(sZ5}.V[aIl]F$zt /.m.n 1RB:11?V"Y1* n?tƴm+<$*Ux8YDeݽem3Iq282KyBh wF1ZlXl|*2}hqeO(șrOˁoJQl++7H62'9c-`S( =iT9 y5`=Il=0J#3ch'R_ jPYGwn~Y5u.hjq5뛆Pc󮊼:"(QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE!u?3jm+s2vGڮ0㋟u]^YT.xoP3=SL\61 =KiJT2F@Wj>ruoHk12M;MWS.qBX:M\[Jr'>Bzcėsʨ8서Va.h9O1'i8qVجr^.5xbşĜxa!pupzpzA0jxz.5[Rʰ`Sf6~?}ղ]kV⪡ځGa~wd=8IUSqUqXiU7Ӄ0o}j~iM2lMV+Fc4̃'~jԅba!'$TzM5jB'gzzӼz&#].O+6,t, )5ۧkn ֔7ڳi:,f83$Ntg"噓j/oidwI@I HQ\׬YOjp^9_)2t'ڸm Kxnݧ[=wb_?*f`QwRy wq[!pp$_T`GVp>V&NLw̎2م\qjzZH c[z Punu泖ީk:􋛀0B=~9rB|*zcΌP7+{0q9܇vq5R\ҹdJ~/r?:q="(J{7"Uد+;s|U}ۏsƻ+Xg,8taѡFVn7 qZ崊Ga?8ͧf'kEVaEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPviZ? ?\E%۠ywPio-d|kԦ Ǟ zю"u # 1?u[okH A: g?5_/I ?3ZꨦE4SƲC"H2 Q@9 +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +#ʇjj(Լcqe{gEr:0hP $AE4}&Y"=.hf7Z=<7Jw]ԡQn UqnRJ)$(9Q՛OUrlt6qG\yO6m*]b^KP3s;>K5)_/uںfʐYYs^%q$f +200?:5{{Hl;Y&Ir,rpziM !2riAXMJ)j"`g$Tz4F帕` +ȡv,IɪڰY%c/$_t7c̦q !EHN < ʚsѾ2xr#~Y\auNqkF6=ZWnQ`8 =sG@2(^ Yp"Q_ɺWsu5-6;\p]/ׅu K,B2+tJ=W\/n 0.QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE"hr 5xI+ZJ{+铱F֏]"E$n#à3q{qqhI#DeYLPgt.O_5I-<杀>@gpw""BFyAb3vq^,ckRfݸe29f-SRVCHaSH)LS{ñzT,z*w[l;L|(@Rm{`0푹y }+[}!p|ߘ^0-rwr|!(skMU2EF:/>i6O~t+`3$Pп$ +wqj B9^KwG{>?H$iwQ /8nK)$m95x7ڑ}[;h9ʺu1'Kbn5eσ\.CVL8oc`|ɱ@Z&#J$wKڞ!K;v%k)Pد +AWmy424n[/jQ!C~&xMojy'"A4"8ZkR;ԫ?zV;_n%fe*?Q* e.{ ?|t.،:ʬK)"0eOxǕsqG+/5kv`!uoI 9h?5`3?^?m+s+yn=\O!imwr1`hEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQER@ 4PRo?/ҸKkeKo5Posk8 !|૩ ^sgmysrkֲ%x[̿ZӚM\vPHb>E1#XVOI QW^Z&H i?V%ŝ<WoTMhT9!*K;Tm}3xqy펵?G5Wxߢ FmҀ1^v(ܞݵ㢾M(4-A0u݌f>") QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE}چLD[ѢXN%\pɶsܟF災q5t"hr ֊+4瑉)z-&sۆkԼ XeE/TwqR=lJH"Tfl?|GiΓ8|7Ӽ I杼+U ((((((((((((((((((((((((((((((((((((((((((((((((((((֝gzOr9rn%(*(:m6v]f((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((( +endstream +endobj +1066 0 obj +<< +/Length 8338 +/Filter /FlateDecode +>> +stream +x]Yw\~,t'%Ň1W3t恖GsbɖؙAa;n&)P*=|ܜ]<>>/79|_ًͫ^yx|ٳ4 m}uBD gh~yM%'$O O㏿ý}؝SqLj2&~˚S4#RJdQ07!3K&a/B,b)HWquz%i)e~}[7%U 7=BFrYcfx$`#CSA rvLIFOZذϖTƛp&ӑFRs3_&-80zG_?|V +VfMt{PK',~d +Q ~`lTl(# i!hD°2GPmF}i82Cn<B!8A p>-pbd ܧbѧ@zO&Csʕݩ`)j"I QXb,9 ֨ب>Kq}rdFLk}2 04ef" ӔJq9=O dq)3AO|4>Ohض}ީكyJf#{>OaވG`}RSb\6ڣSSl3&}C)1>[ )1><%XOjd)1>M1=FظE,cb;bg)+ŢRc')hG}*1,ܝ'c fT0,5]޸U&ISfDzvkOaZbV&`s@-Ƕrz^yE(9o7"D,̳sF`"d=2Z >&R_ +#'°Su5"Nj(m1i"[E X ݟcQhGM#R;>ֈ&VРBSX` +:n2$+ֺ?R@8'3WAoLL$>JWA SoPz=W] 3g$eG&Z6QPlX/^Cc=+1uNKA` ++R9K ݝHi FW$IFhв.1.ZO"2uCj3mE frјgl@5@ΈOcĪ?0đ QDEpFshUUSev*\A2 kp!K\㋈QFB6ET9CL쑐ǗQnnkF~0M,77C2c>VdMo0k3\UpF2f:Vb,C8M&fL@,_,2;Mk2Wke^vW7wDm_ְ' ;`]r,7u*^xXM`PF2Y>`ꛯ6̢B6IB6b|!Kk) Ua6G76ŒTfg$m ȑ:ƺ(S!#iu6Q>$|6¼̒^e-lD9yPx ϡ6čUD˖A4Zcs޼U,`m!M`- -XK#QN gσpe͐@ۨdubkK͒"*^İj C`N"|@nڝP~(FfRljf9(1oC ;m +Ή} n^;}~dСxkQg]7quwQ(.X"E1j)TS,Hs|O%+ûEbji/1,.4ݤN>;w%Uz'څr'?(v[ iHGh95@KMkPZ!a~UC} ]HAB:p<<[Q%q{QJrl'# NxF&!K4A:T%VsuMԋNz~Oh\ݳf΃Yb0=4aZ-fpoEZ+ CVp|#Vye{sp_ՆZ휡VLO{x3{ +oZa[$ɫZ8Gp`ނZB+3 ~_]T]Wٳ߂vZ!D+v7qU9ŭH+:'1{˛pnWآz S^C`00Y٨!D+lX,o^zƑ,@.F _k+c.Q_$ EWCٖ_t~q.^_lH-}N!}i:ΐFo>fe5( ɍmuQ{AZ?CvcBXz븬}V <ʬ? +;\vwH O}5jG;(V4|HA?yRU0 R0o.QURS^__ݫη[ Vx0Tu# K1 /+R5+b}Dgoϊ)Ap uE/nYy,D 8j WcYS A']-pTMik2du{oHk0Qd 2?B L=_T) IM)_)%D^-r b{ئFbTnrͮ253Npꇥm{U0T^UֳS-I8cZ)^PNVUc0hTns$c" +z{eBo֨Ffo & +Mm".s9H/3yO y܂=/Uu{9BAX W /T eC#:utDB{{wmkbR~M{%c6Du5}*Q|ΪOq=F6ûo7jBK{(ؾO~^Dm^` d:1[Ni"Ý-hD:!ۂ`[oq m*Ebx~y(x*Պm43~^"딵h)k}7WK.Lͭ' ]D qJ8QԸ Z.+ 4UB]u05>ɨ9f*`b;PvjfF봪!Ä!1-̋~~ƩQ)t4(;o$)4z.] .8FIkcI-E!eUxRuTc'Vm%8C5%]xrFu]*= +2Ft# +b"w" +FV5ؽ<))]tCՐ_O}AJQ>Ȗ>Q$o#?鏦{MUmj(a R5^~\ 4.E*+i 6(ZU;!|8 hHW#^sjIhD[%SEհvW +aC-/M085Z<FkS""8U6EۗۦʉFH6drVi~grA䴶9f5RJD:9'{05 ߠ59 3CPȊ25?0x2u&0ԏm? >ԎmyGO)ʱf+ 'Wv%ֱ+_I&7! #׌((QH4RQjg + VmC6po3>+kiIyNĊ  43"?q_$"H̃[u, +F.'\mOP"=mDW0śٷTá /yF3tVSsLmor +Fm3IVoh߾S .*=x}ö4a +zKDk=AX%ت1u&ҧH}OϚƸ0s9kRoDi~6+''Y̪o̎C}uy~@3ٽ?$ɡqVi8<d9=Ž3gЅ$4 2Fj-Ӟ1K["j|8r}9GE@ ^+܀J#);:d=!JqڌgVd>>f* Y=䀇уl(=)EK;cQǤ0vҰ |\'3D\PLx-!3{n&>EJD$ol3S h5p)Q,=x >4]#1,p2,6,뛮a˘aJa a +?5犛$`*h(ܬ_E'c*h!?h3Dy"pCeh +! +Sσ]ح/g.9Hi$ u/}[k؈_.{U w#UU&!/et+`;1Fջ{aKOǎ*ckuP<4yl&(Krwlì.'4Lc (8ϊjfp尐lK*\j[\ϦWƠmICYI;qr0B#ۋfۛOa{|QPԡzh]qcD˫f:E3ep6ioqtRJf6ZIec +6h'n餿1J2t1IXh|RoPTiD1v~\*[\0{=.[%dwr޽UO_5/w>ߙ. w3G.Rŵl]} }s#VG_(ǡ7A|?ё[uoc'vthQl]WuVpNLMՊѸhNw4n;7=tG(Ѵ[_z x:;2$eMXB{A ti_w3.U{eaN:d;]]NsR`=:%Oއe}F5]`4kk ^ z'8\ks0m@I=fxsG9Œƿ.PStkfKy5/P<~ϘnBG(.Qeڥ`ƺ mb2޷qWj{d|)ˡ31Jsšp3cE +bc< +w{ـU +endstream +endobj +1067 0 obj +<< +/BaseFont /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1943 0 R +/LastChar 41 +/Name /F13 +/Subtype /TrueType +/Type /Font +/Widths [333 0 0 0 0 0 0 0 375 375] +>> +endobj +1068 0 obj +<< +/BaseFont /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/DescendantFonts [1944 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1945 0 R +/Type /Font +>> +endobj +1069 0 obj +<< +/Length 4652 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /FlateDecode +/Height 78 +/Interpolate false +/SMask 1946 0 R +/Subtype /Image +/Type /XObject +/Width 370 +>> +stream +x{PUU*"8B +f|)!AM\P2 x%b.4D%a*/b`% #i=};ϾpgXYk~{^` @ @ @ @ @ ]"""kjj-LNNMXX3lllEjHII?{',_)ݎ;d\? 3sss1Wy[ns=V۷Cr!9b&MJNNnkk@޽{L<2 +_kɉN8m4L >ٓyܸqRj+477#]boooX>(,Q֯_I_ +[vdd$AI8`-0P d(33SI>zXYf ;::WZ%dTVVfgg?&L?V1:+c>|Xܶ0U$Gͧr@O)++ST6nnn"k~@-))Q%V}}Y*4\[xɂ*f\O>P8MYIo>[ɓKG]]̙3™*...*HD5Z  /<0FԚU+^3(Ν;M}衇x#*0  7l +jR JHH)=ʕ+fAf^AUZ[[e+VXsZڤh@&MkV\]] +#x{{U& A5hWp*0YBlVqww=g/Pb C +YJnVrGGGXԩS2 ڵk5Y%++K@,Ξ=+b*P:06ouds΅t?2Ujj*7[uVquLڡ!h-̓}o޼-w\V8q˗W?tgGjkkZ#>>att4oud T?)//U*(RRTTTU-Z Z__LdffrU`L_ $$įۉ6*}0**_E&X;7'H0SYU.\0~x +fJmm-3jJ̀N g\\\ѭ*Ö*%[ HU*n*Vi8:[X +J{{ TNNN~aSSx:= +l(رc+*@uuө .Ո'9}o-2 + b8|V{{{N4a„A0h*@pp0uVJzJZZ-|ʔ)K{ + XɃckk+++a[dB]kk.]*d *,ce_cYU&0ΗTV+(JllЇ2*,4bwww3+x =[&'OlAIVÃEUJfhoot~~A$ܼySbsG*Hꢢv5y |%K\IVinnֶ$dh9666tBUU%}"Vb٬*D{IjZ2Xr% dNVV) .--ݻ"оUi~AiV;{lhh՝U@#v?#,,6U[HNNVe7xRm!`c4Ukjj!Xŋ5sYu$ +bU"##B@Y`Q?/vrA|r][رc|Vś*uuuȰ + c0*0G-&7XGƛ*}bp-9s/>#/#󃩤$d3fpC+ +cΎ%m{e&B&Th$eee(gLXQQL*H222XQK.' mx,C߿7T̚5kZc`Vոaޒ*q0Q}ùdVѰ + iXQY+i:,``d~->>*v.0K6%N0ܽ{ꌌ $D`` }Miqݺu8@[:P%O&വk׾'O xY!F 771_~C)իgF͛ҵb.^loLOX s]~}\\\0RWcsՃ0)b7mtL.K^ A%ضmzGo&cƌ <<<''Oadxdpp޽{3|A5'O ((B{4V^)S=zt˖-B}BGM96VEnݺoaRRR,XҕG"|$''+&ׯ_77 0$ne*8::.rURRb QHV5k(UΙ"۷KNNNu c(9? OiiqQ?=AwMz]Fqr_~iFD(CCCw?>SO=5u!@0,Z۹#/T+W+VM FGɉ'7J}bcc׌dժU*0*lb(0HxO1Xāw@:ҙ"@ @ ⛜ +endstream +endobj +1070 0 obj +<< +/Length 658 +/BBox [0 0 369.98 74.596] +/Filter /FlateDecode +/Matrix [0.1946 0 0 0.9652 0 0] +/Resources << +/Font << +/F12 1947 0 R +>> +>> +/Subtype /Form +/Type /XObject +>> +stream +xUMo0W x}J†V@U:q85xg#~h  B Ep]4w4X%Znxs$ќ9}>S_~N4>)'g5 d!^MiRIJpVTb!0S4vu>F9~mz r2$1߱KkyBd`h<]`T5^ՎFR1iq{-ku#GmhZ!hʽZ)&up]U@WNŹ03hZDN^˫p4MKKI/ <Iqרi6j{oIlc >?O2lm0С!ji5XnU\ Jj {Q ~vTqHjP gF#Jk]W62kLL8c @Έd]I0Sq@bX暱 \l1/yȻFWCl⊑w/ws=?p_s4`Sn]Ԃd,D3 cL9PK)clϰdk^ڽRYE{eV[8PRt:e[~{1 am/;'?x> +stream +x}[];ɚxq-.%$L]?joD\Ժ(ǰAڥp(j/,JoJ.JLb?zW~Wg+发Jrj)S>j}{Zov?RmwWwB/+k~|%ϏҞnzZ*6~m{7i K MgzynCF=,amӃWoz?QWĵTI yn5Be=+)Gai[q5ײmm{TF/vnܯ1< 7o7cM>^[!|D͵8#M4851$7 JY<;oa{"~?~?cmW_ESFeK#5ZeS-'~SdeeaXnZI:#RoP O~nׂCQ>_ss*|y tZN_zp<컷_sTEnn4zN:=a`qM^уUR7O7M ˗ݺ +OOW﾿/g *q#d=Xϲ D\D )ōՑRE#Xa9az $56-a'vDa#4@8r !Q8a\sAK澅m r`M5ȴr̊ ]$, rlAneB6VnLCӊqmiAN14ol[8gض GqMmANcʶ9:iض GqXpmi;^4k-49:#Ac ѩG^ض Gv.YS/V6 9:s 7wjrtj۩̍m rtj-S\[rtj7- 9:sˆk CN!mANܲaۂ +*l[S9mANܲaۂ +U\[Xrt*-,9:s˚k KN2K,9:s˖]͐S:8j) + 9:s 'F,98XZrpJ9])w!nϻ` \+rYznFǩ-68Mm},L cӚݖ0l5]qqk<.sPvu%AU+$N3^HGFoo VvwUJ-q%wFɠ[M%Z. :Ѩ[Zj`ƱM)80[Zj!?6i[TQiai -+ =Q^Ä́!6eD.R/V*ֱsS=juD]ae?Hﵰlbnk5 bُ=1 wק_^7R]oP9N/݁>F}l4p][|P +^Ӟ+KRz^~!f 1#E%;Ǝp˽caJg+di.-6b-SܼD +n]Tp5oP 9PH5hx6L()ṏq X{r +Ʃ!+{i5fQYԋԷ6j61+ܱKa!wYGr1Fw YK]ʃ +n ì{º~<`ݍ }KZɉO^$RzgBϜ.t\4-ՙ=!Z!bE6`!'@J)c4f4Xi:8bbb|Bx:\f0>+1!|xJU(o\OjxcE}iޫ6~7bHs, +)C1obdWP#sA풁&QCG8ACg5%?16ԋ 5+1+-"}}q*%UƯ6&(R}rt8MQŭb4[o){ b`?.i E J&wX&~a۵wMd٬E{.Siަ6ү +gpz +&usq>4Lm4*, B0pQQ) d5 +2~Դz=wАV?ցA]$R=QvEX]f]+;\ۻ q_I3;B4GqnڻYQ,^ex7{:rnlk/%:C +ƱQS0GH>Vt׭xגjGM{jQWgʮ)pAoÿ~ 7???9kG&&^R]O''Ǒu3(uy7I͙[%{Q'N2WPdA}QLH838Jqz+ $|8I^LtK׿ifIQ11B9__M(C,᰽-|cֲQ]`Ye).JUq\XmH8լb2wP/1V]wWHF7OF]hKdk3c^[.{~&`Bjϕyp/mB󎥧Ƭ@ Yױaxi89.@KC94DI#dpsX=.Sk$ܽs("q:x$phO@QB/:"x,Z>90,-̆[4yy%6?/W"!2$eE6^D}.٠ yVv B^a=ߜ2:X} F7J?0X,u0Ar󍒯{aT6H|f } ໄx")db\O +G!'Ν&iuZn"g{mlriJ C+ +CD!YA3} +at磲=/ b ;1=㍌h a W ѝq׾;1 y\Zm-4Gh!tޭbN3Shnn27Aџ)vx"aDyCCxG*s.ݏ΄nQR}jkקd.!\/kPm'/Er0|bwg7e%Qck^bۗλƑ1,O!S{W~a8AL»\J,>Ti~-NxC3D𬍀x3xGCН~l"MNKQ%r 46rȞTzq+N;ҹJʹ21\؎ڬ6gT~Ts];zW""ҟї TqlZU{-4'HwH;s\L/)ԾnA*1݂!|a#S!.>VyepRH|at{%c!L'=WiYŴȥC- ä`-iu RP8'0"cf˂KG:ı萞G ~ж΄/^+qȆ(Tc9034N"B5ob18C0;:8($G@"kuK@kZ7jؼfx0G;n#Exjy%0O0P p +WśF΃'x#j%W8 *5y^}s_$$fSm@45 Lɗ;߼B%P+eM3y|cDMD +'`cuԫNkñgq`VU䉚6R?/H&;-Ϸ;e;m %=Ԁ|t#%zOPc;dqtANe8\l}e78},-ʎo\XE-ɮnXX=kI-2|mKKOd6Z-ʓXyt-R8H50"e(r.C8,I: qLN_| |3y;t۬u`fN/T;"b7½xaZqnj4/;GP .tj(8jθ89ŗ!A8xq 1u5䘑CbK<ع^׹f7e?F +o7tnX+aTdR`%9%_JûƈV+T+s;+`٢"TFyŸr^8@j'k(l0ʉ8$_6AXq4 )N#5‰_ +)pT3FƍHR`*ޱF3)^;o6;e_@/,^Ar-Ԯ[x"i 0 (h$qVjs,JC9 +Ɣc)pξ8qw%Hط >c_[\F>Qg=SgwW|\y*\Kf63z6;&Qg=W2ډyڨF*':Ɯr"P.ߺIMd4VBHqcL4B3tzÃ@K33!,37̞.4ܖXPR#vɝ^dDm Ƅ +1 nJ޸cXm;E +HVQh~L9,kҗ%Q(GLKRb3iI2JӒ8E:ӒՋGK?MD-1ICf7Q0Gn2ExktAGf[~6 +PT\31ɜ9?㨑&!ɤ&zTڦL@#Ab@6?S$U,YU,HAéAقGg,,ǥ^%',j-2q, + lv,j"7ps+猥N?Oi8ga|B8z0ɉYhWIl2 #̢j5MBSYօrBudNVrƎT{HuWte,O}5 w?0|o2b />hNb)HK'(߰"NSNsm\`tiV|rRiةx7,GD ic#:3ˁM_OG$,CIoy\b=^dfQT&/ V:=~d)q򐚅zRsv}n +ag%S2'RUlj/ Yޜ&.CWE`ce=p_Oeَ',+{ FV3=V(o$|_ϊ$g,ELw>~]dOP+%>w,QJPk>@ +NuI$EΑ + 7=%D-oC$$ҡ-S^B|j!uJL4:T-tE|B!%J@nCЄՓ/^v)MsSgG#>2!wL% G :f?n8bY[RPY[8%Bn\8UtWZ0ǡ(Hs +w[ahD8r sa/h"A"W +Y[Ǣa |ë +;Ƅ ͝4AY0*,#WXF̻}me u fc,c\9Q?_gг/M*l Y'ݑe]ƭC8a̡S$8H1-Nf"(FMh>+'+]V=WRɐHZ;7 2A&=T> kѲ4r("A"̢ԩf u1#sn"p;Dv4F͛J ,̸3.nʔ:m#4pѢƍk-O5{qYgu4,жФ*\fڶМlAHHbv=ĹA]0tn>!F@S N·q:*t2Wdɱۈc:M`6F'Ǖuq: ִJ.NlRl@ )N#5Yr,H!gvg> +qEk$FފTjtiGV[#d)qAIOˣ4ĮЊ#v,fuxt8ѰBIgY{@SqL#Z4ՑGI9TиPYв I'NK*K#"!HPԥTm#KG3.+,s9ꥍ֚Qs"ֆX4҄(ɕ1Jf,=M'6Fi͌fcf\9vJS7ǗUrqw*$ː;R\S.3f>P؟sR(P44 RǑE +חX"?hsY4a.Vsa1sa,Mwˊwa.FcDY'8i"~/:ͤnq%8W4}덯/:oFvLU ]*Ҟ7'J5>Q,yCeʘ q;y^nl+':i 쑶R5ԭ|vS*"ݴ.v^<>K.H'|@)x$ubJ.LIȝX<Qco>HHŘ8By1'oxr]Cn΅+>ևsUfE%g$oz&iҌzkS耶n5(-! xc +Uʃ;_nJ(EaDǜJ[P9-,߃뵴W2=R۝{a}LE"C,k5op5ڊo@ٯA5R;C"52rê\z._P]4 u#< W?5QRo 46,FZnVzCh}k?⻻Pq͏w{A:9vY:_9M{R{C7>fLQ\'H4n~7v1X&"n-Lv>3L]~L%aZx>nY1f}4cѨ)r0BPa~u+n1iblD2J [i17F(C|lɼ *)Bє ׈4-/%iZ-l_#4GIUhޤ0P!_ d,fI14v\jqY!:m,v,eu.*Vna-xE C)]uoL8vCN&lټ}6abooT6aS\ĞRW2-b+2ЉC,ݨ~=J)RQueQ\I{ћ[aXM !Yf%vA@͵oZ?1J\.~-| 7~!v+Lb[Grv -y>!u!ZX||1 ݻm mj^>ɰ).˄iMGvlzxeLëW_daF]v,ӯVb8E8l˧49!o9˴c{GKJ3#0JU CL?*aA|Pl*r "*+B 4ZC/oNsP8{vo==gmHCVti ׳gkaAgMaG4t.bG3^d=!'ۼHf:6~ ɭ$$a`d| Ou$nΑFHNY4 +"4J`ebCHɀO䌯1hK@uJ6鄶R͞4rGk@5J ̜U:| hxNy 甜`地h5P^I>$Ls"fK;@.5/7i)8 IQ- :6 k}YAfn٭ %&°^#9 +H0j)/{F>yj> b^$kyz'16B/·OF Hw3BxƇZ}Ҝ}qEv#dDЍ4~Em1@@no>DCXq4)N#g8y5YwQ:60k5 E*7/X27mQ{KTMH쵑MCn75A|#`|61Sokfsa@Sa[%Ht8%ɅZjĒ czEHYIziyּueFOF=BOuhp7gR0i1k\KC2'6xVX4Rm0R: ٻ=,$eyg#~$&ī;N0hsbNwᑰ[P;dbroIfDn8 6_7rSx]|։+ +EHn|\=qqZS{oP a eƸ~Diɢ#OUޯL}ׂPKmSVGyXqT+>AqXx=`&4¾x Dq t CeH5wtAJI[H؝8c#w:b@i~y9K#Nxt8HC @sE6&V +:V@ɡryZ$67gt}QV=˦KVtX6gVtqh%RNJ.F>WIpnE0a\ncR%4lD4R?uT4 OD4$НU8ُG6++U 8]eSgVtd릃ś[c{Q +T'*k=HdKPFs,e;q(G;"ʦ=PkyfHġ ʢwPoӥl#q9-?4 +endstream +endobj +1072 0 obj +<< +/Length 11828 +/Filter /FlateDecode +>> +stream +x}Y;ŝq]2:5!E[3^d9]@IiT>,V""D|">?|ۯ󇷇wo>~ٳ/o,'}XN>|ۓPO\>ϞG(NfP|b_ ~'Ϟ̋O7ϟ<}OUUBdXҊG]+k@& dB.1; #l?GVXkx?է1 sVy"$m?悵-c +T;1NJxF)7ߏ1]S:y`0c|Qs]j4Mc4QU1\xg)S_|a ~fWO_EΫu͌9yo_@xU#4LU6E¿}r'O?83~2 } +b !v.8}2J@BM룉\lrCd(gy+MW&^_}g߿歟|@Uso',~dď;5|G.A_eH[1k9 \ؓ:aSkA+T>w/Lo^E-'yb- +M(PvZL*CԅO_08e'ߌGn_~u>S#_?xh̍=?^@!\zO UV>0ʞCSk7y'U+qZXfJPwk{磼zT@ >xᮾ|`|eaj"w#Ky=a@&[*[su3|`LG74[sΧT:Y]k  #[TKï\=x#cWwC͡O_"D mEQ{iL }ѥ/C'M wnyacFGJ@/Wt#IT1RDg)"]2._YT5RD-GV< /3ÌpK+aGJ8 3/^q=$v䣒Ɛd/_1WOP^O^s8;_p门B#](˛xĕg?u2nnؔKϩT;/jClbE1T.shbP?ʇ`;>Nz.Jd߽LW[+G^ZKm'/%d,{1ŒENWV\C?Bq_oؕ,WOlE:""n)T. +J7Znܿ"4|.}n%ڗ/B~-lޙ.bY΄ƢS֛9Wa@:8g'6 Ɉ=ZKJO]* .]Z[wĶS:UWS_I D +hm9앮=Z>x=Gaޝ^;鶦%嵝tS684 _ }v)%> +e9_u=IZh[ngKmPQ{,+?JH^ɉ^h;caoul[S"۞pTU/5Pq'Q/8b)lބS3cdXVpʀoOX, {t.Feldv'խ|_$>_Ԓ5Z ,yj&t%vۛ"w3Y|$`OAN*m Z*ժŐZ'E.jPړBP*=XKzp8Z6hKZ;zF;Z J i-L-ҡy M[GݦxH" +Nbr(s}?iӹz*z b$"a +`.q ((&OLFƥĠꙴ%NS:{P:mz?/A18m fp|JJI8\j-܍0"6cLNgחGs>x{(5DWywd]]@g_3oAӿDj/7QX}D"F0sU&;F^"?܋E]s %'$Pz-d9!3evV>ȀWLN;WSaۯJY2~jDq`}c<k(7y{Ae>J +ݴRNJqHq)#pXf{Pzxa)Ei#PƇ9dWV_ Fp>ae1vEߕp 6 6GiPpmTn +!^Zɋ}|{C$tlnuX*d}r&c;̑1ƁP9bpnntBVS +HBͮFHDŕuSHn,] gl/R,fǥ5Pܻ=E,Gw4Bܛ +(ъhF+*vTz6.w>Kf/ɛ-Es#g-I7+UݰW6&7I`GG2}=( a*ei2Ƿv4li̖h}ƥ6WR%FJ@ehʸɎDz=9ax9+i}Pa +^=/kFj|/ׂ f!l,t #`!M7clfO4xSjwXLXB;;p{PRCT^|xqWOglVlHŁxڏUp+έ:}_\R+Y Y S>/䶪;>1\kXO;`َZ;Xy^Sq8 rV?_ dψ;XX̘q `&0>̝i@Vʒze[X:†N2M'%GP_i"$$WbJiרM[ٷ"]}j3k(&FXSG"Hc̍CWkٷ5F M%|^~cœKwQfS%٩()|Z;J¾NZg`@WCH{RBW*xCINseNUėP|0?_O)oK6Q໿~̪ 9,1_hxSY%"L/r4Wj}{=&Ỹxnh B. +nedf0oL\1:Nҁ§2jvaYU{3L7 {j&|0 cu{9&vFA /{  +\eU.?O.?M79k&pKrH|ѧ _ڄ9g_./- Բ1zv>} > Mzg*f[(N'2@?c%%>45=ajj٭Ѽ!IJn *89;_,pUg2C} +v*]\54eSsǢF^FJRz +z?ۼ^0R_Ӄ̶cR⪎~(9G!|%/j+%!ws'2FVD^-Ň&]j ++-DHQ*ٮ{j%v9w$56Z{~ +ITyݻ\vĊzz{~*!:y7iݫBlK> ;%y ;GT94]X=0B2Z}%)=5QUX&5i7i:ӃU%*nj$O U$܅~u:#gp7u~Nu9ģHZRnέ-]_qߖ>/d(6[H 8s的6(JzAӹ>mIYG0BJGZl my٥\Z-5a4>*ov`?5Yj-aVJ);NLd$ JnH@A3B +7|OwS&g PֵPGj ۡҐs`Ze=%GOq +ix#U I1V]X#qyv ~Lq ^B/<|T26 @w) G2Yʾ8'<&IG<^t27G]VmԻi_ Hz})x s@cX9Zq#qXt9"Ê{&޸v&Fv"R9X=9+g+l%5ta)}p 34 +VF]Xq+2\2T[n A2̕]܏r4o}X?eb`۾jw2,/񶈈.֥^T5el 0GGV,RGRqb&K0K@Dz1}Xݽf:mw!rR&R(tڙn˅umzB2.nfwjɆjEdCal*G*dX!XLkCel Hqa9 8['9R\ 8e>#/65Pjh la](1h4"\߸qju}wy`h8H [۾>8`\Gu>HGf۹m24eQ.1[,4Já +_8(y\Kxa\ E3D4iLHğ(Ҹ"Ir S [%> gÀ](8}^g,6Fʙ(}W!VâT%Jl5VBHqE]@Aܜ> 5}P>@>@:C^/D +aS:p]>);m[}Hp}ps0AYCjw׻c@"v 󐁝r4e9pst~Rj2$FO8'8N8.pKW{ԴT}ux_Ed%bw5іG(1ιQ4jM^5ig|4OTL(rQ2NƵA(r&ߡ2Jƕ3Q}hVF]Xq+i|L`/GwTJ'oL2Rs(0.&f5$S@j #$eګ0!RЯinZ*3^ 3Xoayi> J o3Jہu nLc~W`%Q=zgz X%}vj&T_ O[Yf [lvc0Y/go7Ĥ߿ Wxnr^PjGLP@[tsB7Ky3nph]^$OPbJ%'D,. vq/d9PiխZ.AKޖ% [YnsaFE//. uŝC,e$a>םwcPnEq,\Ǹ9`=&9ۈԝp! ܕ,Ldw紧eTb݆C}iY'=Y +k"%"|>iR-eAކ#LE>r'`ۛ-[d)uT_2SS.l|l /Uh`ݎ x\KƤ=R~R7/b嗌EAM|E|R@jGzv'dLX3uKƦ7S%Q +Lj_sgiʹ(>Ⱥ!mrVyYc9ǭXYVYEu Hy@o@ӖZ9.t~ ZT kAJ~qF.R9ohō}-OqhRŠHq )cDqtgy,QX*z ig,}XJKMK&IhY,xDVcTbэw?(O̱33J'"fXJti"؏?X4TugKiK Y,xDfcGib)H9HX)iKU$ɁK %$,qdm 'JocW8sZ8-A1qr2aR܄+bg,$zÿ}A}I#Y8}}Z@Q`2z1RabĄ%Դ[(cQlE# Ϯ[xƗLƿ?Ϯ/z,ϨNzBhMysg[X4fԌ*G_ba ױ,\#Sz #$\#L,ԟqcqm p8P"/xִ1-|al;0 )>PX1XE{'1 q=c7 @#ݫ8N8 Rv/6XXW+vHVb:3YIJi9!&R%PVEh"ǬJU|. }kŭU2#\s&a,p^Mu >h+K`"2BQ(TĎnᬽ@h)ጸ@avN: 2m(t 'M (@4 +ڄs –bQ5O,q +endstream +endobj +1073 0 obj +<< +/Length 6366 +/Filter /FlateDecode +>> +stream +x]mq~bcK񮢛6=YlS1SDJE$E3b [V=~07w|g߼Yܽy웿R6<%GpsW7_甭^>{]; 2ƽdkSyKjt{.lv +N3g6jAGhc&,U8Ó9/}wד)oLfH|uG͓׿n^2i#_?K<.7~'Gr@WI)0A%)8gQboGJh K,vJ0-C)\_7foI?5OoV +:RFՃE4#%?|4;7ǏƤ/o;i9O)cljLIɸ(<éFѯ_肛/n~9z !Xw;gp7 hˡ5GgAD`rPUGUoK U³ W,& }U`$]JG,IBW)rËSl[EU1meQL"%jZ9%\fDU9&ПeF9DU;d%kUNK}pV]XT=GD U4rEYTPeQNC,ʢ7(v;(v4j!odU4,1.9h UDnQUDrɰ8v3,@T)%ۉUDʰP̰(v"e$ SN`IHn9,T-%YvB  %(ve(v*j'[j'[6j'p^$ [N daک-,lU;5pVS[LU;5eX +]mU;\j&eQNMKnVSCCkʩuCʩUCۮW,8]*,wCt`?Tp%ysDmҵ{m,ViRN=#1h:n;P\Jn/Q~J#VUXIteg/tJ2ްlbK3ZbƗB2Ҍ@_.Ҝ@,.l_#ecKb|lO ܭ\)Y u=_HEPAJ;XȂZ ?,h,AS氠b#Xg"PRݙW~JdO֜Bome!6E3z+RVTG?8KAe8pxk9˹C*:C!lQqwxʰmizmוCp]ʈ1}鸆4k3=IqcK+AI8s=eXVg#w^l#DU?ss#  Bv'Vz%<'JSXxsd=)vd,ĕ _64)$38n*OْIJtz83ܢ{zфVe:^B"rJ-=lD)yeʴz ֙S->=,HEk +E:4TQ̇-%Q[+KGK*_zE +9IհRr@bXf&i2 Kn<3IHF&Z:]"짃B8XsJ_Y L,wf}@g0 AӵZO@yK)~oHFBYnLk1#9* d$K. 8@MLYÖ~B+ԌWb( 65d;WlŊo&coNgNRM&8uJ> MRjS[> 92IAV#Wt +R+!z FGkT6.K%]-d#}Lv>1>ǩ/B e5rٴa.ac/?##i*ё4n4LH&Fne;h$56|ظ*EO *IlUIl):nn_~hV /xokb˥)‡ObhA/c n3/?8Ҕa*E#J`b~X_V4]R`Xټ[-+"PhT:ns+uKK~CU'XaTH4%EF(r>a~uy;q ;(ROT&Y9Kr^7)<9V4U*r*aĹ#Sx!/7A)-/ui_sX~|vߚ<>.I/dzV^*=l + )7K&m '=5h7oiI6I3j*/U:R*HY& +*wT$O{H5;%$5D =!g9T.  vAr| |ڰR52!I''5 gbcfϤI}-ҙˡNHעXx t@׻+x\9쥀xe@ +7nn ݝ拽/"XJM)5#Mh#=9PhQX<6<?vf&z)Fvsa;NF2%1OTk*3)9 ]JRmC1'-t@Im(茡Xl1h\ +Ԇ2O$6dHQ\3ȡ\HGRl;C2KfؼjX6i8æQk3VJ'Ϣ|Ǖϰ-naRE lCVi%o1O[>Lh<Xn#g/~ &m F:R&5¶-l۹l@Z +D[HG,Qn!o $nq1L&%oxQ rКf:@B9C^u@C3iԷJs +! Hne|YŞ":uo@th @3,Zi#?M1 z(ƶ 6! m$%LF-TbvD{f2jHƢ蟸IXe.޴XWMl{=1H=ej\X:L)űRݖb pW`>DFGd}eB4ҩ)IwTH)r{T"ŮDn E ;83--#8 mt~&8`@ADUiYuDlmz bO.hbWN|hy' $9p !Mk_b[{[wX 4IpX&=;Z\7 ѕ*xR:&C:9 I:BhUx Ɉx8 /{Peuxx# Zш5<a'a;H4Ap)CѼ]B^g2q s ^86Jʏs+&]o+;喢K T".K_3^0Fbb>̧RcBiER&rr6,9hhyb暆K)H +;sM No6BGL {"3R=Q @>'Q"ѿ\D;읛ɥ%|#ǭ1?P{-+j>9q,K%7΍YʶŎߔm'@ܣk7S +Yc?A}7bQf!OM]QWPl! u[|0 ׏+q/)Wo"03R-I-Gǹn{kLoQlmBJb*h0]* +CΝm'qb`XPr~ZR?Bli;,*s=;XT8{~|w lJ^N&b^v[Q|,gbNFbA+鰢]bWxHE`^*A" D2?h}f8&V<h#$Zg49xLjGm@ 2#}7Um, d" H>;(T?1(ÉB(]Sb~o7vx^n7Dq2YB=AMm!n%=f ' >X!. h:(l~_{{Zeb+Qlj ˖&=ً7N\7ơc+j6cv~+us$iyl)_C#jTH-"/՞=Eh)~Q+-Jkr g㖡Ziy>׃2 +<PZN_lD h1zx|rD3=&+( NJjTj -ҜZhg2%h$EpW- oc"6ؗ!z(6 f`5:ڡ$G:Gp$*`&26XGnN s\HJy:M( WF*Q6^Ud~,eJ7x7M_M~SyQ[q)VJeq +?PyE?C'7?Qżz?.`J.(އxyrm5A*M =#7x:_wEUxafhxJx'ë0#a)9E^#o#<--%Oּbx$ҥhT([|)/?c0ŷ1CALmuc?*N?ffy7fsCV"za(؋qX!_⮃h5Vӎ/q/ĝ~r[m,t'ywĥ&-(D~8}+ǵ]Q?w{7fڐpZ¯a]P$z`{X%u@/:OR!Q^-(Tݑt5l`]np:݀ 5nL{4ϸ6*=Bڪ_]PQ&r8yuQmܤ76/{56G᥼΅L( +endstream +endobj +1074 0 obj +<< +/BaseFont /ABCDEE+Corbel +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1948 0 R +/LastChar 109 +/Name /F15 +/Subtype /TrueType +/Type /Font +/Widths [200 0 0 0 0 805 0 0 0 0 +0 0 0 0 0 275 514 448 511 453 +517 0 524 0 0 524 264 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 829] +>> +endobj +1075 0 obj +<< +/BaseFont /ABCDEE+Lucida#20Sans#20Unicode +/DescendantFonts [1949 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1950 0 R +/Type /Font +>> +endobj +1076 0 obj +<< +/Length 32018 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +/Height 519 +/Interpolate true +/Subtype /Image +/Type /XObject +/Width 719 +>> +stream +JFIF``C  +   $.' ",#(7),01444'9=82<.342C  2!!22222222222222222222222222222222222222222222222222" + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ?( +( +( +SMh-7]3, O,K3u5I6=܉ޣ)va@4EPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPN-bQ +\ ll-zXf'c LkN,QE4k$l0 q@ :O#@T~Qv-@TJ֢#Uyv8ݡRxo 5@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@WfJN܀-[E|xS'.'rOw +CI񶳥Czr*)"T=+a5;C]ze{yiLѢ) dGLEeǯW.S1#C&(((((((((((((((((((((((((((((((((((((ai*ӹ +zbݵ9x:M?| +{}O>:E溻=%/<ٺkPˌ +4Ց993ntY8Z˖H׮ͦG({D;TI*F1:NKG OA]D^7qXRK' Y){HiT!7Ja5i-5R!UcXKhHiǾpq4+쎧!괯dQn?ZhM3ܨJ][sՇΟ?v6ZۃPE'rQHcd&цXd+/N}=-֢2wvx('ĩ:?XoXec%EcԦKG"+ 2#P hrtg۰lG~S~f~xxϓ/rZ֢;{X%yy>߁5@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@HPI8Mrۚ =ߏVOx U?OƠE㲷)FYC +}mI5d,5ܒs]n"_wqu2EOTh"ip&J+I{Rh`UYl#~j4R*\gҳB2gс!k"A䐕؇SA79}BǛ\: +˛Muk%Aۍ"6' +(X]NƝ҉"ut!X+)JTSص6-'N`go"05UY,=nOy,sqUFְEPR!-S0*zUi!thةJYmK1=Fְt4SL+&hR̳|n_Zo-bE4g`iMER"2:# aEe?kN$sz[6֢2wvz;}B13֜ ݴ2K}КԤtYea"5# ((((((((((((((((((((((((((((((t}a'c/d3ʑ9c],oGB]<jEј<㚊k"aUޙ;H硫ш[5&?aG+TvsK VIa^i5a.KTMƎXZrBh[4օp)wntgLk.m=<^6 b4ToZ2 R!SZjW m%AQS]}փ?*Ÿs+9POb7z }wqWo|Eu,g)8^+6~Y:UCئxĐȒ! kP,ϖҾ+j0mMB/`hW-@5=&S7hWO*цC)5CFUt*HdYgsuūmL3?j@;?ڄc&_IV V,%U̔_.k4ĐA1"(UœTy-GP*hVF-͂r1_<ψ&]6ೊBOk~#kCCUg|2 +xŖv[s} mM72Q=.E0 +0#'t3|lT*( +W=`?{ʣFJNxQ+hWR&s$2䜜qJ<{וxyE¤6{LV6gQI]ffPKpN*WjnT4{D}xCբ2sڌc2RQ (eiI ZFPU {` "m&]=>ϩNP"'v?팸U@VPgeO|iI ZPAGzZ( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +(#Am,ǤhX5x2r9&OK~b+kC{ޟ#9n5 ޳q* htRiW3^Z Zm9 +O4Z ݗȨ(Z(fTFv8U$56JFȅwiUw"dOȂ"}-4nu݃L_Fx;J/u47S] ݤsOܯ!߫!?x`= +?+׺ ]mmCjyJBHp?>ME(sȹȥ[âYզLh#:,O艡Zl!n+V~!B6Q~Sl]qr?Aכ6}KQ, "gHŇ#j-kh0X=7o߇Vó'o_j<׏q\!*/O}+6œp$^%7A^YfDm4꧆sc#%ұbLRç1Y t˻\1-o7n>l{}ֺ'fҺs6 ҮEFMd^ Fn8.OQ2UY1LwqSU+KSQj$cQUY*:=M\9)ZH k kdVMhcs W?pCmbԧ?5Ed?팿X4q.Zl\kV4+PAdޖH쌺|>'ԧ' &5>ڄc2Uc|Ex';u&_s׺ kWe)fgu/ _\ĥ<o:m2^iʳ*?YZFF_ +ğE_̓S>F`mH6)HPF]m6~{8n|BU ]I#Y;+{qŨE +omO;׵i1ie#ƿ=LdR}WVmgIir˨l(ci2kmOZƳ=ZbG7Attp׳oo\;m{iB/n|E$ت]Twм9c#XdlЂxs[kǺi>x_",upO2 +Ù\#k x\=>? hw?ilLa봜; y;PdA~#T%ݘW8SWp%G4ď3E>K)P5iV]Ί(4c!4y$C]sI*_Hc_g/οU?-e*صQ|YMY=~GkBrZ}J}~֭nϣ[j~_eX4M?OڙPUAh2e# ik)?bgXHNKZ? +XAƷgZPvo?-_mp'rļ#xwnM犵[93>Q*a>CZE4qb= ݣq<i8]Ledx\xѲ{JMhm<.|s4:}^r蜙wؚSð 7}h`Ea\GcW:77bƲD p,@go+^R6r*OҾbuGԵI3~gҕ5ir߆\w]qWMvs^ƛeѣw-Z,I4Y.._ ̪K(C+{6/e}_?yI⺒<͟s^>àY[*.'&+"#l[x콼~J]љUK1Mx닛A_ +TzX>hQCym(#?^[WGl͏"aGV.cRhB Gjt:U~n"z Jk&Htϵc*zWm:jj6[?w_uiΛg +0pH☗He8"EEQPQ^ |H4P]En!~kҾ)i[R -/ΟVN әRT,{pHnaEP@#eUĖo}JAJ('ngmF;8eTiG-a!u۹MSZ@ GY]20e9S-?bh$,T|MۭlڄcɓR j+(kS&#)TWцC)"EPEPEPEPEPEPEPEPEPEPEPEPEPEPe&C#pkG˝UcHoC֩C)V֚v SQvey+>jkOUMR߁*Unl4җvYxޤgJbR,@=HSLV/ՉOKo/r2%HU) R Qh6S5mE/Bw-TУF=ՅcǴ+ox23Wѫy8?֓ao˝_*tuɪsؼ)O e_|׆xjj^&ӭ +%@C KLAW!Xż.GRڹj [*WpLՔ5WԿWad%iQsJM-jگ@22tUd^~{|È ا? {zӒm N͔geRelHIo +յk :/*裓[M:u2H0Qʳ>ZOgs~N=M8K^տ4˰p  G\Gĭamll,q#T54raݖ1I{d 0G cG >;Xdn85w{"iX{#6fV/ JG=1uxQtHa +/r>GFQoL/?%0Gri:-Qqݏ$dց *4ֱY/ܔlK6 C ¹/S[ %y1!8_gdq lY}t +*-SWUI裯+V4TPZ)ESWw#hA+jQss#>? +R4*A>=Hξf %dRcz +U쀈f0{? +n4Z4}N|95fHP)-'RN~!Ҵ';됎"5R͏\m +^[Guk*(޼z%,Vp|it1?:f2pc$O_ʗ@ojҁgq[*ѐt@_Ϊ8Z0.xRRk,UEiH|rLKOZJG&f=ΈA 0ڨ2IdV͞>d#OpZt d r{SՔֻ +QQLjs3[YHxz2k#kyTe ':>+>]8rrC4EսK7=~cһ -FQͳtҾr{fS6r`H?\Ѣ}-Ex'\ +5tk%f \4RL-/mom."?FSԌ(,C-VKI{G}YG߈5Ed- dTi;u)~Z:c%dцUi՗&am'%œ>VM?5(d + +֢Q}=JA`⹯iq^x9]Kq;^'5[1|:Ux+L3I?_5)؛HC\׏u6Ӽ%v"lOp<y!sg[h0i~uN53|> +JtQDW|=7W:БL~uAJ޲5ȞWjr)gdN)+vSicVO`mn"ftͦ`Aw2Z=* +åoBd3{VOZNpkL >fϥ}[Ƣfn-kdN+?MjZB/jY 1Tzҙk;H +~U뚽h,SQ)pr9rDUilԃrA|f3S~F+i.[ÏjxvZjx9CJL歮,eO,2/FҺ/nb.oZɗM#8F[Ȭe@P/V}2YHCk{D4gF_85RZ^y֗2r%AUC+|+fW˹5b[=~YJyI=?e;^sޢ**r)ՙAEPYhFf92Z9`>VA:('k}B1a}(*X~ a{6=fo'0A1ᔕ Fs?Pv"|b ȃ$:Q[BXmIoHelfpBVfli,{71E֝QEQEQEQEQEQEQEQEQEQEQEQEQEQEgj:H ?\茾ylwS/hݤZ(ܿOz~OGԃXn|~Si +ǓQy{/%vI>Vҹ{6M{o^LV$bJxzw\ToRJ$I5uŜ2195}nq޳L6;թ^n˻rd_h1PCȪ~KktvФ7Wd}*Ӄ?f-;G'] dy$8}&ť? {t*|AF|UIv=iR^g[Ԏ^݆"J'-cY<X}P۠Glӂq($W1ox)rҜHe h{LLE`"Eҙ-qr l +ǚ|yonr!֒I+q1VmbXRNM{vOҭm{?k<'gKXf4o5kDJ\m @jWdΕ@+Nkhsݎ?*Z+m)>Jwҵ9D܆SvivI! +jWԴ7t5Vm +6-/⮡m^#Jj{T}So=wSL+'Y?jKMb-j##e:B,r*ԌԢ(((((((((((((((((,Q2AQ@֧}&SPDK#3^E;ҘuWR ޽/.)}=+Ծi{~~tyi +ǜȦ3֧sMˈ>;\#u*ÂU&"FQ3vR-ޥ[x8#=GzghC%kpF5a.K8YO$Y{n$@*]ܑ!XLJp+FPU +),tit8}ij"(˷Տ +R=('hЎCoۯH찯+x|.B!^uDg/P;,KJ_շ\ްmا/rb!us]YO q#H~u| hv?L.:R=jA/mbM'N]ɗ'Iu[l-(,GSHmβ<~IJ N2=pzۆӼBan5kgS8t-݇k) {^i>lBݤ.:ׯJ+d5ט&bʽ2?C۸?RPkGKYIpz_^[CqsT 3?#><6?3Zu_?0S} +?!ΰnkƹƀ5h SE: +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +Դ-3WR/l㑻>0֍Z`Ϧ_=dC+ռ-i<-c?)V>cj_Bеeo>$XF_Ƹ=c=[IIӴS|?Ry)Dwڦp?CYJDZKZk+J2\MZp~R}5,w#hK%׽N\uj;٫qݏZLSoK|IצXt5_ʱ`0^A(fR^a.zsZ\]e9 y+5.5* ;%=L$;1X[1֦[}s@XT:ϘW$_XzdIlLz I8PU)4:Ļȫ uֹ`i/?C:Euly.3Fn =A&qK~*݂:NF42:N{U5J%zŢԓ&1L)H$ɧn4!hA^K0å^)4Kf,ҩj:T+C+C4-7 +`; tqUj37s}ʹ',QFNdk CzGe2Ĝ{^T Z Qiq8bO +[HvU?(+8b|>Ĝp Hvq-ŬN;zgl@8^M;$+4r@M_qTe<[8J +LJ-٭ۮ2c{ m F\`1'irh(( di %c%KSi>ˬǽ7h?=}:kV؞ߋ.= _!>oE[u cryQ+GVcm7vY_? +Eed\[aD?&}X>+7ƀ5hA)6vn3Z}FEQEQEQEQEQEQEQEQEQEQEQEQEQE01;I\F՟c6P>&~vUכ# +0tR}YmrSrM#CZ.Ts|E`|”HEt:kks3ѴK-݉/m//ksxpzӣK#`ƱT}OrO֫dQ.B]8;|}GM񶑨) ϥc*rFi G4f9Q]2\e1;~u2A e!*֬7Ib9|O5^XXa GT +־v6yWGP0iV>X#dgFM>I,%<_?# y:VKay;c=J!XCޥYQ$FGS0GLjDؽwrGz2\N;{դi.jwDwq:d s\wS'zԫ0=.w]Wrllo!5A.3ަTT37OWY{ח=SVr:]xg+܊ژO5W )tF9XK0Mc":;'8W0t52](b:Z=kK;QT:i%=S-<\^ZtKSgD&9 b欥=k7I]\Xv0>'4t0\ &yPƷw:9LĤ){:MjէUxaè +Џu3mm9g?Hn7;v}9?t [S,(|"1$ +S#8BZV;| 2|Bx=zPIfɸB|# c= M%a9aٷTt}pߙ4Es&;:K5nMO/~vS< ӷ뙄 tL R PQ@Q@Q@Q@Q@Q@Q@Q@Q@P58:K9#_7aW5K(?sP9=c$M!uu|sd  `KovAHG (OEMяGh[Sߘբ(((((((((sGGg +.Xdv'ҔyLݑ6d"NXZfQKgð*)ɶl`PN ։Ojq$'M3L<-.Hk{UaROU Gs'Ký)ڡAVda[ƬYF0R$Ú['SYtE#/ ֳ2T1iVUT8>(t il:Ul]A +åDhxgxFm4Jù怤a|ƒ+V%/wsANhMMq aЃ+N~L!3qk=_֦PRjMlzN2j^+N22~c]<0]D%9c=6 ?1^"vIm=͌m̐63XKoOjU/KW_º7>K Y?sʜfPԴM3Wfc]=E_FEm"tM9tּY>k|SG}E;Mj&})x7Aַ>1+XϮG_Ƹ=[&#hp +w Fc",+ռ5Fa4+=1?!dNzPj2jcZ+;د95΂AbZQu)vCS 5<ħ`O)hK\$W:̏mokw94@BH^"4 FΛDAU:?od̉m82,gs[Xf(no%t r >b9뷽zȶR lRMr{n$PeldlG[1o˞t~PZ ѭ\|Hp?<7J|KnÒM~_\\*Qޔ&?ƚOMl+51򒬄k@NirXgytZ2mgUk@`pa^wJ&(6 +( +( +( +( +( +( +( +(*jKUJ'Gp?]6iemLJ@I񪚯NCK|ZQEQEQEQEQEQEQEQEQE`q# <~8&xtֶ*z#sKkafrV7 +-VI5KPo. C,{cxw/Z(`d 5 5tczEH%n«f隹E4Isiz-gϥueA*'Sҵi#7M3OdbqT%dn +% >gͦtNGښk'ӈ:iEeS>idw<zUbOO:g,mbC-? NYQR0(((((((;;98G>d sP#`?Y-E1;,fI +(((((((((3 +N_OAX/һm8yAds];Pt$e{]=Lu:=''kaQcH= OY -ZfGkb}KpNu?]L?^+Ѿ / bK2GʿȟƭiAZ5^BYWBS=j.1^utO/,}1?SԩOW\ᢕz2*jm,gȅc'ԁP%Llq+FdiͥٽEs>>#bh<⻲ +5҆ƚ{ %aȧR2TVZT.)X#YwQ^Jn+Uymq ndv<¬azohʆRXkV{1o8OI P2u*ə84qdҔHT}kzm,U YG VIbNF9mޕ ۲JUun(UY-:0A KR: +2ҫùF}kB[Oj%(@UX -ne6#P(VcWbqo\ܷsi9Nq?v u+g'¼M5[>y~=d3T`r=~՜G^i꺥g+*2G˭sO5YU,uKN?2)p>ƅKFuxLZ7 +F2=cۤ/E/ΟQץQ@;i^L:W7}k#kjmӡ27>j }iGvݾY:0q!d7޻mgM _WoZ؍CN$yw!+HՔvdPԫ. Iam}*KؠQ lqT7ajl +G ƹ_7d6ֽc wrO'ӂ“h((((((((((++\vx˅Fqrbo +k*/O/TB~B@QEQEQEQEQEQEQEQEQE `Ȍ[uxǥ=e?g1۫io7湨%؝jxOu)tqSZEwt";y#Mgw\5,h[)ir~3ȧ)h.S?I"8v,b\( W&%e`cx֪; rC3a52:+lw"x^#1R*>yM4lD]حdz"\rky]:c]#m-%4. +I?4X4rݳ~2I koz7^il~M?o<~u"Tem cHVRNzfp4R4CK&VlYr?Z^m5K)'[vVAzܦpAُ+Q' +"]5S~ϖȪ3X+n`F:ӍFO6rN+x)ֺ#_d*xYa`56]G87֍-;fIjõitb%gңhYGCDIX!Xm4s`uB8?JTyb8m_h“:(Xm%4LcsCK죷[q:DpqbYڥ;@WiRjeXɅS,H^}+;)rvA~iPAƯxj[=k\0#_~IBCMJ&z$z~ֺ2,h-t}k+~9((pLF]a.kYjUCYE)YQ׭3eo_3| 5%98ntv7ch2: .E 8H5"Y]8ǕsH=q(.HO=٧k"*gtqS?C4_A2~=iK"Iύ٪_حoΟZ30ZPWAV99#x2nĹ~B~֭!PhVWPAmHNK9'/ ImjE˨7Eelow4+84WA #*ܶBmJ+8Hћ6}p@?حiΕy--~QZO;.5-=xeP {ֽ>mSǜ}:j]WyLznxӧkg|"0x}wBH[f^j^t֍$CZCƲOzɱt==dk'H-J⨇&ƂR,D˫*Fd⫘V&2=68VȲղ/|sVľJBlM<"Z_35jDؓv(ޙHqZ)jTg?Z&]2MYK&=.M1].5%upAsKzny\Z;zC汷<޲.*~a"e4=iF< )-,Y"X{z[!y['Z,) V&C=Vma tLXE +=.;"Ήc/֤m/it.Wf?@UsJՔ<ҧֵh6].tr|?rWvz|U崰`F~]Db%WPE5!X&iu?mw'z~u~ִmCZA~cRSQ)YS8<wjjw +<=UO UqXޞ$۩V- 3J5jBguKHFzQH qin0xV&Rr_ʭN1Xzֶy;Blm!HX$y꺦f.<Ǘ;ں?M3K!WS\DvAh |C.lRϫ{ ٞ8†y3.ְ r#ڀaycUj8F,:i=꬘SnEfRz=ЎUz ިpttzN18|jqx=k7HjF]G ?S]Es>yȈOsWM\t*tCkQYQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEŴp4704L0"5-5:Utlw/G՟$j?3G7/ECkwm{)<T՟u\n5qn7?R>95-N눦@c|m\Nmt-Wvw<7I@Z4\ujgO1\&ӯ5 C09~Shrƙe1RY3^ʮYC)2 sZ}Gs<zSR*N[ ny5? 9Qu0EUX N U\V' J (ji JDAVM;GX&zs!dt0.u<`Vԉwiu6Ki|*M;gRO0f%U9ߵ|'@z~w,FAe=tO'Ua"re:6r]!Cdw,Mͳ#$mn2/?_oVg%wլ=%c_#*cN PO4WtFy27&=r:~5fX:mMj!H~SqR:U+yh7Fop1BZ洑.Pro˧\wg͎w~uΤnciS*G )dXqq +f)ku'k:MylY qb^u1)G3V/)!V[cRdU5(1&G7½^oiaNle>G3SYIݖQE# +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( wovȡ}yw|隃-*}grdJ֢2dUoa*=ZPO +5 +6}I̳cն? hJ5EB?,E7NSk67y )6\zl=~˺9W8JghqeָGºvn'%ȃrtkبY"\S>{}ÜFUgX'>l?#~>q;MK#?cVt75+kyw?2I4Ëibihu[t:W I)jBO(((((((((((((((((((((((((((((((((((((((ɻx(8>POe:nfT̏j?ӍV[0:ο{Z֢ \BA*KVS2m +ѦiL7 rڶ՗g=Fpb9cCkQTl|pMc]|bPSAuUuRZ[@!8bW2i cȂhlz >jݴ kky\-Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@,-5Wv̀\C+⸭K Ys)%Ss|wL|gTwkm-Ͷ(&1lԵkc{ +C%7ޢ0w =8O:sq(=An.+J +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +YB[ HQbh.QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE +endstream +endobj +1077 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x35V0P0V51Q05P0UH1230 +!U`bn,ɥPRTʥTȥ`bɥ`ȥ 44KM V8qy(-v?H?!5O\ 8\=ԯ> +endstream +endobj +1078 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x35V0P0V51Q01R @c$r*jA,c(9yr{*rr{IgC.}h\nn@n.P9?47ΎEAmlV? $")lW\^S$  B ? +endstream +endobj +1079 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x35V0P0V51U"SC.=C ɹ\ +&F`A]S  'BIQi*~8P!PK)YK%hh,`cﭠ q)+jtQP[ĪM2w_>vkTiD-> +stream +x35V0P0Q51U"cC.=C ɹ\ +&`AZ  rN\ +%E\@\ +&\N +@K4X.}7}gg7 7F[A(㜟SW bg颠p& g/99fւYڏ?•ts# \ +\0I9 +endstream +endobj +1081 0 obj +<< +/Length 219 +/Filter /FlateDecode +>> +stream +x35V0P0V51U01R @c$r*j-,c(9yr{*rr{(Xp;8+rD wwvvrllt29y@-vv\. +j W[Bbkqaɷߕ=9D '6 +LJֻ7[2[o{o tcDbbk3+#B  K +endstream +endobj +1082 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x35V0P0V51U01R @c$r*j-,c(9yr{*rr{(Xp;8+rD wwvvrllt29y@-vv\. +j 02&+ +d H[uP VB2[Ss 7Ҹ{09q`hrTqD +endstream +endobj +1083 0 obj +<< +/Length 201 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U05T0UH1230 +!U`bnT55L9yr{*rr{ ]r;;66 +@ܼb;;.Oml'Wd㯺Ob֮60[ <)Lo +endstream +endobj +1084 0 obj +<< +/Length 227 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U01S0VH1230 +!U`bdL,ɥPRTʥTȥ`b`ȥ 44KM V8qy(-mWsՖӾ˿9%5[_>[3$7gP||"WD:bLJϱ\U?;W/ N1(0qz*rMq +endstream +endobj +1085 0 obj +<< +/Length 83 +/Filter /FlateDecode +>> +stream +x3T0CC L1230ɹ\ +U;yr{*r+r{g 4.ES! Y +endstream +endobj +1086 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U05T0UH1230 +!U`bnT55L9yr{*rr{ ]r;;66 +@ܼb;;.OKrQY})*}z鵙/{9>4&~?|`drTD +endstream +endobj +1087 0 obj +<< +/Length 217 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U05P0VH1230 +!U`bfL,ɥPRTʥTȥ`b`ȥ 44KM V8qy(-\So`u{2՛7˪8[[v\qo`_D5yKoh`drT7M +endstream +endobj +1088 0 obj +<< +/Length 190 +/Filter /FlateDecode +>> +stream +x35V0P0V51Q01R @c$r*jA,c(9yr{*rr{IgC.}h\nn@n.P9?47ΎEAmč/4lllmXl$/[6Enmfmfe`rTB> +endstream +endobj +1089 0 obj +<< +/Length 159 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U"SC.=C ɹ\ +&`A]S  'BIQi*~8P!PK)Yq˥{+esJsZ<]!0YmF.WO@.l9? +endstream +endobj +1090 0 obj +<< +/Differences [1 /g1896 /g2861 /g1892 /g3 /g723 /g2208 /g2487 /g2844 /g645 +/g1600 /g774 /g1625 /g636] +/Type /Encoding +>> +endobj +1091 0 obj +<< +/Length 238 +/Filter /FlateDecode +>> +stream +x]n0 a MϮUhfg$)RN!@%}j[b +h y`Uèz> +stream +x36V0B]3#K3C.=C U6055 2N\ +%E\@e\ +F\N +\.@#c݀}o]s~Nin^1PsAi?_9?&.WO@.9 +endstream +endobj +1093 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0V51W0P0QH1230 +!U`bjT55,ɥPRTʥTȥ`jȥ\r;;66 +@ܼb;;.O{_}I=l%.ޗ>4}޲Ga@ӓO4s ?s#B I +endstream +endobj +1094 0 obj +<< +/Length 223 +/Filter /FlateDecode +>> +stream +x3T0P0Q51W02R @c$r*tM ,(9yr{*rr{(r;8+)h\nn@n.P9?47ΎEAm5W?/?n_ +f",>x^7 Ba/RER{Ix%̭p4AS! {J +endstream +endobj +1095 0 obj +<< +/Length 165 +/Filter /FlateDecode +>> +stream +x3T0P0Q51S05U0TH1230 +!U`jh5L$sU()*M*P01w +pVr\r;;66 +@ܼb;;.OEO?V4e$.WO@.y4 +endstream +endobj +1096 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3T0P0W5P05W0RH1230 +!U`j`T́,ɥPRTʥTȥ& ]r;;66 +@ܼbb;;.OkFT xpρ +l؏~+e4 +/PO1 \ +\u? +endstream +endobj +1097 0 obj +<< +/Length 165 +/Filter /FlateDecode +>> +stream +x3T0P0U51R0RF +)\z@Q 1s +L @  TotU()*MJq{(IgC.}h\nn@n.P9?47ΎEAmR$if V'"@?^\=7M +endstream +endobj +1098 0 obj +<< +/Length 184 +/Filter /FlateDecode +>> +stream +x3T0P0S51W0T0VH1230 +!U`blT55̀$sU()*M*P05w +pV0w˥{+esJsZ<]~p g/ϪOrp?H02pz*r +CI +endstream +endobj +1099 0 obj +<< +/Length 201 +/Filter /FlateDecode +>> +stream +x3T0P0V51W052R @c$r*tM ,c(9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j $O{eٓ|&ר!4mb@*?&.WO@.gF +endstream +endobj +1100 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x3T0P0R5P0T0VH1230 +!U`bnT55,ɥPRTʥTȥ`jȥ\r;;66 +@ܼb;;.Oۯb(_ X S?sqOf@W& _j2 01(pz*r Jw +endstream +endobj +1101 0 obj +<< +/Length 206 +/Filter /FlateDecode +>> +stream +x3T0P0R51W0P0VH1230 +!U`bfT55,ɥPRTʥTȥ`j`ȥ 44KM V8qy(-7ir_&/K?Tio?Q%AS! R +endstream +endobj +1102 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x3T0P0Q51Q05R +)\z@Q 1s +L @U@@N\ +%E\@\@\N +@K4X.}7}gg7 7F[A(㜟SW bg颠p)ee/4Wq/z yT7$Lhms $7p04pz*r A +endstream +endobj +1103 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x3T0P0U51S05U0VH1230 +!U`j`\c'BIQi*~8PKCĒK)YK%hh,`cﭠ q)+jtQP[xEb3r} __wfn# "L 9\3!?ap`rT/@Z +endstream +endobj +1104 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x3T0P0Q51W02R @c$r*tM ,(9yr{*rr{(r;8+)h\nn@n.P9?47ΎEAm899[xq ~`CqG++ 99~.Ørm J +endstream +endobj +1105 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x3T0P0U51V05R +)\z@Q 1s +L, + )%<=}JJSÁ*=S!K4X.}7}gg7 7F[A(㜟SW bg颠QE‡^P7˯}={۴[<V8Vp>&.WO@.kt; +endstream +endobj +1106 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0U5P0T0VH1230 +!U`bbT55L,ɥPRTʥTȥ`jȥ\r;;66 +@ܼb;;.O7GuMYo?/Zw޾;Y-ac.WO@.HNn +endstream +endobj +1107 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0S51W0T0QH1230 +!U`blT55̀,ɥPRTʥTȥ`jȥ\r;;66 +@ܼb;;.OW?_M?jXBTFBaGfy>pB I +endstream +endobj +1108 0 obj +<< +/Length 206 +/Filter /FlateDecode +>> +stream +x3T0P0Q51W05Q0VH1230 +!U`j`TL,ɥPRTʥTȥ& ]r;;66 +@ܼbb;;.OsX2VPC59&9%ko(w|Z& + Vsd_gƵOt A +endstream +endobj +1109 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0U51W0T0VH1230 +!U`bbT55XɓKW4K?K(ϥ`ȥ 44KM V8qy(-y?> +stream +x3T0P0S51W0P0VH1230 +!U`jdT55̀,ɥPRTʥTȥ`j`ȥ 44KM V8qy(-\![OoPxbp;|a77IwgÙ`gƙ  \ +\K +endstream +endobj +1111 0 obj +<< +/Length 214 +/Filter /FlateDecode +>> +stream +x3T0P0U51W0P0RH1230 +!U`jlL,ɥPRTʥTȥ`bɥ`ȥ 44KM V8qy(-㛢7ϘxAŇ/?pu·>8X ?~+ʘ?a@S! L} +endstream +endobj +1112 0 obj +<< +/Length 82 +/Filter /FlateDecode +>> +stream +x32P@C L1230ɹ\ +U;yr{*r+r{g 4.ES! V_ +endstream +endobj +1113 0 obj +<< +/Length 218 +/Filter /FlateDecode +>> +stream +x35Q0P0U51R02S , 1s +LL @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQP[p-nɖr,oYy?n wUM;iGu+_M/793~۴)fMH8dH +endstream +endobj +1114 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x36Q0P0W56R0T54TH1230 +!U`ddT52́,ɥPRTʥTʥ`dȥ\r;;66@\9y@-vv\. +j?|(BMNs5/_|_NAS! L.t +endstream +endobj +1115 0 obj +<< +/Length 111 +/Filter /FlateDecode +>> +stream +x32R0PT5U04QPH1230!U`jS54,,ɥPRTʥ`ʥ`h̥`ȥ 42EHE\ +\J +endstream +endobj +1116 0 obj +<< +/Length 95 +/Filter /FlateDecode +>> +stream +x32R0PP5U04R , 1s +L b@@TɓKW4K?\KD88+rDtQ\ +\6: +endstream +endobj +1117 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x36Q0P0Q51R062S @, 1s + @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQP[ľ|q˗*/|_~]t 8*nŮͣ1: AS! *; +endstream +endobj +1118 0 obj +<< +/Length 150 +/Filter /FlateDecode +>> +stream +x36Q0P0S51R02P5TH1230!U`hb*6 @ N\ +%E\@\ +&\N +@%hj,`c ͥSW bg颠׵ 3?e G.WO@.0 +endstream +endobj +1119 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x36Q0P0Q51R06T5TH1230!U`dn*6LXJ:yr{*rUr{(r;8+)h\nn@n7P7s~Nin^1PB#MrwV]Qm"&t!13CWu鵽55 ,\ +\51 +endstream +endobj +1120 0 obj +<< +/Length 198 +/Filter /FlateDecode +>> +stream +x31V0P0Q51R0T0TH1230 +!U`lj5L,ɥPRTʥTȥ`b̥`ȥ 44KM V8qy(-x0!{K|GK˾̏_oMO8߭_]z;ej6F.WO@.آ? +endstream +endobj +1121 0 obj +<< +/Length 198 +/Filter /FlateDecode +>> +stream +x31Q0P0Q51R01T0TH1230 +!U`ln5L,ɥPRTʥTȥ`b̥`ȥ 44KM V8qy(-xC!; /d7jXV.b}6=L*G "iáM  \ +\E1 +endstream +endobj +1122 0 obj +<< +/Length 171 +/Filter /FlateDecode +>> +stream +x36S0P0T51R062S , 1s +M @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ0c/s7VHS! T@W +endstream +endobj +1123 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x36Q0P0Q56T062S , 1s +, @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +j$[ؗTH_:Dӓ=4_[AD+8,8\=7u +endstream +endobj +1124 0 obj +<< +/Length 174 +/Filter /FlateDecode +>> +stream +x36V0P0Q56T062S @l8#9P,R d@e<=}JJSÁʸ= K4X.}7}gg7 7F[A(㜟SW bg颠HFKż&ޗ_)9z;evb¡.WO@.U68 +endstream +endobj +1125 0 obj +<< +/Length 131 +/Filter /FlateDecode +>> +stream +x34Q0P0U51RR , 1s +L b @TɓKW4K?\KCĈK)YK%h`,`cﭠ q)+V0tQX\=g#c +endstream +endobj +1126 0 obj +<< +/Length 158 +/Filter /FlateDecode +>> +stream +x35Q0P0U56T012S , 1s +L @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +fis/;UZ]\.WO@.5` +endstream +endobj +1127 0 obj +<< +/Length 176 +/Filter /FlateDecode +>> +stream +x36S0P0U56T06R0WH1230 +!U`dnL$sU()*M*P0w +pV0w˥{+esJsZ<]Қ_|"\-n$i'&:B 6 +endstream +endobj +1128 0 obj +<< +/Length 149 +/Filter /FlateDecode +>> +stream +x36V0P0U56T02S , 1s + @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +f!jvG(g'1m`hrT-5 +endstream +endobj +1129 0 obj +<< +/Length 176 +/Filter /FlateDecode +>> +stream +x3P0P0T56T022S , 1s + @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +j+$M=zљ݊ݺ{}_f`aprT9 +endstream +endobj +1130 0 obj +<< +/Differences [1 /g1493 /g859 /g849 /g20870 /g29346 /g24986 /g27658 /g866 /g865 +/g854 /g861 /g867 /g26178 /g26146 /g20931 /g27574 /g884 /g896 /g903 +/g914 /g925 /g934 /g918 /g22426 /g937 /g27714 /g23906 /g31582 /g26038 +/g27770 /g31306 /g27546 /g31342 /g863 /g22510 /g929 /g926 /g27786] +/Type /Encoding +>> +endobj +1131 0 obj +<< +/Length 391 +/Filter /FlateDecode +>> +stream +x]n0 E +C &dѢh%^c8ET.ku$^__^_~7USk۶w+W]6-Os.$q$gjD$O*]ai3 +$4($A_QI-WEz8" * |$ +b_YAC@,(^(UXd sFlh͕w\͕w83+\Qę\U=+o͕7. +4+\A'l@)+}ese}߀eTg,5 +r^5S8f +4.֣fhGyu{W{עYkv`Vot2C, +endstream +endobj +1132 0 obj +<< +/Length 145 +/Filter /FlateDecode +>> +stream +x36Q0P0S51V022S , 1s + @TɓKW4K?KCĘK)YK%hd,`cﭠ q)+jtQP[X +".WO@.). +endstream +endobj +1133 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x36Q0P0Q51V06P0TH1230 +!U`df5@,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-VQ~_ApfuӃO%kDW =׉08pz*r9b +endstream +endobj +1134 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x36Q0P0T51R062S , 1s + @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +j y.[+nK_^HYmAS! r9 +endstream +endobj +1135 0 obj +<< +/Length 155 +/Filter /FlateDecode +>> +stream +x31R0P0S52V0R +)\z@a 1s + @e :yr{*rr{(IgC.}h\nn@n7P;s~Nin^1Pڒ +a&[K~a*rM0B 6( +endstream +endobj +1136 0 obj +<< +/Differences [1 /g22 /g96 /g21 /g19] +/Type /Encoding +>> +endobj +1137 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x]1 EwN KdhU1!CoM e.crOA?!cI-i#atRzQ`!>f TJD3Ldr!wd=AA.(lǚOI|zK |g%> +endobj +1139 0 obj +<< +/Ascent 836 +/CapHeight 836 +/CharSet (/g1336/g15/g2573/g2674/g2827/g3/g36/g37/g38/g42/g45/g47/g49/g50/g52/g53/g54/g55) +/Descent -77 +/Flags 4 +/FontBBox [0 -77 936 836] +/FontFile3 1951 0 R +/FontName /DUCRGK+TT8Bo00 +/ItalicAngle 0 +/StemV 140 +/Type /FontDescriptor +>> +endobj +1140 0 obj +<< +/Length 257 +/Filter /FlateDecode +>> +stream +x]n <o@J'/VU `N .7qӡÇO\Һlv +ݷGӃ +rן_]ΤjE7wcvoy*.];)F(Wz%0 Vvl:`03 +`uB3 +`Z3 +`"CֲzgbBaXuYfYpLЎ_뀌|[:8#dP״95-st?ax +endstream +endobj +1141 0 obj +<< +/Length 146 +/Filter /FlateDecode +>> +stream +x5 +@-R3XLewnN"!B,XQsY\27~z8RYI˩|*C"?̆2Hx? ~P!.%.=WBe2Xq_2$)Ǐi> +stream +x35R0P0W5P032R @c$r*t@,s(9yr{*rr{(IgC.}h\nn@n.P9?47ΎEAm5?99 +ΗK^w_0[//__nYu쵭[$t\=4M +endstream +endobj +1143 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x35R0P0P5P05U0UH1230!U`lnT536i`I'O.}O_T.pJ.}3c.}gC.}h\nn@n.P9?47ΎEAmbﯗMn4 ;ٯAS! < +endstream +endobj +1144 0 obj +<< +/Length 220 +/Filter /FlateDecode +>> +stream +x33R0PP5P07V0UH1230 +!U`fjT53,$sU()*M*P03w +pV0w˥{+esJsZ<]`pYENPU^/v_{e~/4[XmصC7"N8K% +endstream +endobj +1145 0 obj +<< +/Length 217 +/Filter /FlateDecode +>> +stream +x3P0P0S5P03W0UH1230 +!U`fhT53̀,ɥPRTʥTȥ`f̥D wwvvrllt29y@-vv\. +j L n~Wv}_/y2k/[{[2^]U V޲cގ؂mbn1u`aprT^O +endstream +endobj +1146 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x35W0P0Q5P07R0UH1230 +!U`faT53L,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(-_&_2=?.^KLWdty1(0qz*rNl +endstream +endobj +1147 0 obj +<< +/Length 212 +/Filter /FlateDecode +>> +stream +x35W0P0Q5P07R0UH1230 +!U`faT53L,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(-I/[&}٫ew^]ޞK]m_[_ZMo02pz*rL! +endstream +endobj +1148 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x35V0P0Q5P03U0UH1230 +!U`fhT53L,ɥPRTʥTȥ`f̥D wwvvrllt29y@-vv\. +j LfYd&_U}}k˖/y2Kޗ.qVxw]zVO<ГYu08pz*r4J +endstream +endobj +1149 0 obj +<< +/Differences [1 /g44 /g36 /g37 /g14 /g41 /g49 /g18 /g17] +/Type /Encoding +>> +endobj +1150 0 obj +<< +/Length 202 +/Filter /FlateDecode +>> +stream +x] <oiZ3aq( `=v<|04)Y72uzLHtIVWwY5o_ީa_Z)rGM7R> +stream +x3P0CC L1230ɹ\ +U;yr{*r+r{g 4.ES! YK +endstream +endobj +1152 0 obj +<< +/Length 131 +/Filter /FlateDecode +>> +stream +x35R0P0U56W012R @c$r*t,S(9yr{*rr{IgC.}h\nn@n.P9?47ΎE?`aprT$ +endstream +endobj +1153 0 obj +<< +/Differences [1 /g572 /g3] +/Type /Encoding +>> +endobj +1154 0 obj +<< +/Length 167 +/Filter /FlateDecode +>> +stream +x]1 EwN "tЪj{bLzh:t>za3;O6'=Nq!]p5ofA8Եk4ЌRidV&w$;]F*$#X.ÖRxbwVM +endstream +endobj +1155 0 obj +<< +/Length 218 +/Filter /FlateDecode +>> +stream +x37R0P0Q55U02R @c$r*j-,(9yr{*rr{(Zr;8+rD wwvvrllt29y@-vv\. +j&lDdoTzr 7(|^X~}P4> +stream +x37R0P0V5T03T0UH1230 +!U`jaT53,ɥPRTʥTȥ`f¥`ȥ 44KM V8qy(-X3"e}__eo/{T_Vxam5C&.WO@.5iLk +endstream +endobj +1157 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x37R0P0W5T03T0QH1230 +!U`jbT53́$sU()*M*P03w +pV0w˥{+esJsZ<][?HG > +stream +x37R0PT5T07P0QH1230 +!U`fhT53,,ɥPRTʥTȥ`f̥D wwvvrllt29y@-vv\. +j VԨC +l於Y'r~zB.gϬ?8+gT-b}\=FM +endstream +endobj +1159 0 obj +<< +/Length 241 +/Filter /FlateDecode +>> +stream +x37R0P0V5P032R @c$r*Zt ,c(9yr{*rr{Mw +pVR.@Cc݀}o]s~Nin^1PڂϓE_N1+or__޳fo'+fQy!gp(ڊMhnbk3=#&.WO@.U +endstream +endobj +1160 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x37R0P0V5T032R @c$r*Zt͌,c(9yr{*rr{(q;8+rD wwvvrllt29y@-vv\. +j G h7e& _+ooE( iO +endstream +endobj +1161 0 obj +<< +/Length 215 +/Filter /FlateDecode +>> +stream +x37R0P0Q5T03R0VH1230 +!U`jaT53L,ɥPRTʥTȥ4K)YK%hh,`cﭠ q)+jtQP[de9UrM(\r?i?籝?&.WO@.fpR} +endstream +endobj +1162 0 obj +<< +/Length 207 +/Filter /FlateDecode +>> +stream +x37R0P0S55V03W5VH1230!U`fh*6̀X,ɥPRTʥTɥ`jDMwwvvrllt29y@-vv\. +j ~a_/uz._ըPLnCvud6AS! G +endstream +endobj +1163 0 obj +<< +/Length 245 +/Filter /FlateDecode +>> +stream +x37R0P0S55S0T0QH1230 +!U`flT53XɓKW4K?K(ϥ`ȥ 44KM V8qy(-XA<-ī8;wxCĄ)"??.yj?a.9!F\__5 +`:޹IĤ6XǠHQ +endstream +endobj +1164 0 obj +<< +/Length 244 +/Filter /FlateDecode +>> +stream +x37R0P0S5P03W0WH1230 +!U`fhT53̀,ɥPRTʥTȥ`fʥD wwvvrllt29y@-vv\. +j \RPoboב ~~> +stream +x37R0P0Q5P032R @c$r*Zt͌,(9yr{*rr{Mw +pV0w˥{+esJsZ<],$u? "?B/~ H{كe?S*SÏ\=`< +endstream +endobj +1166 0 obj +<< +/Length 144 +/Filter /FlateDecode +>> +stream +x32U0PT51U04W5WH1230!U`aS5,X,ɥPRTʥ`` $ ]fr;;66 +@ܼb ;;.OA? ,\ +\g(H +endstream +endobj +1167 0 obj +<< +/Differences [1 /g27126 /g21302 /g26167 /g20987 /g26594 /g27735 /g22523 /g21946 /g27567 +/g26602 /g30766 /g875] +/Type /Encoding +>> +endobj +1168 0 obj +<< +/Length 232 +/Filter /FlateDecode +>> +stream +x]Mn! F 0?IțtEED&޾cO.ö_w\K^tfE\blH:-e_ᆰw%6Pߩ$Wv9ңzˍ8JWZߒV Oh޲ xL#xBp[`z'b #b}^ړl"yJ^S.y+Oz. +endstream +endobj +1169 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x3P0PP55U0P0QH1230 +!U`j`,,ɥPRTʥTȥ`jɥ`ȥ 44KM V8qy(-O>dVp6iIhO *H6 +endstream +endobj +1170 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x3P0P04P55U0P0RH1230!U`ja57iF`I'O.}O_T.pJ.}Ss.}gC.}h\nn@n.P9?47ΎEAm*$*t%pĠJG +endstream +endobj +1171 0 obj +<< +/Length 240 +/Filter /FlateDecode +>> +stream +x3P0P0Q55U032R @c$r*j-,(9yr{*rr{(Zr;8+rD wwvvrllt29y@-vv\. +j g/Uz&&Wت}iPrnщW<4Rp`ow4:lp陁Ç_̑'Pn$ۄnȷ)HDv>`bPrTQ +endstream +endobj +1172 0 obj +<< +/Length 210 +/Filter /FlateDecode +>> +stream +x3P0P0S55U05W0VH1230 +!U`jh̀,ɥPRTʥTȥ`jD wwvvrllt29y@-vv\. +j Vuy_u//_o`mϬSbmﷵ[ }Ӿm> +stream +x3P0P0U55V03U0VH1230 +!U`f`2L,ɥPRTʥTȥ`jƥ`ȥ 44KM V8qy(-WG !~E7~8~im[<$J7F$&Nȏ?rXVn#Z?AS! F +endstream +endobj +1174 0 obj +<< +/Length 212 +/Filter /FlateDecode +>> +stream +x3P0P0S55U03W0VH1230 +!U`fh̀,ɥPRTʥTȥ`jD wwvvrllt29y@-vv\. +j VԨj?B'~Ce_rKs߭KH AS! rN +endstream +endobj +1175 0 obj +<< +/Length 238 +/Filter /FlateDecode +>> +stream +x3P0P0W55Q0P0VH1230 +!U`fh5@,ɥPRTʥTȥ`jΥD wwvvrllt29y@-vv\. +j 蟈ڿvBKB@ݜ/wh7n^]}>~$y, ENJV}w=WGDBw\=rT +endstream +endobj +1176 0 obj +<< +/Length 261 +/Filter /FlateDecode +>> +stream +x3P0P0S55V03Q0QH1230 +!U`ja5̀,ɥPRTʥTȥ`jΥ`ȥ 44KM V8qy(-ƥ¡1C@\DKK5-da~wjUsZ+^]ګ'C%%[V~jD{_o4ۥۦN\P4†SL:> +stream +x3P0P0S55V03Q0QH1230 +!U`ja5̀,ɥPRTʥTȥ`jΥ`ȥ 44KM V8qy(-ƥ¡ϱ C/cf~G/{վtD/\i8ߓEuhG~o5AYWmG{{vóL3mnEn8ͣ!7sF.WO@.^ +endstream +endobj +1178 0 obj +<< +/Length 83 +/Filter /FlateDecode +>> +stream +x32V0CC L1230ɹ\ +U;yr{*r+r{g 4.ES! W +endstream +endobj +1179 0 obj +<< +/Length 171 +/Filter /FlateDecode +>> +stream +x32S0P0W55S022R @c$r*t͌,s(9yr{*rr{(s;8+rD wwvvrllt29y@-vv\. +j /]+/>lf-뷃Pu.WO@.; +endstream +endobj +1180 0 obj +<< +/Length 176 +/Filter /FlateDecode +>> +stream +x32S0P0Q55S02P0WH1230 +!U`hfT53L,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(x@__7u_f_AS! $@ +endstream +endobj +1181 0 obj +<< +/Length 152 +/Filter /FlateDecode +>> +stream +x31P0P0R51R0P5WH1230Tɹ\ +f`A]cS u N\ +%E\@\ +Ʀ\N +\.@Sc݀}o]s~Nin^1Pz$F.WO@.3{ +endstream +endobj +1182 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x31P0P0Q5T062S @pFr.WX218yr{*rq{(Xr;8+rDwwvvrllt29y@-vv\. +j =bo7!ޗ__AV[1ӎo&&CA +endstream +endobj +1183 0 obj +<< +/Length 148 +/Filter /FlateDecode +>> +stream +x31P0P0S5T02Q5TH1230Tɹ\ +`Ab u N\ +%E\@\ +&@)YK%hj,`c ͥSW bg颠_D* d3 +endstream +endobj +1184 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x31P0P0Q5T062S @pFr.WX218yr{*rq{(Xr;8+rDwwvvrllt29y@-vv\. +j 2_>?yC-cYiggs +Pa7֦lq[ߖ]au8:"1 B A +endstream +endobj +1185 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x31P0P0Q5T062S @pFr.WX218yr{*rq{(Xr;8+rDwwvvrllt29y@-vv\. +j ͛y@K˿'WkGgm;Y0gbk_OKξYpMصk \=D +endstream +endobj +1186 0 obj +<< +/Length 142 +/Filter /FlateDecode +>> +stream +x5@G8Pp@(ډ^_\HiI&f bHoV瞞pzl"i"y+qhy Wx!.y"Z2CBoSj\Tg&L +endstream +endobj +1187 0 obj +<< +/Length 146 +/Filter /FlateDecode +>> +stream +x=; +@r;˸EEXYU3^Jg-930d":,Hڎ.g~ּDӴqu8oJe`kV9tNНo:) +n2<k<-"6($ +endstream +endobj +1188 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x3T0P0U55P01W0TH1230 +!U`bd5LD<=}JJSÁ +=L K4X.}7}gg7 7F[A(㜟SW bg颠`s!~Ǘ/;4UT7R}_՚Σw+GuCn׭ 01(pz*rcC) +endstream +endobj +1189 0 obj +<< +/Length 149 +/Filter /FlateDecode +>> +stream +x31V0P0S5T012S @l8#9P,R d@e<=}JJSÁʸ=L, ]Fr;;66 +@ܼb;;.Ogl@? \ +\, +endstream +endobj +1190 0 obj +<< +/Length 178 +/Filter /FlateDecode +>> +stream +x3P0P0Q56S"C.=C a\Bc# H-ebqU()*M*ť`ȥ 42KM V8qy(-brd|J ۗ/.'M_VǶMOڶML`SCB 6 +endstream +endobj +1191 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x31T0P0Q5T062S @@c$r*Aj,'BIQi*~8P%S!K4X.}7}gg7 7F[A(㜟SW bg颠p UaЮ}&~G/gkɡߺ[MFbN&܂ \ +\< +endstream +endobj +1192 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x3P0P0Q56S"C.=C a\Bc# H-ebqU()*M*ť`ȥ 42KM V8qy(-VOUpv)pЁS! 9 + +endstream +endobj +1193 0 obj +<< +/Length 138 +/Filter /FlateDecode +>> +stream +x34S0P0S5T042S , 1s + b @pU()*MW0P0w +pV0w˥{+esJs<]z\=9% +endstream +endobj +1194 0 obj +<< +/Length 150 +/Filter /FlateDecode +>> +stream +x3T0P0U56S062S , 1s + @TɓKW4K?KC،K)YK%hd,`cﭠ q)+jtQ9t]Cˣ.WO@.F- +endstream +endobj +1195 0 obj +<< +/Length 176 +/Filter /FlateDecode +>> +stream +x31T0P0Q56S02S @@c$r*Aj,(ɥPRTʥTƥ`lƥ`ȥ 42KM V8qy(-> +stream +x31T0P0U56S0PPH123 !U`llT51L,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(ؤ2&4> +stream +x32R0P0V51S022S @l8#9P,R d@e<=}JJSÁʸ=L̸ ]Fr;;66 +@ܼb;;.OeǓq`I{01(pz*r7 +endstream +endobj +1198 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x36V0P0T56S01S , 1s + @TɓKW4K?KC،K)YK%hd,`cﭠ q)+jtQP׷sn]v_[%}K/~e}د \ +\Ђ== +endstream +endobj +1199 0 obj +<< +/Differences [1 /g5166 /g31347 /g875 /g849 /g865 /g937 /g873 /g27722 /g22618 +/g31326 /g27378 /g24862 /g26279 /g857 /g866 /g860 /g878 /g874 /g858 +/g5167 /g886 /g916 /g918 /g929 /g933 /g922 /g928 /g927 /g884 +/g917] +/Type /Encoding +>> +endobj +1200 0 obj +<< +/Length 337 +/Filter /FlateDecode +>> +stream +x]Mn0F$R4tE=D,EoM]H/cdqu\i/m4McRr2Mq55_߫@o&Շ?v來([R8r!s7.?1ϥFtĪ5sm8jm9i=g7=|kHmk6b+"4); g\mӑ3cJz@T[NH +TPm%MPm%mZvdMipH[*zI[.PmVFJ5yO6w]v{piY.ຬxT: +endstream +endobj +1201 0 obj +<< +/Length 153 +/Filter /FlateDecode +>> +stream +x36T0P0T56R06P5UH123!U`diT52 @ N\ +%E\@\ +F\N +\.@Sc݀}o]s~Nin^1PsA@8"F0 B [/ +endstream +endobj +1202 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x36T0P0V5P02S @@c$r*Aj,c'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg颠h'ܼy=__~Wߖ'uaVF.WO@.8k +endstream +endobj +1203 0 obj +<< +/Length 143 +/Filter /FlateDecode +>> +stream +x36T0P0U56W02S @@c$r*Aj,S'BIQi*~8P9S!K4X.}7}gg7 7F[A(㜟SW bg颠Z9EYaS! - +endstream +endobj +1204 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x36T0P0V5P02S @@c$r*Aj,c'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg颠hr@[Z{߫_^zPTc"[ĦMuZcAS! +3 +endstream +endobj +1205 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x36T0P0V5P02S @@c$r*Aj,c'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg颠hr@UUq#}{P@ߎ'>v˟3ߚmzCѢ[6s1(0qz*r7 +endstream +endobj +1206 0 obj +<< +/Length 165 +/Filter /FlateDecode +>> +stream +x36T0P0Q56W02S @@c$r*Aj,'BIQi*~8P9S!K4X.}7}gg7 7F[A(㜟SW bg颠y@xNoK_}W|L:_gv@pS! q6] +endstream +endobj +1207 0 obj +<< +/Length 154 +/Filter /FlateDecode +>> +stream +x36T0P0U56W02S @@c$r*Aj,S'BIQi*~8P9S!K4X.}7}gg7 7F[A(㜟SW bg)%3t룾ѯx }F.WO@./ +endstream +endobj +1208 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x36V0P0V56Q06T5QH1230!U`da*6PXɓKW4K?KK)YK%hj,`cﭠ q)+jtQP[͙ڲ7RtZQ|"a{noog`rT?'0^ +endstream +endobj +1209 0 obj +<< +/Length 145 +/Filter /FlateDecode +>> +stream +x36T0P0R52S06P54RH123 !U`da*3  N\ +%E\@\ +&\N +\.@cc݀}ov.}ܼb;;.OOYH`drT`# +endstream +endobj +1210 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x36U0B]c c #Ő@,g$r `j,'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg颠AW7%40Tկi%/7p04pz*r < +endstream +endobj +1211 0 obj +<< +/Length 184 +/Filter /FlateDecode +>> +stream +x3P0P0V5P062S , 1s + @qU()*M*P0w +pV0w˥{+esJsZ<]brd>\anzI͡W wn9&$620rz*r5 +endstream +endobj +1212 0 obj +<< +/Length 160 +/Filter /FlateDecode +>> +stream +x3P0P0U5P062S , 1s + @TɓKW4K?KC؂K)Yq˥{+esJsZ<]ۋy~^d7wˢU"" ,\ +\0x +endstream +endobj +1213 0 obj +<< +/Length 145 +/Filter /FlateDecode +>> +stream +x36R0P0U5P062S @l8#9P,R dAx@'O.}O_T.p2.}c .}gC.}h\nn@n.P9?47ΎEs.WO@.0)\ +endstream +endobj +1214 0 obj +<< +/Length 130 +/Filter /FlateDecode +>> +stream +x= +@-)R rlf THujM˖5A_ߦl5:r<" +endstream +endobj +1215 0 obj +<< +/Length 159 +/Filter /FlateDecode +>> +stream +x36Q0P0U5P062S , 1s + @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQn]7= +{Vle/_}}~~~ݷo\=3 +endstream +endobj +1216 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x36W0P0U5P062S , 1s +, @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +6> +stream +x3T0P0V5P062S , 1s +M @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP[xACn-_~ժ]>HmvL +\ +\; +endstream +endobj +1218 0 obj +<< +/Length 196 +/Filter /FlateDecode +>> +stream +x3T0P0V5P06W0RH1230 +!U`lbT2z,ɥPRTʥTȥ`b`ȥ 44KM V8qy(-> +stream +x36U0P0U5P062S , 1s +, @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP='{wvE=X{o.WO@.62 +endstream +endobj +1220 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x36Q0P0V5P062S , 1s +, @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP[4 Y/Io^ IHT+Ͽ!3s׳q]:: +endstream +endobj +1221 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x36T0P0V52W062S @@c$r*Aj,c'BIQi*~8Pt +pV0w˥{+esJs󊁊<]MdwKo?5zuvG9$:[mAxuF.WO@.0N +endstream +endobj +1222 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x3T0P0V52W02S , 1s +L @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQP[4CNř_Xo'WeovMƷmb< \ +\&2 +endstream +endobj +1223 0 obj +<< +/Length 172 +/Filter /FlateDecode +>> +stream +x36R0P0V5P02S , 1s + @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP[j/|6_|nNU[m)o+HL`bPrTǥ4( +endstream +endobj +1224 0 obj +<< +/Length 169 +/Filter /FlateDecode +>> +stream +x3T0P0V52W02S , 1s +L @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQP[4-/2394eى 8\=;/ +endstream +endobj +1225 0 obj +<< +/Length 150 +/Filter /FlateDecode +>> +stream +x3T0P0V5P042S , 1s + M @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP[|ySy_m4p +endstream +endobj +1226 0 obj +<< +/Length 185 +/Filter /FlateDecode +>> +stream +x36T0P0V52W0P0WH123 !U`djT56,ɥPRTʥTȥ`l¥`ȥ 44KM V8qy(-!QػEn//sص[s'\`zm΂Co®: l8R +endstream +endobj +1227 0 obj +<< +/Length 138 +/Filter /FlateDecode +>> +stream +x34V0P0Q5PR , 1s +L b @TɓKW4K?\KC؂K)YK%h`,`cﭠ q)+V0tQ3k [%N +endstream +endobj +1228 0 obj +<< +/Length 131 +/Filter /FlateDecode +>> +stream +x34V0P0Q5PR , 1s +L b @TɓKW4K?\KC؂K)YK%h`,`cﭠ q)+V0tQX\=" +endstream +endobj +1229 0 obj +<< +/Length 143 +/Filter /FlateDecode +>> +stream +x36P0P0Q52W"C.=C0U`dlL 2N\ +%E\@e\@ ]Fr;;66 +@ܼb;;.O)6j\=Y) +endstream +endobj +1230 0 obj +<< +/Length 165 +/Filter /FlateDecode +>> +stream +x36R0P0V52W062S @l8#9P,R d@e<=}JJSÁʸ=S!K4X.}7}gg7 7F[A(㜟SW Tlg颠H-@~>zK7ݺAS! h0 +endstream +endobj +1231 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x36R0P0Q52W06P0SH1230 +!U`dfT56L$sU()*M*P06w +pV0w˥{+esJsZ<],9,ڋ[|W׮]ʣ*뗘AS! ܉. +endstream +endobj +1232 0 obj +<< +/Length 139 +/Filter /FlateDecode +>> +stream +x34W0P0Q52W01S , 1s + @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQX|i@E& +endstream +endobj +1233 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x32W0P0V52W022S , 1s + @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQP[3F^J~]]it61F.WO@.U5O +endstream +endobj +1234 0 obj +<< +/Length 152 +/Filter /FlateDecode +>> +stream +x34W0P0R56U042S , 1s + M @TɓKW4K?KCؔK)YK%hd,`cﭠ q)+jtQP[?&? LnmB 4 +endstream +endobj +1235 0 obj +<< +/Length 146 +/Filter /FlateDecode +>> +stream +x3T0P0Q52W022S , 1s + @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQomU[z&.WO@.+} +endstream +endobj +1236 0 obj +<< +/Length 156 +/Filter /FlateDecode +>> +stream +x32U0P0T52W01S , 1s +L @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQ\u~ǿf-S[w, \ +\#2 +endstream +endobj +1237 0 obj +<< +/Length 167 +/Filter /FlateDecode +>> +stream +x32U0P0T52W01S , 1s +L @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQP[!^/]/uv2?^ޭ  \ +\4T +endstream +endobj +1238 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x32S0P0T52W02S0WH1230 +!U`djT56 ,ɥPRTʥTȥ`l¥`ȥ 44KM V8qy(Xn{Vumv붿e׭ \ +\-5 +endstream +endobj +1239 0 obj +<< +/Differences [1 /g865 /g937 /g866 /g849 /g887 /g934 /g927 /g916 /g933 +/g922 /g928 /g884 /g917 /g918 /g895 /g932 /g929 /g931 /g867 +/g900 /g914 /g920 /g882 /g878 /g896 /g892 /g885 /g860 /g898 +/g938 /g919 /g899 /g868 /g877 /g872 /g869 /g890 /g935 /g925] +/Type /Encoding +>> +endobj +1240 0 obj +<< +/Length 391 +/Filter /FlateDecode +>> +stream +x]1n@ާ /k6H%Y l@gLH#>޵O/iכuΟ^ԯ6?\sSRc6n6n^J G 7/eKD0 *SRṔJZ%yݡ6C !QcPEmbA%u<.y(QCq@CU;$<蝱lά8v*hUqlU0ϊcUql`WlzTű86`8* @`űŮ*װ;ay#v= +.A*~ ZżMFUQkZ=HmC1>Ώu-ݦڦ:NoyZS"ɹ +endstream +endobj +1241 0 obj +<< +/Length 27 +/Filter /FlateDecode +>> +stream +x3T0C.=CHy? +endstream +endobj +1242 0 obj +<< +/Differences [1 /j1 /j2 /j3 /j4 /j5 /j6 /j7 /j8 /j9 +/j10 /j11 /j12 /j13 /j14 /j15 /j16 /j17 /j18 /j19 +/j20 /j21 /j22 /j23 /j24 /j25 /j26 /j27 /j28 /j29 +/j30 /j31 /j32 /j33 /j34 /j35] +/Type /Encoding +>> +endobj +1243 0 obj +<< +/Length 27 +/Filter /FlateDecode +>> +stream +x35R0C.=CHxC +endstream +endobj +1244 0 obj +<< +/Differences [1 /j1 /j2 /j3 /j4 /j5 /j6 /j7 /j8 /j9 +/j10 /j11 /j12 /j13 /j14 /j15 /j16 /j17 /j18 /j19 +/j20 /j21 /j22 /j23 /j24 /j25] +/Type /Encoding +>> +endobj +1245 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x32V0P0U51W02R04TH1230!U`hnT5L,ɥPRTʥTɥ`j`ȥ 45KM V8qy(-4;n븭?: 6#B o; +endstream +endobj +1246 0 obj +<< +/Length 171 +/Filter /FlateDecode +>> +stream +x32V0P0T51W0T04TH1230!U`haT5 AD%<=}JJSÁ*=LS!K4X.}7}gg7 7F[A(㜟SW bg`'2k{k;6mo B > +endstream +endobj +1247 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x33U0P0R55S05W0WH1230 +!U`jjT53,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(-X >ɟLPG5V \ +\%D +endstream +endobj +1248 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S05W0UH1230 +!U`jlT53L,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O+r 2@egϱ{~O?Q O!?`bPrTL +endstream +endobj +1249 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S!C.=C ɹ\ +F`A]3C M rN\ +%E\@\ +f\N +@%hh,`cﭠ q)+jtQP[C0Q'$''&\bFo`hrT%F +endstream +endobj +1250 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x33U0P0S55U05W0UH1230 +!U`jhT53XɓKW4K?K(ϥD wwvvrllt29y@-vv\. +j OȜj P[p?'@ӂYP  \ +\dK +endstream +endobj +1251 0 obj +<< +/Length 178 +/Filter /FlateDecode +>> +stream +x33U0P0S55S02R @c$r*t@,3(9yr{*rr{(IgC.}h\nn@n.P9?47ΎEAm YOh@>"OO8Zg`rT5M +endstream +endobj +1252 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x33U0PP55S05U0SH1230 +!U`bnT53,,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-\n>ޗ$s= +endstream +endobj +1253 0 obj +<< +/Length 144 +/Filter /FlateDecode +>> +stream +x34W0P0TеP04VPH1230!U`hdT54 ,ɥPRTʥTȥ`hƥ`ȥ 44KM åSW bg颠+:#B l'5 +endstream +endobj +1254 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x33U0P0S55U02R @c$r*t ,3(9yr{*rr{(r;8+)h\nn@n.P9?47ΎEAm Y $gA~(L-08pz*rNZ +endstream +endobj +1255 0 obj +<< +/Length 164 +/Filter /FlateDecode +>> +stream +x33U0P0Q55P03T5RH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-jA8X fS! [; +endstream +endobj +1256 0 obj +<< +/Length 110 +/Filter /FlateDecode +>> +stream +x32W0P0U52T02V54UH1230 +!U`haT52L,ɥPRTʥTɥ`ƥ`ȥ 44EhS! '' +endstream +endobj +1257 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x33U0P0W55U03V0QH1230 +!U`jf́,ɥPRTʥTȥ`jɥ`ȥ 44KM V8qy(-\& Os̍Y@c:G?1$bJ7PAS! Fv +endstream +endobj +1258 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x33U0P0W55U0P0QH1230 +!U`jh́,ɥPRTʥTȥ`jɥD wwvvrllt29y@-vv\. +j ,+ A'$?"'! ? +B /2D +endstream +endobj +1259 0 obj +<< +/Length 116 +/Filter /FlateDecode +>> +stream +x34W0P0VеT04Q0TH1230!U`hhT2Z$sU()*M*q;8+9.@Cc<]grTg" +endstream +endobj +1260 0 obj +<< +/Length 169 +/Filter /FlateDecode +>> +stream +x33U0P0Q55Q03T0UH1230 +!U`jnL,ɥPRTʥTȥ`jɥ`ȥ 44KM V8qy(-lj6@l +"Sz0-A) +endstream +endobj +1261 0 obj +<< +/Length 216 +/Filter /FlateDecode +>> +stream +x33U0P0V55S0P0UH1230 +!U`jjT53$sU()*M*P03w +pVR.@Cc݀}o]s~Nin^1Pڂ5$'u⼪])gK?*ח\zrq}C2E|@s> +stream +x3P0P0R5P06U0TH1230 +!U`ll,ɥPRTʥTȥ`bɥ`ȥ 44KM V8qy(-hK|}͗5_wU/j+ziM;~ $" \=B +endstream +endobj +1263 0 obj +<< +/Length 237 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ4K)YHD wwvvrllt29y@-vv\. +j ~HUW?狹6*%|:lWӳF7醎ͼvgT{ +`'i6 +/_~by8>laݟͣcЉk +L\ +\(Ol +endstream +endobj +1264 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S05W0UH1230 +!U`jlT53L,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O?Z}̨Q90Fa-޲c[(>p'~gdG?02pz*rr K +endstream +endobj +1265 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x33U0P0Q55R03T5VH1230!U`jnT5LX,ɥPRTʥTɥ`bɥ`ȥ 45KM V8qy(-.|*U*>#ǡpk78\SZ  \ +\*?? +endstream +endobj +1266 0 obj +<< +/Length 195 +/Filter /FlateDecode +>> +stream +x33U0P0V55S05U0WH1230 +!U`jdT53@,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(-X(~߭~Kk~i~e&.ۑoY{?XCCB :L} +endstream +endobj +1267 0 obj +<< +/Length 148 +/Filter /FlateDecode +>> +stream +x3P0P0W5P022S , 1s + - @TɓKW4K?KCĂK)YK%hd,`cﭠ q)+jtQP[0#:t0a e%2 +endstream +endobj +1268 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x33U0P0Q55T03T5VH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-hKl6_Uzy/.\2j*_ +% _r>\kr45%O]G + +endstream +endobj +1269 0 obj +<< +/Length 242 +/Filter /FlateDecode +>> +stream +x33U0P0U55S03V0SH1230 +!U`jaT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-UҾ! 0uӶoj[X>p6> |MĿs?߱[5)620rz*rSV\ +endstream +endobj +1270 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3P0P0Q5P062S , 1s + @TɓKW4K?KCĂK)YK%hd,`cﭠ q)+jtQP[yȑ ?luӦ{;&.]Хz`aprT-M5 +endstream +endobj +1271 0 obj +<< +/Length 214 +/Filter /FlateDecode +>> +stream +x33U0P0S55S032R @c$r*t͌,3(9yr{*rr{(q;8+rD wwvvrllt29y@-vv\. +j ץ bG/_k>P7o{g]?̡_g>8\=JT +endstream +endobj +1272 0 obj +<< +/Length 210 +/Filter /FlateDecode +>> +stream +x33U0P0U55S0P0SH1230 +!U`jlT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-X=!{?__׿>?}HM?~{ImM`y8AS! dZc +endstream +endobj +1273 0 obj +<< +/Length 229 +/Filter /FlateDecode +>> +stream +x33U0P0S55U052R @c$r*t@,3(9yr{*rr{(Ig  44KM V8qy(-ܲIn|5p2?3>o>\O`}#NCž> +stream +x33U0P0U55S02R @c$r*t ,S(9yr{*rr{(r;8+)h\nn@n.P9?47ΎEAm O_̿kOYmm?g;GM8T&.WO@.ֱV +endstream +endobj +1275 0 obj +<< +/Length 230 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ4K)YHD wwvvrllt29y@-vv\. +j 7Vlbo7#_#má[%G'?_ 796Pp|򓄺Í_á7Ƿnm#朶AS! P +endstream +endobj +1276 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ4K)YHD wwvvrllt29y@-vv\. +j >oĴ엿j߿qnvݺu07NsSz0S! I +endstream +endobj +1277 0 obj +<< +/Length 212 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0UH1230 +!U`jnT53L,ɥPRTʥTȥ`f`ȥ 44KM V8qy(-JZEEn޼& L»ڵk 6$l6=4)k0;f r B F +endstream +endobj +1278 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0UH1230 +!U`jnT53L,ɥPRTʥTȥ`f`ȥ 44KM V8qy(-JZEEn޼& FxҶ nl:s]iYM?iߘY?AS! )F* +endstream +endobj +1279 0 obj +<< +/Length 211 +/Filter /FlateDecode +>> +stream +x33U0P0R55S05W0SH1230 +!U`jjT53@,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-XA<|/_pk~~zo]'FO"FB?m?>Z o`hrT[*J +endstream +endobj +1280 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x33U0P0S55S"3C.=C ɹ\ +`A]3# rN\ +%E\@\ +fF\N +\.@Cc݀}o]s~Nin^1P­OT~h˯Z.귿oY_vgȬzv8> +stream +x33U0P0V55S03V0SH1230 +!U`f`T53(sU()*Mr{(q;8+rD wwvvrllt29y@-vv\. +j K$;y׿̡6!BuAo]xk$ENM~}{>z#1~kDDb +KF.WO@."Tk +endstream +endobj +1282 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x33U0P0V55U05W0SH1230 +!U`jbT53,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.Ok'dX?P}߿8kW/忌7oUU5&ooϞAS! OV +endstream +endobj +1283 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x33U0P0V55U05W0SH1230 +!U`jbT53,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.Ok<ڃ)N_^᯵//寮~isoMo |+o`hrTJH +endstream +endobj +1284 0 obj +<< +/Length 207 +/Filter /FlateDecode +>> +stream +x33U0P0V55U05W0QH1230 +!U`jb$sU()*M*P0w +pV0w˥{+esJsZ<]ρ"K__9|K|&/9P!߲Yov;M\(vB)2 .WO@.^cMT +endstream +endobj +1285 0 obj +<< +/Length 210 +/Filter /FlateDecode +>> +stream +x33U0P0V55S!C.=C ɹ\ +`A]3C 359yr{*rr{(r;8+)h\nn@n.P9?47ΎEAmן__~Yg_/̨Q9Pm㧬-Rov;9[ms|#P v4OW +endstream +endobj +1286 0 obj +<< +/Length 240 +/Filter /FlateDecode +>> +stream +x33U0P0V55S05W0SH1230 +!U`jbT53,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-X3g pH˜_/ ɷ&\hzr̿ ohH36˗dEzo͙#/uk61F.WO@.vY +endstream +endobj +1287 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x33U0P0Q55P03T5RH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-q^|1org{B>ṴmḶ Y?04ppz*rB +endstream +endobj +1288 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x33U0P0V55U"3C.=C ɹ\ +F`A]3C  rN\ +%E\@\ +f\N +@%hh,`cﭠ q)+jtQP[ϖ@D|0W/oKk~x_'}9vue X\=J: +endstream +endobj +1289 0 obj +<< +/Length 215 +/Filter /FlateDecode +>> +stream +x33U0P0V55W03V0UH1230 +!U`f`T53,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-9#pekKTaɶG ٷ(~kW< +s0X\=xU +endstream +endobj +1290 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x3P0P0V5P06S0TH1230 +!U`ll@> +stream +x33U0P0W55U05W0SH1230 +!U`j`T53́,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O[2flHM۔ϡQ AS! @= +endstream +endobj +1292 0 obj +<< +/Length 221 +/Filter /FlateDecode +>> +stream +x33U0PP55S05W0SH1230 +!U`biT53,,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(- x4AO8Ar@ yrM? _`C>Za [Gs9(`drT,FL$ +endstream +endobj +1293 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x33U0P0Q55P03T5RH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-q G]w 8Z`pF.WO@.> +endstream +endobj +1294 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ4K)YHD wwvvrllt29y@-vv\. +jU?r'CHʅ5ן\S +LȝU (ƻ?8\=AD +endstream +endobj +1295 0 obj +<< +/Length 211 +/Filter /FlateDecode +>> +stream +x33U0P0U55U03V0SH1230 +!U`jaT53L,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O g?Y 99 y-@ OyWٲ~g8woE88`AS! SQ +endstream +endobj +1296 0 obj +<< +/Length 215 +/Filter /FlateDecode +>> +stream +x33U0P0U55S03V0QH1230 +!U`jaT53L,ɥPRTʥTȥ`f`ȥ 44KM V8qy(-x9?9 A9/1_//@-#[З8PX\=iO +endstream +endobj +1297 0 obj +<< +/Length 212 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S05W0SH1230 +!U`jlT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-X;ab0xf$?G~*.???\_۾뇹6 B Y +endstream +endobj +1298 0 obj +<< +/Length 202 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-9Sό9dcJh|}ILlw=᠞m6IagVcxĠqE( +endstream +endobj +1299 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x31R0P0V5P0T0TH1230 +!U`lf,ɥPRTʥTȥ`bɥ`ȥ 44KM V8qy(-3k[ʺ\Pr0WWuG}s}_Qaa : +endstream +endobj +1300 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x3P0P0S51W012S , 1s +L @TɓKW4K?KCĜK)YK%hd,`cﭠ q)+jtQP8##c^0Gp/__mt3aJhF \ +\5 +endstream +endobj +1301 0 obj +<< +/Length 146 +/Filter /FlateDecode +>> +stream +x36Q0P0S51W062S , 1s + @qU()*M*P01w +pV0w˥{+esJsZ<]e?5Y@pS! W/* +endstream +endobj +1302 0 obj +<< +/Length 143 +/Filter /FlateDecode +>> +stream +x35P0P0S51W012S @pFr.WX238yr{*rq{(s;8+rDwwvvrllt29y@-vv\. +& &&.WO@.4. +endstream +endobj +1303 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x31R0P0S51W012S , 1s + @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +&> +stream +x33V0P0S51W02S , 1s +L @TɓKW4K?KCĜK)YK%hd,`cﭠ q)+jtQPl ]>5nFgMwAS! F +endstream +endobj +1305 0 obj +<< +/Length 163 +/Filter /FlateDecode +>> +stream +x31P0P0S51W02S @pFr.WX238yr{*rq{(s;8+rDwwvvrllt29y@-vv\. +&z`cP`rT2= +endstream +endobj +1306 0 obj +<< +/Length 235 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-giWYǽz{M9>gA=Ţ~b-$^Ϗ/_c~~q5m8\=Z/ +endstream +endobj +1307 0 obj +<< +/Length 229 +/Filter /FlateDecode +>> +stream +x35W0P0V51W05Q0TH1230 +!U`jh$sU()*M*P0w +pVr\r;;66 +@ܼb;;.OEy=Nde6UL_[?`OK[.ٶwɆ}_*U4?RV$/o%?M`hrTYSO +endstream +endobj +1308 0 obj +<< +/Length 236 +/Filter /FlateDecode +>> +stream +x33U0P0U55U05W0SH1230 +!U`jdT53L,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O+f" +[5Uq&!-{澥#yCem M@$L/̽/?LAvv6oD$ςL +\ +\N +endstream +endobj +1309 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x33U0P0Q55P03T5RH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-VM :cC.WO@.`#; +endstream +endobj +1310 0 obj +<< +/Length 167 +/Filter /FlateDecode +>> +stream +x33U0P0Q55V03T0VH1230 +!U`jn5L,ɥPRTʥTȥ`jƥ`ȥ 44KM V8qy(-jhr@cP`rT[%: +endstream +endobj +1311 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U"3C.=C ɹ\ +`A]3 rN\ +%E\@\ +f@)YHD wwvvrllt29y@-vv\. +j VPO~鿽_vſ,eW˒-;zw=L\.WO@.C7 +endstream +endobj +1312 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x36U0B]ccc #Ő@,g$r `j,'BIQi*~8P1S!K4X.}7}gg7 7F[A(㜟SW bg颠>9۱sn:|vvgUm_u%5cP`rT> +stream +x33U0PP55S05W0SH1230 +!U`biT53,,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-=~dGBOzzrE*BVS! J +endstream +endobj +1314 0 obj +<< +/Differences [1 /g1315 /g2316 /g1880 /g2651 /g2799 /g3 /g10 /g45 /g37 +/g38 /g15 /g42 /g50 /g19 /g7 /g702 /g2118 /g2416 /g2252 +/g11 /g2232 /g783 /g1966 /g792 /g2067 /g1889 /g2175 /g642 /g2163 +/g1587 /g2843 /g2924 /g14 /g47 /g18 /g90 /g40 /g26 /g2043 +/g1779 /g2234 /g2226 /g2205 /g20 /g2228 /g1819 /g2850 /g2011 /g1142 +/g941 /g2117 /g2180 /g2829 /g1291 /g1866 /g1446 /g1464 /g1398 /g1144 +/g16 /g2841 /g1990 /g709 /g2207 /g1618 /g2735 /g1539 /g2063 /g1141 +/g2165] +/Type /Encoding +>> +endobj +1315 0 obj +<< +/Length 577 +/Filter /FlateDecode +>> +stream +x]Mn0>n`tE!xYPEo. 37x}~qѭqXJ{mzHk[m=o]O,{m{v]KO.m(RDݜ$l1ǰOB cI81N\P9X. K:q2tjk:q:tzpM'. =IVrZ9bֳyRr#6{ur$7 Cبz Up%6 •dó!+)r6ÕAcǰE\ +$9WѱUk U++ fp 5h{b\̱N qD^!\IqEJlĦ\IjJʴз+0* WRZÕ%k1\I:=\{ !\ vPYbfWp%;GRgeJj8XLggCIFJY3ey+ ytqm{5綵7~YՁ> +endstream +endobj +1316 0 obj +<< +/Length 159 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P"i`V4Og=\ B -9n +endstream +endobj +1317 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P"i \ *_\|!`~},8FBucDbbs2 ,\ +\B +endstream +endobj +1318 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x3T0P04P55P05T0QH1230!U`bh51i PɓKW4K?KCԄK)Yq˥{+esJsZ<]ؗ#?LrrEV]j0չCB ->y +endstream +endobj +1319 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x3P0P0Q51R04W04PH1230!U`hlT55L,ɥPRTʥTɥ`jĥ`ȥ 45KM V8qy(-̬6eMOY[wCB :I +endstream +endobj +1320 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x5L` t4}ss~E0_'n"%| ƍ`^][@>$Ȉ/NW8bZ7I> +stream +x3T0P0W55P05T0UH1230 +!U`bb5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O7'dʳr#?ܬOg .WO@.4N +endstream +endobj +1322 0 obj +<< +/Length 167 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j˂$!Fd?GW0  \ +\>= +endstream +endobj +1323 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x32U0P0V51R02R52TH1230 +!U`hi) `,'BIQi*~8P)!Sr˥{+esJsZ<]͖|΂9;Ns=[8W~י+8\= 0 +endstream +endobj +1324 0 obj +<< +/Length 218 +/Filter /FlateDecode +>> +stream +x3T0PP55P05S0QH1230 +!U`ba5@< sU()*M*P05w +pV0w˥{+esJsZ<].:T9 ?-ldoe\M;-&&ɓ {H +endstream +endobj +1325 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x3T0PT55P!C.=C ɹ\ +&`AZS ,ɥPRTʥTȥ`jʥD wwvvrllt29y@-vv\. +j W@!@٬ +9=?8AS! Ž= +endstream +endobj +1326 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x3T0P0W55P05T0UH1230 +!U`bb5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O7'dSBaOώ9'gSbc)TqF.WO@.I +endstream +endobj +1327 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j&:sJ]ͤ@IX՘\=55 +endstream +endobj +1328 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1PڢWo&?"`z6o+ap`rT< +endstream +endobj +1329 0 obj +<< +/Length 211 +/Filter /FlateDecode +>> +stream +x3T0P0W55P05S0QH1230 +!U`bi5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O+r?`&4xBMA/>}_>vaΜ V7֮ifq`aprT/L +endstream +endobj +1330 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x3T0P0W55P0T0UH1230 +!U`bd5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.Okg)o>AS! J= +endstream +endobj +1331 0 obj +<< +/Length 116 +/Filter /FlateDecode +>> +stream +x34V0P0T5WTPH1230 +!U`aS54 $rU()*MWP04w +pV0w/'''cccQQQPB ' + +endstream +endobj +1332 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3T0P04P55P05R0QH1230!U`bd51i PɓKW4K?KCԄK)YK%hj,`cﭠ q)+jtQP[BԆgj[_$Ar9'!8\=C` +endstream +endobj +1333 0 obj +<< +/Length 172 +/Filter /FlateDecode +>> +stream +x3T0P0W55P05W0UH1230 +!U`j`2́D<=}JJSÁ\ +\N +\.@Cc݀}o]s~Nin^1P9g<;b{vj[acP`rTyGQ +endstream +endobj +1334 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x3T0P0W55P05S0QH1230 +!U`bi5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O+rx?yxOS^i[??7\ĊX\=CeCY +endstream +endobj +1335 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x3T0P04P55P05R0QH1230!U`bd51i PɓKW4K?KCԄK)YK%hj,`cﭠ q)+jtQP[B `g8W[?}@qD\=E +endstream +endobj +1336 0 obj +<< +/Length 163 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +jflNn8 a~:2CB ł= +endstream +endobj +1337 0 obj +<< +/Length 105 +/Filter /FlateDecode +>> +stream +x32Q0P0Q5T02R +)\z@a 1s + +&@%<=}JJSÁ*=L ]ry(.WO@.9 +endstream +endobj +1338 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T05U0UH1230 +!U`jhT55@,ɥPRTʥTȥ`j¥D wwvvrllt29y@-vv\. +jَA?'/`'IO?4p04pz*r@| +endstream +endobj +1339 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1Pڢ> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}LW~ݷW{u?|u@t"خKMͣv!3ELu….WO@.T +endstream +endobj +1341 0 obj +<< +/Length 241 +/Filter /FlateDecode +>> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}^ZU[ޯp^˽m_oWlUt-K/2}-z;Ww6 oxqr+.`drT4O" +endstream +endobj +1342 0 obj +<< +/Length 250 +/Filter /FlateDecode +>> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}ʡqߤf~~o=ͽ[v >ۏ]}+D͜zCސwciyT.Dq&6INXA +endstream +endobj +1343 0 obj +<< +/Length 160 +/Filter /FlateDecode +>> +stream +x3T0PP55P0T0UH1230 +!U`bh5,D<=}JJSÁ +=LMh\nn@n.P9?47ΎEAmx[Cg|.WO@.m= +endstream +endobj +1344 0 obj +<< +/Length 234 +/Filter /FlateDecode +>> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}r{~_7t__dK!ą͇4Xzn0em\-$yl:ơcȭPAS! U +endstream +endobj +1345 0 obj +<< +/Length 243 +/Filter /FlateDecode +>> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}rK[v`ܯ/O;COxynU練{b2 1bDz7nv+GB4gb3D  \ +\V +endstream +endobj +1346 0 obj +<< +/Length 100 +/Filter /FlateDecode +>> +stream +x34V0P0V5UT0TH1230 +!U`fS1,ɥPRTʥ`ƥ" ]ry(T +.WO@.2s +endstream +endobj +1347 0 obj +<< +/Length 192 +/Filter /FlateDecode +>> +stream +x3T0P0V55P05W0UH1230 +!U`jb5D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O7rH_sgs@9>T_r_Jӷo!z\=!O +endstream +endobj +1348 0 obj +<< +/Length 224 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j O_vnԺ_f %ܧ7Ǐ8N)5gk_\k7eyT7;Nڶ!aGCB ݷS0 +endstream +endobj +1349 0 obj +<< +/Length 224 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P01R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j N|?,k%Wϖ[WP_5CՔ|?kX82_|/}[o$b:!υS! V +endstream +endobj +1350 0 obj +<< +/Length 207 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j n9*%ۿU_reFdC>v'Wq0}Vs1焫AS! %L +endstream +endobj +1351 0 obj +<< +/Length 155 +/Filter /FlateDecode +>> +stream +x32V0P5T51R02V0WH1230!U`db4i`I'O.}O_T.pJ.}K.}gC.}h\nn@n7.}ܼb;;.O{e?BZ +L\ +\ g8F +endstream +endobj +1352 0 obj +<< +/Length 194 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j W{}zۗܛ\C>6*q|l>"a"uqmԑoAsB 1?p +endstream +endobj +1353 0 obj +<< +/Length 192 +/Filter /FlateDecode +>> +stream +x3T0P0Q5P05U0UH1230 +!U`jhT55L,ɥPRTʥTȥ`j̥D wwvvrllt29y@-vv\. +j *y+<ۗJ&ǡ`y ǵ-Ō eutܠ01(pz*rwA. +endstream +endobj +1354 0 obj +<< +/Length 190 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j W׾4_rO(s M>rl,9n(\SX\=8 +endstream +endobj +1355 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P!C.=C ɹ\ +&f`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-D(kD_ +F_r}kT4/rͷzFH?&.WO@.9EE& +endstream +endobj +1356 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P01R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j nW_OW/MkC5%~3k "VI.WO@.!N +endstream +endobj +1357 0 obj +<< +/Length 201 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j n9__}_&_4&/r]m-R~6jEgĊ \ +\J +endstream +endobj +1358 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x36R0P0V51V06T0TH1230 +!U`da5,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-oib_|/UW_7ߒ[ig&65p04pz*r? +endstream +endobj +1359 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j 6'~++]/{Wڗٞ{&MݫmV6/wDVH`drTCA +endstream +endobj +1360 0 obj +<< +/Length 209 +/Filter /FlateDecode +>> +stream +x3T0P0S55P05S0UH1230 +!U`j`2̀D<=}JJSÁ\ +\N +\.@Cc݀}o]s~Nin^1P9OxpͿo]yg|[m}o$']ϣϣ/ 01(pz*rO" +endstream +endobj +1361 0 obj +<< +/Length 145 +/Filter /FlateDecode +>> +stream +x36R0P0S51V022S @, 1s + M @TɓKW4K?KCĘK)YK%hd,`cﭠ q)+jtQP[uV \=}0 +endstream +endobj +1362 0 obj +<< +/Length 202 +/Filter /FlateDecode +>> +stream +x3T0P0S55P05T0UH1230 +!U`bj̀D<=}JJSÁ +=LS!K4X.}7}gg7 7F[A(㜟SW bg颠'?hUj?@\ Vߢ]??JU& +endstream +endobj +1363 0 obj +<< +/Length 178 +/Filter /FlateDecode +>> +stream +x36R0P0V51V02S , 1s + @TɓKW4K?KCĘK)YK%hd,`cﭠ q)+jtQP[4F˗,/}ޱ: +[lhtBTTlfMI  \ +\p7 +endstream +endobj +1364 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j ,03Q!B|Asv/ٷ.p(3'B@д B B +endstream +endobj +1365 0 obj +<< +/Length 224 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P9mO 9ldp|0RH ;tEޚ?R?BO[' 9**//wݭl9a) \ +\O> +stream +x3T0P0Q5P05U0UH1230 +!U`jhT55L,ɥPRTʥTȥ`j̥D wwvvrllt29y@-vv\. +j ,03Q!BK{%;lÜ9#[U:ZrBv +endstream +endobj +1367 0 obj +<< +/Length 192 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j LRh|"dE s~z-$vёЩ/AS! 8 +endstream +endobj +1368 0 obj +<< +/Length 201 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P9mO 9ldp|ᐾo]Ol#tcC'?"`z6o?]08pz*rCc +endstream +endobj +1369 0 obj +<< +/Length 196 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P!C.=C ɹ\ +&f`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-_j4ײH4 ;-yTo$V 1ؑX}bg[@F.WO@.7gH\ +endstream +endobj +1370 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x3T0P0W55P!C.=C ɹ\ +&`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-\_kU7n_?w-Eo=l==H +endstream +endobj +1371 0 obj +<< +/Length 237 +/Filter /FlateDecode +>> +stream +x3T0PP55P05S0UH1230 +!U`ba5@< sU()*M*P05w +pV0w˥{+esJsZ<]ʩWTW]__4? v˾7g>^ac5Hes}u+|aϽ +|lTMh2uD6&.WO@.XX +endstream +endobj +1372 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x36R0P0Q51V0T0TH1230 +!U`dj5@,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-␛?Gˏ{_+*Bu> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j _$.~k;7="ROgg(z$ \"Ro]Olٖ!vGQAS! IGQ +endstream +endobj +1374 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x3T0P0U55P02R @c$r*jM,9yr{*rr{(r;8+rD wwvvrllt29y@-vv\. +j ny`pY?yY_W___ZR/ͻveoe`rT8Ga +endstream +endobj +1375 0 obj +<< +/Length 229 +/Filter /FlateDecode +>> +stream +x3T0P0U5 +& +)\z@A 1s +LL @& @`9'O.}O_T.pB.}S.}gC.}h\nn@n.P9?47ΎEAmZ_UqK=U_reFP~WC5OsJ62}_~)Ko1vym׭܊,8\=QP +endstream +endobj +1376 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P!C.=C ɹ\ +&f`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-:!k?I? (/%vu_oQ'W;8AS! HW +endstream +endobj +1377 0 obj +<< +/Length 194 +/Filter /FlateDecode +>> +stream +x3T0P0Q5P05U0UH1230 +!U`jhT55L,ɥPRTʥTȥ`j̥D wwvvrllt29y@-vv\. +jK?_{br+y2N+8M ;mgGVԜ08pz*rDA +endstream +endobj +1378 0 obj +<< +/Length 162 +/Filter /FlateDecode +>> +stream +x36R0B]#c3Ő@,g$r `j,'BIQi*~8P t +pV0w˥{+esJsZ<].X[=.do^/}ٷVL)Hʒ  \ +\t7* +endstream +endobj +1379 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x3T0P0Q5 + +)\z@A 1s +LL @ h'BIQi*~8P!)t +pV0w˥{+esJsZ<]$ɵj?> +stream +x36R0P0U51R0T0TH1230 +!U`db5L,ɥPRTʥTȥ`b̥`ȥ 44KM V8qy(Yr=Go- L 4fbP`rTD86? +endstream +endobj +1381 0 obj +<< +/Length 206 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+9.@Cc݀}o]s~Nin^1Pڂ7$Os˗|ДIq +ˬgC[x ~f eutܠRQҘs?E`aprT,G +endstream +endobj +1382 0 obj +<< +/Length 195 +/Filter /FlateDecode +>> +stream +x36R0P0V51V06T0TH1230 +!U`da5,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-x"'+/{__Cn޼>]o4+~ݭإ ;t8"aB a< +endstream +endobj +1383 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P05U0UH1230 +!U`jh5LD<=}JJSÁ +=q;8+9.@Cc݀}o]s~Nin^1Pڂ7OS|ݣr+xDnyḶAGj Wm8.sG +endstream +endobj +1384 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x36R0P0R51R062S @l8#9P,R d@e<=}JJSÁʸ=L ]Fr;;66 +@ܼb;;.OW_۲8 c뿭_CB ]2 +endstream +endobj +1385 0 obj +<< +/Length 178 +/Filter /FlateDecode +>> +stream +x3T0PP55P05T0UH1230 +!U`bl5,D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O'?7C?'CxIOyhis"ϞAS! F +endstream +endobj +1386 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x3T0PT55P0T0UH1230 +!U`b`5,D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O+Q#m;7{bgiά@, \ +\ : +endstream +endobj +1387 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P"AEfR9qpr@?gJC-_28T /z֛ n1mVc,B +endstream +endobj +1388 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x36R0P0V51V06T0TH1230 +!U`da5,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-9ak+?߭u3s®?d_@ʬemvL +\ +\cA +endstream +endobj +1389 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x36R0P0V51V06P0TH1230 +!U`dn5,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-oibof^Kw}ڪvdvnz];gaކ, \ +\Z?f +endstream +endobj +1390 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P/0tӔO,-? @ +endstream +endobj +1391 0 obj +<< +/Length 207 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P05S0UH1230 +!U`jd5LD<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O͉snʒDU_u8_ou?@]wf5[>kS! zrQ7 +endstream +endobj +1392 0 obj +<< +/Length 204 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j ܘ|]@!km@*]X&M7fǏ +8#B >Nt +endstream +endobj +1393 0 obj +<< +/Length 206 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P05T0UH1230 +!U`bn5LD<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O'|sGrEjpR?^s#P-oY&[۾~@57p04pz*rJ +X: +endstream +endobj +1394 0 obj +<< +/Length 233 +/Filter /FlateDecode +>> +stream +x3T0P0U5 +& +)\z@A 1s +L @&@)h'BIQi*~8P! S!K4X.}7}gg7 7F[A(㜟SW bg颠l[o9?hk"Sjώg]#D|5L'\\X0!b[=vˎ2k 6:qAS! X? +endstream +endobj +1395 0 obj +<< +/Length 149 +/Filter /FlateDecode +>> +stream +x34V0P0V56TT0TH1230!U`f4,ɥPRTʥ`ƥ`lĥ`ȥ 42KM V8+qy(t5?> +stream +x32T0CC L123ɹ\ +U;yr{*r+r{g 4.ES! V +endstream +endobj +1397 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x31T0PP56T06V5QH123!U`djT52,X,ɥPRTʥTɥ`dΥ`ȥ 45KM V8qy(-  M94Z| >>cc\=\V+ +endstream +endobj +1398 0 obj +<< +/Length 174 +/Filter /FlateDecode +>> +stream +x31T0PP56T06V5QH123!U`djT52,X,ɥPRTʥTɥ`dΥ`ȥ 45KM V8qy((7<<ܮ"|7h`hrT0 +endstream +endobj +1399 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x3T0P0T51R02S , 1s + @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQP[@%q /nĠA+ +endstream +endobj +1400 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x36Q0P0S51R062S @, 1s +L @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ0吱#_t(0`s,uuݛ5D#AS! 2 +endstream +endobj +1401 0 obj +<< +/Length 185 +/Filter /FlateDecode +>> +stream +x36W0P0V51V06Q0TH1230 +!U`lh5$sU()*M*P01w +pVr\r;;66 +@ܼb;;.O;[f\eRExkMU߻6M71ؐAS! ; +endstream +endobj +1402 0 obj +<< +/Length 171 +/Filter /FlateDecode +>> +stream +x31R0P0S51R02S , 1s + @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ0mzBFpulٲaS)3B -4\ +endstream +endobj +1403 0 obj +<< +/Length 147 +/Filter /FlateDecode +>> +stream +x36T0P0S51R02S @@c$r*Aj,3'BIQi*~8P t +pV0w˥{+esJsZ<]1ϥ~y04ppz*rX) +endstream +endobj +1404 0 obj +<< +/Length 141 +/Filter /FlateDecode +>> +stream +x3T0P0S51R022S , 1s + @TɓKW4K?KCĈK)Yq˥{+esJsZ<]>7DnS! >+* +endstream +endobj +1405 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x31T0P0V51V06W0TH123 !U`lb,ɥPRTʥTȥ`$ ]r;;66 +@ܼb;;.O=Ψ^\0IaO/צ''O '_on01p0F.WO@.2>X +endstream +endobj +1406 0 obj +<< +/Length 139 +/Filter /FlateDecode +>> +stream +x31V0P0S51R02S , 1s + @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +!uS! . +endstream +endobj +1407 0 obj +<< +/Length 131 +/Filter /FlateDecode +>> +stream +x34S0P0S51R042S @, 1s +L b @TɓKW4K?\KCĈK)YK%h`,`cﭠ q)+V0tQX\=)# +endstream +endobj +1408 0 obj +<< +/Length 162 +/Filter /FlateDecode +>> +stream +x36U0P0S51R01S , 1s +, @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ-[/koo/G}}~~~޽{,``rT70 +endstream +endobj +1409 0 obj +<< +/Length 137 +/Filter /FlateDecode +>> +stream +x3P0P0S51R022S , 1s + @TɓKW4K?KCĈK)Yq˥{+esJsZ<],X\= *q +endstream +endobj +1410 0 obj +<< +/Length 184 +/Filter /FlateDecode +>> +stream +x35Q0P0S51R02S , 1s +L @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ۷~BV~[v[ewo6p04pz*r@; +endstream +endobj +1411 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x31U0P0S51R012S @l8#9P,R d@e<=}JJSÁʸ=L ]Fr;;66 +@ܼb;;.O;G~~]o}g`rT'; +endstream +endobj +1412 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x31S0P0V51V"CC.=C ɹ\ +&`AZ S rN\ +%E\@\ +&&\N +\.@Cc݀}o]s~Nin^1P¦#6 +/.XиWZ%_}w eoznaan6.&.WO@.A +endstream +endobj +1413 0 obj +<< +/Length 160 +/Filter /FlateDecode +>> +stream +x36Q0P0S51R062S , 1s + @qU()*M*P01w +pV0w˥{+esJsZ<]LY3H߾_Mn \ +\*- +endstream +endobj +1414 0 obj +<< +/Length 169 +/Filter /FlateDecode +>> +stream +x36S0P0S51R01S , 1s + @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ0mb{dcw◮e-n6#B 4e +endstream +endobj +1415 0 obj +<< +/Length 188 +/Filter /FlateDecode +>> +stream +x36R0P0Q51V0T0TH1230 +!U`dj5@,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-~B'}?a69߲M[7<&.WO@.,7 +endstream +endobj +1416 0 obj +<< +/Length 137 +/Filter /FlateDecode +>> +stream +x36T0P0T51R062S @@c$r*YAj,C'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg)KA *3 +endstream +endobj +1417 0 obj +<< +/Length 159 +/Filter /FlateDecode +>> +stream +x31T0P0U51R06S0TH123 !U`lh5L$sU()*M*P01w +pVr\r;;66 +@ܼb;;.O ip7mcۦf٦pЁS! ۄ3 +endstream +endobj +1418 0 obj +<< +/Length 174 +/Filter /FlateDecode +>> +stream +x36W0P0T51R062S , 1s +M @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ`lKά[w+}׳ݭ=n7WX\=f?) +endstream +endobj +1419 0 obj +<< +/Length 210 +/Filter /FlateDecode +>> +stream +x35S0P0T51R052S , 1s +LM @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQm?*s2+~wK_ٻ_=nGk_׿> B R +endstream +endobj +1420 0 obj +<< +/Length 190 +/Filter /FlateDecode +>> +stream +x36S0P0T51R06R +)\z@Q 1s + +@!%<=}JJSÁ*=- ]r;;66 +@ܼb;;.OX /ů__3:akIS +|jaU}UB > +endstream +endobj +1421 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x36V0P0T51R01S , 1s + @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +Ow޲חn"vo]~04ppz*rE>; +endstream +endobj +1422 0 obj +<< +/Length 141 +/Filter /FlateDecode +>> +stream +x3P0P0S51R04WTH1230 +!U`hhT5̀,ɥPRTʥTȥ` +$K4X.}7}gg7 7F[A(㜟SW bg8 AS! ^, +endstream +endobj +1423 0 obj +<< +/Length 192 +/Filter /FlateDecode +>> +stream +x3T0P0U5@F!!Pa\BS# P)@9'O.}O_T.pB.}SS.}gC.}h\nn@n.P9?47ΎEAm_!nor{{٫Y7}C} +˜Y O\=pF* +endstream +endobj +1424 0 obj +<< +/Length 138 +/Filter /FlateDecode +>> +stream +x3P0P0T51R04RTH1230 +!U`hhT5@,ɥPRTʥTȥ` +$K4X.}7}gg7 7F[A(㜟SW bgJ4 Ǡzw0 +endstream +endobj +1425 0 obj +<< +/Length 196 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j L_a~i_/Kg M_PP@ЇG;Mo8Od6PpF.WO@.] I +endstream +endobj +1426 0 obj +<< +/Length 222 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j tşHܫ7=nʍ){9>Looqzr'eN`/~Nxo&/9G&o-/02pz*rT +endstream +endobj +1427 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x36T0P0V56T02W0TH123 !U`db5,ɥPRTʥTȥ`lĥ`ȥ 44KM V8qy(-㯺uN:ٳFI ׯ>pqvނ> +stream +x36U0P0U51U06V0TH1230 +!U`da5L,ɥPRTʥTȥ`bƥ`ȥ 44KM V8qy(XpS!* ?E/~ ?zuW!Уmjee`rT}4 +endstream +endobj +1429 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x3P0P0V56T02S0TH1230 +!U`dl5@> +stream +x3T0P0U5 +& +)\z@A 1s +LL @& @`9'O.}O_T.pB.}S.}gC.}h\nn@n.P9?47ΎEAm4unח}K_^zkeǂ<[v8&mjzơx6EBENʄ }=v6IVK +endstream +endobj +1431 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P05T0UH1230 +!U`bn5LD<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O'd?I_ +ԯ_׿~)˾> +stream +x36S0P0V51U06T0TH1230 +!U`da5,ɥPRTʥTȥ`bƥ`ȥ 44KM V8qy(-X9ճ/'_痯YzcЧl +.WO@./; +endstream +endobj +1433 0 obj +<< +/Length 162 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +jmOLAR X\=q:9 +endstream +endobj +1434 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x36R0P0V56T06P0TH1230 +!U`dn5,ɥPRTʥTȥ`lĥ`ȥ 44KM V8qy(-9O[_f_ނ8t>>\ !4 +endstream +endobj +1435 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P"A?a?a'(|C@mzpM8\=gF] +endstream +endobj +1436 0 obj +<< +/Length 154 +/Filter /FlateDecode +>> +stream +x3T0P0R51S022S @l8#9P,R d@e<=}JJSÁʸ=L̸ ]Fr;;66 +@ܼb;;.Oak3~?_̚y?ap`rT70 +endstream +endobj +1437 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x36S0P0V56T"CSC.=C(ɹ\ +F`A]3  M N\ +%E\@\ +&f\N +\.@Sc݀}o]s~Nin^1PB&_k|_6ǠOmغzl"=V +endstream +endobj +1438 0 obj +<< +/Length 162 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +jӶ?<: aA GgF.WO@.? +endstream +endobj +1439 0 obj +<< +/Length 152 +/Filter /FlateDecode +>> +stream +x36Q0P0U51U062S @l8#9P,R dAx@'O.}O_T.p2.} `ȥ 42KM V8qy(XHS!S͎01(pz*r |0 +endstream +endobj +1440 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T05U0UH1230 +!U`jhT55@,ɥPRTʥTȥ`j¥D wwvvrllt29y@-vv\. +j9GGμ?MYqN,?~.WO@.7+= +endstream +endobj +1441 0 obj +<< +/Length 143 +/Filter /FlateDecode +>> +stream +x34U0P0Q51Q042S @l8#9P,R +d@%<=}JJS̸=LL ]r;;66 +@ܼb3;;.O>02pz*r. +endstream +endobj +1442 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P!C.=C ɹ\ +&f`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-cF!'Ev8? +8AS! D +endstream +endobj +1443 0 obj +<< +/Length 130 +/Filter /FlateDecode +>> +stream +x34U0P0U51U042S @l8#9P,R +dAx@ 'O.}O_T.pS.} `ȥ 40KM V8+qy( \ +\/$ +endstream +endobj +1444 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x3T0P0S55P0T0UH1230 +!U`bl5̀D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.Oүח^˒]1 Mz덞 h`hrTeAa +endstream +endobj +1445 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x35R0P0U56T02S , 1s +L @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +j ٍ{ ]k@CB 7e +endstream +endobj +1446 0 obj +<< +/Length 148 +/Filter /FlateDecode +>> +stream +x36Q0P0U56T062S @l8#9P,R dAx@'O.}O_T.p2.}cC.}g  42KM V8qy(-y̾|Ԯyֽ\=_. +endstream +endobj +1447 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x36U0P0V56T06V0TH1230 +!U`l`5\C'BIQi*~8PKC؈K)YK%hh,`cﭠ q)+jtQP[|X>}S?vVz{}-۴yt$48\=76 +endstream +endobj +1448 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x36U0P0U56T06V04QH1230!U`daT51@,ɥPRTʥTɥ`bʥ`ȥ 45KM V8qy(-> +stream +x32T0P0U56T01S @, 1s + @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +j .N? Ǡ( +endstream +endobj +1450 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x32S0P0V56T02Q0TH1230 +!U`dh5$sU()*M*P06w +pVr\r;;66 +@ܼb;;.OEM׉Xߓ'>lop=CGCf-ؐAS! ~5H +endstream +endobj +1451 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x32P0P0R5T02P0TH1230T ɹ\ +`A]  rN\ +%E\@\ +&\N +\.@Cc݀}o]s~Nin^1Pڢbg̃a+\=m5Z +endstream +endobj +1452 0 obj +<< +/Length 156 +/Filter /FlateDecode +>> +stream +x36Q0P0Q56P0T0TH1230 +!U`dj5LD<=}JJSÁ += K4X.}7}gg7 7F[A(㜟SW bg`!m-# B ur/6 +endstream +endobj +1453 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x3T0B]c#3Ő@,g$r `j,'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg`!_{[==uv|mB V7f +endstream +endobj +1454 0 obj +<< +/Length 224 +/Filter /FlateDecode +>> +stream +x3P0P0Q51V01W0TH1230 +!U`bl5@,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-0p\@Mx +endstream +endobj +1455 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x3T0P0U5 +& +)\z@A 1s +LL @& @`9'O.}O_T.pB.}S.}gC.}h\nn@n.P9?47ΎEAm ɟ +^=m S'p"&.WO@.KJIF +endstream +endobj +1456 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x32W0P0T56P022S , 1s +L @TɓKW4K?KC؀K)YK%hd,`cﭠ q)+jtQr-]/z޶Kokׯ|}/U{4AS! ih8r +endstream +endobj +1457 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x3T0B]c#KCSC.=C(U70(61 APɓKW4K?KCĔK)YK%hf,`cﭠ q)+jtQ|rd\x ^tV]uô_[\=A +endstream +endobj +1458 0 obj +<< +/Length 154 +/Filter /FlateDecode +>> +stream +x31T0P0S52R06U54VH123 !U`diT1̀,ɥPRTʥTɥ$ ]r;;66@\9y@vv\. +j*9_<&EQsD6CB ' +endstream +endobj +1459 0 obj +<< +/Differences [1 /g49 /g36 /g48 /g40 /g39 /g53 /g72 /g74 /g76 +/g86 /g87 /g85 /g3 /g55 /g92 /g83 /g73 /g68 /g88 +/g79 /g42 /g1656 /g712 /g20 /g17 /g2509 /g2237 /g13 /g38 +/g50 /g21 /g89 /g19 /g91 /g23 /g62 /g64 /g44 /g81 +/g11 /g12 /g16 /g97 /g80 /g51 /g29 /g43 /g58 /g82 +/g71 /g22 /g41 /g25 /g24 /g27 /g9 /g31 /g60 /g33 +/g46 /g54 /g57 /g47 /g70 /g75 /g28 /g56 /g2183 /g1989 +/g1061 /g705 /g2121 /g2046 /g1308 /g26 /g15 /g1969 /g795 /g1544 +/g1762 /g2287 /g2113 /g1318 /g2319 /g1883 /g2654 /g643 /g1782 /g2229 +/g2208 /g37 /g69 /g2684 /g1894 /g748 /g1752 /g2643 /g2239 /g2813 +/g1599 /g1896 /g2861 /g1892 /g723 /g2487 /g2844 /g645 /g2163 /g1339 +/g1600 /g774 /g1625 /g636 /g1052 /g1458 /g1740 /g2120 /g2178 /g1393 +/g1420 /g2849 /g707 /g1238 /g1045 /g1529 /g2193 /g2166 /g1206 /g18 +/g163 /g754 /g1569 /g164 /g165 /g1813 /g166 /g1849 /g1307 /g167 +/g6 /g2853 /g1538 /g904] +/Type /Encoding +>> +endobj +1460 0 obj +<< +/Length 1008 +/Filter /FlateDecode +>> +stream +x]Mn7sO6`x Hrm£X^yQ +/>AfR|v}jovϧq|/>\#qy[bqx3nQ%{ǏGߎ'O_wn2Ώ~_tþp3¥0 2ü/\J ˾p90 n•pl ;~ kdhUM5 /\ֺpSy!%X +Cy  +zy VnCKC%={ȑqC Zr^Q3CK00Ďp%ּօo 囘 W/t2odr +bzUkom CfwkЁ&&65QkXrޅ\X ,z + MB.H0R?@.H0qfx ) S1ȁ@H:X +LDE F<(u!uAYة(H_ XpWk\/gNpM-(rW I@ +SXEiGQnd +COJ,p%$\`SKޅ+jU,yF sp%N=+Q9f @f9ÕLuQ>WWb>h0\5p%V;!pFtyճBÕXPgp%} \|}HL7:B}Qb= +,d涩kG֢Hvrh榧H9kHAN)^~ѲBTСŘH#@#AƎ:BV:z=WU +J7̺b1d &# +\x3\eWbUt[Y+ pWҧzfkkV4U G7 9!(a]5eckk.]ȑR+?c>ZH:b +endstream +endobj +1461 0 obj +<< +/K [1543 0 R 518 0 R] +/P 80 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1462 0 obj +<< +/K [513 0 R] +/P 110 0 R +/Pg 13 0 R +/S /LI +/Type /StructElem +>> +endobj +1463 0 obj +<< +/K [514 0 R] +/P 110 0 R +/Pg 13 0 R +/S /LI +/Type /StructElem +>> +endobj +1464 0 obj +<< +/K [515 0 R] +/P 110 0 R +/Pg 13 0 R +/S /LI +/Type /StructElem +>> +endobj +1465 0 obj +<< +/K [1544 0 R 1545 0 R 1546 0 R 525 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1466 0 obj +<< +/K [1547 0 R 1548 0 R 1549 0 R 1550 0 R 531 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1467 0 obj +<< +/K [1952 0 R 1551 0 R 1552 0 R 1553 0 R 535 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1468 0 obj +<< +/K [1554 0 R 1555 0 R 1556 0 R 1557 0 R 540 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1469 0 obj +<< +/K [1953 0 R 1558 0 R 1559 0 R 1560 0 R 544 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1470 0 obj +<< +/K [1954 0 R 1561 0 R 1562 0 R 1563 0 R 548 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1471 0 obj +<< +/K [1564 0 R 1565 0 R 1566 0 R 1567 0 R 555 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1472 0 obj +<< +/K [1955 0 R 1568 0 R 1569 0 R 1570 0 R 559 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1473 0 obj +<< +/K [1956 0 R 1571 0 R 1572 0 R 1573 0 R 563 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1474 0 obj +<< +/K [1957 0 R 1574 0 R 1575 0 R 1576 0 R 567 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1475 0 obj +<< +/K [1958 0 R 1577 0 R 1578 0 R 1579 0 R 571 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1476 0 obj +<< +/K [1580 0 R 1581 0 R 1582 0 R 1583 0 R 576 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1477 0 obj +<< +/K [1959 0 R 1584 0 R 1585 0 R 1586 0 R 580 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1478 0 obj +<< +/K [1587 0 R 1588 0 R 1589 0 R 1590 0 R 586 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1479 0 obj +<< +/K [1960 0 R 1591 0 R 1592 0 R 1593 0 R 590 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1480 0 obj +<< +/K [1594 0 R 1595 0 R 1596 0 R 1597 0 R 596 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1481 0 obj +<< +/K [1961 0 R 1598 0 R 1599 0 R 1600 0 R 600 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1482 0 obj +<< +/K [1962 0 R 1601 0 R 1602 0 R 1603 0 R 604 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1483 0 obj +<< +/K [1604 0 R 1605 0 R 1606 0 R 1607 0 R 615 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1484 0 obj +<< +/K [1608 0 R 1609 0 R 1610 0 R 1611 0 R 1612 0 R 621 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1485 0 obj +<< +/K [1613 0 R 1614 0 R 1615 0 R 1616 0 R 1617 0 R 627 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1486 0 obj +<< +/K [1618 0 R 1619 0 R 1620 0 R 1621 0 R 1622 0 R 633 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1487 0 obj +<< +/K [1623 0 R 1624 0 R 1625 0 R 1626 0 R 1627 0 R 639 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1488 0 obj +<< +/K [1628 0 R 1629 0 R 1630 0 R 1631 0 R 1632 0 R 645 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1489 0 obj +<< +/K [1633 0 R 1634 0 R 1635 0 R 1636 0 R 1637 0 R 651 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1490 0 obj +<< +/K [1638 0 R 1639 0 R 1640 0 R 658 0 R] +/P 199 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1491 0 obj +<< +/K [1641 0 R 1642 0 R 1643 0 R 662 0 R] +/P 199 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1492 0 obj +<< +/K [1644 0 R 1645 0 R 1646 0 R 666 0 R] +/P 199 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1493 0 obj +<< +/K [1647 0 R 1648 0 R 1649 0 R 673 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1494 0 obj +<< +/K [1650 0 R 1651 0 R 1652 0 R 677 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1495 0 obj +<< +/K [1653 0 R 1654 0 R 1655 0 R 681 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1496 0 obj +<< +/K [1656 0 R 1657 0 R 1658 0 R 685 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1497 0 obj +<< +/K [1659 0 R 1660 0 R 1661 0 R 689 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1498 0 obj +<< +/K [1662 0 R 1663 0 R 1664 0 R 1665 0 R 1666 0 R 1667 0 R 702 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1499 0 obj +<< +/K [1668 0 R 1669 0 R 1670 0 R 1671 0 R 1672 0 R 1673 0 R 709 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1500 0 obj +<< +/K [1674 0 R 1675 0 R 1676 0 R 1677 0 R 1678 0 R 1679 0 R 716 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1501 0 obj +<< +/K [1680 0 R 1681 0 R 1682 0 R 1683 0 R 1684 0 R 1685 0 R 723 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1502 0 obj +<< +/K [1686 0 R 1687 0 R 1688 0 R 1689 0 R 1690 0 R 1691 0 R 730 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1503 0 obj +<< +/K [1692 0 R 1693 0 R 1694 0 R 1695 0 R 1696 0 R 1697 0 R 737 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1504 0 obj +<< +/K [1698 0 R 1699 0 R 1700 0 R 1701 0 R 1702 0 R 1703 0 R 744 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1505 0 obj +<< +/K [1704 0 R 1705 0 R 1706 0 R 1707 0 R 1708 0 R 1709 0 R 757 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1506 0 obj +<< +/K [1710 0 R 1711 0 R 1712 0 R 1713 0 R 1714 0 R 1715 0 R 764 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1507 0 obj +<< +/K [1716 0 R 1717 0 R 1718 0 R 1719 0 R 1720 0 R 1721 0 R 771 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1508 0 obj +<< +/K [1722 0 R 1723 0 R 1724 0 R 1725 0 R 1726 0 R 1727 0 R 778 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1509 0 obj +<< +/K [1728 0 R 1729 0 R 1730 0 R 1731 0 R 1732 0 R 1733 0 R 785 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1510 0 obj +<< +/K [1734 0 R 1735 0 R 1736 0 R 1737 0 R 1738 0 R 1739 0 R 792 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1511 0 obj +<< +/K [1740 0 R 1741 0 R 1742 0 R 1743 0 R 1744 0 R 1745 0 R 799 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1512 0 obj +<< +/K [1746 0 R 1747 0 R 1748 0 R 1749 0 R 1750 0 R 1751 0 R 806 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1513 0 obj +<< +/K [1752 0 R 1753 0 R 1754 0 R 1755 0 R 1756 0 R 1757 0 R 819 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1514 0 obj +<< +/K [1758 0 R 1759 0 R 1760 0 R 1761 0 R 1762 0 R 1763 0 R 826 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1515 0 obj +<< +/K [1764 0 R 1765 0 R 1766 0 R 1767 0 R 1768 0 R 1769 0 R 833 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1516 0 obj +<< +/K [1770 0 R 1771 0 R 1772 0 R 1773 0 R 1774 0 R 1775 0 R 840 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1517 0 obj +<< +/K [1776 0 R 1777 0 R 1778 0 R 1779 0 R 1780 0 R 1781 0 R 847 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1518 0 obj +<< +/K [1782 0 R 1783 0 R 1784 0 R 1785 0 R 1786 0 R 1787 0 R 855 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1519 0 obj +<< +/K [1788 0 R 1789 0 R 1790 0 R 1791 0 R 1792 0 R 1793 0 R 862 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1520 0 obj +<< +/K [1794 0 R 1795 0 R 1796 0 R 1797 0 R 1798 0 R 1799 0 R 869 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1521 0 obj +<< +/K [1800 0 R 1801 0 R 1802 0 R 1803 0 R 1804 0 R 1805 0 R 882 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1522 0 obj +<< +/K [1806 0 R 1807 0 R 1808 0 R 1809 0 R 1810 0 R 1811 0 R 889 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1523 0 obj +<< +/K [1812 0 R 1813 0 R 1814 0 R 1815 0 R 1816 0 R 1817 0 R 896 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1524 0 obj +<< +/K [1818 0 R 1819 0 R 1820 0 R 1821 0 R 1822 0 R 1823 0 R 903 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1525 0 obj +<< +/K [1824 0 R 1825 0 R 1826 0 R 1827 0 R 1828 0 R 1829 0 R 910 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1526 0 obj +<< +/K [1830 0 R 1831 0 R 1832 0 R 1833 0 R 1834 0 R 1835 0 R 917 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1527 0 obj +<< +/K [1836 0 R 1837 0 R 1838 0 R 1839 0 R 1840 0 R 1841 0 R 924 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1528 0 obj +<< +/K [1842 0 R 1843 0 R 1844 0 R 1845 0 R 1846 0 R 1847 0 R 931 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1529 0 obj +<< +/K [1848 0 R 1849 0 R 1850 0 R 1851 0 R 1852 0 R 1853 0 R 944 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1530 0 obj +<< +/K [1854 0 R 1855 0 R 1856 0 R 1857 0 R 1858 0 R 1859 0 R 951 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1531 0 obj +<< +/K [1860 0 R 1861 0 R 1862 0 R 1863 0 R 1864 0 R 1865 0 R 958 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1532 0 obj +<< +/K [1866 0 R 1867 0 R 1868 0 R 1869 0 R 1870 0 R 1871 0 R 965 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1533 0 obj +<< +/K [1872 0 R 1873 0 R 1874 0 R 1875 0 R 1876 0 R 1877 0 R 972 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1534 0 obj +<< +/K [1878 0 R 1879 0 R 1880 0 R 1881 0 R 1882 0 R 1883 0 R 979 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1535 0 obj +<< +/K [1884 0 R 1885 0 R 1886 0 R 1887 0 R 1888 0 R 1889 0 R 986 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1536 0 obj +<< +/K [1890 0 R 1891 0 R 1892 0 R 1893 0 R 1894 0 R 1895 0 R 1000 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1537 0 obj +<< +/K [1896 0 R 1897 0 R 1963 0 R 1898 0 R 1899 0 R 1964 0 R 1005 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1538 0 obj +<< +/K [1900 0 R 1901 0 R 1965 0 R 1902 0 R 1903 0 R 1966 0 R 1010 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1539 0 obj +<< +/K [1904 0 R 1905 0 R 1967 0 R 1906 0 R 1907 0 R 1968 0 R 1015 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1540 0 obj +<< +/K [1908 0 R 1909 0 R 1910 0 R 1911 0 R 1912 0 R 1913 0 R 1022 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1541 0 obj +<< +/K [1914 0 R 1915 0 R 1916 0 R 1917 0 R 1918 0 R 1919 0 R 1032 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1542 0 obj +<< +/K [1920 0 R 1921 0 R 1922 0 R 1923 0 R 1924 0 R 1925 0 R 1039 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1543 0 obj +<< +/K [516 0 R 517 0 R] +/P 1461 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1544 0 obj +<< +/K [519 0 R 520 0 R] +/P 1465 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1545 0 obj +<< +/K [521 0 R 522 0 R] +/P 1465 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1546 0 obj +<< +/K [523 0 R 524 0 R] +/P 1465 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1547 0 obj +<< +/K [526 0 R 527 0 R] +/P 1466 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1548 0 obj +<< +/K [528 0 R] +/P 1466 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1549 0 obj +<< +/K [529 0 R] +/P 1466 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1550 0 obj +<< +/K [530 0 R] +/P 1466 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1551 0 obj +<< +/K [532 0 R] +/P 1467 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1552 0 obj +<< +/K [533 0 R] +/P 1467 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1553 0 obj +<< +/K [534 0 R] +/P 1467 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1554 0 obj +<< +/K [536 0 R] +/P 1468 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1555 0 obj +<< +/K [537 0 R] +/P 1468 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1556 0 obj +<< +/K [538 0 R] +/P 1468 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1557 0 obj +<< +/K [539 0 R] +/P 1468 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1558 0 obj +<< +/K [541 0 R] +/P 1469 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1559 0 obj +<< +/K [542 0 R] +/P 1469 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1560 0 obj +<< +/K [543 0 R] +/P 1469 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1561 0 obj +<< +/K [545 0 R] +/P 1470 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1562 0 obj +<< +/K [546 0 R] +/P 1470 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1563 0 obj +<< +/K [547 0 R] +/P 1470 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1564 0 obj +<< +/K [549 0 R 550 0 R 551 0 R] +/P 1471 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1565 0 obj +<< +/K [552 0 R] +/P 1471 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1566 0 obj +<< +/K [553 0 R] +/P 1471 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1567 0 obj +<< +/K [554 0 R] +/P 1471 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1568 0 obj +<< +/K [556 0 R] +/P 1472 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1569 0 obj +<< +/K [557 0 R] +/P 1472 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1570 0 obj +<< +/K [558 0 R] +/P 1472 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1571 0 obj +<< +/K [560 0 R] +/P 1473 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1572 0 obj +<< +/K [561 0 R] +/P 1473 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1573 0 obj +<< +/K [562 0 R] +/P 1473 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1574 0 obj +<< +/K [564 0 R] +/P 1474 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1575 0 obj +<< +/K [565 0 R] +/P 1474 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1576 0 obj +<< +/K [566 0 R] +/P 1474 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1577 0 obj +<< +/K [568 0 R] +/P 1475 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1578 0 obj +<< +/K [569 0 R] +/P 1475 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1579 0 obj +<< +/K [570 0 R] +/P 1475 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1580 0 obj +<< +/K [572 0 R] +/P 1476 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1581 0 obj +<< +/K [573 0 R] +/P 1476 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1582 0 obj +<< +/K [574 0 R] +/P 1476 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1583 0 obj +<< +/K [575 0 R] +/P 1476 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1584 0 obj +<< +/K [577 0 R] +/P 1477 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1585 0 obj +<< +/K [578 0 R] +/P 1477 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1586 0 obj +<< +/K [579 0 R] +/P 1477 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1587 0 obj +<< +/K [581 0 R 582 0 R] +/P 1478 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1588 0 obj +<< +/K [583 0 R] +/P 1478 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1589 0 obj +<< +/K [584 0 R] +/P 1478 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1590 0 obj +<< +/K [585 0 R] +/P 1478 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1591 0 obj +<< +/K [587 0 R] +/P 1479 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1592 0 obj +<< +/K [588 0 R] +/P 1479 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1593 0 obj +<< +/K [589 0 R] +/P 1479 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1594 0 obj +<< +/K [591 0 R 592 0 R] +/P 1480 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1595 0 obj +<< +/K [593 0 R] +/P 1480 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1596 0 obj +<< +/K [594 0 R] +/P 1480 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1597 0 obj +<< +/K [595 0 R] +/P 1480 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1598 0 obj +<< +/K [597 0 R] +/P 1481 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1599 0 obj +<< +/K [598 0 R] +/P 1481 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1600 0 obj +<< +/K [599 0 R] +/P 1481 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1601 0 obj +<< +/K [601 0 R] +/P 1482 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1602 0 obj +<< +/K [602 0 R] +/P 1482 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1603 0 obj +<< +/K [603 0 R] +/P 1482 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1604 0 obj +<< +/K [607 0 R 608 0 R] +/P 1483 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1605 0 obj +<< +/K [609 0 R 610 0 R] +/P 1483 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1606 0 obj +<< +/K [611 0 R 612 0 R] +/P 1483 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1607 0 obj +<< +/K [613 0 R 614 0 R] +/P 1483 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1608 0 obj +<< +/K [616 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1609 0 obj +<< +/K [617 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1610 0 obj +<< +/K [618 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1611 0 obj +<< +/K [619 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1612 0 obj +<< +/K [620 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1613 0 obj +<< +/K [622 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1614 0 obj +<< +/K [623 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1615 0 obj +<< +/K [624 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1616 0 obj +<< +/K [625 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1617 0 obj +<< +/K [626 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1618 0 obj +<< +/K [628 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1619 0 obj +<< +/K [629 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1620 0 obj +<< +/K [630 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1621 0 obj +<< +/K [631 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1622 0 obj +<< +/K [632 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1623 0 obj +<< +/K [634 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1624 0 obj +<< +/K [635 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1625 0 obj +<< +/K [636 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1626 0 obj +<< +/K [637 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1627 0 obj +<< +/K [638 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1628 0 obj +<< +/K [640 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1629 0 obj +<< +/K [641 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1630 0 obj +<< +/K [642 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1631 0 obj +<< +/K [643 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1632 0 obj +<< +/K [644 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1633 0 obj +<< +/K [646 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1634 0 obj +<< +/K [647 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1635 0 obj +<< +/K [648 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1636 0 obj +<< +/K [649 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1637 0 obj +<< +/K [650 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1638 0 obj +<< +/K [652 0 R 653 0 R] +/P 1490 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1639 0 obj +<< +/K [654 0 R 655 0 R] +/P 1490 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1640 0 obj +<< +/K [656 0 R 657 0 R] +/P 1490 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1641 0 obj +<< +/K [659 0 R] +/P 1491 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1642 0 obj +<< +/K [660 0 R] +/P 1491 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1643 0 obj +<< +/K [661 0 R] +/P 1491 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1644 0 obj +<< +/K [663 0 R] +/P 1492 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1645 0 obj +<< +/K [664 0 R] +/P 1492 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1646 0 obj +<< +/K [665 0 R] +/P 1492 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1647 0 obj +<< +/K [667 0 R 668 0 R] +/P 1493 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1648 0 obj +<< +/K [669 0 R 670 0 R] +/P 1493 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1649 0 obj +<< +/K [671 0 R 672 0 R] +/P 1493 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1650 0 obj +<< +/K [674 0 R] +/P 1494 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1651 0 obj +<< +/K [675 0 R] +/P 1494 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1652 0 obj +<< +/K [676 0 R] +/P 1494 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1653 0 obj +<< +/K [678 0 R] +/P 1495 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1654 0 obj +<< +/K [679 0 R] +/P 1495 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1655 0 obj +<< +/K [680 0 R] +/P 1495 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1656 0 obj +<< +/K [682 0 R] +/P 1496 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1657 0 obj +<< +/K [683 0 R] +/P 1496 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1658 0 obj +<< +/K [684 0 R] +/P 1496 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1659 0 obj +<< +/K [686 0 R] +/P 1497 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1660 0 obj +<< +/K [687 0 R] +/P 1497 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1661 0 obj +<< +/K [688 0 R] +/P 1497 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1662 0 obj +<< +/K [690 0 R 691 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1663 0 obj +<< +/K [692 0 R 693 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1664 0 obj +<< +/K [694 0 R 695 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1665 0 obj +<< +/K [696 0 R 697 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1666 0 obj +<< +/K [698 0 R 699 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1667 0 obj +<< +/K [700 0 R 701 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1668 0 obj +<< +/K [703 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1669 0 obj +<< +/K [704 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1670 0 obj +<< +/K [705 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1671 0 obj +<< +/K [706 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1672 0 obj +<< +/K [707 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1673 0 obj +<< +/K [708 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1674 0 obj +<< +/K [710 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1675 0 obj +<< +/K [711 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1676 0 obj +<< +/K [712 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1677 0 obj +<< +/K [713 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1678 0 obj +<< +/K [714 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1679 0 obj +<< +/K [715 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1680 0 obj +<< +/K [717 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1681 0 obj +<< +/K [718 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1682 0 obj +<< +/K [719 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1683 0 obj +<< +/K [720 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1684 0 obj +<< +/K [721 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1685 0 obj +<< +/K [722 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1686 0 obj +<< +/K [724 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1687 0 obj +<< +/K [725 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1688 0 obj +<< +/K [726 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1689 0 obj +<< +/K [727 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1690 0 obj +<< +/K [728 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1691 0 obj +<< +/K [729 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1692 0 obj +<< +/K [731 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1693 0 obj +<< +/K [732 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1694 0 obj +<< +/K [733 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1695 0 obj +<< +/K [734 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1696 0 obj +<< +/K [735 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1697 0 obj +<< +/K [736 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1698 0 obj +<< +/K [738 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1699 0 obj +<< +/K [739 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1700 0 obj +<< +/K [740 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1701 0 obj +<< +/K [741 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1702 0 obj +<< +/K [742 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1703 0 obj +<< +/K [743 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1704 0 obj +<< +/K [745 0 R 746 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1705 0 obj +<< +/K [747 0 R 748 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1706 0 obj +<< +/K [749 0 R 750 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1707 0 obj +<< +/K [751 0 R 752 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1708 0 obj +<< +/K [753 0 R 754 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1709 0 obj +<< +/K [755 0 R 756 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1710 0 obj +<< +/K [758 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1711 0 obj +<< +/K [759 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1712 0 obj +<< +/K [760 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1713 0 obj +<< +/K [761 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1714 0 obj +<< +/K [762 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1715 0 obj +<< +/K [763 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1716 0 obj +<< +/K [765 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1717 0 obj +<< +/K [766 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1718 0 obj +<< +/K [767 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1719 0 obj +<< +/K [768 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1720 0 obj +<< +/K [769 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1721 0 obj +<< +/K [770 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1722 0 obj +<< +/K [772 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1723 0 obj +<< +/K [773 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1724 0 obj +<< +/K [774 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1725 0 obj +<< +/K [775 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1726 0 obj +<< +/K [776 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1727 0 obj +<< +/K [777 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1728 0 obj +<< +/K [779 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1729 0 obj +<< +/K [780 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1730 0 obj +<< +/K [781 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1731 0 obj +<< +/K [782 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1732 0 obj +<< +/K [783 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1733 0 obj +<< +/K [784 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1734 0 obj +<< +/K [786 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1735 0 obj +<< +/K [787 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1736 0 obj +<< +/K [788 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1737 0 obj +<< +/K [789 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1738 0 obj +<< +/K [790 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1739 0 obj +<< +/K [791 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1740 0 obj +<< +/K [793 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1741 0 obj +<< +/K [794 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1742 0 obj +<< +/K [795 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1743 0 obj +<< +/K [796 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1744 0 obj +<< +/K [797 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1745 0 obj +<< +/K [798 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1746 0 obj +<< +/K [800 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1747 0 obj +<< +/K [801 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1748 0 obj +<< +/K [802 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1749 0 obj +<< +/K [803 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1750 0 obj +<< +/K [804 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1751 0 obj +<< +/K [805 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1752 0 obj +<< +/K [807 0 R 808 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1753 0 obj +<< +/K [809 0 R 810 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1754 0 obj +<< +/K [811 0 R 812 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1755 0 obj +<< +/K [813 0 R 814 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1756 0 obj +<< +/K [815 0 R 816 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1757 0 obj +<< +/K [817 0 R 818 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1758 0 obj +<< +/K [820 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1759 0 obj +<< +/K [821 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1760 0 obj +<< +/K [822 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1761 0 obj +<< +/K [823 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1762 0 obj +<< +/K [824 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1763 0 obj +<< +/K [825 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1764 0 obj +<< +/K [827 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1765 0 obj +<< +/K [828 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1766 0 obj +<< +/K [829 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1767 0 obj +<< +/K [830 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1768 0 obj +<< +/K [831 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1769 0 obj +<< +/K [832 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1770 0 obj +<< +/K [834 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1771 0 obj +<< +/K [835 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1772 0 obj +<< +/K [836 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1773 0 obj +<< +/K [837 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1774 0 obj +<< +/K [838 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1775 0 obj +<< +/K [839 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1776 0 obj +<< +/K [841 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1777 0 obj +<< +/K [842 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1778 0 obj +<< +/K [843 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1779 0 obj +<< +/K [844 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1780 0 obj +<< +/K [845 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1781 0 obj +<< +/K [846 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1782 0 obj +<< +/K [848 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1783 0 obj +<< +/K [849 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1784 0 obj +<< +/K [850 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1785 0 obj +<< +/K [851 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1786 0 obj +<< +/K [852 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1787 0 obj +<< +/K [853 0 R 854 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1788 0 obj +<< +/K [856 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1789 0 obj +<< +/K [857 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1790 0 obj +<< +/K [858 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1791 0 obj +<< +/K [859 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1792 0 obj +<< +/K [860 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1793 0 obj +<< +/K [861 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1794 0 obj +<< +/K [863 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1795 0 obj +<< +/K [864 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1796 0 obj +<< +/K [865 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1797 0 obj +<< +/K [866 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1798 0 obj +<< +/K [867 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1799 0 obj +<< +/K [868 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1800 0 obj +<< +/K [870 0 R 871 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1801 0 obj +<< +/K [872 0 R 873 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1802 0 obj +<< +/K [874 0 R 875 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1803 0 obj +<< +/K [876 0 R 877 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1804 0 obj +<< +/K [878 0 R 879 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1805 0 obj +<< +/K [880 0 R 881 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1806 0 obj +<< +/K [883 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1807 0 obj +<< +/K [884 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1808 0 obj +<< +/K [885 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1809 0 obj +<< +/K [886 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1810 0 obj +<< +/K [887 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1811 0 obj +<< +/K [888 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1812 0 obj +<< +/K [890 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1813 0 obj +<< +/K [891 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1814 0 obj +<< +/K [892 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1815 0 obj +<< +/K [893 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1816 0 obj +<< +/K [894 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1817 0 obj +<< +/K [895 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1818 0 obj +<< +/K [897 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1819 0 obj +<< +/K [898 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1820 0 obj +<< +/K [899 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1821 0 obj +<< +/K [900 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1822 0 obj +<< +/K [901 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1823 0 obj +<< +/K [902 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1824 0 obj +<< +/K [904 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1825 0 obj +<< +/K [905 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1826 0 obj +<< +/K [906 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1827 0 obj +<< +/K [907 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1828 0 obj +<< +/K [908 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1829 0 obj +<< +/K [909 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1830 0 obj +<< +/K [911 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1831 0 obj +<< +/K [912 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1832 0 obj +<< +/K [913 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1833 0 obj +<< +/K [914 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1834 0 obj +<< +/K [915 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1835 0 obj +<< +/K [916 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1836 0 obj +<< +/K [918 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1837 0 obj +<< +/K [919 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1838 0 obj +<< +/K [920 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1839 0 obj +<< +/K [921 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1840 0 obj +<< +/K [922 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1841 0 obj +<< +/K [923 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1842 0 obj +<< +/K [925 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1843 0 obj +<< +/K [926 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1844 0 obj +<< +/K [927 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1845 0 obj +<< +/K [928 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1846 0 obj +<< +/K [929 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1847 0 obj +<< +/K [930 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1848 0 obj +<< +/K [932 0 R 933 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1849 0 obj +<< +/K [934 0 R 935 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1850 0 obj +<< +/K [936 0 R 937 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1851 0 obj +<< +/K [938 0 R 939 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1852 0 obj +<< +/K [940 0 R 941 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1853 0 obj +<< +/K [942 0 R 943 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1854 0 obj +<< +/K [945 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1855 0 obj +<< +/K [946 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1856 0 obj +<< +/K [947 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1857 0 obj +<< +/K [948 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1858 0 obj +<< +/K [949 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1859 0 obj +<< +/K [950 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1860 0 obj +<< +/K [952 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1861 0 obj +<< +/K [953 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1862 0 obj +<< +/K [954 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1863 0 obj +<< +/K [955 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1864 0 obj +<< +/K [956 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1865 0 obj +<< +/K [957 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1866 0 obj +<< +/K [959 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1867 0 obj +<< +/K [960 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1868 0 obj +<< +/K [961 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1869 0 obj +<< +/K [962 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1870 0 obj +<< +/K [963 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1871 0 obj +<< +/K [964 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1872 0 obj +<< +/K [966 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1873 0 obj +<< +/K [967 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1874 0 obj +<< +/K [968 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1875 0 obj +<< +/K [969 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1876 0 obj +<< +/K [970 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1877 0 obj +<< +/K [971 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1878 0 obj +<< +/K [973 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1879 0 obj +<< +/K [974 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1880 0 obj +<< +/K [975 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1881 0 obj +<< +/K [976 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1882 0 obj +<< +/K [977 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1883 0 obj +<< +/K [978 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1884 0 obj +<< +/K [980 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1885 0 obj +<< +/K [981 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1886 0 obj +<< +/K [982 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1887 0 obj +<< +/K [983 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1888 0 obj +<< +/K [984 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1889 0 obj +<< +/K [985 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1890 0 obj +<< +/K [987 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1891 0 obj +<< +/K [988 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1892 0 obj +<< +/K [989 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1893 0 obj +<< +/K [990 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1894 0 obj +<< +/K [991 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1895 0 obj +<< +/K [992 0 R 993 0 R 994 0 R 995 0 R 996 0 R 997 0 R 998 0 R 999 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1896 0 obj +<< +/K [1001 0 R] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1897 0 obj +<< +/K [1002 0 R] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1898 0 obj +<< +/K [1003 0 R] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1899 0 obj +<< +/K [1004 0 R] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1900 0 obj +<< +/K [1006 0 R] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1901 0 obj +<< +/K [1007 0 R] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1902 0 obj +<< +/K [1008 0 R] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1903 0 obj +<< +/K [1009 0 R] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1904 0 obj +<< +/K [1011 0 R] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1905 0 obj +<< +/K [1012 0 R] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1906 0 obj +<< +/K [1013 0 R] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1907 0 obj +<< +/K [1014 0 R] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1908 0 obj +<< +/K [1016 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1909 0 obj +<< +/K [1017 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1910 0 obj +<< +/K [1018 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1911 0 obj +<< +/K [1019 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1912 0 obj +<< +/K [1020 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1913 0 obj +<< +/K [1021 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1914 0 obj +<< +/K [1023 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1915 0 obj +<< +/K [1024 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1916 0 obj +<< +/K [1025 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1917 0 obj +<< +/K [1026 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1918 0 obj +<< +/K [1027 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1919 0 obj +<< +/K [1028 0 R 1029 0 R 1030 0 R 1031 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1920 0 obj +<< +/K [1033 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1921 0 obj +<< +/K [1034 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1922 0 obj +<< +/K [1035 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1923 0 obj +<< +/K [1036 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1924 0 obj +<< +/K [1037 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1925 0 obj +<< +/K [1038 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1926 0 obj +<< +/BaseFont /ABCDEE+HY#C3#81#C3#9F#C2#B0#C3#AD#C2#B5#C3#B1 +/CIDSystemInfo 1969 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1970 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [1000] + 36 [416] +] +>> +endobj +1927 0 obj +<< +/Length 363 +/Filter /FlateDecode +>> +stream +x]j@>â3 !?dpq=gv@>i-۪UwG8AӶ\tODI9cw¿qMxv[5.N2Èۮ)=;HO̞ʣe]h&326h +tk7s;ѧz0?u%&L ]OSgrRϼyCj q^siy%Iy +J,Em)䁥ij"CP[Tr+EbhrPY$!'KZA9D$9qg.Q3)QilB9ʃH( |R ˛&fzfm8njRuYm~c߬ +endstream +endobj +1928 0 obj +<< +/BaseFont /ABCDEE+Wingdings +/CIDSystemInfo 1971 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1972 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [500] + 4 [1030] + 122 [747] +] +>> +endobj +1929 0 obj +<< +/Length 225 +/Filter /FlateDecode +>> +stream +x]Mj0 >3Y2M{VRC#Y0 +lijt: cѓc\aɓ:WM{Wn;$;k".78\]+;dO>^~gjp8ʠg_̌ v>m'a[DK4Є;5pv?^zh{\=]%OA #xboY +endstream +endobj +1930 0 obj +<< +/BaseFont /Arial +/CIDSystemInfo 1973 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1974 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [750] + 3 [278 278] + 19 [556 556] + 22 [556 556] + 25 [556] +28 [556] + 41 [611] + 80 [833] + 91 [500] + 301 [798] +374 [604] +] +>> +endobj +1931 0 obj +<< +/Length 302 +/Filter /FlateDecode +>> +stream +x]n y +.dbL=¸%H ݦK"fmZM$:ptPZZ@pQ0Nn%xsV) +B7@W+*}Ϻ]))K*a{ҏ@m[-[S|,(Gf2b0^HUɯwuWoQyuknEYW:1D4(;Do)G1}th"="Ooe*YVy,Hp% `qM Tn#7 ' +endstream +endobj +1932 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [-16 -142 1008 858] +/FontFile2 1975 0 R +/FontName /ABCDEE+HY#C3#81#C3#9F#C2#B0#C3#AD#C2#B5#C3#B1 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1023 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1933 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [0 -142 1000 858] +/FontFile2 1976 0 R +/FontName /ABCDEE+#C2#B9#C3#99#C3#85#C3#81 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1000 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1934 0 obj +<< +/Ascent 917 +/AvgWidth 473 +/CapHeight 700 +/Descent -190 +/Flags 32 +/FontBBox [-249 -190 1146 700] +/FontFile2 1977 0 R +/FontName /ABCDEE+Franklin#20Gothic#20Heavy +/FontWeight 400 +/ItalicAngle 0 +/MaxWidth 1395 +/StemV 47 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1935 0 obj +<< +/Ascent 905 +/AvgWidth 441 +/CapHeight 728 +/Descent -210 +/Flags 32 +/FontBBox [-665 -210 2000 728] +/FontName /Arial +/FontWeight 400 +/ItalicAngle 0 +/Leading 33 +/MaxWidth 2665 +/StemV 44 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1936 0 obj +<< +/BaseFont /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/CIDSystemInfo 1978 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1979 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [1000] + 72 [333] +] +>> +endobj +1937 0 obj +<< +/Length 1662 +/Filter /FlateDecode +>> +stream +xj$7s\,n%0VI_@6yL{cxo ӄaW|TIuP[y(r==y,_7nm<^ovua>~Y~|Xǯϝ?;Z^e7S_Ϗ/>=WӲ`Eg_Ώǯww,J-}yx꺆Uuɀ4GSVW!l`^=:53MYJ楢5Fš,IHUlny +OT/ NḶW9t]^z]_E2l] +ei$ z[MWoLiŽ NƔVV4]Pk2:YnX"ȚH(tNu:E,hWIH*iI}PЎ_'qD +AuF#5Aha +3051=pMkV] .xkLpm4i:֌nn Hs$xA\B|嚙kFʠFPf& + (keHBB YkQ)DX]♗0s^<,Q^(oPxB^7Hx&TiB5ļ}z>`8M",)HH1uEG&?͜8ILbjFh, +2RٌwFuzzzC +ɖ"J? ff25y+[ߊ x` +L-%x| +Osj䩱d+JNl;`'ze`͡Y&M2yeyf:&AA{շFX0.͔(j\wxhj1b{:3=so&N$8cInzm!g_^Ɔ[s6D9&jtA~"q <>; 8| 0! +WE),S"QgxCMSYe@_<} ̡gC> +endobj +1939 0 obj +<< +/Ascent 905 +/AvgWidth 441 +/CapHeight 728 +/Descent -208 +/Flags 32 +/FontBBox [-517 -208 1359 728] +/FontName /Arial#2CItalic +/FontWeight 400 +/ItalicAngle -12 +/Leading 33 +/MaxWidth 1876 +/StemV 44 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1940 0 obj +<< +/BaseFont /Arial#2CItalic +/CIDSystemInfo 1980 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1981 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [750] + 3 [278 278] + 404 [604] +] +>> +endobj +1941 0 obj +<< +/Length 234 +/Filter /FlateDecode +>> +stream +x]J0yY^UB)HE>@Lk&a.NH0Ό޻0%\FayQ)Τ*Yta_.h\pza!"9?X[?ODۂʼnYso~fGc5jQ\-4\@o'󭩸o٭TZV;؋+O^#ӕX9x=Z 1Sq +endstream +endobj +1942 0 obj +<< +/Length 2613 +/BitsPerComponent 8 +/ColorSpace /DeviceGray +/Filter /FlateDecode +/Height 78 +/Interpolate false +/Matte [0 0 0] +/Subtype /Image +/Type /XObject +/Width 370 +>> +stream +x{T/l0<yyRRcȪG<c Qs&jHALIR9V% әݝ;3;3<߽߽?ٹw.B&&&&&&&&&&&&&&&#t<'uP*jzYK^aIǒAl}rg? AGFKţxA[nwLoAKflz, ȆB@#q)-N2R+CX+'a##ǷۏG]WvF/yfRoB)*a0H\FY1?6+UDky}VMߑ5ZMLƃ)95lcћ6hyJxG2>oԤk1Fg:a=LFXdoa<3m}Fq˧%^h.%a|lOmim?K񿰡UCƟvx_Z"l Wo<*qd =*;oq( \["+/4^%(zwHKBh5iƻ 4h5k8$ף 0kU_UgW}U $Lƙ0Ci@9Y +nq +̹ſ +|m 6Sj ph2, %U03n1ָdYGHd|5GI>aN鳋3dף`ʐD-߭]D7E4(l*7%~en_P"5^SPNڬn5A7>y|=Bn"y8˸{IW} +QjYYƻπ6w:z5K?dΓK0 'G6lۻ}{qsiGh^ҥVhb%KI9m8GhA 51G?!͹|xg6fgݑi|1".!?c47an58:.id5`|?HwYx%WB83P2~Vq1EܼhS_*e'! Ej-sWh<_Rh|ʁ bOI#u;ˆƥ-3H<2dr௢scHƇn-@2~_G(JB_j2W xPzd(%h㒧nj3 #0Ńe!8Fmc 9=w4Mqt@5.BZ@7 +L㚌ÈO1>H/x5~_ f 7)/ NG@xaDFø(ߜOfK.l~VָKS__8;]qFPJz]| L +J6Nbg n%G<X@r0F`󫚱>ު_:Fg7G.}wql;$tnx\(|SZXGv!}gx{+Ge=ˏHw򰟝,V'b +!MhI i!`s\v,}Dzvv_|o*GI/V?ʧNB RܹfT+_?+.hg9RXm33ȉNKγMbclqSgYbsDE޾Iy[ <--y#Vj|ؕmlj2G&WnG 3p៏OHU~ U`O!g!?HfơWz hvr~󬳌58D9noU'.ClblYy6_QN)괴#f:x[yξ3֒@N7ePl pG|I -gww^C=g(GCϮ327|ʅM0ŸunCCMmO^l>bxb@i ;1%zabbbbbrp +endstream +endobj +1943 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [-981 -142 1044 858] +/FontFile2 1982 0 R +/FontName /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 2025 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1944 0 obj +<< +/BaseFont /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/CIDSystemInfo 1983 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1984 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [1000] + 72 [333] +] +>> +endobj +1945 0 obj +<< +/Length 332 +/Filter /FlateDecode +>> +stream +x]n0E +/EDB"H,Pi?CTeȂa ;wɪL7 3\:CbFu[%78A_v iJW/]3ܓipлwY{~Bf[eTC=6F׻ixOlr5hm5$Ѵ+#`?/s>GҘqs?쑊B"=Nꉿ;q}߰Id^t7]eR|;p,QcЊX@eO %O_($RE#p0xs~äqƝ`.| +endstream +endobj +1946 0 obj +<< +/Length 2613 +/BitsPerComponent 8 +/ColorSpace /DeviceGray +/Filter /FlateDecode +/Height 78 +/Interpolate false +/Matte [0 0 0] +/Subtype /Image +/Type /XObject +/Width 370 +>> +stream +x{T/l0<yyRRcȪG<c Qs&jHALIR9V% әݝ;3;3<߽߽?ٹw.B&&&&&&&&&&&&&&&#t<'uP*jzYK^aIǒAl}rg? AGFKţxA[nwLoAKflz, ȆB@#q)-N2R+CX+'a##ǷۏG]WvF/yfRoB)*a0H\FY1?6+UDky}VMߑ5ZMLƃ)95lcћ6hyJxG2>oԤk1Fg:a=LFXdoa<3m}Fq˧%^h.%a|lOmim?K񿰡UCƟvx_Z"l Wo<*qd =*;oq( \["+/4^%(zwHKBh5iƻ 4h5k8$ף 0kU_UgW}U $Lƙ0Ci@9Y +nq +̹ſ +|m 6Sj ph2, %U03n1ָdYGHd|5GI>aN鳋3dף`ʐD-߭]D7E4(l*7%~en_P"5^SPNڬn5A7>y|=Bn"y8˸{IW} +QjYYƻπ6w:z5K?dΓK0 'G6lۻ}{qsiGh^ҥVhb%KI9m8GhA 51G?!͹|xg6fgݑi|1".!?c47an58:.id5`|?HwYx%WB83P2~Vq1EܼhS_*e'! Ej-sWh<_Rh|ʁ bOI#u;ˆƥ-3H<2dr௢scHƇn-@2~_G(JB_j2W xPzd(%h㒧nj3 #0Ńe!8Fmc 9=w4Mqt@5.BZ@7 +L㚌ÈO1>H/x5~_ f 7)/ NG@xaDFø(ߜOfK.l~VָKS__8;]qFPJz]| L +J6Nbg n%G<X@r0F`󫚱>ު_:Fg7G.}wql;$tnx\(|SZXGv!}gx{+Ge=ˏHw򰟝,V'b +!MhI i!`s\v,}Dzvv_|o*GI/V?ʧNB RܹfT+_?+.hg9RXm33ȉNKγMbclqSgYbsDE޾Iy[ <--y#Vj|ؕmlj2G&WnG 3p៏OHU~ U`O!g!?HfơWz hvr~󬳌58D9noU'.ClblYy6_QN)괴#f:x[yξ3֒@N7ePl pG|I -gww^C=g(GCϮ327|ʅM0ŸunCCMmO^l>bxb@i ;1%zabbbbbrp +endstream +endobj +1947 0 obj +<< +/BaseFont /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1985 0 R +/LastChar 116 +/Name /F12 +/Subtype /TrueType +/Type /Font +/Widths [333 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 574 574 574 574 +574 574 574 574 0 0 0 0 0 0 +0 0 0 0 677 0 722 0 596 0 +0 0 0 0 0 0 0 0 0 0 +0 633 0 0 0 0 0 0 0 0 +0 0 0 0 0 563 0 0 0 567 +0 0 0 241 0 0 0 861 0 605 +605 0 333 0 313] +>> +endobj +1948 0 obj +<< +/Ascent 744 +/AvgWidth 529 +/CapHeight 744 +/Descent -256 +/Flags 32 +/FontBBox [-553 -256 1110 744] +/FontFile2 1986 0 R +/FontName /ABCDEE+Corbel +/FontWeight 400 +/ItalicAngle 0 +/MaxWidth 1663 +/StemV 52 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1949 0 obj +<< +/BaseFont /ABCDEE+Lucida#20Sans#20Unicode +/CIDSystemInfo 1987 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1988 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [750] + 4 [316] + 718 [705] +] +>> +endobj +1950 0 obj +<< +/Length 226 +/Filter /FlateDecode +>> +stream +x]Mj0 > +!BiJjhd8ܾP {xnkG>~`{L0zrKX" 8yR +imgߖsGcPu ]% )϶_c)A23#;'OQ?Υ?E64+'F!N 2,s(P{n,yJCT>?moV +endstream +endobj +1951 0 obj +<< +/Length 1515 +/Filter /FlateDecode +/Subtype /Type1C +>> +stream +xMTiPSW#o+;By"HAH .\:ĥZ>Jꨣn%j-*qQ[jK:պGj罻}^FiǏ8'%7N!9lw Ԓ@6_î&A@$p'B )TӉaX?(4\obY9rZ[6iٜ!Z4lȖ,[L)Ô.KlN?t.[~x!($48aV12q2kLSɬc3U̧f#IN iv2IgL_?1~j\s6ܱ`lv, +ݠ3t7a2ȱBF\F+A;(4b,k9eѨG.u`@SRSɠ/ΎM~@Reͽ?^ht,vRNRB $؝BK\8XwA) ŭ[GO'!Y%։'2-D\-<[tgᗆ&I cNh"S,4;^_wnir@斋ؓW_& eWv4m8,AK ̧Hl].ƊEC}`|6 (!Pz)z[0 et]BYk1̉e?ɜlJ|C$ZR +1> Ы"V 4peU #l.ݳqe{!(3jYoضuO~%߭5>AikQ?_v\AyKL@ {`)K ;X^]#p@? ,R@PϽZ0sq ns(vzlUQx|=W) NQ{|,ަŸujNb5NdF_Z~Vpa!P.ܥDҩdTt@R/LME& +bVx:%Ђ4bMčOn,[W;mƒ[P ؎A]'O}c70̣ ^(qu`h<})^ab` _9%99t}'GmAķc +ptɽҼIO%x}y+96qa$vOg5'-&XiåCJ<> yhM<(ql|(=k.eЊݨcR *.u1<դ VXV7݇[&RYUNZ%xW}{N6_X.gk!Z\ɗZZT5,UzY>5.+P /'fwI9Wz J$mP$eOA׫O?oqr/Z +endstream +endobj +1952 0 obj +<< +/K [] +/P 1467 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1953 0 obj +<< +/K [] +/P 1469 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1954 0 obj +<< +/K [] +/P 1470 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1955 0 obj +<< +/K [] +/P 1472 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1956 0 obj +<< +/K [] +/P 1473 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1957 0 obj +<< +/K [] +/P 1474 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1958 0 obj +<< +/K [] +/P 1475 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1959 0 obj +<< +/K [] +/P 1477 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1960 0 obj +<< +/K [] +/P 1479 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1961 0 obj +<< +/K [] +/P 1481 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1962 0 obj +<< +/K [] +/P 1482 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1963 0 obj +<< +/K [] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1964 0 obj +<< +/K [] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1965 0 obj +<< +/K [] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1966 0 obj +<< +/K [] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1967 0 obj +<< +/K [] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1968 0 obj +<< +/K [] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1969 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1970 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [-16 -142 1008 858] +/FontFile2 1989 0 R +/FontName /ABCDEE+HY#C3#81#C3#9F#C2#B0#C3#AD#C2#B5#C3#B1 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1023 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1971 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1972 0 obj +<< +/Ascent 899 +/AvgWidth 890 +/CapHeight 771 +/Descent 205 +/Flags 32 +/FontBBox [0 205 1359 771] +/FontFile2 1990 0 R +/FontName /ABCDEE+Wingdings +/FontWeight 400 +/ItalicAngle 0 +/MaxWidth 1359 +/StemV 89 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1973 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1974 0 obj +<< +/Ascent 905 +/AvgWidth 441 +/CapHeight 728 +/Descent -210 +/Flags 32 +/FontBBox [-665 -210 2000 728] +/FontFile2 1991 0 R +/FontName /Arial +/FontWeight 400 +/ItalicAngle 0 +/Leading 33 +/MaxWidth 2665 +/StemV 44 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1975 0 obj +<< +/Length 14571 +/Filter /FlateDecode +/Length1 146988 +>> +stream +x \TWϩ*VQDbSAT@q STSIn;er)A@.zQK +zBAQA՝L{tuL{WNALz|?O{sra?=GbF +ݽ^uuwWI ;O.BHUz7!|o{=j>D[^p$#tC{-^K{y.Т/E&+ "[u$czo?Yh1Bvy?GcQ} o$#۳oź QI{^Qc3%{h?x}{o Ϲ߃Ѝ $|ntG['1lE$J +m$!a58d.}^}O'O*#}<I%xfegq7zEjg}l;~wHLB>HjII$!q4JBi(BEhڌGh/ҢLD(=A>R#RCcE }x{yzI"d%Sei3)gL?L^*+tΖ,Y8WZ =,bGjz]OS7@!}ω( avc,))(%%3#./*/O\DC)Y G g{鿐G1B{b$%3/;7&//ϰfoH$␙>=0 \rrL&x7MX#ވ{BW&qp IsscMzIbRRbIX??zW}o7Cx iP`G9 9bb7e=4x}k}XQG+?ϋ~:}#~ yy!h')G"LYps'~9g+ +ّ/@!EaK&5d|YD{K0f]N/,߯)(,,/XyoKHĚרW_7_j{[Oo!^[E^עYܲs]%k +$2 n !b2o@~%K,!"/2pg}΋ʍ"YO{d?Sxއ+s-\=O&!1[៺bMz,:7D+{95%!qSҐp@rN. :׳$'xqԌN؄'9dߕ?,?#$4o+X%V$Ư/OI* )K%oٔw7g/ IL)'{wU)q7z^Z$z( x$7boBּ{8~p+/pmV>dmz;צԹrtm[{w5\/"ku ixQ! ++)8FB)fߵ7RªH|nSQYyywfgg缸*1ӵqO+b~JIJJ޲%#==9gNN $8@c}g"ы)/&hV`QQ虓퇫=Wz0=-T &+!a󆔔Tٺgvffࣵs;T%1镌/oqډvc9+;&y-" s'aNl'?**D%~//w2%rr®&͋=FW5ey r] ?FoJٛӖ.^ʚqppFBbbȨœu(;3BBq,/(}ז<Ҟ6ܰa7ވ\* <$܂ظU^9sI2%iZ\TB%mڼJ&ST[o-TkV-^:OOre - yސ95{н4_c/rfOH[i|ndcO E;ڟܘ ^c>Y|e^Ţ:> ?w>vb)X@.cDDVɜlٳ6|vDIHr"wyf/Z#Ů1#sxd=8s\'>Yផ +bH._>bYX@j0YDJ`PzrEVfBNveفbc(x-IIi,]&[#I<|DG?"TYxXj$vwQF$:TpD)׶_sDpժiK)ɔ2K9 9۷hn _+zig;Յfnߋdcعsw]w_Ԗ-ɞ$V^aQS\|;YUL3{҇3pfDdH9N_T^ʕEYY?%B÷?lپtiϮI(zus`2 (-. +^pv?U%u75EwKK]tLroZOP@)-Bf'm,ʕQ+l#B?]J1R]M\E{_rޛ;fkmsع{04Sqʃ;wEt37yPy8+$XN,la|lTY +7lFE+5MSd"VDBJJhhNVxhhh.(̹f Ï;7f\&{fma`r|li>+T Qli4?88k{Q"+u??XU +XS._& Yt;DHt n"܌? uk~>7%%"_psq*zX ĺZ0@|򝚗~#.G*>\J9Ɨ[g 'x$lh:r3m_|A^?oVuPF:q~_:EED_<#꟧?I7$_vtFOoq"ZAc@ @ @ @ @ @ @ @ @ @ @ @ @ @ @ @ @ @ @ zBNq$FXE%aee׳-žg1JCr=Kㆂq4׳īf1&IHB8m$QEL(1E ɋ'oh3iy؈$E>LiLH򼏔1#E%y{wfJ"͓?@g6ブ!KCDPj=ϬStx80YT{%Z#ټGБZg8D¶';<\zxm# ΟO#yGQ5Wd|]%ϓ4B_Eb7>L7Ec,b,vXݰ;r)Z% +^>Ri+%Y8>,,cĥK%qq~ -Kr\e^ޑ))z- +[$L`i@RJ}.s.J#=BGDKFEFGyńƸR\=@Gt]>x/KL\"E3QfMcBoo(pADRR7EvG.G$.JIH+nbLD_-7&55IEd#w|_LmN('299=#7h&ZMgxW?!33a~0): l&6ccLmт#/.=="7(Й(D.ߴG,F6נ+lXűiiI,H\2E~ez,gŕe<\o.\_Y,s]2W>?>5~jL3ϩϹrE\WΔ|Pn* +uBWT|PaT*JuRWT|PiT*5uAPT骘*LU*[ZYT몙jMՖj[FYjjL5[s=jZ]-SBRku0JFh0,3cb,q)u:]SuBRgsz^=aaaa0{?,6v+z]=SBRow4( ]6 B`kpQQaG#BQӨkdFQh45Zm&eIӤkb&Ih25YlMfeYӬkffYh65[m͎ʣ꣚Q(ThQhZt-L ·-K*Y5au,ò, +6ѪlUjZuL+ʷ +VKqLyL}LsLw9 5uǙqptrv)95tñ p6ѦlSitmLƷ m6KqByB}BsBw9O''5'u'IptrvѮlWkuL;η vKѡPwh:tLwKqJyJ}JsJw9ŞO NMd;Niu:].Mb.eu9nMfnmu;N+OOkNN3iGѣ0=l#z,=GWݫ2l/+z-^ {?#1qUU՜՝eβg9999{?'3sJ^kx,o-w)}>]}BgsAck yyyy<{?/7w+~]?Bow (3€i2`p\P^P_\]`. EEEE"{(\4]\]t *ՃA 3 i2ht )C!3Ci2dr\R^R_\]b.Keeee2{,\6]\]vJA-h `,Mp +Úa03°i2lv\Q^Q_\]aW+ˆbD3aF~D1XFl#Ҩ6j:#cdQ0UG5QfGQӨe6긪\eWkkkk5MfffS4c1fDŽ1Әe6W5qfDžqӸe6\gׅ CaaaPN'4 f' ӄe6ḩdo7[[[[[-Kfoۦۖ۶ێIzR3d&I~R4MZ&m)zJ3b)~J2MYlS;;;;;GewҤ6iL:cbMI0LVN5Ӻif槅iӴe60+jƬ33f̛A*ǘKZ+sy8*BmEkr}9Wn(7˭ +EV +Pa0WX+않JU%U+\XiV*RA*m] U*s^VUSjZ_UjkFQj5t1k5!!!}H;d Uk\Xkb(FЌcď)TuTqu:cZg+*=i^ 䶢kӇÆzEzPo7[ Uՠm \`n6؏(PGG##Fm#ݨo FsޤhR5QM&I5M&kYѬjtk64fQQQ(}T;j բm[-\bnYb)VҬXkdͬ*ZUTnշrVcj?8:Fq 䶢kǹvN8r4893gm6Uզmm\fnO(NNP'' ړII]Ѯjڵtk7v{CAuh;}a0v;SSS))w@n+SIw;NCiw)T]Twq].ceVtnm7ݭ ns~ZqZu:=M֟NmEh{}c1{=^Eҽ^k5Z{ggTg33,uV{>?˝5ۊ:=GӟϙYy)^Ӽxoͼ)T}Tq}>cg7( *eh 䶢k~E~o7[5܀a8`/(..P ڋEE}P1~4 ̓AbH5D i!7d2CKKK%%w@n+2}YlllllJ@ z Q0 V>V SazX? a +uE{]1ۊю#n0b1XGFQeZ#m9h4V}T1F~5GͣQUUU*}Uj uM{]3\3^3_^)TcԘvӏqc1y:fWƩq8= qu~]q]uN__mEޠoop7 7777 5'܄a8aNo*nnR77MMMMM---}Ke u[{666߶޶O*&UԤvOrIy:iRL)= S)u~GqGuCmEޥrw wwww&IeLZmқ8d4MV}Z1~6LiYaV)Lfl tC:/%}]JI_;;ۥ[} e_k\6ooO_0۱ɱǶe]+<OX{@q@V}U?le+e-uٯ~Qe2pSV[V]VUVQV^t2EYQleيåLimiuiUiEiy駥JE҂ҕ+JsKsJ3K3JSKe_ѿ)K3t-۵x(5B!3"K%YP#K̘\%E() +J+nm7Ңͨ|}^뙙:sg'S!KmmIKIoK iK)͔fHӥ[RT*KER4Y*uAj/HVR )2vJJoJOʍκdyW#!tI:%vI䒤Y"H%bH—$K8H”0$T Eb+XK$\bVB'9bH3T1ELb+)6FbCX_'ZEED͢&Q^tFT' SQEQD8($l6 3:aF2T!EH8!V  BPO#jՅB༠I  j5U}@G- TL3:~-_ůWq|,?__Ǐ~$?k|*"'KMIJ$G&G$%&k$'&#ao7{U*y2^)W+by1(ɋBK# +n[-r =(.ɍqC nw9חÙsq898/8#agS)s8N>gOj5 +z@OahP1TBP>ʃr(ʄ2`( +C yA; +_GO! {e^l;ەFAS0k5`=dgcfzY=I>A)d"@ƑrXRtTG%ՐNNHD#aI xz:R,)EIz$6INR%!H0@ 눵I QbUjQNT%"0"@ I QBPA(# EuXB !!D"aPB0!J@` i"i<]ҘtmRT&)2)"),)4)8)() iy, OcWS0_/×EB|>G#aP|0>_.Z+ +q|\.ƅBq \n9yb =oO}ڷ2yu.ZkK}u Ov}nR|3Ԩnwh]i|n.$T^'z"7\x]osIFxHS³ݲg1~qCÖ@LaiE2Y] i}펜ɏ+^[l w9툅?RF8R!,@Ԕ)ՁZGM]說Pa[BQȄf|-eJ8lPC! +#ʯH7V ؖbk16?I j~^j);uZhxbѭVT'm`4k&aFE$lDؾsȮ%j Kj@ԙ D_R<~\T9mMzѲՅ{zRkǫ[T&/޲꺚xL&|5I(0C~y`VoGCOgY$r$CaaDMCH:ሚ}l48a:D/"YD5P ɪA"ԧGH+ \ijs O%><TY$+ޙ?bn|R,@*Y]͍{ ;=kc_XsnJoKJxC5%{#PV*,4z' >FwmU^o +N6zcrW(PǍwkXDӼL}_T?tM&wK6̒ޜ;&p#f kוjͭHw?i(+e%J!d{AٷRD~HmŬEU2ji̪?.~9[Z=Ye_[xy/YWC`E]~6*tÌp#bx8dO_^fpWq 2תe3,CGcfDں XI\@i{RwtE+ R[_R{v/ȡ(N`Lit|0.~wG K<פez",|JEһ:X]S\on2uoъ>Movi|:S󃋦è,ۓ]exT]$ MK&6x[1a PS9((Wi|J? aHLśΘ~ױWu\l@_s4G_T M"TAY@̏{}S xc/Eט4Ԝ/ yľ;!lxCmy~w8pڕ'vL6"ս_ͻD*3\ 2~[_[,.~Uj^&b &sYYZY6 DϹǠW6xf~GE*<|N3weIԹYC%I6y }$^P9M)ɡ!jo;D$;gVik-Dvp/"n yh媺 +0{4O\Yʲ4 :)U(}?3#?쿆Q<*ZLs,oй {W" Wm_>tr,峍]]sysfHхTim1=Q7eŞ_maGAU* /0;fuʨon!D݉m{yPa Z}1.i *v+Y7GmkՖ6X23DNd]$F o7/ɪթ[:7ޯVIiQ(9GqGDeBᯘBHHl.m-(T["dŊd^S %s/ȻhO)]"HT N INJsQZR)Htm DQy-rQWj:LןuFx0/VuP5ݝC>s6JڤM|zA:>ڥϵYhf8y>-L#Xޯ~h$ -li;N8qݾ^gyJg~RC-6i hY<-*)i+Ȑn?)mv^:ZMsiw,L >CX>\x6 %GL*bpEȥ.xU-LjT\I?I_CeaX-"mCЫ, I;}b6* )BJA3`U@+4*/\PVVdϠ'oCBxGi8hx8f~p؀.ԬUٗY򣗔~y}rk-.cM -¨1cTԇ #;=x-O+Ɣ hv +7|2TojsM[#{c;+uqj aYE3ZD`6\|ѓ9a=[Z#=&!!?헬5b_llTBh^yF(%JWѓ{/z[iI%/xVSp\,rxЯRQC.)-W(ǂF_*֯vˈ*JWQ"p[t{*_|/غF} +f-J?tx|e'NŪ709g>Qڛަc55:%sx`oe&a +E4tZ]-Kq?SjĭU%bP> +stream +x tTgw2KML +JdQ")SrZغF[*֞jZk=Yj0g{WJ~y`aR'Q1_a'$.]ukO,l_~Z~]}|"{GdqkE˺ϫiJNsA.֋N0[~ ;9}YdžY&f+7({˻:_{aA;^oĬ.'sټmr,=67d?C>{tf;e?Hѵ=׊,&762ўZfȯaDW7OMv|YV/5Aw;?fęd2*+Z#r+]b/:~J&'r6Ȱ9ξ]j[7*$ ۘDd)]٬/Yu№Ǐ~$S/5dWjBN1գuZ)7r*;S vUnseeln325|l4?֗Bsyd^0˝yt8f6,Usk^vͬ2t١ϙ/+f>AzIE7D5o#YӢ?6;LMy$W9Ü/en07 RaN5 btsNv&7kxc-3rLuQz.պ@WV~Q/%ڡO12^fIzS4 zvb=EOzV{^SZ-&Xկ#HAFNU:Jӫ]yVyR>Oސ.[ #ʋZCd6JV#ߓ;&y[/o+m|C.r@վ,OײQ6z-+[\+W3&ɃS~3Y"NyOe& taNJV-r6s͇_rhT23V'Na9Աh: 08+ץKKu^[>Yb^5#ʒI؞#VqRk#l]:FMW[ g`|K{h/-/4Ԙ[^9t{~{cJ˚&l0m}}&55Tibx|H ɤ}NZ4# kso3S%t߇W#};}'4e:y-kߗ|J3>_-G>O;$Q ;}#5ѨD۾񉎹5ѐFUo|[ݡ/[;- 1FDcu>Pnzlܫ[aF367L5zm]ܙ151{Ř+1w n.puO k̞S]J8젫 p nyuu.^| s~f%Wqnn }O6{-kx%󛷶9u_S.\׸/^4d9 &E # +#*T}( wD$\w{ɽν޽!u{KԡRsptrnqK:/WZyg!y Y3g ٙ +endstream +endobj +1977 0 obj +<< +/Length 12817 +/Filter /FlateDecode +/Length1 42624 +>> +stream +x}y@Ue\.x\"(M\R1P)PP*ТoaLӷqֵ2qirl/r[Km5e+|٪|sy>{ #:n8 Zp٬fG[pLI\@:0-@ P3TUEͪĨRuUe={^òr0}f6FXUӸjYm`W/<u_leK +k=КUuA9̿㥥e5_7L`]mCR оh|]}eQlO8k?[p9+pkʃ-GG߲];o&WuAe^^M%E4RAdX 6xk 9rj-b_󰀳iT^s8lYiS$hא:u!yB1 u3rØ.+=… gL׽.s"aX +{5tߋA]7x7bx-nQXfa[2“x#ޏ?[X2 Lp1LIމ +L` B%,#477 ZEƽV`@4 F0"h=,V6*߁l#:N[sbD" J.D7D#ƀ[b!q"z`Ɓ1$D/a^A01 ;`D AL I)0 1! R!1Ҕs0HḠ 3Ȃ#ab6d",S #s q R%01r0F.KB.ba\c q<=Gp(dxL@`*LBe ΀3a,p9lxTÝ,b(Dwa.\8KXydxA,e0OyC b9\XW)J@B*(GƐS$0a2ň`Wg5Ty C- +C\ WA&īX +5q-R`5b3\+F9Z:XM1͈^R7:VXx#\f؀x lT^[z6hE/Qy nU|nN q3)툿;#wC;V؄xܩ`3v Ey_#7>[y ~[w=mʋ؎x?Ao!ðCy{ĝ ?(p?c.xPyA !vÈ{ <{a'>xq?<'1?.'8ħ`ӰW9` <,98<AI)Ix F|!B<gxUx5xN9GĿx^F_xEy`&A| WxU'5ē:)8ķ;p\ "o o*{ C8T:c8 F< o+Sx3]qCGQvW!ykXy Oʣ=|CϕG@/"nVYQD<ӥ(} +X.T@,T\t:#:Kz { +i5R;PM2,Dz=H/ՔK-h?{I/^bЯ"`0 {" /jut*\CtL@ Y&y_"F7/h`4M=h?{GCw\L&"]vu?K-F$Z0񳗄yf"]vݼ}:0R?^j; T\X,K5%}a4MG^?{I/^¼ /!KzҗG}D4L`PMՏa EfC*W;n^җG}03Ayמ"Aw9;,a5jR.Co)707 3~xBϿGK^^щT7Aaľ&##!XS;K"7zGɓnB6"~ ]RRRt)3L\(S;K0cwh9s&R1CFH醏D(@6R8wttgԏa Eȼy󐊱si }HȨKrr` +#{GgBw,~#vXrRQQTp8ػѣGM;ϱ0 GCw 6<,…{wLrQٺ4{P#U^@ꕪ(L:zS ފyE m |B~,,!R~Ԋ4Y)w `m^Ƹ /0S]7ߚU-֍R`E=c(F^, _4M +m?+hgI|)|\%Pp;I]h[I7Vd]_ͯ.{Z[iecf)b;8J1)W3a޲qȎ! T.`FP9ZGiqsM,^+ROzBT ނTZZ֡4Joɓ[K%:0WFw‥,)Q뎳wNij:(n| 4_^`Jig!iԋss΀fЅ%ž_5*@"~&2n8qnj:Nܜ@u.zV#ހs%'6ɗ)[/[0dd S9}PWK䰺  +]\H|zgNgӓzlS.#x8j9*M=?Zz趣GזrrԦ4^*m+ + VVJ[o-ڼ5S[5 HŘ`m[s[ 'NFDƜ8N=Yz;qҵvwDww8z<=}xSrg̩NӮ}Q߷޷ߵgÝgnޖ7Gx7< 6 d5 ljn\nlܬys{O]๮YIinjfᑝ1h'!ch'b˛3ht s˨u斛ov_Њ}3cT-|( >_Қ+f%?[O5\50 54.Zk =Cp| 1|Cpm\KvGf 2~c?mFd=|ILMjl!`; +ߓb'>ROfk$pvS^|2v"qHEFK&h,r+zqWL.#1}GL cϑث[ȵj >$PZar;!]yP~t$F. [[ fë^7ty0)_ 2G1_F4p{}-Pí KX92oT MydKZj5Κ@0w"|OLb_7\y8B9-bJUD,g3RS|ۙRJx/O2.s1y@^+(vRuwf8E=M}ZP=B=͑E,`>߲%٨trs2Rr/ H"Jw X/g %R`\{, L^+"2$刊rEF|RƠh+7C5]%""Rczm7EFETm=Owsgm)_H\TrsQLm\sN4bxfF:>XG w:"K?C.oj۶;~x >/wmkrh_A,m{mڟ[bw4xُ |+1B$V)gs:sp|LZHP!#ʿ3NWI}r̩8E`:,S2{kP~!G>$ M./d&,u'N"gh9A jpzvJ:lJM4{^+9wo'r +bB.ێ\>ε)gi6p,rd%( "dN$5v*Aë#,`6g#_hEs֥9[(~%,Crd1[ +E>=sT~-"_8c5e1cAJ'o^nچuC@hm*MVzQ,DBGJjf:#b<ⓛFgNxNX"7\6B[{}z5Dkq%w$Ȳ+,YS`EDB!N 'N$,7ɧxI(*_/ɫHB^$q lDl6Ґg4yơ 96rѽ>8>I7iϽ<-baO# 7Q>BO(Fڹюh::Vj؉rg19,DHΚn| +==C9(bWLvaZ=C9C5:2Ʃ֊zo~>lM11' WH38pdX΢a|,d.CU5e -5R-G.w'8#HK ['^)"I }pIW/3Hn,oVR=Ħ' ;o(4>|_.\cjw1~mvl]e@dswdugtt=yc!CGGgqۚ5΋,:ڜ7>Qew]e 3];s.GrW˯m!M5jܥ,zZmn0(YVG1Xu.]lj UZ}YqܰsWGC{j4%>]Fu-#=,L[g֮;˦MWDj wfگNGK3ҵ v4s6 +⏞EoXtv5 +6;T?>f>OV-f3l 1d?mYv3K6q- )AJN:ne. cx-i??#+$/0y石'Bj\^#Cz+΄ҲpCkVNNe&Eg͌ '~;G҂MۋˁEkR\|/҅nM5 %Hj5OKy7mn[^r'w 'DZ&#QtOH{?eH9B/|W2d|X'{wWxֵ^ FH;Moaj C .-k9kqב˲8}=A[WZ[=`A.Z,)Vb@BUV==˵PuS+RaYZ4h- xKH B4"DB +!] ?Wt #ZĄtKaNHC~jH7oC fu=ڨ3#DuHqa !GwULweHdW0}ӯ͚zBKgIeK/^*m.2~T@UU)M\XYviieR^m}]m= Vb*l4R\X݀Y*+$, W7TT zIuV5JSkj4,:Y.]Db)Sj6%Ұ~PYbʅ˗Ϯo'v &ƒTz2XKa5͇?ij;~&2 @ +~ ?~/ Φ0 Z4bUW c9PwxW ex6X_ +u1YSUbRLшykY uYlXZ1XGW͕5qm@pEVf$KH &a,*-hݨU낊+->iZŅŢZ.uZTߝK}c f=9?N\#"A!AO"k*|?_ ?0=mžk{NgX5rfƟ@vEw&Wd 'yU v.c7PYwHQy<|ǺB!=O'+a]mPVcOK֙@FGn[)%+al;|28( zdɼ;Z_[[ZIfbRǹ`ƞä;IcRN^>&^xڛƨ/t:qzl6 s,}8ΪR0ϥ%pCPi -`<79F 0Ms7:*;1ӷ1vɰeho/aS/G`?lh *Cvez> #DOL&Ԫ7 +JV( X> A"![ nxxTt VW`[7Mc`zla[ o pq1xnK vO>4on0[N<ǙI{Ҕzfoƶ>|Q%swuo3 ᡑ![3TO ڻ)6șz:eFdXv15ʴJ"fFԡ+;ӯ`+*O/l^#n.|@lQQ? e|mSqCT-v= sDWs+G[pn}p*WYGH0< +Wd" (^bS1aT +p`΃T`nУb8+ T$I!H$PKT0 X$ +@@DE#ۿ>S-pj}޽ǝQ]<{b~ZȣW/fx=kqf6e~ͺ#kr:,[`}!Y|o}t{Mz՛#Bve5Xv0-)5[NwzDV?aʷأZpmwwNdw.Y8x[>GrUzһ>w2s: f[#Xe7<nV{qc߫UP6SU[F.["dr_^uFtc7'ϭrww"C!?6s=L|>q?MmSPN@zFͮ)gk'rg*8Hc$J^=f׆Kfֆ-Cw;ݤV4%y6/&y[V|@xKfmi A/-1r7)I"fAg>ЦWQˌp 14~po;CLYV~oqw{__Exǚ?㷤ycH&|SOg2#"D5٘kmK}KfU۲0$q>$GfvXd /Mﹿo$KcG]G#.c8gu_$bjȒ~1|iE ,XE*33,>)\>5_XGFX!πJŐȨ0(pyceGhȡ^JG!1Ш؛qb pPSDsLs-L_gv{>hS2u+/dfedo}PێM \=` PQjYk3k<0Z $p^+ZQAZsd1C# q+MA8[xBѥmUljJP3 r)Zn -}#%&A VplLO9 D=˃b ZP(hH#LZф1pL4W9iHpD {BuڣN{H$QR9wPR +KU"P.CBإʤRP\BZ.$@T QI E2*URP-JԲJ&!͔(*iE^CJH-I8 ]"WGHU* x$ԨSJ` i$MϐI6K2 + +L\$ӈ -ݭ\`wD)JN/J(YÓw!!642(3* |8Ljn$ RU`T(!rZ4VRBba0EC*K BKLfZd4`!>Ů@L*׀樨D 3tE T0wXBXlEtJ달TZ&2g TWgnYy\8 &+ëB !-""=Qj]E;=evɪ=j?;+W14c1si׶& +ͷlu4|U+ym{X{ׯ6_>iЁ{ؙ`;NBҨk*[ܿk<+@D 8{ yU񳺺<^\o Bwx_.}P&>gOXlC£_sEtOu}y0}tv\[WyI"cwF뛼BG>K :DUTrٟ;kvnvܾ>w +e>+zQ=\*j ~ SAcڿfy{ƞ8sg[@:@QWKc&M|d{oĻB[ ^iӧ-~<`p^+ > +endobj +1979 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [0 -142 1000 858] +/FontFile2 1992 0 R +/FontName /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1000 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1980 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1981 0 obj +<< +/Ascent 905 +/AvgWidth 441 +/CapHeight 728 +/Descent -208 +/Flags 32 +/FontBBox [-517 -208 1359 728] +/FontFile2 1993 0 R +/FontName /Arial#2CItalic +/FontWeight 400 +/ItalicAngle -12 +/Leading 33 +/MaxWidth 1876 +/StemV 44 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1982 0 obj +<< +/Length 9541 +/Filter /FlateDecode +/Length1 312304 +>> +stream +x \TUs=0젌,*rAq_p/A!5\3M+5r-MԲ4E3ԲR,\42{yϝ {;s3wf.3b(QZHKe5BWM"oD{'t BdS)̜(BCiIjSgͩ"e-.=b\B|2 Eo"L;f.?S.AC +et㷿aj:ߞ[콡>iN! z1_+!"ZPrD~V֟l"2l Fx̼}6=ՖH~AEUI"To/(\pcHQ X>jB/~FED2#?1МPzɵ;ҦݲU 4|Uv'HUd>%li[=^S14"c]$O +_9!a6a>y)%DI+D\n1zv}vD9)<%B꼄z:~%d=o/!Oۿz^#%?k:_ǰ<F!FBIRKDDH'M +vRZ; 57TnhHpP{`Z~>^6wf2:FfT١{r(wcMP\n oޖ%92zdb$M|E+-WDuV$usPq0\"܀Fֵ+qz׊4^aҨz?Fuk՛6ō$V|%zޚUfwTͦRW7WTxsހ: ;++Iʉo9)'īxrb+'ZN,\Nʉˉˉhˉ儕ZNDJBbFj0srS_TfxUMR D/6 ^b 1QL[ b&81J*~#5b`TRLtb&'夛L(ץ* /%a,$:ix43Q 1O#""Zձ>1rO\\S=9*D$h4 +*,f/b2[ކUT舍I +F>UQ0I49_"g88(I읥9uUs88$o(&;4i6i\+;C;Lz JAo4IF=65B_ t&ߐgO ~O[OO> }:}zzT/t:Qo%]j`PWor3v ,53 +1Md=*<<*gTXXO:[Q^^}:ݹOpN+htHjbāѻ'چI%4$Id4F/A[?cx*+Qhr=n x)uk:HoWR7{pVhe&k$*~?~E!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B$/@sY$n{ײDa21rõ,׸^C\5η/ w翽)eZc̯wz ͗,F$2\2b~];IFCz~{e0sݣ!$Z%;&qf31Qdߞ::ηd{̷ڒͯ-K|Iv&_ί'CkO%S5B>|ǽ|>׆Aw~uu.rN{Ebkl{gwQ}^ Ƚ|H>y̧Nv^W󔐅{n7q:a_u\0:8Ɵk-}ϻR}xS Z#?@ݧVwǼKNTr:f޳]ըjs:f1@x7?uޭnosb=]__ydSʼO#~3yrۣF$5>{;m&>5jHZA=POާwϛ] ?P3"3B*EIвzhXZPk$j5V7QS;~C-@Q$jszA| zv%'NJN\.uZE z6'+4]MI*1JAVXs[cx#I/ z-Ð?mFA4|FM7*ֺۜcYݦ5 M%F8ohm--F`ԉTw cD{@Gz\۴lD\5RM^Jsp96 d.q#5Iu[E[ͨB}%&`EJCJ !oML"~4fA2kP*5XڏM\b$xWݴ3S>m2Y՝}(y}Yɢƨh.X0ۃ +{co8V'%;vb,̿T#otuZvS_g$U`1$uF(P|qF_/FdzX.J6mUUt1C}%^5f3g(>m|)y>Y,nl e#mk#xgά)￿mⶉAHQ(Qd닩#‰MI9y( &\51*vy1/hNy<^cw&4oh&N(=Tnn\[sj&h}nkF_ !z 9#u]#[?i(ۖ!.6]p#l?)$oiL{3GIs@Zow$c٣l{=JX)+c$6MaS4Ξd3Lf3Y6esl>[ E-fKR-g/ +Vr*[^c5l-{ֱl{6wػlc[VV}}v]l7>d{>}>f'S;sv}dGWkvg'7[vb;αyv.K2®kG~f7/&*mV%YEYdYZY'elMl-Uvm){޲+r\K-v$!r\W'חp9B (YXn"7cfr/7rK9QN[rZn#Svr^ w;ɝ.rW]!=^roW' 9S$g`"&StHJZ:&NHHJ'SitV:'}/.ȣhڔ49tFt&}΢,Cy9:. "]D%t)]Fӗt]I_h9}AߤzEߦ;]n-t+v>A?;.ҽtO?)a9=B_ң+5=F-=IO <@%z^W5#^?Ozޢ6b Ld1i阞33 2wfc̓y1o|gaA,PVcY g,5` YSX4aXcք5ec9K`-XKȒX+RXkֆeKcY֑ubY֕ucYz^7~?{=,eA,elrX.Ɔ,d= +Y+fh6uK9VyN뢔uuSM$h%e~ f D)FYEd(>BP+ +tIwY㚀eKF D'd0)?_RwoZS9_ܴזylVD'Tٌ/?\4ᯖ+;(N@,zW=r**w\<&px0ܪDFf5T7zܼřy#{ .5=?8ѹcZ䴎i}RRtMo:^?+,.~>ަXItۤ4VؘFMkst&?O䳆9"4, xC~sm=:Q7vnmQ~7^z},E}ijrga0V6dS#s:σMjڟ<^ ˆ)S~ECt >[<:D߼$$>̞n-cVSAE?a좗RSX۾M:ĜG;g^ZͶ-66,.uǽ?:u\ڹCOޯТѺ56陯nl˩7^qOoTeꞟTZ2**e%(E;>AvywGe7>/_5q;/%\𻏬>0Ȟ?>h=؞S\\P5f̘CQnsì‚LuJtt=%Td}G A} f¯׋e@*gN=J)?/X۞<9ŦzL;?g!^ }GNv|k>yzüMIGMywO.&v|!$+Ye^ZٞgUJ._DgٿxòGw\ҜΔ%nĦ;nJO7~'ڕJvQi7?u} G]4LU[._I ^KeO҈ۊfvm8CXyRqgEF;JK7*$Yi˓`z]O +N +~Rk3G):Բ7QZvBQgCƔv”mi%\_aQ +߳ԭRU fJ%QY.Y>_bx~桓0cN[MoWb|r|fŜnl){(<3ɦ`гkX:|sNy/n7X[TŖkVo\x!|noOV?g3FLHf Ǔ~{(PœoqCsW=:#;f)48{}K~afqn:JjTqN~an8ןhEucFѮݛt޶3ͯv:}e-{~٬$gz/aJœ`Ak%3 Ϝck! ]p >rqC~ol^q\H|ry=3WMX`Ls g7_5 ZxwOGi1?#Vl?4G֞m=Q5BίWWyϾyuH:kzUvŧz_z1[^/{{+|(͗˃u=clޤ(/+W@K*ϕX([(covzj¿+7qI}5T̼O6 +!GX=xaoKY{Թzt7﷪iz퐼=ʏ _GlR0lm.>w~Уk}wGĆ62xPs~Gh*˼o:{Wz-{nZa~_RrBZ7]Z/w}vcSO2%m6~+M;䛃+m~`~ٌ'sEQώZ%6x`aA +rzHW8-(;+j‚;g~urT_ƭf]Zt/qkASfwfv㇇ 2Fl9_жғH)v$TeB}~gi@|˲_:#`Fq7s@Ln|`k:NI8ɏYҢd޵ueG;/빥ެFWO/\a7_r\z~źsў=ZӭUEz^ʭC?|1*s9úfz_gL8Kq̓椡b&1MIԳ_#~k¿JҿHۏQ ދ{ŧޏ- 'irOfK:$Q. Dž]IzvmKwW/ć6+n]/laJkkfl4J(wćayf)<|a9uUNҮJg>fΏQeB?" Y};HT9eG}P͍S)ۃiRe睥/'Η:@RX|J+]Vሆyq|*^UP=*(J}NioͻqJl\iKyy]_7ĮϿ1|0nrQ+ryqL眝وVr\CˤMgoo m8|Do&Ώ'!07xZFC!ŗ'< m{yt x-Ph eadecB7 gc(Y &ʂĂJ&!K#C{'q p? ߝ/?tiL֥ ;xZ-|g#փR]:sTbމmkm|#iVs+=kxo۽ޮk͍v6%O61T&s\]gղ?z +מ q[uv&o(4ͺM_m=Wy~趟u,\Ӫ:>*zEh7֘-,ߤyf:kӷ1_bm&&&&D61YLx2Ɗ# $+7bV28 +#>g~pPIY/Xݗ_T_Zgu'2]:+ +2_> +endobj +1984 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [-981 -142 1044 858] +/FontFile2 1994 0 R +/FontName /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 2025 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1985 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [0 -142 1000 858] +/FontFile2 1995 0 R +/FontName /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1000 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1986 0 obj +<< +/Length 34499 +/Filter /FlateDecode +/Length1 76880 +>> +stream +x{ @[ǝC' !nB!@ NOH6I0 6vR'A8="'mlnsHۤu{}m&NݯWbgev{3{BB( +.,ʫjn ka_Ek%.674u +-]ՆT2s]5߫DRޥ+Um!D]tm!|Ǻe jJJ1.uUbBXe܅e|c`Hz!Ґbiל0cBh@;mhijy-qEݣq1&U Y-}0Pˇ4:Zڍ:=QhVzq8n~M5Ih;smgc2sձ .?nc;<^8q ȱ6.9Y-d~ያy +m+ p,cp6y ~އYT@D(џFqPY϶+ =4<*SR/^'t]8 3;b8PI49Ŀh  Anz\cT*s5H3Jf}`0b'CFcPNn.Ns"];zwl7vuvli߼XUPjԩʸyxXT" ,CS(^y^Vnjm BRÕc\?]9#iGFRrUdsjJ@m0ADy/&Rg +fpqCu {i.F!P 7C:OeTRBgԗ8 2zͻT_R ^^aWDxqN3;-h?+ԦYzM^oD7S]<80V{sMhjn7W_|JOjAM\st > +r#wķ94$2^<Qt㞙@~ +;r䣁s^F?`w}I]Wmkⷵ|['vCɫS~8gLOFzQ?˫zqKu ү|!V፩hLA?9șU^gVf%ܛc",сrF̙Dƌ. +CHG3Q(0 G|hjp6%*: 4^q/9t弯jhP&Wo R +~nIc_ 1gS l G1-ImWՐC-&l5okcD۟%[h%k}lJ ĔI{tUws[[0g!`BmBX ms K 3K%W}Pn-LDNTA,*R[krxjΫŎFjkx2q[MO]_c>}g8Jc*&S'4d|3FfH/Km@!Bh^y<@4#"7l86CKfP >RW"/|A:xn0LkԦIR:mJ;Vn=P[l&h7][s L2,P)(dC N/KEza7G:Y씌=+…ԭ!*Q#OPz~kWVV1lR^M;{wSI3ڭX } TMuҟln@%A5欬q +T.^=㞉,1Sw8t0g0m3öi6j,.[ e +:8`9/(Cv? >ŏ3P/ Nyp>Q8י?uM?O|ߔ*bOϰ +6-A=DS;ׅ @IE)eL/',f|( J)PuL\b\ΖWQqbRT>]+"%?PESSWDEhQ(ZmQa%]l0jթBQ/H]jczVQʌBPVE}L  *YVݗ&j|+T->Ty379}ΞJ5LU(ttOڥa$Xe d'"c2dJ+"Ň% +i䤌c$IJ"řCCB>B@ǐ̏"E22~Hz[/4g ϿuTz>\/Ew `D$iT+R(SI&YW`q # ~1AǨg}>rrS՚l+=}ԤzL=*r-5;vlۂ#BCP2Q1-F$/͑ģ 1k'*:5G_oߖZjK's;JIO4+(6޴e?9*r6Z[;oqggRmT'EX),:JC9-R)yvA>2'f JboA|B2TXH鰌WON[3O`3 $nmw[ɩ2[& Q5>~^XCTSM>-3tm9-"., +y + NC//U]KC6FW4gr k.K_v'E㷵OFt J4 F(%:("苺@HdPҗ^|I\+ƊBh!羢#O(~6è'${EA٥ fUJxHd`Wb)=I7I$ V`LCbry^^"w|81H)V$p+`VXISy{ڎ={ mm OaM`?$EZcBavI.,Kt$D4BUD軓>F WSn=vNOا0Y((KDxd+dl(p+Zz# z̧f_wR%.pb˹ls +=.<>0SKeͫ36~|zLH/}|U%dz{b4y"}kWEFW=QOkӋbȊǺ +V-T?8]tKeVգ6E_\TyG.gI\qOU(ذٽҔyebN>Ci!KOM(9Ii[z}S9^ n <ɯ1Ð^A`25//Zz(Ry׎97S98 +9 iGX5+Uo O$;bIckR*➀wx0DV#"LT)4]hsdcV# +U{{F5Ml5Eu降 WPYm{v>qJ<"O(⑴zmHCØTe` ;lcUdtdd(D#:<aȢt3ޝ?Hd %^`s/5d8uZN \> {!S #CU Ȑѕ&u''Ku"@CG{hah:d6tOnv7llPlܽ<)RR.ßo,.>8 3C AszOyǃceʍwʦ9gxK\ɨQphZrxxJ4܇ QǀGt銾ӻ6mK[*wZ<*M(1O?zlv {R}V.h<9 9M!}!!AĶ?Du"U#qVD +9f+9;4tv$p_i:~q|==sk>þ~IEP*(_B j;C_K8FEb.M9 +q(h`QJ+PEάҋc1o +Z{lnWi)Sݹ%?zإR'~HgՎD*#c^SsnK^ϳ%Vp +Zu9R ߟ ThIh +O^h]F^"C-wUb0,`LKlϾђpI] gBCMt']jX~RKR?0;{fFǴ83d(v{7fV6;OVٰ +jCuwk59ƘAAҢ*jjQ("{DdieЬB9pfv͝ؽ:򘻬rOwuwa{F]7^; ; :-.aCC1+G:?/*.+b׸4x1KE=?VJ}-Rx8b | xQ t/cm+D?1Q^$rWH?]~?3.|,紳shYރwn2˛憚dlRT^ ˨fb\Ze2k.nj" +0Cw]!cׇ [ig`oyhelJLS=ȫ'`4h,VN2|o0T uPzvQCtHb4h1TO%3Y75)*~htaD/=2δb c BtL2Av8ۤQN3 1?z{S+{VtOU((@cYB<Qkŵ 0l_0gǤ*V}^Ro>س{ *seITƮbD+$3o 錉EEbhXDJXP17rUtU]t_D +!=o:s ;)'zpWX׷A,q6k",;Qm?NT(B[Re3lH8ÕuqPMk̫Y\dJbpcXÈX&$F!. +[cN'(EzB]*q'}yѱ瞛x:ɟe[jC$bz[ ~&.G+uL4釣wyw׀@OLӥ;fgmh[zn߭-m)9U9KY\ߔӦf%%" ɹ*R/<,몯ߜ;9+wh- +se(\2|dBsIdkZZzh1]Ĩv6d7U7ed85#Ui:׮jfEzWU-0xǮOn'Zbf&ַ4 +KΤE B bv:(~JPɘ#k|:9$% 0f?s>L 5A(Ǒ;},Ivf /P +RO P`V>K' bjy鄂9&c͚  Ui0HX*~Igtm~6N?b4gf|!!b\vUҙ<;-8"&sMӦmևO:t# @rL`&:?nJ_ųCR~owKw톶2u]rJ OvV9 i7o:-w.cU+mXM}dlD+" -[%Ha?)fBB%S .OHBtzB=~s6RX>}zgY[o-7џ#Ϩ7F`&]f³1:NGJ /`|C}ݞUcsXe^湸]:hz7ć΄Eg,Z+p m:Ef㏂Ձ5O7Fu324F QT|mwA1TD@f.*7Om=WPƱ4Xeu={{[R5&:6N~sb6*&ܹwHOdהr寮yus奫 VjfKZ9rFO(gSY UfR +:{"1b$R~.͕ AP&"?0fr)*XY,FAJ~P)dݲPdsr,ߖ^~&_2}O.e \E(vپ}2ԷQk՗x ˡSQහ6rePb738k\4Ac 0.BG/b8u J.o﯇ml`n(*`_behX4SuߞX_gP_E萸_#wįRVJ=K[0Mje0+~뼟:gׅAt|3_ץ13_y?u|C(J 6!'"7Cp"j'FAUa(|v"qҲ#y&45 ЮW&__F5 })x<X ;=,X2*֍:Yw<5%6ꆖwABZD(Pu~ Ƃ,BkzFN2:A`V2{ӶOldcp^KtM+̛`_qk{]&+=N$|c\SM\vʎ m]d y ƕ᷾ \K|z7s:Az\כK݃d q${7[=Nltk ĨsA /m6bg#C:mWTw;C.Aϋ +ä2 ZVp>ݶ|hvyխoeR `Kx[. fx4%rsyy%&=6>6jܮ1媇Nc3uv[. wڼ2a~Eq`y:NϐY8vq[l{7{+9G9`u:=0c9˨M ƈĨ綀VvY}8ss vZ\.m9=nu# .(l>稃Nsf=  ۳ 74iaG,qA<ܜ x￙kՂBPĀ0QgWZk4,CHaB.DptTv.c].wϷێ)-hRZ K%>}sXϏ56~sI|5IH~>cvcYqoo̷̜Ӱ&Ҩ?fay͌UDu6?noUj gUW'm;~ખ4AכZ3/:5WΝ3̽zތWWmkfߚR5gF{BM[W͘_'RWkG\=o5bR I'/<%KK|<3y*sP/__/*1Iܳ +VZڇځW6o_I ˒g&7\4%K%w~bOʧo_ȡ}_/HB,֫Z+UψT bk7?>`+Xws7!r8cUqܘчVw?{x ;=U?w]_?ȾP1T=v}TQz>L:抚k4?w1 &L0s> &<;5A} '6&|:GMĥ3oxu;?x6}Rimdzka{mJ۔S=S2;miGOKxkjmM޶_=Sְ˃ŏNJJǔGk]:ni݊{Ls?_G]i}T5Ƴ:G?KOL{}#k.Bڎmm[֩oV&>?:)mm׾7uڡw˅l%-\EꢪE5Xwֺ.S'-o)m]笘ٴB_ۛn}yfDR tqdTx('FcxFBc8 8a,c'Ip2T8jM·2fUp54ِkh{#́& ]AmBb׀k2,VFDk4@+۠:`!-`DkT(9*'ɼtu|mu'zRd) +QFj.uAd|- +|=,g+YǪHXߝz=w/V]|o|qStU֤ᑖ8FN꣨[dCP!hb}a?8l ߅y0D>ӟ>APH$ynwK|%j(G>Ei(D=0n +xK7۲?,b?,b?,6 !I?g+QA9 + + +¸(犓/ id×z:y=ѓ8 8 8 8 8 8 *7]߂Kp\Ww@0}ZxwYx~-k_ Z«,«,«,«,«,«,«,7ep J&~^?Yx~< Qp110Z@'Ex |lW|v1 CU@80*\ E\E\E\E\E\E\E\E\E\E\f@# FWr3 7r!7r!7gM|gMfy;˨LUMzZe%xZxZxZxZxZxZxUq +9~*0 +0 0    p pwՌ}Ш8Go߲W`j?6!^,E0Pٟ2j[e(E(@G: +Q(@G: +QHFJT0EcmPh[h[H[H[H[H[B*w?x^5iO}ZjVڧ>166$g{E nvX ͝<wzjrq/~~x xcc?<yx1E_~exzU=o?f#OOOOOOM88N`2LST8 N3`* iЙfE")HiDJ#R҈F4")H1+2+2+)HD&R6MMMMMMMMXh6=n6=n6=n6=n6=n6=n3T2:שdt*JFѩdt*JFѩdt*Jf4hrB'' ' '1d 8N qȾ +HjDR#ԈF$5"IHjDR#ԈMdl"cD&26vtjGѩvtjGѩvtjGwdm*IJZ&T!5;l]x[} [CjH;Yq3q3!p?m|2Fŕϸ3.VM0Hru]^c@0GG?q|11111əə%dQ\2KFq)⬬K|f$䒑\2KFrH.%#d$Lc ƆX+v0a%` tZXEwnX=p <Yx~< Qp11l@'1]_8ij$u-֩Lxh&^k&^k&^k&^k&^k&U*TeLRI2IU&$U*TeL2ʦeӌiF4lQ6(fM3ʦeӌiF4^c5^c5^c5^c5UAgPsb`1w;X,*FѦbW |4@h/YxPmj+(W[? +oꩴ tU|pppE<K"p\W@|TFLSNYٍ,ሏad(^yG#>#>#>#>#ɨi2jxJ;Ҏ)xJ;!|d>d22̇LC&!P|a>0r9̇C!|a>0rH|ūxUJUi*W4^ƫxUW ˹$;x4j^53}\ū\ū\2Oy2@ȼW +ʚ]2\ 6{u^Wթ{u^Wթ{u^WQ Q Q Q ՘8s9(SxjOMi<54SxjOMy8yIKz^&gW*(yM;3NrFuvb} hِ[| aQԮ Iz0RҼڠ:`!/`D]׺u1_Ri`9B콞#\TFy3sq<Ι9=3sz8gpNsJ\a|' p|llYF qx|4====3{q<=gy3{q<Eܳ{q"Y===========%)qO{]RQ,EK1Eܳ{fp"YL1GQ  "q"NZI8i'-Ep)q"NZI8i'-Eq"NZ휦A;t8ɑ NϿepJ'>OqH#Z8#Z8#Z8#Z8b|8#q<8bGO\i3yOG,E#q"XS+ c:vqѨd.WfpL-cBX`15jhDVDn +6&5Yb @(>S$q?Jl%p8V2l+ JmyDh+J#E? ?:awa 6#UD#ĥ3ĴTf*;Da7I +ٜ^|D|D|5>j~Ꙕ + >I|"-'ÎkڜıE%zXR'C> žIEvrt$ <_>$+ |:JXanVt ],?siL3QP?gsP/A\ه}Pa=*\:`T(Kے'׋½iݞZQ)[x~zA3VF91CPW!(W T T !KQ1xT GQ1xT GQ1xT GxdGxdGxdGxdGxdGxdGxdGxdGxd#s<2#s<2#s<2#s<2#s<2'j ATTTTxU/^ՋWU + =F%QcT5h!-iqHD<9~h$S/FA(U! +iU:uY>9\(8p<BѶp O].S yq'&aDQp,x'@5qp"5(њDkI&њDkI&њDkI&X$Ǘɱe;la[wغu;l`FrZ~G(%{s_C_P;FfJV:ҡthC+ZJV:ڗ=xG 1O~t@/_WZIνb\r lG29̣e-hG:,otI{3mZe'P~gZ%Zw3}~7Jw!nnVъ:ZQG+nYl|b]]]a7w!g}րaq[DԈS |FD'YzGW"P;R;M,{I zT!Q\TS:kkrj e_7+>yG,dj#{X"eD戃r* NMWd|669co+yYp\ }@+ή*[cXS)rc\sɝk#yϟӓ%G.%p7(T'қd_y7ԋl߂kNG/bl5K"nlD~>r^pK}?sY&NU}^nTH 4*QMoٕRϙ;Seĝe"sc F~^Ϙ5j/n^J,&F񑉶RX>@W2Е te+]@W2w>$ӓH?m:NDDd:NDDd:NDFIDT@8,? k,+Z;.C{_eq=̛p ;V1,G9!!$1,"UUaT@Z VD`=XP# X}7Y @@j}_3s<yg8 $h#h z +P uq@\' uq@\+z":N:N:JkG!k0Q]ykIC3SG 3ONA}@}AVJR`+%X)J VJRƣ/.hxchx^ A ,䶡ailth FxhM>q<; 481Z] `" +F X V)7:LKȔ/o T~ֲNS@X8oN V3a5j2T49Q 2gl , l , l ,v <@_}=@_}=@_}@_' u}Dص<0ZQ# <Rs|%xo)h>n_FlߺA23F xvsI#Ewwts6j#莋A4Hy np ;\p8 `pm!|t5] IՀŝ}5pYGާUn_֪E5wvvoWצҦvmҞͯ۞׷17B7q/kB0Jcd賑P&h.γ@@ Մv^x*zb y(kzZYwUZ"ɚpY3k-@-A@Am@mAW@@3 Jf@ +h1BNs q/P%A,gA<9\>V=܄y,| HgΆXo'53"[ڃ1K` 6mx$lH2ƃnMMMMMM e[<3l}RɅ[wɵq 6g=`SQ )XޗX-۬qe5ǚrhDZsP jQpٸY=j +9?=C$ ++Oܓ?@Y ®}|6Qu.ō +aG~ؑZ=@|=@|=@|is^ux_@&.h3<RzY\-i\tn1Zm; Ee>kՍv⼈U7V`'Iv`'҅R`#>؈6⃍=blqF'lқcOJLe>]]``%_W4@{Ao.n2e={v*ʮ#OvG< iw轠@܏yz$>}+YXHB/۠F5jA_{)yC2fm Z\oY\e(WmX>WGD  >^8%/㖷wr%Q- 93>P X@lHޔ_cЉ򶦟q| +e3tX{R:=)2}g~k<ZJ.Z;׃0NʛOsq}e +h*poh:(GLPh(rK;ⅠwZZ*_*. <"Wp+GHq8 .?j?Դ6 H )ED.Z_ZKݪ_9ANeQURڏKj@ }/UTBvHMA)_ +B⥐wCn`e)$귾;-PSKٜsUiszꪹbFiH= ]qs\pnp1vZ;2 0O;1Z;12@k[ +r X9۹@u'u8{ Y~~p/:{ONi_9y$6&:@p<6hq&OO3dG5sf䄶ߩuvIs\庵VA.7Np l i*pisZsZsA&2矁v׏_dtQ +nq&8'\\ɄL8S +3nL&8&3L 8{i2q.(圏ZVk5'8UNXWB,l za#ދ:?R}!?F>;EC-(sKF}V?,F6jC6k_$YP2hxWtnJ`m%u\%07nh6W2W- n3Wk?¢&|9BQ.(H̓ԼH͋ԼgJfX-WTW`쓃ܿ 0Z> 4טGE+~8E y?\+׼<,>^S MEKP>RN eub5${?ٵGz^mW=ԫl[0Nl,zr{,ȝ ý ~B6\}S?}##'?}< +=q(=8@-xO>`3ا@`HEeRq(l!ƽ5@8 wqv0MSU]@^ Aרƈ MA~sP"z-p + $q{:.AUގjB>WB +>b(#67?/Z5 s|^p;z&d +,=}à/@<Ы~pRkÆ3~XҚs{!_yJ 뗤XJsv.̅ڸ,6w78<Ի{}Գ..Q?t 08[2p \.52p.1ݏhBk'=͒qoL8N;G;N;Eipvcw9ޙc=>qߪ9P}ŞOU{V4Hkn +U +)RͱKr\YH~ai0kj}3L ϱ"(Q(P +(J% +-(J%@B :c?|% +@bD!P(J%@ 'PKDBD!8(a׻% +6hWk^Ϡ]Q@`P(Q=F5WPq " ub7jq*Oy~AK"؋zw/r9N)ⱽ}dRy!L T_Yvg-EYc& 1Ӌۉxh77oCBw־E w.t^H' {< 'xi/qk1}m m%>OZW5ZǪ"=zqߚ"[{iƆV1*"Ho/ۋE"ݽܐՈVw>/ g|޷ico?_/i1ZXc!^m[nZbK5g#\{[kK,9T"-]s]XsuU^?R_uuFRQΨY*K@h֬R=T!msCJH)myr|x G"b|[ +iiO7sX*>@{3X$`1>3?Q|Elo(=C_}sSN CژıƳ +y#Wo +Qn-gνӹ[%› +qCӛRw/&_3z csqc75{G OLdEar| )4YP2A[(:%Pǧs +RMΫ/v4(ϭ +9]tqC54?ksG#;,rk9 BR\юDAQ-lr\;_YT:6\B &ZJ|ƅJ%JZ o./ o׷oT>KrV+V*`j9( +t()8X݀~Z@X1 +AL@МH`H:s#79K3NeX/p@`{$HP# U]W R8#o"p2)TOAJ'q߯h"пDdJPdNcM{z伦}U6,Y p_5gg)os>S9<CCpȨar،ɛm$s{)^pp8ô1,R?'{C'q(sy\×9~La9L%IΏ?8QQp +380f`38G]DL F˜ +xP{)GۭqWPr]%RgġZZU +kV!R9 #iwzU8ohW%wN_8@H= +-t>y6mY<-<5C%8.~$ITSKx(ݨ' 4&tSh8ي:dsX53V<ƺ~?`F5+vXfaǭe3oqtgN>n'|c"Vk5Wc[Zn⧬i+W[h=e.m,jOV]U7gD;ǚ^?nG@@Ӛ~ *>T煤EUzU:."JE$"Q>QޡwD֩XmPʭ Q“\Zݧ~~zPQE_taV,G'^=%5@ TeSUt5CejL5Wݢ["u]-Vw(N uC)_C};@׫E8L C_={ +"\ }{ +xjIMP$'*MMTQf}}mʆ܇=U"j4r"[P ݆S+ͽ~hmC"둣Dه#Q%]ڦ3 }_߭&m.5uk1 O7}L%xhEwŗIQT hkP,դZ(zTPC@M5Dj֩6Ԗ$jҝ3udꊖ;]IWojzE~ԟE644mP?FHJE7Ѝ4tڿ4&Ji4 tA4fl\ʢyM9K7S';-[h!J6%rʧ:FзO:>~O !gYr6g~̑f@"["y].wH\"7\YAjeT5U}cUMUKũڪWuu/[5@/jT5SUjZVjڢߝکwgE>tڡv]j/Ƙm =EO%ttNl+mb-Vd% +[s?d+LO$z㍛ Dc1٘bL5Ҍit#ݘad3Ylci5G6r\f#Ϙo؍-BVcqz; Xj,3k=~hFk⽳4?T^>}_Hz(kYk;g;|bx[ ᑍD鬑G%o2azv/Դs뙡~DW2Hhg bALBuxt5ڼn†waM0/c}V:S7uKe~=cF(h27yyYu]R\x\#$WPS"yZdtHt_-X?:95cؕ ͖&@|!e;OnQϭ[t>&ժ#/>yQ'yq$e-񪸧w{~^a򒒁2v.Rhķ(oάĤv]{:q؜93rr;wm`>\;9ysrHnG]`7Ǿ(YW'w횜|u2&4ܵ[D˩e8[DTKz\N$6ɗ^M:% +LԃǞ;ȆľS7~nsXt[JǼ{+] ׭soj"5mf];o츭m_t(5k:nJ걱lH~'+j6iO-_\i틇kȄZ]:4CuGdkz~ߛvg^g٘'ogTOj!ݗ6։=68gFWsl츣5ƻ߽ǥ*ٽd&jL~&gTPǖmL^D fS2M^V4n⛹G=*Qaw[~WZyDQë~Z>1)ۺGcv]Ҟ8~l}ѓv5ao9z^]?X|kauq/3WN9G|9?mnm:Q\geZ}?&_-J;1ݢ~^feŦ~7x7dbMlxؾo~nw$cYT'xcV;/z}?Ӎ ?ex3߫ofGxUfӍ_=,!vsV7ߠ 7s߫/X[ +i˕D[j?28鑝0 + `tk7^EH$2^8k|rm}R->zܴdϲ#bT|Ԩrg +}JnaqF#\{ATxn'?3+Of1fcZƾ$wط !$2,eյ +2(n1Q\$$JBM,nu-~<={{|ѿ"lذ*ӊ>9m44b +ڮbU&UuגحI웧 upD_Ie<,W 5QnrtkR&zf޾ '8PHQщei]jVRHkeEt'fU$\/e u9䖕)o^eɝ} ۧ\԰t;|fK: xFM+âc_o1Cw#L 7t!vf +{g +6A#: +>=voǞh\ECC[u#dM:9S|= #9YkA̷"ʜ*Tl}J{~ؠ=c٦)kx4s-gw5k ,N:;]_4JJUy|Tg8gFA5ȅ!+ЩSOV%0;zOr3k%kK=r³qJZHVUp.Sܒ6H )`Nw2[r٪++ha^L1`ߒ6000{m6i{o#cL:> &Z^2X\?e&ތ5c + pFٞ1inm$t,-SoLDWf^#|),2ec&:%kk5Gތd2 +m^wCW,:Yzcĵ_#-dOUڔR3g{(yOmhM_FvhxQɴs0YUYdd{^I4dh6!ӛ8|\>QPAl ++c$X(8 Zmc ՐrFj/͏q a]PضQWʛsćQpg0&ϾZ )X}էR_~4|3i|:zAܘl8W5 S47:.u;RRܴhgy?2INwr\=ml/'zIL;Cyjǘ?NSPkS\mp,=ffcv&@ ,b)Pk\\O$?%WV⮺ n7iF9wƎZ id#Ha~ouHh65{:n!bj&K4 Z[!|=24mGP9niRK6L7b~ }we| wI[b1iza*59l~;  1,w46-.(=q^%$cW +[+']`W࿼L_/Kx'HC#Ē G1e{yt)$qK'ŜǑD`{uu(RcWjIDvpa^F>!FO808My90Z/0=`UD42w爜}^QmɔrC5ȤnYb?~3~nEZ?e\(1:{{纂'C}:_H;gqwǖ0ܻXRF깡 7ՄEыYeԟ[B!4HRʾqSH-M`np# &X6/+ľ4 h9.s$ӛQѷSmMv-E&BaA~ ɼقAN;Ӿy$#vy%~`o!ӁCs519[Y<"Id&5͖N5)('nTCZ1 9m(7 t뻿P-:'(7]nf]WW1ȩFfbFϕcB䅗V$e]yII0It\ Are+"Jĩx٧滎J%=E%^$cra8H½[=U#IW)]2ـsލ\ûxR[_Myd@2G 2b+WVR-FR*JB_106[̇V+􉥿)O~ì١b\_H+8grYsDw[Ū>YL-r~]vt3i"pSMTZA렄Z Fg_=_~z<򷫍%a |~x/5PT +'c%V,0ꉮCǥt,JNɝ _%KH;V3ۤΘ ٫m}`(MnN(!܋I27@qɛ\#^9$H0=' +endstream +endobj +1987 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1988 0 obj +<< +/Ascent 1097 +/AvgWidth 490 +/CapHeight 783 +/Descent -205 +/Flags 32 +/FontBBox [-615 -205 1384 783] +/FontFile2 1996 0 R +/FontName /ABCDEE+Lucida#20Sans#20Unicode +/FontWeight 400 +/ItalicAngle 0 +/MaxWidth 1999 +/StemV 49 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1989 0 obj +<< +/Length 15339 +/Filter /FlateDecode +/Length1 203476 +>> +stream +x \WϩnVT@T6q0k2Mۉ&&LbdI:scHт,R(hтK۳fgҟf̼O{sixThT9ϩmŬ?ֳuF4z o?!ۤTʷ;2-@h o"Iv(wkE@Q?^z'ȵ]vG5vm{W}>rw儌(bo Ox|GU9!Q/%g]ތTPR,!I;wmْD뮷;^y 6vTEQ~Wvmߒx~M}uxww_4h;r0WߒD" $;rPӟ{<靎;#3(_H~k9n丳ZLf$FFHV#EF&9Ha/,hG38Fԑ"w~"AI GJBzrtSrlS)hy?6X~B桔a8HYJvv6-Sۖgnqɛ~ǃSCϒK׷/!Y~$@4n:1 햡{H$Τaq15x*H<1THۙPȇ%CMxV@BPv#X{. |0<!11ÏG-֡9 /b/^{=G{4C"Fs7;'z#x I8YY[Y*|B'ʧuhuX3s{f#o APwR @Ib),1ٳٱ1S#"DI*.~M#/I y7H0Ĵhvlb\|Tb؀ʕ~3|W-H䙟R"G8}z{1qG< +sܬY9s!}Á}#SHPv×D8J))"Oa_g1qc(--ߊ;?S.R84$ 15n t@qTӢ&{HOLH DM>-~Ab4|^IᅎTH.He&I.ǎXI?n7W'={[M%Tկt-X75&~{{%ǖ;@?ٌ7W. zņVtK0O}Ŗ_so)^}q~²O>x>8DaѼCn1ic_~yb%]8!&t)'E&ض'/S(<%EL;gh՛I܊>pG D?oꊉ7ӧ ٳe͚5oؽ{Œ%+lckؾE/lM[n/8FG +>LqpM$7y)Wꤤ<;?&fEGQ'`ڔKL>e)\k/_$%ٖ-[DG/C\1'&fΊsΛ:w^h5Fd =Cl5Ɵx ġB{؛>ab'xxURҪSV-[r,Srvtbys&N3ob=ޢN8BɸܥokޟEA?>9_P?O?|[6>į~D"ӯvl9GƷHL}MSzfgH:$Qؚq/ZjђW~i%F8Ma;60cMHA7u_e* NJ7E^o_Gwt@REKCGyy=GaMjEjJIQuǒ7,ێ1ёVtRpB2-vZi#4XIyb(9R_>YG"Y$ ɛ"Cav$ D2PQ$V޴ +%|lR_\E^xMMWbɻQ.Ht| lIſhi#+fXFv71g(dؑZEo{VO,dIrELILݵpk)q ^*@,ŴcEp^cQؓ/LC#|E9P3 $"8бFsoH~Z QTo_r-ȅ3VȖ8w@$$ +0*bk@}eW:20 ^Mt-z%E9^J$=R"br'ݕo=-;$.p@ @P*&y=@ !%@ @ @ @ @ @ P9GFXEדuQz}N}Ny/s eh<rK/>@x| u~snwn(s! I%4Eh'څGhz M=-6r-'j +AUEœ'>ܛ ZK,M,NE_&$uQc7yY*&/;=*vro'zՙ{1.N7~69cPܝyGG|RënZ}p=hg?EItN1(_(*JrݻNŸHN#WtK?>$}4q)xmu,p?!}hcΐI ?)}mv -v/C+[+zvF\}cm00n5G#P¿w61 Fx@[="D{p9T;ȼ~xc{q u|\P<8q?ǽeux097.~K`x3n×{=>w H:_BCp{n|?8p% oFS|_S y[8yr#~ory" +@a(teLlĠCQ.CP>*@D,*FP P):P9F䷓I~7%?L$qrJ6dӺf.zuK=uhTqM F_S*1n]#hv>e Z?}²ℾ[*?~}jԲGGGccL3gg,K?W~KR5L*ʧ +Ts5՞&KS4iLƧ i4s5͞.KW5L:Χ ts5ݾWWWW + U&`3 !Øaΰf3eLU&d3L!ӘiδfڳdY,U&b,!˘eβf)i1}>![d3l6-dl;#c0 2<#0FX{,G09l#s9NST:ѱ:^'Wg~~~~{,W2l.+s͹\{,O1yl'y<{? KU|&|c9ߚo/( +T- cZ`/* +UB- BcZh/)TE"-⋄҃A P8hh=hgeUaYgȚY+k/+UŚb-担bcZl?$;8:9biDS”%|Pb,1XK윌Sp*N193g쥲RETSʔ|Pj,5ZKeU5aP&-Siʘ2/ʌe2k\V(Wkʙr/ʍrkBVPUh* +* +ks=Jj2G٣QRVTUj*J*JkJVRUi*U*ks=ժjM5SVB\mj5M S5B\cjZM-SB\kWWg {?!002^x ,oͼu:MSuB\gez^=IIII${?)44zM=SB\o7 M6 B`mRRҜbNSBQըidFQh46&YIդib&Ih26MfYYլiffYh66ӲӊӪӚi4Z8#=:9Üag3333vA&(xAY +YEբiaZEh1[-Y,VhZ5L+ʷ +VsnAc` 7lm6EMƴm|fl3YdT4s9.mWkڙvoڍvkC֡Puh::kS֩Tuj:N:Nkېmʶd)#g2:c11Ɩ#͑(s9]1r,96T')ujVq:=Yu\i=;44RV*Y5eu,Ykb-XZ,/VźbX_l(6[m䇔ԇtCzZ)K%] W/1J,%6N9%洜8=gLJKRuTWʕK RK0wXOV+eL[+e2SV.-+r]9W/7- +iBYV* +}TaQQj<>=;55UJ+JuRWU+ JKJZ%RVU*J_e2UYlǤǔǴtǸczZ)j]5W6T-նiFYj}TcJkZuVWk ZK8w\OV+ 儍r^ɫy-9^xomu:yN]qu:CRgKrRk:=דJyR}R{Rw;?i8i:i9izmMz[AޠlP7ht \`j4NIOO)OOiONqdR6FQhh45ZmM&yIݤm5qM&Cdk6˛fmk7M͖fiiiiiiiV3333 RP ZA'p^0&"Z-eEۢkZ-SvVzV~VyV}V{Vw;'UݪmյrVCj3H rҠ6h :g bIm6uMƵ m6KwNOV+e]ۮkvS!w(;]ס0t:,NiS٩v:N}i鴝WWמםjRwit]\etٺne[ۭ݆nS#({=]ף1z,= =Y^mzM^Eo=c19=EB#3cƜ1GsP(BhS":-6mE!zTlJ頍3m>|u}AvQ{f{A{E{}{OPd[GPGdCԑQQQ11yvۢۙ nWHUd'SԙYYY99EuevtUtwt uMvuuGvӻEݙ=Cݓ=n=A==QOfOAO[MENN]:;tuBuBtէ44/nmlod$ͦ7-o47767 <6&%&$8'8&8HH^K^J&$$1ɨdD2, J$QI$K!IJR$ɒ$dM*q8K%ulq8C.NI}U"v;b;1J V1ѨhD4, D>Q(C.JEI} !p@/ +Ta0Y$'LB!_qB{%B+\h&4 wwV{[[[ěǛś# >AK)h Z͂FA@$ +-0*HB`.0 +Hr͏3ʧI|"|c>o7u|-&_ky^=W˫]UFЇsntW ݙHw(:H{FFi#amv6@ѲiY Z:-BK%h"Bs9h4; RS$>jUDRTՑ@QQTjMZPͩfTSer2@QPz)]NJ;LI$PD!Oem#wȽ.r'Fn!7"'lrAT2lM"[fdS1I6"Ⱥwb{cb;ccb[bCcbMccFzڱZ.R'Fj!5I zRTCbH D($H“p$ M2&!IF$CIMJ #R"H$8"&F#FDCQM"j5jDUQ11!>.6&rLuLULeŘ 1b >ADD$j 5˄jBpppPNp M$Dvv aB0AAP#y#42___ŗ'l+xp3i{ +W;+ǕJqŸ"\.  Åq8~=%1 `g)kKv[-c|l6=faX?/n`1y\L(&c0oqŸ`1kKz=ECAt:>Fg3鲲™y3H  C eUO>_ &KdٹDlnǹP1F@W黃H}h:pR|@8|t6pL}x!pGc>=q_ N'}@Nw7pʗ +9>oϝC?ts},{;K0j>i./ ++`x  +V1,\,4^^" N_`n~jqTXe\c^C8ܰx}Sگ|RvD'PK@#E04|>:Lc! "bP:l_&X!cV@O&ē0 (DF#04&> +J&C(;[n}ÑnQk_XGq hhh5@t&ȉR=.=+8JEJT.ixԲ޷J;&?*v3~ۤyufOatB\+U{f[ovAX ޢfYfsMK>aYg*6=_q.qfoWߙVt<*TBNWduܦ V,\ctJ܉"d<_%ߞl-im0F#X$AFBHQN66,k%S.]2LQ"e(rLXnz,~e8O+2X@xI(`˕8*O/8F)e nq-êzkN9pّ+nv+'s Qr꽾i /Ji m] +N^6(d-1Oyص|S}op?& 7y_v6kX%Xf픘um%Ջ.eW7Q~)⃈?oҖSH\2Ҭ:PtvNZ6jAZZ|2d +A2-G量d,t'(+QAO!"!<9e .dƀpdbvM(lն vsgez㵯&n7saYٞپ.}+D/Lڀf?/gi~ô ؊^FaG4\;[duM*lY'X;{K69vOU󯦯u:Sr!邟-U՜Mv3ל6z/o%"U±)ܻvnŗM; NbBbeW=r"n5;sPZpbe `HSUwwl>`yPNW`1k^,ֹz <//ϵ;1]8pt6/Uڠ>޽@EK{zHI"{tCYՁ{k6_Lc2fMSۂ + ։k'Ty$,! |Ç .cjЬ6Noɖ( 5"2V:ϧ+PA.ktݧF&kƶC6kX^] +f>r!)GXGfpQJ۶NeFt=z!{sٞ'"pMS?=-)\wyNTF2;H%)176=ߟ߽ĭL6nHy_NT06X]p.k;~Nhĕ/e^׆B#QFf.2kZJ|MfO LWRR1Sȓr_+Tih\$UiQ<}Ce #F%JN hScbo~m5Dp-j&lKyrЂ[!\yts ?M>͛ogХ,[NHi^YEA8綘lHµ}^q&@ pߤ]sZ\_:^YU~&_GQ2mmWفl~tf[vIkbB-3|~%v;3pDhڎk}аܕ83SJJzӁ;d%t[hPqLQ55JL;.(VTZiMԪVypɃgkSI-&ܱdغ]̲ RArQ `3z.35o]Y!$$5ޥ出_q^H8 [gcE܁ǛG 8'zt +endstream +endobj +1990 0 obj +<< +/Length 11855 +/Filter /FlateDecode +/Length1 26920 +>> +stream +x| |SsNnt_, -mZPЖmP&Mh$m)pTvʢMAD@QAE  "*̜6~}s2Ϝ96I !H/1lF[:x8˰_˸ⴌw.`6ĉKkޮ ۀ3]z}!:#mfp9Jk*U*SC呥Mc86Р1?4x,WH[p$}wCcFL&S-ɢz ά'M{ gNY-vk Oy}4fI [*GmksCR6n_J#C6H@lPw/͸l:䀜޳i^Nh(2])}GK-%\ Obu<t6+7r^9F)_-[?-@a7ŧ\QIR0tV%?nCc?(Cbt@ `!a!"c(v(N 1ߠ3tDB,b7tA]U ;p_!!;bOHp݄^!Q =S 1z~ QɈF̀ M"4~Ātϐ?A}s'@DYC!01r>RC.8C!!|0q ű88#bJqB'RkPc'8)0q*!Ů N 0QK&" Ɉ0 TTD܏h4jį5E4CtVлGA%ku`D3L0jgq6XBqX]__AF!;

͈(7\FX,,G|V naGJqFO"@<SNxq'E-a7<!/a,죸E| C<_ͮ3p [6ð8;_D^q}?È(x>? O(~ +oNy8xgp x\$ex.\S_SG>pW45;{u8: ?Ycğ( o' |x# +og7wxb+\r|q.1V>(Wy? +Rk9GܼO 7 QwUwUGq8GܼK +@O7#>1S;wUv&Gܼ"K`Ԯ>]|!\\|ͻO\|(Fcy_epDq.#dq.|'5-T#nWѧ#zjq. >/+zBy$Ԑ#nWY 2GܼKNDO#nWR< >]i|ͻ*BUPU>] +}NJl0w`KDG꿯HM-& @h/thInfVf隴urI=n]t1&:*2"<,4$CP`R!I%,rΙX&O!*-h=ʝ ^2{e.ce2m%@N+PqΓ*n3y|)85MDz7qqWcL9WZgh*(Gz;Tyv`7{Ρ*Nf@vءw B#U|"SP;Ǎ/-ȏ+KQ;< !d(<ϩl8#Qq;Շ @JZhd0UsK1)}̳%N} Ɲ#ty4.y)VTcmS2s6`YMQơԪQjD45yU)9TCTYP`dTRsUi;#r3)!wv;AmrCݦfD"NNǡ$*'Eς&].RƠEhd#d !*#]ъ#@]\}grW/).wE2EEElR4W*yETEdEsT]]1(e2L T+JRdg-,:렰sR씩0ΰB(,Jv i6Ǹv2cǒDX-+K]Ḇ +޻ݎ*h16f2LGc] P ܃p{a#Qw\N% )˛*ԧ<6lHTu Un@\̜ؗ-0L NLHB:w83g@\K&q*Cє3şS:)e粓T Ǻrvzcubo$\Ït6:f#ïhGHT|~ہ8Ö43ixif_e_HBݲc8N͇p9kf2SHy9lL^/k8eSY`2M˸&Ke5:Ek4cb,yi^b%l_ja-}UR&(;&g*L7t+PԐPȹl*\1,3)a Jexl +;Nri+Yl^O)H[NAA +xYHKYxF[΃f /y Ƌd3*aB{,0O2PnpP{Av6{^baO2MR!K6JHޕ+.m>#D9ZST+R@'FEѠ(W\AI,`"f;#ƌ_rv x2uYavcIvI[$9LOX1"!? ţ3"j~_/Un4#=ͬL.$/Z\A!kzƅ&ą5Jv# ;[V|5uNR@@`CnT  +`;FdGdȸ )dȘd:cJNf1突xH512- gpI)!~hGҮ>g8ZvײCeUhIFG\tv&a±F'$`ΈT0؉mtB}U +f$3R6joOIjV%0CC}qT IN`߃]DFE;]=߿Vھ?HY; +аs:АlC2çt Pe'Ѓ|ecd}] ~ g~'>;"#@ۙ)7n0S[7ܸѺtҺt) ;#뢙*y; ug-}ľbežc eľB2FyWB|mCnB?2[~3Z FJJ޳ʞ KW@rgCDؗ@FK= &"S!>bW0WBRP,@Zk"oӾ?3f9c6~xMc?,f/GxϾI]<ƻgAʨ@f.CǍ6lYlVM0Z̩`+2Vv:^ZbIFsq񜞷̼h +mF;7b֬ Z CUR\zva'= '=Zl*Yk25?0sjuFjnfǖ(vhmvat΁' UjkHE$v$fOE%)#5g-*86k( y{jJanM6KQsZMPc1-vXvY*9# XmhgiQJ>CyBZQV څ:xgo;1^0]]eZ F.DJM*Piqaj¢R@ mZ]un6cj V\k VFPsC&֫ѹmg[i4ҳjXk4 Xa@Ѭ{3tDz-ڡBKIF9^o$XYgEWaA@h*BݺSJ4Yku*(lb,o@N\@JF:n!U| +6jN05O5*M^{-Gٚ0\pj*P&Ba,UteYgLyf4MKסxaCEV) BLipP 8oks&ԉwFDV$4x uo6^焑tU6-JRE)j|_#J< +jіFjiF`бZF\/޻vFy9pOՠFC͛fy̤G$2 Vt?mބ9D**f͸5Qkxoi 'v=%-~X):jD/Jw{AwJ'A+EhyAvv'еvҾJҀAwӫx//h]E#ӊZ1 /6wMzZ80~di@߲9ŽJAC]hQ풞 +:qx5G-!G5枵zEA{d5V^=^VҘqMH=PԮ}'> jq5k;:\cDmz*ѩlbVKzSS +,bO/OZ^d]!r:R'f)m"ew4Y=} myGۦxSx[ڶN3Ɯ{*ĝW +O ݱgJ'2R}x.5avmME"!7PL9h#o*ӝ0Jh=}O kO D-ƝctpLnz- $y&׊z]kz61I R$qk뎖{{eR-mV43;[;YJWHR3ǹ-NMsYMC}kF},a[sS١b֭ikW}ZJCT^]7wi=kOje9}rg:f^yŝWiSZqr68 +*/-Oh"a4?ڠ?V1mݧFn닔RvwFlsXu~Ȗ<͎6o-m{W>'ij +qv秆4Q:N^RYiuGi3JpShS+z=ɲi&<eTBA"E8k z;^]CᶇpgS{F8c[fz1;}[FNyV[~SCX]> I*.کGhvoo[6 ^nk$'"MSM='d>o9L'|Nmt e{4ڿm=Lpu -ͳz [ "'=Bg`.)_"a͇?̋͒zd#72/'% ˒;HN2hrFdiKfF1y]ΐC vyjyFL◫V3[^l|Yg-"/hIbMi ˆ ;qŅ%ECnA&*#C.BJ&H鑚pr ē\ʧGhȰ"< V5M&>=Ġw]4d MG~_*~=Nuqum[?\xayk:Mk|/[+7F/~'w,KA?]}wCˎ9J={FGD #M$j5#1◲ݚZGF/et gTc2LƺIk4Zd<L^O *ySq. @[ +RӁ ^(!އJ37tD[XR<-+%=o;B2w.MmXмmGGt6/({eWEAYKo-t|EAqc?,ZVAVcfxQ;^\yH~xާ|=ϹnW&0(66A#1]y1N~Lυ12-3G"pdyi&E`ː5.if>_Y{]=9;4+$alm1jum8홮.ܻ?]?,SgW&k*hsq\ SRsQC߹FMzE[?۲oO⡕[Oʽhvx^?tm'Gƭ5Rr<_n]+髥Qr.[ +?^]if歨ˉ۟~u.1gG].;pB4V!y\3͐ l IO꽅`TkziZ[?gStZ~Q4V3./axLMyw\yqxɓoý+4pĞiOr;g2+뱬*|}uqS4.1yڇ*w^=BQ߾ZyPJ5W +[xdY+՛7]1Ň1Mn7Q_ޣf\te<s"Ke.E n>rM(hWKq51ǜXˍ +9]S~P|'}€K?+Z'slSs|]>^[[/ycfFu$.5Cgh{Z5~NĮя~/|f_^Ӫ1ԇ4~]=@*>g=b~fkLe[)H-cf;N>hlĔ. +$" ' IXHCzتLhSRPj;5ʃ! OXjpK"q"V. +maOsQwtH;$(Ї` +[AY9V1"o?-c eť3{zx[5au2%k_r_S-]eIq}AhB| +S^[Q1.!x`=cXƳ&٨1а ?u3crygcE;@߃!oá?0} 0B 0D }V0vl`YJZʑ-!|0]  \eć; u@˦}I17L'U_.vwܾ+VE]gs7ɒ#}K1ձ;y_fjk-F7M/x Ⱦ(vg78_1]B'?mꙙvQ=d!Iڢ]6`fhi2#rRYa?.3Ev߱9|y~܋$WA*[Ks8jx +%Sƅ.n:#|=QrIf\ )'3MPH9r;1Zi3ڗR&gi~_)P.k>CfaOSh!ԍ/ƌ@C.2ͽ#ImR̬k`Q&{G;-L.Z2B\T9z=?Vus_\'szqRvd3}7rHz?)ʦ ZFHk8c!W D F pò8vбM wĚ+zG[pnP'8#} FsEr#)7BwkR;S=}IIS-PWm7#( =Oo_+25Wm +endstream +endobj +1991 0 obj +<< +/Length 74761 +/Filter /FlateDecode +/Length1 270556 +>> +stream +x `E_U\9' LH8LNЈ JDEЀgXx.+ꪓـx~-UL2L &$o]_Mw HBILlą)N*)-żdo&MZqg8bfm_ҝX2ꔊʲY vNSqcXçV ϱy5c~ʹS+\2_1JTM[ki2@̭.sڞU@nuR_Byug/_'7!Ʌ! ,ܞuṫϾkWi0aآKW=& ȚAj|kh5.M`:}ލछϩԛ2U#?|ڥu NO@|<,_VB͂X>79n΅qKй_Saw,6#?#Y$GwF[ѷ{qccF27A:1:u+C7Κ,[`A 茶nBH Żgцo~\K]T#7SQT;U I)K2|3`چ5ע0C p@H(jhԟ bP ġƣ 5QP@8)THAqi`S;W::T'w - ' HG Y\a AC,ᐍ:Dr`j. G#hc`$XE̓Q8a40$:P `opxBZŨ_A Z +Qˠ@= : +QO"SC1P:Cpש0 uLF'_ p +j%a&LAt8 +_@5LCz΀gB%Y\L_PPktyPfgsXuR?%Ps Ka.?.|Cs]Oa%,F^ sPWRԋ.DpUjbu4¥7eF=p\z3\֠ނ~ B]WRw\ WkQamvhT?;Íz܌֢ ރ F֡~'Uߪu#\PQw>=T7܅ڄ>4uܫ}?`3׿Q[O[~ԭ\Owo ߹nP_PQGPGwp> MhQ߂aK˰+hE}lE}@} ooQ߆mvux?)i~Ϣ~ϡ~ ϫ.Eu'쁗P˨WP?«5U:? u DAx KxG}~@7>~ /71w/ ؍#AاmUOPEmWM1wҦ~TY'mOMަ_צM}G{yקMMӦ9MݼM Ԧ%tѦ6_6tѦ6=pBh[\F'A[. 7aRYBkN3\džL]zz$?HxB Aַ}7.s=QzS1\k9Yu|(dO,"q~v=_"I$L| o.~==dm{_^ [_}غǾάf MI:~Ȕ`A on\zh_dUu,]t ]D2|ký"]*J)b_A +~Dwfu Ѝ/ɰ+/"@uI&BMupq{ +kp\Wi`[CފU`}X,|k#ՂOr:6$`!*.@ [/ TTG x0x ,M.bDSub_x{, 3co}&qWP!-Po]Pbb֮.k􉾀!ƞ1eF`WCEk)gnjePJ__~={E}zC2]@ з.ăԡB 4o +c~*{^QZ1D_@">N_@DhoR _ؿ/@^(tݭ`MؐϘ@'!g@ oP! 7o"yށ/Sk Żu=PtlHODpȊ+E }L +yS2|Mc=t/@B0,v@ @ߺ$ +8Hռ)uUdxOv)ܿ*dp53&ZL X${+c 1cɯyC黎7󵾅vi&V`?L DpƜ"@%8ABxyS +|M<ޓ{s@-N`Q&]ǺCُW 5 +E_k)9o!o1~tg&Ri`A 1Z$]a1Eb_E{K#('ڤS"q=}@K59x34B{8`bJn:6jrK{H5C>~2kAЍF6#k]@ з."62R =91ak{;Fh)wJ!:MH$08<}o|}''x?IK1|l7hH5^lo\džXW뱛2v@ @ߺ$ +YaMaï:= ?FKXԣٵI$'E{O:֟'BbbÆ|"Z绎72t@pq`l\(MTؐqzh#6#a@ @zABa + aZ x\+Goecݎ!mz\<Ci-rs^Q˵c:&bOɾ =׵GFz8"@ @zAB\'̅Q̭Jg~ps|ML1GW7_r˃_;b J! ~AB"{~綕G0n9ʙLc2GSHzgͬ,tL<)qycG9bCPim)I bcQah%J`HFqgָLCYY j}Ը\ToVjoB˳ҥY-UɇCRQTZUY#1p +JiҢMjRwمKkJpsMa⺰C),s':7ĉGhb& +H,;YRNviӫJKRCܤxsEln|7ncw,fnPlo +j#8ΩrKl1ٸw:k}sSҤ +K66^^`Z]uiFYMcF< +^]]&W.Nػ_-Y-"%5xjR0c9%ŵE )Jce.HuVזؚA-.%?g&kv`=HH]{qs+~d +dne%r{cRRWZxF-5l9[mȰ:ƯkKj=K֯EY=ijYYb'C.lNr3<|0 mmxvohu}vRX9~)-?=sWIJ9ݘ%"rNF^X+55s8qV0[:V=>?=/WF ,gٍa~yXմa*R솙UݞBuۅ`y~x5j!e569ƚVaS:ЧSKkUzCjާcl;.g dDHb'Jh~0֨Li8>לfp\NJs+·c>ْkW c65kݕYPa9$lP6dRW1$ucyՍcy1+峖BI<lĠ qrh*,ÏӸx]dL|9jf['Kkm$:LMSX#,ƘcZIo#6,z3]˚nzg{lm͙ MƚGA&yh|C8iբ3ط(fmʤ6.yh-qg[nŖg%n3h_f&ϱ:-zL32g'Jlzf{,{f5>Em|4c["zSii)40MM~Xe0fY6S3][gQf*2e=k)iyE)wo7VgqǖCye;/դp.wQDոMˢʪVEW2Gl sMl>ꛪ!)‚؉1JHG;Hw/r?ؿڝ"jro.-Bb-Dl4vkWΌ_sfwf]:.bv2avM%M&QznSڼ6&^6/%40DnbIqI&:L{Lo7I"66&r&r7d+uE٤eB9˭qaqp$vť4ͯ5/b:w=Y4M {˞,i9UMs\u%\J%-뷯5jZMc5il,{Xl_\iUMf(F[hx,J.+G[e#,rGb`YC ,L(֕J|#u+ɲgdXYJhS=Vd\R~@;]M&.ao=޻,<)m0\8-vC,-Xk~J +9L-(<.fG=$ 'S,:.wI;x߆[fAwJ?VdxD;UH=yt.n,aw},!Ē<2AKɵvF>CIE+ n0~VLmߪ950,o.|g[`']H8—Bd&_Ƚd#3ل{y%WG߸HS"] +9xy+=݉{)Q^h)_anc9E)xs   26F~tߑ#Aumۚ6{ !~󕏥<= +o+}i1rI$4'!'c GXO#bPIrwiXJJ8:Y /!\ +~T 6g2ƓbƑM@?G҉dW3;{va,N4E>lA'2WjCYnl.rdίVeiӽہBi +.Išs*֋B܁۰-؊ +:m3`z?ܮ.u0ۃkKqX mrt+2P=ZA_<$ G0184B7oc-0/\0Ym&LZwLWP$ Txd2@)ϱ3R]b<k(hz|J{ dM7Z80%3 $Tz+am&HiO92r#"meA_,pYu5S0G]|ceoOGCO Rl<7s)_, yr>䡝OBy9,,|ZKtfA~~PP0zSn1[Gbrc$itnFݷ+YHi?}vgI-"^pXlk1Ъ~9xT1 7zc1K,ILhKZ=1|J\1Hr$"ff=}f>?xPGQHLq,AX\#xp皲rT1`䣦gK࣪9w{箳$Y$ \dߣB P +Fj +hE mMQQ>[W@רI”p8{ )==e\YR/.%%]$"KT$%\1f==2cD/.[*XZ؀ֱkōJۥeϊPM;C4OHiH0FOG+lT<2R& (+F[{fۻ٨ɢ"xIDG +ȐqI!@3Y +RY7k]OɕfLhav'9]_D!7>t|(F>MMMUWݺKEl4RTPjM-F8v3O=3{ݰ6o~7OJ3#a`9dKZ>_r7 ?-!CY2BHuF"ނD4AvO +2fw*%98ʖ8bn>"log S )K&e10b|QX\0S'|PԈ^%ArU5qm33vgl5iƟ[vci}^Л iF_ )v%(Ů`,ad C*X+$Hƈ^/\X PK*AXng{yyoILsO:z1H:v=~|fÆ[Ig:z +y C[+^^^Z -}+P-D׽GC]pAx!hS.K`VޒԾ$!MkA&DaªDlrԸzTU YR#H +μGnySuw˒ῼEw}:@omB^[|y?p 1VP0?+CeV(&Yl Z`2fۀeOg3F~1s7j0MR#$h'Eє]9:#2@8 8)]hܼJ!BʇX3`!qF$Zm Wq +"%JJTB"/w0q0yZD |U%cm+-oSEf + ]C'E֑"U0]Q շ 'NtUp3K1"=ҷHT]6&Oy8h``PА$9`@jУ,䞴=)7`IIbP.1-fEol9˿ָaoQ(l *l= jnX!vؖL#!rp +kQe- +EAjY  ۗGWۇ`C0#A,Ǭr0aHy-ڣ3`nXB,7zܤ(Ӆ;JsʪdۙL f؀ay[O;BP8e_!+"1*:)3M!&:/[ ;dQ3Fom4ދ?꫙U?yzƟ-eSF~MͯcG8 վW/}YS{^|1|Xr`01ϋDveA5/l^Pnx5;Y~UjCJAAEΓ˔,;AD$UcgB:#1e,t$+,TXȚJ$Ȉj_,Ic4 B+뺪XvEZ=+Zſ8[~}_}!U1{-nfѠtyd9T4䶓T7(KVd5/# f'f0CZb9U>h!y.sAbj` iiqMS)YM;D,ichJ +Hɦ;*3"k,D^Wwo^pm հ-/Aă=6|fmm/^x-[a6.>c̿/ǂ8㓡ʃ HS + 7 +'v"S< zBă+ZwEr gU!ӱEPC WZDv~iЮ;-I=`#7Ϥ.qV)unQ>+3~s_Msc"& +I9B׋ssnYe[/oP (.b +iSM +dg6=?収.G,k*pa,̸CBq63f %Kte d(E|*?X_ozߵl~םEgWMZ.wΛiDTӦ_$b:K$TdR G'7jz0TZė!9 5G>\i!-i"/c/R;o[^0ދvt䙩fN}u歳'ݾkeoxlnA5JXa"׷l5e;˯5Jj+QM93s٨qɰ遢J3OM囔zXCtX/v 50~mT+W5AwpNKL>K-}$-MrQ$Y׉.BkER~sbnᗊKz#A(9wp;G.~~{}(_Їԝ;MMR')A{T&2T B/!r +Im6H~`BPdI*i!!CHY9l6'6 +ǣ!;)f(d>.vjޑ.(_vD.C`; A}GTBt*}SdO.s!9$mcfr@zH(J'vww7YpXCD_}|0pN4f@d`ǍkIv{3f1 F=7<p( +{G(+6;*C2Ϛq)G(,,b9hC53(\- /.]B0YS0 nzHZ4Ɏ-0" K R#1UΪYhpŨYh9?x޻{|_xw>Ӽ#Q)PTq7_۪y(FӉ=H4cMk,pzFpH&*KSM 4XuzfNODzʍn953_&7{ow?q?~R;o!:[Ķሠ ԈQGSVLkYtnɢY?&3ÝEhm R-gJMڟ,ZϢ,ڑ%bgZ-'̌6-3Re}>g|y*<|(jBF9+K(^T*V.hנF[hIӚ"CLC\-fĕij &;w `[@M 4RS&5Rk");`'uHF f*ft)Hp6F iSz0P$5rI + Rk]$5NkyK`lA2_~A\`, ZgԬő}OZ +;:kEcM}ENGӮ.~ vuCI\8H,, +j[Ӗn?:sGxsv;jG/+B?Z6FׯoxlgnR |f 9J(]Iѫi֦6&94(9Dր`C>r@\ZK [f!Z+jK8NOmЬQ3]5RnkR0o4}|幠*cjE}HdFlEwUdV'Og-Famzny5ot½+'>[4~w  qp.u琉 =5a(4 ((z,(:T0` Dh%(]cq8{{S);6W`x|@c,kg˻axu_OoP~+A=/ekWR38PŁXJ!AfaAl +*@U` p†Ԃ$xBRA6dU +uOnGek 0p`ح,vцnG '{qߗ{{jowp_iI28}NY)){s$s'A\ 'Tk܅Z8ahns%W$YxUCU|?.R hc|5oM{pR$2}?dPLH)ySÂ0&͓̂@9`.|; +8Q"08< k<,0,:nt3^yX݊ՃoΟ2Ώ1hoN(nH~:jvNeSU9&]{]s[[tuوs6̙ӲZE DSd;Oc)BfV>KշShّ>{sW?3QUV=L|B5?^^GבOnPVYQaeg\~M2?L(jN~<^ 1H +ۄXUL=RVVBL&WUb?l>XSƩ@aPlg+mSz>쁱9i5UZw9h ?,XqR_/5/[qbn]]3oްVJ pFAo"i$THWӈagQЂhc"ٍ=e;G1``g{ AfR fy5W7Cbڔ6AVYۋim6?|͏.ݰvk-fuǝsqGoX;~sJP[]ding!Ku8GKp6p&C bZ= 綆8.*K ƒUd+Q4R*G"Gf^&X߱~xu7lCw>1'?]~`򥑧_{;ovh\KaᤍH`б,+tQ_v$14,noq[7;=8jP/nOJa6S&{kjxo4ujK7[uجÙ:{%x.`3XJCBUFb+z+ +Kaii CZ\5Wu+1#;AA-C"X B2I G"9 R (EdE#5F,j,_0y% 0Em1T'&>\޸C"Uט,FPn4!z,6|%j` +_Vs.3|؃;?3+=9Zwһqu] (x5 \}u+ fF(|>4 :DQ A@C( tS2dǐ'UU\ʰf鼌UOi'}&2I%wZgNa>i S]Ք-p[M:^)JMj{b_. sQyc7^6et^Yve Y1ef9)_0\\lk"j:El j +`+rk{>ϯ,m a +6 :D |5*b=ɤ~}LYK55ԀQ prǢ Gn9r5ի^1N82$'e<𷶗2zq  aVTyQ᠋4qdjOL׌djB9}8 6iyR/-km6b-o[5AXi_=oQѣ?p;'M O{~ˍL}lf ,0aŅ= +@fcX8A:_UB +R!B /J0Op#cfE`̋qK*^i_KQ5OkR^e^{dbm:kZڏs0bG0QTrG6!p/Q'N6 /}}8G2CZ]T ]:]}WqX(_8sll=/PL3(]Zv߬//1arg5~1,":*4&4Ka1JH1%k5[XSu%\"bqCcc"hL8q)&C<=GYĄqw<3k`~Od|L_7co }C9} 7V^P786ꖋbu#i[?w s|?`ǪfN?bZ1R7RLRDgjRBBգqfpm24 1.,aL` ۿio<|?4-7L7C',:(ȃI5Jy2Ϸ>0=Pp߹!ΨЅ?0u,eʆjs}lT,ǙuR軟zT;>L3;did@DJӕ4M=>lhRi.?RI(]CUJQY-P,+'Uݏv?g8S^Ӆ 6ğN8i,KS-t;t-*n~R̦+#S:֗%}՗?^MK}/ԗR_K}/t-Q_MOtqiLh/ޗO"4bX(,SkiN H{뾧=XCJ|3RW,=ޗ?R?1bqUTsOS2@*Q0,jJUG:(e##Vcb/2l6' }X +3ļ$e꤬cI1_HYT"blD$)uL,!Y)QR65^A +Qla$PXM$Lr(Q?𡐅,|(d}PC!  +9ʕ𡐅,| m?A#9c:1ؠ! y}34HN!y4IK.tEGm%6~Zcͪ@^VϪ)g6<Ն|'HCI:s!iyKjnGSM3RJkguɧޫׯ'ףZ_7t2eQ Rk,9p7zF] zm(cdݹs cM&'" +f%/)q8$;S\2vKQ_6Ny%k(BbCjf'o +h|xI9>D*W[uU?zyPgcRdVْJv{ +,{Bh XW˵Mh+Xqbc;Ͽxd#r5S ߈5Z]2nR<0⣂|GY tNjZzZlW9{ Siv=(B*$Fv 5=1Lq0vXvvk[onOԑ_9Jz~fJ( WY:^Ni6ꦕӧ8nn?+=[z!ƫT.j¨zHL{iFA^2qBF?d>ȵ"g$j4b hOBy߉> +q"Z2FVC_m[H:2[,s!3\CЗV<-GZžIY. +,MGg)xϼZH PmِraK!Ӥ|YLjA*|Eh7ysPZL;E>ZZ"^6#5^>B KaPo=}ʻk u6y.h̦b+^*DzT N"$WGБDcU_>O~^O'p\EW9ڄOҊv-]W۵nOc:UiZ˥::5ZYa/O,{^W۫y[֫KeZhdΦj6WC˲U˖!wQeՖpMg +f9K]2KQ ZSfnM!#%U+*YJqxƍW99N[۳tw٪]N{ λ}o9Fev&1y| j(/8ƎvK=68vlGtZq"YnF,ܦ.^ef>3iV^X=khV0 (6/;/Z2r3ӠyZ|hEY99*} +dkY9 dY9BYsdP|RH$Y}vqv~7cv~^q!nSaeaq좌T-0;$0swE>uvyjLj +WэŚxi8h?DTB)Wx }=ˋCG9=(6=zZתI7Z='{%!oFԶᑏceу#C/yیFt!Y?Ҝ>ިOfl;Vkχ-9ǣ_#SjmfH3E]>tfu3䟩?ܠ6@7u 䟫A\: E뢙N˄s䜏#r⑧qa4bʱ +b´/d3Zau׻a>}'7A~ +Q{ +>>|;ȟJycW+8\I~0ྒOu汕2b+zCYXbX"gbg)946+?9ʟ@M^KEARMERe1ly I,p ?GaqW̆ު)ST10ycfRN,l /f~UevOAtNbz?]tHxl>]ߠN\.Yl2C׻z]znvk3][i ) `a|c,7+]\JUd>/ϥk +ED ,_Y^ #`l$Kah638?Md l2¦4vnBךbVK>ŨX8eW*3,@Y(ZYܫQU6)[]t(*o++T&YjP-QW]>> Þ6P7UgՕtҫ0}~>Y/֗++oo?Um{/d2$4Ca<,Ca0TV513\02eL0jxTLc;Zau/G 4ʤ|2u֞Y§ș&x"Nn +~k4nJҷ>!]-{6 ^%|r̈UΌXJ)(M4l0nH0wk#~ o8^ontl|xきo<>ŏ+xg76MMtxo:'f=Q)=Ons+6?"vm~cis?&eqݖ^o-Z]wۦnKؖmֶmְyknz{ ۳l_}=_~fyjc;JvȎxk'jcBƹ%+7j|;#v윱slپ/F7YJ_$ +{n'yOwނ{W}Xس7}wۯߟK{<q`twӁ`c|͕ͫ7?|SqOMz)S?#Oԧ--ZnoYѲe_ˉifZx{C8tW>l=݇;k?¾H]vO(xYa3{&|{6ٙ'gGGyXz׎{T\h{W?TH.lFɷK~@򣒟?Qk&9|vE]RwDoސc!o1|y$2=ft!Lwa TքA#r"h8HeMLBΛx2]0̛YT͖qwE6EFEDw~+]ۣߎhQcŘ1'b~[f}̉${wŷ&ĢDBEž?91`@cG'#oƜ\<8sbȇC. nzXu ?|3#&#/҇ILA]P_3<%zwG|ͯa)ɋ7%7&Io9du)ZH'Ss_yK? ]|-R| +ҡhz#1$hh/hh?2]⻃PY :;q:t8=pƠNyZu8:`uXV: X:4M u_'u_'u_'u_'u['u['u[Z(Q1!S2+LP()>|!b^5[Zk5?hF+eg@mgAGA~y^ : z:>}[-K}g-T Zr@nP5.T`6Z@+AA? <(m=jûV]+﶐w,˅ܫܣ;x:\{[t=9.C4 Q'N  j"h4[M д9i@$-@$-@$-@$-@-@q(ZPs@q 0+>3|cgeE/_:(Zm@f2DYͬU"M+GZ"րHk@5 .DZ"րHk@5 i |"i JK(䥈8 -@AU 7tkG#m툶vD[;֎hkG#U+jge*Ì7@~w^quSѾS,^Fxޭw+Jxޭ+Jx^ +T3FK J[C^uϧ];uD צzD J%%Dɥ] QRBvX~Y_~N[f߮t])P +C :+uV@.u$[dLZaOJ<-$CNbYzD聟 +Tx=F4֍hykQGY(ZTe|5_FoD}Շ}eaJ8(Ń4P(45&OA/骉wiMc; 8g;'P]F'4%34~K&;;3q^u 4Y =kL3D<80֪f5rEM>zLK:ʗ mhsZhtZZM0ElA` +ez+1',7ޓA/e4ZsGGw!>R4P2hh#z=p5cϬ<+ +I'̮-U[X| +簡8 NX *A׃&ҊvIt"B:ᑡ*ګwCcl:Vz3e3ٓsQ}zx} +:Z#^Gi8V8ZGu-q<Ǚ.%mE;h9TL_eEb1o엾:Ԍ4x>r3׶A\ZZϒ;Pr)3n|3h :Ъ:,<y,EOSfX7KDsw=2|&tc(4vX2@Rg(;{%BP1hhYhY_puߛK*. SX\ЭA^.NZEomr8UZv6֭Ug0R3Xlȷ3tI9L]Urԩ\.DfwySp +y&t(Nx L{ ^^*A|4S]T<OuS]^x b *Ɓ"]@KaA#]*ZvrhHS_0r=; T:4 ڠWж%WrA~s\ Rho Ҽh.: Zf,p'!Z:wJ!A *ׂ#uއ\&j!f0ߺkG$F5J:0n7p"bbEn܂Xl ln$,kō8\ҁsIom CP%9  b%&kZzx:kBH"L#ƨt\|:u+hqu#hҊ~k 4axJk D] | >n3=mLh:F&t-fwZ#|\: _F|-"IՂVV10|;G9]( QY +F(#VG,I6:yxAu>)=)eлWa|.$C=t5A5U{ ;:8Nz[ecC@ٍNtM8`.7ǣR.4QLA=~ϻySRZOQcƓy\qf֠fjND͉l7/gBGX#EK1],$`~l{1wI)t[Nsa߅Өy?kRN;M vPzkޘtI +T:@lbS|gQҎv+C}gaȿE^3, dUpu/ߛV %jߛ};|k̻^󭳄L5?}1_+~/QO^\Z\kpU +V*Z\Lau׉k׉дVCjhZ Mub5uᒯ'c? F˿Oe}-^;k'{^wk ŏ2 =[ѳ;D,"ZKVIIđqEDDD#n/Ş( 掜7|'{ }74I!W}Gv:^겷;Z~ z/>Lxw#3^kHN6ſI )ECzA1iǘcL1&8I4rp=)/Da+C8˝LN,woM+X|VMڦMblBӄ&MlB C۶Mq1F0ȸ2b7UūʰZTƏE> +06!!!Ɛ[%Iv{;w߽{ι+ֈߡU~yVO +cX[M^¥ / +'&5h\1 \J)&qŒ +uXp}8HJ ?$}Xzq=Cq%%C& 䗸x'\F甜pjNM>==ERgg ܳܳ${{|{{s>E>ȽHqYKS\;N^pgH&w;O>ͽǽGQnčs$]'/sp'Ogr?%ϸWs?'yܯ_rs~K^~jGa+f^ͫI44" )ȗɗT>i|y $_$o?MI]n6_@3_גu>_x#!Go!(q#U٪l%ʣʣeRP ?Pv*;Ie%.bP&bTڔNbRW^$vgĩҧjU]U* bTJr^)rAU %D)+k|Dyr[՗ Q ՛d^-_ ԘLW\yM? :,Jbi #Z-h $3h"!]%dy(_w!l9:-D @)Aw37y6O g%|wUyeBh'Q۷<܊16456RA[ [e O"ti&GFMd% VVb +N}p>l#l3̱;yy,"PJBĘG] <Iq@H}7Ňb\~):P +e(`x+昲ᝠat: +nAzi֍M`l(6)6Ipv`>Ё92r A& +9= U 46u<|cmSIz%'LleVG11z ?H[GlgXXLj'of߹rb/PUߎr4p}-sx}¾ɾb_Ήẻg_y}'yӤoˬg_f_g߄zD=A6ofƿsRrbUIH|g?(6(^AQ+op(+({Os>[z DAoSqY'ѐrk+ń +W!(EU /rhpT'$`s,&$}p'ZPK}9`p5wJbfi}/ #W7rmD5g ? ]wA>;b%{#o׹H I_>ـVl˄~Z 귪$L:(ԟQg :G%_'*U/*ɪjyz/ERBUWI,k#j$^"k/V=>OmnUҪ{.HK!|90ld֡Y w$:/#2$0SK% K؛_RO %M *JP%)ym$Τ KK/"-¾PT=rHNr\'3d'K\%qi\&*:8+YQRޗ́|x_oė.7MS|4\/7B>/'{"|.p0 p}>7;?343iuup|5W}{v+? nҷ_w bp#pW}YG|j|:_)Zߖ_* Ez9cșD4rnt(K?ߟ?ۿY?迌6N6j|a{}QfEUepeE>_hُ]hv(}qoɾ43gϪQ'̒1xyn=j sf!!x B>D @Ȓ+ 6E(_BN,S@(vWb/PPt(-/m. +mr[{xuo5zm^x{~qywyKǧ(۟П+e`ay|*ל{:Z B!`cI(^%1KLQF9Hr{{!wѿ'.VWN^ YQWIz> NOɫ꟩ok-gdyL|&٫Lyj~l?Xk `30%S` m1h_JOI@݄?Z(96DZ<hpDBT3SX1X~Y&cXU@2wvI;V <%%o`eў|Ayi/`1XdNUzeM.C&iz5 O}Y=K?d]'S[M)kXn@Z&3Ym>Mo_PZ$ִ&YW?,D[:" E! 5Y6CD/Y+.C,ʴLCѐ͐r-[DaR3d[k5n3XV8`(Cߋ6qPw/vS.qưjkpA~YKL3Y*O,?>.v^, f3 +Iׇ炙S!tw{3l1;rv'S J{6CuHY!bga;ϙU; uV?`½:27; 7w8 Q2b.qD,ho?/Z/NXq?i]t̬лú9-[\C9YhH2D9 wzZ˙%9K%~9vtG]ݥ6m$ubn8ڭs/Y;Z]FE!gUkaw3PGBEG.Yh\}Yg\kp6S!y1|'͘}ҩ16iNCLCZc~n>-ϢG (on[u@Y($ꎗP޸{l v`LwtrV*;(o,UB.RNkqwMIc5F!mٸչaa ]qgk)e#]MtbI؃󨱝5$BAz[\`ܩQQ9mzrZﳭu5^1&-Ul1NBX[[qΖ 8assxx>l84l\mt6;xۚi@$iJަ8m[EbF + 9۶:Pa7M9 `OV&zFSs-4nkuime-frQFZk":eZg6gkH]gۇ83`WGy6s9w9Ϻx"S=w=BL3`ZEc>[a./.vlo%7[C#^[[o0?w;zim1%"W abIe[frFrm&u<ѱ V]؝6;z+LKZXQ~ 8fh@R(/F[4~ڴZX:&MɆfqi툘l*C%Nq޴ͦM6+u:Bo"l2v + %R^yY9%/bLbsř*m54R֚j̃bi/ƢxvPfH~TJ5\k*k+-ü9e[KL:CtQv3v VG2tVM-MmΛ%W,yo)ڈEh#Zlǻ*Vh#Uz:\;9]1 a4иO滎CA}G{B'- +I K~u0oZ8ۖnkDl:N[2;kqV,B܆k1viL`guڏf+f?\Ƴ\lww9h0|1-= +Zh4=1}yqߓjm*d0ݴld<98@ +"ƲpÙbѱӱl腫TbMt˴5Z4t/]{L]=XUN#,aOA ۓ= e\u.Ɉ{3+l=Վ<{.t&le VR[BlgmѻKoH0# f!e A]f0 ۋ\稿 zw{bZ۷4>S4+\WMz3&kP`tMHt9=85iHe7͙)^=Ӓi޵`(41jCІ~5u6S%r" :^]l1<ýieF}C7"*Cm-yzU0{io95uI0.UiD$0YkM6ڍiwZcN7),}z}Q">˼hSjN戎]Z+%PskV3ݍ^]'bS!g]1 ;Z>;5I)ۑ!WO1Lӱ(ﭧ|YzGmX+ָ2ByS.tx|Y2oug+yG)_٘ ݅ٽiد|<~#5.݈'9<^cQL5z.)gd5%_G/T{WI" I6 rC$f\oq2rxE2A!?'M~A! 8 os\ qqppȯê¾I~f ?V] "n{*la*/V~Y^PSmWWCQ+ ;1rFՑߋl㕑y:HgeS#?\xёё|c*Zk㢟u?ͷt1QgqG eO)?PK-A;U쌗H!ĒD!Lb!IH<@(Ra;aP% +uB %"^#|DQDY8~>%70~3(/_"$/#%_<|맡TF1%ϠOd;/J*֏OOߪWPPA% B&\aI(J@ BP){zဠZ6CMp!^|€0$ ~aJf;xg\ vKN#gWEa!JljųpI ) k(h(l(n6v ٤GYa.%V>ALp0e_ 8y#$?I + !eyy+}%n1vg2hs=(1жA #+{LI ^4ک`e {N#uDim`}A"Cꌾ:5 hS֍v:>j3qQ\^xIu+ IdzFATnxTʅ>h+ߗ%MaS)*TGB el?V9j.hT)6dݷBrV@JM MIsig]F~]fVجo<خةҨmm> 38$V'B1q(tNc(d?}bKhyX`eğb#Je[G+翐XB}+\r^]Ak+ +*}w.ou:6KwJ6 su\\7ݤaNX?ym,O7'EAr֧-э=v-իݦ|W(9iuӏh{4&Vnti+u ~?8vmK;odzx;d{|9gmla18 +\OAB4 & }e\B byZXk\c1q#.|R" E|!5;if6M};|;wsϽݗŢv|QL,z'/ +}}wlGlG+Q)R^K7wB c;$3l_a;$vH~IwHQtD@wH;$dCx(výtο@y^ǀc1q\vt:F 3p\s,8+˱j G==h0 +(agîݎmӰؐhr45X6T:xFm(RHxnr%b[.wh?A+7o4h(_AN\o-ϻD߽H|^pQ 5c!X6F9@h'ߥK"pWh_PKb|@' ܝAZɈ6!mF@[PгodgE( +=ڀh(|9ځX^`}u5)v\tu]׀5r2/])׌kx eGkŵ^SQ(w->(w]n~Rq# 0 |%%GH&je =~7Z):|_X)@Q X3N+:lov? {>WxρQ__c]FAK0_z0^ $Ľ +)њoݻ`~էmghn-XIӜTa/ߑP}}=P`|s_[.kE mP;akbjs9Lw;ŝvgMK\#n+Hu|w֤} J6tpr-_t lTZw]֚PO4|M) PBV5nNqCsкYq]\zyơ'SVPH5>.pߪ|8֣s-{w=<3f%L:>l2=dw Lwݧc]#OV:kX]Ђ77> +F`}۽h珻y.ך]`%y'QwAO"'ٓp!Glly,eƽP9I}SᱱYFlLۧ5?~8Nf|we,+5h +t>m^{֓=Ost6R_7~t},0#kfō%~47jqPqTo =^U5wyb~6uƟT_mOM𵷨F>(\T{F&js~j/H(W{1];K_I"ӷBCB7K.?6QBd@* ER`ԋM"xQ !AD  C"d `0!1ˢ̡7\zᛏȪa"}G1xk5YLZ3P i,ga /-?׿4%HjhƣiEw4P?Gۿφ~:3d'(UD{6=))rS$?oyaM3~@*D~;y mC)^DwKtQYoDu{AُHt~гwT&o{;IӢqHMCsS(6tWP\B/Nݸn%l>Mϡ]7 >[(}! ڃ^/P:īK_M7h-Pl}!"kQ.š5͈<͈7#V6  +W$W$vYط$`ߒ(/K%T??OO2DoJXqAo4:{q/"} Kxr|A_gYT +>ϡJ|G_CxU xA5"?:5CCT{ ''E#))r]u33̾v6E څ Y<MMעw|Y)ge}.wxiJdH9&q)!9/@PnP[2e^ j\&/r׃L@&I +~KR˘2`z 22gezW8 RTqКP)Lj}JH}Pl8* ZRRG0RoH}3~OONhX^@K}1~ԗXO/İ~{{z/*r_ 7"?FH&W}5h˯ +媃~Or-^&hۮmrAS29LkA &Wľ`rua7/]6vB8HVo^{{>>"d #{HI8RC_N.{H@q(_!YNǖG>(YgqZyLY&klK[4E:[؛lm-Eyc|}oysZ7;O|"7Z4%:-9|gK%4? +iJv^{][RWWxc?,(\F7!Wyծbsjy{^WΫI{:bh֗/q+~>>{%1=EGM3.3Ol9:o# =+pR9F\^~SsweG96M=^vѻ~MWMcPHj*iϐ~H#7P y}JRKG9l,7/m*`_p l|67e6ھC-[k˵h*Rh Huj 0!XBEwF2Dȣoz'Ü+ +La$Pt~8“ovɊF̨x3 0j\p.C+ˌr5&=M˟ɏxΖ˯s.4($fkF@u&s9?>sXzxRQcs"Y޽v,`5(r8S~^@)2n$̋bD` [mۈhL[d-Z6?/%В$t++9o$wVΪ pߠ0 1ZbI4* ƇuoT߫9ǭqCZv-b>J_>]=+@<#5϶=leL"kl54ٍUt[T]5Rr,~o8_8_:jVm.8y\}-;625~e+2pUQjhN_h۶ƺQcmVd*CL!;>mkؒslc} h)߶ 4CtA=ۈ=x6eY\5FkV]paOM<4hLYٍٍ66Us+urPu??,^gv^nںgg\N7.o-31-Բxc}6OzȬ-vcg,V+k Cq8O%?pOkӶwm&jj헠^C.)[Q:M}o+GR[jm-vq9Pyhy$]UW:kRxvr_/J >4&kCi@2^8E.C-4~ؕkK]tYyv!G\gA^]|@+;mK@t%'[ +Y@k$\%*kUHGwlVVr=e.0 PwbrH5t2DW2 wYlA!2wd>pjLKCƠ/9`z#6z =zD2/ݽϳޑw(X[Iv1P)~?.P*F :$)j7q9%lmd86PQrSqiu>>Qr8 +5ܫm>RݭVͪ"IVըjaCժNTWΪ,UT}.H +)&cI]FuU5KQa2u ]ußKJW-`P5 gC|`*F5CUPbѲjor^ի񪂹B5ϡT>^IjT(a(!9}e2i%̡IH뤾r+۔pǪU$_*FYCj7ƨJTVFf%)tN2Ay[HUFׁtQefGk?9Œr@⠌s;JI+(++n*;p-Q*!8׎fhW^{}1#QHFQIM8 )e&a*@eDgI[zS{ZPзД1\Țj@LJIm(z.+~K`=HiS +&%: ^Hn3G$ &c֦8-ɕD\YzTI)HO:!5LaRTs=d @"Aϟ^&9XD"Nv 0ȲB-Is*HOn("ۂϓ +<,;%1E8B$dLR!x$9p ;'aD{0xLw%HĒ8TN`Xvc]&=>[AB4sԦ1H˳k֣uzc])gP]pJߓ6{m6P:(Qܬ}'tyJx|7&YoR<,ߠO9cK ; N&XR-yb\!ğ=)/H3 ޸^&K|u,"`~qO3׋Ond+ yħEʒSpMV+rm(VǞnbꙷ[Y30o1Yf{"r~Rѧc1<2o  a#:ZcD <]Q~rZEDYpp pRd,ap#m}y/޳ +Ý* 6Zߤ#F_2wl6" ]Y V1^>wt e-2^y25Hjm5qW#oDCb;m{m+*ٚۨ'd% ڊY_XyokNu e+MlR|t6\:TF%@<^c?J1Mrllara3SA8'?&#HD'] Y)w3>) w7 +w6 νe#G}c%űa4π/e+A(BB~ zzB {T޳zTVg͠ c %?~?^m/Y_? w} ,=SAL ]\ArOCk`uQ&a< D$8D-q!/m1) Ed-|ǔT8b) #Eӣ‘¢KyB( 닯ԅON +L7$6*~)F⛅C¶{^x\<+/P8Q8P)zmxp慞⇅w +ۅ㲐+BB( +yׇOH{ cÅz~I=z/B 6:\E""_)>wŌv?*\e,S +ݵBEzkKhvDsXs9oN1'q8TsƎm633嵙v,uNXdNɃ@ȯ- 4$5ů-q6.wtbͥl  +M;~8oeo%ƞT#V;Ͻb\˽; HY;F>\*MS2l L!6K@ט 4ů#z9B-'X[83f\0r/ƌm]l%&5r֌1±5~- oߐ#R!5"?3HΒYe-ѵ<>l] 3`Ŕ`gJ2M)|Sb2L&TeGyQo4gVIStg:a>c:e>g:m`7_45_1yd$<`:ܰ1\i*773.j-(1ۙ^мԦ8cyWWpǐEϧuZE@Yh3Ua,δ3YḀ+X3~ X6lDn:`1މХ<%b8g\<y8ݏH|>6b4b$#P䕬-<(M)6J} )ڢ"lgFů8iƵ6̦YJ2wŸQ/hG=0N2Xyn]q7ik٫mp%!(eqr9ȜJ٫ y>;z3W[Anh_ +wFRnh@#Kɯ]mizH̭@ +npC ܲeb-`1_&#V3^ymo!*˼7lo|v~xLxv>2 +uRRdRkAJ$ ôti׶ G&qi0D> Z;+m3.£Y]O[{{#4 gd0 +qxYAu&즟4;xG,kj?XҌa]iNI;fO;v2/HX<I;v!JXdFHZatbl]H? +!"ќ֓v}OHI{jP=ޣ4NUHI}Y>=7_"[~3F' Oa#?b g)_<=V2Mv3&2g?ׅcv|x-,LdӓIpbUVPҷvwޣ2/*cz=4T2Nsv6p:ÿι9m!IcB + MhKH +YMbEz[Ԫ&++Y$Mޒ$^z{~wss*'JLFl4G$XZ");n_#/; +7D4"͑IjhφDNjam|mΚ-  _A_\Iq b#VdbCC)и#Ofr/qBuÛͰ:L v_eQT-KsPcsB}f.D;I{@> ] wƩ<]B`ؐ^ )# Q[`ؕ4ȭ\7zȇFy?m Зq942ό^?p֜5<yA_+>bjcjҒeMb<' , IhẐ7aa6B o1:MymWQ#_8qVK[FI8̽FS=az^4:Cd&%-@ލN'~adj\J*{+wq $q; u<65=pvNex&#'_bNȰX.MO=OKgi}Ր x JYPn߄|HſFfG&˻U;"?1# 幆9>d.dk8Sٛhj0<J>;e<7my߾Q +SSb_U#oR"ϔ4~8bƐ#VH!$ rI LoC\ {829jտ<Nwg5%=S׽ن/AK =ǠEyQE%Nfݥ?IhO\Fw,"CUhr,V fz WC'T'&hDs)į PpٰkCr ha?} e#'6o)ШD@ȝ!9ׇDauTN#?͹KNE}-4B_=z?Yi!'8_Ο>w/AK =ǠEyQEcZ2z֔ HhUBG"o tΐkCNR[hƥyBI;'As, F蕍ܑ8OR|-N/cBb\\,Cf$z&LkO1 9~쿆 uЁ-8zz B^p2d,dFє ߎ +ND3΄6W@rBEs9Xs# toCsl`m4͠-o|MG&0w%})SB@>Hh*yBAзByMa;bn7,1x| M"*F\yV?yLC.-!+(e +M+C{< N= +оY"rVF̣hX>Ĝ+hh"> QGr\D3Je{0\ܙжhE𮩓 SY=Ф+ɺvwNFV}\Yn{Fp5B^#՟3ANe6PwIp +4ː'zz6^'KޤZ BX +.. E-smEEȋ(ntX_[l"ZNڀhrm Z꫈PTRlC^-x# F?uVd+aV;'.vrK Swy7zz.04AWd__Zews%I7*תЛl[ y@Is=x锚КĜ4> x"4sI|<4k?#3#w"dJu[>o"h +^y1)kk_waոf䮄vBNGf]mjJl~_֫XO~Ҭl?xVk34N>{qA>`ef g^Rd=ˍy8 HksA# Jm#4 Dkۼ1AђZ(C y.ceդx3~GY}[ +a/}ȕe}r͵3$^ PL" N"-|isNQ_l{#ۄR!|NǷZG'~8bM$[O*z[_8GBпf?d Jo5cbɽ>sO2撺a/"c8"zoƊ"7 "1\M{^]GNK|Z0-Zޓv,b1YdZj5j_4c99 n"AϛÔ%K:nƁ\d9AQX ֎Y=rR\HsXkes<⼎RM +cPGlmEBu ]ck| D(?z܈.9 an4FN %rLIXOgl-?yQB5+ =)v>|,4-A?pV:Ճu"Nևә83)I[F买8}᭤^5QWHVaND;oޏj2afH\S%tjbPW'rF7R/\k 21K4`+ +⓶p<@̪)$ov8G:þ e8o`!v@HiNqԶG6QΊ#GGQ9+-r~]O 0ENQ(xru?mc1k߳m|-Gَ ܧ +f1~3~֥=e+#`KƮ)ix˜@юĩd=lCq rb?ؾjKw|uvW1O0rmhfrm+r OՏ<$"a +Mh.8&.kDb:WT_.M.e0W#܋k,{9p&s$=U!zٽ`BO /u$`egr@i4PL:}wg t r%rD'K]Gpop){<OT<1V59q^w]ZZ,\i 2\ õv!AnC_W/ 5u @ N0(V9;$;cGVYe R8N +b"WD eߋouk%w' %b.6odIIЍ0tu=qbX0 p0!FÈk8׻[ڧ +GbE(s4$g >D)SR]Cii# O}$ɯ}KddtNfH+}}+fD īҧL=ɬ',&BOi!n`C~8In4,&g=0z8h|4?GBWj^e,w?r|ȭCz#?U%e?aY" 98&iaA^ rb ;ieer5g:4-0|D_l<2[@n$EÛD?y B%oF/{2$F}~ʪ+3?J,DRwYf5 +V`rO\Ί`q%Uc)32QPG'} _mٯƆd.q)* KMZbe"GU7C)ALd /Vn%+쇿`$oq)lj6===Ԡ +;Cz6cBc|:T:SV6ojH|Okq|}k[gKIt/(B9;Rr󴽜q~4B΍rۘ-d.zBcoz''x ?'NyQx-5!TSbU^B a;X\=DhaRqn}ȏ: < g-|5iC ^!X1m*o WfsBIvGL&v7r|}Neh[ZO}_bqCrƴ ;1*9OA;L(z_V픺6K_RRT)TJo*7қJJSRT)=8.awsnxvHⰻa_ hjʉPF<(l#Nv5*k.9gN)f1̥>mfth-ί OI} 9Uސ=&wD݄\f}jg.\{aQ1mZ&-ǵɏ#3Q9ڥwO{̳+(!m̱3h5ӱ$P&Յ_6.mޡG([v$mԔuk[ c ϵmkaTԤ>tfOJҵ(rKU;/4ƾkw BVڶ\7#Յzh$0g| ]fF.a-a}X,̅Y vQ4f]R +&o>t2H(䬌Q~]6?:ԩ! T+?vSW+yfP#zY_%MfƹK=6!*P6TU{UETu3?4RY`rPU' 6E5Vqa*3 dVpUwm1Vդ:|>B~X83fOUY]o\*f}Uݯ*j&RpTP ւ `Sf̘[0(8N0l< po,(Kr7p;?1b(<Prfi*J +_UHseS݌qV3F53c^n3cRK3V6v33uٙ75#Cq/ k\W6nk+9*L=3]ejNzU*;*_*]3ekW$k_~j3nϕ+fW5@uJi5-s\sRN-prNNw qF9E\yYlt:;}asֹn[խf ݦn+Nv"wpcn{^+Utнt_=X Ao;^}PeWK2^:yݽM|Մ㵵J|_B9ɝ"mƅxt%c0W-8|Iej]VwpyUu7*oZޢuyM]vιwMdk+]Ʈuvխyn6۲nv={^dϾo=;9U~~Isoߛ~~ygУm=79=&s{Fczx E+ 5ǞkkosT chk{xP-RJZu3+*N;Q%ݬ"4:d.m7{fyoZm/QvGWG)uN]4JGGVD2țWG2F+WF*Ցw/VD6Vq\qedUq\y^lZebqEd Ǖs\quC{Udk+"9|qUdՑMG"ss̿BϜ=-BC\ R%z$a=bqx$XD]둨D=둨o= Ghz$Z?6KPguI#dhz$b=MVDXDV^m=f=F<n=z$f[L:h b?њjF)i4#K/_nR?ȩ + =R?H#7iziz#MBz$+ȭxifGCiz6<"H#B[L3bچiz&732Hepfk%#D5s2j?HW>oVlW(͎F7=4;:oo(͎}$l_\3O/όcjZ쳊J+R")H`EJ*R:|)I#NHt(])G_THEъ}Uc9:^9:S}S92}i"O4]hIfuYsnku5´Ejurn41FjCXObwFӻ"jF9H盔LhktrN9{Nre-%?Y鞷)KJ;[< 9W~k Gsғ/{~^~-5e箔HμΝo-49zd0ٔw{]f#h_f3 +Y5lrS넲4#oKjJbOm/OCzS\fs'vi3>Q/)$/׌kl?ٗ|V޴ _Av$SIypI#\U^dɝS烩nB,iR1zUr+\۹ܯ9U*N9H^T9UTJY^TGJ+c;O|,9OԦ5~' ״ ][7ЍtT7zz^WU/5~[[vޣ?՟CKc\ǟTN;~G{Q1?/i?ٟO ?˟B_/[_wM2O:AڠFP˔;#duzAa8hd͂E:h c$M~=ƂXR,5V5V=V#V;vC^AaqqR]gwv +" ID\WDDDDDDX\`QD (%%Iΰ(ET{izןo7]uSqs*8gNmSi$9q}Z/U}]пۮt}ߍu\psn)[έ~Nwgrwnwwrimb-|+``Ϻ$Vq.g=d=DV>>X.)`}d}DqV_/݁@R'l Kw\#)eY(5ɚD9ħRWW{j}ڐIϟOssp~p> . .4bIٓ49Gk^>aECٻ0';4=( 4vJ9SS>s9h @u8Uh$xS&2Ԥi+D]*Q`hHSX-hiE1}S9,f+ +5?.n-kG}վabKW9?]c M%ωu'v9"NIaQqIiYyESǩ4p9N}Re}SrulnMpKݲny;՝uKݕw3|;A]B-( +nCPv,T;ՎjYA5م:g:g:::C:: u u |7t9?t4 4404hnhܢŠCsš%@ KB >5, 5|jXjQa9cPPǡO@ +B V>5 5|jXj ԰*Ya"9a5PP5/B kkh/CjC^Ձ + z U:> (W(כPP\SSIЩ&ЩdTST3TsT TKT+TkTT[T;ЩT{TTG(T*TTһPP>]>C}B}A}C}z@}zB}>| 1ԧ>IOT=^DKlbSusgqƚX>|eS!|8ρG0l!~ kϜC Y+ZAfGĄ=#_7BܟYR>b3wݎ?B=9֏q|xx5yi9X%.\fu9֐9*|~pˁq6eYf+Zl)38x;xy*u^q3֕DE|\+W">\ը&p\jB.3|M/I( ON.]E5)o +C{Dji@I6Y26q>=+3 gF)UH΄?uE +g ۅ]lQ#j P$+8.8޼48&b>s^>:po7ݟ__\1B @r%U +XY9Q\U&S_ riެɉ5hdD^W$Fmu}n [OIWGc_h=X#(A:]z6o\ZToOՌ ~!Fh)Vd( r'fsr<1X&urw-5e|$PuV.}UuSUS}'I =UOr?ןzgzzqz'z^zVYޅu(jJ*1sM>XV"Umz99!rȁω.pȍpEy )/H>\qE- +TP#Qa\{pb1݋+ULl~OqZ1q1qE"SUzGuR搜tYRëKf a`w`떺nfnЭ(RtݑomNM)=u`޾ͦeW޻#i:G;A?ӯm8m_ˡ,pEvݕCw])yݢ(?GE?ZbNЉ;1^L1,nH,t7R_ubJ!bثv8$c8c<9V+ŰͬY\ߖ-ЈSjŠ\Q{:R7zzp(Oci_4k= Di =E{8T}$)[vs"[Oj/^[jm^e#bUՅeՇe"75yDJD>j" kWb "ڈV gl'F"Fq^L$&{ uSTz_Lө)fR71[̦bK=2'B@,fŖ>*#ֈ5WkX/b>. =4=B._(F(}*8)Nx11|C+@cN*I5QɪjD+pC+P^x޷E6j:DiZ֪jکUj: D'ԏq]A?+'u%U3~V't5_5􋺦~I/]G⺄~@R!]Z?GtY._z#/=ըJkWua}.AhWguS;t.}έvw. Og%()朳ɜw'KRQORUǪ@5H VC'j*]ThLQjijfjjZjZejZV5jRUS5YMԒ󟤮h֩/uY}6mjڨ]j:NS:.uM~Qj^mV[vCT{~OPZRߨ:Ψs[u^]T ~Vo1:VmUzsQL@_< +e)G9<5)5yjRjԤ"II%SPPQC=*4Ye(V,ՠ,ϣΪ.E< rԀʼ y *S *2ڢ(B8u8BqBq^CW:+hVgbYƱ j z  j J555@R`%j%j %j%j %j%z5l"mۨ!vPG* + +`z + + }(TW(T7(TwՇթG؃`G'R!Q8aƋ>|8_cYq7[8tK=Cϝإk؋W3gOg?˞lx! +5േ~m֌m<5yM[3uTq(m}*;ΡNPI{s8*r+g_9cֶDNoƱ 2_湞|yP^\K.kƨ='?s2YO+XeL<ی0WdTyWAӲR +ϵCYM܎JuxiY.7}Cz5+̆uRs .J}V\c-9 +q{}p=gV&Dʏ=s +VӶStn;SzʛzSoJ*݃QL+QKTf;;Гȭr{ +UFn"J$$`:F~D| pigl3+S8OTQTE}|#[G<78MZ>~ c0spnf6(=)K2Sh D N.#PrM4C @Ɓ|,R!C'Ur(+LIᬻ7%8\.G)GI0 $cJz3X?P7 4dlh(+ 4b6ZĘh$̘h4hhNSĩuRdgsȹ***ӸzT6{ 4ԝP)Xxly& L43@0= 0 0 3 3 O|a +ffaf8`{`FaI0#3Ì3h3X0c=8003L33D0=$0L0L3| 0_x)`a +ffif:`{`faf ffYf6`f{9`怙a悙 ffyf>`{`YaYfYfEf1`{%`YaY +fYfef9`{`VYaVY fY f-fu`y`փYa6ff#+0_l &f0=0[l0lN0;=.00^0{=>00s&L9 k0_s! o|a9 氇9#(`zc`9a9渇9$`NzS`N9aN9 洇9 3,`zs`΁9PPQq FCRB3ӢSnrEH^T3OJ_3sr}:[Օ3S.FWݕ$J-_' +|sߊp45=C|-bQ]I9^N\'apʿP.$[.Kg5cu#q5zdVGzg[Ҽao>FJ3t=זijΚ/aʼi(<5f ܋+'fǍ `#9&\,C.6r# rqc1bUSE/&^/4W[P bxKD!4$>L_ ʢ M\ $EZ#3uƋb'uDWOj/VtmBDʬdDx_sGLᙨ4j*-svS3A}5k]:/H̪[lf92GüDg!lf2ˡPH|8 i4G#fH3p8 i_b?/pVRKVmdh]ͪ#цUIb +eb Iq׌ydAY4JV4dMY{d3Fvew[V:'rfWpI~8.ʋ)o[>VlV.+U*fXJVUU˪k5V;+b2 FYc kZeXauG>%JEJ) 8888ITIg   888 8888 88888 pp3p p;pp'pp7pp/pp?0x5aQ1q I)iY9>#تQX:>(}Q&M̀@ +=GHefDiQAf\\ \GSYx xxxx?2 0-3{6f_ ǼK.guy {D3 N"x(X*෋kKTwaMe[O%7i8I@)!TH%BSTETJ1Q.((J,**R8:w~`Dne"UA b8!C?, pL| dE? !x!Up|T +/ nx9`hz_$L;ؿ+jF4l  p f4#? ԏL r( dB ƊBtkE"}bzDRADp!>GH ώx*px'T}3IVz9+anai|1EB}Hblm*85 ^UU rGB?#Rd@Jla(Nr@@?FB!/;6s=2\.TZ2(޲1EZp{[Yau}֮ՂDq[3 ^[` +|.nZ v'LIbʹ昵7;;(Ur[ +7D.ߪGY6r1>py{9l,4Q^+櫕. rw[M&dǚaL,PhYSZȍ$<`E/B8kq~@M<]e/੊xxU1x6T`'Dz>h"i(`@*d +A%"vg:6 ܀@?i3aC L.2g.3|%V_A+`}8uZWCRi)u׶+ⲕ ™^*/Q&ATG:Čg+MJǘ7dze0"bC 緝mw^]J?W|))n?{tU%DtOHRJ&Rҳͬ3rÑJ/xǥinX˫2Qm$)+qWIifܣ1&( +^1s̐ hESm&]47QݡVTR_ɕnfWG ࠓ !8^?% Vd /0~譬>Is(h~6C !6p9Pwm;[П䇐69g/,3 }U'ygٷb(m:)fbF So9z}iBk6$j'QJe&"40z;`%]yqJf׊ +FšbIb,-|dfasx{1UQS݂dQvgm$R K t BtRސ|%Y>.5{ũe.x*E>L⳪^ +.dbm[9Q>O /-⣖=OԤ蓛\U^d ܨT%4+q},ޝwe: jB4c +H;n +ϴ?F4;})CKMaH܋~eKix>/0(zѮ]pȠ]P0U"FپxM[ymY靷fɧ o8GG&vVzN{ړ|*vd@LSdDd<bN?٫9i;qG)ff5L;p- 0gg%smM{+-OP*x&nW>C 0ʔ RږWGѲ"4z2Biat9Ꜻ:!7)b~z끶зsrkVg'悴'v* jGb)0aP(?/|&25Wz~YX;`[X ~=4X[D~~ .c{{)lX;*' 1@ $q}BAl!10/H M:NOF#y(PAPy  i#hCk;`S3gܹ prXM)ϢN +itnDXԾ,dU6u|x hR>tuKbcwi듐K1>]ԧ+Nzt[DiZ.S3wxJj٢lnP"NӶJJ?t~6|*Ç\?8eSyb| VI$WzmQ:ܦmsOCA2[eY8ܡQ`&}/ZW,  t6m$7+PJ_vٰXU2iq-.YB0lL/m+Zə ܫbW)50%  rmM? >1M;k"J wyL|E|SLUzIsKQٳ.F e}K/)"fcvcW0{_oYj4̍F[D(KN4[T[ęF0}hKڤ2˰t$KxP`}`ָI#Bm O7CջT'l''ݍqQE[z2C#/ +endstream +endobj +1992 0 obj +<< +/Length 54670 +/Filter /FlateDecode +/Length1 498512 +>> +stream +x xŵg4,Fhki68Bl0j` dn .$Ec  Ebl$ !4{0Z-y_{NsTUwKB!,Iͳ8ikB^L9cΤfQYupr6~//h]݉P`ٚ嫃?Ks֮AyH@<޻|ե&tPطsym_Nu.Xz%X+V]kC*Vsɚ;'XjP>sVEE-k.X.4ڧk\7m{ WV@ًh{} +h{A{x>H@CTwVW[9[KOeQymzy +|rt^n;o19 n# +Q)A.&8{ AOz+V_R˦ gz.C(sy\c KI߁Kk2\zi~|`q,Xz%=2;Le̼Ep̧fzc_}=owuyZiK 8=?yy~mz~퓿#yφ uz`:',bw5oIKmZ{䝞Zgޚ~zo4ow/u`n;/C KKOHKS_H e 5?H_ut^I/}f43Sf(sFHs4c.Sӽ9azR}r\ ?R?Sfy)d #{XCfhgAjL2 2Nu|;RXgqb?kX{4 cOf3ז^>5r>|·C}PxV8ߙy;w/??e1s'k^x0Yz'=88P/õ}!CcdIxt<&t$梑ƒ6SzׯLL?P۠Ge#~i@ Cõ7/Lah7i`=CP?n(C?1 89{ÉGӆǢO`>#I|p%Kcq;`^,i"WYD }Wz e`l cg< saZz`;I7c}ơe{bE)oQ5l=`0 1|X>TKf$d;ۗ,s>}?z}uK~Cްa4=x{5#2ěA5~}Rq`a;E"p޿Cwzqt1q$?oayIr`0 cx9:\vGcҗMnr=_Lg{z1Fcپr‘t/XұC?~lx9Կ2߁ѦˣMޑffkc~f 0}Qly|sTx~p<8_bP_: O `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 ``,vH~ ;h)n(imjZíkZ׵^zO[i}@_ߋ;n{ߺ_Ule[ܾ[/z_mmw~_>X^}P}0`%?xhC7<|÷>ß=RH#GzѶG}gmk]jՆfoa/V}?o<<'8uǜwܸxf{v|_;;wlyΛv޳s=;eٕx]]ͻ:m]v]kwp׍~Վ-|]jo_Ӿ={dz۟}Ż>qݷw{n} _g֞U{ƋU/{/>?>%^zm.ڻrj+|}c7w}{fiWag'?:D{7?{f^`yo;eazuo{^ zI~=˴wIoyZXGwqC|cjGTWU(/+-)Ess#PPSY +|^%:oY-|*+7S􃦻,V7Ѽ&#(ٔ,ٔ,M{:1;[LHLH&bZf&4n&l,f)!L8h3DQ6d 9nH'H4f 1ɄdYO%H$s$HLK^#Cb|P QF b-o&4'ٹI& d" + '\*[($?@.ě"qـO O7:EXҊ]5\΍Wr8 +q\ yqB4w>U;|ȝ@F+\+xn ; 7=O@W\9^rџ'h'w2#6}@ v*ې-#{a7cGRv30cΊAeeU/MVtJn%\qџȃrUbjK~ytM6Vg +~#vq)܂#Wu[U.?Uyc#<^' {2܉\ ȏ *+ ;9ct7 ym~^F5tݤ8^E?Pg9]JJq6 +>ߋ yQVYiKg; ݍmƙݶH\ G{%8bVk m^/ȓ3= ryǏ EWexL& ~]h "?Xp .94@bFy[fWδ4+2O^4p5tbEu DqKrJkW|;+KK+gϩ,)$ya<+ey/񭐚_xl)M6MҴpQ>cHaGB.o,s.gegC'\MMLQP?=g+0"bI8 kbf嗸yiCnF@ƒDG@eUj)jW*]iF&>mWF-D}lBXX SpLqY3)/b8O1.iǞf+z4dnhUG4-R]RШr']Dy+Jgk:nI52.',pYӧoPq,?˲?KcOuYӣ'Mj3v;9]YW[CȊG1nDM' +24ME'kd2Ο:XN!ӀKN OifŸ5p78ӝ6RӅik*/p}(юK‰WI(1C)KM8Rd[8?\&q +&^Uʚ&Fer90:a/9oMv9qD>'I?_(`oPɍ*Ds r#>'-!F'1"))QRPLk+a%K\:5LH5#t:エ;YD q5\ 1 נk[r`duj_ $%2J +b9 r^bw: ӽwחT.t;w: E9vڼh[B$P"Z99 -riTd)SO}23Thωnt-]A?:Y{~ϖU7;2UhXvn|f^݄KUR:Z݄'kuJqRN⾺dt*-GPTn, q=q†YfPf8fJw#cJ==zռe:e('+TsڷMp 15˺ -m5]'}R1ޞxzo,#ٶ°UC^7}..M}vlNTOd?MF_:dvqds$tU5^P_=f\vesQdHJH7p:g8KUQ7C~i E%˥'(hXN eO;]ZݕxjL]m +gUJo¦ vN0suEIu:dV8!8]ۻ[Z"THvDs#uՆۆÚ֨8YY*.)KZsˈY0:XsJXiҚw7>ZTZ?flѫx3!g"}L9$_:'u{KxAd;4l=BW: qd>`śW\h-]'b,vNs>1|6uNIht?}Ql!;Ʊ<~Q\vV0:<$A|_qEq_dӞBI6r|Y^|ޏ\@?mF,b1; ]:ibbtP_;fAu}ev{Yཾq>(3[[ܦ0d0aXUU=|tMI9m}}u٨ښykQ#ED` +T3ɰ]'}Y37c_zujZ{kz<^yWfCvpZ,Iއ!;sԱn| MtuɱJ 9~ڬ(-C~3rk.^arNw+SCe$wa9r!qq. +\]HlʱD1fKY]rlr9D5ʂP“FK>!΍BWpcXJdxfDtB.^D<d -f˘Kw$pC`ٌS'8. %ޮ6Ut8E #s{N7g ='&b7kf/R/jPsOsNdn{Qٹ@w +w+7;yQ1؅dn,h8/F'%e Ef .觖$vUpe+7eZ-`Ss0&B׆C~u89 _:BH jlddW6 x;Z88\ 8{3 HM% +:>P5; +Qq9s]vtIG*Qz!M 7] ?L]lr++*-dp!UMGD 1Ⱥĵj.8 ^ Ud;`ep((t->& 2n+;{%םEecvjp3W^ql<ԍ_]~$dt%@O%MOJo4TKN7vz+u E-IeALM7(4h*?.–B`!H|K[g,?{C +jůT5Жjby\`iӳJLlpl q+1 4:R)6}G9-T!ڹ6N M-J|Z ,"Z@"!ZS +.dʁ =a"sq3clwpgqPyZ벃c8DpХօ9\`yI|ݱwRI㐾 F-cq(L0"wbq ~5\f5/̀SY[^F1j@`+N9\%y.>HR[=3vλZ%?CblQJE gԞv>|P g ].g-z>Ptas4zQ2"ʕq}^tZHEo~o5l%ۊ5Y V]|Liˍv59P@+9>_M_8[ma_ +:E9P!LdtͻECφ98=v7UE)^6m 0qv9uJĕn.WPȴVEDg ήu7Uѩ*O$j͆T +J['n愪9">S.\7gv`)rbl&r`󮎿UL ޴ao dUj.!p. /_Vb)59$a2'CvdGkٰ?c |(FA)ip vXwST[0IhA0qXAbG P:1Ϙׯ}CkxGRۗQ +U^+W!2l<"8pzF {IUcakj)jNqaK$X?w5>,&c${tOKN葲Cߙ6laKp|h3 +#\yUɛQA 8 Uаf:1aLa8.]\$K@ wQE~Y9=h}Y>1Dx!Ûy ,%")H{dfX9c<͡SO: KhJT,3>Z2FݺTP +Q(O$Nw6F?yDPu +xU"RsN&d{!2 kFB䖎)/ ++0S`?Nr9+QeS*I)ȑJ\dReARTحBѩ\I}#0(9WbDƳ;Ö8`eeO=(F^P-ݐ;x;}ѤDyC]{U3?!U؂_zF~/${$ِTzhle&O' ̇Z?(WUU\Uq:Z-",CƜ^Ph_tC/u_* _h 7'aeLpT Ee9C G.h3-ݒ*X22hڥ0&re' 6;>Ÿ)jPHeoB`YJ{ayzuP׵@%% vtYRb_r>m08PU6g>/%I.ݑdh=9j*ՏD51DQ<.c*un+@SxD%$iAoʰbRL -*:śN;x5p@R>w)4]I ~T_SST-&w]A-.1 h-5ИEXa\͕JB!Bw)=T=HU&ac)<:.~۬)JtgN3i4}VGK nT'ݗK9fZh"5H&<9zI߶lnn=aWtR@Dt ()w}ŹlIݲ]v4w֕49+;ǔ" +]mƟyGJXrQaQ0`vM# Z5=xG(*} Z5*oD,Vqc~@|oD7sYowCMS026^N45OsyӴ]w;,_a6׮_R5~b>R) "&f?R=Nn(T[DY_S;zJ崃=팩KpY8Mt/oGӑt$۲t&۲%AǶIOqF2'@8 +0N W-]hoii{K/+}b>[!!; xk𶉭{&>\`F"| +؀fp`o HwxR㽃GYJ[6mgQj҈;oeH( mӺ}0 ނh3X_7vMuƣ۷Ʒ1thG.!%BPmv8bH+ZG5P:1ކ0JND@9GŹ{q{|2S{$oM Dz;kN3>f@]D[޶z9\ڱ@u-<)mmNCw4a PP1l? +=#x塌D.۶ TՖjKҬ^G˶wT謹(h[z3d m`H1aeVnQLJ8P+7 ovep1# +j.u$=a]:¢K6| Oܣdړ' 1ݚ Gdˈf;̞ +;+ŀQZpXOźc)Vds`{Mb #vLqO_wŖt<25w\g$t":E<YnD&tqȅ]mmÛb`lw̆ doh#::Qx֣j< XuN?W||?"d$&HU]]U$Z]+FG"y_?GAr+mͭDۺV[k$Z'3獋O_?׏>~{wwgs~J ZUHL%UUd&2?E\̟/|TO6sjGzv6EM;w5E"M0C!k?w~t>?$7?&io9qlE6d"ILnOF"IX#:Bx+vԸW5N؋j p^.ARd3lzhc&4t +8Ά/%[sSÃO=9nwٹsu~]ZZfSl Q:(R֬I϶Dȟa& l`8/6xr'yݺ_7^Y-V>@vNa~jT`ePߺՈ ƁWٓ7 ^fqW-uzJiOe:&_BiYT| E4z38<Q}y:rʲ.a=5jQWjd|uR~E)Ձ]+BrDG$DD ԿKS 1e5@xwT|..[ט +zĄӵea7mx:lxSc<fLF!0ڷ<ڷ;O\陑l-c̪dvd}=+ۃ*LXJdZZSR)^os +ψ!0f3V1 ԋ@e*Ƿ%m'd\7><3 /DFt8ʊH$ܿNQ Օ wXf~|X?.B`~\FӰg 6G C}}Sb6.][dVbVJ4ƬE__Tӽc2{mçմ2mJ +ʛ ʲe(YA+vl+W:jkdk=' +SUOdwʙw3kLK'xA-{ݷ%.mV\ՈagPn=5c9xq\5VmZYl5ZI1;ndL_o`WD߰c=y0`M,J%'*'W!('#4Q*-kȒAm,3B4c@~mC ,œUVб8 E&茻ȥ)őQ4+B]TEQVe Plۭ(eP: "WuRiR8p*hrn9pV90\c.@*~*vBIRr1nlJxYQ +ԆյX'ᢲ>l +0 +3pbV̩dJI3alLOLT*M 8e|sqUhF~qJ +UZntEɻC>bșR=%(dkjO9bP0'PRo:td[f 1b= _?xB<,?y9.j]gRGӨEz),]QIpāHsp5(i#-RKu);kk$G3}鰝*F1^s]E>=><ZKvjcF'LsTJM\]xƨP"T\AYoV~3wh=>A6A7FiVARk4 A:hhhƟnOPq'HILDP8AZgف 攺Yqfozn:FhPkwVx{``ÌNxb_-+ڦ<^[E{Vmd[?QGVE8Zd[?w " H;* mv7 c .S5fTXH#ՠ=jnb'/؛shg +ә# ]|o#ey0 +<,l p7'JH/BM{SV?k~ ^i +da [1 VHS+cyl-"A +`F ʍ"9`N˩9MvQކ`5ï~ՆNz/VJ:r!H(yOjJx8"#ߨ/N SF9oaӯqr`| [4K5R_Ys:r纊 A\VP(! ,"2/rϼt8~),jo>zA~'pcVΎ*U%b5Ŭ}q4Vr eR +,daNh}]tv$?8NCd@c %}=*Q^v> mV[hk#[XIECKD?{4xzT1JHR*]~X=$Eq'X\ mg)3=K.ɢ[M<_Q(`"3;ULsK-՛6 jΆW*5 )"h|-ZPfV7(29$XTUz eiO&T͜x%“{p$po9cZg60`ul9gA9@E"n[xEc?u> ˋTjJMi4wp5_};P33Zq4]݊"+xCJ xw%t `@bɌٶ\V$]Lʢ]AUnpD9}6x\SBɥ`0]6P͵/,/a_, E hLՖV;(%}oYʗ$i +hsؕ_E'j؋\r-jFq:jcyR@HFK +QGMTlwgnYՂbvJ#μ;hBᇱ,P-Qd(=fg:AKgC<2aZfRIe+ܔH@7)ؙ7[!{2$~w#'ψ^D B^E+8 ت@eAI՘M,ՁP=H-/ݠt &D!a>͝CrV:&FE;!hBz轣LҖc;AZT%nTc@GK߮P*(,p +~4*|"-#n>T(ZD +FKtʸ v7𒕺ufEAR'骵5Zehi8|:|\v`-o8GTʕ\ +:EQ@#BE "`dhC4pBo ʹh6x(߼ǐ }Na;O!U^D v:?pGZSK.nA7lm'dyyG`1XyA)1yl]y yll6'}/mMҭ5_([XA1[T_/[80ݛ7=>9|/( i>5UyR(uild-60$oYG aհ@5tAȭ%ȃ) A듞s,f|Ts:-!͂;Đa(G(J(<2BsF!񜔑$H˩o#4o7tҝm8 $T EmmxRl[eX{ucWp *xߟU [ig3C&zfCr$d=qѿRC`Ꮙ.H(CJ-N3BGT} >Y|J:T e.ķIb?Hdg' +F*wk+ku"~Hq1pXY8 wQz:lP f%#Erae)| .ۤ"SXTqp' j9 CƸњanMr W \3)*בވ3;oHuEyWsaC =0!Ԓ'3`/:¦~Tt@&f~w .]i(,_l럝ԖP?F{ y1A_߳B#AC)3B)1!Gybn5LjZ)YߟҌ¦h}⬂0! h^1qQ zYYHz I4$ ʗ +P P)6 -2"rp]$ܞI$;0 ſ8?e!g7sE6,⦯8xדHGɸҟ毕+inYkImq4otW@ON33;UD?3C+=H^>8g5us^*r>PFKš_z-bݪ[Q&egf~?gj6-fw#FBu@o><>E&DYl TsYpba=nB,Cw[Hղຝd8#ZI2=Y9gkͦ=Q%'3 rIB8hG<5+KvUW.Y &xItoW8 , KW[ QҒQs)\x~<7S{LSss9#M]PMHs+?tLv\N%g mR!}h͔C(Y.QCUk:.ܦWREQZִ%-U{ϸ?$QSTн=Sy;6bѺaǰpWVY o%SmJd']OpF@KO*B RK;x*1WQ;P=b\4LwbxaRY9{oWWEz,.4q/HHeɑ$[JHiLzy&/ +^ ߗ%r=I=+>_(kri$&[)seb+? b<풅/t/imlQGshv *) L3jDIuIYБɟ-}Ovϑmh2ki ]c tKW"&Bhjm\nP5BI`5uTH*iiQIEf݄;yYy{ 2a@  +KxDLv3@; +wgNX8S'0`TN64{[:ʈ=OrQZ'piE4! 6QI >2sl)Ǭsh }!cMH +&eMڊl". ٴLsH>}"ZmIz%<tNd-.'G? +BY14b)2P]vqo InNlCx7IyXOϒ +`ލ9שn lM6Q_z%9e>Է8 Ph3ji(jpP\?dBNGW51#\Q0=LoJ-Ԭ᪉5%5HRy!_˧.7i1,tdMukBgYTT&ƠY4w" GffT =g '7OH~ࡖܪ7ghBWt*vXz8#Pl 1V1fpvΑf>)V51m CdZJ%rNEYo{O4?鉓~u@}go5=RSsߕ)̰V%ޝS)M:#/*.E\J*^Y@ 2 uyH3t&#ȕ%4ւ# @Z uioF/똦.pyN3~  +Ɋ*:BJjN |Ef&̑s929'$@8C8B4I0%@PA<@`v^tUQ]CSWWg&Bg몯w'A^>u,c0;eؐ{d0$-wy0UńёE')ͷϷ։1z*Uu?ȃsZZE>!b~=/9v3J3ؓ䴢3!V$GE(#6  {UL K<ƘƚK:N+Pb-4b?5@^cqX/vXz\  %UYEM$NAxT4pI^hd1\RM!aתWĒpurcLLL^JHPqs64|p}:#nbaJX(ZLhU#\p'^IP^Վ2\25OP+]2 + SGM.#gMI|x#mSukFݳuu 9ьF=[H, *o..8t~ЪaGLR$r +}%\y'NedFj c'NB? *_\.5HXM2; fnқVL@FLsq? .?3:t?%[ϒP7B{zz3;mӍ [)d;Ew%;yh*JK!(H/,=2m× +>t;5gf`}BqC3&/.|=}>ZWȻe/9W"U)I1٥Lbpɱ|k0;k+GʴAH/"#"h:):jjXoU^ +4*2^SD磇\G]g:Z&<!7[hnx*rWKrZ9-_CkAnV;8C9<6*dA@=i)f .\.j` )U_YBLyP\+mV誄-UX؜6RD}'.r.5N>+mPY往4%,Si>ym,pU/-VdE-8%QK}uJr9TgRymZ"Y4Ѳ_KX}}.w=axg867KtPXgjzf~9U>$&4fP92Ee|?V~a`m ByHk2`4Mv{J5Hmnǥ{L/Izfoh(uOHj}gA%rr$B啍\n1. ˑצ]!#lr !ǨN\5'7"%pӻ2bzhڄ4TSɉVyaS(l>px9fńgzcy35=ҡ vMh+k*2z2'6TRbYG6gwbpfҷ!d\>tZO7un8;e͈X`0zaR/!cFdJͦV:{h~o&ˬ:v~]&%ykga5 5* bfr0v wU} OG⟊-Txf{g|&ECSSp % 'GpF`/|䰂[0yVO~f'ERs2Kge꽩>r,5: ℡FcL0#YdXćTgB|G&˛z.ӯ5[B~bq؃TZ!d^eJ}]eB KeN`"+L^Dr2HզM4Im4Q0.T +Y8hO׋hJ >^U02:LFy +zF5_kA-th"LBөAF9ョ-- Z'MG霡N_SfV3RSY}teY-{_ӷEYt\7רKӊn)튬[WOxw]n ~@ g|r!#KC8Z =pN xo +rEo&u{]3ƹoMDI8-W߽Rs=?y޵%A47³iɂJTt#Épzo'NoAN$M&ZN$u4B=F2RC4wNʷ`4ǖrO_D%6HsN=LTӬg|כ ג_Z "YAoJ+?B%<y>LW28p6b +DMtGHs.6ǎcnpytF0r?p.6_t2[)ɣ!r{7)G("9ke8J}U}}H[{JwK=x]]xЏd+Lrk7nÔsvv[ nwk6!O^_ .ك.O??ڶ]\R؍ D:ǒ˕L +\[}@l3e ynw_^ TRʕ 50C|]& cIչ<ӟ/ӏgxuE8b_ПBESi-t1XUJRW8 8I.6#T\R.مG5ܨ^/dWih2{kw|3Hg^5|a5bK t%NX[gev>( DI=0{O*|!CB PA +ؐ-T/LYV;c!dl=Ӌ=Sn|> 6T!I(厍M*w:Cl665SL[znݎu'"N2Ⱥ.{NY,{JOvtdF:ŚlST+oeHM +XX9UR?ѷ#7t^0-攞\few%t?LoNIT?6!')ΔbK/ζ"_%jk*k8*׉do"f_'u;qvt(3RԲ4[2 w +~_Ď׹4~dSt(>نMowdgމ},%%-;n6ۂcϺ?!7ߗޞ (/J%\I"Qޞ "+RҲr*1b~ccߤfk߰>}j9nn+qbuMeH)qΏ |U6C*J%/0IȆPly+rq0}ʉ`XΞ.YDwHfKZOsz4)I҃/a+N=th9F֪ZC|3KaUJ^S-U&VE/sa檴Ba4 )q3r,NQVlll;.Ŋ N,;ڌikEƓ3T*;V*j%j5Z{rEl<,Jxoak-W L8>:~F>\^#<ā^J8"nLW\jԴ jm۠JS]x/dU9]DPe.ҙuT*.KP='MmpGqٷ8gOwx6=pofbXi=tÊaTS7{Y,!z&:| eWA5Q{/Sdž:{|ӧ3%ޣnƚbFIG`'c{~d2\ ZS_ɆB꘨t UNvmGePfcT(w'rSukgB}:+&B* ` ׋(|ݞ`(ʏ8~phoyqu^g4ZËmzCآp;6?U,nKe%KEZ];qtj/(4tPz,yl5=ڀ&U >nlո1CC ʆ^zjdl;>)6cK͆$9J2 f9f0}B.3dZۙiJJ+de9)AF+刬YQXͶ7[=`GVrU£($EU}Ҹ&ܘa2%.oOOoh]^tIc3{ƙq<|,eij\a]W?]?lmϕtQKȺ^n] uCTNzwxa,Sfo ?)q,}rj=sz__·z%*O^yS<:]jQTh)^Y1a/(L7 aWQ8lxFMC+~͆cjA!8dPiiAa1<}9Lz8b"9WN&VD b,YGT>N/6NڸN&0i؉'TUO/.zJݩR_g *Ṯ +v̞:uӦ54iܸITU5pqDļ[/ o<3gyko99Riť[bm S6lJn@߰Մ?. wxV"rV_ӦN_qS Gd\S @ uu^?eJnjѣ_gg/_?+;Mkڱu*l6MAeE5 *PST687ԗ+LbР ͦSok"fܸWm Ҡpb8 N 8ymdn|\>^%mNo.Eb.J3Q(yXAV}RП蝫;RSbhú>RQvl M\IMKM?k[`#pVE%6ς{IQEeG70% C~khvkCէ|o(}+_uy\2GvM.WCfS7,bN$L\#6@6mݻ}5sMSUޡzp7-4vo(mP|t9(hr[xā]f{6(x; 8 vėҸ +ʛ)uWeHȪZ XjH2_^:H=/}{5`oF{7xGE@p@ ASՙlPjc}A"'ݯ=+ӒG&^rj_V}A7xh[cy(jH4Yg_ck|,s)xNFHMRrz }>#D}f q$B4iD + 1U\B|F.CȾ*/}J4*I/H\RA#i* PeKQf1b +zEa=,&wf% r/]xNԎ^%Fѵ>#fge{Y Ųψ i0VF"FFACU/R4LqY:3@@lr"(4X"Y7HQ320 6:R12ūXcؾ!]Vwոe_pw _#&jGA~1i]wIr_EM"KȽ:eglVb䖱2$4 h)4{1+>ޭHȭJ5UmA#$I([G 8W;kUm:h )V C4q*.Kh`%uE3ҚȣX;A-8, КZ!uy$_O=չ] GWV4{(h7Rþ`$v0OPfNJ.}/Ru@LKH~*1zV ?RSZu~KS7WȎj[EBkML^[G!f+}aLJt딞.MǞ$gW72YbS:Tv L._St *qcb- v*J!KFwA,DÐ^Kш~\ j@C:,F5^ +", (j wXg eςG(>3w"ABՁ:/zR#qPW\J]}1'9[V;^?+ٷC!Yx'Ep\j$&}!5GzSX b,ʠt1zn"![ +ߪRJbh2IWvd7 u,?Qjܟ)6~R3OҐ~1[p\рzf9yp8p8EW+r8E8p81F^sB<̝7 oXlyяiށ#v2eR1! 7af.2/s3fo҈Ež}̹ +d~}Y۳dɞFٞ}n!Bdrǝy"fMޗ`vEgG +.)JD'Ӌ/)~]w\o#KbM蕻dt{g0`k_ u +߆R~N[* TaÄᙔ4ixRJ;K +7IQSl(DêQ3F ~91c^bc_ְ6=㲣R S-UWx8?nu>߄%Nyo0&eL= +NHqU5wfjٵ~S0|̙'| ңeJ[ +6٩_NrZfr`'iMN\Bg%3*ws3VĆQu h4Sk0K(2fێєE)|DhhZ@sR&pkss]4Ko yMM'9Jni C[u[}Cg%cvw߶j?4oFt)<7?:߅`c^sU%IE.ڷhb ܶt;RnsSN KuPϞ& +#j$E$#~22*0A \'םTn'w-%/|t_g81dj%U'6,J61 9yO>O3Ώ|Jϯfgi󯎒O*w/4JiJr(w0uY},,kO~/3-}:J^]/ΏNOff,8A\5W w 3nI= J2 /iO ..gߏ3=5X/W3#8B '.>- +&"O'`)7]OT PáJO_ixOsZ8&c{A0 ]dpC*<ijU|CDͰ &# GѰ9Jn_|Xi!RFi]97J^y5v^ O);ȧ'o)e09MG^yQa_&t2`D yq e6',  j`K?icU{O q)‘hY"#OQꂘ#ܓ%u?Rn;%;WD|!E \ǝN: OVÜGM󵿩V2TVxU9.RE" " -B\WzF+[RwjHrjpeWZwB4>e.u/欄\p y.W]o~\Wm/ TmȀO, 0?+ Pa{,Ɵ0r+Tr]ko?79ؖORu^.}1ۮ%M&iQeۏj[}&|Oi3Fh7Ark?l_#9OE?6{_cc=P2qF_izCD%eQ0}Uuj{ɿMVQm-(dLh6Mj}+g8޾Ӊצ+HCoe:p,@|[oveූdN`~_W?u?Sa%8-K^3[Eڿ"h{C*[FwV-h n ?{gUH`TJET$|DCEE$_|KIѬHQ%s} J5#s[umknk嶶[RՖk3ssE3޹{|a` Akn{8Cr>M<_jPu!]3OWcjj|wkNS=7yzXM~ o9{+)Oٖ>\$ZYk}Ze\{3TF|Z]EU5^LǾ/WQ[T;ߧm5.gOxUFzsggc_|C:tQS53<8e^6}C<&:tԿ8B_`zsZ.ut!?\|:wׯfCfB>gQ:tU½ƿ_|9_:n>EOK͆πW3K5 L|AC{j#wK_b4[SQm|?NG }-l6?W%x嶺_ +k6VA߿߉C@סB# &:tСC+.OɩREm>DC~}hoqhϯZtQQ^lG-Q#Pjyoul\u+.wT e/> ajt,>f{5~.7FhV:!Rn>:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСCEDpqi'ɹ+ĦQ#:F!!WGD%Bpo`'kO,98DsxR hW$_}xjlXJX,Z%ۖZ]/rZVm~[UmIZ}&[U1q6VtI#fP m)xeOv*T g;wN۸Nouz[lS;,C%vN80q'f'7dK-B$NK&G'R?-0X8Ӊv&wI"|鲯˙5kQW:8t?]\'νݿI9IctҴ$1N;)?Pl&줿&UlgCE=M=?b'ٕ+$ۊ^EmeUVStm^[u7ltoz}#))b[MlL)RSv4elx*RnOsN)LNٓGlN%<3q^ǦT O Ly×L"vwT/@jA>H]!%uu9Swz]J= REݮoU&#ӄ]r:V&^OѴb[v09zw-+{PoỈޯ~Ul{->_EߧXlK#È}sUѾ}[W#*{T }+;2ߘ~c6_I?q9:HWdQFq/Cl?!m倲s:J;0Wl~7Ѽ޹h[o+t z>#0h@k BϛX+xV rm 3@OvUК#m:cg:O}εFucyBFw}dZ ?4FߍmL}X{{V_=]y5 -׼X K)m>|CkUԋYyMf(mXg i!?s|&4wߤ7 XN>>ϰ} +<+X k?gek s55??S?^%hjY9/WyV>!!7ΩWոx,]G0H1= x A+=w.F{7_c>F\z >=R=~`^ bxތ}dsa @~ ~ ~ ￾])ރxߗy\ԓjޱ\`ub^A:xE׻@;õ`>~D ^rL-qqqqq$q$XGuq$XGJ= +qx6L_G1o{C{EoG{{̽Qt 77B/z np?p72(DEK~)XO$?]/nOK~Z!iO; ~8VtOJ~/OK~[CO\? 3iPc%?͗t%?-OJ~Za&?mk&ɒfK~:QO$?-tG$?=!i4OI~)XO%?-t{%?=$qOO4eӐ8J~:L\O %?-t䧇$?=.iON:c%?͐tH~[Cc4OCI~#i%?-t$?=)Y4,Yђΐte%?4i᱒K~#iK$?]/nOH~zT +O+ ~rԕ,i%?]$&OH~zP +O+ ~4iD钟H~'i%V4iXF&K~-iEH~C}BJF9 ~kӨdO%?(i%?$OJ~zLӓ5eSwOݩPbOH~W#O ~4MS%?&inmQݞuWcGh o2my={͇\y@{ hoCFGZ sBχbѫۀ j7;s#su_@[Rs@#>5_s =ϊ^3h <K1ksmw -fz[P5Zb-Zk\[Nuuٙk˗X]&sm!w7kxڦkdcij͡k߁5#'/\cvsy󫅹?~lMk`iv0@;s90X L\cy^yskC}gamz1 fY@sl0Ƕy|kIam{1ڎc*amsm >Fz s̋خIkT̵ hqqԏAkQ5z\Z7x4x4 sm<̋gm)6+5}}õ0ǃuqu|GRӵ䯝F\&i;,}=ӧ6on=Z=j={?~`oivO + 4͞c{9Ws^g/[_{H8` :k +c|;5k +SϟBkT5̅ +JHk_S'-5 uӀGӀG&m6=~:ii`^L>w|Qt|_{_s @4ǽ{>:.nB|_Gc_әMN4tw Mso:{-5}!rp?cx[4UW_53mSG*UQͫ|\^W9a_j^L׾אv ;f׾|&^ڻ`34bX_em&6:=ERk2Xfd 9&_3yK@{hGZǓZ ?_oc<^ˀzu@_g @@+N=?\hc؅g_r,ڃ@{ +KׁӊfI@\ͤ&5}Z⯃xAm5o篃fyu7X]&tˊdM՛)55k5?m`gh_rf}>7}lup)k9jԏf?Ewgv}0}=S x R_y aSu; 29dЀ7c9vKN[_#m:1Ϋe~Tu(>ưfuyqN9Z\8s ~8i-`;xt8`G$<;̋#m#О@Wu 7\@> |<x$H#x$XH7FCc_skGQ[(0sQ0 +G(p?ex[j毚jZg< NWv_U>_|~Wo]0W W_W6hyBlUcU(j1%@{ hoC⯪V_e~| jhZ7UQբMm'ОO)jѮǮ<#jɱhy0+t,_6_U~_-w&w&wR^o&_WOW5y叿ueE5Uǒ ́%`_ղ l?l_-9 +:ll*e]^2)r_OTՒg&ȳ_-y^yUPA2 hCV ̱C;%P'-⯾{?:1Ϋ~Yja| _}pUՒ ̋vkÁ?~_} jjxt8`G$∥@/k#Àh#G> |<x$X縖 x~s|엿^_-c|µQ!s/䯖1}aN(p?e,sh毚jZ7Yqz$W`FWWWq>.#Up '<1zcKn7fڌcY>q=g (*4⯣cY_Gg'hxб}uYk7T^Ϙa G.}=ug/8>1k)JME|(z0>)^́<ͣ6hVr >=ϊ r,zcc):yZqhvע*m h@#@;5_-ZK~슿3⯖KhXGXmZ4qߊZq~f_U>_}׼?RՒg 5Uf!jEWh_-9'2㯖}F}WJZ,}j2WK-&#jqkZ8+jрhǁŊZ47~/Z8ŵө!s/䯖1}az9  |5GV䭱Kޚ#yk%0xk}omt୍*$ouHꖼ5At[s$o͗X-om୍ [%oM5][$o-A{ tAo5䭁FKޚ*y0[s%o-X-omMq6XVn[Iޚ)yX[%o]"y7xk0xki[$om)yk7[s$o͗u; ! rR@[%oM5G|[H޺νou2x[:%o,y0[s%o]$yko =lз zVVqJ:V|[H޺a vao -yk钷Hޚ'yk%0xkeSJ'yk䭣%o!yk; gVa* ꪔ)ykɒ@[w5b[#5-F)ykɒfK:Q[K$oa}ocm&'jDc)Nz䤷z79Mx=w9N_;'.G@*~Mj%P{w#@{r_ys'=Ҁ6hmVm+~7_~w]Aϳwu9i@[ z8]ӊ?* =s w&73EkVt; ߗsһ}I1w + )NZГ~, V-`s{">g8=v'g+IW 'YԏΚOsYJ8lych;'|8lwNZ؊YhB0nu2*NZ*i#tN>Ɯ|9t^%tsz sN:̋s8w?wQqҹ`.[?~GH8QIQOZ8<֝<:xt@^t_q""0/@_qҢ_;@k>|<x>|.x{@k Bֆt>&t 4N̽ k }a!/,`j6٨f ]Y_ hWFe;d6F|~بQoa`?мmZ@סبo,F6F-aU(6r(6{=QKm~ ګ@{5/FZG"EQyFUF-ZІV*mρ&ˏ]QyFlTPlԢMZ0ct,F6FU~F-LߊzgReFU]~بZrQu,?΢~ZOFAn:e*<14?6j|ب?dj@,409pQ߽UcǘCUlԬQc䨒\s8w?wQK q.|<x>|`]< +5 ? |엍^F-c4 ٨s!/,4^^ }}}}:"q3\GFEwU]ӊ"Z vD8$\\cjۜ[lmFY>swg2{ik3?_`/h^\`m&s]<_|3COұs]f2_\&s zؙ}ڷ\[k]ҎJ|(d3g@{hZ\t@ +y@[@{h?J5Ǿ=ϊ.Xu@%Ot,\2O+溬Ͽ+Ѐ/^vw7aMkVuyϷ5uݼ@i]vuV\gM")b2k3 ͡늿+uu|#>6r k1ו9ja?x?#`=N3kōyb:Z .>.>.>.>.>.R..u@k { s]Ǽ:u \[F^ s-2p_(2^.2毚ju_צgļBWv_U>_|~Wo_`/h^_6_U~_-ڝ@{סo,_6_U._z@_Ւ'@ ^@kZ対ee}j7UQբM<v= \Sբ5Ǯ<#jɱhK0֟XmZ4eˀU._}5#)WU⯖<ӺW._U?௾6_6_ձ ́% +_Ur$5WKN:B~䯖㯖*w1SWK;AhՒ/ ⯪*;_՘& xs29vcW|᏿1VOc_jeʵ̋kk%%%ԏ~_6_-m ]Zryq}@{hOMGՒ+5ЀKKKKKKKںpZ +І~@2G@~/~ҟν1}l;z x:gZ(rx@xww -h|4 Xɻo N:ұL޽aϵ!7yb^φ- ^zyyt-׺Jx|(޽@E-hz2hvh'vkh݀6h7-YMA@#N>#t,ŻaV9Ѐ~c^o»M5+h.h!CG<Ӻ{sݛsxyɻ76Ż7cm&?ͧ[iŻt9na-e`rwo9m{kKsk7z,wo~ +`JZwoKoD{[mۀǶy6 z s;< Z;;3q'#;ZI=iݏ}x@+?x1Y>~xwy*Q> h@+q9q9q9q9O$ ZЊV|?Ac»w1o+޽ x{WЀwQ[x2Пν޽ v.^~2h~~}o~yGUmm|sgB&5@j%خz5v"0J4D ɤMzL$Pb +D"" "֐s֛=_뢹k{}߿ܿװ&z_{ܿv=#_/qUʗupz)gW 㾞ҟW)&`vq{K_=<3#G>:ܿJ `݀cܿ@cܿ[GR$ X3`g8ܿJJ"{{_ݿJ9;Y{F?RE Ub_wcF|5g\W)1:4.p,_/<>ܿJ9UIb1ܿ^_=9=ς_=ϲGk[y*ŝӏWUbܞK;Ay:ؗܿ3}_%ܿJ q.|qݿݿ^3pO{s*GǞH_v`繿ݿzr$M,}ǞW}@@_,8>_=Wmϋ_%' )g8ܿJ ԃTzz{(_+ {) +U)~>n~# hB#cg9Kx1m}!Q&>W,U >VvzX̀Y#=(#93sΗ>Q\'6r!јC%l爳Ow.`߂[m44ItT7n!]4/a7 &r +to6fN 'tzkcWhiLJIxܛs@ʷ# iGJXF.``̛n7Ki[ t~@WF4lնfw'Yb6(jlˀu<}Uў :p;;~:S +.0T;|_v_tҺZ-խE _ʿVW7؅+:.-^ ٨H))16&N +S~_ b]`?xQ`J0^Ǖb_|zgJk5478kg2/Ճ=CGΣTö1nC6Ooϡmbi=JS|*]y m}7-c㳁l1|[Pj^\¾ qt@?l|;4!;JT<{A؝<to^~@7[ @Ixeˍ^,0{+a`7԰}Qn-{h>8~J|cFMqKt{+OZ/Asj_AJ|rץc +U&)>E*ƨ^sT T椘wYk6gʍFDG*}4`o0%j{N$Au]NC|^J9K{s\E=ǝ v=`q15(eΗ>QOlccZ")4n1s9򫤻= }:i/IqJ@7ttJ2{pZYzc-`SAlg}@P|س\ԣ't&Ӓ1Vc(R'FSuuF gi]ЁwZ 8n3%CG)eĦ5{+0!}U@Gu9e?K K V0^Ǖ-iIxmOcӚ@>~K9Ι\;S7|{VZ~6kj8{ ME?}tU=SAlgƴޗ򚨤q{3-ii{|t6m,2OA,8{s2%s$SN%y|i;G X 9pC5cjIz|-ce[c`Jjv ҇ AeQYvVR, _ tĮ_ƴBdRq̐<&\915}y|#3~Nxb'cZ:541.&K40|/`A,_Z: %~y#[#k Y;u,hβG[@l<нt@fLKIe%3Hi 6t,ђ,&_q1ju|0h/eV?k80QiGȃ3IZ>,2K\ўl9Vbo:pX~Wβљ q ߟ@؃ j(˘FKt&-1ЅHZeȗ -C@ޥe;`,˚ +b6=MG(^"F%H=@g(>t;fy=A:?Hٝo%`s :XcL۔k֋|OL+ϗ Z=;M);?b/:sfxn>'M]_ vcڦbޗXxMT,8C⽙ii|8v"1O@6bٓ}%`A]i}dPMY~=G5{F:Ιհs{g$ od>by2Pջ4|]QA56Sj5Y͠2Ӣ<@?A56#;4=vK(Za|q3yftwj[/V+P3f<ls}`A,[Y |Z@ Z=Vfa,IǿK#cjF f{+ +֋|hPThMS fCb0 ⟙3} ~!t]3 +ДStvr w|"jAbb]I\SD +O: #Uj,WӲo"ZbkIbsfMx,".. Ӏ,@j3eAA"ɒ1`r:cj6:_: ؂~#1-vsߞn=B\ҝ߅b:lʗKX:ZSMHZog@ g+ĖqV^bt?cZ6YyTO%FLqH@,/ytTZ'Ic +9ݏiٻj]wIwY:E;K3`K8+ɘM){=k}?ZW-F{&_y>E8{_`9˯ )N +W|vĎl&`X15>rtAL[!Yw+𠵖nLk9Kk9`,˟tG7?aKlUH@l:s?b|nimYVr߁o2`kxWui9Kym~cz35s&Nk,W+Z=uxl0/Zw6/8tUs͛=b}+?|ޛ]?}OSƙX@0:;-ՀM`*`}8V2w@.푼%/ eQP ǘi]<6?C=/ZL bGrfO?QԜo]\5|^7f}λڀa tGn ҷY^wP.{s/ԁ#wT=o30|JKd:-7(Bn8?]?15w}嬠 `@,[S߿VP_`G)^Z r=;#*˘;i]2i| ^|J@'TE+QQ-9H9lW&͚޲7MF~=;joצ@%/XƘjҵI&a0MAA>ӊ2ݺES-?2+va"dH\X.g +nݒo ߗ=YzDG{-,/-E0 (8+SYi?zuQ%*~A+9_Kkni(xK9+ V:ZWEsi,I@Hw䱅{>Yc@^,ĎS= b]@ ʘV@h7.SBK'chU9+ I1V뾔bf^}-YJ +諴K:PcK,a]\%`ϔ88+]tit = KSJ:Sll%`@@cj ZW/b N+!RtJ>ϘVpGy׍ vxPt]>:~l`g+|Eoг=/1aEt/bW||\}ر zbU7@wM[}ܣ4{XgsxWJ^aL+| +/X/%Li7 \;oX)=CRb ؽ5 Ewǖz\:`U sӽbK +_}I J JPJxovq=MiW l*CwYi$Ѝ, +Ģ' m<ϘVH$`ٜl& aS -K;bshԟѾ.*.؃އJG.uC+>xw[өa]SJfjY-Il%/m\瞖":W%]Y)^P OR:4 +ϵ%2+V`,=SL|,%-<X#5vĂߒH jRZX/J^{hEԛUwRw"z⑺|}5Vx'NJID(Q"D*gW<_|t VC̝IƬ8cOx98@ Rew.2g0ٴH0Mhg=[ɘV[r|%] +m NĮӀ/ƴҽBT\G1ffr cj)uQXk<JWPsdtAWgcib:ʨ-RtAF?vi^FsFl Gw $>b7s`֋1l&I>+h-TbS@lҤR I |,پ̮0=V&HY +`lgKEw\ j-@Ͻj13`́@ׅ3[`N{+Ё 0?vqfGg^;0Tǀ| 78c;b0-W2jx3I fL+[E-ȷ { xgY僀 [˘VVG#=VNI|u _=Ex}Al*S<$s{(g +qVy` gu\gL+;k(֋)\8Ι\;m<ՃjxqV +ـncZ)z~w~ + _t.tvx:0bkb™fiCA![Al8z;n'}tieXpػ6VNK9}sUl<@Gwb[=T Yr|wZ9vZkc$s vg6s_Ti*nh)QSvq/=[.0pWys!O,Q㕠/uʥ@GZ9EHiUoENWtZmn}ϭJ~WTǘZyk3u`oU>V ;>sfݽn'+6cj9հd]L*+A>i/]7(Z>>jllHb61j哆ƬqƔ*?3]JE >hD+OdfU$u_FEMowY68[=*1Buu"BCUqtlgNi]]S'&|=l;`9sxeLBj.64;uuV?(5uñ^ frDol'`v cZP?%ju]3_}~zHA,#y.mCsZ;'t/(X'X/~^%9xzb{Vgt/-MxX_Y΅O{ +0ǪUޫtoƴ'x_5Qqř{i ;`Al,Ѝ [Ι] =V=ĘV9%`>->=8cjU=@nϡUY(濦LbC?BԪ4ݧMxЪK?7Kct^=˯j٤U>J HG}zM02":ʮA"B]+f{tdxqhb[?}Y[d S---I__KЊ09̮57 i2쾳{}C7uY;zJMMªv-̠tF'v-~!Dio][ O\2l"EsOYto"Qqj֚B}͢9z*=~cNݨ1;͵MjkjT%ѲwL..#ZYC`dLs QG5Tek9sU]vt(H/s} X g o]3`ueLs6QOE5M~ا5͘t"ĨqwzbiNQ^-:z?( o `'A#@r 5]FHҟ{4s*⬱mwv߫@\~32)UO>$v1Z @lgPK);+eiպk%]7t4 q 0`9k|ixPc]K8mrn%cϽj13=; ™k=`y v8ЁǵĪ@wjCgJ  @6g5 3־LkA a:>p'c$g<ޠ1g 6U8n2aCb9ĚbA >BA:K51< &>mu\qmfLkkmϔ|tL <_#/V\gh8cF|@w5O{Al`йt_cZZaiy_5Qqr{4 _/\.A/%V <@}X,gO?`A>ck$a;g}~f||( 8sv1r].XCjk{ĎzF~+u4bM n:ϓ6ku7ognպ|ox]tߡ sQ]trZY^P֯ҀmTIs( S^cyj6,T bW9q^, Gt{/+׎ѳ{…wa5S۝cjj f3GFW-3P.$D+/>BcڽD|yn\_BIbI,P~ 5Zq^ H1p1Bh1Fx1ALaL7Ґ|5 (E'B a".+D*JCD9z~s)"zzz60426`d4 6 1 5 3 7042^axװаcXgP`(2|camcpB燾n8jp)iïa Ɖw11b\c@ݪ:TZGGw6/}>( +endstream +endobj +1993 0 obj +<< +/Length 56809 +/Filter /FlateDecode +/Length1 206024 +>> +stream +x`UlOvg7e7&M# )B +k9 V4xrޝgu X;< rP x*$de%}eov޼7PS<d %syȡ#Gfڟ8D=x̸AEoA PZQ/B r(B +%àu80u$0"X(GCQ03Q+`j%Db:FN10ba|j`@tTP:&΄IΆj9p1%P:<:^ +ðB.  0u ӥ0uA]sQt̓?`>JuaPEWb%WRk`군:oX.CM +.? +[ +WWp oZ ^^Ի` QnBjQ7>U~Q:?;PoQÝ ! u#a;F}'^T܇Zϴ߆Fxu+qX ߂Six؄6oN؂,O0~}zO̳wSOOe>=tKg}zoTŧ|z_ԁL~̧g>8ǘO?|1ӏd~̧e>[Ooa>[2)2)GO?|ӏ0~#̧a>90~ sO|3?٧< ~1~=7p}9黙O|nw3̧f>}w7v%_2_ħy:ܧ|~3}̧c>}~OטO'̧e>}/{O{}: ZG|/4?np8΅Nr8x%&68 u~gtSIu~1#ؤQUJ~1|^~9t)۩JtЏ+9,߼<`5tb>[Ku.pT偱tkc_sBy9;<` b>[ +.p8n*>/LH'i6q`PTz^ߔ{Vp8.Nw;Uyy`B;I_p2/gw)<g g&p8No $~s"$P?>/=`o-Yˊ8BtY718[4 %M@_̇kgv +*r8{2ɷDwcr9QPj잡]wQ_̇kgv +Bp8H?>/4d_$jząo +b>$6eEt!y׆?N|ӑ0HNcOo֎@_̇kuAp8.Dw;Uyy`:I}ݭŻ%=#y9{z#`ub>ˊ8BtS#E`zvkc_sHpE^gOmQ;[S]^Vp8N<0Nn--I퀠 ft~1wtp8.Dw;U7w'i6n--IqBppD%#9+:{Yp8]3r޵o LN'iH_sF%*dnOiw1sp8]vo LN6n--)&=cHo-.?Gp8ӥp\L)SIo:/9-NISlrG /9+]we)SI|r P4<BBB pftb>{ɟ=+.t8 Lw;Up`x'i:~1"_{_̇/ݳ"Bp8tS#E`*:IK81Sj@bue)3/%栎P,| Bp8^L)34td_sٝ,o-Yq#8RE>0:I(q+B b+@$LCba:,:Gz 2(oc/yG~i?9%<׾QπP +@hEUJ觽1C>g Ug?n4np@~}rdgeHOKMq%JꙘuczDGEFجa!d2:FJ YZ$xDgYYwN)%xToq03-gt,(GSt4QY0Y$#6!5Oٵ%5}}h>5 0:Zҷ6Ktx"J.oǺ(~bQBmmC֮qxUqzn-M(-]ߢԢ- _9Ap()58<: KjA"k=0؆Hv D8jV8c=Q)P;#?'5^2{khjc!fG(%r^T8@ $g:6Vꫤ+{T Q`;[>OҞN%t_$'+BS e}RS7BɁ+>YUM:UZ&7Lňg +oS%ggGN8%guGo'v߭my&)Y>QR[^cb<_^{RT!DX.I>c%RM-vEB̫؟Q|Lي߬.x?_j,HVJ֖:5SSY>H]XRѢMrQ[* f[) wGջɍc*KxqlE%fPe}j`5YOk"Ҵi5QoQ"ۑcӚDoZ47m:Z9 ʁezQ\E؊d`,mP>SvZO=PkO=I KMtc4J KUt<\>E LE74ܮohVGeVCe̻{׺uFbd_ߘO'%9Sj:.\;_û.Tl]cdTi]puz̶PbmUL'3-dԞ{D;Lcδ 2{҂)~#n  φvN!n;NtАmܹ4G=,`{ +J.\l%#xZ?[ZwDۚ5k" Cu/4rp!ywMd|IKڛ(qؿ?i>bfvdo&ml;d_agmOÍaq/l/5%YD6~=+ml۾؞fgKlIj',>.X˭{WSQSASwn.GSGSKԹ4u PE+i ^ժjA$tcZRVjQQ%2TRD=;AT1&Gr"K9dʛ4hOܣ9*1CoD0D(IG)Ӄتo其Bs~iq'RӮﱹ(yvlFc0ZD딨1M=*=J@QYscRv(RR<*+ )ђJR\YYM?=N*vP}ىkdvvp2;g.<%++fc=x]}ff.O3$LpؙPfRIjI$I ؽ6`eOČA.W J-?}}[6$"ep3~#P ;VX+a=$OӅP + oAUW`P^=0o'!`( ›QRJ.EWap""ȩСXn3A.\a!,sIR 0|%r;A q2I P +sj Iy|,{ھhV^eo6 XG v1|CƑE + E8Kb{@&:!x>jr +k^[v@G('K }?Dғ1dy|G54^MJHONx\xO8 'j$˳S;$PIp1L \ Z^m8a 8A$#d&GV?zDp$BL[RuQ`=G*>{[df|n]pZGoDZ}EJSfR8i%TKJ0  TKa&ٯ&t‘r2擩 +O8Fu,~q@<(\$̤!3~y HC`-=p47l%XT$XBhAU +ϐ+ȥNRoU9bRۻALC0mGqy9XC،߃ch16at8Ks 8bZ!I0\:PPhz&YɗB/Oq#fqxʕ +?xROɓxT6Jl"i[k"jOA E ւҗZ )Xs +βCyʭ!T~[r)pKNvډ(aϡjDg5zU;{HWBG'u6zءC<K-Ēok43Huu2, +)eY,D'Oݶg2 <7рLR. QC)'=2$H-nX UD%(!Ţ*PTw&j $am@zuJ AtHj,{>1[ki..%+dzD gH,_}vt"c{a0gG+ЌPO(KHDE$ݡ"I$Q/&@'5ltGiBBltWA5a2\z}HPZ’΀jlE.WʹаPVk΄';g3v"Ǯ4~#O_=7߻rhC'^^3VU~ѵmwEuC3uha +{INMCTNSU*h``fcHhX%jhMFŌWSIa:Jc 0-P:>` 58cla *b :*mRBm$l0I:mYJM[¡ʦ lwtF#{:,F)ۘnAX`p # #iKz,*pΡSH!&6kv"D7%cĖZVJՋUj-%oNoD1)hp`Vn)5*֤Jkɸk#yy8U/"Y!֜\E!9!j q +=d_CvJjL+n};CuO yrVᖓ$O \E*qX}{sձɜdΞ` J,S-e ѩ !Vk L1FC(ZYL!h7X£jlaaE'D$xպ +tL :{CDۋ&*a-X_`WcǕ`{-xGy6$C^W84QhaZEq_^G U­:N!$63wEoΠdY۞{&-|gNEabz*m^-NϜm+Oo]&$ ïiɇWC>؈umQ~Y'baNPS6&ڣ68/V6^?SK2ad~Hf2 4&>N /+^LM LDS+9T$ؙJv-ʘʹjrXE jg\blKNV5; W%V3HO?_+}-#!psp`_v~'K V[䕷 bKKaK+edirj`MRG;:gĐ/WoZscJ}5MS87n 8蓝C^y[۶'ږN.޿C>dbgB8Qs*r;^ c-L0 uU{y#ǎT򼂅KRj- EB# %>>@$[!/:tЕ+s2/>zɌ J^$EO(kpJ}#|*tfz2 .##:ڍ48lvvFv6T{NnqƤeed18bRdͤLzL̒ 1kbhLc/lJ*)Eϯ~АKijا +w%U++%3ˌ<5ʨ!J-]I&مG3Qx'w:6DQ/i'd 2c}R|A6i'ۖ9[ˆ]3mP6|iF9tfѲM0d)'ܱc֩7& L,Y4ohRIgSh^_tq(rWy "`tR8(TJd:MetHno2] jH!4$$(*"8AAA4(8%H} \TesrAw#+fjo@` + (0"eM4˔%^\o74omFkV~nyf[ݻޏ=;y^~9,{EE<ҹ2㎼dkH~J`3_|?ݪM_;Ts6.`+xf8 kVG+/(]$)aJe”JXDD)(d +ZhZiR癔1&ILF+i2nJ75ZQg>}%^բC5hw*AKDdTHh0 !ZόN<- >'%zÛd|xVnV˻[G}sQW?˝=-Jv k-d{ۙ۔Mm5ތ7ڍOk;nFnFnFnL{ohh?_c} vFcy/GjornU>|R6W]*i#멾'i/$b >>' 7 t([e'鈈C +*]L](iE%i(i# *i$:E$F]t(KtDϣ5 S{%mdm +I~A?}j% y`NҼDP[Nt(k𿠅-/hA Z_[?۵-m C.m GTL,&;?$>IYtT8% 1RjIeDG0:h%?Mtw? i޿^OI|b>4[I#:6@nl~?6LҜ'6^ۃy{8`Wxq ťl$FQY'3\QD9A61#)Mg蛋.VNw` f?k1͌@]!z-=9>9Y^ࣃZ6q?ȎҫSBbmm|RT`w%]Nb~_<2ɷz Ͱ=]LW]j䛫S9zo%|l +),Ya&xD|GeOH94(%9:|D=Y8:yohH:Han-m:_c5ccy9}[$)U5OU)}PpcRfnI"g^!k_Vi}mBbf'?0~/vƾjPL=_z_*&ѧKY +fO\3?6ZqJjW|o{MT@}z> +0-«P9%O u!"fg'"diut^m2hӭ$?.qvdi{:xvj<( +8 \?PE/& |׸+\Ty:~OGsGOur"^OQU{2NNgic q7OQԓ>dKEo*%.FMt&e`4:\\q?j]:C~>֦$# hf.,49iT lә|_[VLl2r?Cw&sDgL8gs|ͥiS0/䧐Bl!–4ҀK*m#_ZU) Ҧ@snIdiy/M[EwVHM$kWRAO].].nD\݉hL|M%;KAFR<S +})dh"j&#}_S'\bov̢Ot8NSJK\\\ϵ[YBR),s¥:ڊ"GY9@nMsͥeV=l/rG$ծgTsIV[^ȧ' +KmER]J +gUivZ +{ũzb/ܦ[,eAR^R^䴕qIFeMq ̺i.,0;뎒{9Ṋ̵(5;Lɶ"k8Hg9rڊlf=L֗#Ǝ9Q/0W0]Zⰻts^fq.\Ca9*mZV +oʜ" VX lVpz abaBfY쮡V鉶A$BŭvZʹu6 ). RR9P(6 U"QVʐjŖ{1j)-kgQ8JK CIz +9eW*7l>6Vf):saAIf)9SG}9#ps_s@qn!K)jySx )#/8Ƃusf88I/qba Yι^bMg^\%syiӠQT7i+o96yr׻FmE$:W\VUI2RQ漜AB80I_(pl!Urk`]XBg9y r +)8hK/u*-"ސ&%*?`# +}@u$]Y\kdx8_ Y7Me"ʭfUhiE:.إbG ĮHrgyܜ险iM)y)I3-_njܔYzN=K'3;5IO9%7-/O3'OLC_fĬiw.;D&#\dǙMN˝۔ Yl3LS))QCs)rA|*fgfBJ>=m:n,2 ~sͼ;#_JMC4h2!+MQR2'')SNU9KӤv32Ҩ R9܌9M32Ғ<Ċbui w&"奵꒚^y|q?4Hg!̧E쫫5;赬"pܰ { /YM?dy:Yڌ*S]cYWGWV{W6܌)1Ƒp%۬>ilW]4ZD/f;7 W %&{@gx/OV$c2#SuߣNy{9%7+spƋߍ`,h2܆1aZ> jZ/@oR7 L1Bj ta聆@'A2 = Uë?bc0c@3U2մдL{LL1l:u0A!栵kv~!. !!~ z^oA9ӡ +SBP3wFFZ jm }W@ aJ0[F-ŒjaVΫL5ךi OO&V\7.-7\_1Aii&S3WM@F~x'JKUh]bu%!%dCd:=VX[bƢ*g)=iϒx`w]v6c#.LQJu(1%? "W,u.*+/cV:麄-N;[G tDgkF:**]/`2#:h7D@ȿI)]opc Bv.+fXw6bYb1?c,M, bxr_Uev}ǾWJ( J2J]IU|eR) jY R;Qxu:Oz^^6!k'G2 J . k O6q(4 G >613|gh41cqvc1˘oc,6]%Ɵ0n276G`13~gd4M1S{QCCN.%OH&.7ϾČ B( "؞ #2Z-Rp%a~SecUg\4jQً碦E.|ѥZZua:agv^z7WYkd#ki^srŚQ5Y55Kk69ZZڸֹv׾L-[;6vm~T{a.aݝfs[n7}/*#cpO.,|r[l}O O??5蠧{S6o7nܰqEd'O&F5׽_2_~axS +o U_H|Au[o@F Lb9wJ}^$w)K%ĿOKW_i (~K=ވxRϷ$,;D#r@qj6 ݆oLA6w +<0m ]W |t=|>ِgCjA 4joюj² +v}>*^~$D9,P"H{UJEiQ[vN켽Ѩ-/vy]ߎ.^6mm6#t׺ٞ=ӫZޫ.jKo>Q[s1cĬs"拘 !cĖnm b_W7慨wj}N;h~wD7컽0c\;vN#y  kQqθMq{P޸C]7O?M oPJz\OP2psJuݤBڼTmd{=ʷF"mTG^)křo[Aֲq,ـ9lQKZ[hGz6``5` ƞl<؈u )y H@HLL{s6cu}7,  ttt.*TX5[E(v`Q#,jE¢TXz]DѰ;<2:<_km43@k5t\{`#< ~fF3 2 Kd\i<g\oqGzzK700pjލ\@ 0 q`e_L"ӄ4!2ML"ӄ4!2ML"ӄ4ְ)X3 ȃmc+XwjFx^+ģ!F#oxZX^ kay-,嵰ZXX kXE0P`!N“$,< O“$,< O“$,lX聅X~$8beoX\{7@{@{h0>Vq,̬FQ + w,YW4|p>ZT}#Xg>Ak/{}6t-ӏĤjX^~r}6U%v 8UzۻEݯ=C1Z0g8{ǬjdԇقY! Ŋ]3wa.܅YgTFzjw )j)Cadi5 +7{OSޅgJw2jnPCcU%gԗhۼ{cagګ7^GæZT jaS-^¯V +k.SaQPaw-"3O r4t^;\.XgaYͰ,zV7{ςfp IϏ!ϐ-ן}+ QQQ}O#=<7+ÁGoB$"ࡂZDh2LY`ECj<~Uq?ۆr_~]v#Jm鄻~9"Dԃ0{rCq9DZ8fuufmG 0"(8!vGap]б:xQZ}v>>LY,]/qTԺxz<x00}W:{Tyy Sr +\N)p9.8Sr +2O1U;+o N7Ͻ\o2)5xkvovvĻU{[}y?Agоӌ-[#|]c܀ps< 87k|-Sg1v~ukY<~;Uy7N,7Q?c_,; /Innnn^n^n^nuzz^ ] = L=LjBP%Aio;ҧt\Y_l$)Pd=V5{+{L8˛NNRTN?7:7iG7Gw >|~ )3Пi=8 +<=C)4gVšXsLAT f~x`UA7af".1g1vcqқtwRz'C|@߃Ij:|tc5#M7 47Ю <,\/T¼*֕`ݢ΀lyVd#3piT@>Eo~ ll(="PO6[(s;AJ Pv͐t5ٰ^AWʑ>v5회Y]#i@\x Mi>mM 6Dtx: j )"{ +Vߕj:f!3g[Q=iA։*,Y/ϒo٧t=Gsp#3s?gf$]t*u3ʇT f y&bgOΞKk͡--ͳ>4U7?(,`,zT<X"젪ئ3аn?H#DZ}-n (,๔Inh-ㆆ-j (,v^hV*UqA +3=BIp{<V0YPVnxI&x<,KU@b?>2#=3?OCEUr5bN']=쯇cjddMTcd<M x7^ΑtJ'VG5VO,ͮH +nFg C' E;EQMj[>낛RAXSBқIV Wa}=BEe4kϰ\ +3 x g_Lд|p +){Eof&$9VNx;^ |TZjZ@rVւ +ҁGZ-XY DrWR6>@=|.ev@||qo ?51{g_v lo5Щ Vnz۽YŻ^qNحޭfw7ӄ+1c%fDJd; 7 'i;._uPЧO+>ЧTCjS }O5>Ч{ #}ٍld{ Ӂ/!Yeug;@:~.:IKw_7k}՟LkhGuelE,\}[{u+UGD"w|g}\qfh=tQKt̬:ͳ.g曧X-|+az."z.b +r̖^Sv){wo>;FUoq>7_wpPs=ȞXdOl_ζyk,vNཷy|Dc{8i3l( _^MK eU_>gE2oˎuŪU XUONx?`eVַN࠷R#6OQρġv +ρ_;.27<@Z_Mo vD7TDw +EWf_H}+wRVVvktԡ>=M0;*9Cf +DP6 :-݂L_!l,Bha,-MC`lsТX!+B> ++{^֝5dz7zEUT#D(D2]b>JSxҟ%(7+Ce2%*#d6X٠l`I^e/SlS[q KVN)(o-gglrZ9nUUecUݮE Cgw&ƫjKQ#HvV;LڃMR1ڟMV6EdSA ެcyuRoa[U3W-Yjœcesq{h\vv>oO1 Pqgs{Ćm{h-aD j:!z{֞dmټ}ܝC1s&ܿsKGw_9n{ܞaV+tp3~OB C<auBpEz= ! Q!%cC%AOBq'x !^±G|GC(ǹy{g&d*uƚ9k u6[u8ˠMS+Ր9Qh- AgkA^2||Ēo1 gsM3Wp{}N#+$_98q7;}q7;ڰO< zi_k,"p)|nYfo߳v_3nmBKr!{?hn=)wه}H[skN)_&[}\{l\x9M9zY/Q+ڤ=bk= pCK>DWp/杳2=[t.B~yqUoo9yFCuaJ_{+_S91u~çeqW}ED7Y޼x͛㫖$ܰevAʰ6#U/=n\YuH,YΕqWƅqhSe|ZWJLu{rW9$V*GUϦ5|) Ml6P}X,q\{Xfe FV3=оF3l5s>6~>%;-b +svm\Clb"-]}ŻxxVx:7Y#4aAqs.;"fIqmw\q_k(WAwղ0lPm4{XxKq:/`ܵD Qk @к A"2;Qr Y_.{$/c.][$7ͮB ~uFV 6e7Eε]D(K2 ΐH҈#XIZ^ţ]N#s R$d-B8sdSK4k׊K3K%pAF=$t⧍62!!.K<>q瀆7\C\CB](yO"~57+/b_(Wq&!:xBJ CvOH긇Lw-NЧ2BB8zR@']=f ߩ+E8k@oJ@ }xOp'aF?'zx3?dia&/t18#~:N^;'980l:R'E=z82&/M Ḡqd򪻖k"ޑ7y}b:aD,1ک'8~G`W9"_tTN.95If"ZL{cȘCaKgwؤwz<#8^a|"Uح6]MDAres8{/9&pc=Q`dT~bm\gyO0 Ta "LD &"8;]QΰD +܇û҂wulǤ{.֞&h0Xwq1?/u[J*\Y4Pv 6"a7:!opBov Q+?Wm "* ~DRf"UPf~8c|߄d|8凜X&p=|/=ŷ-} ~ƛf{$ngʎKpOo잳ρOV5 ~?/?-$q_#.oU-^0r.a_ ݀|9?m\ʂvF_Nee<^r.ÿŔ$@a]n 2ψC +`lfmW P7k}!V~+v` p/U1˽] $=t{D'Epi",L}m# >pcl.6b h5G)høX)ç}W7 7=Z8k>B+ =Մ^ObܿN|_{\}!0h$s#"S*ڷ<~ q-y:OM>;c[+b<23b# +a<%x6k0_89XǸG :y%cS&=#ir/Ov]l>5w0y=.#\S=66s $|6EqqW +y>YL],V%/Ǹd>5Y'p{f1cO !E꣰=A0Yg/9}^ x'~!8jDwI.@'aڷ;#GX업I=D4g@ l9Ϭ0 'ya޷Yt1/܂X]}FB`Tȇj 5ޣzoaD71x] L輥)J11X:V-mC}VyW RCӉ3ު[j:q[mVM y50ql!>lpy{xܠہ Jh|k`?$h"t<$8E(6;rЊoAkh^4n8"n^w0% A)Ғ{w%op}|]H.Cs*ƈb7o|#5f<Ƌynϒwkƾ[wӻը 5 +!T^EP-B?A*GzЗҗ8Jt z^E?G_A+WhDѿMe9y!G7j /BQ}T2RըFVu:gTTߥ> +~@=QmQUWҪ~ UzE~ZGw_TSc/]L1iOkjEJ旻vC~w_]~_Fv?;O},S;V}"qcQk6k1;>8hfǍ^c8mb5`uC1ożCoчsDѹt..?t1]4h22DWkki*J aCNO'I}Yh%wwÜ2^ZT;P;0<:>`0 X@``j7VnC15 I=m31%CJ12M٦\x7LZSy ɴnVNRj3:LӦn\S\M#&n7͛FLAb'6",6]梷 %-X6Ts /_AeWկ_QUC_R׫їM&TnQW:UOUڄ^S#xe]o"r`p#j} p&UϾ&?hǏ"Nvr? g5x7C_c^ xf/$~R6N8wvI)7`l?fWrYGkN Gds@  Ɣu##|dyܤYٰ.%M=/ct-ۜzg {N^v(j;m%#?_RK١ޫ=ge#la/{QH&Tc,'qT9^ vFȒu }U8^6/~.YI|$$mM(!*ܜwqX#[qn; + l 8FhێRL=esՏǐ8GQ^#8.m*:^E%YG|hch&6Kҕz/ړO=h-v%w9rcҖ7.99Rny>2GŤIƓ}5S;e)I8^?[/>g:lw͑u\%uWwsԟ?V^ Lsjӹ[9<>8Ę<}s]3b~PCwA[ w|wPv?Ln~;B;{/;Mrg_!w;ή7[d7PW@@-@@32v vtٺ]]^ȃ]]Wf恶ukkk^׃n۝}RjwFwVȋKˁV HmwCUUZbye\߂~S>CQ{ѧR G#301$߼:6XE6dGA^[x50vjg5*kJ a +%ؖ-Ö-v|5tllֺi2d}24F6iFNgw)ff.3-%`]CEGF,{sGѺFhhh7PFN/#o24ߴ&TcP6q>:> N^1ύΚ`>K1 Úf=3;e}1̴5zBԺL5.++,s5ܳ  bXky) m@YXReL5>J rk/?D 'VdcVuY/[Y7uhi] y&lx?$nü7@+|<b-Vl˳]ex͡r"8շ&͗- ŹR,+* :g5]gmTm팭1ByRl)a>g`=dg3.vs̤﹣ɈHH!ѐ tRɜmOiA*Tqdړml|<;1C0F i}SG~c[O{T*cףKy͟Tz5{ޝ?;U*;(>aqqJK`|X(1>>}e؎k3Feߓrܝ pM!x);%H9o!DoL=UO͒~nO#8PP PPP)=HuM`\p +@p!"%f\* +$B园4Octj]n.I_BEJeJX.W+stՐH(=@\B{_﹩ɗ>DؖDa+mϑߐ$!2'7ٲ>Ev|-2Ei2b-^q Je!1Rj5ª5JP(ATJ5Jqvo__.cykv/W|/+8+Wɗo=Gw|U/|O/|C/./AU@Q }IMi]>+V.qQ5RQ?cWiu,]?OBgZgl|yYz+BAk> _\Ϡ)6 :R>ߐ%%pѠB*ڍ>G=E=>OTL733AoQBǩggы}B%}B/QQϡRV/ +QSϣ/R>ʩQC/S>*}aHK S5*)b+*FЫE"ƨ1e쨆(}(R8*\PwzJD5SH#j~HGGԏPcuNf'OF-OoPL3QP7Q?CG'NRK:EݤnۏۏI~A~tzݷ>` u¹0>CʿFK`ߡ}9}G +!?WU_tYI;݈h?Gj*}ľe Z] /FPU(t00Թػ50z{r ;W{zۓ;{M(\zD}Smd?.޳ =-䳉=ߜ38s[ӱiH=pݐq`ECٴAÂC=#U{HIqG{ ˆⳅ=冒z+ gK;oe3Ti|kr w\1, +UI,_XNI[AmgA%%9ڢ%iG(!,bO^0Hrfvpt֠Rmrg3C[l0tdaJZFl3'-^[SS통  y xx'@Tf2֨:9Btvh0zD Iz4QS4w`Sa{CRH#KH{]H /~/+=HNhdD$UDKG*KjEj0dVI@kU"pP5wTVX:QU? FJB[w*=) T 1J6U(Z +]JTeE P CUrD ̟ΤWU*̟N=>Hňt=A><]J{OC#j/eйt~DM=&j>51 +/ c\rKtĬp¦R6"jh Qw5;T3#ꮣ]TU sQ?l:A#7EtX u5ݦQyb9 OjDM&x38Pq: + Dw8uH3؏=xD34Fà]Q(|_}[ixό'ވO'q»s-4z^EYpQM d'_}s~sDyG+cQȌ(͘D7ģF,JS TR@+b~7[7'Jڪ[ϼ>zedV띶Ɩ{/U {3M{2+'[6y֗Nofۺ[gJΜ|ت;6~#G7ܪsDb}܉l/>O7N6HFh?qQۺк63V%ۘa00x01YH2,ƫa1fdXuR^a z/Qq>Pq 9#^sQ!(e$LbJRׯ_ׯ~zwr Օ4gܕELnws-_}mw=v?]Uy9P麳jJ.T g *9W-w}y*\aՄu7V+ƜUЌ +򜡪ي=gvV_:W\(t_873p.jA "s.:qѸ[φ;_Րs4[ qF~ݯ/ﯪk[RsuleEg}4ց Փ*j#Uw%m/4j\炪:?j?7Q.>>/;_w_ιmqO֛GjV@,r +aJ:}b^^sWqUϸGΕVVF bl ?n=sh-_b|oM-C3+mK[zV U`czG2KVo9%%ٜ z<'GlD6HdkU'OS(/  +\f9/k-d>be}*U`"˃eyzX&dT٪ FY9 |llFc9Y%d DֽrK.W{wf-٨O*An \9NPn +lX\\=,neYol/WȕXl2Oz1#W6F(Kp1<V&mn(m@m9\t l^{Y.r)K8g:~):z^$蛸:HsF ksRN8J,)0\gɳ*UI5`i +B+HpkݐB H(KW8֐vI,ܱ!`NKR/N`,Bv>h|['W[ݛAYZ(, f,~RЛհ+,)פ1Rޛ^4 c+@(Mzb P*]<`;W;Oz";Er- O^R̒z EQ8zG%d7#k/XZO0%P65.o4jO%XO$QY O.!+c#|? '0T61G3`OR'S()dzktfא7 e TH101pH# 3IORŬ30ݚe%Y|49Iܧ- %?u}ɻ6d[J{w\ͮ_/^q]x7?X+~rKIYQ;~16S%]ᒊ>X-2Tԕ&JJ"JJJZw]*I_-{CC᳄xϓo؊c%Ea\(ƅ1=g+ꃸb ^^O1AK?tD*`h߻Xb(,zXbs8}cA,Z~yckMF;m>yw 8sg{~_xo{SMRo¶zv1q-󯃈4{GoБE}VMA3},+U?_?;g9}#'c߱'>=b${_|."?*ߐoOwBʇT'6߉y< q}l;ܓVTnm B ھF=/jMW(4ZIh,gIw;+5yţ{6*?.vwoxsII@W5I]S.k +X#?dv`uij38 +.| +V~E>Z\^LVk/>F#j3OptO' 4W”n_goC  6o'zx =V+ +ɗeKx[֯=Q_y}'̓in!B3Wirhk@Ɓd1 WM;d뇜K,w4/,o%0WH?>y,QydeKIBukrGwCa prGm2lkX"H*t4:.bA8aFtp;n:bau\v\~s;n8XNGןisdνn[˽kO};f.Sd CMXs#aìahhL>hkRĂ8Řt]9l\<ssGrG|* uu\ܤܴ\\KnIŶS.톲"?¬~X}~@9xαsLLXFn1E#&G ? WV0/o81jjzV6oG mzۺh+?e7sC-E[zd>e߷OXۈ(^ {-RA(# m}ƈolka֝5VUqp7a9'Jk[]9Qf$V&֝7cH7o +r^kH>hf! ]z1=k糛c/i5ʮkgW/0,f~!2UW,.KbCԜKp[RMa nڸYk9[h΄-e,BC${lDA3CvY:0L8X7A-x-զlY6)XvI"p̕m%!ao[2f5Z Mgc,^Cv|ZXE2kfi2;mꎱ ɋ&Y ,!H2#x1 + {Ѷ zUټƚYn3) F4{*1a3$d EBP!T uBT't +=5 C-aT +¬0/,}IX&:MAh +a x1GC|aMxbeUneYW=( ~ig2]g!B6m7%!'+ǖ))˩i,v\ʹ33yЗ~Yp!t,%/ZEN`Ux QUN@2,P.E>AڦwfU׸hLSZ&h;!O8p$A/mmsKm荥g(/K YY#|aPf!_51-h[LDAXQ3onPfkzi7Q׻tz~Jמ^ [ymV?f7RFx.m~N$4# ṽ;ڍ7fFw7OB>}=K0Oq;itEcit|FǠmƪ~I0*b;6|lh1MI͆td +1L}s|cnA?I0 _pl pŋLxsyry2k@nc5ɍEGM33@JSR;mbH 䃶`\$GDb@y`k:i +3Li&)dn1E6|Yͤw,kQͨx'f!|-* |"%{-GZ§3.iZ—4$C1x4-B;ҞҌiQ ڌ2;mBJBkQ—][]o}) ]̼K49>> Ҳҗ2g'^|` Nfgnd Cq'/4*.c͌J>ғ4 H GYav~[;Anw*#8#,35iF/e_N%~)fLfPBvm(^GdhgLCjѦ-}G;3;2c2t#p)XӆKש+”Ɏ6@2i9XJ|J}J}Ұ",P=4m^mhy9c=c=P4hp' zѴmq}0JҒ m;)P |:i0u;7m[+$Ko>~$$ 5&&j45cuI 7g q5]Eƨ&{BMa#HՁEԒe XUcUfA/Fx7)TW8 Hw5k4ǚ(]!~;߼AI+_ڱ[C|3B}\^QG/Q߄޸~Js4^@ɋ7ۃupŋQ S:2ڃ`U0C +dR-;$-Bb=G!N5!:,恶B5y\Q;r[[ҕG+(׬מh.d\f_צj3ΧLj3:TA}Oט2 +Vt +Aӛq5a\3k[-*$m3ɗ\,SCy̿ MWF@W(jT;z"iymv:^U}ym֩^KtOnhD6hRw3֗k$;zHlI_ J_ILR&`cțJ . L 鏃Fyh -;بLJpŋl[|[![oQ"Jʶ~7p$O ԯ{*aOLrBr5yTӪc0M/Aڞ͠fX3]3=Ns '1]JK" x14]qܓB_čHH$.b{>j444i:i ٤HKI/C,qI*MU3x5y>YOl/K);WSR"yyJ,Ɠx~/5>yEW|ROKqBޘR[Ry;Lqy%z=6&@ڤgǯ-$IJ4Hq,g|U<}0/ĤYNzo dybWFW( UnUl\i\yU2KŪUʬ +b*-vHb3DgT! #z1T*ʥ7ɸ'U!كR*Un-z' B0{T06ߘ`rA9#>8nywNS=T+R(ͻ7NT)bWDA ;\$!3 k O9worX%w&_ٿ1Sݫ?pIq VbRUˇ~EGqC"{ս "y7/*nX(^5%__3b@DbD}kԱviVP7(; z\HG8j Y}I'+F"Duy+S)OH"4yΉ2r։e7I^DC18F 9-һ8Fˆ?MOžҷ8^2Ԋ|E>b~~hsVGGnp~2 o"=w#_E. !"8nxeY5B^97z9GYV>܏2y ߒ[;,~ !NE=@Ft7ir7(Ti*r/^BwCyN1EgQTr-!2Z3) \%|6y%iRϨ6_dA̓njQ]Bs#ϊȄsp_I$w?@-L&I\OD|~yyy5w¿A ' #I|4#@S,%QN yGAA5zVBqP^ ^)0C~hi8<$jv38KT"GRY$B8]$-L~xrl7WK탲\&^Z P>NhxuFI>ƶ?rAmFx_qŹ +ND4}ˉ}]?8\!. ͧy#q$] OqFֿ  ;~Smc`M;OoA%/*|{ivp;v45-rO0*/)QS ȿZg7*;H%~V?C`_8L}FJID%!⯑OH$Ǵځ#MR;Mҳ$c%?ogEqfaaMvaXED DDDT$P .D܈[AU;йInr}󯮮>uΩt'zK҃mUpĎݨr%u=\Nh*M\lI(-w6hc~΄m l*rlﲜZ=Fmj=wVRq,XMċ76{σfԠ$9ɝc<ڰqv+0-v+AE\j.*j3sg1ڶD^h?Tzcu2bk>}+3u<\=m= -n+ +֔9 "7*oJjڬĞ[]oR)p0xDQE bBY/­䷠BY8X"rE +U+KEmq8bi +e` +UI{7 4[LԬloUB[hN@fQDX,<1o#? qJ9Ev/۲6+m76'i̍si=ut#YsW;]K4>w[sܘݹ[\kQaWY(]b f(^ _ +i؅CFyY}=<~sq l]i?!/an\?> iNvXRE?j"^}[wo`{Ltg<68nl옌3;, l2Ն`;)u-zeeErvF==ñ΋^aB̎Dbj粄6g2BR]K`* ~۾^mp7ZV֝nk] [lKmV]n v${ ܯYΓph:7Ok=찚 +=Ϡm=g C? މj9R."ثG_KVub{F1WXe_ΌlYl5sI4ÍjwZ9z8Z^8;1zwzlXupsmz'F%7~57Oɯ2W[\7.{TѶ,1KXlu42l%鹌Y}r޽TR?o98찏6}5;o/#~>U9Qߢ̻[с|g;9G/o˲*V4L%O$$нY6ϴg'?(2bmævbt9zdBPEP.`/7qd2rK,(f-WQiWɐͲ## TVmsȾ@)?\5HSϞZd.WP(Xճ }>A@^{̔+rU--DZ2>-#"c1"s9#U={,fj*Hf \bٷc½ogeWĻb޳d eJfYG5:Xy@Ijc".KPcA/bDp%{e?|| ~ >Ǿ3ߦCSiwy!U-:[Xڪs8k eAu=[C3wM?2.Y=5~o=!s\)dXvf1*koT,ͭR4`E "mѬDYVmdF9߀(` IM5@KSjgs&./̞[ljqomvўzR5UT hOUS>q^ qǒS{DĿ{du9π>0!kb@. +3>w6YwyͿwGqGlc ѿ6fH0ƅog?ub;D>j+7.y)coh/ϵwskkdV4yT˫n#91]oizo-R"/ Tھi!T>(9d>5|*TY%-ě{v .oGZ~l } `.ȪkygvYA׍ud%Ga/=Bm5)P*BU]VDY_2TKF/VЪjU[Y7:Udz֢}^Ǯ/˿H%UTMUG5Q\%Zvum*J%UQH*QVKUMCk^uTUAǃSA+]g_:T7xĕWC`E/͐Q*`#v=_9h[Q޹p 8Jf8Niq:8 %c_}rp W m7;=W_];~[h``&Xl -cۂ`kF\: /ml9*7$6[!]KkYm$Dfq2-S{~!g1~Y+#C۫VU Ux?_J+C$ +Q +qfyRzhڮvIhe:P u ^wt_=KߧIVoozާ^kw7My˽'MoS*H\;l6׽H(%۱ֽV/vۂvV_J&KdS+lg$dmš5mseGG>z,*X԰sѹE#&-ZYZUּZIs6}՞gʪVoQ[>Jw\5&'9vCZ+ߵd긆v۶l/_rV%-o7Qq}O(]xc[jتՀVc[Mkjw3]o*7uY6tmӿͨ6Soͦ6ܶrۦmKk;wQn/j||e^ҡG~nF'qvÔdk hO ݒG%1}g5& oH+~i_ @C±Tj2\ +ç§UYU3\j-NtlX{aŪU궙 vK2CT̰0$V~dώCQ@uvjKȸܧR b/kodWo c/3l e78vԇv?oz__Zd2n/' 0b{ ̑"He&; s9=aY&42a,9˄r=aOX%Vrv +;G9UEª"aԞbY$,Vw k$XX"uM,R/H"(wiEM,0H"4"M4s6O,s\beZZ J:K.mK,>E:$9tL,rbbNENJ,9H"ԛj)B}~Ҟ6aIbXWE&9tK,"XGbӱH"g$x̙ez'9 >}7Lsإ_bwv-r"6E.L,rQb" N,rIb!EbaE.M,rYb O,rX"#\x̨2W1\XfLbkel*n&OOaouԧb-~5(3iMF +u(3ɶ>lRvUIV-#HʏtC&iRn,?M)3M߅׉DjtnO5#}#Z>GtKn-ьQ>#|D"HE)׎^u^}+1UK޼l6WFyͼn04o&l1[̻ff|hv.m>1{^7̧3|i?=ɷVM ww5%)Yc3k:y,0 "Yl6K2Yn5+cfyܬ2O'jyƼh^ղ={}Uczi`5 M#41MM3gZش2Mִ39j_Los9t4'MWלh12=)tݜaz3MOǜm2~\L5.l.5W? ̅fhf\gƙ$sl~on1fgFߘf 1rsa4#Ufƌ1cx3`&snn73̝fc|sm6sͦDK5Pu1ѮOcYѶ5ntP{s}D|:/s\:CGEM>'R"SSukHsuFuӱUwizJ:]R=uU]U3u ]Cֵt-u>F躺:[U_}>VF\D7Q[-g=Gs\rO <[=_WzPbX]%j^Ar\ + +u^W!z^ʵדj^WKYu~N?.:5\_PWK^/++5R_UWjZRmu~GwZ]oWcC]?q#^?V';WU ڸ.b{ٻItشQx8nJh]wjXM۾!Fz"Lo7MՕ5a"+߮Iwh=]"'[b,s$ϓh']B|nwbwP++:3ݮI7~@|:^=+j|NPȧ+~tR$ʾ=(.)Q?d|NQe*+k_6ԡDmQF梻y@b([YWحHB"]$[]WحkJֵ%v:t=nCPbn,T7ؽU*{[b}^$v%vI>]J>}T?*~LbqĮOI>]Hk%vK쾨_]Kn$v7$voH쾩ߔݤ7In֛%v-[V{%v?Jһ$vw{5A A砳huw[syKj|܊59(o:JۦʘRF}k3?6ߚ̟/Ws(=PUL-S;׏uqE\ƍqӸI,ngUse8S>7M>ɊqHExQ/2ݘGg +{UAguVU +UkyRSgjl2ULUSh6Ew;%qO6nħǽqϸW|J||!>!>5>1wόϊώϐj|XS>V+2J7 9HOF''(!UʜQD`VFU'j`XMC!*bzب>6j >:K5SMfAIPbG& +T4ybزj#NWǛG:JN؏M\%n,V}5Xt8ToUY U+jqQ\V^KFM6Γ= +cTJET?JQsP>Q,uC\Q>JR1TЕ9:@RƵsL|TM-qύS +qY +DV岢=)+qa\( ^,q ԔO-ԉȺnjW\O&u51jCc%j0uQ7Ē6W(֣mwHd-&Vk<;4wNOd^d3޽gIJy<gVyxyzx7''o$D,V < |32diכmdxR+ii%GL;xL;ȅ̡2)͔eFgAoeEi9d/mY?3qy6o\1~®Ti[t_Fl/חu$NUSƢVtUȻ>5># WybW<_(X+VOϨe r>ٓ ;:x[;P{7F>?xk޷ cްkru6sDzr 'zҠmkzD?L/G&zˈx;]h5ދ#/j~[#Xm\cOr +- [iGhUpˎLͽ2fr7amW{1=]i=XzaFI$;|ȸ+|$/OK.wfϐ+c/Kf,W7{]|)jT({gafi3_PʶnoHYt^%*7*{l=0e7\v|D +p(1񨪄ͪ lKs8=oH4ނ}kɾUC='ת.VBo')֣<i!Y)Gm2޳x%}ڈK}bsF\ڃ$q +m)5c3/{${Zu5MTsdeVWIݳU} =$RE5n;EzLcx}Yz/Ur6ޭ1w.xfzwx3y֝wUE/m([2{s7SEF gߙo͋| 5WBуJECٱ^XfߓD>G֋ڧFGQO|@@Tb'[XDldwxRe*U[>WW*]BrIe Ez +a蟽B &,HB{f j>`J0?Xl +szapdxK(|>~E 4:.[~ȩ4k9csf,٘;*-r;;wer2E֙!'32IQӴ7efg1[ARxD<5^䙼yʛ8ż/oJ13oߕrAn+Lp=~9Y93f:s;003`f;`#̄ 33 s'̝)f,Y).`J1afN1w sw3fN{R̽0ܛḃb,Yb,Ybyf̢C00aay8,Y$,Y +4,Y,<#)f9r)QGaM1+`VH1<XY feyqS*U0R0O0律`> |!̇)f'N)#`>J1`vJ1| q fwOR=0{R^0{S>}0R~0S0Ŗ0|z$cpEs0ClĶmR 0/P﮷}35EDMj"R5EDMQSD")TMQSDQ)"j(USD5E)"j"J5EDMj"R5EDMQSD̅b6"(Uma/s?)f͢4I ̓&G"B%sťR" 5("(Po{{_<Yϳj|V &X5L> V &U V ϪaUg0aUj`0aY5Lj`0&X5Lj|V &X5L> V &UQHC# +8eò e1,  cX0, ǰ,`X0,aY,`XòaY,>eòaY| K,Nj@#1>h$@#Wh$Z5[@4[4[A4[4qMVSlXMVSlXMa5[M|^#0 51"}X%;*y&x{akeg,O#aݼkкy1QLSGgSs0,[b X +)@ + O)@ +";)@ +$tJH#$5ÄD {0l"f-¸} +5{:ϸ.+ Rz`i'-h~ lIH G:uk U?=spy8@@@O!y4 8z%F +VI"m+6c &UZ^WVY!n!zG8)q"p0DFIH*AB{0O2E>cjeKDFYHOBh yb=n#+?y<_@xI5(a a'?W+A|D|&@ǵ&Bwg_GKɼ@%W>P >n >,0R&߄qЍr7ŹxsH>oh1$n/W2. WçiDL{@tշY 1|23Ct_IV__&#I^VH/Yx%"YU XU<*}u^΢ +`{px +uQ(l +JO>ApxX{q`iO)atnx5ނj *n!K!s75 ̀ho(o k1( % &]p:y½P*㑫ӣoH]"K:.GZ9"vF܆G  hkYȪÞQ9y͆NG~נT #^Hp>P*/+FNI z榣'Ծ$Ăn[PsނJ[P1&@ ,RO Uޅ*B49I3aa0}8xhIA#Wxf@s +ԃ+KJ#53 bsƆ_Y&1H(3  r\ r\hKq*_c0.&d'@CAГըŊOXot#bhfJ$ HnG5>4 f~i13T>i*~Ƿkhۂăh[Ơ#mOθmqY_q-ܘOzl%>o_we` \IAxwB-s6k2{#3!:670FRBz1;k_KσV`7dˣyTи Ѩ]`"`$0 000pB"b4[WgV-4#ե# 0/,8heuk4v@I;P(iJ%퀒v@I;wna@s.1N!r"dq:#XWV Ku }׻k3dߐE z x3 +DW XF +ĘޫMSjpA6GaJ"@ġY'5ri425D=%OD& R HYRT#H=Ҙ4##J. 2 '#r@&d&O%dyDV7;#l!ߐ]g c(cCPgPClpNL 2D *J@Ъ*-L<xab#%GK<Xx`9i3/^ x*5 +J57o x.=>| )`3f/_HHQ g,B[3̝ȐqyՓ_eQ;K;XtVMTim]U_yWb+nzO&'es`q6Aq Pʉ10ב2wtFCSf)uwn7G)gQ [j:55sJ ~aaڞ@v ]OKC!^<Öj4#݊ЈWjC(W& LAg̠Q6ab /_xsIa$] J?KIҽ,|ձȽ~V6'{$d23 xGGԨLbȞӪͷܚ36퓙ctB ]C)9Ag+NeHrȌϵ_)H- +rB/hhZ~BBwʊE&zݵ]t3@&o[U]{ +OqLMLIiHqDcmyhxa7&} 4\{2;ex^ +N(W"%2]*z'IL-ݲD"O Z[ux$f&辘=)op=ҭ'>thח]P2|SFyzմݚf_N8umN)镺 ߿^S|ѰS[Dr'Gn/}kH] +aŦp)ߴc%N2^,ѶߟYǥZG?~JٝnS#XJ -S[{n'Kl)iZTcobN{/OLPly5_E|dƑjV1y1)H>5V,[q~2vNx۰6mKz:' B:3OHHBu,:zti~iMzRNHN0kRΡfej ^|Xavfɷ57 EշSc7ƫP:nx&6X$}Fͣw7 M4^v#4CXhǘ;ixH9P|BvhRzyAeCB9tV0_2C.GG3^WN߮wb_(sr,v;R]ROɜipN6{?[xs!]~DL_6Eэ6XjngVM~t䉚_̾uib +}wMM<[g%Fb 6<ŚBo֋IڙDý>|[hW'g!~3hԖk8+Dߘǒx])oy-%BjoIF\b8jKFIU枧Z .̮آͮhx=a= (Ψ&1ϳ<"QAJ+ʦ$sU;%UKS<$궧3u"1]EV}ZrrSm@vgKLbk<ܬTQ67|a,ڮ&RC֝&T SwG?KMRzbl}]6Fu8h[+ޛxZ<=E5Gة挢0JakIç&q(ō[ܲLfAObգtU6&W/r+;U\+tcwY;o\ZKp,GfRKTsk$mQL^]'u)ȱt6ش)>CT$7bFO7d0V|SX1 ʺDu%M罇WsW5NX3˝8&'z맴Fi}Ⱦ?]/{# FEov*:1ERY2f,ujZ"yE7qki53m#ZaKH9j[V D+͚fvM}*o(,_n덶 ۄ4u: lpGQ_$cj'[UWQ +kZBOKOX,M*WLWnR*LjL 瘌㲯 +5^X{B2v[^ ӫꝸonpiabu|x˞g&Q1܁+=vdc.0ɾQ^kyyOcr ./Q:&=1n\ka-GTbTpʧbkJ7,[ǵwTkӉ1FFeW. +CD1 W<s^YYLmN`ƙ+|ΤmEhYJZy+ܵL˔u +XF숭TZ޾"'p~/3ynlGf1Ik +ɜoŒ}Sh}&NKH`pxic "%!OrlڔƢx"q3գ0[ĸX8}0Ls"P(EdRuݤˆ1m.NU);I1ZH{DBR|=n!l~ȑQY5̎v+Ѩ^a+A~=KEwoIHk>1Dm ץk3yt8ˏL#*TdOΑomo=Ag^xlV.9zrbu&5įf<*5-vQ)A'\<ǩg|=%4:]A%eTwInS\?qϹɍ jjˊ!ԨBeb8 ^Y?H.x11ӝ=eFrVq'ߟ;,}ZW qUȏ7E7>ylxcͅCkgX$9,ʨ*~*w~K3%7N)ۍ!'W|4X:u)7}UUY!cL,W\yia4}f-ucqJ&o-oy >>=Zp2~ι3iq@RnMUv +z&n]9M2+svi%9v\e CĹ +endstream +endobj +1994 0 obj +<< +/Length 13657 +/Filter /FlateDecode +/Length1 380480 +>> +stream +x `fͱ}$HBHH•X輸܈$ij5TZzjԊWՠG񨂢/-I%vp|?&73o޼3ƂMa5#3GoW15s#lA&nYȘsA1q.uQ/Ls,mCo/g?D[=zʬIM7>:x']S/~L7˓78kҪ6ߺh7Ny_o包3Mtzm? +ʅLsixƬgeI>ƿ;sIS7I55錦eH-2iִcvO|{sv|hS5m> iX&><ӬlZ^hcG_W:awz)t.5~ôMJ6U=K#)lSpSrWJl8&ƲY:dzK_A09i1rY$`Zʤ{}PsۣgE>ȳٕ:WWS`Nlzw%7;kLGہ n8iG`wDC:ϥݹζoFwaOFwn7i h_DFa'Rq}.h<.tm}%l/;㺻{I{{ߩž7{Zw/{`ߵw >@KkڕN/{}P;81S؍X +l*;;~㡕?ֻO7=Ӻr_^A zڶUY".XJK + rszdgcH8 }^t +E8uëzUZߢC!:Z2 +_EU+ FKوc;8- K-H悒\f"- h(o@3ѓ :-; - L-8h1I ṷ66I⡅!= ^Zj棅R[ T߂+- Jm L R o DCH HNjqZi-M,4-,¢-,-,‚-,-¼--œ-Œ0-L0ɇ2Pke *9[RyhsbER4Bڏ# HRT-(-/iR^E,͗ iKJK@"$~>AI_*֧1X +KnIH<|_U"/ѹ~=SGOy2nYz'iDp j_jz6W 󩡧WDT7tnx.))]\7vqpMw\rͳ>+d-EQZ^JVȹzK +g(xt'ɣ`>OZҦEe/Emys S1;GVWTTyTuyy.}Su$r=.;VT1t>fT F5L0ʼ^IwcNlȺɊ,˒e_\A74؜&DKMcc(,9kflx󆡚9f2_i4j<*IG؏4F+=\G{\٨)J=ͣMv#яäTzF=)HɩTVJ}`Ik۾͑xr;#탪HT4]w}t816ǥ YY:ܫkhXTDFhZ0=!B~Mׄ2Qi8/9|kS_+9yԱ_uZLcz#:n8 Gtu^X$Z~u,-]A #*.1*;p4%"{R>z}F +[r^SWրZJ[UW1#8]ʾ.gbҒa7NXH8)OI$YR6K +wyi~[b)թ* |u2Tfr_^Cwt#-4e t̯fÿZxQ4%MyY4҈x4B3p˖HX%7zE4X%>Q)oMըHԊܼO=SvН.ꢾ}T"↑94=S͛x\۩kq‰~1=7y9Sj c82\C-m~Yjn1?vc_xD?@'Wz;/UybLuṳg@ׁsJ? JbňinEV:rCn*d}Rqx-Ke_EcHCX1eIӧNpA4/9+Nkr3]Izѕ$yPq7ys_#\MT +{ ȶ[>]$7q9~vaHy.'RsQaI!Ə;OV9HItWџ5k?w*a^uƗ]k_Yit`99\q~@b QP7u9eEU=կ<ʊ1xh\v8NUsjj{d<N92`a:HgyRrF54 RszV(롫avɏҽ?{Qo7+o̪UV+hN~湽 &'yCi!uy5e87RꑸNݬͻUs|nVt/EUߚ>ʼnSC/$vl=/}U(?{.2C|y*+ͷRi|53>EQ>}<+9飔Gp4غ:}x ׋#hgiZ nA`Ţ`^eQ(d~}+ƠCydz-rI8O#>-N;tD13}xI${Fb=uy: E҅٫VU蕬:CNYzUa*Z˟O[UG;).(rZH.r_b=janNb$_9+o&^|CSJz+z%؟V=ŠdnLؐl1c'osSG/W6l> ++Wܫ͡siף]bb@('[\lq|~u}rlu~nc;%nKA[U    IAvi&_펍΢<(jχ⠽(]iߍ3wBwDކr 1ч,Alp >8  {|JAAAnIv٣s0) >   AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAdO +3 +&3HJ<,@KOeV^I>Wcմ~|H~r_y=/L>Wtn?@kl=ɦrF٬Ne' 6j$v +;~"-AϡWY5z^ Hzz@6ZNˣil&ml}O[ʧ=Lܒ4s$zfn{=?jtTg:SٴdN~϶֞K-fS_{pk油}Ih>>Ȯvy-KXélv%[MlS2>QT_0v}1v@r}~܉>ovt_`S;clюxHԟs~}ߜÞn9[,V} )Ww2؟5{#~>~Weo+m_:7?4?wv1T]@htw +?g(cKww ݂Wwn;S` 0@-@ؗ?ßt}t > `?=d}ғ5}q`;Go,|=gbӲ|SLz527f>g پ2ۋ;=f2 sZm֤wEK>f>gؔmgd{lOoiRK=Xϙk=3{FZl*7fti{*} ޙ>>1}>탑#'C$b/MoJw;>5gup[}c3gDb1}.dq;?Mǩ=>}qS}A}/9>"snS*Zze&Sbt66%66562kE-dSiSbS;Ԧ6K[?Ú#mJ_j1s=DmMmM̚䷩mj&N]lSަvoZɝ8G +)[ɀ6c0;ڃU}6b@D\Rrg5uuQB{~G[̑ZMmMmMmMmMmMmMmMmMZj3G܉sxw+#uǷ>n$j=iSwfMpZئVnSjS;̦6ͦvM.n#=u72s$vMIڿ3ks$ԆMiSK{[;qoSo%RsD-5,`؟ M]bSM> +63kdS*Ժeh9[ΑXd%6nS<9GjZEZ̑ vڧ4n%9fed=̚5η-eSkR9Α,Im̬uΑZ_h8ZM|"]6Vڪ..6s)ݦJfl~_{' aNȐmO)X8 %kf=fS{٦M?5צVdS`S;K[s5G;%ö)㶒Ys$ o9GjmjmjwlSЦZIܢtuM.5AN}F\ ժU1Ciou_iێ/hmtWUuLg,4IV͒5Khǟ:OFM^vm~,Y2}NYZvwش; x //QspI?7'AU#,otܡ+&]pM<`A񕵝O֤70ڥWhq{fm5):g nfݛl1ȦvͺwڴM25'}" SNW^ǕY8%8U?=sieHi"pÜ _~R^]ٹdMz~muuF; ګ53vܙ++mmPvԾ(`;gIBH!]> ukd?\Ynyъ)+<>'_6U8y&xsʞz3|yM\g.yea~&< ɚ.ݭewF;Uj2jeCUl]h\uiwM1Ŀ? Q'_ %c&v.;a‥+\xƊ!s6~y[NIa+H?nMr/+VC}Uj1ͻ}<|?:7ЗIR>wOXm2֓ +Vz*֛Uև^~?z6 CVkg Kqh_|qP\$%RP\&.W+oUj[qV,uwb^^ n7-Vq]!Zĝ.qG,xH<,*&DX&OIB<%ψg?Jx^ ^/*Z"^kku/X+oumxW'GcT|&->'_^|+6;$DPUIUEjCu.խzTSj@ !5FԨSjPs\5OW BH-VKRLjKR{jGUԾj?:@֫u:LmPuu:ZUQUSWPTRVQUSSǫ'ԉ$u:ENc/ɫZM-yzO+Qhe3erPL\rr*j5ʵ"er;er{F&fVmە;N.nO=R^>~A_*SQ<<*˔Ǖ'ʓ +)iYJ9yEK*eFyMy]VyS<ʵ >c^b0wƾoN1Q LfuLEQhAZ*B)Nёwh=yֹ^ zuAP A704Bo1hzM@I>A 4 P 0 pnx`B@Q BH@H<2@ +@(eTP@hm`@$ >0` +̀9 +X` ;3p e`9 (``%`X ?@  @(`="@$0@4`?s +Jҋ)PfI:xԓ 0,I3F8 (ǫCCh! z`z2 ?wT 6BP 䙫{}Ue^x&%vfa8Fi]Jt?O `r!Dq,,R ^х+B&v?V4=|SF_'NyɄޗֹ* .,)wu6?"k IuN (7>"$\l'zLT÷I7ߦ'd^iMuХ-`Rez|9Է-TE>7%wWIUVxu6iBݵG={-''ܙ +Yfab1h F;>b50Rôob F0K"b "|2<)le̚HՏl0Tp3]:݈ѓUl{Jڊa؟$y/[fv +cHr=$beQG-+[R3_9E<ȴxnR %9%g>5*OsJ'y]MB7ᅀI0uI# ?7V3ENVmZ%%]+iy8bSv_ `9mqY-z8nНAc XhU>_|N?H$Fś ڪRm5Wv*1 /ËDE#-aYXgdf*zۧcgS.n*R~gnEZ?w6 +yqQGvAڗ;t.6'q.b'S|_⃚; XiV11c/|0ob<|29Wq )D|&Epldjo7nx=*͕Ke+3ɺS +7H͒w.3 ί/^n =աY=*}'NzE\%tXTp^J2{?Of\}Y48GI0ga?]tӄy냐ʌ߆Q%YW$TiXnfTI7a]_q3u fΔXbk\Ӭ@WP0r$}[eNu7tz;&U+=: H.j| ( +9UI r|>)~Ϳ.'tVݶFBu,+@<$O)1N?GTY-ssM?tAQ#3yևqzuhQ ]c.kA,EQ´(gbe&͗z&S#G,{uV*ǛcR}kcroeTۣOj4x\صoCZpA`lL؎1ƃ˒xFKBKHk,,]1z;g֩/_ݢIkW?(c!}K) +Bg]7*_ Xh0|&Ȃfo +dH.o!m.3UD.,jdǓ~>}a[o-B( tx=*|qv&t~ KHAQ FRyH#O9KmSAȌ8u]T-4!!BR\IJHle6<$YCpUr|4·F=1^׬$6lFKi7WZ:VrQm;c}5%1/=p3-0?aR +i٪Lxm{շR[J,ev +*{o=7Й3r&iŇU IBm }ic[--ؔ'fLnΟ]rbϛU/OɖB9y, 8| +#]s(.W(Rc+a4կ#(DdL#t}>5ha5gwD) MV2) XG> +stream +x tՙ[U]]͖ZزԒlleV,ƀ,o'! :Ʉ!ː6$0$drx !1~߭Z'ygswZ "?.-XtY?A?x``?!D.&'ā6>>[r#<%$qkwF7_$1Uݰk_ #;QyI7Ǜ7luC˃7|IqȺSzh?;n rͣs)&y&q#}8p|t~;\Cʽm[w>F|kmo |+_%6 +c|$έ#]y4InR\|=VխOk4-+t!V]!b$Gbܤ+/(r^[Bm«+H3KF)NQsP?uȩɽC."o9^YN}? O-˟%u;_a%z\sSS;} +&+iᬀ?YNWNn;/ٗzWs'-.-++•銚a{4`:L2SѹRx3 +5 2JGJTLt+a dq-}L N<&'+q̩seNWa2ҩ==J1W(ʼtT&nL'=Q[rԥ+\YT*eksJ]]Teҕu(>9te.WӕܚgrkCtќ1j1111!*QQQQd(or(g(kcdQhc#cc#cgC"u!DH=&"/r*R_(|_1!2J2nSY,Q(JrM)K?~իPXH[8]b(e[rn*OC+ORnuT|tکOR[S{OnUi'>?UjXQIVEmRO +zYmjZj~woSэ~F-~f94Aե=zNuI_)^GIȫnP~^r|7GMn. Pn<Йvqߒ5DyBTF0/ڙ=Bd'7'_n@P #AGe$"Jԙ3P^N4C9y!϶ADZN^ʦxNX"@++JDN[./w_n/P&s5E+gdE*嘈:橬!jĻ[6Ϗo^мrUS}}2q˦?i׮Mx-]9| 9x x_5qQq(^!g:˓5"?-^o + ZQҕ/{aW|a囅BD3-k޶SS+ +T*JEnFmy>'ZTѣL,\/4n~WmCQqEMMy!]PU!;Xu-Z˽+ErGJTd HWp{3F˳3/ϝt/EXᶩ<&{)PӍ닋;%^*ȏ<9x9/@9rE['TNk"T4)grSx9Rk5 y3ķ{"hm%-s.l 4W=]ݽ7ͭ*~dW952,+sʮ&QU?_Wb]7^"(:m3^gmjMB-|EmawUHJ`zI:gw웟ò벳w.tNMsEcc/~wo|‹7D%Lueŕeo>{`w+tKyqKSI=0Soo!°S(4=9l#u)[Xh{RF5!2&M?bך&<8y{jqNMyD-} PlEcU^e"rխ%% .-: gvn$,Z{7/C{J^Fըe"KƻM)+QҳX[~4MaJ|5RV׳|nK=?~M}}7-,xFL}S= =^XxͫV^u BY6teuz0URP%Q+tNg <;z7- #0TgxGEn0+S^_tʝ;Woj%Y\I܇RUURzTUUT^aU^j;Z,^Y5-))U\S~|64UY=|"W^Q%aHy⩭Ee6/TECty|&ňRܧL}Oԟgy(3Y~W< j~ڧ׵!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!?[DD )]]VH5NY]]1n2hSdlh!U./׺hS1PPĜJ)2bܜgSdl6~W8oSve̴lhT\h5.rȖj[D_i͛6nnn_뷯_>n7En޸>zƵ۷غaܾmэ[8_7lb1:)BRZ)vsi)w/AJM4K2Z%t3mmw?i='\uuK#\Z#\mY{nQY{rϨs;[6riYg:vιÉˌzF|N|($=2SV|$&lNMSk͈ćV3V|((r֛^;>+KOdƛv/#> '>;[{G3gpQ>Y #},;ޟ['>7׊53>ۉΛ{ox3sx##>U'>?_2s[bʑW ;>I+&_'[' +w 9|R'Et99QYޓG,p|=A?dξ|Vr7(}^禊፳`O;f9*؛{v҅3*tt=k#Ǜt{z޷r}EE]?dZ>4Y6:qtzQy@߯}xfȓ ݻ_+u[E<Ѷ~P}MxFOX>фZ['tYȟL*<7Go'5n\_f{>Eyn|v}8lJ|W9O\ƴ˓E# Zr@9<§)2[#Iʊ6GG+|s>yip2nk\s M8QٴTֵ'eoSI+4]9rA7ͤWx(}1Bs}eYԫWEP`r0-"k\&د'ҕ.SFJ{=Gd;{nDIMzM4e/ڪ3"cwM]]Zq+ı}55;r׾72rȞ}s{`~+_):\Bs?ypbB񻧆yt/%]X;d KPe. SUhс% Z҃(G:E*L%, 0&- ̧M89.cՅ׻{;!U\&XW㽜WDP; o'OG@T! 1__V^yIN.i(cpD]ni'_-M+4lX*F [! !N#z~K ֝xE?~[I$c3 ~OH$Lʓ٣@@B Mhj[‡ԍ "ф@oh,G$# +%*SSWs+q+}~[8h~pO!<|րi_@BO!2>c 3n=)"22`|'zɄr~8 LՉBB i].%=`+Bⰹp]URՐߚVu:ԩ\=P"!oU)9Tu+']稩7=ݲKy{< x4'4 [6.)uٓH N"cfxJÆHz_"$DH? '^;9f⭡ag˱53]"onA7=\&~v)a7”9qfS.ӥa +ƞPWE71ͳnnǛUS'6eRɸ9[T2) \,nֆ_hdb'0s=i R%Q'geWЄ<3P\զStf8&BTBW:7‡+a#'RǓBʰ':m*)G4|K9~%[UmKrц՜A562tzCK)#$s|XgܻWU<\5 R}'P+$[Ъ3k~a&- -\NLn&0Ͼz*R'n%ǿY~(mjrWHhmm<˭f"}/F'i)b1yK2Y(+gS&g f;D{EGInr%OǎF);gҰ~ٚNv¾;;G[,X:9~N4cojS[3IV{&Lԫ3ee쬤:k'N=}D7*=g9j+H@6/BȠ&On<hw@'yPYbT{UyLzbRr˒YvMR t\fq!gX;vڔm*m?쬍z:wb_X,],;a ; Υd;"|>{zK-6kr7襘J֊ +P8@I~v'6)m"I4b=*E(Y=/ӰGzHՆF:Ahc"EʽO,۲j8<:JWc֬ +$_ET8dzDyECj2$3p|+/sbN$=NJNlqdҢߟ;Of6% +s^wg܍,]]]5k7ʼb`#."(C ›n +"L Z0pasklh;|^>W'Y >dEDR86Kf;y˂rn<uA7d.(\r3sQ"4R;udFB[R2?>#!\ ^v]p2z$_O|TG+g|n\"^1qدppu8z1414z-hEmhGS$HΠ ~Uu@oλ("2B9vcYJTZDUϛ~i0}:y/KAFfV&vva.!1_om?W_?;^OKz\>'͜4Sf<gΙw;/ f} +endstream +endobj +1996 0 obj +<< +/Length 11090 +/Filter /FlateDecode +/Length1 83112 +>> +stream +x} \TUsadq%Y DScAP`=2-tH3L415-5+rw32}sss=<3 + " <*gq{!)56*:{mVc%;cC=B{{'%q]NN[w_>Ly-s%P]0b2`Xg)c)RS=eː[(++_861iJyU8O45^V`sL&b3[  +0TeB" +XVP +u#'pp㖋@x'֟"+zO)h3[hƁ/- Rmi(((((((!0 0̳-S+{DAьLAA +XZxu YBX +ff`lN,- +d2` 6`lK^ZA+dŽ58!;>8vdWhAnA-OD"!tȾ|:A'd(_w  9w]&t% ݠ;rwAA/@(r(!A8r8uI8 +!Zw b 9p!/"_^7A}+CrDH]$HFN&)`r* ] `0`C`" _44*HGN ȀLLjP#g00p6d#@p<wȅ< Sa*rLCFx:L +o[o 0y&6;,Y0y6As"y0y>,@^ ~՝`"x}X*uǡ>@ /Ka2Pw >a +B"V~Uy5|c5P\ tG`G^" +7gȟFϰ6!oϑ?'6#[ta |%lE +55]l#B +v ZZةv_.]y7A~o`/^`wp@w>!ȇgA G_18; 'WSpWp ȿ> t98|~G@. _o"\B/+p*\kp:Dou{6Eu}x"?Gȏt=ctO{:ϞΣ 让 p_7߁&H1p^´i5{LZ + +RX{{-|AAAA33S3S4#IVo84Qhܣx%4#N=K4Q<xʧ ZH̚Vo8-|AAAAKK͈SM7(Z'ςYEjGVG"qYe͊{M7(ZO jFjZMs| +OAAA H3~Ц_'hиGAA,ZZ6+ɚVo84Qg + + +YYI3ujGFE"x_)(((`o/5+46~ЦqE`PPPh~ .͈SVo8-|AAAAр̔^ ϶GJ0zE o KLkmJ lMVm鑩T}}䴍ᐎ miT}ZN@{Msjsin>(fbB"1ݪYD{ȫ; +<+SUӜҦAQr-&ƌ̮NB|1D]aIڠPɫMDW6Jӵ/ܪUˠf6|l0ZQ$R-镐6 UZ KU1+b@J!xJ"=b[;SV n =qdeP~1T3L.`_}ݎNn+;i{Nl +`NJ;twd3XS.&#% %<%>ElRb鹴'ѳ'k,c8q],ŭb{Zl%%bX$Y1.@ϸhiK]d@tvFFVуZ{@RMԼ񦽽UAhHEy qqѸjEU'%酢⒡EC \DĢ?$-3|ZV4;&LGc\XOBm&jC/ã7Ҩu78 +w' +By֕c>]Fٚ3ALP pdB:hA)S`̆E1l/vA N{p,D۱h9}UlzV6-f3n5 5SEl-P+z m=G'tnpG7uuLhM](۞͹sXk?pvZ3-:-t`[.ÖqiO pB<0@p7ԘAd2MssPd""ּ?̽e``C%L*7H0+}X*.(u +rG0 61|-ǨiHșGgB 0" (NdܩڏkjkiӾ |0Bٷp~>{ 2`"ӎG;~A† .ǝPR [D^, nڲl6t$[0F0Mdd,(.NO~mp793bV LYN)JTɲ'rD5mRHZ .$kL\b*xѐBG55K0CdnCx0H `G]7u]& *X` AO~tQ8BƲmhmm7P-xWx;5^G"gxHQ$+()Yd5l/I=Ƿ` 6;ޝxZ@%} V;o0_u1=R\߮qƾT1FŤn~(b._.8uj֨G8H=>A70 z[ #2|=[|^)WYd7hK)7s 7uO};q^ 8y׭k=[Cy}+IVa]k{j醵o܋ 37M~GWjclߓޤ֝~u>*6ӧH$UEVQ$S*P +z b/  +W ??c%ـO$M!Mt>0bc5rZEӫs+`G\1T2B{-UBy0[m6Zo@qpߑw6I`#ܷhO7~\ ׍ b/;u.u 2\Y +m mj32hf3`f"ec0UY|Ԡf'd"Dè׿lTzCƽH1w MzL# nmfp|gge3YE|RFLƒ`6` _vE<3w0|;[wb%Lj?Dxa בp;څ|4<"ܞW%IGpkT6?r5U۝Ps;Xeb:9fBL䝣yqe +W!:U68% D6†Om|y@UXjiTIa*dNnZiVb#JV*V+]NBjcW#Յ9Y9b| UQٜ!;)(Uy9 +V"PA0OR$6r69QJao_sssS! Ҕݗk'꼿Եݝq 9:68 M.9u?/GEq_lWߨ׳ԅ\ķ;}؉QY%C&ظ8KB{e]<~.i&%*:^JNqSv=z拀__9-Yf] +zYX]7%eEk ^"e.{H=͎/ 4a*f% [|vpF:noڽs&Q +f"p܋bsG=u`eOڟaQDM}tY7g \{k,H,g1%P<`%60FZM.aX{e_%iְ$1+GQѾҫ$-" Y~dU(K+0ɣ M`/\&ǹ[ކ۷aݽ>5 +YbC=M/~+f`w$ZSS[9oټ~%Q?_X>y[̻Sz^:ܼ;3:ǯ).OI?W%M,k˱vܤ/|5g􁠑_S4ަ${<3.2ml۝f]]s7'r`ґl{_"ȭz!?ɸuч+ָo LqDxPP6?nс ONFHU,)]zc;M>V)oXQR))o]JBŰ=+JC+W]z-7/MV}T>4Q_>xgv8 ۴TzKwV\t~kr[]Lwͣcu;״ es/kKeI PQ#\S:NW.狫u7vyaߨR}([¯KFPPQ6Wr +?>o+'œҚ7osi{x4K =engݮ_0]W{A+;'Ե ۽wqc7_XlU0|su?#O>n*]]<}T`]iN=?H(?Qy 5{˵ۡx(WNqӡu\ֿtخ=NHڰkoU ծsf왪QGVav8a]4iѠSgtldH +眼uɳJ8XWp`0\_ ~y!P%0P?߹~K([n`_7$TE(PX/&  ~R}"ģ+ၱ7Gծ7$,~~aqzǥ 2>\uv'.6.?~Y֥*#NXzŁc#Fa%Tpxi.6q FRire+Ի]"z׿?]X{^!nvlVecޘtQ)Nq:&jA䗮oȞ.cL91ۥx`٩$!O?V 18?(֘篭vb-yKٌ#6i 42iH1ƕ64x%cb [2\Sנ&3'$:8b]̢O߻zj5݇cb?l,zMG[OX=1NIϯs~+[GDد\l,1raҗiI`Y⮂ԅ:6^pI{z;lé?wT\ ^*x5Bv w] s*̬Y||__Z\tw+ohi[}7vSv[@scnSfl=y=_=w=Y!dՆ3|V&$3w= i51NHFQ4;i?I6sVGɷ$ҸРq^Rdaɒ(0 ސ6mVH\9_Av&HT(?4X@B='f 5E`Z|?bv ؐL'o}Z!z[QniFՖu]14Ni\|}ѾSLr,<3 KN,>Gڭ8TfZ'G5nk=Lٰ]~K_P*K yfypQUŭ;e.F.=wU۝+|tSM = 6y[2 [E^ݳ_9G^5Γ^O*)N}CDKg<&wyai`yѠq)Q +x0" +UѐpV'nυHžf^m2)<>x6 KX|aSbtfLUUTeD=G&trl dl2eBߊ+76H.@KT/١cIUn|Nʶ;^J;uh2q_3|-{cԣş{]OʢIiӷxi&&wJV&%Smߏ}fC^j Ue(3svw;\M\^f|EyOYi2w ] +/Root 1 0 R +/Info 7 0 R +>> +startxref +775220 +%%EOF diff --git a/Document/Datasheet/UM_A31G12x_Eng.pdf b/Document/Datasheet/UM_A31G12x_Eng.pdf new file mode 100644 index 0000000000000000000000000000000000000000..92685a39a1a8b380e64957ff9d8c9f0853466d9c GIT binary patch literal 4761490 zcmdqJby!v1+CB;*NJ~jciBb}am866;NOuVci;$FVq$CuOZV73Wk`(EX7F48LN-1e1 z{4H41{l4zKzx|!>eCPae4%g+i)|#W9dEd|Tj4{VOrYtIw(%fJkJ|cXUD%2klKA4G@ z$^OAZB79+CkcNi?5+q^bY+_??0a7usKsqr&P{nGXdnR@k?AG?&3ThloAY~V48!Nl> zGB8ZwqF52>Y+{CL#sq;Q&<(V#kZwpv6-T5w(h+HAdR`fhfSy+t5h21y+L>Jpd0zLA zu`k91N!YvCIWs{aFpz?knUg-#MLQ-a?7TS>>|y{W82Ta%xClp=Ab}$TR2Lsx{fN=q^!2rx) z08%gl7$5B=Okf1i9s#riFbDGi_VNMcd_eyI8DM^(9AE{^57Yy&2Lq^s1%Ue$0NM+n z?+e0j%Hc1(2LkW_0eFBwfO-%#Ul4!?2n1~x1cDwP z0`LI=_<%rx`T+hAC>jR{6pcFs2J{O<;|2lnhXA-k0K6gSI7G!5P#?e@0{AxsaBx%% zqU{IxfFOYS03Q%^h%!L{ULXjdKEMZr53m>D1;Pi|%LmvC@B#ti0|M{@0YU=;@B#sN zfd~Nj2%y_T0Y0DrA5ee~C=d@&Fd7Fa7|kmbhzlqfZ3h&H2PnV?6yO00;131xhXQc` z1>yh-!~qoWe<;8M6yO00@Bjtk01Eg&6!3c}4DBya0DmZuWuO4wPylZzfG-rl7Yg7D z1^gch_&*fD8w%hJ;T5C>2IZzvE4PylZz5C>2o-#`U`_5j{cAReGVJU{{b zVZ3O3VZ3O3VL)Dj@uKmC0X)EfxPSri00ZIx2H*|@;s6Fgj|&6vhXMG*0RM*p_`?9a zVF2DR0B;!J_pskd{~uW&=og3s7{CJzhzA(J2MmY{7{Ch*hzl4TXboWOxNfdlyp4#Wo>m@nW!e87P`1qbF0I0S7U9EcM* zz!Mzc2@dcB2Y7)4@c;*SfCKo$0o>ugr&|DTIDj{>T3~_$IKu&);Q-EX0B1OmZ{R?_ zfde?h0sn^s(=r_Je>jkD-~i5WVETmvc?S-}0UW>^4){L;z#9SJjR4{R0mK0Uz!?GH zi~!~Z1b{OFz!?GHi~w*(0RE2v@I?Urj{x#N0?0oIApanM`4<7;i~w*(05~H6KSu!m zjR5iu0?79WAm1Z^6%7Kw8v*z?0?0!MAP*q`{1E{D2mpTsA36^p0Q?aE{s;hn1mNEY zz`qdy{s;hn1b{yRz#jqNj{xvT0DjH~;LZp5H{b917+BC4GW~tkcy80btQt|vRn$V$ z8Cb8XA)V}998t?o)S_0}-p={_1I%@>-JQ`@?xH^=&>wQ=cMKA@v$J9_-t#@ZOu-{aVkcV@CG!<<;I#KhA7gjc4|lJ;h}h_lB!kRp@iAQQo`w=GSwWlmsquousi zv1A*0r+27*Ye2UK+r6XaO9%HLK9Y&Tywo8tXc&`l30Y4bURF>V`bpK)VWDcQgNHxt zyFhry_wmi`LatA^mi*DkA`N#h%6=0Jq!Lya*7d^q_kuLzdTI%{ylU}mtegHm-Wb|i z153;$S6adwqc3soG@n5gjaD}UmGS8m-1rzTeb>ND+Vu}+5bKZJU8~R$rF2^uT^5-a zpY*k=wJW@Ainly?EpnP>(tIO}PG&;)k$-f7L{E!)GJ7ca?ybUJneJMH!1(1yhLcjF zFY83Vq<+gm&U%&vBz|rp7v9vSpfpGC9st|1HQ5iCV^u@Q^Ws zj+*F%&9bJ_f$W3PBUIz}%ZWKzvkR9*pC>EdqM!a|L*djyI($i&SEI@68XZljN2fRa zou>mvMrl%_{Y$Kk?(_{M+8L@lZCsIz<@`0&J~OGTWSeefRS}n6{L$GN>s7f%+t!U}Wlf}Fd|7j7qWHzYr&GV9IzUFH82ZqK*vP0Q z!>{e7^9g}>YkrGOtvy@YrI%EFxHLweDs@RD7rSJiI2+wnm|JZMthnkwzhxSYc}KxL zFg}NHJV)&7P=opHH(YQ1#vE7k^Ye2K>Ekiwi8xchmN`ZsT2&%yAxsG>4G88fnPkiu z76RDE+hrPJKM1cg4f_~->S^)-8Bo!GgUk ziNwbe2^Xg({R(wcn}<{M-k-5=XvW`}IG)c73TZ0I21PN5)!zEnPAXD4(5%krdbXZP z@+}DKEbe&rOUs<2+`B=dnso7O;kH6a2AUY;iFJ88P14T3qkGt(8vHEnyY*xAh&KlZ+pjr-)`jv`97+VX5NEU>-azAiXjz)Df0F^DItDF4jo zu#CH|gH0V?IOOBSd)$j5F$7WEXb$V-cL7(tq!vt-i#MuyDZqRpF51QHMl2eWybS%ondU#qKLL$m!K14?$Ytb_HXb zPNA?i{iq?y;Yo*ukV99nWA=t?@v}}(bMt6iT#l~RwmQDM z)m&4xc-z6g#R>A{^A~P=2ZmG8Zj7xi_YZ_a zX@AL;iL?3TS@v%7*LNI4qUe)bcl&~?Yy72pw=i{0L(_^9aemHkEZYAN8s{F0Sb^T8 z=WxDp{So!z+lj(QE|KPLa*O+mxV?7;l9TkGohh|qT)Xd8W?$4yeAYAjWit-W#;`k!T9F7N-I;{CSwO)=ZsL2m5n z(G0HoVm?E8qbJJ!{DTUt-sx))USG_K7Pm%)Ne2FJR$O`q^_t&YS>9Sh(<4XT)n!B`||^wessIp<)=mAK2bBSw=|i) z(^HM-u!oo1EW%xp_i{aO{FNO`mnMGR%Rjy5iJ^ZmZOhp+&o&9FX~?yQ2q$(FN%yd- z<0rt&Lg$~nQ7W_4*8PHXJ8bzjZ*g+QIoT*oz(al3%&Bwej-Pz}puk5Xg;~clC!^)P zKCk%DF7`&qm(w4&;^@CWEhhMRc`fZ7=~9g1@WHG%hN;KN4{z4_;;U0E?$a_wVGSV( z8Vo+Jo7{DRzK_g%S#L^F6>fP?nB@Dtbth7D=$N+_{MM1BKf}GU+cuqITwmm7p?sP) zq|8J=##=*Mm5c)OMf`WvPcWDaw~W+GpstAiY}y7;Fu=hVqL-i_0H)P-^; zvzL0dv9a~gsoJkAx z*7bFma@=)W4dxDrxbCz1)H$~?WSvprO`CdT*siNxO~dYKE{piN-6Xo>`I)$*sG(k=6$oEknRd|cx zmn#he1@7yk;ip){%iorU?7opp(AN+!7FhLoAGR4(6DZ}$DyBPpaC=Hb7^!5F&Rbe! zxXFASAJH=Ee_=^=ZX078bb>(l(2 z0TH1RvE?LPjE5q-yD~PFd&v~m!MBpTewBxePhO00deQ#K%X9xMDk!2sA?)!@^XK3T zuMaBfMbm-x+3a;(7I{;b&B`2cPZ)$N!b?|kz(YQ!SD9u$6vPC1JqlFlN#4s+k_+LG z7Wyza3%RshJ5=q5!4J*4`jhsUmWgeaI%IBz@H4|WRU@;5wbCzc9j)fU9x=<9@@nKp_E zXQ%~`LbyyEES5DI66kd6uiL6?k3?yV`6dipo@p0*s;urpZS;OkeG|^(IMzrxH)23d z>BaUf@3HJky3qP`+hEeAn7wW;s+pPCBd(DR8cw&iBb`JITHF_*1etg5gYWO(PDd0$ zCJ`wMn3|sP#I>U0WZoS@`;(u87Gu;_43s+*A*&K}foTrH80A+FYCe(BeWB`t;?H&6 z&wCnBq`Uukdiq#ZYwD4#KA*nn4d3hDqM~-r&3r40W;bhx#G#CnCUN;wV>cz;>uDS- zL>7JsEj;nkT4k5UUElSb==Kb+uYLE@dgg)7my8MRUvbKF%K488whKI;k#t>aaNh>W zRO>~O`V2eoXyHbby6-lREq?y_vyggqP*wVPjqN*EU&^GAwI$muj_sjc-doeL{-;(o zNr}jtq%IszdY6-AiQM&cx0^cNvTVd9mAtIgN;#e#Uz|;N<#4!rrE_J=THY$beR)*8 zRH!0;eo4`rEta-N_cRqpXF$mD6ke=!Sj}8wxp!MV+6MjqQ&jmFNM;GM9RV5Q! zBx)Z5bl1cQc~L{d$`7a-QCHLeN!g*ErLF8NK-yMz;&x6}|M-1gUlQqL z>S*QQZ12bf>{eJgIyp;NnmD4wGL%LKd0-y? zH=E~sI{$4W3GqK0oo^}q(da+90OT(h5O=gPvEjaJZ)5g9U?08x4wC-&^z;9Xe)PuV z-|4?-`!AOMJO2ML?LSj5zzhF7^}K(ho)5zRH|qHW{!Trr=o}`1deko9zoi}u{Y^dJ z->FBS!~_)eyeR5X+lQ!O{-pk5Ywus~^RJ*pMJDfmM}wRh%CD`QJ-B6oyE8Mfb3V7& zi3z>)3(~OHw6i+j{z8Qsnw3AWK9|cbY|v*yGhxW|m->Bi<+p|Z$O(U-{yT+8$Vr|L zd!9ug=q&)w_4};9s)(MFjm0u=B|J=XCIQ@PB*#zsm$@HQ|p;aIxq92g3iDA5h!*7oz*$Gr`4` zf1e3ZM*Oz%f1d=p%ffVHM#SD?3T{X4uT+%o0}Vt$pd>=C#0X*oSjsVjuQ5^ z4)%5zt3Z$(N_cW~us@fEn9NOVoRA=OMH44$)UBdsLzKk!UrI-)g(3gBvA>ts?rH0W zc=p#uuKB+CdF0WC^Uk!1%Je}QQKvf}qB_HtkUH>y$ez0IpxxyiY4lIx%PVUa$t))kPlR{ugUobDpdwYhw z`J=;WiRWYC+*^Bh9Fs*0n6G-L2x=c+xxaS$xQ%O%ljGbhG*P~=T?n`zOy6a6J zwhf8>P!0bP3bS=@McEl4uln@!qtw^R1|A_Fc(ydL96Z^3ZEl)|?UZhW)p9=Z7c~|#JP$AW&9EnYDY+>%JuGc)}rLogKY@He%QB^W=uq+zeVW%@b z_+D(qR!UjEs3}vecC09Qk3X4*mE`hkiMq34lSKM(y*N&X&b~`|aqPomVwTl+YI0<_ z((Q#D_XSx=GGDYuHpXp*K4EYWDLp|>j$~phb8I)Wv0n}PNno{X!_zwV)NFjad7+Y% z#ge|~q#guWRjCf_bp6clF5ki;?W{aLHtrd%DVa0SqA1tESS!@0)okjuAj1WxNP@dXsX!!ouBz%t*C&7iD$Y|UL51yn+8gQ&W zjq|=&O~}VmIr*6U3C4>AzF*GIY(McFO?n}4?+gYiC;iyb(bGIL6PWSY*GT$08 z!$_nNLdHol>-_+`a20m9E&PLcuS%|Lx;;4IMPg&g$WmU!9lh>J!bbd3q8Dfg~Eu$3u1 zHk=7axXTnje`p+To9(%-6fX8E$vC2vMk>jXukM_=kQv7Or9fH31N9%Ls`9UbOdcpq zxv73ROi_=k#2fXx+#$YJD^P;NTf3I?RXhh@{f?@+JrP z=#0ubLOj$v>jvzBovMI;q|=~a+`-xKT;$aUIgdH5-8zO%bGL@&Gz9UkEYsPZam5Ef z*!S+_+(-*_Z~qL}l^(iG=^z67Rnq-2KzL>3aU7NW+@dT)|KPjPH+bNvHy$T1iVsJz zg#5>bGDpwe=3hB&IhgYQrt*d1%bVG@n*rufC%mBMzULIQTK;jW=0`payDc{YB&LhX zT!_4INX&>|Bz&q7_8Wo(tg1}^6k2V?V}N-a5_rCCQIQXd%?vvD4E><|a5jmeo#uM` z?5Up{c9Dc!3GXK-4u21z`nDWdq%#j=F(7v4M}Dt{9A)Lm@Iwfgb^ z8;%ZN|-NUO%O{3FY89z z<*q&da4EtGS)vxSl=#>@p7Zh(;uk&wn92&O``&kkKT?s9uU%IXv*&C_*k{n;$Z&{J zK@LQ)-S^@H;zAq-tyUkp>xmp@_1a2eY-LNRVqQ)8>_gQrWGpX7?+FfRPUIAS*FnyX zqUyyfD92SFxw1D+xfnxPW~zqGH!+u;w&meH6{9;ZLc&Oeb8S3KMD=f0Q(4@8|E7G& zk~9+UAwIr&nRGX)5Og}dd39?xx#nfYRwka}h(q3;^!^xi9y+gJEiS_B==n+KYwu|Y zKVLSkkV$x~pAeg&t2e62)%K|(vwa6|zhKx{i-95AvbF8z{0D>iS9f2jwQn3GNlLit z?fBDp6m7t`KVUAgw2B2FP@oM8)-&{F9~bjig@gNLLaVW=Xd?pD(4$}#>YF|124Um z6|pj?#V-@_3ym1%W-cxBYT%!~CS1O+4Pn`n0rLj+L)B=O7oHM&+-tt zr=gP=N*xE<9BRkhtawl8{q7-2Uv9!wVf`a%E}yc~yaU2VzbdwmtR%GuJ=5y*?sC7G zs;rM6VI7(&E@Gb^cEF*Lr}kyL$Y5KhAL{RpdAUahHIJRJ?y!!wwH#6(6;PBUEkbmi zji~0y*;bDhykgnc$PFVO^Nn~fxMm8*^}IFU&oI52Q}v?k`P&U+NWYa`lac(Ke57g) z%@}KNi{(SEZ4PX|x7Bopk1xF=)Of2j>x$vKG<{Qq>rLyG+_>r<2_vBJ01C-b_-I)327vmcet`=;)Cl<^ked<&ek59>{ydp4LZcO)Pb8o8O-(XQ1ZU6PnJ zr6GgN!rbv*S3oN?TPyFKr?b|WYA*SHmL-7VsBz>RsJ{|F@+l#V3BzZV=ZLiMdaojZ z!P8LPvNVdCDoK+a=T<7A2YRfe$DlQvGhUMCl^Q?3i!zItQ}jO)^69|r2pyTP^dOeL ze2;@ig4s2*NvyprwBf*TJEVEiZhB>Tt8y*9c+20+L6G7aVGJ*?JZb$#8D6--bIP;b zr{v5x_Cz7D)2;kQv0P+!A;v(6+x`Bhm?|$jzYqjqi*d-yGz&w#AB^&y*>#?-X4*Gc z&Vz-yL%tY(SjIFq#(o>~ZE^}rWJ~qNzStECX2-^O%yzZ8XV@Q)#cz!)aQbHpDjUwa zj(GLX5PLj|z%pP>{q@6iSm;`*&(z7>)%SRdr<-X{;u|p7db-)R2kmi#OO2{0QtjV< zvl8r<$mne|>XJ}aGdg7#_-0`YbBJ%Cm^rC(3Q>5L(?Nh$;^*=emAs!<9K90y_A@t% zqzUGLw0$sP&F&(*Zod`pOZYGZSRnpmrTA~Y9EMqs7(u9v;l_(kzjxZlwQ(ENc}_(N zeAr3wrlqBwd*PV2_kalwKVGMPC`w5|ZM3QPuFPz#C%0F7?cLyY8(Zs|6GIAH?Lxz* zN)x+{)?lQ9&{9Rk!v^Q3TwNjJ^zcx=b{9?Z>=#(P5qzRKPo(=ODV z8I)x;Rtaw;R^doDG`yMrf%@6KejV>eVRt#obt0$NwtMtkUGMEEgatNMv`TX8orV=! z?InKES{QNKm9co>s8(KAT9iV^*`W40Zg3(PFK4>xL9^ez|TCO z`DD9OvtBVp&D?xxl2a=5QPd&Wu?CWPj z6%JF=g>N%I2@rz0>>kLPu=#HUWT-o*kWWreeSceuBRE)!{Ymnr{+8bBoy)(1sL9r- z;~tcWT*F`MFqrhm#b!=e*%B9OyS_i zeaFjvUSk|mepf0hEAmbmqS#zhz9V>;Y_!5-^tNp9L{jwiG>usE&GVfPs^vLAr7#Ud zhHBN_+O)xv{@uNu?QKHQl)C8nnAlY7S>C3y%sS(eQa`(w6oGFN>XYIh5MOfrs>*S6 zL|Kf8kBcK)d0is#OgqJmE+gsI=7W3+Yz5BZQJv@vANnHYPet-;s3&(T57-FEHi;9e z5ne}|B~TCcWKmc@)QPO)93gbw<6hUljr{uAich|K0CC^VD#=Jka_A|^l~0KY+T3pb z?7?)Ly_jwaxdsL^4$e2!2S0v%7#g-c^NQ=cy$*Zon!JomqTynA_F zHUNiZXD>t|Yh-+Uqc+izt$?v@D!h64>?{X~jEFz_YAyz&9gbB!VPnU%IOUD|jq_IWSxNRz>KoC!yJ*Vx&@dxM8Hc z?M&QZOyLDxYR@XdBvnH(rLegz)m0L*xp-bxR61uxMcSKBr`Ih{`bRA*7Q z>C7Z58eHMf@U2xZF3+0-jl zl^a+i z*Q4jrZyJZ0XF^r*r4}!%P5H_G3vVu`l@i6aYPY9t&xY~0Ucq#c3zT=gS~4;Mo>bD3 z(^jW{xEhm3&lKUBisgbucs)Pp2NfUo5+#u$mXV?I1{9YU;f-2qf02lTUFNtI^~8t# z`n>f^3?tPSGZLEQFFQF*Y^cn5wbmZU2P)31w_z;axIRigPRU`l!goVB!il|EC=YY( z>ZRqUZ>=gK)LYp}SqGsWFcsSMh*R78^v!h4Ycebm5_vLlu*y`Xyz-T5v7b>!Z3Ni$ za+Bj<_9CpkUTc~;X+afxi#}KHnt760@|I?ZZ0qd35=_Col%rk2s_9R8yEA~Bw@{*=~j zmTcjyF##4L14iM~n=qoKC0v-U&b4cw$vU324C+zS$rdJPGWBQqI9b>O%clMb(2g;7LUju#ul0{4|RotlW1ooHJ)13iT0 zx7+gg4pVehhBVsrv9Uxyq%p%P45(tHwM}j}G4(6NoIJTPp%$ArO~{SIVI}5Oy5nUK zSn%UILcIX|O-H?xGmBYawK(Weiba$sR@63~CXDsvz3IV+nWRKHf*rL>5`p<~u*}m) z_f@1zHH22tYk{@d0{oFa&ipVrsZ*&yuB`$UcMU>2ZM-yP3e2R1e-q3Py@g zV*b3tohqj#F*udK)K#XRvlH>tLv=oiAR}i#c44LS6?Imahpwr%(vxNTm!1;f4YB8G ztY|i9E$L+C+)yI8%@dMe&XV!SFoq-|YM0hnSLWHn@>h=r&-CQ?5E|v2_BaQf{JZW7 z&v2;utNh=-Z}+8&hneXXLJ(fzl&n#m=9v^tr{P(w+Spid%gZVovbTvyn97%N4i(Co zRg!Nw7HhVs82A>P2&mGQw}7Kn@rK-$@`xB5+$4e@MEm3I;(ug_$+ry^%UzE$q!1IT4ts*uHLuO*l6$4jlZK8s$(f1Nbit~*OTjUJ0k06 zfPTUi0!HnRkN4k6QCZOn!nStVbh+AyzB;@3bhmXr>xiPeWAR1$Hrw$2X;!zaDQ)(5 zPtMzv{iH$x%V8NR!xa(SdO0~QAJk{0pLRYJzl_NeLFb-#h5G5s@wq#?IP0Zzv{~Zx z?iSWVVy9j=hz9uCc`V?TnaRC!{Aa8zL=85X*QiIWhelZ}d)jIv)Uok+)tBD5YYi79 zbjUwe8FXKF^&h)!DQ%x5|Fy0~H-4>S&%R^y$4(GprTkWg-hvrtjZBY;i*RjL~2y)j6C{aq*SsP+l%r# zZJqpApqG}+oR+;?C6bnF7}5Qwif>sOonbjYQa@|K>L>!9*kQp={qK<+Zsi^C(RRgO z&-#%%X9c?(4gJi6E0*C zD>)dyz4tQ3wE1O(b|_^@hoinsd$b8fCBJfC`6b!Of++P!x8>+Gso`^aFV ze_EI8_F*G2S!S1X{1Ylas-mPPUhkeMn_e$JKv-TDO1Yu}8`!cO-JiKjd#0@F{XUEI z-c4c|*ji`UM~A$fnQ%!~)qciB+`OUY-12D-1FEn%m5uK=$+12~f6d0E?YKTQ55XjI zV_q@)-u1~f%t_C{fKF(}&|pokF8PF{PPE`rYZ?0GxC&X|_5+vX zm-3)!zssg`eo$^XjUn+&d0cjRY0uI9=&ZT8cQV8n5jOkG>aY{R#!RbP=4pa#?j466 zaKu=3!)M3F5~LN~1FN)0R~vIIYpJ1iFxO}-v6qPq5sOKk--7r_R=;zQofTYO)7u^`?zblX0Z`r7xpOAx;W6~XtD0>X>jhGu>2FX zrQ?DGHP}2U6OjeH?m2a7*y%_+t5A`Rxe%Y!%b=pR<4^3&E?sUJ-()ev4DeFtEWlmk zw{zxF-0=F+OGbTrzk}S5aqrNE=+e5iHVusWUCYGSP|dac+8(K_GyO5~Aoc-6#HIa6 zNMdsNNaJzGk(el{_7XO1vNh6xcHLkiT@l;ls^4DMJl>JnyN=7^1YI{F`&O-t6 zl`%;AMby7vJN{ih|2Og(>P>kb)XQlQFqjAR&LZl4ZXT2jjC#GAhfm;tDxW!_^s_&- zGc$Wrm-Dj>ATxV6I~#iwGad&^2T^mB)PDzzdSelcdMg&pYh(lFLA}YvYG!2RY-DBj zw-VO#n&*ca?ntT|i9^9MV2Hbsl%2(S^?#A&{;dH24^8f$)akntl2TGqoTwvW7UyT9 zoVZa3FPXMJ^qaqL@z=QhF6VUPa z|84}LjOT;#^YfiYpo5wD-y-mL$zKsDu4IIIAJW{)!o|_#Tsb#VcDVS^bV52B$+%dV zA^(U_RCoUzp%?FO{=xo#dWhvm9Z~^=bC>{%$^YBR`G0t7<%59{e?GRZr5imn)b`mt z9n6=P@+r{Ro7?^@y^DEU<#v`8<7_owO*jeJYW!pQ+~I5YZh2~|6iefh_DBgk4Bs~^ z!VP0G%>H&sRgt&d%!Y0)NKkR%dsDfo(1x+8@(G)gO;p64KKG>VNvP9+h}Y@S>#740 zi}i*t)5kkQ+N$0IXK(hWn{>Z>`F{T}J&J+)d#vs2GHy!NQQp3@DtrsFoov#n--ESYM4mv5hS&+8UMKrIFceMXueuaCX4 zcppg*YC72-AJ?PVaot(!&(HAn{B?Hfe%|flP{O{^oe!c-PRj$S9Daw}fTx3_lZ#=m*BAhb$EhO*i?P1wV6c_1B&fbY=0s;OSe)0=g)GEnj2> zUq7koQF@v8YidSdV@1q)W8PAFYU$QyQ8Da!_p&Q2PI_$W>?eWI;M4KMdX3tM+5XNN zB@WjfO1s#1PIPTJ7#O6 z=t+`~v;iH4*waG0xz5wTwl6)ajB8r2B$abbrSpZCdYndMmfBZSp}9NUJ56K@x1z)(%J2 z!&VX1!k=i`@6smJGF>gC&iNK5)6%M&&&kk~nC|8bYnxlXIz&uuzx0LwRT6mu&zPGF z2F4(9kzVa9WZ@_sd%qhtXihO{v^#koEBp$mPpXz6V~QvdYwf+^Z4Wk|3yZ~^>tABn2h_^H%WSi~%(VLVs;PF{6pWt7S15M10;RZuDZ zz7H1ei=905am{nJrVN$fZ32Q*G4%A4aIA11t-?c4SE`#^ zHS>+}2p(UW#9DV>xQ2ldcbVV{36_^6^AR6v8p1%qiAvKh#;86qF!Zkc$Di*nQ*QiX zc=2nk>BaF*!}3hccMIGbpVfT6$}IodIC6?&Ov}hz@?MQEy>q;6B;vDsHA8qe754_L zywUILfuHlqhx`0Lnpg`$Z|l~Y=6^UFK64u4ydOk@U-0W}VF%Q>&B*e-?^7wm3!(j% zm0^*)1*YRJYoZy#JF!2x=+204e3m;sn%*gWr&l!4-gG1A;m1!hzqa~{*}j)K%$)e` z3>E7Jkrc`OI-FM~C~l!rwu`#oeKSb#)v~g89x=he>auLhjUd5d(HXDCGTKR}lP0Os zInulIUr@c2p~~~UlA0cqe0z1)d(}@%hsbxcYZIu@M0cj_qEowajq5J%a8c_+UeCQq zl5g+I913zhe^H6NwQUv>`87StB7TR0lDJA)$Zx%|Uw%jX?19BvOr}_CYu179{GAC3 z-|qxJo@z)l5FC_<(iru7`7S^5DK(rqdHo~NZ@Yg*+h6ZCmx1ZeK8c5?yTut{hA(1% zew#T7*V_<1dw9a^!8N(UD!Tibe`VreT(I?))`6i;t%!A+-}U3P z*S9ofxF#p2!SCKiHCn$@FxQnaGMO99X>Eny=ykM73IEDH$^XlBX68hCf3Eb`qZ)35 z9Q}wghX&2*+!a@d-awDDr~bZGWlI;Ul}|;a>O<+Kb@w-YMnuH9yDs0ZsD89&^wG`= zK5kzQc~9d;)b#7ehbCL=nVF*?tGV`=Ua9eMPEgqW$J=geHD~Sbjy9|0gJZ5ymf%Hj zg$xo{r3OXMQ2TBV8`1wFvO8ku(GX;uHV;jNNs?D{;ISN=z} zm6EdH=8EJzi;XQ})Y!TCRpOY-Ng-ESzRkC2`kHZX=5mQ*P84!l$r+D`eW49so^uCg zMX*npeQGV7O1#PChg>gUP+uGF=ZU0Sj)33V{0t59&uP0iq zw7=c)#URjjH!DnQB@biJqbH>-sp;WLo3s_IrdtqJTT({U)Ii-khboBhf0_*ssC0lL z4EnotBN~T$V(r(e93B>aW-g>0PSia*+O~4l4FG@M^LvnEU9H}#|v~_lkUK1hMORPuFd>n3&=IhtKn^A|m z>oTs?yW-8z=67*Vx^GSJ?^IVcn9ohJ)I2rI+5B9uu@^}rb3IYO(>N1?awaa8I@mVe z!w;*2P_ss=487gy0?5-bmyj zPx;MZ>$xJAoJRY^=-p5GhO+d9dRCmewc&2}>cedZti`SH2}XhtiUIS|eU}rb-wSSh zp<9bjz5*B0{v2KOkd`3vYPmYuBUIOGWUnHXUcYyBBv=-0JdSyP)+6lVTfo7Uwh)%N z8XWxn&DU=17;ElHpLmbH1AcZonv|ooJBexUA zUgYZcv?eb)3zNbc${f0Q8H%DE8fsgRvRqeh5)No9_F7IwIZ`Tp&_xpX#FaTLG=6?$ zwZ16S9;035Kt2iXkb*{MDWXQb+18;umRkN}a5Cs#){ z)wyrp?20CHF)BmMHn=YOI{ZP*^6A?osMABJ;?%^+r%ODyxy) z)eJ^mkfNd_z7bS}kGc?ElEXFm{zd(6`&frh;^zH#Z{A{JsEBGO`g&|d7!f{vq1@fJf-*7UX_(IT=k@OOdX4_3{0+}-+jE^QWi}n3F zwT5gPO}+;XYrVnzgtXsL2`Us9Ga51%9T0qcsLb=eQ4<4$HbcnywH-mtLe~UUqEct0 zN@#ax^8PJ-+NkG8>(I{coz?v|8CM-w>U5V$?-N&4fa&nh)W2Nq zCQzXHOe^j9wtMSMQUbTxZ)$A^mob_@oUD7f=+`PdRs2;Da#`0vF!^4P20~7gd$RAD zN5By2lZ+5oSyR7+42P4UErXk>2|l8S2u=y}*=-1i5h8?57=fIE-glpOQn{PLES*^Th=iayZ)SUTkDK-`w)+_`&H zKX^&Kiz?Nxbbh8l6qA9K!0@N`{b7lOhU``8kXzB=A>9QNsH!5e!Dh_u!^H)M$&-uM zh`_Ot!b17=?T=1H_^dMqR0%s;kG^ZQc`g;~_YH+B3T~7TmpPPmtXTB!>q22wO$^X2 zwtJ65dhU)`%=H*XpXuK#Ab-Nx?YA11Gj9SZa~LG-mJIbW)=7As5*=%a6jF0qu5k(V zc6&+MQ*N7TH&^Mmx>xq;35~wBoyx1jg@E8d#ftVf`)<$uULHU5e)`{D8{Ni zD`=iwTofww{V+aX6V}6y<8sq&N^LQ`?|T_1c2qEdBYBRQG1;E{K})MR^cMMz7n8AS zSh|7tZtL#@D%r!|=^4*VDtjps-n!Z)({(>dk0!1=e}Q-y*T8tbs(1WVI1XC= z`n#RqNlcbtqa8Zu9sF>Wqpyp2#(h!7haDnO=)yZOn#HCW)%^x40!&gBl<37{9d=dc z0;83>M$skMjy0b32K?ekeHPd8Ij8ZIyDE3gGj~dyEPJj4>H7^50U>QGUT0%%t6^&N z>HYPQ6RnerAjx|ZWLVtK^^*;{#IgMWTso}sr2bt#h$B^0-vEjH*z~1cYH6oHCO((m z7|q&wgjIa@GFfyZ4Q+|l>n3!GY5O;%8xHPo;7Hxqls!|NBL@W{LO-!bY(ILwsM3+4 zD|a8Z377shVU32{v<@K2-VE`ZqNQiCI1HG}VLPV~1i0V&_fo;D^nbrXz7}@Y$G1`A zNnRt*`4c&{&ww-MX7eWptlIeG#2!Ob`p}E1gAiQ0i|eC3t?>Rzx`(-KTN6*$qJeNI z`k=CBhxYAC_wl)1;B`n?3d2SF;Row`jrZr20?yW&5$tq$zbj$hU2)+(WGS}$YU1bD z8nJ~TrA3)9BcB%%-+lQBu_@d#{kr$u!r3w&s~&cjmgT;g*A66MOihEIxGkl>%v-KM zr^SW;y1dEK-tQcc2X_-R7Jt!V1_`qlMBnCj?Qz`I@Y3kv-w$UWyM)h#zaz;Eq0&A| z1@gGecfh6d5H^#JyoNs#CXvCCcev803!feh^bO@isOk<}fW8O0yYsLcMY}g{jCn<+ z&>QiND$aAJmT`}oql=?z>xdur1AC#z`EGSZP$>T$`r8B%LW>D21_OkH@hU!D2Vx$$ zm;<(R>2Ee4541`veg`h?%_(#=MQc#eCR)LtKfG~d3Wr@mtH0inha;S}+0^>fMKLc- z(TXaCY{uvu6~>tVRxK$~Q;bPl&_M#Unj)fQsWHYs!oLuu1AjqSx9^c4{_Z?XPobfl z!uNe|NtvFYIpys*=Je|o4;;cAtge{T-FOE^vlKO>6kUk)9|tR#5I^iiCMij4i?;rS z84#+?b~Rh!f(d|Tml&WtJ61tI2@n)zsQc`6x~e?F74IR~PLWZ+zE2bXct1`WVv-0Y zXh%x*F-N;W3(Z_X%>8`Z1`D))gU%qF0$^CAq5LZQCK4XL!U@DBT{R}0pGAbZ9WgnY5LfKpMzPKZ! zsn(GmOkl-owU7oqel9+0i!PlPr#XMfAkkAa5fe%@pI?RF0F^5~=}j^ob|^7xt5QcF zR_~P1tlcN%puu7^TbQkz^GK?I87cz{vr!8(H@bEQUi#NSFI9->-s8o9Csup2q!D(v)hR-BzsYtT`rMimk16F`y{f}$$9(rRuTN? zmhh2+Z79(hb@C4qIvohE{AhbqO>0tTtjn&=0>%q9@&_~ z-=a6ZYPh{cXL|pQ4LlwSyMaf+2!#I`up{Sf08Dxa{Qz>czbu*578u0`6HEgxJwsgm z7yWuoAx-L{`xsuUo!wObenz`$-&I<22ZNu$!0-lR-84SwV6tz&eMl$iL;^r3MS~$u z)bp-V?ygdRWRN%bR(00KM^04>3*-`W-0$pfVh2@}(IvnAcr+cafBwdMTS<+!Idbxe zf>EayG$fpq10~}@zEfP<)nbc*L47hle+FP7@^QU8oTyTglY^GgIbMshlh(5=Ez=*D zuZP=kxSdAv!2KL`4Y9kU5w31>ZI7teb?SX3j!`Tt0vOK?xaM>(mq5^NE!`{*R0NZ~ zk%0*1c_Ra>e}Z0b9WxPiJivyfZ~jr>n#;>U#LknwYaP@Rso9grsMnQ*+T@s_1J1gG zH-QPw>*$8Mbzx-Mqx5~OUmb{fub3HMTf#uDAJ9XSP{MuT^RtQAF#H2fK^E61)?K5C zdsvk)01YL6yof&LrA3b>`Sz%(7a9mKb;>8-KvKP|SKystMs8F8@Q$ysFFONl&|yLZ zX0^7ZnI{_WmlC#A0*okjp)^+`-s;)buF%!B@hw_*HcgC>}1alVlvaIU0T3t=e;%GcyM4#`C41B_Sk2Vn^ zh{6L}c}(gg^dt{?sjXMQr~_C=MEShHcGd4+^Y=Ll+kTEVj#W*wcxXq$K_iWe4Nw-y zn0GdAyqY0Rnl$=kAR%T~O~sJjnP?Gi&ph&Ok=Ba_Zz~QOV0NQ~#%OvDp#LspK`@8Y z#`BJ+7=_=H`;iTvpGVT4=79lc{L}4NkVuwbI}AO!mK?96NO=oa{>>#U^32} z-cy>@4yG+A)t)Wxed|JTQV^b0VAT9wEZb zX+_abf-iauCVEnAcnD~``hvpNDj3juomgs4rJuyEHT9ZQTy2q1jc-$t12r55 zV6q)e4>dZn+nH)9ai(tTZxtgdgNuX@dx=mhm9RgS%l3VLsOFo&Q&Z-^i+||Xh0MDzMw?2r&hycKMzbAW9>Ybn2xg&2Eh33;HpqSiVnIt%PG0O zpOu{I8;Skt#5p!#?tH7r8j)YC2N~&^?8_2K{^ckkZKV0s=bep)3;vk8u309e#Vm#%b$x&MX@0bo4ez8Ra zKaCY;=*$#*&sf+D?spXPzwQxLJCb9_t$~SjHWqq=^1!luw#QR|-}mCK2xscSbTU)$ zUc`+vHP2wd19%4)*`I@W@@0)>Yi5v1_Ojgv20&lRX|)A*P8>KuuJe>8 z>R;O5LFaYqw<9bm$jl7*RjDe}ankQ+>bYpWnUK%M z9?{sKe-Aic7u%C{IC=xVMM}UTwLenltbUq325Pve3YmCy z1n}-}lfTjkUCJlng;HE^D+agATZk2Pxq)fhk(N0&=+6weBf!lt;S~O#{xTJAgH>(C zwzXBhI&af*mej`A847S{)Cg$N;*cC`CwrG@$;nkmOnysLViCN{S6^lJMf0Q1iYNK( ztT9?1=#1hWT~II%;fE!{PZa*;AEuDY2_4=O(%*}*RyS<1w+o#(AD%eO;*5aI@I$K( zoOJ3*_kf&81g3riM41+7Z!({9fBzd*=OfuU@^M^`8FhBGlCGDoYk$9=J{{bQo`7fXGSJR$JKHij^yah509F z5y*Qw_2E}~5Oz8-OchT_9C(Eawnw$ZJ3PRM#eNVJRD1_1IW!0*4=ljsFnBIj7C;BCKE7n%jwDZeFOLhd{8?mm-*t{#r z8$DRsX|tbLc;@^G0Se3$noqZ}_c8d3OnwGdy`D8K`G30G^;svdcJ=T1*~iA2R^}3-MrV} z(ls0l_J5}NEF^FA@A;{}E+mk|DQ%XHO9&EShc0aXBqH2MVj3R}?T;&Nn0?IVGN00O zQk0cszFiJu;6P1_u+O!z&W7Qu1w5ylFcUDh)u+h?T+i=MB-!<@zU?6d0AJFJr`1@Y zG9JdqHznUQ-_o|L8m98%3f5dON!+rbiI2SSiHCegBC22$yL1s=SwtDjW7cWm_|2un z_d>`8%(wMuSRpr7g|+>}iXyOiM1srHSS6?eR{FANdOa+&3+ar(DVQ@Bqu3t?9!5@& z-s3QUfLs9zp$p(72EKL(n_$YePqradLUzZVA*VmDFpnY0$Fa&`dgMt%(S@$@FQ1Ze z7^DmuDdk-14Q3Qw&Bz4tey^Q!GObp-o#nsbku~BL9<|>K3aao$q|gi$8K;Jh|4r~> zM?I&3to9%q5(pDwdv)r;OdYX5%%5JVUZiDWCG0oXTycZBQvX3tuEwJz%H2Z)5mp(V3$40>qM}_H-A2CdKkKH+1oFrVGmg z@;r*fuik9GB)8u(c+(6%|B@wqZ*Hr*#A_hkJ8BZcKL!|_fB*9^DV^kM7!bAa1<*5o z5DzZs7A*bm{@8wQ^aRW1KdO!mv4)G71=v+3ste4$S<<_fNd*w!hvg&=_2`1`o{9HaB&#O;l26I(2P_xc%rSd{A1S6eV%@y+~q7Qms@7z!;?AfYMwdhtckW%a0Wu)_y|PZ< zh>+@eHVf%IX({c{&@UD{Qy(VqPn|n%)4VxqP+&Ug&$qpq;a@2K4|3}@KyFolHM!d5 z2OO}0O_P>?Sv#fc@J)BlMuY=Z{I)|eOrlax&+Cx_$hyAiY0uzYk%y*Id)QVdObny| zpOy`ZykW=&rY2fdZ9aulQ0Ua(k2Zpd*kF%6&8|d{P|G^I>8;JR{TTJM@=>%0M9Nb-ZBX2nM zEu!q3HYj09nEN84O{piP@Xu_d{+Ugq3;Qub`XLcDy&nP;AONvIEd{TGiiY?BL=N$I z8BV;sBRE=V9r4;VnYY?MqFsjwe-)oqVEWlU3`LMZsa9Q*EY7pkIa< z5tZDQAL*{pU4`Ml;I>Dz#bpV6cnXLV?yX3b!`K$e^-nh6wl{yZV5|EOE)E-^yB9T< zSk=N64Y%YF%t_9A14_&ox)jVV*hu~aqW@Z#@DN;DvbUIv2LKjqkPy;xM6Ar8=ZQ5s zb=S{@tK{9l#dHM1Y0rPZ zO{l_PWAvT$96FG2zb*Luvnfj6A7M}Va+Zt3!~!%=e-=KgfU&06oql#z>lqg&$AAV7 zS~0mSnb#T8v`5P!tdHE81`0VbjK5J2lFMD0_Af8L=I(Nmw_MT??-KwMoMG_XGyaaW z1PJa(@kyfdT@K9Obuf2E`^jnoBgrpZx+Up!AoJfIe#r=@qgp(pWqYRS3&}3dM;Vht z^98FGv*stg%j`j4D!WKI4Nj4V!^pn*7HF5YOj&(ZqiUGc^9y1Gf^Ux+Qk9~e5|WvO zV?_RWc+mV;w5OA?0!OOvF2hqbDevamcS9>?HL5!1_6;@Qua4;`eoOnv=zcrpf+4Oh zYPsb|OS*0^`I&=putl(b{Vd3Jqrq;C7=WfErbI+Ovc_n*{g$!C$Ai_R9HVJulKgWe zjQinF!2Ovyhy#v2&Xj7T993Lb+I89b&*eJJ>3+jCzxgLYU+}s}3E9)szva5WKq1AC zyTg;YXwH5_9MlE#;08&4DTkT1TRy1KftbFC7u2!r-;nP>>f&yehZUHhmxzAKH^%Gh zyh)iJlm|UNY#WyYfF;xUo2IJ9H#1{0Ck=I1<6S^E}m)D-28(~Vyl5}zz?7LS=HLsCDx7_*p zSFv18!(_ZSB+37eGWD{Ehb8E-tokq0kU-9%Xtuz&6ne(!d+ry#eIA?kV-7f(MV{7I zuU}rvhe=U(D`@#}(C}kc3^9hS^VRfQScC!=5rF8^4p+w&n?24boV5qQST24e2P99C zWF`;%o=E{)PS4RU9~vcpZ*(kvn(-!bQbGi{deS!4c%~Fm;g?51+=B>@or4CBxY0F$ zD~KSwE&l|tRSa+>9tg*nLGU@&O-p04Ze&SGS-Tx0{rw<(qVE7v@Ja`8RBwRCtn72o z1ZWvC^;@QU_ikF|sz3P$McA>>OC*9v&>vmvvup1(UzJ!3M# zv}td9F@p4ZJJ8WJfI_*R?f?;q3VUkiz7EkMoKh}v2bcY7nY@&FbwFb@2~g@!=N zqfzq+E-^*GBs_iwWKKnXJ3I@IubC8jpx2o;b_BQ!v4C8~Mdp73+hyLwWZXHw} zz${{odussK@mRVo)9Qx*p(ZjVO1Q^?(p1<5*de1W5D;?Wm_q%Mq?dl4qkL0?@1Ghp zB~Akk&0@Q1wL}bFxzEG^Btr?PUZq*^&hLRMkz*EV&o>PhgtEBxdvJg(YQzFmUcn5~ zP%kyqE0`z);4%KAXvNIVW#*G^f%xZn3BJEpX6K%hPTG=h_xzMqfc|#6rEe&;;*v5V zhTlkl2&<=fK+Tq2GHrDvC`sXEU2CdX1eun$4-cG=B%* z7E>fv*woD16eGn$vV;X-P~HqHnzU1*8$6;1nW^}?g1%uv|7qKvpOidARfIymq*Jvf z311Ph)~MlE6bXMD%S>yUy&5N8Ye^Twq^ZAfSc|C2ei`|4k>6@h^({Kb$$Ca*8b=>U zceA+1h$Z$Gtzj|=>+81+FEl}Kf%WV+4&^6c_nKMPDPkW)M`>th)Wqx!rvD#F5$Csb(?NzZ#WVYA>Bgp(Xz|K3>K686J^wH*oly?{}*e=B|$OM$A zLj|Zy;_=US*xL*ueS&D87huWS9`Z?}{P_)hv$s$gzk&6W+d+}q=juWV;%fp@ic}cE zcA-nA%0*vtQi~{wyYnlC!To<->|Tqe8b_o22a11I9{6K}Ra@K8(Y-~L5i#Qy5Dt{= zBv>_^d0&4!E41~FMsTqEnmK%JJAwV#Xi12FUM#RYNW~W0t5!SosM(~|NI8s4@1f~O z%&!tMo4QS__z{rzdO%*FK_~x zbcg5cLsLbOR(weIF{;YA0tRqq4j(=x8@OB|pIyQ&fM!A4}9GF<$*>Sf(LGO3FPC#xZs zu)a_x5>Z7YsxhXh6KVKeGFOAz6wNAK`lZ%mGWI-*{~8*6ejf)w+3D#aZkh=%oLeo)$>^E)p-1CiO?_wSt_~GwqE2%w;In zW_Q>q0Ruw)`ynL|YQZ+n0~TBP9U9N?pM|c5t-K{Z7(qHe5$qM=i>HwvVWu-3ei!iI zDc0yVI~UuRPXFfopk!93r4Jyz0U+G)?J&p%%Url7hJ~a}r#=|K2l?})4_c}@40!LT zQ(uNfJp`*(m@nUUpGjCP-1+5WV$Qt`7VXbKLN46Ru^<8-d{wc>n+*5+0uCaY3bFL( zRm8rTozx=>`>>7++N3C3#r!8SA}s29KUiz4qG9`8Lsbmxe}OXGq3IDv5y2SdA@b4rG@5$*~ugW!MF{|9OkBVsjr z6DG^I$MgMmB3(Aj;S_YmD1G67RwR=UP3Og9v}gpz>HqHO$Goc{TuFEqF9DE#LK@v%cy#UsEe2IF|FUYlkv3yyBy<0G$Is5wEQVC4ln|@ruoy^*rk+ z`lkme4pdFXwLTC!_=|dz4k&fRZ73a69H5{9`i^r2hur%HA9l-*0`dLBF`tkd5C6bk z;wAhONX;$B6n*b(T#VB6GaNvBbZ#qmZ%h-V`iOpgUc{WM>wAXdWohN{ai~jLeFp9w zVjnl=_dpAPG$&};52a?e1}tp#C()uC5?u0@bi~TCl2x$>K*;f-KM+v?@*UcZ2cNgP z?HDs+$#%mPG;VDB^cQ-t-Dk_wb!xRJn{_fwsEeh8-Okb@B8In`PXWV%%b=y5b%P~T zIBx#c1`q`Uso@RMC}g{_nvMTdxk5S zFkuiu^1|_-3m^;<$tBhZWJ?4SJGigCd~|g1$6%@fEd%)Wb&|nNa>lKZt@#fltH21}seszGx4ay5cS&vC#w&l^ z&(+CATO9`MB@q4rK$D5llXi25b!OtbTw>_xAcQE)`RTEW-#DMoc{+PrgrQM{p@;<~ zkmNG;39%Uevb^GmO_>qP;Gf(Mb7yg&F4yx5_TqdYF!!v!KCT?~tN*w?tQWu^~DkE(QZA!`ASKZT$NE z`*9_eyiXtD3r(N35xBjVLH8_VMoM`wwntuuDP(-UlLdd7#HKWF8dDV)+9JCih|pxu z7>a$MM{N-|KU`t`SH7%*NZbB{h7XZfCBVTG$SB%W$5}Y-SS(ogmiRN1;rOm)AlOUC z;y3|zx<56<`O{X;se((-))NT0)ENHy#Ruqy3|C?0mN=_02+U;*GQVUf`c9lHxR+ik z-A6Q!R7r|b6%3zz1TqiY|D6=VU_WY;PK(fd&=U2<>O&M36aACsYT&m;*uSW|qc_-Ty=N9sVP z%}mZr(BI;t)gM{q+WP7B3T(VHbEz%u`iys33rm<8V9(h3>OmM3`0#{|@@n7Y zoht)pMB^-s;XyyO!yL}TY5dB%r-_7aN0P&t>JcXYcRCZ5APp@tqq@{i^%AozlLncW z>l!rt;n3Fu^5q}%o^MrSHmZ?+YSDq%bM=uw8H1K z;N)=Okb*sDY967$b)c?qI8#^bv!}M`KWTs1OukjUxeV5>_~C1Uw(8QRenQwbbn#O= zq0)Dj`^`E>Non4hF*-med)9B_-uv3P$I_>rDdakkHrXsyY zM8g;aok)SmktgA;lV0o~;CLZ62*v~feo11bOlmV?$X>L`(u)7AW6bn{h?S1(X$w@qf z0vA7Hji|fo_mr?eP@$c?WG_DJBZiMf92NJIZv-ulGj;AklC9$Jn>V(=o)#~J4So2y z()Y+q8@Ls!wEQk8uSsf|I-7^$g73QH&4iJxpo%sp|XQTmJ*pE%H8Mn*~vnjkt}e3n9aF8sHM<0<8{U%KV60)5Cp z25jH=Ohjz;$uU<~|Qm$T= zawq`VOBHD~CJZ`|t`SaSwAog}9`$SM)Qo}HzSu9S8<%?uK-NBk*oxu?<|I=8eekSs zY$`S=u@W%@Q#E_m@<5$BZf+PQFCgbgmbV>uw5mi~(7~`#1JhVuXD@N(Flbq{$Rb_G ziS9y~py^+_o1^LJWP`#yb_~ka{1KaiXVsxD*O~c*cOTpZP)8@dv@8q;;3QiG8dc@D z)I@KIhydVnkC@TdpV}8;Eh)e2)95e4D1EDy2Ptly7^z3}ZS?ejBl6(Z5dq-Nzgyh` zl3S<|mfa?BDVlw<@Z~zFR6-5B(lmNK^$?R?3F!XAL5)S9$ zZ|YWyHl*SCX=}#~>$<)C@!v+}Hex1p|2AS)ig7;al=$;dnuYG;`VruQt`}XCo=Y=G ztj>GJ;NBrmzp$R8Y@92zHWoYH3ZjAOy9Up7&WN3A4U?M_WAL=%$FC;>hAoYT@1FRZ8R5y!d|r zVhVpC#UbRaesZ{3XIjAdfs;LZg|azf>Dkw`;GMGLjLXM*n zc2~f4)|r?5a}Jy?@bTQw8`{*lIl+)|IpKsD`+e|Of}}a%rpiFeMIulI`bJ#zZ#-3V zGVqGuXTMRWGI`h8hC0ZJ~wn4m3rxAw4qkHmEY5$8Q+6110hiGebBW8w9gqJ)W^*A;m(r@v9du;b7ri zCqyY%5Icj`)4>#d(zuXrtzVexa+qJB>MB=6LO4C`!Ql+w|IANT$UJi}=?bnO=@P81 z@PhO=IQ4Id-<-G=ur?bgt~u^q&EEBIKG{mDF&p<>6}2u&S`-FJGo|MBMhDsvcfXQ_ zz#E}c=hZGeF*u*&yqUM}ng@_vF619uu1&PB*J#j!M@@r^BxUvUu1KH^@ge-%2u%e} zrS7sq+`Pl)deiut#9jhQg|Fk}>{p`OA7>02Ob!@CpnP4Qe;MKzuW#*$9{lf*}#8Jl7ht*~U&qIL(e`;PvRJBV@Jg-Y84Z7It zt6h)54{|Y&%&XN6=jqEsu)0QDI`pXjR8(O!INh~m zeX0a$UOVrxSBywpPR3;W%JL&Q+jL3B17H4^xoA#tGPl{G2NotlY&zXCt~r=j40$@> zCrDhnGs(a&LX0Nb(CLhSKOM=_&^Dzuyxvn}s9Z`cGjY^E6K!G${4^~xq$Ylp%&|}& zv%rPhfJ|_s^UsIVbnQbeMPX+} zl0aRj2pCV~+dg9rcJ0=Kcw>WovZ}yKNnipNOe_5 zRfWzA^kCPYb!1FgI4Ei0ztRM4ax;%0cF>mG3g9>h7~O$L(9T;F2HU zo)N~5gRI213Lb5my!6`A7xNp3I-5&{;+h|${y;FLZ{P7*{7^k-`Z4w>wpn8NxEBNo zo{dF^H|Xq0&wa5G5<>>hZ15sa*~o~{G-MgCpQ&>j6fs#mVkKFvdZ_rlIGeSlL9VoB zm&4-p4de}*Ulbd#1hm}_x(**cD09`1K()1cny!{&kKF??yURA1qG&*f2X8ys%o*a} zQ}rQY1t;FaouF(_kh3OoRGZF~PQ8dwcPw#HS?{5p!`11E*FKxTrDmj%W^<{O*8a@0 zDCjw(EL;Y+BkBs5&4=FdZOE1Ds=~gx08t?^G2pRFwFvoUc0iYmRpix)8xgp`DGO#N z7m|s2si#(TR)?yR@Jdpk9-uTW(4z|QbN}o8vR|8sTebW4h!}l!etjCbbabOXiKq(a zu3K9tfLi-iIM^7fR-yC%uq&-174Y;-(q^+iVlt$v7 z%wq}rW)>-_AE{=?zk*Vf(5W6CKhp?$pgy(YTr`S zPf@xDMo*8u|IV1|?soptq2_-7*{}zP6-ewMCe$Y&{f%tjRP1SH#zHm->LM8~Sf z?`y}`;|&cfT2!FB;kBwf4|NcvY`pB{r@p3_o1tXaCEy80d2QO|3A6X+t^3gNI`siA zTQYRmb+X4-y`+ODNuuKy<1@gEkVbDS1~CRHfyI!MN~5tevi8D!6MB8~| zMPM(J$P;TrfN(8xIjOF zkpuhwW?f}ry3kUe2m6dgcDmuT6<2C>KA`XD>i2M0?V)p~>WLm5WOtuKfz1VDE^1gP zi&k>?56A0nx>f~rnynG{&up8@VV}m7MR1P~#QhOpUDIuC7I}&kW}U&o%4rLCcd@MU z)e?Rua!+H7vvD}*L+{0Q;YyOGkMGwb%;&B*-QHCQdUlq3tJzHNHAV^fX{clnt12^| zDnNkQQlP2g22qvu_W@N_U6gFDc!`~mi1DeE9uS$k{p=7pxDp9v27+E-YTwQrQ*Lu$##z>{uZs?33iF(3lf#l^U;CFhK^TP)=w!Yb)p~Ls&oqAiF700|GhJdP4*jdt9 z1Ccr-w0w4U8XbxyVf5OkM>ZsVY@0Lr=85C@?9)=}Mx%8n(yTwm#G5kh<j5y$to>5+gMD}7uputvm4^A5C) zKP?4MdBkX-_SSlkK^F28JWXBgk1Hf8Tc6%Go+%Rqi{h*nvcMs>^A3WE`<6%r5~1n! zfdLROqArNg?sxV52^f%4wS#k+w6gX8w*$J$v^=#WMHA3@>{CmgkIjpJT!<*)W*XJY znD$=S_4zYAXWII}KEKPmVt4(A(X{*C0Nu0)NE+>a(T3x5ftshg?5OhP#7~q-!%dV4 z9~~`FL^2tmZG39*^<~o5O_jJM4?Lq<$@-6fUY$Ia>=<0wz&XF_i6(bJJ3gs|36H+; zRn;b@LtpKx`NUs*c#b(jp5gs8Ctl(Rb*=1emL)e)E8`R1ll2$5{E7(y(Uxlb4lP|c1}DnwBYLvr@f-?6_HYv~WS{$MyW^tj>R z85Hs`Ud<+|X_|E|zo9$FsC1#$)vKpfkIenxTlds5lONuu5Ip8WXoAz(rg>S zOLarL1-99acsj<^k%t~byIyYNgTXL$77x_}taxT*U(!jgAu0XKIn24Uuk*Wa(+ZeS-^TgZ{q)23UbE$Vk6WTc zb3ji=2CiQEq`eiEuSe=>Zk<|$SlSgVm1pvn_k~r2ysL5;+X&FZGh4CB^T*x}cpnTa zFyIO{A$XD*#0Bl|b6bTf3R!r_m&O_rD>2~bzLR1^1)N;?e{0kGqG`|EV-znF z)!|bV!6BYv*{b0)$&6I7x0F1UaBg?<(nikijIv>ZF480MBwfj7-W)VZHjT7~?hLX{ zTlNr6pkiLu(vRq{8p1lm4-V7y_SB${=QxmkW}hgm-xyU+9=`K+MY*TU&2>AoN$#$= zNYwoK(7;^Dl)B+v*#O++k}|$<{3wIWd{K;tY+$*&zGj7Og?52swxIb^vD`rwUCxv` zqGJ~w@X)HcN3;Bwjqylw*f15Bh*Pbr_g@m^z-6qq!R+S<&f|qxU}nrGxOwIbOh20k zehO0;VtwsroOvq?*_?6i)*5F;-Y;^LtKYjjL)@>qCA#%NsKn1Hbi~HMmS+rRE9Rv1 zmV#JrJkIU;}QP+VNnVuc2 zye{^Pafiyj;!k8BI2+bh4y;&w2xx6MjD$SdQ?waVK)F)g zcQ>E<#aM{=<;RaoO>fGN#@uW3FTd%e<4$Df;F`}e)kVN6$&Ft)36q61yv-FzYWA}#!8R|Vd@j@Q85mQ4bhElJ$klhFSdXn6lZ2n?ZQ7hd)Rg5Mg@0)GHc4)h z$wxHM@NMCezvHmtPCZfQ5JxuPtt20^H)Q;_(SRRJj8-F=N6lK{@qg;|hAT>8>?y9S%I$&7vsRdFVkpmh+k_*q|1_}<+I z+4(57WI>K)ej|9wbezDPx*b0~k}PE$NA}*HtV}vrqO75Oz5MIc@Pl?O(nP1`n0%4V z=9j;I3YYq7wN?~gv$a2234ygdeuv3u;H6@Xqj3~}^7~&hW!^Vz)b;ZP)pBn*hda6& z*+gd!Bb|Jck~cY=JR8Xeu}d`^xFA5H9x8e7w4LS}Q8)O^T^BdIDM{3je_yNq_^m^v zgnHo4#rvye#e}}fh%;RC$)8Ptx%*|hYp4KmCV**gwcVYu zJ|LZWQ`HDu;6#=2_`?vzN`jt%_t#&ZcYB=Fpy!Rui|cIfLt}=Xro7IusPb-V>mFZT zD4M}t{X;o4#p{s%Ipnuv1Xt$z%M!8K^;A$^GtHvmqd9todJI#Z{4^{L(U$|&xq)zH zG8$mVYQ~Fq)VjbP`@f>@X~y`#Wq!QIa_UhkrL4;Lxiv=%jw?+0Zie~@{?88?X%vg1 zKkKusK4IH1s;5!>5?!BqBA}4(duy)rblO40qh<nTv}1nszxpLx3HWmV zf7s5?)FG_@e|2_9ir$&?*-^;jder*Cl&tgnubv*!H%*T72dk2Q+SvPjtTCa$@A{Ss&Lq&c^AilhWXq%wWzQK$K&-pH3h-CKthyWDtSQ>!LKKd=fly2 z?O`yA*`L7g2&dJfa`3OuW`H8vy_I;cbm{?M)rLR9e~f3=tK+xIZMUO-+QZhT_orLx zOAQFJ{l{44CxUz8G4Tu7@zWf(dRv#k=oW{y@KF!!%HQ9~xn)CP9&fIU3W`9wdl%N% zq>qutA6`AQ_;Aofb5i(YvHM7~L9Tw*yfk-?Jqo*vdo~D+RyER5G&KC_2ZBbn2g4|6 z7xWuk8g3Zg)rBpt%nUIaIB>@{Hb!By3zc>KM}0PD=PXml zP*}kU&O6y^z+?RqMeM+6u%Ra{PlV1CxIvtZH0^)`8x6on>l?T!3kd=oZAKZm|M(5o z41y6oVoXI2=i*~i3q4XZjFS4NWZKd5pi{tgCP$)2hbsMQ>QH92i%c`Sx;5H+J}0Wg zCj-og`u16+pb>11|HFb-qo>yU8LH^44WPR2Pnx&7yrAtGp+&d9UYM@8eJ>>$i7@DO zQ%S|eDPcg?u<#?F9{)Z=!NN-!Q_IuEX!uAOQ8bebDzSD)@YiuS811J{*NYI`_ zums_s<3v>G?rYs1VzeLq?JR5o^SAlP7WM68>|ewf=a=b#J$0rKPOa9oIGYNtM%nst zEvS8wmld%pp>6DnakY)H_LxHGSUQqI8Llrn-Erp+h4v*HMEk;77IL{MXUVnjRx#st zz3OY>a`i9$L?uqUFXVXyJiP4Y`C1D0)vgMsjdh>!-Pw#HEP*gJC3C z*_FLNe~o?>gkEZO8X8x`eByEW?mfy|>|^NJkYoS;*r+5wqQ4_}j7vAgYAxY@am>FK z;R#ave*YU(5DY0dvC7iDpu+lfiN}r@kGPcONI`!GLE^ra8V+O7*TVe~35zAFvedkk z)pZD}FsR+cT~#1_EA0^W#R_4uknmLAEf_XP#b}@p5Lqs*=3LxK*${t}=#blw&Ttug z7_b~`bvW)yPDcFUMLGNnR<@?-X)STnU|8vovMkqHD|MsIZfl7sHaSSPZK3*5Z}^6L z&GHhMax38_-FKFMR=gk*R;j7#^HvVhBWUG*7(Yg6|G=4+(Lm|Yg@P2l#s008+|EH? zn>ITbCQno;GCJOpXufq!g5F}#+iY!FCAdJd_i~cdSTWL60}BaWx0x_#zkZQfTP%@= zs>8+}>rbtV2Z_HA#+`WB43)Vq&voiM>mQmCoK&qRmak7D#}`5hIP|QAC*zVo;AZ0o zq^NVFenOInD`r~3T|bViaSm6=aDr7$UVrVPRuP_piGB~~WHP8>^YHsiPlCc_Or_Ko z`z1&7LYpaeQ;y$+awP)R()X8ZhruqX-1MUsaX-&*+P`=s!bVz8;(q*<`(0FD-4QcQ zPh2WK_mrjOB%3W#zi;}>cJ@&uU;cn?LqOx*WYbc>0c^W=`F@YMUwq0ldRhYlNW`#% z)4+aIZ0KkRtI~#)l5Y9-;)6THsvJ?T(`)UL4g*{{i2Er=kVeKLtD$%uDtMQya|`t| zZ}9;4(wIiY*gd$q{+TSpWU44~?BsXJLp0TA{f&@g#4Og~V_PI+YIQDRd#c3z0kNGx zHMQ~Ci{?FV!u?-&ySf`gVP?OCMiuJm>y$8gvl-xdBP`dRTN%Pr_-!`5 zN=l2$FF$;)#U-{gW}-O+{MH4&&$u|D$QO(#_=^7y9&K}ex%*7wM<04y!_SgY>d;%) z78hq9P$2JuV0c$yqkW-xv%A)0m^qcNLMHB=-8iU#Dvx z=*mqv;A6M^SE=f`y!)|XG)Zvw_|1H$md-(;Kd{Wbx@j_s}E1NrpYj_!q=Hi}Lu3@Ja`XX1q z93NV+iPu1MtA=@Ea92HhRQykj`p&-~8&7KPeIr*jfa3~{eHSYGI!zWu_L!SnArxlr zb5J!phTy3Guz< zv*qed&97LTof$x?-}YbqN5fyh>Pp!W?<~%!rZ=250jA=i6QIdmciaT@;2wY5N}#0~ ziiVaidb|5J^$F+cZU$RE-M+TsD$IzY|HX+UJG)RblJxOnIg0RoM_TK0a^>V(@|E4` ze7_>nP+uaYaU&{>u~qzFc-3m=C6o&oF;n(t`*INM2h$H+=-ij9z4&=pqKIG!`}7VU zRPyq0eJ^)7t3llJ_qb7-N7PPzg}Us?2zf$6geQGY^oKP^idhRw;}3=n1{;MkT}zZ` zB0MN`CEyiskz$} zsE~{+FeaZpQ7H{#)9YHJVsvT7U2yHIagt%SMz^@@zAXEHRoQJR47QT-+4a&_>oW4) z(=_*KBfG&l!eSd$owu{?`o#w5^*$Q2jukNXvy&F)w>vYf@AhMe|IJgL zK5}&NomZ!2v()UBIPb!jHx-lO9x$QOMBj*?jUSDQ-iuM!L6K(&lT4v%=~^!P*v~bf zv@z=M8uGmN6M2|ud}idZzhh`Zi9N5Q`7G0L4oW2ci$685`ixkGjHb> z4Uzj?ZbOJ~`g(rT7jHOBUgY7M%N39Jsp+euIp&dNirHT~1($#{g&N<6Z3!oVBQ9SK zi1)gDHLmNKbU+>}^KaxBlLI4-JPwPmyijY;G8MYmZRs!O0~V{FmAM`FFP%32Oe@KS zlnL4MwniroG>~vL;ktf2H3Ohl0}&^Zv&=x*5c(5wJBknoh5Ek-y;L}rp61A9a$h7j17Dlt<8Q zjRt}f0tC0<9^9Sa?(R--cMI+o+}$m>yA#~q3GVK9Nb=S>=d15j-5>W>r7{mQy}Ns6 zdZyQ2drvR54bb@uYr@pCc}3-Z!Y$(hR9_H5))B5Ni2Pb!Cw-t(nU25fDvpv()<5op z|D93&7NLwalN~ORUVToAyZ5&Pvv)7i=b8&H{h*AW@U|2EwXqm5a0hbHQTTy*zg?cl zYv&tH7UHN40vd6b|7n!gUt}d&7-}sPnfemnH8?jVoHP?RU=^z5Md?0WcZbE|__LVo z19z9dHc6261iFkL1CF4}zR!IzRsRb-jz}3>P};n5q~Cwl1qJwb92$?7gTvPOvWrVL zZkgxd{o(~n+KKm6`k~el{EY_o3;tJgCRQTF5%)*1)mYcaQ| z9-tbsJ=bB#ZcMXs?K+sWD=DYe)=&I-c*L3@)hE%)T)wi8j0>{-5{k55?znvLW~Y1nQb8!Ct3#4a0&j-IkkkUBB%NV-cmeWA#I?0_ zWgsztwRaJBk3X_>&9LU01@`>AGF?qcGu$e61ZKH|bRgY!{tTaS<#}SB4V%g(sgVe} z42{f2p?2A0XW>of0IWYOOTNadAmWGA-`8`_dRq$01tHW{_>riq2wqjK4+UG96LNGZ z{zFrUHPF}EXSF~HM#isy{F!8YrveOl!QogU%*X@N{Kt9U>OXkR`aUhldwRQ$qz1Vf zA3bmqThspY{uz{UqzVimaXQ+CyU}CU{mkmi_H6Z_+VP=W3q#7!?%4LVG-AAVx`r6= zQ#7hcOwE6JtTN8yxAOjNOTQtAkwMB=m_=ZN&> zB?y3q8oTDf-w$)({;4Avz3o3wWckZ-)wKz99bb_V~R4Y~vl1h-mriS_$pu{NKVU4H5F69? zFT3Ch>_1PMLI)fXAjEi}0T8FTOHfV#;3*m-9q3|Is7Jt81ps!X+qFLf7!KZw0w;NC zw!1!q^L4Lwr@F}Ly+B^jNrm?E`IbH|@C6zclV+G@Y7K1@KsJO6yj{9Ts6d$pS{7tU zfFB~z_{by&a9;QTIOMCXPP-Ax_gLfl`2)7v?!P6rq3W;Cj-M(Y>E!=Hn+bwtmXEE`O?^?Y@NLfc5x>%$ zx3Z=k{6|?IQ!)(EH$ol}^Zj|FH@hA;f3wt6J+8TJ97IpY1@s`3m)FshBPCGQJPywQ zj(`RkwF`hFo~_;kaM}qVt>jNp27G`4C>UxDP*ibmWDL#A!xB(NULbR`Z=`+;Km;iM z5rec{Hg+(?_)hu2u-S(de`AHLfks&W;0Br?>v10dsO{{e6y3sX^VLArx7gA-XyT*& zVPddr@VcQAToZVO08Xih5(eY%vz7@CFgX~1m>lK)|Ke&ufTO5@TVxE_W@HIB(0`Y- z-u=0i!eTkTP?KAR54^-s_2t4P#b>jJgm?H3afgd7P?j$h8H22uVucePApi%Sk%BZE zE&w2X?mn=0ncR;Yia0tJPs%O=Q4jh&8$|#ll5~K2IBek?>`~!i8*EdL8lNde1#SLg~ zCJnGvc^aaeaBFV}MYoe*mYd8HhAz4*vk*Nq9LKJo3ql6R2~!<(<05@LtqXpYzp{Sy zLkgk0ueVmrP!c(ANTP0?Ft3X7XSNJeCJmlO98Ea(9n&~m&M56d+UN?6 z9F?b;%kSOB`247eII8%gg9{Ic+`^ypmg5TQa}tyi4-K~mlwuhA!Lk%S*sYoRG4bp( zbdBsN&|%p7$yWvvD2Dl#K;-XV+gGC`4?7ZGMGsmGETD4sMopz%MAL_hW7Y; zJK`u>3d4v|N!WEAXpJ|=Q)Ox4H;W>1$92s|-v>3l1`^1L2eA`YM7@L@-gLdaR`0{tg$9$Dg>xfj3lsm|iz3_!5u6Q$ zD>9=KjNA0&r_q<aLsls!GROj+JXGE`$jJwklTCia@A4a2Z4| z9ja}XQfqhxlIhA`1+NcCO+Z(8ZmeMGS|>px?V2g&#K;M;KDk-nhXcN%fRpPoEj5NV zsuX?BvoU0x-8zm{8jYjxwBY8h7G0q=9+9)itTqg?E;qOOtUabdGk2D)|Giez$1ZX% z*;(ws{&TIwv0i(P;@T5yC0QG8A;QK3KkLE4R~U8yF*_J69b7q2bsQ5vSmwg-cG{29 z-*p|)H%d3h-tLmKpS(;?B2%Ubj__snNy9H%!tB{QzqKTEsuB4Q90?;v?~q@U1K90k zcQTB?h`L1G)VQT0?37Ydj>E0{pvqcZ&&LbJn~!qZfUl|7bOjoODz(*W$J~OglL$1q z;!x3?-_sw@)e3EegCC?^63~wVIS4^tYeTKIx{12VBFMXn`g>3|meZ(!_)ugRrt~>e zur(9X4KpQ z>}OnCKY7xzSyrJGsDen%gZD+Q*fO8Kzpl@HVN_ibGHgB&?&Cx)y5=x)?xKG^}eXnWRk69+z)CF_vg7z(QI8_=QRBo)LXDsDy$0)uquKWY1Wq)mKRxg5Ozio-NNSE& zcPH9rlTk9v=B!{tJA_DWLZPJ|o4M8vk1|-%q*|k6LyGPBGSH{f;3Rn#Gl@2bvmVhh z-aPh`9Q{z~`-a*LiCE5aP})D&R2pY)%gv4CD%~0t29SPqWMhrmPAg)V8_BGS5&_s7 zN+Xq0w{2D^8&{o^*Q7UoTG|e2Mj>XH8@WPM8fiFb9ONh zGvmyJr<^x?Ym=IyZ#wueN=(rnkFT44qeFQlT{BGrqhI)$%)7ur@@K%xjxJevi!0-A z{V>FG@2@i%k4l84bi_^n_9vIFE(LC*6lRxAUff)>3iQ|uG?pi z2dU6~;y*8+H!pEgxZ#5h5o;mfj*W&fc72umOVGnXmjgup_6LBjdwW$QY- z$NkIjQ@xq|w;P32Lr zHU!IWY*;zjb{8=H3$T9s8t}hDGhmwY;_>`5WqH~k&;u<+>H00%Zps05s{RBf&u@q) zz1m(Je>gF1l@O#2?zZFYq>^?3+lh>Yr&z5uZYcszD=^XB1|JtB5`%*OcWAbU;yq&_ z{K_fiM|pLh(dPN`AO-|P#6q6l2rwIs^6`bWr!NlSSq$YGJaM{ylnRU{Mm^!FkLdut zLVnbSa*NENpJ|1&1{2}rTg+ZVV%0)dmpFS`PAa9Hoz z{2}oETO=>`&sU-tOSq+M35V2$#FF|I7VKx_m=fzrjAJ+$d2|F zOzbJ57=o&|SgHe*gdP*zFV1LQm%Q?MaK@vq2E4a`cE&4TpCUcHqMnBNV9Q#C+m)9l z0UX-+2a8H6+FtEV;6ANG3i>TI+U=%uxtS)sPGo7nti*g^%=~zzyd(w2u0H6U6KT$M zXFMoPT@&PL@cP)TiI~8z34|rXrw58)K7QuB>p_x6Mm)(&&~m*PB<=Qs0ur{~70m-x zZgdBR0v<(QIF))`F7;a?*SqPEP2_7TEVlF1MSb<;Lm< zvx&KKO}Fi6VJ+tk|LGmjH#5>mo|ijytJd1$vx{FeR%>ls4vla7d5wUwfbNl6?K~iF zB<*<-GoAOAV)ia3Xd?1GEqNanbsvEy^_-tAIZCe|9S!a03LRBs3j?BHwM!fn+ok z-BKv4rFtutbnU{O$vko4$j=^|!K5#^K#6w(xlmS*H^-NL^{?;&5uIB9S6x8{Mm$=) z{~_lkqibwPBcp2z2>#){NuJ5k$QjyMIoRqO+5y66ZyITD8%8|3H$_4@8X-XP?2l|7 zjSxNFAJH@#Ar?G_f4^Aq*xnidim~Cb{n^LOO(W=RFD!4bYi|ftBrMN@_on$L2mE5i z`=`H0^G{L?I4)`dbccZ%5BLWZ0*qyBt@Py$?bT@j^*|a0LudQHMfm=1`2TK1HSvI= zUo9=I?CsR?82(g?$4K{91s>C%4&gEVsSuCp?=fcDKgXE=7GeH#-gwLme|P>h0Q3~! z%$fh%G5_f_9`j#wmcQmKf6ZC`nzQ^hXZdRmh%>&Kv-~w@`D+dc#l7uh{cFzpw+P!` zJ389Gwb9Z3=kLEZbbzefn-QQl_iy{J9NoWibblM9qx)C>uNEL49sS>K(b4}q{zr}+ zsE7VT1*w)Iy8o1Mclm!3$K_gG2V5@6sXZgLXv0~>u@S+N2U}Wmr^9a=k;5$2!{y~czMiNbY;db*C3&IP ze|~=7QuOjrsf76XVZD%o@~*-HOIE>uU!urh!c^9=N@WBW`}rb4?|gmlaSBoxK4U+d zvbl-%`EDJGTLUHgn}MpRCS%!Sg7{=S(H?3j|8OPdcJlvK?W`swt$NJA{ZY93$8C)cm zM1+b(hINp`)l=zqw1osVU_}R4FD?S_&y+2|3Z17FZp><*l~z!0w)#93lh68gA%(4Ekd|_bXYjgT zNXo<)P_rp(S0@eHrSnoMtH+|LW518BS@v0x+XNNP#%7BJH_hlf;Sy9K)ScT;r7!O;9%lgJ`16_O_$&S)f{WP zZGmqpKTA*jQM2xOWYjo;>or=~S13-CL1-ivVl+aQq3p{Binq~p2!^csJ437)Ax3nU zo?aYOKuwKTI9~G;Ss?g(fl}Ybk6!%qnFD*Vj2BubjOluK#LE3a5(V8s1cbWYd!`D`tyJP>iWYOp)T7H`I|!h<~#G#BxTk z&M_V{44a7f9KciV=?necf$ZAgg@aA3q^?x3PASUqLmjlu`^VUFDu2uzqvA6BB{seh z`as=<>zd2kYEvk#~Ye7y?#n&K>cz4n^jdb2Ai z`UKADAlUC#LyMC`*K!?yY&M^`zPIV^Jxw$h`rhBmmGb%5V6Ak2%HV^ZnsmI%wa)np z-f}2>RQ)UHt2@UblFVt_*NmH=t<#2PWEqB{k-=G0UkIm%cUAielf-L|iZGDY8N!!& z?>v*4G=d6hV5lFyfCMNFM`Yqi==i_QOuds82}ieOl7_H>AA}-`Nf0mX$dXI3Zh@ zB*&6md5Xdm;z|T{6NmS@%7goRX=rzHnrXJ&!tR}crd?fJBLCL@2~$O}Dyj4PdWt9C z^g{2(QcTK@L-E2w1s`9z{2V##nXULsqXz}QLw0HewnKqO(k(nOv7jPb9 zdN{`@FM^ax+tz6+=2e1m#`dTp3>S(a=IjVkvmyJ#504wbA@42l`=W> z?7e*9Lun}bK2E@pn_m$pXSR=76cx3cs>@UI*dwV}Ip2#A+tqjC!v<^g;=>D5Bs*jy zf(}UnZ~Pux^bte8gH5Q<3hj(=8*es|9R<^?_lU~lK!KSllSP?B^jDeE5jt`=Vy&f^ zE-?0(^IPimI8{cNG&q%Sb)e8xf~km!DPu2LJPe4f5_xA6?S-mtFe%~1w*{uKEK~<$ z^R`Q@vJp8jZ`KfYAJ<>eL(tCX-|E(&u zq5dHv*CKO~ZbkZi-w?#-4Mfv?Gk@piKqCo_u`3zK@>`^^@WYz;AGWQiZUVzpXmk3L z7>%FNZVwhgKj>}LYDW+nTU7J2b1kZaB-Q#glpKz*Z_Ut87mvY$=^S z^MmV5<@Tr+K;$v{b9m|gGE3XQXIIhPnl&CwZmuaWTeBE6? zr9zbDBJ;+CEbEg6V~2+tU839ASgp+$UPE`BZQIAV{fMcTK3aOg z@jJpOb#dp-ZS$6xHG8}O=NBqwww5y}uZwo@Wk^eG@J7<9oAX1@F;Y{HJK$&3-!#dm zLvl{8$;c=Gu0OD*6Wz4cWvu5~$*ze8BbK!OX4~gi8q`BJ7d~D_CHEnf0HQ>=t%F!r zGrWMA-7L8)bFM%Z?hd|2^_hZsQ`^45Sxv}Y&8%3Y*j7E2_K9dCphZ68e^_r?*3&vl$ ziE$}yWiR~1MCy0f&(Ow@P#LPFPeiD1rN0A<m&z2RHLIk{XC$J>&)aLf90TR}ebh{pYZ3?rY=|>X*azTyd@m)6iJUabp3Gvc# zRuu-4C?iZZklaC~bx3mH4&pHtTR{l6Q>g?5sKfn>qJW#L`-ERBU_0i2Bqiq~LCT^3&tVpN6agSr;dW<$A) zWRUMNSA4)bL2FsN@ctXi(=U7!nj5IO;96T;uT@2~jg7=Tr$f-UAsx8ed8<*O(VBJn zF+a_%Ha8(Yno%aiVrCgxPB{4`-wUoaf9n%?l;~y|=QcSDxY_2g2R#PH%SKi+lGrcI z8>bU?GVf#C*+TO+j;v3c*?Xjsl~e^63ugT0l_h47`jL#9Ma^`k`8qj40Blj4Y1s6L zGLirrW6XTt>89E%Yz@3%ps9%jj5(l39VpEdmo#pt-NzMax^4+{x z2b$$+g$q>&dcvfuqkaaeW4rW-Jr2-FkO6ji_zsLhBkZcPdSS^$bw0%jz#DmkVA74OZ;R2E zOLNsEcjTiKf3#KRt(5P)+|d4aQ%Q11YE$dw*Mt1`vJ380-hQmvX4*?#QumAMCfM(T zQNa71-KQSc6cr0T;}TScikMS4cjSPQzGh2fo2aC$(D^a5jC`K2iC$@=`f}ahJk4xz z-ThS(i(!_VHhhT1s2NjE8`_3dQM3H_6{VnqYLdB77kI2<*FctX2(32&XU#d6iJHFc z#St7*oaCd+>qMK}1$1Pu`B#CX0xvEI)bQ`W44oiQHC*}%&HFkCs6URTesA@rB*SfL zYiiW&UpYrFGwp@7U@Kg8Tu>vH;bMCSQSbo8bg6)wVU&L0BpVidkQ88*>B`eSuq)_& z0MseBQqwvm_@KF#en7VnrVts*k(8k=q3$*J@dA6a9WO(517vgIi)EACFMz<+h#$jo zW8oWls&oQS9j=?xcO!{+V~ok~J04{P+oIXurOwJF8!uZ#$T(VG`R`z3@HpMrE3mJ< z1o<_f@oZ2rReQDeNzN6J(xi98M}|-?Th^(eg<5^|T7uaOy@r9e;^yf;YrFFy{pF9o zn)a<`b@?*F#&hFDyJKsYx(h^f)vW_t^*DU;jRmxr(Sf#x2KA$qG)}(hjS*Uv$L-Np4PX0e}ciWV=AGCq5dQtnFX@N1%g(AosEHXMeiW_ zUF&sAvdx>DH*!`^ZZFs<@_VG`=t&mP5L7GB(74+T;R%$#y!06nGt?7fpNF&3FN+&! zZIpwY_Q(vI+ucU^!`#sIvuu0jX_IAyA0>2gMPgIO=xpy%>+20kKTd@OJ0v}j{49Sh zGSbHZUO1(`?XK@RAGW4A5A`N7RMF7tbywg!*k4WQx_wE!Tp_$*)@O1+_ilvW%~r~6 zT+waSm**R`Q*-t#>C!ztHr!v`k4X9&pNzH=YZ=u9LtQI_WaX5RtxBT4`f{9<=v52V zeOdnr@qkoh9|%sLpyjemcSGAs+6 z`W%UmmKsDw>@PocgvdIPk-WWu3|zH>h6cD)EfA&EdD181vklpeh_i+?=%wP_&K#cj zxsA1FD!K&gA%xHO8>2`=ai~+yxE|%q*H~Fo@4t045?K0iOE8f9Hatk}Xn83}Ib0{u z6BkwMwMvMo_2G)GS0v29c{sL(w$WJH$=(b(mgOPjal$yTS4*n0uhgQVI}MOsChoIL zkjDxrd-2N%-8sO1y*EchxPSdVkfIabRMQh7lT&pa8Vkd0*z;&OFNW&GbP7CG%9nue z3H-!i)W0A~60$lY5_R(>ozTcT>4~5GIg27FCLhPaf@)evWluNZP8@Axk~O*_HGz-a zmXyo?!Q%pK{UyXxn?AiUW6<5pS*R)_kTTNV*f>m@&da{<4*8PQcv+K<#B&#;17xi= zbCbfPpf(11wfMx&#QZTfy9(nR2kn;YizkiMBu0XG3r2#wUD3BP++pMDxOme|@2nLv zR(XBDGl?;QgH|89a+3J2^jn8`K>Lb{K)A}*_w*t|UX2{b z555~w<&vsQz#L1dSS)~cT!Dck%MhOOecIFgCtcjE+>kv)D%K1VoZazQWbkisqn7S$X%cTr*C6l@m$%EDz{!%w*XD+0%Y~FY` z(0NfOCAz#yQLsD#5z((6Y+OHha#RAJ7TsT9ozpZh3oo}{#mo_~Y`sCtnZJV0G=-{3 zF(JrbLFeo5BKE#ItoR-22v({ zKG%E@?8J0Nm-i0k9%nuAKa5n5rdpS#Dv!0of{lhN1@c_lUfrslCJZZAl5lXlaMqod zwUIY?kz2H8|xl`s(vEK_nT^n&E8c2Fma%lG!#P zwA>@HX)UwC)R;}l0`sJ|p2>8woKvhH(NBey`g?8E#L4AnXS0+o;QJTkX6ZKE$qyT9 z+DAOy_ix*S9+sl8;c^YUIa9Eyg+kE75H<6ftV-GjR9Tc=#x` zctKFBeho0fWci%c`V;t)S?JqwF4(u6$M?E*J}rsX+~521S{IiEHzEk0KiJUDu5L`Y z+Ra-zhZ{OuM&fI6xQA9Q&g`T$c1!Pf3(A_tlvMI2n@n!$b%1w#3_<8GNMyDkKYSzy z0KNo&uYN5WzPUN<^Mg>@kVq1ha~<(Hvfw<(8xRJ*}GU9{tX?_C<9-5 zeZ!+;W26x=G%@~WkH<_4aMtElw({1x`iB3-MAPt@*xSh%+VWdjSX)`XMN3#|L;-5s z*4oM(h;85*>6+Ua(#T8d+L__~rP68scWRoEiIM(|(YCXW@pu zf&@Vz0twlKUM1*x&&hiZ3LmwOAN+|%l(XaUQ=CXJ@xl*%;U9)L@dmx|h6}-ViaYZw z(@GW=7In-v#D-Q!23~XAnVlOtw3kM-=#$0BZ3lXYz9*g)&F!Ag5129vsHz6hf~c>< znU`4eG2-rL?6@uX5alkuLp^xG1P;CV@+HhIFHcS$U<6)h+HLPf-@6g)Am8xleZrSFA#IBn?~& zye=SRNC5qYaYGzaY<1FUul?~5#8VMggsb6t7?lDtY zMEjkzfGdgl{D6>WlpsYu1FgV6Q2QERZq^e~kxWx74KVG_MxW`%HN}!xCHFhQ@uo*0 zGi&n!4a583@jWj4I7CZZ6Pi}v^-!B5s!!8%T!+<;`~gkOy8O4KSLt{!0Zn)?2R-Un z9ZDftMV0c)hly``#N9Dn<3iPnTOt(J<$9OgIeY2ko;SCiitP_pSplDkEej$8y^aOR z?9X=sOtI1$ba+!qLRDS~z_0U>_w6Ps2Sn(}d=t#;O$s|#sk8X=~6@u9&(ey$|_t+U)2i^Pu21$39K%r9Sl z*O8v;Y=Un`+3wciD;+B`W@r|%QB`fTtTr7+vEeC548{1Jf%V^D!2OkGVN(K6n{zq| zdQn7@J6oBuUcEox>j*@+6&{S ze{Wm9d|q;Ez1m`5Tn|nslF+Q^Q{BEOc0H9KZnBzpl77Y;0r@zC3f(<+e^S4YOfKL( zmnOLG58)W?x2{%5T>7miJ{sTIqwYf}gf$wp)l(B(^rwX$2+75*`VX&Qp8jzD53*}%fg&zv3F(WOz(@Tp z9Hqyur{4~&4M_R@qR~oeeiVPNb6QE+hwdI$^{Xg<-!G?(_qZEsgPLUf1@${NllR%E zSL)2oMr&{cX1K8jG4MW9wyubOLAf+6s^C5k@v1`lVW8F}0H!THX@`Rx9wf%Iw4W zuOuYmH=Qpz%HL@5!xBrkDefFhS@APjG|fG^a9DygbTHeN{xU%IW-oKYl#Hib`#sfi z(m6YZ(YD>C5Mescj&6K?TynvcxPV3wJg63-uCMR$ZP2f|u*JWxBE{phfGVPeQ7WY) z7S{v{|Kf*Z(dczVx}f`B`WNV>@5kx=p=Vv|nzW(nQwY7%n1?yvq!80lG!gR|rSG0p zH&|mp-tk+PkFH5R@&(fR;h_9}JS`#SbVXH_ClPRs_@&mzh|RfU3cWHn;CO|y#ea%C zAU5ZXlaJ!M=HLSEuK(<85#jBV3%Ywy?e*d3zV^1E^sotID*ydt`QVqT->_Vm%_Ws3 zL-bJ8lJ%UkXiaNMtM1BRgx20TBb@%;kS1vwA=(HsYxF_xjL*)fCd(=9r`Bl6)=&a= zzj6*!SC_o#zcGH@b?8<2T@^HEgD-oY%pkv5k~EH)aZQzr(^O+mSG0jZQMc)XU^Y>-IfEze5oOy=!q?6v+i&z;HywxKJ%0nbl| z>SW`BvU9sp({k=MgXn3(2i$S+m-%0!nF2a#9V`Luqx9LqwBI$wB`DMqE*8YG&1(-P z1KV&f0?L+b>7GbXk4~O;EwZbtu@c;~OdJL5A(H4ylLJ;XH{Kmwy@A z$C&%kB(#l$Zx1gA-Rd;eIydID#{3ljp_cPp*2uiME0GlglyDyW>Gow>eoFVJ2AKOH zwUz@i>Dmj27%FsSNsy<2*W!|2#b6?Op+?4e$f)c*y~@i1%qYRu<_~Z2#s@*X?k6VY z`9!gM_w4}{WH)e`5PN?DWCxRzU;}i$+r`wRG&p^#s`L79FWvR8Z`XRttu2h`ME^yK zYm`8`NRb1L%G!56(+KBP0`)a$72}g{WqzF>w{Y;!JxA-1oUE1Ri#UEJtzWLz z>cc#Y(n%tdKCE$&`u9>@k+PS1Y|gCE^aG&f@f}wGbx!fjwaBvvef?`ILwgSxKl1w# z+mU$-V5+7hZ_5!tceh7>+TgJ2xoM}j;W7W5UxTK`BQN=I(!)4tjVumg*AlbcerAf3 zH9lqaD265BM!H?AUC;f{M8mZFz(2nCIhy@K8j27XIvVYQ_KD6gE=B2NI|Q1G>wiW|B%%jA|m^Y;T2X6o8KmP^mM%&g^K01{zke5pFJGt7?yyBJzi{{MdZ2iPa zlz2W}RqpA>L47-!}CGD7*d7mw1K zYiWIUHyj&W7+d~oiyBHEeJC=y9251CPTov9|3gPyio&bJP~?^frK-|Q1vGEo&dy9L zOr|14W$xs}}FBu{IxTL|p~dwXCrjzHIs>x!Jn1 zxquW?6DbCphTFNQR0>s5Nhx^HgF9oz5*L?;stStR2MX#Ciz8P%DpK!aagkl_^$d-m z9t@PIBtmCWy#*@t_byu21xvl7NNUmoTP-t}D?%J9Y7QG43~8$%>dYMFYc{s-#L&UR zQ`e^&bDI|Y4zf=E*FmAQFPK;vLCP0OvcM-at1^YS1V=|FIKYzUAWN$gqV&7>zOY~X z1jh*w>|6}t1|*8A3+q|V>sBWxCs%&Coq_!bvazq)>*^Kv`|R&OPlF(sLuXcv9M`^A z)JV*0dOBZ8VDii>DF(-_i6>bS~QYs4YFo{ zl_-LruYRGQ;BJAD2<**m|EO^EhtQCSLjy#*FSL9`A2nzqp-QV*GG1JM3KA z5B|veBlH|Uzo;l_vXkIl*te>0?5YBCX&hc!l6Ihis9)j2A|wZ3EUTAp<(4732!8U( z-0SGmoO-}>H?3G|?8$;^bPf*MO?b>fVIub-pQ}d;mXsXIln{xmSVaqJYHFU1?K2p6 zdIvM@43JINNufr6D;O*MoQL-X20l_m6YYno{nh%jo1b9Ti?`0t&>B`&cvqF_IkX`^ z!4-o%g&+9neKVo7axx@_+o!i+Sqezfd z!KOnZN!&P^l|`POoajB?BoeQlU><)K!L$(L2|(NNJuE(+XdpujD_u7&^e^lRl^_C@W{6r!U5OapvW0Dflq-Bc-aU zuStvmgLn^Z@`W;-L|@iQ8#mZ4dt*HzU1vI-)9LePpCkf^Ckb{--q2EOL#w5B4&jFo9~1$YbPn^4hsb6D5u2;x)&PRKXmy(a63Vw z>i*Cuy*zL)xM{bx=Kpv8=`Ee||117U*jCqtMpD<_-tcddB`uIx`TvTK`rj!idPbK2 zlY&ZivsdWF={I)b{{+<)ZTy{x1mOUr=N(q|H$hfTu-wJZh2dl}>b#4SpDEz&z%0PO z?kM;OiVuQ-=_{y3aH{<>0k0+U6Luzy^b7Rng_0Tg74jAEX z=j%~x`~7OtJ+SBZZA!CW&HQUlxT?C0ii*nFg#K$Q2|GLn+fa=#WDzkrykYTCuzf|n zbWQoH(sN6R2cG)Y1OBs~q39TNOLnj?yGe7x9+Ns&@l?%F!XnfcCDEo+@G{NU^~j-Q zF1ytVg#k()tpmP^41s1WeZ@HR_^Y^eudjsn&3XRfqA;R;l3d=poq{1|?rQfl+)|+L zR^YTKkQI-oL)wf>uV4FirWyHlmeWtwX!dHT=VRT!TFIk@S5-`}G-Fjfga*(}DY>}> za^QV8^PoaYHCMyoL~}JJ$-cBPyygDtovlA?xln>@LkcEC#np88!)PaJIM0I8Z+A*5 zWYvKjbti@K-6gie<7v=4E#!klpWidqKJ6mq{#|w!LD_EY2o*`EZkRq+sc{wGLhyEV zr_#0LjT;XNWz-8G3SE}BY#TZC56e#2jArf-JhzWDj}&DeiXe2N^?Htv7r{Dz{b>G0 z((a>EqcN9<;sotd-&<=tk+a+k%Qecj9jJ1bikaDM%qL5k&(p3iVFD$RdgT~rzrlSg zRFv>|T0bjK7KgLjmcF;Jw}(prIrDBCBg}+&P z5`6~sgVMjfGTgU=M||S9b?{F}r)Om2m<`lp+l}^Reo0!8f=Ti|lY+6{eOo71FzEiX zG_TpK=MNkzMqU_2dFgy#rB5yxVaC8!G5u?eOF%R#pnC_krYk>Cwkr$&9Kxzfnv?mg zfshOxnRQuOEbH_chR41l~k_rS@>N4~u?l1h2{URIh?3NGtJ>lAWv! z+J)}fi!}1bGW*O$Nf?Wfk4ImniNMrz^F#>lve`f<1|t3Y6UhBoi|zx9LF6j)ckpqg zgirk?t*vKO$Vpii_fFKeuK{M>P*p|r~h)(dVv&c-5K=%y;BVp4lYyJD-|WFSUa zbj_gKa`{zxntspi<~uL8jwnHta-lHiW~Ta($NI^e9aa7lLaJk(jWBZY#}beM)~rH| z@KqZBTLS?wnb&OJbpbH2yGh6uJi7Q|L`CrN zCvnFB$fS5< zdvXv}mYNUEpkCUmR@(c5R>~3{bISa2(|JIGf7p3zE~uHSHB7r$^gREJtd>&ogHB-D zKEAYUOsiJ1xPQ&Gvk1Cd#+=CR>G{X)ukN3y=wdB~_pq0CALGx5OO|jaRUy=^OjKez z(QuNNMR{=l6kjj^)5TskS`;`i{BdZDFkL5!n@KqNEMjs6BrRou^ zbIC8v-0zp8PmWBuY9}$7VY^+{rmm~xPb9*5LCzU#B6=VFaTPhnRieA5!otG$gk&o4 zB~W0vl?Y%9x6DRtKNkilG&yZn-{Im0F3^MAhoz}Nb?jxN;XNSD8w-f7+_&3RW!CNS zBAop{?0pG5RbBUgBtw!kP^3^1GTixILzASzka-p%ndeLuij*Qv#?WjkN-~5bNzs6k zIZ7gBN@Ys^`<}CJsplcR-RJ%F{C@w>`{v2%oU`}Y>$|>d?Y-ApyGhop_B8)`jRmJl z*~B(&+9ZSB`vvpAK*rd1l*RXW0+x{5vF`vkVjK2BfDw7*L6 zi$~^{$4vpTr%YA1Z95w?$Bk+0l02t^z*|8J6lb2`%@PZBq=1uknV#Ke;;G#jKU>x5 z&}^ofLzc^&3K&=nuRWZm>hyY$cX{ZQQMbPI89V3Hlb1UUhZtG-oir8OQ)7I4e}}t? zGF#=^b8%ds7n$C0ZusKrS<>=+iq8&>%vpO2uFnbE`h;V#$I7Sf0=pL8>9}Sd(&$$y z)+4WCcg@=0M{cC4%7T3j@5mJ6XIqMmo71K>ZBq?v={m*v-fp^&=EIrK_`F8b+Iy!A zIj75&n+k5IF&~&>6Sq{@zm0ie@L|)mqZ~s|-Z0|Hw+_#K!12x{XR7~-_jZ2vx7(Oq zYQ4jxUngUBq?Q-8*EUTVU{22&&cDUW8MQ0Mw(qdauAF^dVhafk?FCY?qXk0NCyrib zUa%@>zH~pnJ8Ij5GTotTm!k*$rQY1_O!{#)Yb)%xr2)F(iH%w4RV+#Fp5 z(9|Tbuj=CJrm(}zMF5ADQZ|Es$6zSvX0EFMmVhQbjqNb<>|Z+k?d3?S8`9gq>*0Dk zGb@n7gq7OpW@cw?zQ)1I&Qd^HYK^Nobtf3)XpyPohWsLdl?FS=W=?QBnGAkgv(pOQ zX2uh7z>W7rf5Bo%1f*46bmJKh?$m=%VF}Vwnr@c%Is$kia?e^M!AgPxGRde7fOIgB zUkBa|`1mOJTJVFcsBrAeHAbk!z{vTu#VhAuN4yFxaVBR1GrV3(+Ft-k7 z31VP=AO>azVqj_@2IdB0U~(V^<^y72LLi0=bMVM8r;iNZONP06WY`Zf%)z6;96Snq z4$Zm*Q4Gk(g8#z%(Hu+xEX*y$!rVeEP=9JpAyyi_4=atn7mGpPi-oy`SPZ-m<`!Zx z@IEZO59StP0jnVIgE@s*9Q=12`aM`A?G&_!rgehar) zxrSIYi4y>FlDUQ$^Z|M#8{(;-@V^1fSO{V)%zDK_@M2+ZD;9zm3kV+(CIm4Sf)@+H zi-kF@SP~Q>844SM7z@FRh2X`KY4^eRLhxcCc(D+?SPB$A1TU6?K8FKrN9_*|f*1!u zjDsM?K@j6$qAU(3%HlAna^PTsEDp_J7QmtT%Ye+$e&bNi1izyo#KA;a90WBEf*OZ| z{eTIxI5eSE00+U0g9)=Z1Tmn$cvN|CcvLxXFo70FK>9U#Fk?{`q6?U*pZEuW`FAtC zVNy2^C3lco42Kv(90z$C2ceFG8QwSuc^rf~4niCUA&!Gt+c?P2ILOa92yGnXXB>n$ z4niCU`56cK8ApLofl$Z69B&+iHn8*vr18=yMDfxn^zhQC3g97>@sN-45XyMS%XrAk zcnE1cm;fP5fb2_vkR?F& zB|yj$AXEvEg$b}+0rXsf2a2i!0hTEsU{NbTfb2_vP$fX95+GCw5UKI4XN0)#pNIwAzO@vSO@#ph6o`}gb*jvO3FZJ6KQ2+Af$;9(nL5xBtl3NA*6{A(nQGOM9AVq2x%gOG!a6Y z2q8^`kS0P%6CtFD(D5Kb_9j7JnuN0W60$LD3#dh1eL#(BKl933x3lnB|&;1 z!TAUY(gX=ku1SzCNRTc_&_a@+Q%8dIL4x!_g3cWY(g+FC2w25KJOdJ>6B2axNRU=Y zkXA^LR!ERmNYJ8^pc6=fG(&52Ecr+95%UOM>)6f)vtgx>5K#|Hwn@ji2xx7>5T*}I0@1m30iUzq&pI{fT96(|(8(piB?uCvOA@3@67&Q}kTyxsBOpQg zBta*b1Zk86onaEBQxcrVksz&-pi@kO^h$!xF$vNv2|CFnNVg4Kj+%Uw|^|a?u0Ih?f2Pp-hG>Ool8>hAd2mEKG(hOol8>hAd2m zEKG(hOol8>hAd2mEKG(hOol8>hAd2mEKG(hOol8>hAd2mEKG(hOol8>hAd2mEKG(h zOol8>hAd2mEKG(hOol8>Mp=0BkRhYyiY}0$PO<0&8M;{V-(L79LmNhhHVjm=Llqa= zFfz1ZWN5?4(1wwr4I@JvMus+w3~d-0+AuP-VPt5-$k2w7p+tso=+7m+*3O+E@tv!=shGD5pFo&TFna*DJh83aOL$A(WJJLW*Te;Yml z{V^Cn@i%+q$PKg>e{+xgtIY%&L_sZ=)Ow--iestuK%Xe6o%$1Hh%E2Y1@mK9QOA`b zi7W|h6bykuB|5GQkxiuu;v5j8OkWxPmW06WxSJUTxE9nuV9OM|>^}&4prAg=kQL&3 z($N1mvH}IIC4pNqlWP+RBmAMwpRg$!?GA#N#(pN!f$^F| zm#rosHsl7Hs?dkne#<#TU47t!QP35@f5=%xy=OX1u#xpZ+W7qqy&)59JQhr_zkvji z-y|hQT_gG)B*@C+Pg|4*)4^{oBJGdYBDz#L0Y;EDPFjn94o1MN1YH&WXE1`UJkw)B zfvgSxdE^>1Dso(N0C{TTcB(3y`92?dB!10j8*1n1Ot8kTdQI_6d=>hnAzZgEh#&x1=VJ zBbUY|kRvxC?HdA5p89~Xz_&U8$Q4@ez9#v9?FDUs6gIA-Hc9P17CrhC`3P`X%Ta0L zIu$b~DOx|J*6D(TdTI{+#&w!rt{@41qr-MbfGwo2)W^Oh?F*nWDWG}*=s9x3cRiS+Fc=)t)PxlSKmmJd@6d_? z(x6-b2$Z2d2?7{!0EN_l`&uplPRz!9>0b;u_5ShdI#9j=4^&N10(Bj9EL{M;%)Y_z zCnX(#q*1wkt?57^e5>gI{*Kg2pq6w%!*0e(1$~w#;IFFj4Ibab@pr%BDatTJkB*_9v z{{G&(M30xBM5|cRSc*dWFn+7(de!*Rj~FE8m)0u10rZE@=+GEP zjfD&%%1H_j|JTxAz?U53S5MHYwDefWAbl9ORW#UpBCCenLHkyEL*~E8Ig@4buayjIWo-)Jtff6nqe5W`H@xcdrM@8Q{`)T?P3W;L>+p1t}Wf5{d!ZyQr^6Q#EKU zf)&VsvN{CHERMCfh+2%R2x#`)M2;D9 z2dz!|D8g@v^4A16c`2ccKo==d4H0d`#+4GN&kNFj;i3>Kv+<>bPD3Y{aUofcv^M{B zQu?M|XeRcrs28jnOc%k8U0M4Z!2w5yn)3qcq)GyZiY7WlkdqbNUlSHE$es*MbQ81+ zG7`rC2I<2jTg6j1nSOBJ5jSgsRuL+}fSkxNUyAf#{5DY^X(Eu0-Ld%tKnkq_$o9ub zqbdKiD^y1mP4@qX=mxDcK$lel#5<-*l0KvQEx+_nq-wPK0)57Ate-Nz?qNU{;y2wx zwPIX7KzFhxGO5Vqg}xH|EjiIpPobh7v4j5<>ghL)LPq%y8pB^3v2O+smI}d0|7zV} z@B}&sribN2=FYUyoInpie&;uPfNI6~dhpZ59Hg`YtyOw4*SEzSuux3pakS{lZz;&H z33t*yC;bXDVvy-Jy@!&vWixig{m&m%`c1cx3GshpQ2$79(rz^OR~6lNIXpde~7Nkza@z49L> z$;fI1O{{;@D!THF0hJlXXdlvraT|q`mkG2FnHtg>rH@ziCu$#B!;U`S7`p*VpAj4D z^8AsbN5AbAq<9p4@%G1$9{qMYkkXL!9lbviZ}ju3k#)8I10zO1{~56vf6$20cH%)I z9tdmuZYLg86a|;Q+vo>HMZqPMui&mes4EICp^&0oqHfK>LJjZ|h|>k}Yu~*dB$0zl z-@P8h_JK>^y&i;MflJ@L9u!{$mr%DHz8)lJfj~9n^+4nPGnWYQAb-gvqTkE_DLzX> z#ost5=*$3Aa2n$fA)d-4eG}x=fgc?r>IeUHRy8jl#LXR56>j3y5hU7nm4=l|JUW35*S8xPVr|Gfwhpa%-#`kY<1YK)Tq)QrP z`a`LrG9F*5sER_VB1feBfFxwOlh!JIq)M+D6OA9J%i(A>-2Yg>hz+2=22uN85-|M? zF0#x>8|v`|4C;{)K;dX8U{u_b6fk(^FLS5wimrl;BsWVJDUg`sX1UIinv5o;Z0TU- zwnG4%6h)wBz<@+8OEY_p>7J(=W9=R5*Uv6#;_LBf-uz~YV@?ChvAcq+w`Iw1J&tdC zRktWu;mqA&b9|HgGzb7(!cgsppTSJ9V6c{wXQ)pajjvQQ^= zo!s1)>DctFc+jfvesRvo<=%qbxdr`wuLiYLnc~0XdiM8^g`~khmKcU>!REYB)l+E|9R4SqNsYjk;~`Jt3e=c|j3?^eV-G*?^DwL!UT z)5Tz^9tH84i!*g2E=goqTyS7taxOWTZC>0!MnV6xJ$sDjZNHT5wTVwtQQi63rQKJ2 zX0OPSYPdNp-!t^eW#`JaP4h|)-HsA}C}itqmXW;nRL(IJGxrsI z5KrV>uO>G?-}prKf(qd?ZjNzbtP%@I3M*cSzx<+Gt=QPri-vRgOFc>EYyz zjeWFgYTIjZH+}o(QI9to7g996SF*IIULdCo%$JyR*77ypGa&qa=_!WlT*LM2x|D5Y z!g3?jdHL1-8?xih?!RQ&$d^8S|Cf{8YoA5RnBC)zkTuwa?M>jovd{6>nPZwGVCto< zqugg_qPsmfWoOY`PL@8R{H&=(d7}C$cXvcRm6)R@eVFxm)H5eP52=go%^`0^h4Zen z75Ef6WY)Ld!RMXpaO+XMH$pyny!L(i6(8nB4Lj8s;xBTfC=%0B(k(ffq>HEC&NY+6 z%u*;a#OWWY!0K;)rgbFq@czb}@GDjxt1jS&!V|4X=?RJNi2mz+n^oMCq>@$db!9h{ zEmm&W9X+-2l;~BndqL(&_5O>_Sngr*4hSFQZx_*9AMixEMB|pV6glJUZPC<(NZInb z6~Q;R%pbiSQ|}*Y^Q3dN$g7({BW-WJJk0Ff&C<>m24>wh@ys<+4yrQ(a|Ya zqL_v5+`Ug(EJs`vZz+iW*mK@;o%G|3fT<6Bu3oSei)`@@=H(Nw;N#nzuJR^P#>{SE zglv9}*o+%e{?+UXozZ*i7$uWh-&Z>-C5U*mceZmGyv{nOv?HoMbWfhyx|&BB`=@65 zFenDu8>y*Zm8knN|CEEQ%th4$o!JfbNt<;t`4;rOT~U}tVO;w7wQsTb#SV_+@(Wj# z9V2uum#{U^IfyY3e#b<;EVoL^4wy$663!1KuK$8 zTWCW($BpYngA0Xv-oBi@C(_Aiwy9C%s>Ev{tC!gfUp{)O>xHSls7?xaV~&O8wqRyS zMp5xZuZBlhA#W>#Z2#x^r_P=ur6wfSHw%;BvKLmQ%?z;bi6p)tdK%3vWidXw=zL#n z;hq7jL_G`ha#&YOSTrp zmA-B1PGIqN@pjp@W9#xSS6WYmmee|mpzeZfuc#NfB0V%oMdS=2bSlO*NV zSFt7WM)YaPr-yy!yRozWipGTuwdYfEIc~7mUu!Ynal*|$QbMHU+0w20UNf&GyxLh6 zu6)4m_)ATtN^z(5r$+&rG?W%O&gUw`T|Tk8$3&-siz@rQDccWaM|LEeYsH2&J5$tp zG!Jd&o2%gVdFVsAQl7BT>yGeP+nDNE%bIQ#m2FLU9kO{~>Pi3WYn^KDKP2qBDQo0? zd4>|cn%^NH-0QAo^qE`P&(B4LIs?|gs+LNe)k^MiQg>f_x48A$8f%)Jy*^v`x(elR? z?ARx__8P^8@yP^T+Iuq(DE!!?B^?$ws_D<6xgrPX!_FU8KiCO&W&N3Kpa z+TCPhtx!~)V6C*e>>+!fto}PIC#{2a3jFO6M!Nf+bYBhUs*rlJi)rZNqBge=MIYy$ z+>`fQsIsVFOZOWdO_B3kjk+GyzB3G3RkYlbX*9R(VUom$-Q~w~EaNS!&c5Zan^N-j zeuJwMbN}J&tHweuoy(?cuYG8j$}o*m<)9~Dgi*y`YR%POeR@`LD$y3I%4vUEH*b%-Mr5B>3AwtwAy2?~ zTXImtLZR%O`~!@gd?y^6!o<%%DSavV#B;haE|s_%NfN?t`9t7m1UOg?DLjnJTQ~2p5gW2f+0Xcf>rR0p(UR=L)NTUeB4j{1Wk&>M%I-bsEM%FU>x>7@}75hkk}PY0P0WH zTt(OuRrlChoD72EG9OKA;KnagVZNE&ATuD4G2AA5%h2Qc%d&SQ4{WeWYRzp9n>p+G zPnWIX;g36ZEeBs+TpDVged0H$}-3#yFO}U#^FUeHNC`$9`%{a9Ac!i{-$0{xPo!L`6 zoaHHmJHw0((U-=}-M+a(HbuqULkGu^)V-Yd zB%jRU%AIp}1Ec6yhUa5&+88f;OPwIG7GT5awRpj0664H*_Ts6Mk}AyE*$pGM8l`y9UttZ8FDTN>s*b1>2)#n$DVPW|l;qoV0926lRxQ%zc|kI%Uo zVu=rXNRV2TK0>xGm)h=mPsk^DdhNvycJW?uJGrixl@{5D%H&zaj~EAK)Hf{MQ=?sF z$BOme&g~r`M(nt`|MVqWqn|)L(2;u0EVqbsf+h$a}RW8ej?tIda|5l zp!n5Yh5`|T^-KlIPi8kqxZT{hSlf#LyrLe>WU2Q>X@$K#K#+w}k{+Y$baP`?h&gG0+|_(e<>Gh3uhlFwsv{ z^HQbLxXaj0JFC2SY;G{dSGjPtN6%PZ5v5z7`cQpqP#2^4JHb(=vIBY%)`QcYE#vRj zQ_}W106zL{@^%F~UG-;8OV8i$nG=!QBv?V5A?t13+Y_5_xc5}H@SOHx2Pc-&^%rLB zOFitwGt?S5GU(ieO~|=yeMuI-s9n}B!^0_ZWV)|e_c`kq{mq{^+zbzfTsFB+xV}68)}vpVH#T&rU~~w2zl0~4hqQ2nqFyX28Mh-sZH)7Y-M;<+~ zXTaxR+@7ervgK03q?tqV!0{Qfj1E~{aQ-p>Na7}CK-m|z-XFfLoILw@0Di&9OPAdr z47)2>8?)O|^`r7r%9MCm9=$93beI^chszp~yb-=#-^UoAc~mR4mpf#Cmo)&v8xj5E zdY*j1!P$E84eP*WnZ_4Kl3z0cj{dAIEZxrbCDBH8cBR(9=6j00JU1evPKP$J51tp# z!IckZ=tx#K-8s2M4yec` zN?(3f)QFpPje2LiykSzQ@$9n}b|GaSuYUaU=EL#YVBeeXYNM^^Pc=MV=H`^ywPOL7 zAx=fj)K*H-Ao-mwAgS8v^QQAn-H>c>JR7^9<*d!y1H+;p0zNZ;@nTzkP2_PU!OP2P&t^B=f&s6S7yjd#mHWM(7C#1c;5bHf}h6RZcQ% zW?#fA<&UrKq_^MWI+eTop;YnW#t~MD_U+QrZNxQsMKLN2GW%|?jxnGZO04}bB*4+W zx~(kz5P&4n8jAtPQ8> zE6Lk-oaci2_2#d9Sl`&b>~&B1GGV9qh=f|jb*JSvOHWze>Q%BhdB!@URqA({99r}i zlqF-=um3QM6+7EPW9II=hDFb?qWyO@5+Z9iyzZDmet)@@HP7k2ybOc;v=U?C>NS@u zoO8?uHANqZtz2Igup?@daz(kvl_bFgYpb#Y1F_aBEPf(NXO@t)ZI+}bki+K8d|{bC zXsd$z{PeCyz52longS}z6GK8$J{B%iRq_q=>)mrZl;TP_V%3(F6cX`ie_+kil!qQi z?-8`LFY6~&+HND?xl#JIcfo7+wD=wKxpezhg!@&k^eb1NSEZ($=+fufryH_;K=gQM zvbw#@CE-`9H`9y#iruqPvczsY?UG$ME&Dc``|2cru~V*FXB$s1CCCXRRP8@0y>Ro3 zcI%QIQI4y@cNk55FClTR&^z_y+nnc*uY`;7EUMMiN+(%v%|0AIqoZ)qQQ=qKTAd*U zGwh|F&y67Yw`b{IPBWf4>^tREqwM2~HwJYbWy%VS{_7X$@_DK;eL218jM-T(r{TEX z1;S=+8msE0h0eC=-(+at-ENa~Y#1P*V}2E zw8#W++EVc;=oV9M)uJ=NncaW4Rc_q{sT)JO%kQ|fe)iLd(c7uL!bo9@=d|_ zFm;$bwkPN$zD#pGG{E_6_TaS!j;RK?%-XwzfROu&c+Z*vt+K=e5!Txn-+Lc%FwwZs zyCgSwy1YXC^DA7wOR8~FLaGPy5_xBJQ>5QUv}tlw=`}Qs2Ki1qU?46#ZAiWw+iyQL z;fk7*WfIxYeRp$PF$?>>l(3DtGgq^egsIg}y*D-I8F#bzhnL!kwOVr3$^+9YUY)Jq ze^iTHiUac69TZEEOEL}UST1(R^Sa`c{RQlA%;o}rS>0%+(}!)g3-bqji`6gYu*z+c z%oFrKVLgNKs5j?I6OC3W!Q9~c=QAzS-zd!9Q*lE`bdNjYcvb z@(HX~o!MFDp>Zm-LGxM|=7x*b%l@Q*-Q3%^U)EsL{le2za%Q&Nle}TsO5unB?w%#v zwq9iDX@4t!*K4Eun)%i0$~}bX&zq*M{5;!{(e=Jm>xX7IpBXT!;Jza>5OHV(Piq`J>ZDQ@MwbVn?*qL`w%y`pJf4I`zoFZyOiL zaqOBsS+vOO2NGgWSNFC%AIv*5wmQXA!N4bMKg;o!2`W9CZ7 zEcwGNio-2y54vi%)-*oVvA4I+Bswp%bbM#wTfOph;R{pgzU|WUE0gEm?AXS&uWL_Q zZo!_BA>J)o-1-(r6}d+robKbRIlg&UkIdpcLFQDieWj~*&sKT&mvbcO}dBW7c38qc6Aw3m85t5LmbJdZ=$I zSkYP4sw%0FBVfORMgEFU`)$9q71IsPKR%FE7UxoI<(_`wa%pUn{0uvH!E{xjHu69 zE6DokN&GS!>t-%XcRucQxnfxBB^>*tKLuZ=*y@qYUd@?vl52n5ox|s4W^o@i4W~rc zd=!)z{t_X-_aW0}llE(kLkhFF@b8~`0SVBE3blaPkPh;w?+?Y{Gs9C8=Q zxfp$=TW7QRJvZ(1JiWb}ODL)qt=;HUs&RTAJb1&mzff>8~PM+-yHrZ;-CT;Hh zcB^dIUE@9Fres&qA)ZZ6sjpRhFO?SyNrfDg#d5DP6<@Eet(V$2RIy{*vZCX+%hzAi zZrLE_l)9~N<+=7a(LJB~_h=UkIiA_SXqiL8ks#kr#pQ0jt@~~GVzW(GpAtT#H!3vk zS^+) z*D}WoB%OZB+_B&m+lScEuC=@#E&AuKp0b?ZxWad+KP>u0i7$JEqBi@zcyIRg0dC7| zt|i*6mF0O}c-_u${Z`)XT(Q1uc{o`XT@`t4+E93c>y+KYrK>(4jhpdCZdz7+HTGcL zyt4tXudpn(tP`0Y(z+t>;r-6MTfzhEQc|@dTCywgLM8!4tXTy6aG1AfQ0e8iq`@r0K-uTuF`R#2hc$ni~)*1-KmoJk~+L#k+ z8;O{Rxr>rs7mM%*C@SXWIxLT3$N;P-HS&NWi(Y z!zx$bnA=CcK5%*EIcYB@=H%|oJ35-;B_C4?ou77?+wJvj?c*+VxV_0-HSX@Vx!2UR z&6r#T0(-7yyo$arB*^2K7TI=@sdIm73}YMRvdh8deI$Iw^!&6PdgM`|@af(Gr>og7 zzj0~_;WH7%>D*W<{j_Q;(=5^IJ+)$YFSzcWe`x*6i;dbt%VO>d+1`{6Xq{tt$n-?e z(!7&qSIkS9KSXRWxs_+260Q;6@H9Z#nX_i$3T>0is{D^kF0bS5ZybH+;#EGlcO`x% zck`Ujna&6MA5jLHIj`ntc`=f=NV#1c26g=mY5T{QI)Op4p6V#o1 zu286W-iGMHe8QPg|3!nQ>yCPn0`A-1h?MNH?J~AWKfwO(qV|QPdjX0k z8kc-%Ebgc+NDP1a`jx|%MfE=UA6jJUnh(Y;RW?q$tN-eKvUj)gvKx*y9%>^34;f}w zakCXY9_-4hjTT+!UmdI19LT)$Xh5?;;<8IS?t~u|^xT~};}EkG51ZrX8yrVx*WO`P zscXraG0G%*I*#pq#k)6s2@V=!EL9o$PrZvxN4l0Ma5MBQaW!gAUt5fwt9pjCCy*m> zNWCdGXoFWzL|olzzQ+uCvX?r&yLWUrS%@eE9Obzrbu8I0)1xuw4O`Iz{eZO8sU;e^ z0>f>GoVlZ1R&e_*B>49{@;z1g=(e-bIhRX|lZT}-5;+h%C}&( zdx)0sgAW9~J4EZw)Ve(G4?}DseWCMh*ySm{y-L?lELd78Cvy6UPg}0<`3jXSk=aw% z@?3A}d#UFTBA%|#XY8uFPjRGM^O9o1%;{IM3#+QzZHXZ_xn=WpR_%Lr%j0=i^trqK zjPC*-SMT;ekXe?@lJ$i{Hr}_hjUjfhnNMg|q)VXlD}kJ(+^Z+D)DM^jJ==CO@txbO zhcAXoRP#>oZjHv}O%)2drnNeM%Nvfs#)wG48@=^AH9wgsurE`)somP~#8H7@f1~=d zm#hOr_AR2zr7{a{f0@fZyei@1O|PHibrn8)yx``m+ghPEH8S(9K6a+OmyfLF5juF^ zAk@&@eD{v~0lA5Jfp^nlc6TR8gy!K@V{V;TY|Se8{@Bi>M;}Au6nC}9tzfJ7>x?To z)N{MpSo)CRlUc=Tyl>C#Q00o7XUugGuQu>m{{{It!xROl)JIJ!!)t@21Ni5?9_~(A zCg;tquPMCFJG9K;E`Fh0s|{OY^}U@R=Cvqv&%L*)+Moa8JntrVuW7r?M?CFA{r8?{ zZ0oq@JLAfmt*mfXR(eo3f?+vOB43MF8qimw{=5ILAMi6v=i$(*RpeOPd%^q#qPkbX>%{udYyit z+F4M&HyWo|ml9RZ8~;%}E-Qj3S^D(G*8$i!&s)ryZnm)4WYVN7E~X*vHsN@K{rkf zwtSB#`PGj-nuwpnXIz)-Ro`xxdpnZ6fN@F9w9oxto@zcZyJEz&>#d}T`&^NxFS47s z*{-G>*t5>Ju09~`e&qZ_qxjz7N9r|Ly)U)X9|^U*Jm$YSXGl??=rcjLCUVo@u2=W; z=K4(A66_WzG}qm_>!8K_ZT)qpqGCDBCDMDdc6=$y8l{f)6Q7%hmv)(dQI&rrq?V*D z%Ol~mujcf2{!wM_(F4yH%-$0k|9aEjhv%$vZk-lB-oEIl%zHJ~C5zdP^1PS+jIlf!qto>&m`z^( zz38jQL5nm7Ifg~nD>D@Z;X?&QJ)+8&TqA7UTl6;jb8(W=*8ar6Yq}{~k}oWK8~r^h zi;eAy6dx5NayQr4R1GW7JWlnr5qF#RBe2#f$0h&yT8!QbmOh>E*7IABuHNj>QD;Z& zrIakw5f|9|q;+=uW=l$}swbnbX4Mq~dkxWP)24}XU8-aiFyJnH;nbBNpKW#K;yyg< z-d)L$r0q;h7VWZpe82W_!x|UvL!w9DZm4WH9ySxBX{&Z|#;ubvTBFLPM_M+B>+4jd zv8}$)+FF$_-o&Gz?SB1(;Tzqht8Ih13>YuJ?7O$)b6DTg+z_vUCd^lV^?o587M z;a=6yfF;O_pG?1+BN4JiQ`2XUjRCKj_jbuutKN`bZt;xVbv-v%Sylj8pY&w6XgMGKR+(sT(UcMMfV}r2Qnfb@FZ%*eIy#C3e zG~>GainOIwqqmyFl-HfUt`c4}aOX{R@P;iGIg#>L*4Kg?;@E~8SR12dwIvr0y=8-(vNYN|Q0 zT{4~Zc7xH&kOrF-(Ya1na}z{(jprO+D7Dfv>5?k9wvNuh`@2`hH##g{yh&`G z*0+4J=eLF(+4jD!PDW$2hes%jiE(L4sA;8P9_yjkZ9He*ld^VhI2Bb(xlWY#o^_I& z`y7QbT_j(TyQ*4z^z1^jiqeju&$nl1*g5A3-dbL$FnWJ|>yt1;gvCOB3>DU2h zxAgYg#hU{b1qRkg%~CvvvAs6;WI=mU&a%}u-8EN*tVdR>Y~omH#ud3utV`mOqup^6 zzYTKR!n~(kKU2BgO6o*}NbJ!$`WbVL_d9N!6|m1dF+i~`VfzO5PyHp2l#b3g6dd~2 zf(x@kdTo*@I1rqb%g=6wPmjZbV_vpz3+vw;oVohgpO!K|<@jNMB1=H-3Ix-3ed03+Gz2_TozBoYFUJQho?P+|E<^MLa~< zT-|Z&;)AYdUo=O=nLdq^^H{WKZzXJ!)x?bqZ1)Srw5k^x-L9lO5m_N7o+iL`OTz!i_2GuF zU`-3I9{cUD_ePGse?MJMq*i&A+(N;oz56ZV7)P1*#a5Epn(R!&f~6!?HtH~+uh6g> z!gwn`mNDL#U>MUMGv7OijI%jBY#?;{no%~{_w-;XZ{#-TP~o*9iaUKCX`LeWYKUw< z6k}LFZ{A94{DMOpIK40Dy-j+f?>syIn$H5}-AR4#K6;&mvb>Qbk~Vs_8_HZHhh*{K5NIdj7u}Ni~9_B>AQKU@G`tqV0U=D%}2J(vVX+i--MZE;&LC!5}g-Z@?_IuznoU(dRT7=oFv`{zl!etjO z*!Hg6fM+RL%?(I_LI2R9il;-5?>qY~ncmbD)9YRyhmsoiy%x1lP)XHzE@T?AoJ5%`1?ocGlF`chllo+yni{a|J%0*(Fu1xmR z*0gHvRor}^fyrFUAXLtv%zE1Or2$HwOO6Bt`LAZ(a<44xN=)O{?gtIAO$|O)$Bex@ z7TfO`eV1P__&jP5TzTCelN*pxGkPvW-*Ahbo?cId`Dnhd4Cew$X@(L@(lSv_%j9pRkE3^6pW+ov8{)bRq}nqiYfkK1=M2d0?J?TFm_y2@#9!G_ep+phKp&iWM0N{j0D z;oa+a>SWrEV1YKXCvhg4RyiGsTMQGJLA+0kOOBlR+sj>)?NtM__Ply${7zeaD2dth z=(anZopnrwWyf;MgbVhXW*AjpnCHRfl~sJ*rQ%#eF1!3A%Bvu~fm6Jvi>%hFi(gz- zV6JS{DvTh9~oStK#Ede*yJDfc~3cyLDek=abv=sM+{D@-^a zbS1KYu&+2}zTS>`N-bK^z7gldwpU8vh1;3)JYLLRYC3J0U%BUEN$q*O+HQNvqLje4 z$d9T=)^#!x{Bk!e4?g@v@a3hhqdlz0!~+YihU~kwYIDVFr-N5mJVxeP9e=^y>GGnW zK|w{DA)!+Au64rW;x{fHWG1$fo><>!A4X=#>TUO3kaQt#?HaYN_xS0Lr|I(sUbjkN zFiK-9zHjpM>J0G$U8O6feCbiuTNWA3ie@`8DVaQ)rs^hA@h3CCl&lk11GcUWHu2Y$A|8VBJHEK(l49{QK zAT)56!dP+dNMK2XXaG5BFesTp=c^9rRpVS zw~{C^;{tiMzYMqL>{+LFW;!d)O`e&;aF2W@aY4v)sla}Vbxd1E&+cnp$HX*H$#Mfz zvQW!9+LHL> z_&Gj#HhCUAuXLr7v4)5J^#*m{3%sjmru4nDjEs&ua@bEz;@r|8+*KLzd38eD8)W3- z`~=%pa}=sxT7K6gJj8Np^s)_igB*nqhVz#y+~;<$9`JMDex!wat77wSfTIbF=m8rqeJQ%a(b4tiH&+z3E zYOb?P-R$);@pfkfALV_ya)I-Ld7ias@BMvw&!-<6J$+j%v0Jz8^vjP<&vMprtQKY~4mq0q{-K`Y@D;Y?y9eLK zU$QnytvkKhH4#5$_FEInLFu`9b0yboiOWhLUh895+^5}{DZA}>eiFj~h#*rJFSYkL zfPnac-0c zu8y$Fr!KPL3@;TMTq^#;bBPpkyqh@lrRP0mg$JH3)dzCr^AB%L-q?GwXZdw;?HQ&) zv2O&`)Q{(zL~jnvc ztWr1>=WiKsDbPD}=IF6$yEp=7NLQ0Oc12w;*v~dVyp_qyZn5gNWYAsHg?z zvzb`mu;}cyI{ZX*qoL>;@g;6I`Y#JKNV7FhnZ5AQMTTN&*+QnG!I6N=%xjLkduNdN z=wcH=xO(3z=bKRnEeew!$czxr-JSAiS+v^JRdtVof?qbXrnBvF5UgQ5d8YH~D!(Sy zULJ-Fb0&^2>BCHg^#O>apHxkB=1? znp0!7Zd2o6fouBd%=Xo@dYWX-YESd8*I00>luc~YrcE-~y`M>@!%J%-9R#Ngu*}Zu zHj7g^Y+9v}U8N)JsjJu%zE5Dg?Bn#cO#7=8zj$PRdE68bd&*RG+qSbYbKID=F3EE$ z2)q@vKyl^?-Yl_DN6LJr^Gwg~Gx5}JjGwLQbZ9nH%^}NWP6Z4shSwfWQ+0Yh$h$oB z%BWjk`iz}(>dDKUhC_@j{7#yR?Wr-oy}!fVM47E}?YTIv&x=fNI5&K8^(<+5KE-E; zM&_)&1=r_!vAbsN?;|(TRAs@whIeF&@v|+( z#?5KdnzpHiwRD~0d~Y}1NAuy#XMA3xY3;pJhMd#o%1s3~)R+%Uv58wM?BB+`F!-=( z+EI?7CvO<>?NWFR_J$hV}xf*wF$Z>k~&WGcQ<`GhezN-yOB>L7DE*wad|i{!(x5 zb|!r~Dwt7|Wjo5;!;0}6$J_i#*$9}_Ljp&PgNl|g=YvEbN|H&F%=y4jPlNw4sfU{8 zLi2Ga$TY!HKs#SsMfxy)t7w&mi4w%dCZ*9QmFTw;#C|PXXtLE5KoMs!xO6#67K0RK zm_SZQ>L3Xm%RUAhh|I>75?UN$qM~O=@d;X+e}I%=5-X_B3J#_KKhUEo{*yFNP@tOn zG#H!7%MvXIPLK3GWaQ~PuJlc$qaG&$Mi_~K_%+q|T6+Xk)cNHRreA>sq*4WKgePD% zY3x{5L$qg-`a+=AVEBPckyELE-YSty8LOp8AI5JL8OE<#O08x5W2=9Ollkqlp#DfM zrC)MBa{Bcoo%Xv5-l$iUY^Wf73&x50h<`S|Rxd{v?ep88yMTVnN04Z>=JIm}IM1YB7@^ zTctWB6MQSmIMU>?UyAf#{5BD|eYNP+NYfwN{J&w*kwZf0Gdn^G)6k^!2k^_UIn9%{ zr0~!lx-iFBZ{%;Z3Bfgif*-3(2+GIDHEQN3u%t+>Ao@z_fAo+-3bNoIE*Kg#eBU9ixy)A12uzfqH#2QuJ}r0G)vvff#tO;8#i;TgnvtomyG&k3CQTgQcGv zfSh1C2{eIYM8}{Bk@C25MT;#?G+9CJptbt{mMWj4Qx#Fpg&JQeslq;M>tKiheZ)igMFn+7Zp3Q`48f!WKKbpRx30*Uw3z{b9 zCE&p!gx}DFN2EMSxe}I8xW z2UC7kNkppS3-V_U4i*W~p|weGLH>~xiq0VDBCp9UgW$l?TwfIrM-|@q^7@(2f|dR! z7D4`9){;#Z1nr!GYtt zzG);?s^bgtr#=l5z`3PIHd=NzhE%P2{0P})ck^K(daTG#3E5mv|*k=wBV$eZ>mU@^Z26u zsWXGbVbR*8w=?t4i1wcnB;BU=SR}}XHmKtZ5(`d;`KF^(sg5tmpL#h+mn` z-+A9y%;dZrEI0+`t41PH9aoTO@XAE`7c@{MUJeZk3~engy=MaSOJva|D9Xb$l+9U8q~>c zMqvpgNwDNb(*;B`##e@)IvPlP7o9};Z&C*OO}UZ4I695sgv#(I&Y+OO#B{>{^V+) zEQcWy9an}hq-g?!j@&_O^*0UrUo?;|GNk5}5fD4~PstDkIz42F`^m}^dTKltB#4et zLqwqCi}0sQPdMrw^cEpe0F9pgJrTn6D3C@AvZGMvl6DE4p^5=V#Zngq+0e9t6CDOQ;V8I{~7lF&G%M zPP;^XJrauhwG(9O>%kB3`d6v^SIkKw>kM~#M^zabqY zeFN+*j-?y~y_3W%^)%ogm)>wcan_JFF(A2ljE*2Z7{5(a&=b)d^$yy1(u?N)37-vG zE)eAk0EQA1nqLbdUci-qk_SOu4ywA)g$Jp=Gi|uXgB6BE90My<;Ny!J?c78nrrtqo zlRhH;E%N(Mi2u5|*(Fk+}lrw6VP6w-IZz)k>_l98ZAsu!T>>87OV22OyHlao@?Bndz^)g%ib zG!E{7=gxqCM?4E0Q2_k^H(Utx2zqKCkk|YYWzcU9k00xP{tacoG!P7)GM4QT3K^d? zP{~g~8u+n}3w_vLYCTw|2C10Hru~0Wpp$11)KjI4KK#T7qX`Y9y^mpfM1KtBd>@v!#0krL zWaeV&VD2TQp!w~UA4C5~%87oq9obj=-#=n7xRNf&o}4!X>RwQQ&kvD} z;(UB$BR=^=Q4xPVnDT#P!96q@lRmZ;*=_khfNXThpDxHA>%WbE0t7*ti242$(yG|4 zj(nuqx0*NxWZ#{0^Lx`;(3+~wwBhB_2w8lDjO6<*3mHXp8k_BE-A|P5{@miUadYNI z_3-W4^;0gNuPVhUxcDwSR`eo%*oTnvVrxCGN!7_N{PD9k^Q>YM9ju0Z7LV#Y?C&=@ z)z!^^Q%Aex#zmQt-M*X-sp2LJ3T@7aJ^9pRR9pXimvmJzX*eQ)^1eDQs&4xmL_|qZ>9PPpdS)mE6bUKm4gm!u1nI`2 zq!j5AK^j4%Q;<+XL22nmT9B0RJu|~R0#^~P!~J>R`-ewA+cW!|vsdo5)?VMKqV(Hq zL90c4)(URsuNRZpuN-17C=I0HE+bVJ4^NtiO%3NvRc4g!d(y7rPp%$!>^Uo4h{=bF z#Lz_N+|iOXQ{%SL*&36hOdkXdYcoZazwtSk;xsTyw0~qZrSPL0b4oJ&)SPAfgyKuH zlhl`sEpwi6#b?H|RN5Bi1rzl!-Z(XPthMmU^34Tt+c>Ub=RL}NB>q4fFIX?0F-eHv zk*-pwrr6k&yvj=zMShm5f~1$xI@FwoipJy2_h=?Pmx~wob-rm&&hR8@$>)BR>V&$K z{|%Mdd+2HZlf;QB(&db}%XHYc7Z-(623N%oH|WmT*_F%<+%K{!#d~dyFC4IX3(e$(2~pG8<*4+9 zM!$@j#1Mv#_D>TXuRN+g^^IjltXSJUrOg<%UJUCVo?m0W_1a12`)!=aWRnK8DH8Q7 z6Djldwo}XPBX>U+7cXMwIGNwpv{IeEv@$8uhVh6f8S8LMB?PB#ZSd9L%UM37Sc?=t zNwkY3pYye%KlSwXpR+sVD~xxejm}6`I^;Cth@g)MBY~fG{h^R!L>S_BIEQV@PrnVj zWNP6S6=t|R-;5t)ryh}Kc!9tZYgyyU&>i36)4|RYJUTBi4{3^KzdG?+E&+RAaJuC2 z;fJeKr&)SKJuqpcO?ntCAl@JA|@t%E?j#ukaji(sR#7ZX$gR)Z;y) z3l|=X%^o6Qy!kRd^Lz=B-dIUYITy$Tw=PO4_4d1Dlz~qii{BLuZ`X;u9U4=8`aI-a ziQ}xZ<<$~LYyYgmNcGl*wYMFdPo7DFvfklVi)Jw#))RIdd3)n%>0?a=%k1|i3B&|_ zSn~WA90GO1Ipo~mLI?<^vmVUx29C`uUnf7P`0T5C>9~|An54VE?|gUDK+3iHf_}eK zD^(V%&2M7BN^^R{DAl9MmUknF?7wz8W!);OSt2Q%c-#BslYQLT%En{m{%WOaa*6qm z)n3MVdXfbPXIY3HIMw*PzgE3X3Pc}HWN-p?Si}&khrC5jJe(uKYYv>u*?m*%toK*v z8}#9{<(<7NS6NiP&mBv%8xNoNv&UV|3Ol0IG0RL)IP1)#%AMiVX!5zoK)A=M zoftOeGdD>4+LpW~Pu`J`;4PE?f=|SP>t;HyU6qTqcq#@r=B9!W(b)@!TP#gYFM(?F z^&R{}Eh!8`--Dm+Gm09*M+pO49#Wcx^q?rI$x5TPgSn=pWl-6HhoqUBE}$;Y3{=|s zG>snY6kK5J6y_T&NZ`eI#FWJBlWxp2!m#pXjsHljSe2G^yqu}1QsHHHGGc?ncb-|d zcwySO(4RqJR>l_ViDG8K=z%iNc_lgJDd}kDW%Qm3K|fSxCMF)WwI#H}qmlE;r`{I6 z&G0XJ_KCTwjG`z)@yj1c2m%+P%-xa@jD0e0X8a^BT0;#?GS}BPnb7hqbzx3z{IFMC0GW7!$xwi5 z-xEhyPajiWclUPBp5X^hsP?@jRuqKar#OXqvK5S51QPiJ@~zaoACM7Sr0Y<03xeo- z2$Dik3a_Iw+;yW&J$lE@kY4nqMg7f7Hw64}(#mO{q&#Li5q8f;2IO~anE&jQ;01P` z)S+5+^AFsS5{?ef3WnL8XF3?33g3FoJxTOAxxcy76H?Yq4|um`<{V1bBk)!3eL}t$ zf1Sc-w#P!u1=vZBij{HNxLBEU6wM1UuD!xyb7l7ujPkWE@`q3HX*!OAIG0#(bJxS#z?mw@;Pfs~*hrlHgm!=56a;iF4&GL23GZ5ihNi(V$m0bkog-XQYt(rA{ zHw%(2VJ0j!Zq=67s>XGac-gn#^zjS*b7)M*^20F-^o7t1`LmAR335!&ds=OK$B3T( zi$&Oi(jf;0yux4${+^186coG<12bt#16;EajB2fARI6RLgGtifeI>(ID{OgZvT&7? z-|2hykySgsFE!`N7EdImm}g=J#Q0N;PfK!yp`OyjF?^pID*ZZDYHok4PNV_Thf^r~ z#sU6yiLhM#+C|~?uGmdoocqLhU!Q`ebzzn@M|qbtuJX8OKos7rBu8)*+NyQ47p4Uu zV|SRA1&-dsZIP4va54Jhb453*v8jthepe3W=jJwPjTgw~2-AsjL-KAN5+Y>kRX-k4 zM7B>uzPQ-pRWL#Kr~S&YmM_!&Rk)v@Cab;k)slRk%;*IP!3buP_;K}l-)u=Lf)U`g zs3|zeaxE4=rarM?9dVBF^t5Mja(QUdP2qO%dpxH#Ux&+*t^4=y(kYWB^n;^WZ{Bwi z(5rs35(li6ehD!pTF6>t3<<$Fro7M{_4z~^XNuIsgtQE1+Z@~?&3)s5h0-D-A~GG* zOs{nrWe9&e{}ym`P7Du^zRI;N%#^ey>07I-r5d~xC!jH0_=2CB;T#85gz*(Lp@zm= zZI!a%XD2nH@(-5R@V2Q*#eTlPP7tf)aKU?Y^trto-_;h3Mgg4$^v2WWtLWKm0rY2z z1L!(wTs^Hua@f43K*a(2UZHcUA?)>D?$fcPsd^5Sx@uArb;9ff4aqKAS89_3uCsDT zk638jPn$2P_A66=$xh+?y=^cn(v$UI!>sbk$qO-Ab&1+d4!aTWwujCn=(q5 z_vlw*$QX##B#DHe94o66Wtt)7;0Yf?dirv*5bMUOKKJPQLnkPS7M!?*Jt*%^S_M!K zL`S-odQT7th<2Dg?Y&YNcJYG$L^Q8k@4@`JG_C4N?ErG_#Ot1vg?^-wx>0_lQM#}E z0ArMNB}u9sCqgdpjdR4Y)WkCteSS1&)Zc!SoPGR7pT&FOgb ze5F@OB_2y#^Zj#k%~@iIY|3>>dN%rKB7>s4pOr1}k5B+i8{N+O%JE+FkqCNoemV9N zAN%`6b+4S!HI3vtp`KT^H0U_w3}{6Vt(O!il1t0*eFBO`0xQNHJ&_7(d45sAG!mhV z;vUE!L%ovYLFRWQ7v(UK^|1f#(YpFX+9u(#-~}hU;;{0HUIybA%qPyU`f^f`+sz)n z^bwQjyllc#f#hI+LzRkK4ij}Rh@*7ZRy-I1W-^R=bEGm<@LQM#RghZz(_D??@~l*KwxwxKKg}-u+ey5Pb)s0S9VM6`N9DAiXMM zdSbAruFRM?bEB`zML?<;L#fLHw3uTszBwGaJ$TVeP%H-|JnEB`uEgpl?T{{r!|Ty~ z1w_9_kQ7)_Jbu5HT7E_apxKh%^5k46zc3*fV4lk2+}s7;it!Fsg9gW*rm4xstF5`O zD~jZ@9qqjAPdQB{C@o}qUC0>Ld3dGB!E^GZ=(FR(LWyQUZ>SR`IbNc^?R@-cXw~9< zf|62#&_1jTyduZz2}bZ=PWHE?KaRI{r-T|fQ zAml5moT{M^`WJq0E|l|P^h}A53r>W2FZm`&Qz2Iahbjv zS@D}Yv#d!!%_8_BocxXJw?}!_8ZHhZ$-*C;SBxK|oxOMLm4{`a(#Hdn)a@U8I@%RL z^pgZh!6ilGXutw^K>fKq#jl0^qC{QC{H|0M<>nGEFobKiPfk}!H`!;L?Vl}c0td2W z=k(9o&UIAy2jV(E_HL(9N;+O9pp)K&%`2T3p)2QgR*vzm=_j`kv7}B3z>c$B$(%Uw zib6hD8er1?BYj7_?5DwRiijVZ#$wikm^uwybvpt>@mW*lvTWWAQt5KP0lu@E^BMb> z{)F1_2cops)zs9qS4O+;ffgtq&EK)Y6V6y5ygKA~Ic|Aq`~Z_vvE?xLJNin=EIjAqIDX-;|wNeCi;T0vED*D4*&R4%G_Ew-{Oa_{jQ~RvX(XCib>9n`IFbL zksh{WQeB|&kk(2S$Jt*!NU6tP8AS@bw$6pu*aHc*k-&S$0PkH|ai0QAKmJs?_=+h< zpgPyp4@;)j?S`KfX-F%s=fv^4^?MPfl@|lcHbB?-WuINTB#EM2`%_;DK{`UJo_+DR zuah&Zyw;)WK5|rosOw13JP{{GoD}QQHOTXIZxD@ z$MsF!@0Gw(F72~ALXKY65c`cpA@mzv=jiMhfd%eD%S2h`n}C90(!l3mB;8oESx02% zn7k$)^wqmwDQlD*@w)Po^bz#op(PZISzS z%hB0LbC!kwz5j%%Bl8JN^n`w>$)Ibkvl7I#mdPy2MNZA zSlOk$l5W#C=w4dzckzpEd*f<&Ml@>iom-*4nIpSh;bHQpjWhxcIv2&=?ME?PTT?ir zd6eFYzpTGQ>GdLc;kC`_OiSl{Rs))VyWzU08nLgu>9eDKpU|q2z=M%H%oUfwo^w3R z1FeX6(cLg)5wQiRN-f&h!a%II8KXbCijcp*kMd@z#aEn24Q}U! z_ICvxOy&=lMH}~b=?@1U>92Mx^v@1-(5@CU6mE)74Od^3E-B(Yblc!US(J7xJ?Nc4 zdAYYXy*61z!WWfFJ9`5BcgNW7vYH*>$n-3VUl z!2?S+m&Q51G!3VZ4?EQnJ}P=o+w1r!&E3P=71S9(uX?-GM!f9b}Zh&7ewix8zruh8j?RTEfeUB3_5Cvr)uwYiWnA6cQGt;KNG&!W9s8BSH zH-XcH)#^HR+zLDRz8Ecfy=~?_67?j3Rct-+wlg%Gk#WTMZJ13i!m9Px?J|2w4W?H4 z)&j-te+m!A2H9DDayRf`Z0iN7+vF!<1>FAwkz3?dENuen`u{iYg**@owoNc&zs^r` z>;i(0ZM|rH8_wPX5U#&5KM98?K}vBjY)$_MbOAg8h>R@G4gtY-1KY<21Fw?VEc4!!e7%{71_*e+zRsENE&M0U zm|s7Uja{SiZ4nK~Ph9EWL1e+7xeM$#w)Hdj+bGga09pTc;`pa0`Pg7B9Drs3Y_RkA zyE**J25UKioGlm><7qpMy1p5L>;mMB4c;VSyD$Un4rDtodvP>>kCZ5^9RiXF_#0ma zLBL($#j&k-1=vmqk?+m?*jH}sxA{jt&R$UuZgP>~iEctR`-##1B1PRci$HJp$q;>27);KsXZt1Nc|63i2F8uHTq? zn6tJUBseyBGlK05J?su-lZ^8?>{I@%0Rv+H3-r|h(0dW*|97$f{im%H8yrmuI2E>I zw;ztqg&YO2Gy4xmVMCl_+a7Cg3ticva9>*$z()K3Yqhf*B)Bb41w*sMTc7%yItKXm zAG`}7I5sYLym%W|2X+UtSr|F3*5JETpe+W#!T&}C=LZMF`g!bX3xYfpBM_|l24aDK z!b@ZEkuPt1GJkt3G_P*Eci2bfmsHE0bFetM}psh_)g@Qum7OM+Q2L@_ZP}@ zFgIp5dJhaWK}gy6fOd#|wF?w85DeOCdlGImp~xZO4;S`7NX#7@Dp)TNBoPeCHVF3r ziI}f%b#@L`27)12ZBMU_#u3@{`rEguaJ&#?I2j;V4~`wsZpZj!TWAM?21K^1=p7mR zokMJaSbk^f;ddZD6Itwn6jKlAhF!R(I~Q;Gk{}_H0osIW^%L=iS=625Xn|mWPTLCi zCden`Q0tFW@OoATXkNm~1_uw=9o2qVSU6(DZVW5(z(PQqP_2GR$Q|Qofq+m9)aL)% z!-n61T(SEjLhg=iI~F$NVIP1tAzA&BMmxsN0a~S9lk9 zsHnmsEV~jm-kOf24S?djdtZA#VKN!~MwXA`jXr6xEI~#kO!i z1d5HZWlOLLiE0~(+d2Fd2wwTLop#MfqnZ1ZRY|=P?xAKPLTOfyRHhL>RAs}pD$+ioC91y&qYg_Vd z3_bjzmHh`G2N4JO+dZW9<8R1_3G5W!XcyQxTd4;E+AZ-O`a3CFDa)Vo1A0j*bD zZL>kz1OtRDoLgh>SIGY6>7DY}fkF5P(X+wh1$d4T_lry+C6x>&3xP%p|r= zm`Tuc2EY((PyEka(CIf}Cb2;QlAxCja{*PDzz{sNvpzi(APG_XhZus+wO&nz7=pek z9McBzM4*O+l?_(N^3SURr5?c0rs;uF0$>RCs=M3#?>IoSemoKxnr{={(I4{BKNjQ% zL;V>a!PCZE(Bfv`0uXhN))NksffO$Qs^X`r z13_V6Xw&pS3=$aHG(8Z_0)}8&;eY0WP7jNe{4*C6aRag0TYm{)V+RavoPKAT3B(3{ z3E=WWGeNy`U})1z03IJOwCNiGCxI2T4wbn65`e`4hBm$g)L;NZo2CbN0vLi#zgJ>| zyrc|_#gWUvNOND|P6-Goo&wer_Q`v*o*?8ETfwJ7tmjYE5WD~hfcM*opaRoLKzx~B z7Jx5MJkA1qrqFRPfK^~_p?VwyFFo6CHv(H6#6yu|OMqAS_o0i{2?taFv4Y@bKzl^E z^`$|EJ%&w%+%-hfQKP+DJGdT0%GyC4Kg8Pogy~^B@ZCT?f#Bt4+wuG+fGOngJhBxR z0Bi*C|G(jn{*#OX!ONj`k$M8b%lweyQo*MBEm?N~2DSb<+maP(N)gXQ4q5+5mF<=A z!MzWp2;XlUU%2q?0ssmGuiD#|O3>H;ucguk@q&4LP$`AE7rT-(_}&016ogKNSk0fP z&-HK=>n^~dK_DEH(uLUv$Z4*`%vRM8vUp?e`d7Ch|e$D8+!9&`@=n!aTVN0xj6K>V7v1-8V z9H6aOH8)Mj-r~RQ#`<8L8+08t!h~v)?hJOTTzLNFHaThh%!|@z*q>o$iMz$s&$nCa znJCJ|P%w$Sf2TfkGEiUUdq~lsiEgCsZC;+lYRt8tj+L4e`*y|dtcp3kg)mRU?>S5> z6UhyJRJt#QtaKY!s451jfN3m>=a}PZ`S{u~7||+?_VSge#_1bR+A1$s;?L< zpwbnU#Y#>(OXj__bk&SYN3B5HtRiDz)L%_j)F!Qv)X&(s)#sNYchp3L#&RxGSTK{g>h2yb%?dDMhSbW*-jKJ#bDI8gQfczL*7Brv$yiQ~VvAVAvEgLx zwB~%?W{8Vly`Xx)P1%H3ne(riJH{jy%~K5YoU}TG3uwI+`Sc5i&(O6zrHG#W%!-fN z7FeB?=5laaKw5sXT_zNG$J+6XSBy9L+b%?w$5d549gQ28mSi5*vzwm}V;-Iu*LI4V z6~90BT`f2AY!Djf_hQT2e8r2=x2ELg#&tF7j8RY3%z0Lf)@oH0>Etn4$iJ)0|I{~n zbme89Dzm5g0xG1SO)c-L)M7Ws8HN{~SYPaU>*_{7>E_YsMWu?hdqC2fQrl0NRgkRA zq>ec}k)B+97+y75;AwqpMkpaUuJOH(kkrJ714&MpK6*}3ce09NtpbjL-jpgj5$RT{ zQft#NzIP4DB)><3a_EbW%|y+m%V<=pb#?EImPppV<0_fWX*uck@(e46q4KV!5Y>!@ z*%ICAzgS*Eeq%!6c~*+)h(=^!dk~jeIljTY%jB7dvdi^z-7k&N)0tWXh#3J-I6}+# zUMM`XqqclVGN=SwIFziXY{Ja=KwzPgjnVVTr;fbFfxfJ`++Rdr+I(XsT06=VZ~B7o zvOz)w#Mq&Q{++T}ct|RvJoEe+Z!#_ezrc1rm%clpH&uy)$*+?TDY;Mz?LRx3j-sTm z9vdPCF57R=G}Pyv5ka0Jdg=b@3a$?Zqv?VUt6K91KG-dMJn=zKJuJ)?q`H(Cd-iD$ zhVbh?p2PJSABx{*9Qm@Sk5=vy=_>h1vLLTKVYGr&v;Z4Lcj?0wR?=K-2fs(I#3YHL z0YVb>>8}1!Jg3Zf z?dMN@S?!4LGq!Ilr z@i$1u<)tf6ahI^A=ALde*H)|67HOhTRExF@05dhwaJcuj#kgM1sEG9smr@h8uO9LHlcr0vwcgZQ#fwf$Ea^j;kNM5dWt5JoH|+u|^0E)95DvA?zw|mQ ze#o+eI4<2^24`vJ0=8^s>SM52>$UD+UZSEZ)mYHv(y4lEil^4ox%`8;8sHOr8nL0T zj4D*n_(R&}I)tx1PK^**J;n&iW;_QHta(m$D)F0gplPpq0-tcN?TgNp6sR^qx!(^yIA`RcQgr|JH;!>P`mVP(rJQC)>>Mp? zUb1TjDAkN)pFJmFJRa-bd>?r8Px(v_!CqKd{6*B!>~;RG4hE;91DoY#8gW0gd#il2 z9J|xz&w0wA!K~XAbkC`Yuv1j}RB@kEXw<2;~r$ zI6WB00x|X1QhRsQj>gwEVN7+%bi((vRe-;3$~}S^^>_VkQV%a@urf2cvEbdAH%PeJ zca@Qy10|ofhm6LfU%>){XYriFtzdlIrpM=39kQ|wTMw6do*&lh^vp^%J=Gg0QRD4Z zC=}Icl%u0oe$?)?uT4U~YTuk%`7_G^e;do7nweZHms9mdBg&{BJ{~~&Z6m!2#Xaw3+Ppl^`x*V}+=;!p5iZ<{&L7G7tRwCP{I%-}=?Z|yi z6B_n;L1#5>9!lpsq&@1f}4 zP^Yf&lFpO!NXDi)3DwXYK60ZyY{3SlIxMj&=loxbS9_}+kWD&t()0sOF71`&LQ=+a zaJ?%RCT5gdt$7l7cafS2aU)#j`-!*=_p9WCWs*!UX;sH`v_9j!8a#8nCRd7fmgVHA zR1mt9@EE;FM)~S#ADfVaPg^l%X(~t-{9*>3&|K(ZN$JC1mY=Jz3FC1E1s=_02!9zK zty4x)Q^e4JuTbi(_oKRo=Y!nWM8@fLcny~^>-21~WKY(sEis(rSR<*C0v@{qMc0AT z{UK4RtJEJ@9YD#kLDUaR<{9K4HRno|-Z~K{v>E=FMdVP5%>LC%e>pfrS zOkNJDI%?DW3B%&pq8K@YkH zUY|SWB`*4vz)N5NSN$E!K#ma(`l(ozQ^&l@BbRT8W1^p;y`g-DnCoH<|Le+Or8h3t zQETMWPllChEaie*s}y^Jc$q659Ja#=6YCLQAAob@3uZDVUV6Uz1d%h2?Y$;VhI`2L{LcXxa2pCpE>ENq#AsLWz= zIoY09gR9`hDe+IZZwu~0aKD9XfSuwba=7Yor0oAdE}G%^&DB+5p`WQ>m_Gh?W{so;!yi%;Ul z%~-+-*jAGG0yTxV^5RE)b+4(Z7!P-JKHK+rsowamxo2O82}_$A!2&uRH7Ca%+QHA@ z67ygkwI2LYYy9&G4XR&mb=HcG)6?0=sW(4pkjE=2la~diL6cN5rnSL66F4FKxPd;9 z5l|>fR)9j${T&W>C z)MHD|Z#Q>iA!s>`Eu-K)?TuFjIO4~bl;^5nn-qORX`(9)zH&NmM$B0zh2n8?Oh;u^ zfZS1mzNZpt)VM7=y?}dkB;It@bm5BZ3{Gx!Zkisz6FsM!4tIqwn|yD%jdmnPCRrrm(HKBW%QN&eB)tQ%vYI)&)JEZ=_;RX z-HI^ivZS*q59U#@y5$wclDCB1RuL9Cp{L4ni*}Hhy%En}%rHZXiP7!WBxBkQ;)_ga z0eL4J`0N)+IX0A_IHaU-aK}4T@?R3-3;(RcBZ ze@;PVwtl2{(}TmQWLWn3*u=ev5AST%#jDhP_|WpF9_f^qp0@Xir5!#>3@tf zzdG(bc0mnBUrg4gM}C2qg1=&X@4UUdfWBg0X*+&`tB$JAl;pPY(A9yArsfx~PF(Q~ zGKFNja(lUVw5#&zXFF$Ha{Au%*1G*(w`YK1;G@MQ)R)DTlYP?~_6Oc&2T=7Mvdl

+6*+ z8MiTaaLP0P57M{1*!}8RjTjdiFJ|VY-LIUeh%m>U%)OXscBn`A_7m>$N)?`taC8)d z5Y)!8^akyRrTo(z166!FhmTstgyVF)ju^zidzpOt{SkVP#MJ5@r404ni29*q+CYV6 z9JLRW-dbDBn5tWbmH`a5*jbKT6oMg_X|I)36x7dtTOUdjUb06=Q zt1FXHkg~qu(GH9l%B<10^oq#Y8`!SNGfA@hyef!nZtM_k29nX-;!6L$qzM6)wcz(t4eglX> zjl<@-mbj^CLV+_&z~IZ&T+ve>xJ5}~@=3dj&s{d{BnYb?!jjR4RE25Bu23*}2u6j)v-Hkxa2mEy z@27E7XliSlv;-_I$gzvQ`JnalR@ z?rw5~Fl~XHV&_f3KfhKyyMZLwO3Grmga3ycbmX-w)s||hzh9O zg0MlDSRlvY@9@has?Cr+tkCkjtxttYJVIcQlN|b^%7gVHD&X)jD+qo98Bs9_oKOXZ zHWn*FE4z_Z-VFkX4y@1STJD{ccY}a627b7)Bpc|x0Ud&sz#wY5fy(aRmv@5z2oB(f zo4#?+%exU;6&Xr@hu}Qyy8s#htylDKqkv&6{rB-OfLOr_f&*LZPWkS%Fdwvji~%W> zLw;=waJmD!0TJgJ6ARrE?gaViC3#)++?!bq`d#=Kt2tC@d0|fvy4hMd?sn#0k zL=6mW`XEl|2LYE2F9AIm0@`#i1O(L_(94Fqeo}}IG>h6=`VKt-0fL`^SXWbKar?3%*a@(|&Om?xt;Y(z?3o~d*EK9M2)8GQS%|a0 zf28^MMu~vePxT;W?VvP9@ZV2dd)Tg&eHXYo>u$w%ag05|%R*As7u?B*cCrQdA7cC}DU$Y^m?4Zx5H2ti;FTh_&@h_) zatpogH*QBIS}Id&DP`cyMe|5tskP_hI+^Z#X^!9+bdGRcbCWzv`yGo$YS}weDz=(A*7rH`yGlG zg3)&YA+&|jf0n}7gyZ!SXA$w#T|nP#dFs!SZ9B!g+6D9t=(l)R@H-Hy1X=uv2q*pR zDj9hIukct9VjZ{PnO&gWY@yyyIrTSY9PVuG0_z45E8NZu?1d3WY(oK#`M*fE?KIvC zhV>RiPG)U~P*=Nwz1d2=pJdixU|7HB)7^lwYT>b4&y7fCIXV6ASzP>fsXWkU!T+BgK3odiB^J#vl2EE}|c(!bS2 z2@LIC0=@ir)qgw728OmhfnI*h1?``-w@sA5>s?%s;nl&y{0PqawYJ|4-VcZizO>tD z-c2xBe;0?e>Uxd9dl7}}T-0|T9afFbzi7_RTYK(P%l1m8xirwez~ zG71ds`~toF&?{gl1{L(OVQ6Q0vwzLhfuW5xpci1Sz2a`TQ9}xMBR?pHG=F|Q{0y`L z0M-*`2KHz@k%$rm>lwF=&R>Uk+XWgJ=(iAW@EkDWp~z8Df2Nq=ZVS)_fE5hyWw2L- zLtYT!?}f?RqqRd`=K^@a0fPU2;^@FOnBZNY(Sc$3cK^8T9MEFl&6@@I9f)Tlhv$*4 zxPayW0RO{`%3jeBX@&q^A%a-XU(%2T!o-D0$iNButAq>~25`4M3871acqDR2_(y7M zuZRS%2||LkhS%&MR_s?q+BpIp04@mgcz(T`fZu_5;{V4qf-eM8XtdFz`U#EJ?r&?@5`hpA|t0?KVa&x1k+7gb9pLgD^t=l6LErJ=@W4 zW10?GwEGc=`YSaeoS~6HG1&SdS3mun^8x1o*oOc$lI#1r|I`VO9S5C}hYSU;bwe!b zHevu_-JrstGO!8?was5iJb08B8J@;Y0poUo|Ak04txF#}v}^Ph69r30{m)VldEt#sD0siN z5Nx}^QA4C(x6z$2WQYG*>cP_~NRd70_8hT}+fZ*8FlvY-^>)SKnZJ4*XFuy~>gJp+UcY%C`s213ceKzjw zk;Oj$E#-&nC-ISCcsJp|ZNo9UKn&VSy?-q~gqMEofKS0(8&nP)wdmI>2kwom^9&ez zB!4TPZZ!AXeCsYCMGzG$>raK97~lHTjm8ltzeu1@d5(M+hcG4&gTLrhl{+`ZIE_7fV6mG+|8tPrWEmybB$I ziv{8?Xz9`>I889L5)^vbP#FarECPn$(Yy5@LJ>B>n-Dg^P=rnBWy24l2%F&b(*}qi zLZ{z^unC5CV1QmW{193;2HspY25qDO-qc6|3@r|YUN-&^Iz1f65m-hkGm~qwIu@$T zQUXHEaym8^@ESCJ_+OXce~CZ^6p(`J`da)ZHmbmEEXN@LJ_caMIKe=`n+;GgmrRT; zbc`*`fyrU#t&vje4gPyUzSe8?Akg*_(94e%hCs{YpqGt9Ky5N)Q!fb!w3h_*vhgk; zW)0Z{+z0`ZIjqpjhP$A^jgU>ijS%Q@Wawq%UC`-)QoM~r(CIe;H$tGmjnK=6yP%y< zAnPT+aE^pPE32WGjdww(-&E8Lg=z--*guo#g|CtLq{W|d9`dk2autMOFa(l$e>xE{>(8#drN+c=AAGyqbit3S+*nrzQ z2(87EZGS)sQ@I~F*2R0|osfWWZ817gK~C2+d|fd&5-iUNKI;+g+-RQe%a@F*1^rSMqTZsctJ zG&NG>Y@_S?Ye-!P8;Er)q;9tf8OM>g-8hT4TZNZU8fvcA=t%9q@i=?9!2SjW#=;}Y zeHDS7J=%1%XN%^G`EY~$uX{FfH)C5fUoaF?SvprX=wuZ*fc@RvK`w)IEi0v0UR^&q zitNZMbFwo` zYPVkqN>=@zg!96Qo7axdwkY_;mB#BAe~7k7CN(qda*%1hl~A- zz)9yvj=z zMShm5n@ybh%7<#(QqT%GULX1Xy39oF_09ejW4m*H5_7uJtNUj1zcH`e0An0|C_eG3 zIoAY|ZRTdUARpCF7WwdDmz|iJU$w$r*JUwL){hDuY_Zu4wr$c&x|x&QG64g(R{C{~ ztU{*`Cn|t6hGQrrSi=kSQi!b|Nx3F=uGPg};`JLJXcWgqD;BvdmP>3_|CtEQnln>b zvbSq~XwI#LHeOAfNkeW@Z=(CWy{vjfcv6-2q{fPqSrTo=V6`KCr{rR}IH9^`hMEKK zM?V8wGx~g`;rWIKAsuBL3K`Vd95SN1Mlav^$t;x|ryiaPon*Gok!b#kx5|WZ;iH?X z>&>9lxhGgytNTatwW2>YV6#5Q&#HL!2=$$UR)L2H$LCktCYiLT=B<_Z-US3PO`Rc6 z_Zg`8U?=G3p)_)IA7~t-dt?qt>Cp3unJiMhFitD1!1g%*pj4%H3XO}d(A0=;nXmsj zzjwrp4Ow3<+I>6b8&x3n(EanIj(-n?Am3D7P%tN9`J}7}dVMCCq;la8j7&`;8T0Ewl|A&%|$Qo zrs{E^+N$;oJ7GdHEb10fzWH~Q3|QigayOuw1xjCh@uAB7LruOKid}AMG}tqsv(@hA zw|;pSA0DQs03_(KRzIdA)r;SpW=`Gue74;EiDI%Ot%PR#vEZr5tQUHd*B+j^?WIR9 zHCBTub)eX?`$FXYTX@}BjLlM|oe^5KC)()_panUfrE55R2_qw1gjclYxsJpTy}c0$ z!2p(J$H66y&_h1F`NSt4j?wF0Z|7{)JEbbfJrwF2=V1ggQ@@U-Wtc&U({nhW>%}zA zl)~9NoP~v+#qU-Z>ACeRYrN>1Ir&xNj8u#@pPwyL;S#FxHzIDqP!=k0lqsTNfpqVi z6&JK3FxSq~AA40o!ee9{d+s}l{ykjHfXI{YDvRvxPf{p#7WMZXDb=#hxceIQ`~E5J z@^6YSm*&!X{f^D4dxE2t?&rQ)C}Oi8#dsFL^7zm>p_=Pu%BSAm2$5-a!>-Hl!kl!; zH*>aUt|-5o>^EOR(IWp&+BZ($Zql~nrSA>7({xuj=pXyq6LzT|T*W_WKnzk=d)=~z zQ%2qC-vN0p^CiPLr)Gp=&8#X^MEUu&Gr{v-d+zF6C6++}P1iETA%QxzA1KQbE~o;y ztsmx(2$B<1PM|WTCMP^?O6Ty8<>ke(@BJ&&a zemN!j!~+MPPuh&%ecx-pg6+=O6Q;K)o%>vsIEJYyJU!bhj7MFMb5^AcO!Z7Bsp409#eHIG_c)GcKUqyWQ?rbXZ>3ITP~q2`bkqn%I3UnfwMu`KBnQEj7FS7kAI!?q-+;_*;M7T;N}zRy!hx+|{^(yBMRBuSK&mO5D# zC>@ph;emTXO@6^eiY8IT>x$CSdYmy`gNrVP3L!%oU6-^D4A|6 z`YeiVQz~&+D~yd%&Nj6pz4@3SJDowLxkNP%c3QrU%ENlLvHZ59IL}HAq9*ZQhR$W7 zC;$peKal0n3(I!%98)}AxsV(Y(|nOdua55*hi)diVus`_U19COwhgj9$6v!gG(pTb z#%2gPp(_g&>4GIc7M!1f8Q(`HPigLH(s z>dQWVP&yMI({Uz{&-+7q+RDhMKxwTksh|f+@AI#sBm;^}o1nURNa!lTh=Ze0D0Z?w z*O}@$;b7a)Wvv5L479!9#`c$v=Zqa%EiULDDt^*KL?ZQku%b;kcb=7vk;6g)tyo;s zInYICAD~Jqn)o|u%pmRi$x>xvubn9HsFpYWRw|#avrk{2Ej4cN&g0wrAj6bTiy4W& zqB}=xjea*qmFRIholl-z5#$C^h=27Mn|<`DT@+(8e{|OKCjGp-RR$_=Ksc-x28L*4 z#ts=ea$v?r`I8bE#zar)0AT}L^4Uepr9+?(2#p);a-5=ED>MHN(X_}9P5o$lzm{>* z2h62PSW}SLqTY+w`OQ026p|+ei7BQTbfu&-KCx=)97xx7A><{#3gKP#gs%dc@q-_T zZWvmNgnC)yP2qh$?v;bl;aXA1b4GOmyRk$IYu_exld2n1_Kcr9+h$WeT&Z zvNhpA z<&~#E2l(uevG~gzH><7O#l;^`2wQ z@t$Hze*e&}PCHew6@OsGKhNv)3QLmy$_VWTOdX2(^H#YbRZ{2G*ZZlfS@CN1gq#{ zvW38{_}HqFh`{zc%hj~lyc(I-8SaKrs|o@Q0n7r@62nfYqFFOdv{%T8^|<8a3XWwR z32Y58VEIU&(DTHfj5Kv$HXcQkPEzkD-lA?c`a;4{2K*PwZ#isYs~H8X2x65>hXks5 zX6RpWEuit9GB_D49fYj{5XxFkIpEsY~bV z<>ap^b?!gP_^i(^s)pOFh)9!PCglt;rJI#{ZEg}HgK2w>WxC^o$9)!D{eY(Xbe0JF zwig#m`^8yHHGZ}tbv?pwrbkvFw$;MbE-IJLOt6Md;FO_i&_Db*tsK`;!8q3&C_SAe z)$+h$0{y`wIkA~X>JhC3Ols zQ|D`K5O#f*8d#EzuM*ec>&;cdcCsUI6oi_ys)qmA0QEq6azgG+!P}f-S$M!qhjaAv z9xopz_?p}9cILK~8yU$6rm5G5bSd|1favm**JXQo^tf=FpSEF6a*k5zAuJZ(CwnuF32@3Gp9z)tZ;t9gC_i>?pMRDa1y&O@%X8xZ&lM^tf z0c!@}xwc=dUBdIhBjPP%xEn!_D_px;GGLVFyf}JRZdh4f-Lz_Cp5Y_*$+JvUCjFP> z1J!fV@~$KXqUSZo47xf6g2k`rw;pA9#;+0e^@wlK+$)rsTYv}p8Qa4>``WhE+k%<1gzl8iq0j z21FX55=ts6mb7}5_$h+H>dX>VXfcphxih(0KoLKXClxV}#0+!@G;uXd!0GJIT$WE3yzBTlI%h43@38MzT-D@7gqzKD$#uqb>( zNzwf~ovZZgBJoIXqpADBQgR|axjxZv2BK@<4tRj?vz(G31o)6i*U4Wqo02PnQ7gLo z!sXH2)e4MfV=f{&=VJM$Yn<2_kAdi$xJw&Zj{5qOanrWHct!WMsb8NBQ3Qm^?+;)9SRf7V$PS-7XQ){f$ zzpW$yVpL<3PjbN3rkzDc=teM`N7jfZjUh2XZjD7(f^fd3$Gc;Lw0ghTM@}+u0 zp&sobd2T#w4p&&xt8w0;=!6SWnGfB>apXCbLuu}+CSQEUnh+%0pZ0aq*keuOfLN<| zxR!ukZnt%;-0i~(C9l*ZKjRHfkuc>q{Me_s8rJ7@a#|3VmEbgrAt+c;N>eL zjWa}zJ<>qo=GCr~uU~GJ`VmWttV!Bm=nz@vm$;F$1$5n4iMgsLAr9{SX3?7G3LsKSYlulIK+(AYxNJ^v$ z2|+W~+)(A5hVvmo`8NkX$&+_ko|VrX%UM=T0$)Fu8Iw7pkQCE2qxt=_9vjto-M-it zj@&a7W}<0ySPK_zLxk`}ODOX`HSm#Nrglpye5)7S7pQNat0l+D*kE!}Vma-^F?n<{ zHDPIG`kN;HfE^T*34au)pI4TBjcegr<&(;IU9&fJxRGlTQIe{`%V(Q3kH?QcsbwWM zDCj!^cpS%qILq1S-w}>Nd^3tJ<9j6C!vzF5hCsrkQ8Wu*Of>9KhDg@q_B8*QoOTy# zdtD52^Dkj(UUg|{7&QR%s-~T9VWBq*8hMM~;pluMH0jJ?KqAd=tDRQ#2G6#?093l} z_jeO{b){&d)cbX?% zIGRK!GT8_U8VuS$yPh-->hxh7W_gT{@`}JmQt@g(*@MuV9a00@m%JH>5(o_#KB_d2 z#Swph#Woxlj_FY6GOG!xScg~ra zn(;AX=J|~0>-YWs@tn`Ad5&|Pb6?AS-Pe8H?{|{Iin{ccgZFnCIZLgZDONQb+g!ZT zbwXs-M;5ll;_!4SAOF_&0m<@p{4H3w0dRd;9u5tmY(O7Kbo6u$ACBs?QkgA94to0kmUq=a5(@ z`hpPKVq1vtd(v^p0sqyW&_Zn_pxbpQQHCaw(v}=nYjF&1DUl5yJW5;2Y$f0waKqQ; zUmb(3(W02fZd?Y)MX6+TTMk8(jN430#9x8ai~#m5=4>Nc<-qR90ToF}VO1^>V31_E z#D%2|&f98o%~JAQ$RQ93Es-bzZ3>e^el3pa?<8hepl4uZQp~W#xFpcMX#dJiFdM`G z3M;Vn1Z~(ZRCqwQ?oq^YKqJJCCt=GWhw*y07Uwt^2Q{@4k&0nuM8a`-vrrO%@e(#y z^bR>O)4|=4qm=3V5441kz8#HjAfl@M|CB2*>V(T46` z`HxizwcC=TN=)MuMO2A9?JoikA#V^#j$`OUI238CA&pg&3^rhK4D~mWdFTIcR!y9! z1`GX@AfD;Vx$W)eN;z{zt*d z2R8>JqCGNrZLue~iFqJy_ChJ`;gk??6$qT|1ZNfW5_vIdlY%&ac+8v>8&}{Y5(b_Y ze_U|}d{K@o;bf4-0_2PHmFS^6ureuQOaCgCV~;)pi&_{017U(b?u!6c zrNB?Pr(+Qy!5DbKIYtQ{3P#`^3Pyk@fWZsSUEpzF1m1C91bDU=yx`siJ|6FEF9JN< z3tn*V0w0fewif}O?FBD5cY$Yn5qM{N5#T{y@Pd06_;|d7ya@0hFL(j&S}4b%TsjB= z=3vcf4io+lxQAyMF#^PIne8~Z=^zoQWN;5-aegrF3Z258EfpL#?6)og*CEe3{wxEL zXi6h47*N;;jf{-a2NJU}Ly4&r@)qo9644gMK5CDOPl?uHBVX5ex3u7m9k&fW8QvORj!JO(|l-5DHQUa6- zV)gXDc*H2TNXH}4B;rrvKrh8#%ZBWnkY!_W1qoX=8jNre=?OcZfoOe5%(-UFqzW9b z1%AR^`5?uCr3rY!nL)*Yr3n(a;Qjk9JM_;_;NvlyWEhD+5druK z@A1Hn0`L>w0)Wi83o9f7d^~W$c`u;r1o#Q>@gVdT5)HkDeJ`M1 z0Q`jacyQH;M6Wu@nO{{0Eu`hAP5+X!~={)f`GB$1;=(kz*r<2FqS+MAURdQlrSiw??t_x)ylB zy$cM#cy%o#P|^Z^!i(-;T?>h>Yhhjj1p?H8m%q!h;Qp7yL*o6({b7Ulok#*P<4Kb&@*EpfG6F6fs5IJ$}kq1%=90rr#KF|?-x zkXirbD<#fe4#$++h&eIk>(ao!J&-)X&^84O`hULnhYj`M9x)2I;P@8^b&A3RMn{3& z|KJ7ZE)W>qX%$wNT(v3%uao1wI~csf7YoS-?+tZx1fDPW>3S4S|7u>tR$D@~8 zBX_sAH?j6@+mh# zyZSGh6Ujk=g4Gac_mBjWA(^Rtmipk})HmB?z$uvonIto{!!aA4#7t&E59-@IP3R7+)CGyH z!2UG4)-Ut-Aj@KOObpd1MN|ov39*AZ$0P|=rbWd>aNQD8D`7>>n;b!XlvtURQRKhU z5;g|{30hHj1g$7=#}d2%IS_e4_HXWsCV>SX1BFvy+(-_``T3=i!y^v|@O8jEm{@pP zkko+!6~I5{4d(M05><2umITl#1OqRBQ+x@K%nZDcZSkaAHG2PoB34aWS^+e8;n){i z?}Y6OPt)Syv^m?paMOx8tQy1TO$O;ahB%j)c|ki!Sfi#)&|K8puM(JZ2b3@qJi6aG z6b4Ol01-E?kwDrbXe5};J0jr#x`VR%`gfBYM1Ly*^uhq{4SC>yIH5_P8%ThmA=Tq0 zFg-aGQ6+9+g`g?{q>sO|7+SG}Rf%5Z5K|@Y+({YN`&X(YPjRGc3B8`8h?a26AOy7} zDk=nc31;OEgLFn%OX!ViVp^j77RrB7OMmi33G@;K@KQ9bJUMhBU%t2^!PFJ1>wZ1 zug$N1|J2NIwENngXT)D~`OV4G6BgscC25S4Rw|wew=eKqs8|18?K|D%zt_ILo@F9D zamUYay35mFnqLX0sm40Slz#esYGh`t`PIa5*33lO?as)@Znrx!JB_avyH;+FFB{iR zI^UfT!|eOGLwiP)@s`FDWApac5qB>Kcr(79g7YUk-a_4GXl&SJ)Lw-2eX6M65^R(- ztzJ?SV^V1L%j{i2!?ua@V_z$ar(1sQ)G?iiP8F{CF?ws}J#U7;xyp`;p6KhSPYpU| zUY@n5>bFmq?K+*3IXs;&DK%)6IK*Q5UMAtEqeFVq)`S(GSzmA{#;dD@5A67v0$=9R zEZbP;TtAR&GX2^Urrhwllx2_9?kU#Gm-%;m-0@z=^ln1Jt*A`uqNXC6upaj5W2cv; zbhcHd+(}5%N!gK-WM@{H8N|rDqqa_4)3dPQM;A-S;K8ZEmW{D4kJ|QT*he(BTX(2z zUG-5Z{N}gd3TY~1ZQ75zboL&*84e8=3T7l6tH}*@d6)G_-s990zVlJ9UU4aCPN>Uw zz8nim8&~BjsW~ImHX;1Md*EB;4`jPpeQ>Rq)U>Us;aKOfnmTjOk!qIF4!tznkxa3P z8=)t|YsDlqb#gkbhxYiUSOkZA{hUcikI&fNcd++ElTY@*8kd|5=7XM&U3+bY6Em6n zWW#QD(rto&JuUdvOg}91&d~aG9@V?|u^sw#a^>rZu$B|b&jje6NOUu^RJ^?r&B4vj zI~~k=roMU&%cIZ?LF!nS%ediu|`p$IV?NQ_O%sc-F0QRE43Bg%zayO(}gb& zl*;mXl9Er#v}Hd#A;fK-Ctw<*c~kq@3wRH=!-F!0ReLc-_=tkV$mBhfU`VTqeCXC+!h#cVc%CFY+7N z8KI*(y4>hQe0qX~YNhXJUFX?SUqy+Ni8Cf)*87v+lz9eJT6L*n_mz9+N@H$im&H@Dn~|Rp%L45ECT<8@^-Ml{qWGbK z>q=fg)}s>_cD(DBDLjT^n=thX`xFyzfRwG;$t=~ODVFSX=s_9#2$TAG+O{3Wio6A? z8-r>FemIrYCS3Dt+wm@{d6>z>I^dN9b!oX*g8h=uAJtY^rT$#=e1^e$$(_-jWrOig zf1jBszr6pz2Y#D6cvOQkEG1s%y&xa2<=MW8W%uY}Vnf|q41RG{Wp`;92T5le3JNVz z1#IAb!jA|0BJvvqi{XM{bjc>xDIYbT8D+FrT2uQ7b1~;;#)Q->Z;T6<^I~W9TiVK4 zxATmXW7r|{z5B$3%vm&w*0-vA)1C=$IH7D_VYiL1ZvgSq!?46oqb)IH)9<~L`WbeY zfBjfhsZ$)uX*n+V>1IoV4Ac258<@q01g!NL+oX1S$(l9{=8CD*t!WwH{2(gi!lF@d zvs2x5;wN9qE3cn1@Swm>m%J|Mp9rF1Sn!9 zN<7&M@Il{0_oWVq2?erf2(Ir{ub9}zpCakK4N!Hd-~*kqZ@;VxR!dbD046pya8k7U zj2I(3@AvwWF=y7*5oeeU~jk<=wqCGMUrQDWK1PvbJ~+}`qNWv2j5m%-WPYw zE6ZQ)Y^rr3Kuw^RCO%xl*2G%BaYIXs2k^Su^!j4K##&X}GPHt`9&aD>tUeP6jJ}Uu zwyVvWXsrx3*0<&x6}_jKquGy~*a<61rMfcXx3hO!rdY<1ch0`onWwZh+M3GjaxKOD zHs&=|O9>?a8lc^1WPiFUds5qYNXEq|seLS5vdbGi_~mQeo@@1T0gwH8)Y~=x+j9p| z5Bmq=XWh&3W7j*v9%iTZocWv-df)ItmZ4YJR7aSTNqO1m z0a~P}q}f2^do9-%4@F*s?1qCdl&ZxM8FBWK+HU1F6N(+3(%tU7^yfrGg+5Tz8z}-W z$;&O(r^Xkj-l^+n;235yp>?j6+PK{9%Yj6so}}3bXOC8i5AZN+`AylSzyLbuIP1I93*UTEU2y@AeyuJO!0^2jM8PDdp1yV!6CZF{^qQ^Mne@N8gP# zXnO7aggx0cNl2!(pT-t} zC9HqcHb{@zUTV|uoub^H-o28~`Qy~NCaK`GRF~5Y6{v(WLY6*)a6Sr9xT5+oOXT-j*AL^qt~(5E9ym)r#UxzLYHAPfs`)iI=%6g;c0i=w`lGS(*KOJh5_e|xXCL92#QsqZF}3%Qx026yUxXzkpRWv**C^l^ONl`MnMQ;}5( zNZ>fS-{$UtTN|oFt|@qz6oh35_q_kU>g9%)c3)OM8=o-cIJ~Fp4cwfyW8IfkH#gS2 z+_W?!<ylvl8Sc~6`@5M%Sx%cM^7ba4 z5IHc4I-L{Kx7AJTD_n5xq?d)km5RioO(Wmn;=-4nogYAaJ0v@7UE`$}NwXqmDshk9 z)lXD#Z_ih&O#2vbPbm9%ce^oLKAhxAK6^Own)e1EWCnKoI>oZzNBs)@dbL~Nz0ZbY z-cD&P-B+WYSx)S`{KRdH_mnh;+dA0ZmUl_8u^tdrp?_Iu;CzO}o2YOYC_g{sj?Gt=6u=)Q+bbv~!=N?DrvF>mNXoA2R$MI}A=tYcVhCcHLX zl8U^g@!Eo6X21PePoEd+i33LgS#-{KLWAx$`m9QQ#4NY(vxxlJ7borI%(r`L9;irq zYO^hZH)RivV3zFLOM+Rf)*o3u)^GC6zVhZo^&X)j38Upa&6;1&UVq}S=aj%^)a9N7 zl{!OT29%s{T?FQYtz&>Y$@U#KEiW58ATROt61~>&bARuT=`pw5D=qeJ5Y^0_X?$o^ z9v~q&Tqv#jAoJ5Neip{f-^+&w*(ce~TAa2|2r1bY0YA+A!XaR&&E|7#T&ShyM(r0edt^GBPqOca&U z-o(K7R~-m%h(_n0o50+&**fSOL%7@+#{_SITt!{gA+>Fvf*xCFu)fveEnu}4lAO8F zcx*}PSKey(X5`tNBInkdy*gb9lfeb<+@8vjp0YiG*(O_kV`J47*!C)j3Ar>Ii`vISLFiKfOs>|$PdlwAun>nBJ)X>-M$9b=~v++1k~Ja~zwv77WW!=(1*cM@x_HJy-qCTDiz+Q}c5 z66>0LjD?;VmM%SW**&3MwYs~JB_wrx|KR?OyE@GiCBE+zM$nhLYgq_9+^#Ya6H*jt zxP0Oj$l^gk&2#&09xxYSKZgu+6b%%Vu`RXq<29o@J^4>f(fnMK3 z^f~qvQO9;X=kK!!My)VAUZp?0;Wz3CbzpDYHPJZbU|9jWrDv4enl=Sxh#qV7p?&Bk zq(o)XK<5--!!dCLatfw9=7%g+dOgWDG>APrR)6NvqhCuDa<$76PIaQDgH2XXNF`oz z`MfmqDwB;0ss@?IRo=S(>x-$Q$5O4rMyQy^ZcKF?h6!Z{tEb%@I!J%}&LGVZjf1iW z&$eH^_K7~!-os8tT+<_hnfm>wkL{!NdzZHF=$v%&b$uz~>BVFFGonHBvu0C=<(+T7 z>U5uFf^6jbm&<#FOK)xhT+R86f1QtalpC``sd2;PvQ3ZRK^q5$~Ncg@}X+G!PJ@xgDMUB*4rz6dSA7pLRJ;Pb)$=&4s7$h6A5q zqr!HLg97&U*QbAfak|&vEEBTTZER@dZ0U2qai_NHIz3a%vow7~oYScpmwc();3IU- z(mMHcM(9ZFvgBl|ksE9lGWAK@`nJ$F+8;hu;;^lrAyWy+(@<{*Ou}F{GwO0z8FR$Y zY}WKtUqhPL5V%C`folP z#T;-DEdDl7meo5n$tHE)Y12ccm*=0_!=hfN-2CD(=>Ik>Qt$nSBx-^3vPMy}t=$(q zc;4A4c1FVkHzW@qHx#ky-L4?F+Njh_V^f2Mdnotjk24WFm&WQHH`BdfVSd!RLMcXl z>z6IgY7qtBdycEngz$*$ud=OuCff#hWlL_*1YqZVZiSUM`&_$j-7#y~41^O&d;j|f zpNlqL^#DFW{FvPIt1nK!f(l!-(!{fRBkb-OLNe%x*J`O~$`%yufK+T6rPmmUL2rnhJ!Z-=1!lWl^HN;?6&A7M(^ z?Ds3@sJ+5!qkPSWWd&~Mt&2_->F+v=lsE8Gp$abXag+Ss*SfrPW3=4_y@?|1h3pQ~ zS`PKCJg2g9gG)ESYloS+#LS$4rCBAjhTzRK*CRKj8YOyxDdE1vyG3f-PA|K$B~&@mM_{Nej8*E9lth`H-1HVN z>o1v=Y@XR1-Cmz_%C+9I$*tDha-&2enP=neVpi*L=7}sb>WW1FeRTsRhEwc(ODrF7 z>ASf(G(9}|p08{5pg4Vcwr;=KS0Ss8E5iLr4QhQ(p9Ya@p0Xdd>@@gBbLdh+{bZf? z%aQleEkD`VVc)Manrwcwk%g94`!-F<^O1tjIWpNm9`0FEn3BP9f6K)v$u}ar;p^N@ zOyZ-9inu%aG%q(W%S4)O+n1P`dZ63zQ&aC-_urqp+wScVv1aZzPCTHqZd+w?%1znH zjRhh5)cUVctzGN#-k=74#jQa}ZnehpdwY-W|GLKN{uw#M<`uQ?x|D`HQ+?JXp0}^* zh`ciPGC60YR_#Z13b(pq?enw?TQJ%0ljI7?)AS zt^IoNyH=G&x_fTT46Q+jN5GuqbO53)&~q|>S(_Ykx>;pyajoc(!3*wPz!@hAJoHxy5d9Ur;M@hGze?btze<4Uuiypu zF7WXfxKGTh0xhDzPf+*Z0(IzMU@<6S^0?cMa~L0{C4e06x7p_RPl7-=1QCHXKO$1e zpedUr72>0v?P&9KBVoUl41TCtQeoiH*x6EX=XfIDDhk744?sZgKyX$-;Ps}$LBpLb z6?X>wmk$2dl_>+di)lob01;8Z3ywPhePF;(V2gqBpSu7|5eYm*6bTS~61?Ev1@<%I zA)-ith$!F%=PnQtMFI~IMFK=b0WY|Bfse;SM3Df&C&3HOT_7Tg1Rf%a1i+XI{Dc=D z5MX=&F2ElyP%uEB#-<3$0tCrHf?JY7-vq~iKwy$!2z6|>se)k_D|L~YD)1G68u8`_ z01pEufd<9Enkq;@A%RCgApsIlfEOIY0SPE1@CYa*KmrQzf^!#0Kp}xgKp_F($pJs% znJNg>CxHg)TOjkIAWRDcC4yD-9FY)8bjV>nf@9z!h^(+ENlm#>aS%Yz29$_rT)+wy zCIK!}|HeV!{1EUHUT^^qDoNlSRFVK7w1J<{(*q_S0gieAKjAzcV9*;9qd?C!Ev?_!koV3Fq;^za+q)@E#Aeu8N9aj?h6b0sISmJaEC02*5f4KP_Zv z#K2WjB2R)W4QspqGDuMZsdGWR9Z&~awG#0Ikc2 z*biDJ8O&jDG$0JIv%q*1k^hUm9K8ggh-J)^c0gJphy4l;aUA}s@6W+%guVl78UNpD z3CE?OwE-9zpfr#y-v)Dl+I24W)Lw7D#&-P;*KIPzZ_! zJB~!$j~x0eOi_!N_HZY3N@?$JId3s~9A@W{B08I=qXs?ck;80*sW}qU*dnWlU@}_( zxE}x+f=cM*`P$!U5WW9P&g_RF5TJ-ENy=Zyp@G5FiixR`f<+Tbls>Vl1eicY(5wsO zsgmSLk8&)Ya6F#IIl?B|1xY9VKw!X{h^dY8Da*f#lUTK(K(#>`Fg=NIEYG0<#xOOl_1; zyZ#+I91Liz2LDHPDUsw^TAX6lAq0d^MnqxIEKNGc2x4A}J@g$|iIj0OitVjnCTyUo z3UImqV8Z^JGD9FzWKhQd@+ej?LO>vQ*dd<73(-m?nZyM#FXbBg4y;6qDHZ4%nu8qZ zU!@b|h0wqHL^ss|W&uGEk*8S7B^L8Bb73lhVk8s1%u?+fZIuY9-h)-`9L9u|Nix9; z0@D*iB&I|7Z&;ZWGp9w2c?Q@O#rA^G<4f_;`_tSmEQ2iq{ue!wnAEpS=5l@#X410}qlRIV*Dm_cT% z^Pk6x5Kz+zR-Hurp9~s{SyCaY(OFRk;uB`gEl6UTZL zK`oKNw}HTH%@WhnB5ToHpv)gSgie{2BD6t1ua?MQ*+8H-?1^+jqVK@Uq>L{C$s3Fd zbMSOg`W5ABB+y1TcJv7P6&Yk22=rV-RAUrNC2(d)oOSl%)*adAk;u$&d?K?#h^Q|GAX06MHv$*SB@ZwD~cSZ3i1%qq7ctjX{5?*O*nhSF=}JC7ZcJ5^ki@HBrLt+pB8q2mJ8rpL`yWBH3_lA0nS ze_nEN{8~bLvhFdWY$~>v5tY5uL3hOtx9#TjGX_Izou)dNgHN~dvjoqm*GoBFbo|=- z`C?km-Na_o!Z@SwR^hSV8$SL0uWPuf8h(}Wl+DC&Fct3c#O@p8WZ~JuM>7=T+HkWa*FEm6sowVI2~n`67){Wt|-tk^T}{d-|*#e zg5ZcoAXRv)L}cv|~xyK_vt@~xa5 zzjkPL=bkvVTD*;4piKJYXqdo-np-b8f`-dmoc&L1k=XRx8F^}5?w5`6a$-6$4-0qe zZxd3kK!oh5s5|)kW5&TfJ!6O9JN#{qvU|5Ol@4W#{7}6rUuFLEu2GG5{rIH^Mv2~2 z2dXWz#@s@*tHwVs)6vS^8?SD?bnjkaZGZFk_YIu-O`YJuX~I@*LzkXc%vkh?`dOVm zE0lJD^=14np^rR|ravG~JiU6k>5iz0T;nMl1MC&d87F zcaFbB+^(Q%;S2AqZBTm4oE0CJ&eXf_lv5krB`gyV(o)`gCcp3E`?n73qWclqi3(QF zv*}o*;y7}O_USk~!TFaXkP5wnF7J=pslVuzS!owK>~T{tktxm5>rT8}Oku8dNu1tc zz7e`qp60GSc4`StS6ffiH63rcs-VqK=9ev^{@J5qf6PqzugfdGxDHyskkTqL4o}j* ze*U%Gi{A1W$0~;?p7NMngI(|Q^i{OeUQ0d~-JW3dwPWA-StqB2X!-LMXA>T*d-3Y# z0UFC9J$L#Zmyx2MO5$6#Zc$)5z+jVdy=am?wdp;#`?vMcbXtUt-r56Ln3y z;wlxJbL4~NPFf*rK3nIg4vBgU8GhPwamY&j(lVRk=w6nWfqU(j^NkMJ&^D*Nij>j} zO)9!>vcpl|MxP}=P@z#JUE!5i{i2!j(oRUgE!roLL+&+4tyzJ8;3>xmQPuN}EA z%53%+*~reqr*Ez`T)$GeX7@e#?>B8j@A}w(Kgl-Uab}bDIj$Gq=?hvOB~>Uo(CyVd z`Pvn(!oTWKXgpx7u1pDK3>+{~HC+R*JsqOk^9^n8UTHjw{N`{-_zI0fnZ@?)I&Q2- zbl*~!@6IoGdo{`y>{Jj@k=f;_BA)zekHFBj0iEm84g6{QnpzDtC#k-}YA@41^=Z&v zD;t_Loy#yB*Ve?EB>X<|zJd0t3BVVYA^~6M0(@aPOMw>G5*EN4p7nCytyx{{=DA8& zw5z=L=e5vv{b9pD4xJLHT2UC$Tw7Z1f9cX@={DD13&v$Hvdpe#`zh|UgKuq9rdt0g zahGV$b&(e5!5$lZ>h+(_fB2*x({{6*QuA?L53b!65jhVwn$jZgQs zeuX#Z1)Hwtvr#$TtZ5SS zVb6C4!D0oP+o?#Q!(q?)#p6XMueU|6nOQya?pgLOD#qSxt0G3%sg7=3E5DNQ^zrTv z%T|ViKdbL$F+}m|dF;2il*D0svB%=^A;MHk{N=vz> z7D3hDwrSzVb7U(mkG^?oX*XtE5%)6e)rDp1x7**`4l;et4LtkRfYAHzu>;by%#6in z&-zvrXin+&8A=6fH>~m;Jp8-ANAmL$8i@hB;L#4Z6(U(>3|+ZjsO?s`1T4Ee&T6IU zc|b(&fo*0rtt&SyC-r=jozhvyJ8t`LF5el=ZNYbL)kDS1e15@tjRPNIqHN`xmZ-&F zU9$DfN4PG`EGU4_>Waoxk~yQzldL1VJtt0Io*H2Z+@QPW%-E|Gj^^Z6PraRfudajVw>f-3$&cab9)_v30XeY*m?@Lh!dKaIg%HyYb zcKjZj*TCurDt6PAE_7p$erbfSlp65J{Tf{pdNgRK{Hc1UHXF%YRXC%K*Bt?~_rt8m zp8BjF2yNTC&pANny3z~4ed=`iA6yN*yI#Q{Q_0_x@qm;Ni)-$Wl>-AemFz>E_r5>u z-l%Ywc{I#6;O&=PQWeW|?&+~I>4@dXJ{#mZ{NZL+WQ(gR5KX!>PLxQ9JulVqZVW+f z(dn^~kt#KtqSL96eGgZOU6Ienan?5fXwB0rjj?JnZjB0-45PbVt_Qwch#Seon35oL zBTe;Mvy^{h9EwAS(FT^!iP(B`MM}=Dq!P21{pIC@RDy$}KekqQjD}Sx?#f?#UfL$X z!0V;rp|ZsD9?w4qopE}@oC32xkYC=b=WutlYhUtFS_j2lFZ&(tMj9+lIhN|PItBRd zt_uM?w^Wik4_X3&!*^4)&MLbV7GKyH`Af~Tj-FgM+A^ZM;mkmP?)DbPu48#+wS|F6 zr@gN3x!ce!4#cFv?zpxd`kwbER3ASDVxK_8bySn+_NRtlL-sZ7zkcLs#;$ca@o{JC zd#$?Vo(LMrHvmJS-edEcKRIRd{)R%yq~;;%{ik=FkucvAk(hElNTJT@r(UU?NVQKy zl!07MaKb^s=x#H<8>=pHPs?Cl+vSZ&MCU%OXnGz766d-F6o ze^R6(PEU^S@LHGwedH|_!CGJ#OcmBMSoUTL$J}QLxE{iAo6kqUIwvjYbbo$sWb2() zCXVqUoCC9eLzh?y`(^H!sf1d^N|w~Ry+BGCi2xgFQv7%nR>4~K__dI+?RVs zm!V)cqVShcG-LYXRs-8>cP`}la9Xl56^UIu-!;J%M>FNydP2daqGe5A@7W#FQQfvb zkG{N{w5M%K%Kefq_0l}E`zD#0reZ}kE%DeXs+Qs_;tBKjaF2jeWJ?B!+ z!3mM*tuxh(9J%0>ZaJa2S)m~Q)II64Rc|?hofu_4hF7$A*ob64bsq|#QPMe|4U^Bz z%wrV%7C9!#`MT92h|gF(E9o8Z%*>O!N=3K(7=7)z@@2n{?9Yp3SF8ai&}+N+`OM0r z8LxS_ANBZ>z3DUZSK{<##Az$ojy=g-9KV-L*J%Iv5p-es-d|eg?@9`^{BW zddV`xM4eL+49t^!Banl9!zirV-8J6%-YDh0H0#CX9VyM4* zUX76fK8^r^hKYB&L3dzfQbuEovL;Bmj$=F~TY`Q?2BArvd<6 zMNttn`sD)cNJ40ll-ZGl+KI82PEc=Tz_KGSjkQFogm`?ei%4%E4kHrUep)EKQEp#y zJ}L;PD}x*~b_Dt)5>bD{?W1IEzVQw zNU&=YxPWspx{nh;DFl9jQip}oB<1#3Ajs=^H3@Xw0Gq~eQMeFrLJ_T3f(_O45?Uaj zJFqe-Jg=!xkIclY%Fguc*lO%%}=?k+GveUU-xfIzbZ z5S!zmJ183&|CLu^W;7I-Qv#R2a~uGQ2mG>-XB9BmniSC_ZYLT+O_ITRfWW8cm;)0K zeaGU{B!H48DuMx1LuV_%TnYSwoiLSb&grWd*lG$ZNy`YIFmSL%Ganp4|K}k3KeAwN zHDDTNO>_^ObI=6LLWBzrbjkp|wkUC!kc21@u@0WIv^B7_Jr6z{O?yBQP2%>Y5z-_% z1Oo_s_KG<*iQ758sA4F_I|1k+V2~Fw-^4&jQ9^^HQA7X@J%95};*jwO3_}NzS&)(x z5tPHXf&th=qTprW&U;}vAt<6Z+|D(Eo<|1gJ_22%AT}F9cThHlQtW&#+V@}pZV#YC zs35X1YdshS2aF;*BqgIkBa>q@P&lMff*B}2al%~D6S`xO>kya$VGxuLnPAwY&VVos z8WTlyI8QEvK`|!-Y#uQ$s{#TlZ%|x`lmV!~IwAlT2!r5#7EFnhYdwZWoU-Hj@6voU zhYt)u(g6l>0W%301~7>to`9Q|5uB08fb*a22;kANS*Hl`SQiM&Bm;LI0kIMgLl;Ni zu?UR?K<5#KK@dF)=5drOmO-mY>>v_U92wyK2+VSrNZJYAft5*_g#$&r9Do}F6t|E$ z3kEcs5?)Rc>jF7={)l;57tnVsM#X`N3Ix-$P#%ZDs-lSE=1Ekb@-#Uh{)l;r7|?et zM#X{22}mhIF5L>r877)#k0Qth^YJgB6-NemKd^rbemSv~9(2cIR2-NPp~;vRXn99D zK0d^JybEZ>k%8Nfn3r_{ea9kH9Dp?>3PY28EtKLYmz>N;xqx2NiIaifkC>Nn0e#0} z(i~KdhJnmf;Dzi^`3JlbhUS?9Y8E6%Ps*{3A)rb+c39^~G%>m)1Hd0KFBb#)4y@2c zkZF?VayXiUrbx0hN4Z5g$Tdog#}6Go$w2VO*Bca`XDuh8x;j>Ga~KOGk_^;u^dxWT}6$1&;Az;-+7*;?WB`SdrD=>7o{amXI!?RAh z$;(~QwjPab!Y)f1m-yCFNr)c_%Wk~U$Jutm&Nq!$H8rK+_l%vpmU5;&$MLW&SOI+{G+!0*2PA7tsb5oJ1 zy5Io!ZmGSJSG>m*3?DJ%h^ni@Q?&9bbT02q^A;YyoksgJ(e$^Yv%~1v=hmE16N%rd zc0c?}Tu0hsXRI7Xr#m!IpAK0cqutQ)`jVnxvXGmnXNAp{^FeoAW-jtEWt<6BjZ%W` z{=JojKf|msB->or8nHE*p3ktob4*M1qNVr8!*06~R_0?r550|08P-Z}x1RYKcdgNP z_njKw-RbpX(YaCvI#RKg(c4*ayG^36pn8Ak=pJS?S}iybl0G8*vG;Su&zIGfX+e1@ zH6IU)-ZS_ZJjNrImwn!-VT?yg^U{Nc*V{Qpw(UkP^E4G)m$dxWgSwq8i0S1nOs9%( za0KQ|>#gSb8dV*!F){E>!@cZXl9L|yPA61m1lh)}j!mcz+)!UmjpW~d{?db@A7WR2 zR*g589bjp$IN4xqru8w=tI|_BwCa%h*{96q=9juov6K}xw(S}h8|u)IGR^;Syl03< zHg{zb_btv#iY3!-uOCixDva(oN#EvN^V02Y#WzCU^L!8CW_SAPvLi%rF6y~0?B zvJ+Q{^IWFi~(?;iV-?(bs*6>s!)Ja>6r-M~3!Fs#$esWc!=7k59&{L<

#LeCZEQp1Wa5ceF+7f5}@rk9@b$*++9kO4IP%)$0!5-D!{M?%rsu=K0je zbNA^aG4rqyEtQ|1>y5m}8R%|Yc>9?yy-6^$Nw2{DMrk`;#H%i^pBo!A{J)&M@c8}J zueqy&toNR>e{&t#%-_)j8mTjhPE?eMR!=6le-2JxR+Ca!$x~){{X;9qj4REoT>(83n;riEVk7$?I3Yfaw z;IDGy*N~T;3ar?|n9RUkO69lp*rv#~P~PhwUCNL5^Zt}JwpjV0ID=(0nl-~oW-7GO z|B66J^`+&3Pt5~Gi(h(Vd<)pV^C%0|L&d6XzMjWl2^h8oiG=6m=_&ZI#g;fomsNh` z-w=N{@XFJZD+*`6U%y57;APrnvq^XEmVEV&0}0KJo-VD;Ox1S_a(9h|(iS~1J@+=K zbyG>y>F?V@m$KM3F|xLG9eowD|J#wrrpKF~WXDtA4hp#76&4zGMY@DTyyxnBHRnf< zblZm4-ng^sM(LefU!RDJR5nH4Fs;=uN!_Bel7G0t`!mOrfj(v-x3QtoudNrGU1)wf z-*L9R+=+;;%ro3M&>=s95Ky!6Q+wI_Xhj+C`xxFh^}*PoXjaB>nE~-g#kVU*&7W#j zSJPv&!X-ymig<_zqvm?)>qdao@v?zzrTToBAHJ{;*D5FPd;< zkVR)dM-W{@Cgb^)%MNJ#vT{Fn^X{{8#UpF0zgt}Ik+jMB*_4IkD6#|)#-sPk;I z)SEF8I1w6UDAQ2fAYf`Z#vr>shc<*pQdxDJ$`9dm_)N07lAgTms>f9#!F6^*pIVcn zG?(w)e8#?)@`|0J$xhBn$SwEb|P&80{yVWh>t(F5}GR(_d8S5&I1#Jsb3;}D2?{ttk z)OufkLu)B}(xZnyHZwz9!bU}L1N`Nox`)^{6;2Gl+w2W@?$+Wxt03td78z8$0cIUy z`S#!@Rkb~5``L@{JAT=HYg5Dv16Mnn^4wUHlP~I`59bPP$>swF>EVtYa^X>i7R++j z!ZOsiwVITFQ(%qn`C%kiS2rA5%w&JiT%cF1tj;l{Q}cq$$c;lPd1Hvk@C-UQWzy+<+uA*C;JXkFY+!8M9L=+z9KW7^*Vf)UaFk4Tns@ zS4$MQSzS{0>}GC)(V6t--79T^j`3v%23|b`d&z%7G1r5Ask~U8x@6>tZJX=aj4ZXX zKGm;RpFB<#SCzI%W;57ttrGjSoLz4K{(#Li5U^v-Xmey$2p0+n*z`VO9s2_kC6}i@ zdPtw{7{C*E_9M;A2fyl>D$$SpzHVDRuNNoxN-c_$LG7R>`{-I0H6uZUpn$BCkMd^U=sWz5GD2*HMk7RQ{8Z8>5 z>WX@NYB_7I;*Y8!Q(s&2U!9Do#uw@x`#-FAUYq`uo@K-F+mX%*45BUD13su(c1LoK zySe=+jSkfeR4U`&W;tLUb>IkK+T|P7WZDmk@m2cQ8AH9t=W2-MSIdXkVY z)i>UE$qeRHYyNDvf!Bp(t6Ok$nv!LK`wMTBDi$nZI_DA3a^L27D-Z#d9y(E%g?ONp zH-DG*2^;cM8wnN|qgrnw$jcjbA|N7LYJF8SQ=FP(=%AT{k!Tl72_|mQv%mNavH9fs6M}L|rVU z7vfr3#=EqX3T}2aW`P% zYYM;RVryJ;#I`0p$}jYQzx1}(@g?1SD~}}~(!E{x*iKcZFv|00|H`ksY&J{7^_O)Y z;b>Y8GZo!S4}8RmsA;K}2Y2pX_oeE~?(FSO{-2r$TP;>r6+Rh?WnFt;e|OrM?;kB# zsNMF4RjG%)dX0Q>pO$Zp0Wx^ZG_$nS=7*H(nW@GWwH-I@PtvVeW~ zLyXVQ=UcCXpLF>B9-2lyF~Ej4rPhnQNZ{`A2rDQz{*qiLU3B8@n-7!PcC|SM<_}-` zzA<>r(JyQTV0|5RjpzwxEPapi`WqupPp}>tYm5w^BALdgR-(-&S9rFV_Rkz z?wddL^rC&5T8N~jK2mCeI^yNt{WKv(aIm^0PiM)Jlz@BwhW$-qXRV%WhWT$%RyrUt zd_Oy0?5wFR6Ofy1+qpX<*6>?1?9@xsbU*#}~lzl=$Ry%ScU~%%tzhy3K{7A@yqx{emTK z-6rJu_!gkXG&#GsKHevI#+i>L#Pv$WXWJF>BZ2}?JY^HtePoa;HmR%9WH@@T`(4QM zV@hduEB8uO{aiD%q$>LgO8NKrJ|7LqW%MSByesr9-E%CR!>AuN7f3pLlyq&oB~^W} z+a+kd{>Ff~H$1eS;cT7~bz9|+rzJ3N|H`Du@$vX-O}bhiZ%>{JXKG60UP&?L3`jDB z%e|8BjqGrZkmk_Sb6{n#SAOB8t!O=XI*;3({ao2<#E;`E*jvq2E?J+`?2f)go1jro zpRw75?g!j6Mn(aMV{pCijXs`i`pyRh;oU=TR9wwi81z(R&`>R@{6M!LMhTH1Zusy0ZtFC@N4wpa;r;= zX|(BaWIWN*`JiQrbq%eY>)Yqxs)7B{3;mU;6qkNLe#UCA}cX~)tGzAF|LFb;p1 zS+m7olW(sX_ZzFcH{a8T*C}46vAB6x_sg}8L#2xA`dyFRmwWnHUr>6+ZKL|W5Dmbr zcqiB{`P9*KZhq_DKl&i&tB9u}Z`qBiEx+`fE`8g5?d7in)~*?cl|ns^e!Fll{kh*p z<_Yi3Gwpj4W^CKc{itYmjI4hg@3U;1iN@fijB2YVo;zaLd!z4&AS{-fuQwRhl83F@ zy#3zu6$~eoemwwR$oT3R19_2EdbBGYS$STosk|+z2vl0KbDJftgY|l|t%FjjQ4RK` zqFNbkz?<;i5xOBE*-KNpdazXH@sn(AkKTPBs%>F2midDn_UGN6=XO+yzT~rKeHQO_ zbWrc+x`MMS9<_0=jOlx$uIA#?|GMvuTj`_sZ+w9-^asB1%gequZ^Pug4nMjfVOvk* zux7B-{l$}PI>|^bmTD2rc-lA4v>s-6v(8J(e5`6?&k}02T{)8eE2#Wl$TCioO$aUE zX;%|(vK9AiZ=sh;&Q3TDsLE?c=(@4Kf%R5Q4W4wWy4Js6Fm-QlLz!o<_LN_)$^*at z;$-?3M=k3|dW_+6o;%c8T=RF-zUZ>oe;f{{;@nVW*S2T)S$m?xY5l@)m#zGV$ESkW z3zKGE3cYV**rsGpuh!*|+-Y8HJJqr-=Jt=ge2KPUzH?VR{qsZcjA=((O(YrAb#9)9`uq1fQzj6K0=t89L)Nt$d8J@;I5qui-u zYj__`B}#;hGj_5RxT+s%G%D$iv=hmpN8EbPse8P{>ALlyB*1gML^Rio35TmqsC;8D zWJWyd;>bx6{!;HHSyy;m_d(3j(gVp!ve&wAHHB>jTrEk~uEB>^k>>)de@xsIN7zo) zldAwneS5Y}WF%o-T;sHXpwxS9w+nh>$;I7j%b&3s*S@G@;ZD(C`L-lG-kxIv@&e7? zWKJMq(HwCMG1Q{sjKAlw?6Ae~s>;4i@51Ed&YWC#e(ewq)mp$(y8uh2d2IE4=X7;J zqNj05w={Cp(#r2sEH`Z%9iQIP@ZanV!S27qBMm!#x1SUf`r%h+An6?4=fCf?hKlT` z9YZ3&vo>BW)VpIuKNRY@$__7D zZuuNhB5$^x*D6tNO{jMN*nS5d=J<0*;}Vr`_!=}dFE!I!d7bz8dNGND7RQj*!7{3c z){ck0qeC)&ig3ekGaP!v(f6bNXYy$^UZEP{or0gZDsHmH`KGRsZ{;t&W%NiEx#@$F(`9vjM(M1X%08ZBJkElG{m~5*4?iYcR4|Ln`+ixM&gJ!-fH27Uh%M0t zO(d}G2oP6b&ha9F4P_*fP$e3D+6&X(CW-(ySMWElkWecpwm3(yh6>d103m-wLN7o_ zB>ow27`T5nTPC>2Gp|g9gedN3LT^AwCVulX3_Nl$TPC=jG`~!sst-I1dqf&~141(K zdp?1)JO7Y5AM+tvoxp)YA<@4wp*J8X6K`KZ6g>7c>pSOTKE%ibYT`KG3B3V9nfNvY ze)epcxO*7{Z3qA?$Nf&|4G77EVLG8Oh6@Afy=DsqUu%Aa0t}Bh9}2w!VWFUn{ONFl zfv^^{h2oa~34bX-u7{&h=oJVG#b@)GgI*6gAH5!0rEm!(?w3NZK%!95e{!@Tp+2{H zhZl|#$N5t9D-aZlPqhLAEp_(r;(|jE99}q51m{c9uRvHRo=(Lp6wUUE-MkV-{?mHrqi(|(5Ky0F4PiRlo{`UV)%ce1roraJzEW@Xp6;hWSzvG2AbO zUV*Ss{4WKuvS$k=DI$rZByhhJdIiEl(Jc+w`5T1Xm@Sl~h$IeBBK_4V`V|NZ#d8@5 zrEa!RuwQ3h>lFv^M*iv){R#wyVql~&Un&N6xXczhPiGHWr{Vx%4bGQBuRu^J)GYKT z;ERK%I$J175lI~2T*3KL=oJVF1-935XBjxy<2PFcq=+OAoUh0EQs@;33dIljqDVl2vxMStYY_|%V&JKCY-~Wk0b!YVZYl<9c(zOs zTw-416$1`0|4GWA7a&n23~UFREs~@JNeqGd`;jg@Fg~XAdvd81u6=FZm>e3S0F4Dbcnx( zG)E0^KCD;tmr4K`32?RwD-?PK!a`^H=|3Y99@y*uqwKl^x$N3-60$NPWF;YcZ&6ug z?>(~jCYz8EBC_}1WbeJV?7e5U?EO9Tj(GLqpZ@CSI`|UoJxSx#$TYnn($}Pi|dsBzf0y^K=tqB@Mq2?Ee$Qr zWzMCn$w*<=hjH=Q)5?ZULJxfXd%;6VJb~NwO-$C=JAJIrEK!GX2a$ES(7dOXPY#^) z@6`C*Yh+IjovAVM*ZahtT|zn00RDY+Z#Ty&C2c~mTS{2WS&KhewNq0#KU<1C0`usA zt?uVw)sJBf2y%tR7}DWl3+s*LI|3O{!cx)_A}IMAk#a@db`~lgE##~1b$BOzAII%1 z-mmWtdPhE3S#Fv13D$G(jgFAV!1|;uA!ozH(v{PKZmw!;5vT4!?s`fxcCtTMwJWeb z4qzQNxkKUcnD7eskSB=?d3;SL)u9ezkS}6iF`W8HNbLX6e5#xiuThOix{r=v6T;Z2 zn8=Jv&S*xTOjoqj_H;M+%S=ogyZm;=*3@Db4U26sX-C);tj>Tj}gb=99#}tfOEv(t6Cu*t3jXb?L9J%UYxQKKZ30dogzMx zL2LEBIiSMIh}vDMwK zE?z6x%0-o zX1;!Okd0xM{^Yy0xHus-EM>Mjzi40ZYDAZ(OnGuE=y7DJM^Flx+VO`X+1x%sTo3-T zeYHV#t=-%LTm&~vPohE4+Pklo-#qOh^$Sba|02-R_EbUmP2p>##1G*et0TSE3`gXs zRmk)DJrW&rfg3aX$omv2?@-e{XF@lKiWb;DN81trw2FeJ1unXcI8 z8QMM1KlPw?wWD;R|4_Dl*W$C+Jj8CvsXJNeLnwm>5T~o)ll-sPd?W(JL#f@A#xe+< zt)db=cfmEa!@h(>s1GgbqI782J0Ck7ysbT<|MZk3l$%F~h;WB^xC2)lln*w}Oc~cu zW<=#a2^a=pxw+&q%F!z0$n@gl3~+Cos!1^D(3}r#KLkF7x^x2!%$V+DskXueW}(1O zTWuk1cWkxzH)mVT+vMMBxe}~?{4nnT(o*YnB1Z=F1N93vR78lvw+i8$V3nXIn|RQp_S7(E&b)FqL;|1AAF0r6w4)~dhlU^K zPPV&6zWthCCCK5n)dmm2_CdN;B5vuCZu%jdKAXuDS(#DZA^0Ro02=(g{h zs>Wgt|;E9DW+G0Z~gYi>NuMFCgp z>_}w_OrUh4_37a!1oe<{jINtKV5nG*gbXlNrfWD%d*OR4PE5 zF09u7I)#t$o|&`FW?*G3#K^%SiVX2p7;ORQMmP8X+=n2b-S92Nk%yx@_e;bLIcbdt z^y**d?{@;7-0CeLn8Uz!01Wka8eph3n?uHeLT zwyDi!@C75}j4(zYY@+n1fl*G0IKn;>$xKUa@j zF%uvAg$r1+=Tq}XabXP$euXD6?_~FwFq9gX-FAtOHj9G{62bFgVWY8wjQ-7 z@xi^2esgZ_$CR zXq&#GDK5v`WbYkhjXcKQlwch=>*0M+b+$YRYFm%(M7?ZZHg{3$=ZNlIrH6Nk(k6IN zMaOj~oH&gh4C&d`XjSKozYN@6_x4UVpMSL3w&Nm40t0441=}Q4$?eAzSNE9@qrYXz zimk0Mi0XSFe6TNVo(fby6GT?>u{bZ%eRsYrR97SJytNdb{PG81Sefb8$D8txyeS*F ziNs)2dNvTm;1SagWv3fn@6`z5yoqqGe$|Ka0LsjTZ|U0*;WO3OO*rEF-kzqeC8_JU z);7-^dAPUDqggr!v%U!+t(s1C_~#w&_ehd;F}=x~WF>f2TmbW+CYk^HgqUSbJTsYM zG5f0!k)+SQ4cvS7D{BuD+@addL%50Wjc|)fa*OD@!7;qGQ-k3}0W3>iiHr=Kh{%Qn zyrd?}h24VX%vBqXW=HRD2FU`3otodV*qc4{*glstw^N0`PfdqP5e2lbnt}pPUpH-E zX=01ULk@j`*j=uLj-!FLrH&S;#Jf7kBz_6S27hl!k+_;@DK#Azf#yUV8fLt;1UK~3 zPhVtYMMEv;X`mibEdh*rN;0~{Km6L53!t@uh zr2UUuu#Vqps=LqzKx#kglfp_z>mOE&B6~PaCcRlwz}vF@KAP5lYvnGoz#$)1-=QGY zDw&Oqz2PQ4HfMG&^6NwahtB<1u@ z?sI#H?oN9@S^H+sC^qT!EdceCT@K+$`YEMTNz4`-5`pXXa?v;M2Pg;KS$nQ<;%tWv zIQyWQ6isy9JzL#h>izIZS7g1J`(s(Z%x(yGgkWKt(LbBE>e1rIv+Z$SnSV2MjI|wz zI-4a^vHS3pU}o*8Ie=@nC$VE4UVJc@(MSXP1w}x+Y2^1VC7A5{=q@C$wRE13h4*Nx zCMNd9H|O>?3V8d(w{7hk0J*)&G*G$bUEj_FCqa)Oi#tw0Vo=WPI%dI&T&&_}R5H~v zX{Lms3e8S2nObEh%UI1;|AM1~Hpvc<&1P3Q9y8WVMkRv=Kox4D&Y9)rCbd&tH| z@miVQcIzE1!VsD>sv~l!h(_&aK3nm?{O}lcna4r>HYw_SjhHF(_h#l~quI%dH0OCz z!=mMcCu>)Dn$2~r_t)}yYoZ$ptQo`vaII`wz+?8Prj*|h91FdwuD3)>W+rzpX_}aE z&xIngRYi&(AG@PHYrp^S1J`b^&>a#ic zevP368G}!kh;W|2*WmjThzT1R0=o9Zx_CzWIZ0-Ufv0}Lqa-#6{z6)2UnZz@49oG; z8DFd(GXYucS)VkpAxdf3hN;UnVkT8^%&6;)Kb160&p9LO0Iy-Tjnhk3QtTKR#d0Ez z*jNMu)e_Vng8Rl}2(oFc)s)&!=zhqVLS)Q*sGfm`w& zQe<0;AY(t#r~L|BkO+@*9)6vw$BHE$5X!Dlm%~6?K>vi%G&E}TQLj7@*c`mxfH`Fz zGj{2feuQJ4$*gcRH9P!XiA*eB&6c$nid(a&yfk))J%oiF9=6xyJ{@x7m-**{A5f(C zw4@b2_T2RiqvGfEh9}67&@xhKC@%QIvhk*^kb&xZKO&JhR=uK zE@nx|=@2Thc12^G(BiF_zIQJXg8p9WyO}WmLoj?Ct5K&JGR0EjyB0W+3|$QDk@_$$ z%e-L+z}#Cia|SW5C98jGQ~8bkgLNZ(s3I+UKKFA3UnKfZh&a|-^>s}S!k2uv3we^ea0W&a`Q)w=ccJ~aaQXc*D?=;7 zJBS?)If4@(U%q9llyw~6<}N*s;HbLGA;*Cb1mdg31s*eDV-1Yn+%Pq46_`)-(2JMP z##b>p3d2Z&lMGH*qg{JI2d!1nYW|ccRz81Ghynb)*DC@KI@wA^a=#pX6^_J# zDRcZ15Lf7<5jG0VGw@csPX={KK!|!YC_C9&v);MV!ODQ+UEdf8{2So(c6TX161!KA z&;)Tbp1B=q4rT0JS=|(@CqDh=b^^oa7NgMghxzCgSdSK;=p3}iiGxAZ@D;3ol|<|a zoo?J=2f;#W`ACoNtNPeYsT$p>%Xg|iV!b{pms_)*)61dU_l;NDBqn1a-QyGL$PUZ&4SqOv_uP=b-J1lWAS^-mVRQyL!M&Gy@R94f=rHRayF+*mKI#m%&}$ZB zfLoLqtmBl`dlwTJ9R6&W?54F@h+J9W(%lT|IE>y~dOBBZh7v>XU^1APMY091sifao zjX7FXSI}Qo?d?Po-vIGqv{V<)if3d2?f`(m-qJ-kg=3Pm!~@0w+jMZt%Aa0NR!S8K za)ZuXvYg8<3yNV01%k(_+8-D9evCNh*iu@%%GIxVx3_KHfOhhEohup6%^z78796EPfVBB9lZp}9PF@;Pl!5w{AM;=l+a~qG7SQM%W&;?Pqc) zN;0%zL(xjk)>p7n@q){_@#2igs6XF?W+MPeVcus*M77)_Yx7OC=6)>F^y{gYWq401 zb*x-DGGL(rG9KqsRl4mbF>qXV!*vK`MxBRH`G}RyMn@3Glv~}e9}qH_7l$4=LeHbO zP7b9*7Ud|n3(b^}s)c3+VhMl#@S2EFOXXn-C!ChCyH@vv%8()@87pkJ=+g+}_i!%> zMA+X>#*scRWD76GL{=bGI#jWeU#+fd2eITDdqsB#x;8-znh zXr_;>XSe&nG66{stfd}p7Ok`zsvh&Lk&1U^*gXx%avP5(BcqSiKr-XEmfy~Yy6C_Fn?bv@*kTZOokjOzd3o{>)GN!rI^R-W6e;DY5-9UUNWDtQM+2WcO4+PNnh_FM4YxjdlwW zsorv%S*GC{(7k5X*2MK-HA)9>Rh8NoA2es3N% z6#|ZXM$+fn4gp_6yt|4FRxj2hw$CfaaD>^9Iog;FIh=xot)E{7YfdLRXd`DTy0>H= z`I^;Xou0uRJqM|O$=^E?3~DS5rLoSHv5?5Wm#D*E~Z5c_`r84tuKe zGEvrqiov-tS}mcwE!YKdxl7-X^)l z|Hz^WynVlzD3f6r!&L&k6(@UAeSIc{SumsWx!9O9OJfWje!u!wH{WOLhrnUW$M)XB z3p2&G!sozNjzH;4Yjwx#dk7B{qV%pYe6jWbTSPd@{OI9}nf#YU_3EK7S6B~GEQQo% z1M?c6w`cMjd*DXK<(e4E@6m(h38lP$VqFsv1uRqom+640Zc!4k=aCW0(Jw8Fr!$3Z zgY+LqN9b&kcI#wh+RCuM^&wMb8~;A0ZgpQU5j+`TKv!$l5Wf{=EBthhn%3y^rrE|r z@Kgo;#KKC)m3Q6V$Y_Xd=wG}2h&x#b^_MFx`Dh}e)NwkGAVZ8M4Wa}d?g#39AiYB> zsYVH$2_P42=tj5hyfcCRFf60YkY!fnnLIzya{y=W%zpZ6_q|b;JAKJPc8*zuMYQ3B zwO!6WtQ{O!wL(%j5Bn=eY$6j5$v1`=-Jh%A<)T}P`FYTp^IyN($c7~9a~GjKB&xrc z=3vD=A>P;bKvFKRRTDNoPF!W;=vb@Q_&bl_M~NTx6EWgEL(ep6U)8as?}&MRk^tTI zdYPJ*j+tIaN0LC>6Mkr)E8;PCHOcon*QLMig8|+Z^*=Y?fF?5;!=FjM7v=A%&eSX} zUqaugZbN?y=R)eUTj$^J`2Slhy3Up8@0)L+7WZ$Y=S}$I&G)&;)<1;>8i0!OpGa?1 zMy9`oiyR>B@_VFL-SJ=N;`1xg+gPAc|1xv)|Z#N_ePcE+gSaav)cXzy=t9a zu#WljnBKy#hUPEn`M=2?XWZ1wk>041Om_=w6&hec|CSuCV%D9Tul!x1`eUTGaRH(E zOIqe{9t7QgIVNWhAg-^O)84|kg65Z4&fn{l_KKSMd3L@&(p$JL(EO#&@>itiT3MII z^g4%*U+eTXHUTsjYvznnBGFxo`&WEM%?%o?^q}%8Y1H9nh$CUa^hWIk*S+xzykYM84!5c_D5wv+KZ<#y0h0`mmzPIhNyv8W&b3EbNoN8655NZc2rl8 z#jg_!|7*qq^s9fPJj4GpFsN0EEVvY4EUdaW%u(2{1Nl)souYs)K_o_ zZ>B>HoG-|LQ{>;6=PkG)=(+vN<-R>@*7bn`mm0qXdftRTgPyH}fA&t=D-K~dQ)#9G z9+UkR>3I`w2zup^-Y5xC0guUki}bt+e+0dFu%7MjnWVm@R;nkNY9(_N6_;R z`G30is+*MSbd7(l7vRm`?~$H2;fA1;SG;GtAZ`4|yg&)OXZttOUrqQk=*5Y2#VO%B zx!=Dc1uixI9n)V;_%rB*bVU2#+`w?Y-(9<8D1pahzeRf9gg=5_JP*?yPrxq`+e`U?u#Oktolb@JG<|GuA(|7Z4i0ykr<|CJqbu zWC2d6|A3x1;g6sfZ^tPAyTve^Z?)G(3Or|{`Ilh)s|kMwy)b(z|NEAh;ex*EAD0Yp zr@{Cy!T47b{tS9y_Fl1087@dw{Fz`(4P>*61moUtJ%eEe#D;N*M)L{Y!`0TG!f=(* zFPC&ZunuzhSBoom6fDs3VCk~VF*Szm4yQe9pYu278oN+^dnp?nKywe zi4}Sj{epQ{JmxY}4fVvmb8>3KBdK$X+$%d*nxcT0F{m`SQeyhzB1LLehJ)dz=-!+;{dpJnFP!q2FgJr^`$~Rureu;Grb8kfx9}QPB@ucYW`E zno-TP&sV~pIxH1ll;W$_>$trNJA)&E`Q8sorfl=8aK2AhrQVEJ9ni#(F#eS`VmE$` zUJj^TX+DkZk2!(*CUz4U^e>cLyQMp^GQ--No2EwO{b9)KcJ;r3VXULb#kDmxN3KsH zo~U8tzvE?1kz;hqX=>`7BlbIXdMmkezeD-^f#@!pC&@^3mjEkYGPyP>@2Zz)4;15I zE~4CzOh#SZ)mA;7>G6H`b~*E}1$4Y>W+3KH*oa*1HVxp?pZi$S427PrZuI87@t=E@ z-|~Hhe}k#n6+_=3{{1c*Exdd-XTL}M@YoaSkZofJ^!ZiPoeZtcy9AoC?!6&-14bWY za~ngx!5g>K``g!sw@)Za@?dKEhXks%iXIeZkQ8PrnUs_*J#`F4Lsf7OYd*o;2c>h4U@(9021ka02$J)#LOQ=Go;9$XjngAvEgyYHQ%S+<)*Bogi3CoUrP$=Kp~ysTK;cpKWz(4&_TD$i9n z1=kff5xn*=U*p2WXOJZn-5^UJ;;=VfC$e(nb{Kd_rNk8Xu)itU4?kwjNu>r z+A)sQFa9ZK;b(kY&Yq13Byl2<@5MZC-DCP{oF1EaAQ{LHLN!OVY$M;vlzW9_>;R{S zGC#w9-wdoH6?f=IiSTRVRF%00C3}4Q8So!MQ_a{jDhm};u{xAAGQ1c{Rm!!S#Din0 zaCP)?**c%7eKKU082(|1{>@cqL?SuD2%&_>eV7LZu2t7#nBYzyqv@&GFlXt9=xjW2 z>6wpaR%(2tmZ3g)F!#aJS9W3~oTr-SlK5mD3Y!FjJCVQP>diL*jh}dvKKPJA2BiO9 zT8r4dI5U6051f=N6C^TsvF?K44A?lpRc(d4zMGMw+T(S>BdB}_5={BZq+~A2tc{La zZAhC>xKS|J$okPNs9${b*&kD(ShV-95q$8Mng^i5aD1(1m0E5 z)BI8%4h}3ez~QvMO5n|ro%u)5cy5i%md|9ASX8IEQshH^Uh1}Vz48z4W5M9F<~yPF zq-8R&B5Zh{7=@Espivg6(4axb#-b5X%dp>VcJhk{6kOZtYY1h)umoh>#0+E1{E-Aw zP_d$VNyAn%-B@_CS3d-C90avW43O2#QDE&QfxPM^dqI9lujchG!8O-nj48$L>9$s?w92 zA@X#fZ#%P5a%YpmF67Zya(Q!fZp{GbUAzQ{?0tG^#~EsMouCwyP64v%W%MHi{I$6Cr00`bk-)Yb!HIdn>U|z(L8$bs zV0k7dR09(js=fqBK54}3xu2DycFjyIdblLW5nS4&Sc1a+)-3TM#(c{F zDaaEI3vr0Ug6X4^y#t4l6-SeIS%2& z52R$yb{zRvti~Mg9495J)X?Y(RF;$7WzUv8w89Sze@hsz9t#%mSmaI>ie54Jvjz1W zc;_QZk355!))X^TheGy_F#tS2paK4rW{*6yhH>2x!>G_Pt(HupT71pR$S9>wasaf$ zBrkeR136QHy|z=XO?Y5H2$WnJG{t!s zUY)K|3)nfS&_|*!LwIDqTU#gHIk9m?KuOpiA%*5)TT7Ws@a%;@er%EPP<$b?NWR0= zjI%etS!7ZrF0yVKckzgpRW-#_L0OV;LrI&GCI5%Iuo!DjVA;`raOD2DLO-H)nh4SEKH8ZyWFx!U@mO#qeRdL6wBU2;-PoJ|SXL!;HyC z2!ImC&3ojXl(h6B25aXe)f%32iHI;}!uc(G%=2E285CCNCm)_0p$k8S<8U73cS!=e z3En?4`++dfOU_9^FL8?jy(A3RVp<9z3@qiR&F+)Jeg~{E#w!c|?+3~1n?Sd^KbME` zj@jBp@YmrcU)HDMaBiJ-NILQ}a~lGtHpk~48J3usj9oV#|7m_;2F6Ta<{HDtG|u;+ zHd?#i|IyX-8GE{fmtA-)MoBi)`mqZp4_6J)Ld~GaY%dp}g`71&3lo)kwj*4UMVdd! z&}5U(*-LnB%WARgwD{u@5x48B@m znFue&R2~L)AVYLu! z5p9jJ8cmR*)py0a3qwFpPFH61lUQ84k=46UF!FFFrYq(_=g;xliXHblS>@sE z20dh4VagQZ(rnZ#DwT}IA&8ImmBUlp_Y`~hD*OtQ-xVuy*g0ykBGc39>i4G-Ju3C2 z3B`1hVC-sw0J6`7kBk?DVeX?0kTj9mDnwwmzw6II!yu5bbbFl z_1)g_EcO$nyW++cDnAQ`s}1v&))M0rGY(6&`mhsFA0H;)HJF64=&{Xo`SuQ4We$%q zb5eXe#j}VP8;#i{9u|mpnHzM?u2Co$*&QAE@RP5DMckvwR~YHLShdO7w!jF1W#!f2 zPBM|Y)%f~yRx6p@p9G^qz_NL~HTKniZ1b^XCu-YvaV_vzs>n-pTPduf1R^}G4<<3V zOlEHvJWo)0?0`_m0)o*6yt`a!0Y;M2VY3ij^lp2HRf8hh>6N}LCBUwiQBNF{K1rj4cl!M61H{sFtnR?oFddMapkDk)eCdl4v8;zGH zQvN)XMj+8M7$KF6ZwV}wr)>{gMkhZD@*=`#+)tFs-u{v9XpYl#3i>pF%I!+_5W@}i z`fkA1#i0Z^IsRF)%sEfQrvw7j3_q@W+XC5tQnR zE5&o~)9btU7VIHF5%c$}|8p|Y-9>@`&6$7qWzd@ud2YcTqPuh<{$Gt& zhVvTUwX+wvzyFPuKzrVVKQ5WGn%r-Nt1FVjxijkZptoQT0eSj&P%1z*@;}{sql^U9 z#D9eE}6npo}EoDb?>>x)%9a~ z3--_@LvzaiGOQWSeJ`&Ey*Yd6k{9>6xAo;fZ&XRYIeX}rMf5*g%oRJ#4R!l&Odg^; zui^fKNOMI3yrFL2jmblo3|6oDoVcNG-x+!5@1xN-hKRS@D)wL3hIv& zo3_Xzcpnzn7LGYRZtGJy;pt=FbNvEyt%%43!~h6;C|zhVGX@!9KBk`m9I`S36cT;>3F_EFHEx zTuFJZSSG&ouvklMn5LeU4LSYvRSjH%mcqbE+}8Z#S?^=|^vFo2(;S2o`$IYV(a6P# z!_@gR$)A+G*7r`ZLfiamX5cy*`XqUICo+1bqd`2)GM_TC$8uBRl7_>f55Gp;v)@=p z#-7-mDG}UUa;8YIDoQDbI7~Pox5?r9P>99E6sXJ_Jd-B`>0fj8WN&@XzhXMFj6GIFt$?kIp7F4n9Wal@u_bm^d7?Y>w;9*Y4cU6;4XRvKY2KpjW z@ragmM?XK}(i?3+%4_Lk^Mubk)qKNTvOhrq5&S-@kgHD~F!r}9g~B|oH5D!S z6*-4$KkS`Kjh9B%xp1esFJ7WPgxNHmsjT+f1#uQJivH3g$b%?z>cc=~C+b$c2Cust zN&#JAQ$Mg&et@7^xc32MO_0*GP-08 zRk~PuF}pwI2DxYjHU@AcEuC=$Ujd5=_#-? zOUFL780JS;6vH(eK31lG&ukADbimv$`lUhbq#Q{YJJHMUNKXq2DdE_^5A&(#C*)53 zW*2wALv#^Sxwt3WUy+bxygvJn)I?+8FH16*SbMn!o+MTLBsBzi?XR}2PN0pzT94yh zzy#|I4N1R1ePqY?VBu46C__%KHbz=NA|GQK8nIAte)af0LDBkqwS316@RUC+U&gSe zWv(JT>$2i$<{0#Xi5Sv!!FEd6CuOwG&EVw0eDQ;Z@3`S}*-sMs0T^4>HQc$)c9iw) zZf!bGZTkk(u|tZM;SS?U?keQgUAxK-r~MawchVaP?9>#$2P9{`UL{l3TXf6q7)2%^ znOTh>@`S9gEar_eXx@jl8RW`{v-8cC49eQj+^tP^mJAXej_+rDRBP)fnbB8KQ?S^I z+XSc6|IQp4YMO$$K&o4iXK1LG=b-vaQc?1ckN!AdMCd&14}|M$6ZyjN z*9iyjptI)5*~D!7`3aun=;#I|6xa+s`T#@Rk(IG+{Po)@9f@LvVp`y^+5B2fOGW|B z67{a6lu~xaSY%Zd+}4C9&b;4xfKD-mw!XH&wy>CG^J}DSRW&}p<{q`X@xH4D&+Ei% zxRwbCzX55X&Lj$wx%3mx0sm5j6<`KV})suG?a zAc;e04?D;DEvvF>r?bC<`sZ+7Rwln-wfzApO(`Sk)ExetM50chrrW2Y-W012e3hr- z2?B_3{I?jsG-rL@)qH`6=da7vAo`w{=#6nzSQN{Cv{gZ+N&he=2FenYJw4y4B~!R< zDaeCSC7$sYAzclAY%hY}Mdt|-+M{VdHk3E-8R?;gEzBr+sdikvdOm$b1tBeXirc&i zWCY)C^b~eF_~F9D!7Hv8m{T8q+n9xCAnh|LRn~}o;w6=W?N=B20Vm*RS(Ha;XowW1 zuAf8{)e45LZ*d|OzJl5!frI4RNcz&LAy>x%Q67aK0-qlwK4=-MQ~wc#ol6KS_<2NM zX%J69g*EPp{$L|>h#x80kpM%j(8BCu0`M1$yINj)lLOg+XmI|70}T$`#4G^BNvmOy z@(s|};KS;s1AU#&dIl5ZCkq{=*7=M_ULd^r4#wX;eueq!R%m1fMAu}A9sM4Us5>Q{ z*(it#pH*#<)q?SJ~bae`rY-1efZp(aQd!M z!UNsM(CFC-@?$K0@k-E6TJm^x(Y5Xw!VDwQ_UrF8RIwt6whu_<9^HNO6Is}{;d6^^ zL1B4t9=pOjHwhz3mWC6h z)%unxG=8 zcTy4AcWQq6fuXL(dqTSeTN!>(>Y68oDeCJ#E7P%`+UgoE>^R|A#(ba5wR*iF`$oLH z)p4xw4&7FLtG~k-@XM`$M3N|Tay7YywjL)?tFNpE--!!<`qgIm#`NdLPJiElG+HPq zs-HDt8?Zp7mIQ}u5q)FwrN4dx;9&tR^>77RI&s!gxS}~dH+j6-XhfB1_Pm_jImm5Kw*A;Zl&x)N z@I_ffeF{J7{LDE9ZT&@Kt~CGW!qOE55)RD=WqCXB_0H9NW%N-LKi0vWeMxBm5)P8{ z$ru*eh-;V%hQ^&-h zEE)b3q$A76cqCy42(aU9xP3lq8;Sxv($Urm!VxLL|d{L)0NbikRpM=W69H zm{bS!MA_TgcxrQy$tXtr-q(n}9D=ZRE?YFuJ?&N8MvF|!Kl;wb{~3ozhp!ng16DG2 zuK7MxizwYa=V@mn90pNr$om3JrJNM~R%}46AAy9KlIgh^gtzw(*M{Tex7E-Yx=KBA z2!qu?8~^&}*pIT4LJ|&3Gib+>oxLuvf*7db)0pa;zD&V>;6t8IjmyBJzv=1g>`m>* z1DQyUy-1Rl;S~~UB^06WmJBhA81Y0bib~IUZAVbJp0kQ?ltGB%hXptkKosJ6wFL0j zFu>Y8Poud|;1#QpBPZ^88b}uA7h`X^*tQ4scAT6lP7a;;Oet<>uS1qkO08m~hO3mB zz-04-b>JvEmbE~ge25<>gsp}n6iw$Jn`h5`NFDfMsAYbF^*!a%ip&7}LK zH>z3Wuv5L=9>K-~g;p_pHTy`~j(a0pF{k*ERp|+pQ`Dt+k&~$#!5lR>N2{3-0S&Ct z&JM{g9M<18(m&Q92)B;Zf;d#Xke7_3!k%~M2t1CZBGXknlLL9HQ82)?tYC3Z_KY^f zThuw()UNH}5h{3$zj3UPOjRsN#EQ1_pb$)!p@lW-rIaw7q@RI$;LrH|l%J2`-z!@` zFL2eiPCCYUg7l;a-2_Jwt@_b(J5&7lFsy*%hbX`i!eVMl)Zd7bsQVBR)qjf>G*(j{ zPt8v(mOYiOK1t0PopW>>7i&OT%+1aaOd})JB&ob^vPoGq@enWjN%?{3m&(9zhHjg8 zp4k>dflCDzekNqq`wW8rB@ZEaF2=R#i-%V*F)m73AQjn1RV(_tuYFk!;A8MmUIQqc zZkk-KjLiN=4>go#l=ia+UJ%3T&V_0|u;Q>Vfgh`Fp^ zeLsjN{}5c%MF#{Q$UZ@iXwg1CUaV=AtN|Rps56Ez?H_rHxD>^sXhKb*DY5W|XsZ#jqGbZtU4hbzbZKqax=1?VLDdO$|>ryMzmCO*pUC?S1K0hLUjH2ZUM@#kaZPLs5B*LP-B>-Vrlm&j(J z*e8C#S5caXLPRh?kpqXhU;hZ2V$toXEvAClHN79N_SRt*0Os1H#`1!csQ*`zXOR+^ zzRsWKCU_okwfQ?F18In_Zu}`7pV}ep zDve4_Z#FULT@7SduE|+K=-73Oc_k;sg!0D|fx5e}M;MY#4}c}VzPVPGJv}k+{`L`8 zA>yu&s!}QAfUh&9iQ2mq7BvvjjRH4V(O@f%O0n$Gf}km@6yQgGLE6JsGavRcZ9|ov z6gqp#f5bv*n)tfse4tE;B*sOfq%)Ip+i#pQg&l1CX}Vq1h)^7q8Jbw~;5&a&(JTFy zyiJJYP`^qubyKN<7XglZ-%@9rpz6&`@W531+#4YS2z1|^{nL1VmB90pRj}3ChqAbq zqmfv%9>qiZyYXUMS2GaKU)leC#q>wI`E5)JFIH3O&n^cq-K5>jsNps)g$!rU7yr4V zq`%^h5_olSgWJ2?xD--eyuYJ66G^xn=-Cb5wVeypKz{QD`_+U$-BVJYGxh(C^vq4} zGU$!2oNwb(cnOs5zd9`p=MVd@kM!Io@b3%RUrqR9q-O<^-^TPzXy!c%%Bk?; zBS5aA&|5hbUIaa_!(ATJ8`Z#Wi3b+#VMj7c= zPK6iC##e&gC?ox{3H*B^UE@@EW(4-zI=v#_-^{3i_BKw17t6-yrka-~(#?z-XwPi| z|B3YfI~868y($e}FlzYYZVJ4H|IO>|R}=oYPA|GU(O|O^n@Nz} z%Bk@D1oVGT4mXn^yOmSnMNrza*X)sqo^<_A3YTMyZJU=1zqd35!=k z-Y5~>!m02gIq1raaHBLteRHS6i;!3CW;atEyM3lAAjfQeXT? zyQ*W)l`pS{ys=Z^CCDo~_C}v*w{j{x`-}f3_bU!zH)0^py-O8!( z(ihqlcSh%X!S#{e#;Nck=#^u7c4>D#=($bc-(P6I%o6`}nx{UOzWS$oX|H&*a!!c7 z7W6hwg)|r6Azigj&sFBG1-*?^A)s-0A>m&&rZ+kk-Nvc#66lp<`uoA?|1cIA=&3I= z78MOf=nyN%VfUC9jCO^Hr?5XE8p3`_``Tj;>1#5w6a4}ST|)&uv+PHGdG7I61Dd<9 z^4E+~X58dTdDXWi)a=3)n7-6Cug6X5%iAvZXzP)6T0h;rE0gmxm{XJ0lneyFyi zo1wwedKwybq+`G4eB5`symnlk^GL!<*d`VX>YG}5%p=KQv4&baX(FoNu&@)VhrTkI zjm^1VD49>6pb+@!^#>KI5*S&&k@Hy$GCOUh5?7a2V0rO;Im>05r6mGoH7s|YO3gbb z5du%He@BX?cZY&J5=(5G@c1X88M?o83Qq(bl-t+*HRSzwQ>IEHXe%J!$mi5|)a<@= z==0&+@84nZF^KHM8AQJQ7TLy$YMG#Lhn}@a@MY7M3*6$VcJJz%eOo7Nx{z!-^ z*<0o^!kH59<@YLi7Yj`doAR+8=jvOQVC=@hpH8+;>$468jWVjIe4{O9#zwSk6gj>4 z!e|OM%xpqA9mLiR;9ddrn@+~>DcPQ@_iOB^{scc!u+MefGKdkgOi2wQ+*%iv@Vf6& zgQZ+CXy&tJa1z_c*Th1*4|tPRQ&sT^=dgTr+c2D0f)7lL!AScyC+$!X*7@E*Q(|Qf zKjb@H9AD~lrG#}*Ex#52Z0sWr=nC@>d66sQ28dBZQ#O#Na*CiMr4>FC>1%gOX0p6$Bl;{A5usk38_}nNG+jOUvaEla zLfg=Tz0H{&aN{z1o-PmD3Svj&y}YPQDI;Wzg8mf*<+F| z5P-SU&{HC#&OPBdnuPv@9=scWjOR{M+gM7^L^_L0JFR^3^0=0oO*==UycGsz6EMP3 zvs1S!sZ23*hy)c5ygw=aQ`as^1Dow2>l8|mxjci7R#)B8ODLI=k{WeH@el<05qiVv_V~F@pyS96XRuHXsDJVi);X4MQ(`^b*nUU(u8AqJ-WIqZLqTXSUK2n&-!5r5e zq4q~j8&DnLc{+%x{Eq!&Q8@>}BL*2MxveTG-Jlc?wKA%w>TQG7&kfUSH}Rt%)5pe7zN&=qKZX;GzY6)On!6(nIz zwbFa-_{sj>J^ok6U?lc05Z?J)IG&P+KHLqwYtHFHl}tnVwNdeYdHHKj;E7b4&8BQymam+MlLqFLEtlDoR5cMavHoO;eGtp{ z>#G1NWPEj+vI&nx0hxo$MI0}B2h%+;U)izQkijg2t($79AR6H`dQp9^ai zH>haN4ZCYlJF>}@VHBrT49d@9z#VE35IM>7a|xz=pJ~6Gv)6o;*hlz`!1 z5l&xZ8%`PKgJfF#V%V$?R))yt3VCLCe^D z#ThJssch}j9#<3;qh8#KlT;2kWmP^-^0s)#xuW+WPX&A!v@(X)A4%%<&bE-dK>1za z3Do^%DAnSmAmP9aB6~ST{CYzvyGu`l>AX+3RPv?G7Ga}3$t^Z6O)3; zQfPjD#1is^_5d|Q@~%tjC4DqFR|z<4)+*~`9z@v5bvCIEs6RLwlml^S1WhF}tbO|a zy9&2DJPAA!{d)|QVmHyA)*`ouJ!$U|4t45ltD~(9+Nul7NTG}HvjFoMwdfUCnQfKm z<<=Z?l%0%+LFUzk>6KxKt(pDP(mwQ{LolpPz|^+zJqH~g^f=*(guhtq(! zrn6&ONrO&3ShB-`yQ^5w>LU?3gs9pC-`7Os*49D?8(*063N)pjW%kpphwI1@CLwIc zmJu;6+4Zjvjw=jTpe%TIs-z$?OhKWy(M}@+=3i*vAhqYzOR-W>QDVUtpGOD_r^<4P z%XtC~_Y;=ceILgpyhy$*9k$TmFx0DI7_{E53Tt?9PgI$a19i{2VS2VLWh3lSOG^=) z+;wI#cyF{0*Srashou4)8>ehHQJmzl@tq%JNjTjR)uPO zFkq91o^(`@t}Yk$IH|!xfHU2Iu?{)n%-1IIM zd$`^CQ0;RJO`uI8%t|UaX)+^SzC)?(_Huy2N)aC=8A-_q_mGNA*4#0qcd(dQyS^^o zfyrxLBp2Z^*(@4LpeBF(j4$xmfQ+AD>gc}2A_`G6sKS>#gnp()#sy4wvo6c7-?wWW?Z-ubB-tMgG&qO01RH5Lp7_` zN@PGw0)05HQ;57w(J+<`mCnpFn!vIPGs;K>5x2$^s}x4bLzD%`g0qqV)+%Dl0|O`! zE9LuU1+Uelwvoci)bbUP_Q!9Sw+K)8wB}G)&5wY3@&N|eP_lOnb@Hay%Wg!jORI3G z$8w!=0~g@V2Y3m#nK{Pg@9qI{RU(3ak*YJ|NNg0(-9rN48lCSUpG&6M!2njSRPo@CIURF7>>&ws-ZlFg~ zX_9;$Rp*7A?AC?86)^DK8*LSFj zE(U~@*UHGfxW`8+{U zbJ`i868n<4)|EOL*S9Y1RlSYaY1a6AAAL3sdNrM3xMz(fjG$nrFdF z%PkLdtK9PC5%fhE%SWMd@Q*UJfb<$LZ{=+8Y0Xd5nLA1fmY1Laet2r)$@}JNafox$ z<*?%N3%P&=`Q}UcP)|skY(12kASOqg73dNAvJtvGm7pnae9|?NO4_lNQz@RKQ>h3i zFTxc1Z7)@JBs-#MO>B%u0HdEOBI2D4z&E|Jw6`X4t$fjrH7{ZSf^xXWJvf`v^CIVx z-h5-egL>-R7wAtRf&Hk$h9!y>qW`hU_aGF(ts9-^E(2F?;>>MCtep5htD$O|hi=dt z;HTo(OXhvxg}&}#06?Oz5HhGLvj7^}Jlm=2iZwOkNWbaHD^V5B*;D7*mi?o5j%}8^ zh<2-!Dl*Nte$B;n=Or)A{H7X=sq&h<%aS->9fn;K%)*_h}h5C_z_%JSVBN_NNI z0st>9FP%lm@pC$-%=A>Tgo(kSx88+ z(=*Tdyh)E**Vg+|1?jsy`uN~g8CkN%Y;m&tK^2vE_ttdLhh>WKUtWzn_UnO;Vl_I> z1vzQ%mjhf)%q2a`*s1C81dz#@WwmYHy|fBUkWC4d1(^Q1c!H4)Ye}rVt*Q#6yRS<} z(#Rwv)h27HnJQ{eta;p^)dzfGW_@DN0{f&?=n!mdMb&e6fYwPWTmXSlLC`5ZMd6FF zDMfFcATeMi;~1Li(LWU@ersFk+p2NNUS^uyoVEH=)wL&jyBLS%e8+=3i-$%N>M)H^?j9KUzR$E-~skk+ra(y zjC%T9jb(cyd;sg1goYJgr*;FgOInvprJdpOu^`PyvrF{R5&}V7KTxHC1T#c)_Y^lF zX<5ecqJDt27N22vi4+$tye?=^00pEfJ8@H9tz9z4eD%hzWR8#4NvK3g3`zC~RO7pa z;Ca&iezA%pg0}V{ZV)^Q^fX}b({H(f4MggJwxP2Mnd9Q#G8`TkI&FS_>j~lDV2!=9 zy}daEp1W&Zn7}xK!~C{611Q$ZS}?$66t|_~n^nbIghVjUcrk+cBe+*jXXu{j-K(<5 zRJ=l1emn|Y@f|Nhusx(1RuN;#ed%Y2&Rc?5-a)<}YVcbGa1)Y<82jO(d=d1~k;92~ z4wOO zppr3nVNywR)fon+oySEFuD)HR#-$aHptH0Vt6s21Ky#yA8Pxmx34+Ky9Ms_H*Log% zc6nfyLzpov&Pd(V@#cOZ`(^J|ILV4smGihWiP50~_iJ$9(MxK;+Y2vEV@ZT`=IkA3 zIr$y0QKvTMZy%$xl{;7+aAA+sbt+UuS@>J{Z@mxM(52C*Rw+`ITP7ChB`s3Jq5PQo zBh6WJl@ngdB0*JI<_6e!QMHY-Y^3yBuvWt(A0BBzl?j+rP6cWKEHz?4{s%jz2iz3_I6^=8wGV@reA7*}4VBDY5FZ3PT3ovRIy zg$;bq!i)h~Saxr`EFU27)frCCiBm&3Ap3Jvu?O>;A#et#Gtb}9<*KQ$_(NE9;0RRR z4-A4w-G%`7LPG}!z~zwHMK8IKky}|JQi!GxiGAE9U|Q zaNAK(8``XWNezdmws=_v+71E@rRv)Gn2oFgvZQ1W?m-C)= z9$C{3vZsJYn;CQGjdFfd8S`)!4S3Ieu%UzV=N>z;t#6<^IdaRtZqj@nyguu1z(g_q z6M3Hb--$2So(Hi1J1|i{Q9AvqunNGbe#SfD-+_r@`q2pV=R4tFy`Fs@#{TcXL^1v7 z$@xp@FJ8~GKdT1#J0x>I3H{aU+2>*G{|-zP(?5v=f2rQ*wQ2qaOcc{kWt3m3_gQ@i zze6(jlTemlbWA=EWB+$xq5xf6e}B)<@(aL+=V9#s4ouWf93w2hz@~a0#{Tb+%rXDK zP5aAb{=J0F{ydER-+_q&P64Y;jdl@ zrtdw+KMQ?UMEV_=DCX}z@;9OX-sr~u4~+f)+#C8EFj36^vqOOWc>t5Y0~5vk&tm;A zUFPpa!2dYY-+_txN$4;7^4XsUF!?($QOy4YPx{5y#{N8j$=`vAV*XBL_m?64O1;km znEV}>sGo%XB6DDW9>C;p!9@L7u|FfyFK7C!9O-vR=2-qI^p`VzRz&&@lDQwWV1F$s z^Y^Zup90eN1m=IYLi`3y)DKjzzjXVH&6NIG3DWaP=2(8fYyEeT&+15cKFQpVFz}aR zf9asl3PsN+nPd3@JoVpa`F$t&pL_T7aiRd{m;MnEezBI%LzR3!$sEf+X>fm~*dIIl z|DNS{lDB_t+dt(0r(K)nr&Bt=n&q?3t~{S)j^%%EpU=aSd_Kt>%Rd=ff2r7Kg`eLb znPd5>9sXCEeOCDS9g?}9(1U+D(Psr9hTkBW`w2bxmqMQvf_{f&?kDu%UkZIz5c(aG zxu4L3e<}1?Vd!^A=2-sOG5IT@&jXYE9g;bgABR%@YruUTnB?z}%(479AM)RYJ}Vf} z|6q9gR{;F)By&GO7XMPc&&r2>hh*+2^x$6#eO5sFgW>JZnf_Ojxu4L3e<}1?5sCgc zNalV*5B{alXGNsnA({ILu9NlOPdu>yI1Bc#jr2cvOagr7r;f>1^);I%7DR8>bpXWU z6k5&0Yh-eeyg_wGi%Mp@r9eG|h}ZqVoAXX-1&^mLE^#;&NU2uYnpsss@?TvGxB5>b zzAm3a(VS)8#2fl8=r+C?!5;M2FKt@8zeH)?ztM)fZ$+*en3}1VWLH+~biEC)=Jl1~9qp7C^&4p*Ga}G- z@L#g-=vdxbwBNw+wV&Sb@Ol&}Sd`D4$eFzx?NGI5L!~@9T3rwGDX8RSGi#inXdrU! zS2ymT32CMbxFh9ELs}+Yp9jLFp&Ea05M&mQvnXLLJv3EuxACgJEp@)i%GUQV&WSc) zLi@!bJmge&a3=~?4;4B#YAqC8|CzzaMwXug)kZrn6Rm+_$J$(Aa=KysuJPN;NkpoK zp=9nXWzB|V)2fQ9_b|h8o9Ym|Ka_+pTe=d@lT@ea1~c8Ulr-U@y}lH z1*N{Efm#l4ic+vm_Zy+1$-5*LSz6~nLstMV*BqKU+7vL4y|%a>h{jTKnQG9GVmtVR zN{SWbx83(1yq|d0485Q!L@V#u8AR6T6}6mF#G3i>l+ zzV@8$DYGM_%7#{TL&xmo>&ex;SC$!2H&&66XJANm?P zBnyMKhV;Zd&EW$4HWcJ^$$uJ6vE^mtDcwZEZDCC>tjhHpI|$i`xMuC`zU#``ATVc0 z+97|gGYUoUM0|n?nH$vr9+wpsprqOh*1RAUK4!?X>Q+h-A-q@&e4>)Ob#S#b!OR+> zu#oBb%A{SB@vO%B;_Rje+o+2-vhMHwTjR;S`wuXr{oR?>bqG*x8qsLp5~MUjkm^iZ zVrzAMa7tr#_2SI!7(5io-uMEBuy4FgL|B^MoPyfTl1?%{mXDaIP~f?K(e0*9vUb+L zhg!+`)pn9U7`9sB5wvSTjBD7+yM#q{er)hy&$s@NZ8V{@7d?zQ>>ZRap1kW3lY3Cy z0&3sq9atjd(8KOP3I)S<;VQz!C!(M%-e>cs$H?co z>Aq=XLpOiVG1vp;bfbloBE8WDK7?N!diNrsBGqP+tZ}$^44J=HL-PG7tysN`uF#ib zAqtkh#44(d33#+%JvA0C`&PFKro&)GtQAp3o_kGJ0Y&zvm9%pG-cEtToAlxoCc5BF zYX#+f#Vnld5>zxn^$q83A=cy)DUSMBDdyu@83pAeY^Vn567}hq$M}k<)^8I83Q81B zYXX-Ucq?60$vqhCIioyK6KYXNO~w`Cqcj6vE`#qrH1uFE1>tDE*p=5_-Au7Zq&+xd zR8@;<^|{Y6FTscl=G_Qg7El5^iM26#2^q@^!CXnU+(^4-@u5oL{H<5yXm&%t zgnJ81D9%MQi7W2W>asqVwL$*6Soo+2If}Jd-E6pPP1`M~<`<|XnVLwbT4Q@L$h%3( zo`pGm1IlEEa>XkBmagirtD8d_Cou;behjt7NE_rUj<& zSH6fFkYz{{qS;H2+`OUfyUns1B2LwpP(1JJ2f@hKw2&xweIn`XTAHQ^?DY&ti^prM zR%MjIcd4l>@ZX&+pu2e60BSyUi@_Tn=K?mO|1dvJdlqi4`!WoUv;|W z9qW8pvF)^@&8~W9?5Tb20y$9)`hYfwiha$8Y)aup_!K*m!k7~^Z@b29ZH+qRud80v zIN9)Fp=8lCRl>>4&g5uXD_{6tXi4m!WBhKpbQz8z@uvrfYSpCXVRfhXGpW5nSt0KZg6LTg6d8u;+ zNDoqb3>Jw?6Qg13%y@G6+I%^EUMEJs{tT1XFWxG6JK@$E#A$;Q&851cAg#l^W|XuU z@sY*+Be9nUg4$KX0_XC)9V?{Qk*C0@Mk}1C&VhryUnK zlNDIO&X+>Q9kb&od6aT51m1tjOLf@lV}cG1wM<4iP1B zrN|WGZYV0722==xJQK(y76!r4(hCc3+gHNbf$V`M7(uOYUxn;-&Y5N*FkyAK%gCyZ zowb)xy7e(=9cqS@DR5N?f7Hs%c({%{db-?ht}i6h`Ic49Q4xA{e6!LjGPLGaxWo6p}wRO@n9+;D1_-LU6 zVL)u?-lk!f(>Uz-537zP53FiGwXN%0>yDMQAhy{(adW;(#8<)7WPy@F=tONi4?n@~ zGwe;G$;d=KQ`;~Zop%Yilj(4g>N2^0DC=?t&%AR0$8)-RD6?);;N{BH5J4R_iWd<9 zwFQ~g&d!9DK_x_A1@FIrow?J0v~w#!OB`lwou?V!-gGWTM`+$r6V7BAu5q{HWi?P2 z`=*ZhI#5m3LMjI$HE(x2Y=`4M>>CwR|Co$K!kk*R{hJxg4pRdA;w4(1w2YSQC&_5v zGB!_Tpi%}Du8OjV(Zm6E=F6Ex(_x+E57x8Q12inj04lq$r6I6cLIrBtXqXia`DO5t zgy-W_(;eQV8A@@L=Ro@CI+Ic{IGzd2sWyzfInfQEMt?fxjRQ-N;{NkMC z-59DY$veS0xxE&V_$fEH7dOssq-dosc(WHGL2rdU*9FF3!Tv@N)6Qu`agir0( zASnAZ7D=wjwWnj4!2?6b!&F!n3a1F#W{GnVFOA{Kgfa(aq22;lm=^Y?_}d~b&hj+e zp-1dCUPqh|&F3InBBf+U)(8sr6lK`v#g7DBcWUK+(5mQ|Jvo~u!XkOa+UK}KsIj6G z9pDY*YddX!e}+4HgC)?aU-i`oX`Q6UwZ-ig_gi+GF?t&E`Z)KHEk0XNIN!j8=1W9W zpUSC!xA-5?Z6oK-qV_%D!SBAsBGRO@FJvKtwStgg9{MY&!Tr z8eKxVpo(QsT*mgYNq4nQh3-+^y{lNyd)letCh|2$3|a)mT1IDKT3nxT=9W^Y<3L1%UJ?(;u11L!AR27s}Tv?Gt?Ws2BWGy6Y zKz*R|%Yqdf%CGP(1zFKx%#A!nMqQE&TW!FaG zAUP`}`iYk*!r@ClnjsW_tOy+A+Yd5#!MRml5+lr7)b@kOwLmCk8F_ijB`dL^+5~`B z{WLx@zD66`E9}f4OwYCV5Hxh7%YcVi9ECDM(}W_=aj9zZS*+5%1MczwhizD6Bz+z9 zQtw8mVk%-QO>o)QVrZAEs1Bm9#)UV5rlgLAlUAit?;blp*5nm2p`5@Gd^pydP{ULU z3E?RMM(Fb=*_b1er^kl;<>{}h#B}TyZIHlJakN}Pk)By#sGdM~0v67#zQ!rZVYrK2 zn->T#?i#woNO9D4@I<7iMJkv)>*~Ww8tI|aO9|OF71_nJP~hOE~e6joR{cUm`cypbEIo8FX-;n7+l9ddG6Bi615Bh%t*FW%IDJ+TSaR+fX1bHV2o9Km^hEZ03jY zcAhEC(Tz0We3()Cz+osz_)eavtJK=Os13{@qxlN?(40%ekXm06)Pe|?V>cKhEm=u6 zkp6^kK>fCbZ7czNKj-5}%%BZM;0cW#&1$Z;w%)c|Y-l`dvpB~2)0$*_nLu-5f=TfMKOVFl!pjgjr}j(2QbdzAVCrNf8a zgp0*us&rX6#1(T`k^H@;EPZgwbITP=-18+)s>ut!R(LG63mOKRmMpg6DXxOws+PsI zc3U#!LDJw<6i~4;R8-pp$k*tu)7i*{GpWt-ci?40?x^i}a1a&2>?Ko^O7j=;71?iV zU_V3H>{vmF2=`nuW3MDLgbT~ zvf8kOqk43>$2Wy?E0u?C3{Z)ozrL;zDtAi7}z!Nf7spyK6GYUUHUVXhdPNWO*XdO-hoGmZgZ>s4VPGHhs zRAoEYS@gLNs6u-t*eHM4{|h56^&4U|A-hCWn@wjX zh@(>@^=OH~!Sn@;?IPAVYu2a1OkJE%b-$-E_G+JSapOu7yIaA_wtk0Ox^hdG~db>gxOW=3k9=S_75cM1-J9v(M4w=1VPs zT|62$czbSwD5DAw`l@*u2^VoyfaZ5fd*FZGIL?N-E?&VCy}`NNG9`jCKiJV_+#oTf zYjWwyB6wTqss|jM6u=MG!yTL&(Te1w+L9Wj-%_UMfG?d_3Cx5TZG! z{l)zH^N0_$EVhKpQ9(gJ9%Z=D=BG}nuiViPu`1Wz@94eP!(fAV!Yp&fP;c+|Ur|M? z(hQQCbBioVe~!OqBPA>9B2f>63Mh*KU387F%=&$jPv~3`27p7)CPoL|QR1Y6UgME^-WwFx1fS zP^}~q>*!*1yv8HrH*<2yAw%vJ^CE(rbu$%E_mjtD4&Fk}ecIKwbZn^;S+xN-DpaS{ z_r#kmppTAKK_Q%{<*8X0EPhaIHniVo1esp%mrvmKU`i^5?zAd!jdI0}vD7XtqVKVm z$(h>oZqS!UU%e5|%`C9$V9iLlG4x2!KpVlg5yob6Sgag8*0F8TfxB)mUysMx{Vv3ir%8OOq3}A#uomg&N7N+W)}0dOaY%=>EUwu5V#$oJCq|gMis+x zMTIr+JYKC9+4~uFC=@R25@iv>ZY5n(>UR<=B4Ha`o7pH4@3Uc{R?(|3aWN`j;)J8_ zNFs%w!-*3QAzV&dsS9xpoi;%U-vJE5MARDubh;jLJmSn<84vPa(NV8SHLjwhB(sQh zJz<~H(c#uLFfek?@yj_{di~9)5|LX6tD)qWH|DruQ0@lI+xO880*@IeP>I9X&0zQFb;5Nvt9B}D1ooi$NUO-Z>EuY{P5P7ngk2gJd!Y=)w3F;sYNs6zq1q@DV4tIuvdabxg(r^#{aD&qksjKJ> zYDp&L@_L24MoUv?D(q_9nyTR#vjWEefwH;nVjUZP$kq!34ZPIs_1VwlufDC4F`Z%Z zjqLUV-`%062)Td2kg&wria6$VLhR-Osk1r8gMYlO0Z2a{kiWV!Zw z=|+o7j?ULbDWuoq?UOmRWOW>Srjt6Tg_0!Ky?DFG>oiA;83?=Cy=7P7aJQ@;e~{1v z37W&VuL>m!tge${%u?aHluNBbYCrHB3cHK-crHM9yJ&FQ0s4^IF{Es_gh?;1P)GPEPm9KW~uzQ9wh!qZMFW#}*z^KF` z_ene2AL@?cv5J=%IP#sCzD?&|zOJ|vtFNc2TxVl4t0=qB#^lapA&wS2;Nx9MZVtm! za9Mc=UyMDN#JHzTgT@IA4LXC8WMH1STk(XLG+n_aWK;KUU%zk$IgATH2GXv()8+4k z{VC38U5~jPw6{|EmH&$ax4-cM=jQ^sCx)Rap-(sgB8^ zT+0=)u)gu3T+bfWFl3iwwD%6v+IQ(aNUmuJDnX2`th|1ujh_pF+>>3sZFdrB`mFs= zb-zM~J2~AlUZEI~VJ_()QW%o4cOP<#8<92kX}$Lcw)V7+f*v=06?GkNQtichoy@eB z%xd7K!^M;UK7VymL)T2Lha+*Kzig?Zbn6_DL4e2{Ca4s)MKXRTf=aiYW*tY&x{W5q zAZTrn$FR-ahk|Ku;ys0Vo_8^gan8Nq#Jbg4t` zVFP+VT>YQiog)b>C^Ha77pP473ta2D^SI|$S!xLO>yzQ5>nbj;46IV)A4z!vi`E_~ ztZf)?*a-{1)DIiE7?ofUBQA255Q!~nrA$=$dbm}+@Z_5ng29QF3Y^vkrFt*+?i%`( zJg5B(av1YTMVX|W{9kW`+P7!HaPi(4-&N7Rt?6=P0V~9_O&@`%5Yjdw>Ddn$U@An% zw$DKKh+prI!qo2VXlr|~1;iDmRXZoev%$GWLy<@qLPnc}2% z4f}hYS;@`Th*reL+L88OZGYdd(9sI$IT-!8EFdT(CM-<##>n1K&svXG*xJCx(9GI| zR@~6Y+R@C>?X?)KkdcFdku~6J$L~)#;Iscf9mjC;zIDVfh^ZzZ8 zBmQd!I#yaiJzFs&GZRxsd{!1_T7G8}6*EIeQ+#GRHd<*t*FXNjK*z@TL!inZcQOI= zrTTwQF)-87DgxB@2Jpy_djte*Ts81tGXpR%zYD;pX9l$40Dc?LI`n-}05wu0hVM4; zqvQ6U>ic`C`p-H47h4nu*rK$arHPZZsEwnknZYv+@_TVwf%YE;`NQD;Iha37*xwEE zdujP6gZz87`R@k#Z-e^((<=YyF8!{@zf1uzygypZzFXxV2-yFe$={o1{y$pf-&Fd}fxjDpO{_UR zlhlHG`GD@1h~FU+s?JY`oa=X7Qts^qnR$3{1o+TEhe*%S&{R&vf`;Eo^>WAup?ico zSy8D!Sxh@u-7P=C ztMJZ!ihTDZr=nxrOj#$A-&cN(dxGOX#fHkw;lY%K#Pl*UFcvLfY(r)r*Fr6=7?mT_ zKpU+e)0foWKZ1tF%cqp&gPHOxD-!kC(95-Rwm_Da(Zwo2UX>nt(u&COLT^Q!3={{0 zr&1uTQBQ6%Y2q@qEsTAi3r9md(^h{KK`^?VRtB1EkTQJNb;m$Ql|p&!mG7>6!&GhO ztg3&PpF)uln5Ze7ggyo)y#J9n@0ZIkl2Z!a71~*<<8@C^JPex+?6>Sx3%x@y9HWzJ zG$6?u9LfuUzRgWvXFLQbK6TRhmm9*C05Rmy6Ul0u#LF7weID>hu>7XbYa1Ik)+yq< znpYLu>~d=_kFRV$&YBQ9DkiGTl z6d)Y&-SG%AQ=qu^4LC5H?JRLiHk{gTCY)Q!)+0Y&jN(vjk$iqg7&YDhatdy-bLDJ@ zfX&iGQ(=I53`hU@3x(jYB)2ds-TbTCh8e{-SL)mW9tOpQX(EZzHg?5@ntQ{8(Fx7x zwPZ%Qhl%$gLqSYl%Gu?Wt|(}#P=>dvScL&XZhX$^-F$wMBBH3{49*_`B(g~9T#XzD?-HxEhmf&`uMY@ zHQud9<2K?lLm%HQy;H+fMpWF7t2J!P97`sjJM5Xu{z~Cj#dW#tJk8CI^8T$@f?|VIt)@Hk-2r8)g;i+ePN&dOpf=~0rcAzwlMLzcG9XP35RweRVmeTw`Me)b zOraYDrEqtJ#5lfc-HVl&*_4_LLc;$k#QkPQf&w@54VD#U?97C{liI4n67XkM!C`(J za8d613=|JZtXcz(oJz$FnT z{2#69fYb?a=79YVTxA}>AKE|i0styFKGS#9b_RSVb`}~oz}Thr9PP~jDFYo1J?jsy zbigfu&j3j7?>W$q;B02;Xkut*!YXsB z82`-{m$k!rvHqj9ypjKNF3CH4Jj^mgjtJx+Te zGuz-3Prbo9cBXH%HD`8cY0uUwXaj|`4Y;ite2_>BAwE5zPdtd0NJ`IYKkYN<(0p@e zr-Z8gR^Ti+&iG_VU09&DB=HTgm_>2i_}rY&xIVc%rZ`z!n!Y5|e&UHux0?Vt>ilB6 z1^gu|yH`ZIsIa1-PMhz>Q;+tp_{Q4KXg%9OJDC2NO`8z68HO45r`nF;`dj38`{)&G zZ!aw35*IX>A^AKnkodmw2!68kr|pP@HU~o62YD>x8-0~^f%z4cpVwP3$5il=Je)Qs z9nJC`OspNcsUWZ_FYr+KR|Q>|T1SFBBj_rzE@8qv#n zXd*hrM)n1@qQz0#p9_;#<7cmYmQuVewB%n2=Y{JO2x*m${&O3!y zY3(R=!6Q8?b;Yy^Zt8qodLSI&yt-_BzG|$w`T!QYW4!|@3QplDout|`!PgwwgWAF2 z->9O;GwY4fR@wdI&6A?Dg5p!cf?JZqt%|C-*ZpaxilgyD!XIF&xM?j$sY?4TJr?qUypc$7xNjrWWk5qk*cVt?gkF7{FnnC*1FqW&zJ~IKxQAb@d-!!s zc6EHT8_D==1*Kz^d!waEDG2Bb>Is+^@NCJICl1bQ_Mioj58#QFoC)_8F55P6UfM9p zm)<8xixFA!ADM3z5|o^Q3WsbmP|ZOSfs}lJk;Us2zP=(@ue1UB5TWG9eIfGZD%W6Nz5|7RLD6(O7=1~z-%oj?6K5ML?ujbsN1ctHKunv zyr{^qsXB(O2C*^hD-6qs?X~fkMAEvKS;Sp85Q-s~0ogjCk?503JBDsfN6drr43f0! z$jGAY2=B^99X@cSv0pDI6>lWnq|^DU3t5>@?~uWAMu!mCIbvzQ@k!fFX-Nw*mXZ@+ zU#8GuY`D5HcJ(wq@Ch&Gw(9Q$@`U&zg(J)u>ZWl{IuJ>z%BG5%SJRE8??ueSRnujq zM?D>QS>sn%e>A;YeM5`a%8i=56j@+h5Z?~ck8E;-CKu{sb09@9M9=r-Mz|wj&td_{ zJi0vplf+pEL0RrG$d&sg&nn9n|M;M+U6!fsI~eG)x-^J5zHVSPKBB0TkOrC}SzH}- zL)p?;3#xRWdel2KT8;Zc6gGVHBRw&qbK{04;IGjJ5e2P%MY`)r_Lt(g{xw3RC{tA9CI1OH6X&K63JjSN+tQPq1UujX5NRrqlZ@V zXWup;t|-ulzr!H4)nG3e5oKqo{rq_4nOEF}skRIM*-&_B*{*7fCmfWd!~ z&*)k&py=oBhTSW$6&$LWGRRV|qJYIfDvBo)t$?Y{b{SOEXkCfe<+-4H)LZTlj+!=N$u@hp`RwU;VN?)FuXfC`=fD>xn-5c#fn&3i?5`V> zM~ZoZ2h)6x)IA&YszV!kthi*xaYCsi^sq`W+jfE9Rn9OYytiFS>3k@zF>9U4l|FspyNOZ~efv@%>ak94))qmm{fX;bLSn z8OW=soG{)rHQ-a~=PHgv-7xdAF)UB5Xy&%>OVhhc4W4rXJw`v1%fH-kpO7;Df(s*~ zv|7GP!UuBP@sSpz)K9(DY!6 zgk$8znJ_`9p&fMyx1|%+2eBqX9N|`&DWSJemWzn_Y?LS!s!&P{B~ck;VpI-LM3_tD zJJV%{!zDiG&lT~}yR<0s17zUBKBgz7ifCrRc5V zn&ikP6!mn`ish^%keZ0J90+D7SV9z8r^iO_eyK<)V{8K9cw{IRESRP5fXKy2r+;2U zaTFGR2M64nOL?R->Fmla+mL0U)lZZW4`97&H$m&79C8dRxRV_;>7_;5X;teon? z$6~Px7<(U=f+Ykh<$R#9>jIJJ%cLDlMp;k+>$Dk2_lH& zuJ{${YlbTkL`hL24;^<*qU&14pVm?6P#=6gNwiYQd>J=>G=I8iYru)WmTNj4AHW=_ zsxEZl9tSwzs)Dk?U>`+oTC`)oN7gsyn+V?DmRJYrjdQOd z4u6jlVl!Wo{H0$l8i<^KMiq9E{BV4eH z&viZs#XL=B7=ZCI9d~f}YBNOOhaQRTy8NAtj zOt`YqLyNeI5LNBNi4)S!wsvCSwl@0qf%eTdJlOq2?}MReWw^J@&EmFj7s@O6sDtKL z7B9`b##8j?EtcJCT-au}NODDv4NJsCp`ivfpaz#Jttt7j>=?Cq!YPK!Jyp7Hx*x$K zyM{FARzvX3wu;U_pq@W)I-vA6uY|07KrHGm>%dn}HV0r)WqaPsDjdIw#Ow!nHN|O~ zeU9dwhb^8+fk*Uy0<{|vN{)BPV9EE9l3$XmcpM&MsL>f#Qujs<#9T~$zSud7dsDil zU`D-^bhgEg>AP>ICJo&^<|YQ^sRA|0*bF0G(GjX(WYAvSAy2M?T%NtWY1JUlx0E4z zfV49nLTB(=ct@!cNb%-PAy45oDc|P~hrI1r|6V6gBXjv#CA)QCJRK85sYQkm_OlNm z+>ks|ZTB+hnGMVtkk}}NQo8=>z$?0}d0d)Fc=_Demg*pV4D%w>hkj*yTG&Uh4IlRL zUK{Bq<6TqF84&tV$n-HF4fx1_u_1?3nwEL8Q6e;nAPm1mnuyQ_Bw#;wew=>Gyy`Zd z=`Un3eQ7e|iExJ_5!Nc4^_fKS2utP8#(b-vXk^V)n(^nfjx&~dIByHC?+!-|NeB7s z?S-h;MuS!0g=3~be0&G{Z|U^Q!1$!AAtKJQ=vp$EWJ7=Z$;B;n&HU+2mY z@T($n@U=WI2F_7)N0mU{u-KL0vNNQs3s-#qqAq=8?Q_a-3q+vI|CI zEb@w=@j<)lL?T;=@qB$Turx?3d?R;*qU#*{fs2xE*+fFjbqfwC!E@U0dJrzvE)yEI zP_p7;I%XA#;k+0WU>_tR8|l?B#aya{+a3?jnECrp(l8m3L+pLk$beei)NdR5yu$eHx^0T{sJR5N4@ zY^azOCXNoM1~_ENfRk)0+~+^n~U=;c4n6aYPynJfDE@9SEKN+U*= z&Dx#LGglyESXUtfG%Y~st`he4kSf*!UFB1 zPOKA{7-Sz7R1*TaXKblG3?Ucl_!`w=?vSBm`Mp-6{1J;~Q(ifZH4iHAj^FFa1 zkW|iFF=qg;n70t@T-AQ`q=?X93T>Mq^C&juC@i`G>@(-UFxhdVlk94!X(oDMI1BU$ z6tH9RqBomnwy2e%u6803h=Wu*Zo*!qW1KR}bEe#`DDPEReC20wb{ljUS5-rJ_y>x* zHNd|3BbdTqo+MGHuWuH8K0%t1m|jIAq6<0C0j)jgY7E_~eN*(n=1X8e4|E}?MQ0U$ z@FEP}dE@MEC%cJn<=QE{9A#!U3;k1Y=e>p#NJf&pCOR2kg$$*FJj^XSO1T^#o3bML z`uHa{8fP^pN)ljeiv#KWm%V){372lK)n>SrmGHQR1H@jpln3MNy*98N2Oyo7xdd(- zMU>(5aLQejRadbbegSg;f?#*tN(6emRnB98(5*Ar27M6^F6FAhG0Ca*)U?s zM{x}{O}PWaqQPs>ATxR=AiZFNB_PU7SqZ=^o{$IU2lei$pc#8WcM`YLZ4n&cZ!jRu z_Ma5&ZAb4<=~A;Dl| zn}Dwliu_<%zQza9#e|1~fUU?6j7%UEUyX{Y8{o8v4Mzo7nHvPhVNMx7;haQS4$bcr zk#W<))?Yfoanmkm9yQxe3Hrti2#M(KxpfMNax0-z-+Vpq&Xz`JcOvROg@owTu(g1$ z7?LSH!fYjN5fR*ZOoLTD50G;Upa%T+*mKa6aEM;QvJ7O;LaQYKE(>j6okP_qwo9R$ zM7(f?y`Q0Y7%jtMe>Tr4jw*RZO>$!n#5Ne23hseYo}VUphWq=nR8hCsndMd_lr{UY zn{e{(c%Fnwg|}2sz5bRu%{x!(_!|5vtS(xxR3kR~4A6E9hMa}x8=~aKrgBP|7?LEX zmg#vugUk?=c_M-ju%53=NV?&}_tp7^8*U}VJn~eO-Jg$8uD@qqlOo4{L!KsJ+g@01 z$FG;GG)9J{2Af=#SA6*ylypi0WOgd=z%U@;1f!2Lf%<3{3?*kqhdKu>L z@zEpvh7)X9-z_O|2X#(KgYR`rofhE`T?d?_S9Fq%S7}`t@CUKEsn#SyE&S;nLSnwm z(2l5>8GUEr#898a*;-AomfC_H!u9P`i1a}AQq<;z#%;Y;{0w}_q@Uvv#c5D3_zW}9{IYs&}-VU(7Z z?-DVyqej>x-BRN{6;reh4y48I=9&#jhn}VA|GWbSHyb)fnhbv|mAs4>Im2LwbZcaJ z?oeuL&Br(PWe5NsD_CbOxD6>KFa`r)y9W4jFCeZ5q@V747&)E2YpvthYQ*xS`1rKF zEl$J}RC1A=;w(-&08}Gz7N>dYxE#wWQf_!lEBhct>71J?R*t~-69sdcgdeX_hBq1V z9<#9&#Nk)m+T#7mKp>=M>PBCvEww;dUSwMWrO5M z^-LJPuPNb^Wr0#^Pi2f&bpu%qX0AE!((HYR2}aLRMbu*@_2pyHmWdFrARm>d(m3tX z(0u+I=-#tRv~V#9ne@C~t)&3HtI}EHqAXxUyWw z0C1)wKG4#4MpE24c)ezzEX6(5kfRblU7r{IdC+{1JkB9LavJ*Z^FRkLh@4XU=ECAE zKEswET@Ygpq=V}C4qSU)BzSx2`VphpUbpSnY!x78n;8S1CsqyMUGPf|JT)>{V3jDh zelu8&`W=fNhEH?D;pUv*=nYOmBP>N|@{(nC<@ori{9lUQM%grx1}z#*wt<>`##Y?~IMBvGfkN9Gvb&0{Nr+ zIw>3P*<4ra+NMwJJWuefvy3Zx#>MlxcBu}GV|^`vIh!}7#ZBadXTma7-VPa>o~RM7 z*h)eQ89%Q10;!caXEJgHL(CA?j}<#b;c%Wc?~!yU80U_!m?n7iv(b;>j?x~8h$q~+ zM?e9tc9Ixo6m;L)Pk*7x`P%YzWIQ!LwuEjBbf!wzF_r7F-#xJhfK{+LNh5T1f^o^T zC9!Nd)w$kfBOSi&y|ui{U3H17q)$C{h3EofCN^V^)kY5}Hx#UnRAA4wM{B#nLTqhA zfXz%F{)4JL1_m-xU0B!ii!mM1FGz=lN{}xCrzDN z+Tp$p56f-2K>%#S?d+`$aBL^hm17y!gDpl8cq{P=lCxh+@l^et|Mo&l(epGD9VPR^ zE$2E3QRQ1)^S0WTGkqrDxy}O2RAnsSY`~kPzF{2o$!;n=-t1Ky_*=6gM#r$#nBPW= z^wB}x`oP}ZI?aE}Q{3cI`4|*N_gQ?}U`9H*fh`J1OdJgzH$G@b?!t)R2#UGgfGM}Q zo`Txe`&72<@xGc!ZG`&(-cl;KZ3FO9iOsXz)d23fm%%+HMT4~hs1$80C!IYYq9j*_ zqkZvEsx$;H`MxPrCVKaNc*Ai0H%}e^8Cm*IqT_$T+=zcOH)o9v=S3a=XSB`V>Cyj6 z+x)|7>R-@zy2(dUo9$6oBlAka$mHnnnhR+gbz&_4pm910bP;_1=Mh~lf*xL%%v z4L#lNUO!GpRkn}B5|xGSK9BA}Y^D%n(Nm4-Wh6(pD|VW$w^9x5;BBN)?={T4->&Wt zl2^-JxfS$3s}DYOWMn>JY(CyjN2|eq11^N`A)pCv%)L`HwvH9FMr+0u62y(`3pwj$)E*VcYN$gDUexq-Q7^74&!p2}55mR*!36h$+3NW{oAT$?P_} zIyQN^F!w4l*?gCrygG7gIz2Wa@-#m-S+x9_Mn{$CUHQIk57C#V6pHB}j}uo#6(b{Dl}V+d4-Xj=-PtVAHBF0DO*7W?~E-}wpU z?2e_}ZMKBqrAR7e9eYVf?H8{&F}s9RYzX<}pHXPD%&dt6w#{?X*CKq%>&?{_8*pQt2=wg{^p7v-D z*bfJ4bQ&ZqIRaRUagN=A(FOc+g?^Umig_%(u1IWH>MS<=e;+f~IFmaN;WhTz$HMNv7tU2il#TqEi}k zqO?ytlMpGl{hXHI@bu;KWFDLu-xq{o+2rp(8t7@;;TO@pX8v-rIBU1}Hgc#{nZLLC zjFaj=doUb>Oo1HBzjXkHvtP-@H6&aI)by(D-hxJnJ>p5`O?p3L}W_+YD zPZyd9ox)bFBAbr9`gTa#8s8$+7q!*(f86?XlX7V+D@dmWi1_O-0QX-C4qZ^lm97)d~u7cZiTBF;-fxEYac}NxGWdv7PvyC!i4ki#kKYi zjsS}AXI)eMeS`UafC6^To!^a;1sDmKoa~#9r75@1-VZ;4TsboBLe&_44O^)_ zNFB6^9NUmLi+;^%^oaSvzU6x{V+pp*_#E{kPcrb^ump}~pow=_;3`NFkb;Oo19sg2 z+_ZsF|vPK-&*_Pd)y=(xT5-M4h($M+|}*trt#V$0Z#m}6PsSk-n$)VaP zM4}poin;|{tFMlRf@0?#2P}Btl-(*WxmHs63yq^OIez#4;So|d;*RSzX&sYSdoGDZ zHN{P%Uacu@S=(h>dXe%^Z|;kM-ZLa!d-ofsdCGlyVm(mARK;v+V;hcdk(f5h$%&U(+z1+$g#>WH`PF~PaA-){uqDUTqjcMP~lAo82W5=kv zK8>OP3%%)OYOT?od~JfYZdL@`N9xiOPIt}KggDS`F(rhOo1EI^soB2 z3rT&-2h0TI0?q2#@K25-gD=OQ)QmhRZE6*Ah;YsV!io#T`ZI0&iweJtLdq`AbpdI? zzJkYuVRW2E9750HBKKd&o?NjK|k_T+GKhx~rfx8IMsjzl*#Un#ip zD+V5(xg=DcmQRSaz1u}ZVJkF3YbXrahLElkYmBCm4q`I2YhtAV(FUVQQ%1jaRfXWu zt(n*YjQ479#ZXZM%4(jx`h|AP^G6?$=4X+KgmS(yNH?tZJY_jHmjQfsuOnGf92SxZ z;(Mc!x(Y0Z+yqc6*=?s_6)2VaWF`G2+l5lNPguxWcdoFSHe_W zehztoa2sS2QfkG3S8BaKtO6lZW8~L^sP@QR7z5(|6xUMQ>CLrFm5@)pvI_)D^)*yC>qb{GD z8}|wzpY-$NWoaFygstfar14)qK5$X}W17#5fwTM&v%bi5Z27ZQvqBA?{r2|+qAVxX zamX;P*$#fkQClG$M{N1*g}Mty)ibn~yT*9rcO`w}w{Oy}Q`;)FsT3=tM~p)23<_t^ zJS+-AHjaVYBUrRa-y?eqCV+RPBDY!4H2%Q>n%jt8QV6+xWqMFt1NRR-Atywq)+bN` zYUb=sJy(4uXkTa%<(bKAQ9@@y=gh4#_Amubc&hpV{{q7?uY?6PTs{N6eLMB~J zy@a5pMoANhEL-Lz*jpTkFog~YS$sf#QaFby@tX=&t)1h)DG?}^8jru?4A@CDnJreR z_k|%~KVx$;st}dFq64`JgB}K2ScqKa@8x2YM~B64(7l$p4E^;nSkt9Nqlfd!dR@A%9X#F5$Q1nrASE}BJ9#`nian-cyY%>*Gey5BR%&w zh|PBixkoH4&~oA(Y@#QM=1Z_EEOlR5-+uD&+BLeh+4WgIp$C03gAf-**RT?r*FYgZ zX@5VEQqD=lwEZ4?ce9$ukI$l?1i)bXL;O%o366TZf-WV+KU6lHol7KfHDpUKEs}V zl)RargZ}W;jAdXr(%lJ;0U+l*0>>6bm zndN%ag`?^~MP}-D{m{X2YC24Po)hBI_c$eXR!yyr&%mFaAX_hWeEoEM&P~hMV0@Cz z260zaZi2zJ@q@RHhz8vlvC+{2AxZPEyg`gCJXKV}Tl^qf(rva4@`llFlkfXz4YrRE z*SIo1!XJhd3Seg01&*o^kBqjxne)Jxt>U(KQN3Z}ySdgq?jY(worGPUF*w9_N7tw` zVAfKNKJ;<`IO)Z)e|a(uX*Ko`dN4IqZ>yC9efnCHWzVkFZAx0^KpF{kaC?}sFjm2B z)rSdImyiXE*Tv?1%)Fnx`XRrr2gilm&uATo0Ia*Dy*fm1HHO^X-*75gG%3cFW%eh= zeKV?1TJs7CSdgk9`W;dE7cos$pFo--4X)70w5fZ|c@*e7IV)ShM8`qja;j(jx(Tr)SF&wtn|&mVcre&cwI@28~j-(NnB~e$)N-5lkoZjFNu4<(Q!+f zD!RRwflB!_t@Y&1_L3N*&i2=qUlX!?&@7K`irHW~H&D4@9vTnU<>Q4R%_zSkCsVVh zh6N_^37w}eW|`$f(_IG+!p^a%>{0RMRPjkK^yt!B<3W|dJ$pAmqaDG1ALrrBZnTc- zS>C>QmD~u8ApJQz-nv^lIA%&BG3VBT<3P~q36AxK)3GJzt4=0}D#=n&Py&QACg;hL|yPkR;RF6c=LV6P-c8IbfsJW2_ zW{nt=;O4(5li$rB8ocDOr@5*ZLs+)$i72mGqju$D40CBn;_i9fua`U?EeKrS=H9{D z#wlse2+RSC2(e+@Ef4$y70)48G-ktHIGbYm=J&E;6No$H!CpGdkgbDr;d>dOSpdC; zc;ySmgH9MmFv$h{0a^)Q`jADg#=Qm$9`(9-$plF3;@L}-lB)$kM5p^uBeXTT33V}= zD}ta^z~pFnAJgYKqyMXwxUaHKh_Y} zNxA^U&lF<7w$XpDZ)Rd)&NeA4K3qCC6QF$mEd5O^*{f!K;0m*`89W$zy2Ra3HrWx7r|{qrY+>zH}P{GqtE`>)bsuNB5|=azpmynhS*NDAx99>qgULK|3=HMwXn0{qD#vF6$zZ za0}Kig0*|wBjGK@MAWhvpd#8c$-K?)qWj6>@efY%>28XdD+es)TmJ0?NA9xA&l+Qs-uz| z6VvUgT_y4A0+9h}J6RC?lqaFAp~BA=lu#Cy8w)LzzH!Ir54K*;7#W~Kg_qW-1PyTr z+~)H@pErRBm{^RsR_eXcC|!vh_m1H))pXXq(ppTiy$EZEiYu+l-?u3^PS83k(vvn( zjQK9FqGvRg3)oL&1nbx_pd!fltoHD%_8Nkr-bj2ur54_u(K^$QDWhxLXQb|?uKnU> z<6Ahx2$V6}rH5nd5Y^OMA3#BX_O}xYHfB1700=UqsyvWB8X8$}f+Ot?@(YrxtZ}YH zA`Imr`6FSRU5jUUL*!L?E{~3lIdC4F9X}J0e1hYRQeyX|b04GWg(#EJepGX;Ey$m{ zP5lb`vPeFuP|}_Yj%={)3tquN4UZ4!N;o^GWKcTcLDfb%0BR>2Vuc{RslIa^+3f{& zhl^2Osz$-knh=T|WZ8UQk zYfKtON>w?bE38=|n!^;ikw`cf5Ysc(A)EwlYe)|$UOtsIB=if&fdUU$kg?dsohNfp(Qhq~C1h&pDUhmZ#~&S}J3 zlu2D+y&JrKAMJD^+<3nTf9=pHJwFw*#D*Fcj6K-y5ke^AB`yNzw06|g&c1i9opjKq zCbS|d83U>t$sZhUMSc=Q1#r83MnYzhsr-4Zl4EYyH0~zo7|ptgW&#R!*@Jpc3$$4! z_g{zgP)fV%>pvYO!Gw%aOvI$y5mP^|n-EO2=wylGaYmCFf_jXdO6Ylm7mK(96^r(* z4BI&MT0tv+`l=59UEYV0Jvv)4=v{xlirv@*=D@-+mL=++FLQNj5YE0e$faF{7Ji#rlYfN&+BPD8 zOeB#Bzt6z(3OjVm&0GZ}C83Oc#m=5FL;5q`_6;ylJL%>Lbc2b?9@ub_*SDF_H|^EV za=W+`E$lw_lMZ|MsneFZdWCFzVn)%-uFDuik{YT`?r2gq_mV&oZ_pD~Q7vBx_*#ms z?i0&!e}gd9yv`ieR>i*>Cl3p|C)sv`ZvI3Vj8JPUFBfHdC)S6C^?lPzGhg$Du}!OL zCy+{*{8d*xHJOqwuRey7${ZVJW1nlHy~uTb?#(EL#@C-y00F~6H+ER$*COx@`W?ot zOeaHRe)?b;P^Yq;z%&8LIff?W!M7wCsw3aGdH#e4g#Ik~F`yrB+&V`lP zot&jDOS20M6iU|vW50yMTc^&sAdtGxICcKLJsEX)gp;^T5rvo%`c}lqcB*!4F5n~FsI-F zQ&iHcG^I^uHRl5AwIOIB*+W#;SMWOXsoi{u7E%#3HInKG?=h`;F}Z|F-OU-2d(*hq z)NP~L<6;=sU#XB&W_}uXHp)_{kF0i}qwebdAF*x^z|nL(Cza+{p7o~1_Jeg&b({Q@ zw_IyR3Ni-3eIHc^0Q>cBatD5Z7A6K*E%={XGbyrGR_XU1&OonFwhfK;qmo;AHm2x7G>_5zfd*a^v42ugAqfe%8P_PR>M(qx=Fh_IF1B$Dtgy1iVH668wgAB%^~iullNVl0 zGai#zQe2y4RFh@bMM5d8>*~J61GULA0ekql{E;7*-Cnz&xIaQlZc-PA4D_&!5*cst!AZtiHvWx9SnhTZOy+1yo>gavDz@p9~xL!kzCfe`tgJGq6z(5KlNO zo=3>KJo3`f;9VYR&UdiMM4I6Zu=wM-H6KD$M(H)S9GTU*M1pmJoQVhb(Z>qpx1>&g zJjC32WuW#^T*Ek!A7=Wtt2dCYr0wTfde-PhsG%}@wILEyjeRkVw<}#fF(5LOC!yv}vr}R!XN$3hUH}n%DCx>vufV!9K1_LHx>FSJzKNH8tDOE{g zM)AZ@K`Vom(DoPPC!^SMgkx+rl+b>K`;Lcc>WT{>_7k`?rnm~TPzKz{7vNI!F(Sbz zz)_WI;WzM!9O@!5fevk>w*)u^+UlyLsz;cKS_dewuyG zPwrn$#WW`8gh=S}V>5`{;w&U}e~_@3IJ7GHR}w1Qu{lMl=pD9Ea@fmGvC!TMp|wI_ z#4^;$*|Hp(!8A)3)1pG3cj2D6M|1_1tU)Pg(el`~Nj2bY10Lb}uBFN?OuDOeYN@I)|cb5r>-u-Ke_T5 zA?gSm)MHnYEt7td+AalQ4Zggt`$go8en2t9vjfk3uI}(y+(CF)62rRwjLGkoF9S)F zsIFFN{5o>uCj4CnON1B1&wKM>;+y(R4658xMm}kdv+~*ZU=`m$EW~3>AD;(r{Ubil zJ8M)j%&P^2I8eZb95{KUNVb(CIK9*%QXa6PbAEw3L|c4e;$~(;4M`P$KWtXU z1xWs9^q;Gan4l)e)*;k{wzhKnlvn7j)-AAO9!^6QwK~(zuogj~o5Zh%A%u`wDk8#V zOZmL+m!K`1yy8|&-7vq+yIBjTHied&l$Up|4Q{||`OK(~nMr0zbgj{`;2n1R`S+S_bjLm-9HF&S1u`{jo2X$!uTg!WRbHYf~n) z9CC|^w&AsL_?m_8okKkJs&9W(vD6$t@7{F*;&~dy_!zwGQ8mk&m;m;7oTa6QTCHzs zi$RYG8ci#i3Z-#McP9^8aKdCS^ra(2j&%3jdRl-IeapG3Qf1fE;SO5s$PY{BUEVH# zCJsI9wU5=yKI<8uBJo&BrB{Ko_5VhZoIwoe_(##YN6YZy9mSTve4IS1 zKtK>ZTRR-h%?lx@o<|zC5>>2gmj$3eFG=0Oo`!i_pDZ=Q>b|Lk`vlRcqpFd+zC90e zV7b2Yd^u%_6}CQ9az&4#0vI*A>31f>4DWBl7(Mb|ZU`z?9>ZA#p^5r;;L0khmcN(| z&K?PhG&a3hIT5}feAk3F4}lF8znUsP;0t@ZB*fEW(RFkOyal2%EoEk0&&@S-HHk^{ z;+J$`qElr(BIlIR5sY^{uAt-&=<-Y2NnqsbCYu@sSjMmNs*|lpK!yE~Pd9N=ZPtph z5*Og`A(L;{MRRp-0*@IEna@NdnRrgGPfA2NIfsvwY&BV{8>9}9nPbRB7s`V3<|>sg2R{0atwt4MopQ84X?j0E!E;SPI8v9^dt9*;68B=cxg zo7NJ=HndfJCueRz;Y$_9e%_Wc$8}S7x3g<|Ic8BisSr`S7S*xg0i$;e^g$|Np!F(~ z^gaEaT5~TKVwJ#{;=PrHy#cEmkwTT@$FsZQ*D~k9;nneCubGHoSH}iVQa0M1fTun9(kd-_znVaTJq?;3tUWz@$(9?n zf1N6+K;APm_!lr3OjCVH)>0aY7HB&2V4}G>?M0BEGuWOzr;fJ7p|I?!-0s2@9m5V5 zAzFevlsxtlNf*Vqq}5i$^fZgW&SA7XP`9mvNB}=unKV5U&vdDXr|0m*5+7@Stz;1e z(d2WaC>7GBr zc;;g+ARXvpq5Ns0f=;GyC&`$vt5d!G=*tv<3tWr<^0}2rgNmf$#<&0xA$=e*+3^^r z(Q&_k&wZwnLU}QbpGamgD##b63zkeh>9$6d!~kPYB%6n38M$tuLy|f?G}(FVe4mY# zumgD6TMvk{Fpjre@2p6*9wGAW$8WFFmh6%vtb=}~YBdIG{><{kw_);t?CcjoLw;-v zfp$QkBP7{&tv4mbhls3c(|X;ej^c`#(+3XurLpIu$pUwd30`SuOslZS`qT$2w?8q# zpcKgf{B3#m@M5PGFXo{49L3XZM=0>4{tDWp5w!Cy(0mOWY0s z=bvD?o22(dYYE*n@uXjn;y5L^2*A#Ee2%j!%geWsy2;QCD`OP0-W0vPf!de1`ySfe z=%!KXvS^~#WIS{7!pABVLS#YvDv^<+=%uME-a!w5l&<^DR?I|BKd{4G*$)+4wUSSO ze!D`^&ImQNy*OiD<;9{TrF(|Ionb+Y;%&$tTk7}Q2%yRpBX?MjSOk}a7iNY%EE+fHx1r&_huor6Yl&p5 zrkq7{XSKOn-D;d0i{%IHWZ7CG3ze52Vo^sCPkNcMo>OPxs*uvUeIpD(suZ1kVg7kA zSF%tecPQqBK6|lo5A0j;ry8MYZ64rN>LPZ934}qx!Po`(XBM(f-)p4d35Bl!s*;i< z)D;}+6`0r`+FMdjsnxIkr_~Onq>m>3%qC32CAA>gIE}qHtLlw3=wg5DpJVPzmtcslFjs8 z_;X4mcC{!;#qVRyMHN7?YNz0w`6?+%+bYu*Kg%(n&>A%YRnuA~oX zR<-buf0*`xT5Nbqu_!?h$nXVtwpB0=LBQ2GqM22Mqni)YkPGPf+o1`roG}ZkU#)Mk zQ%j8{&D)xt!Z>pWre;b=L}N1mI?Gc_k<1f$re{h}+)TGH@z^27v4kSIEZ$Z-)tk!j zH6-*&D1LBCG{PV=!Lh72qF+{Ot@NSGA@~EXAFV5jE|U31>1VV zg#s3la8pab;AQT^{=#QiCqc$Y0cy|DH>lOTY)X}jJt`{*%@6HEP&Ekc?^?-TBCuI} zPAytf(CAH%io-;Llu6BrLzTc6uLgu8g*I@_0bQSn2IC%HaB(?qJuet_qX0M$O}HIN z@drYk4qE1eHr#--__4kShr50`p>bjnEZ`FhhP7LR3?*y#8$PS_bNZ@=p(NV=gipqg zv{LIC7|)Nr*+!e3U-^NcQ)!rAIPdDp_9wuHzx=o4faNa@F6}{(+u|+TcDvOnowrUI z=hAQ%Dp`H)OI&arOApWQUb0p6EItj{`RW7b8b&X80E#+poINMtk`V~Pxb65$F7a~Z z9?i(NER?tWLi9xN7n#7Xsn2&o83xk|+LYf6`{Xs61C$ki2wkm%)}Idwd-$9bAvXrz z)3}t8JM9NKU41!C_-Gu0pm0MQX)X^|+$}?Fd{Lw8 z|J#!2JKOdzT>5`wNkqp+|F1)6qn1SVVT;|CPR>`qRutM&h>hLptt5Pg%e$!a1!bKS zHo6$}v|;R}2=f z#|J_ddTu4t`5uzZTYW$SSkPeX(W%PCJQ7LmOAU70J2X=yw~+aVyV-yeFY0GSWhVhP z92eEkEmZ{g;Hi;exWoR{DM-@5!60X>{WvYFuj=j4m5u|C9&4EoO`+Q$qu;?p-Ni#r zo0N@T3|uhQ(DygL;a^C^2&SmkcZ0pC2%7Vtz%u0m15V$0)zK`X=ROim%bWl`)v}W( zEsD;Pn6Pt5Bpo-CsBkgEi_Cdz?dH-k9y?g-hqjl}SK-aJCUU0RZjiPvP;8FoxZM6cWpf#xF%?q< z#Fa_3>!3ja7a?v%vPf_~BQ_q&Hs=M~Ui9m4MNl1?$!lh~275Cy=e6ztlVX1&;qsD8 z3}Whk*t8=@z7aKmgHgc6Z|s>vpI{>sj8c|<9tVjc^$L1;#v~c;@bRac!DG`7)ENGD z0YJ@wlhXZ>6dx3k>HcmCpn22@Wmg;Z#PMtt?xB05YeiTo08bk;wi*BoZ+Y1YEI*B) zD?4NlhxRPf(lQ`G(o-RMwCRBH6&l%Jt=du>+Xq~6j#HNd-ri6$NIl0n7OuEw8h5JkpR?iSiwLA$5bt8dqBhzw`)@C;4sjoohCj zU&K<;I0lT~LX*!Bi_>sx^LW#9%`=zQL)!#gsjn>eOHM^xk{Q{p20kh6Vh?XlOZUFMT~uQjhu%iZ zM19w7{b4j;b|d1AR?k+~`FXro-vCt&03LtMor(n!FakA*2X6;(+{ZMh95ujvo6d!w z4rIC~$t+EwA^eDKpheNcFy!`!VVob}hd)*n-Hx;JIl}@W-#G&^!A-P4gqA?<0shc{ zkiXA|zy-QKHYtvMGU&RXd->N&4ldmfL@8NXoQn}sbXubn%t*Q!lYL|uMI*6OhKX1S zRSf+mM8skAka9Bx+8=-&DzrBuh%$emlEVdHJM|+JKoDKE8sD$QGV{E^EW1Pb7hpgled*<=Ipqm=XkXd2du0gc*@9M2~4R zD?v<=y&%95;{`8zbF+o85DY{fKLB+X?0HGS51-A|-5<<>Jsgq(zw;|_4gfyQ~fIK)+Cd?Jb3!cNoQ3Bd#x|E(^EFWAY%x<+R?x_4x z&_6+ydFM=^E7vZACxrcvc94_6Mex$go$RFPk<2poRT`j)LCsgnM(scS37XLFMXG3(l~ zG?1>I@UgUj$=nJ;SdwRo39v+Nj{4p7DY~H~G%Ugia3a?8B5_kXNA~0KS5U$lE}&L0 z@c03Fm2+QJiuH!wvRZLGVb69x!_*Vs6z2`~gG$7Z)M*S3rhv-x4EahNK1Rq!4$`>- z9|4f{Af-VcA6FAKZeJk0CbpoK0U&hE5*55I%ByI)DLrK@1IzThNZO*#HVj?MDjPrH zA2CUCZI-#ht01QiNQGX)|aB33@F>K>AO8%X}^OF(k`Ki3gXXkPZ7J9v{@ zG_DB{{UG6>vMB@6f0(~3633HyHs4Z&94PpEp{O&7MG1)RwyC2OF{HHnP%6=fsI)1K zO;SDp;}@A#i7uvi#v{x6{vxwyQ*L%ukI5mGS(VKMo&2$rAZ(JthBh=ApsuOMRq ziN}-NzVv#BuJtlG6RNIT7f7TG%2_OLAY&1Uhm&6JMtx&{jI()DYwkK$Nmy&eL!cE^ zwW*02`=62IhI9>x$F@ax^XOb6K7!Tm0n*WAh+dwcm{SJh2R%<8FN&|`vr)7m?yVnG z;v@-0cZRnnV1YQjC$YARpK9B^Wzm}eT*;#4dRS z+FlPt9*3xvwuBfBtdkf`n6?&mFI!|wAl z;X4h@ZViKC@IPaL=9tkDbU|HCZ>!2sUIm+wWN}UyiVIc2b@Pp8vK@JwKgQ@-mbAmZ z@C8K2!AsD+V3B!m0WQaH;1c&&K@83Wufjk$Sz~WII?)&Ou68Iz#DRLyqD{p7C;}Qoywm$(9g^haG^zw=y#kDPUF5KQrIRaq+ z$e407snX^yPQs#c%}bT=Vp3Y?%M53qkra3t{mR5781G|c%P=BK8eGdR0~)PUb)T$5 zSFES3RJm(vHCLILMza9WN5n!4^>E%>R?q{ zOTXhw`+G%#*r1cjtEeJ~sX~L^b!64CZS2QQhDO%;cPL;%Yt}9#M;g0_QVqhis@59U z0>n*@7F@OPpve{$bx0N_E%JP9h2;L^v-nOTAXds_aq@5K*Dd_N_J?=fQfKY5e>NcicZA2ACwF4<%W72SZzQu(Kp}XMRfEuV|=hwfVitLOM%Gc&dGERGtj&F=cg(G3_Mls!Lrv zpj>Ghilz&rT4!~$Ln?Q*Ean86pETtlEy;tWZZ@hL)5Cg@nN`aotHAUZ!(9Wq*;yw?JqmaL2UfYokKpsC=sYp6sQbN$_5hseqzx&ml;f* zW8v;D8}q`irT(Fj0A;xgQjXIr_NR(R7C_GiY=s}ouQaA!iY~Xtg6rj~?6N0S=?Shw z>EyxmUld%EOM@SCm9xmF{tkz_P>bjwd>zAmaN&}xcohDl;S6QAy-Rr1TfmL@^!ztnj|pC(V8(=v5vIBo(O0abuyu&R(o ztCTTroR(I}uc4=uA&)XD^^{D`ZSGFi=W&@Nx-TqUNCeq} zWr?d*GAij3G{75GL<0tw`PCz3R5|Y)O(M5la%a{yN`{hUkeU3f_6{Zb_oUn!PCrrO ztvlAc^oz*QFXdoiVbgE@dY~PZy$4Hdj`M_)IfNzEX2+KEybVHJN{yZp2N+Nv3>c@0 zD+1f}TFOr=_>{FHs1@5CVC5gn#AS<&)u9L}snKzq#5z=G7_~ofOkJR13?F|a-y&eB z^(O*Ub80mb(gzGWUzRm1Q+8GT>~>%)-yPG?UgrLJli9|VgJ`&|6LyV9SWtF3<)h$g z^g-N|VSVc-=hhHs&js@4h>Jo z64*-BKeX34{0cGEiFD7be@jbrRi@rm{uO7==G&3nR+1!uvS@!2S>}0lVGH$Mu$GFz zxOhg$`lLLx9$=!yT3Mv-NZ44k7Mi(G@b{a#Bgq5PBK?{|I;~hE5+U9q{mDaw`~8{} zu8(PYl~=WqF#t6+?qlb6r$>($6Ph-Iz9$XmYE2hfmZa)BjQ6A;ZpECk zOn1`nJ0z}$har`q4s8xw2}c(_Is-1VQm|{SjFV0Zv~GrjO(YxZqN9ErEqVnQ-|shj2<9^;Y6*unLOq8_Y}- z`L5>cigH%0yfzAz=MGLkt(4wlMCKPD#BmzIPg<>naWa_DJFEMl$j;k)83(!7;D1YG zt-1-Bd*WR$oDECqn-npcnKNk2pDtz^6nsmDY1L&Mv5blI-}5$NnM*-}Li&?Tb)yC? zv(RDMG<6bTUN5qa2>+hr$&fRN&E?)VPtU7?fKIS;t`K`_P22RU6I& zYuol&m5T&trG%BJdLVmRo|UMntd4?>5dK?g;k4?fK}2Oj-({JNA!}-bT?heo*(aK=l1gam=Y!>FFy)IW8yp0Z%lC=h~F6}uxnfrv_ z>!vlmd+8btB0vIN(u($Ni$9vKl3%v%E#qB&t1hHpIa#hr$?U_HtL;$$!@1n1x6W#e zUshJLQXp`8D0P)~^P6ZU=CHV%=Nm2X-2fy zuALiwPwOKr>d7rA3c_)6y6=u;6F)S}A^L_OHgQE@t#i8Yj`2hOgUbXzxO-C&>&6Ay z0u&zmEDYWu7ow+it0gsohk@H#SXLCkm3#^1<O(*s2m_TqT z-9UDkb7{w5QLqi{EBh8Gfh^$K$rFS09j4oIACjkb@bkM9&95W1LD^xRfgRizEV@RE z=3XU-xH`5?<~zpB6d@b~GHcJ#9jirQul14$|8-}+)Jcbp6gkmuq|%6egT?zWYb;kv zS>)$y_HFlcnaV%ek&D6IT(WGsri%se*K6tQGHVeM8bOqaj2w?G&#iJ3ST{>W(RECT z4UsBjCL8{Cxk<@43Tdfb$rwL(DE)W~4NAL`>)gDo4o!9{p4}$2puAdJS#^I`-;-%V zavfF&cehtqHmz1xh~}xP+{fO^_u#xqs))eCXQnWj4$7*i1b25`plzbC6H`%p?QzWKEF-}?yw!t#9|#-1 zPXPKx*c_Uj0BnF~nC4-(Xkh=d^{2Ln$^137w*dRlEkdmK$&c+4b|Rbs-e9M9>5ox? zF^D?vx5(PBFG;xKp<8loS>KBWM0N7UB@nvcVW+1;9O|6{0a&=&c^qf89=+0SZATqL zt{_5&E5bO6h%5E)MSYWL(X~V4q&M+rtw)FFF%TnSpFs4AmtbmNw>!tb&Y=B8-|=vG zmMyjfvSRGBrR*dDo4Lx%`aR_&;cSAUOZH`A?DX*lMj;#nB{yMro;k3bTzD-^ZriD< z;Vw`vhLxz&2vT%SZhNrf&jl(u563iY3WMD#yc!6n`n=FZ=u3-u8gKS>F9&6etvl3@+-lBalH zFj;gVkdv*3^@wgQdO4+WgJ(a?xO$I$M6Xs2HACGBqQW>5Xw(WSS9RmyFxL)sRtg(+ zNo92CV>&rwGTZduFKc95t43i;ABF8U;bu$qMc&j4&GF z)Q0f!9rDP+cl*_1AYp`!fBeRYN~nF=RoU6fOAhxnvFCQVuE(4FS%iW2Aw|nZj16Gf z>0jDoC9;-&qsdbBu0!><(IsjB;a2^kXJjZJUFpgppqR-N;0UMQ#6x7;#RDpS67~*IU){L<6689;L*+dF30C15#<=#8 zU1%#!lY57;;@jY+3_dnCuID*92Z>J-#@vpFIfSv5tp{%2^%=W+O&IW6(b&Bwir((( z;zJY;^;o`Lvs3pe<+ai2Ro3R%<^tjNP1e)UK7Xd-5zvivRCj8-l83MD8Rq49C}|{r zW1o_!w2k=`=t~8(T5Bzh%2m1>+O5-@thQWxxst3e*$a68t_!Nr^N_OSalRMCv4*w8 zNqPxZ3oK_BU+X;7KU6u)!^qfRT~s?#$5iH+Fl(Xg&%(iecI8mjRf)Z)c<5mGgUX=_ zt`gfIr5wn?!FqY+Sk+a5a~wqQwB+(eI2GZBI}6L2DmQ=*?vdy+ESvoP?Sxi#;hXs) z|I~~bcee(eGcEHPm`(f%J%0Kcib^vJ+=TfW;ZnInb}c!wQ`dda!@A`W@#CcK<)}MN zdWJ{R>qv+}&gzKktkTaA^743_-dp{{{#bL|N^?r&PJHnfB5i>2*MGo7{%56z{~RX& z&9(6_9jX6UfM!@z%I=UAp$GfcZ%;vUiF!A#Ks|4rR3OTA^$hFOU_OXV;W95G+r_%* z&r3GJu^KTa)KD7-IU&ap+n`6neXDPrLa5)2hAhUst`bGKQkJIxno94>2^ZtVi>&CM zofor6_j3TI&Wo4$6eO9dJSj>&ZR{4%`kR>)DSRDcdT>gm*2$lpR6%*g3PW``YX_xs zL)OvO<@@N`Hr8UT{T`G`3xCGaoTDuseEV>jQCOLc z$VgqGPmS(&g0$#XiAWKUV3^jYG z%2gfUN`%>{3`7P-TwH%qQV1nkm`aGp4hU39xtax%9J+)rsEtBy&R(1ucQAcPVsL)R z5*;ugKM0#3Zx#N7N9rfd!HXrwGd&WGA^M?9LvwICJ$!xe?!?7|_Jw zTcL58{Rc)M(TiB(I{kwmE4Ls^6ZWT@;$H9I=cvCpintTnHh%UA-mynP!y!3U;h8WD zjxNjI+*TcR*=uM7y@#F`A)jo6Ly0J0S-FoWoJ~LIlZlrZy~Z0RDZ`Z`tH0cV4~jMO z?tzI`uRuUfDP3kA8mSzN8{|DR|3%%c%#IC1n99c$r%H2z;+ceYyFD)_xC)GEjI5#_ zW!g|^QPYr#;b`k_a}!vz&^0DU5@CEhdO|$b;VfMdtXcMjIrvRWU@hJ#7=m>S_2~`L z+a)FxRg00>*VYwz7?m*Dp(Dni$7c7-%-VKX{UDs;r&P`1tz#t2Apn0jvE_<+n}X0O zXw?=oI0L_0)0rij(^UL0_4r1CgAY&y@sn@K}qmg=Ow`eHc z#gaW|8cAI()BKA)>N*~E6LZ1@+Dl>hmtEnvEH8$)vl>880PuH3qyJ7EWu!Kh{+?Y| zxfwff)6g*8?mYh35bi3koBfl0c(@WEa0v)s%&tmi5v4>+cxQq$QPx$?LmOX9f)$Rfbq>+rQAq1g1T|uv0cSmnnd2VNefGu_M)SU)GkdiA6SFd5e&;%zTlql&M!5 zURXzGexO(~(vT*bCFXl^m$AzOJ-tud z*y#VX)16ZAyI?1ozNwMS3nliQCfA^NHGXIto>#24s;pVO8U~lx z^O&XG{7sjf1>BFj>cS-e<8mK1Zj3Ea-yclRo^2e83TX#?ZTG~+7Lkifai4~@Q7 zXWrFc@&?xQ6DGXGzt=>}G2@(-Mps`QLPd~=dkR2_xdgCzxB6W(Bi^IKornv?M}NVZ z3ENAEk@D;Q_62;ujxdk9Kl|!AGk}#A7KK>G>*_tZYTEu_7Oc%E&4~!9aAa^W=!}+B zj`PcXQ7&jJ82jXJ41jw$|Cy`)4o`u;Ja|&wmScGcePBi}NtEvC=aA zy8sUp`#-vN|3bT27#RK`x%%(WZsvav7}Akxye^5~$*p+6n_18hM$-ePxng%UF(k`* zC1#4MB2y{PT%R)h`eH5!5G`01ujM|jI1K!_h3v=#&xmu&QMIdnd)<9$t@V2)@%}vY z+u8jchqtrKyENMC)0gY#+jX`qz2QUNEj!`;dBJ0b(P-lB;RmzLjP`opW8@#Lx3k;x zCs@w6mhZPj^-e8b?%$`c-vm&9`~M~Z5?k;%tCZ}&ZIR9IqaT}UyPv-wa&PQ<|4JW2 z?Szb5ME;$ymd{iB@%9Plh@!T(IZiFJkH>CqpJ;O)QuWC7{>uo1lc!cm>!SClK@}o1 z>hIVxc=6HGQL3^o5<-A3IsyeXR5-sFXwfW~@LCPjSnzlo{MA;|tMb>4Ui|>`Vi)V$ zvAmoY;m$I1h~HGm6OJ?O-y4oa%sF;b-(8`vDIW`+5)~G`cN!{g78;w2xQ;YTDt1Fo zJbF_`o65gm*s5KVP5yuY(Jjh#O6GaiIjR=u7Nm@UuIdbmCKb;S2tPWK(C`5sa}F7V?gX_;NUG! zdSvR&xNie}1_U1_4Q54dmqnf$^|JH~TZo5JvjQ*5yQUnR0&G%^Rh1tno9gk2L?(k# z@!I5wB+TEA5y@U+462Zy$p#S7$yO{_Rzy21>b+k-oxkCt{&pMF_T-N%(R@=j3xqa@ zMJ)3tM}tTeDQ8U-Ew8yuMc4cCeA1zadPPslGdczyyN4Z<>=c`w%Dy_o2oh-mf<7u+ z$lNIYE7o8t$V`M5eCf}z0tea{i`Eoi3S3QYSWL`Z$ZQI*1+r{f62;;zZG`d-*KHQq z-??jbOOCg&>cApGjNXZwZpTkuAAu{KoaT*{#jc&Mry;CPQm6#h<;jGVKjOfLJ4p+sb7=O1#&;ntk=Ree`CVJhd!s zzN#ynO8~RyV>aChc?Ml&MxiwaS*5a^sQ9?m#>7;&NlYb_ zK+vDR6ez6DrqF$~j-$#oakh?WRnjfnr;HO>lo_YWU`L*rD6IzLBeFBFc9LqSSDqG< zlTv20^3GMc(iAlMDmpNeTldF?zS%6(DqyA7N+~sHQqu!B$B~&Rh0I8CIYFq1{oeML!Z*%=+6=`5Px zQ1MxB-x9tQ7uPkCfWxA-0~ZEf(?i{gB+ewcP6Vn@*o`p`o}K8emrtLO0VTal&Ofas z1B60JuPMwz+lyt+B(WRpXu#kwox)gSoReFkz0;msP>&f3lk%FzTh%rWE*{LJsV0m> zDFiGGNMuw(&m^@-5kpLJ6(p6zLhhyy>Y^ttW#G<#u`Vx`k;>qp5e!F9Bz!J16yCGq z3>hH)^N05eT+1a@C!67-ei?|G{6KG(Qt2siKCFW@l2nU4%8kWLPGM|wdLeghR(e8Z{lF*PBSLR(VIK9T%8 z?G%I)KyCSOK%jJTcA%O;3eBj587DJbK%rBvKV2&+k|8W$N_jPRXQ+^kPLi%Uzrd{U zE4?B*&VX$rWZS+iATxM-ydpZnfNdaT8{wa#g^9{&e;xMnfbH65pNwE@LxqvvT8yy) z+mg*5DZ@?Kggd%xq1qb24snY(!-3W`iGlV~w5kq!p=E)2KH7$%Fxtw1O)_Mgz9qQ? ziZkab2#3MFg;^lB4_vtKW5$Nx__%JqG-J%lD4rCKnafIQ%)%5(e;)0E7V&l;`>M3r zCf%TZPg8o6anf+RD>coizVmf{0JwWytTNJSB!PX_+lsr?Y0ao`&&@ObXej?Z<|ChpfL@EvNE;2QP-C$aQQ!Lj} zJ+Rx!6_zi{Ggnkw7<{CmZi0i_chD^~Ia2(u3c0?gXKDFAD!tq%wvHbERAdbb0iV}y zk;G*}h4-E#+w<}v`)jbfiT1^CrvD)AHuxr!0tN0&$2C1b~$nn<`Sbu?I0 zjSF=oEZkpBB>XZIHIaZBH~QyZW31OBw~|%?=er?iC{$co*oI<@H7^KUXP{W|_*sYG zEBf;BU;Id;xR=|B91UW zRRcw6*s)O24iNdtBngEjt80UtH~g5IF{71N+($6Da0+c2%9<7Zy^sa5e_t6p4~);Z zSZtv$Ca=(SBD^ygmEc+x8)Qgo1u~@3#%E~n{hAN9b2Nq8$CrVcI+|U}CWptAAty6S zv4>ko!k77qUXQr{ZsPLYD5(IZt z_}DCjELw^H{9#sENZHgR%L_W%%hKvWE6L`kLzP^_tQv{{{x=D_Z!&9T2^=2rD&`VP z?WNfdWkCFj<1)T18KnclFR~}&?_m0&_0a4KSgu{HewhM?28KfRwK}I%gA=*d@8!Y1 zf}uh!%W|o0y!JK+*dn&ZeyfaSzT7fgdz=0)y55bQa}Um-hGy4p^~o68a<$Z@L@KE- z%)TqB8&C*;Xyo#%L(luJkRhxHHswA+1vevHnW@^NzA=NZM-4=GyfkI+vKL*bDi7Wk z=bYgG<9HVCVvIzKtBRR&OETrPD$pQSd!$rIyLWvD8Zm-lzx_66kHb`16<{<)(a6gCG0R*G2PNL~v^eG=5$8f~Kz!m>{+z{nXB6vj|$*l%VSy)=K2O^osF zUTW<4t%bB`)x`8~Gbjtm-Y12C5DEyNY8?SNHQtMMooeso@t-bgUS#h@)X7;Kni``5 zZ8I;@wcYPXSq&?&c~k1K6?TCZFe{_etD<2)+V&{LW9w@+ll@s-TzABmdwhakqXqPQ`?zWwnFp2TUbsUUH{xqOgP^{0*&#N_| z!;u>#cfm%2{+UW zt|c9&7T47t;=e>EH5=5HO+BjVjB`3pkLmu-Eq0x#AE74Uov6P_hy=h5iMz^)T*t&2 zpDl<4bPb6OeZr!NjdEENhCTx0@qri;ddS|MnMJR48Ig?fMF--f!*LlCqJ1{Rf2CqX zsP7UGjjxqLd<{u~`|gx5IUDcIu5sS-87+>%z~l(!nT{3JcP%Hf-2YlPqW_^nm(I+H zkof!M36aZq0rR6tf{2d6qP|CnG`n6d)A@jebUITgG&aq7gx6+a%xkl#o}1z9OMb@d ztV!-EQi2GC!Qv|q(lAV#^Lu<2M)D{f;&OwSgHvRy+(Z$H!WiN1Rxnq(T1oMhUO zW$Is?WIDpt%rUtfyN9h`xRpz6(-nv4IZ7{@>6* zxM1ibCf%Wrrz=f(^JmzY6ahW)athn-ZBld~>?9s2+BoG?cVy&GhX|7ANJaz0N^anv zHRSc&j^{_QXQQ*GPbx!xa6)ijDX@AW!?88W(4NE3>>-3H=a$uJYzu6?6pLKKMqqX3I|n*yqv#+4Iz-o0!N{Sl~|MdT0oulbFKWy9>QL6 z?Ay!fQ^m`jz_c3D^g5S&GZNrX>3I&zs_cd?w(x=3=MsL`%;K1S$w;Bq>(+-6$A2XM zas^7kJ6FJ@dMeW+4&6H(x{mESs2J}dq$4i7KbXbEcP_gzw!Y%ZEjFlpdAHpa*!i(I zJJ?-81svp&S+)ZUI7X4_y?87w@=Hkt4B;nf zM>yqaM+gkCB5&qS(posiZ{9(g1nz4lz(_mCH4&MRY9O5RYDhSrXfIe(&7Dc$bT;|B zO|_dV^OVY{b|8|QrBB+6(TNKHlsaVJUuAyV~BW2$M29sStUs&rO5?4y{kG)Z(8Ix52Nq*VL^mliQ?ip&k z^X)mM2%~s{eLwA5?_A^}kCcCQOWXpQYVW_QvM$=dO+`fAEa_{s)rV~C{M15w96t(a zxLWy-;UF2PQu#HGv3Sbag`yyeZ%$VRTG8Ytov!h`&v9@>8g|fAcvs|&_59^dv0-P@ ze$9C3K@K7S4J>R|g!;Rj-yu#l2RIV0c}LsjsTtn}XKvPDmz*Fkl~sseYLRe>x| zyknQCvZ?~(M)YSO#M%d$mL$(68Nk!?4O1ca;R1 zw7bDRHL)ol1}szd%;QeUHy`w2?!uAHiIJkLwL@N|TRFU9%sH;M_GQE)*{`+~I^9(S zbOwU{%9?btZ z()n#;BU0qFG0p`_U;Mni{}BF!uj?d`Di%s__i#jT2ac_`i&v@PkNW0`1#>#OR8uuq zT+Msy+1N?n=EnNO{CMxT}xom>g;Dm1QYICXe_ zAd$J28d2F5zrBvMfL~Q9#jV*GlEqes$Jha9fe+MZS{%e6b2*uoe6q444Mk+L!;)RH z)^lZN-*bJA{WxGb2Lp^E14o&cgzNNA8Z5q_9KH{F@ulL0F7AT?{AOQ<+&2dg;nBF$ zU&pL@trbR9@ASbTeRu%;y3O4~7t~evQxR8pN-x57r!>F}OW`E81w5X?gm>@sG&P+O zE<*!VQ*Q$KgL-DNAv=7CUT;adwa}Z5(04Jh4@wbT0=A$NeFYB5!ijl3mSXPeg2TT; zycVz)iI7|yuAnCN3uPrVJr`QAhd2ZchTC-^weTPh97)4RqmfYF+q=xg=Uz?AJsZNT zo9;l*?(RM%R~F(BeB z%YQg}J4;5>ur4~H2`3PPFM3`G|BX;bsO{h&k%gU`Gz|AM+9SIN4;hyD%Pj%X8TiKI ziNJc-GdGXauP!H4wYHqY0T~7E(OX+=g|pGWbozVfr(M~arJT1pbiDziXx7>xSfabz2NmkwR3f9&F!6x#bE8$*Ad>tqDO2p&o=1+OBRN{OHE_y@$R8u)%G(k*gifh zZtaf)j zF2vJUC-xvUhr{13o{n`CY8DrfC6#Uxu|k;~m|P9%iS-MnGjBIRpU{~cV_Z$&Wuos2 zDx2Tp0AFt=vR=x+f^q`j<)ycxDUc(c(1v(76mJv|`K98sOwms$LvY_8NuJ}&FnhxO z2Og5U*#BV@vAq2@bVnnzRLR~f-13kx^bO7ZZlt#MCN~;KD}PLx118kM%Vs6sc^zox z%VZV5Ve41c&w<#r(>%N~yW~f&^&$#t!#eh{_MEvu1(1PP7<|1XBXAWN4Dr_N4^dgZ zewtZr<%J@C1co99l^MK|$(Iag$GUo>A_cJ~PS+;h8UY)IfwKkD1Z^@XZ4*11rTO1!)U(A>oWtiy4!))1t?TeJYaruv&0Y>tI_&#rs1-7vmS9 z{#=UKyNY_3bGQnH2JNf(H!lzaSRk_kJ?s{ycus86@%oji7HurtmI2I-xi$Tnj&zs@4LnO1lBDH$AA)MH-GUe{xKr zfUNGzG=Nc=rGCj-rp)PfC};hvDRsaOyj)8LOVk$d3TywvMLqcIRTg&$Z}+k!FIrfE zT1JQ}^^S5%RT@Ga`o~-(ge_$uY80E_jRr&hu`VqAid>LN%&bDwOYP05W_kBUxtEm3 znyx|1>8R#js~%C&TqzrMdH*?zMaL#Bv-({|vvFOpf2VOGz;!Q&1*^(E^DUpWfcl`@kmu(H)nbfrea;tok4m98^#ixh;cYRgMb=S2pq6#m>L>ijFoC|ptb zMj{&iX(aNGo=7P+*J90jM;&eNzfmcEN9(Edqk3i7mezB38vXerp(H(EzPu1 z3JUL2>cuPyo*(-PnOC1ySyP@+T(L1j&#_q6c=McuLaMcEz(QM)H$Y}jvZjd-jF5u& ztT|3T*B&_XgxKz7r(<~6>U48lMGPWt0>lRhLCpqZ9)#%Zj13~_?6#2547yw1{WVfs zi~!*TqoaCo44c`DvLNci6LJ;Mk;UJwriCX;cAZ&(zS9Z|QvLj?e@fADZdv!2fcJS#{Rw**h z6t4C(=oI%+e+nQC&THsv$ZPuBbFu~kWSS*48W7fRlzZo9%X_l+wQ$2QaeI<=9E^Cy zk{rlBT#jzca{cn!10AakaE` z>4D73-I|YXxnYlT=|b2mO`Q($@6PJJRjY8h&s20f%ypkwJL((wl~ z<-pL}12~+P!jrQ88iySsJ!S+FW$!s-XXR6pY`;WHjHa=gB?q(&w3uEF4DkZ_NDF3W ztH6z-Hoc_>mbjWF*LE%~YuMs)h!%Tc<2Ls}-SQO5fsHO7l z(onAqDhnkqadaFhBZYr8V;&v%Oi_@FE|_u$rq_g|d}=XTx$%L0&=TNR!|8ynqm0;F zx{|@+ihrQL75536|2sNcIIfO$KNu)%i|Ss*1eymrAFV0WRw{WV^GaCIq>~Q*91$$H z?Lb|_ud>yF5F^5L;VclMP9jWYIcSVHh0&)d><dBjeaenyqxAaD@62UVHZ(av=$+m^ECWG0m zs6Q*T9UkPjqv!@vh1)Hbf&QI;2AiY>N%?W*wBGmr)VhE+I4K)`4mpo~u7h;f*`=Oi znbIdH$7%qSU`xpZUTu{h>_6x=MSIv4E~tC{P;Yl!~rqVW~u@ znx3zXWobWCAHJuK>#gJncU~QAKfFiS*rls5rd^7NA=OH}vPURh6*NR%Z9Kf!Ju?v? zA31@Xu15X=y0XVZ*G@hZ(3I+7L)8VlJSkB$Y@a!Vr5)Hrz0u-&gxGTO+D7wdrnC0m zl3!4yT<&OlA)KrZT&*p9(9t%q{+;0jcy~HuvpX{ z@oscO!LSWZGG}VHhhPFFZs}Ea_uk^rc30+r0F51cr~rw##Zygw{Q=>n&=4&m%*w@S zMmkF6Psvi^sZLYS>zss*XkLYTp22H~{I1Ys*oi;Lm}qRa7Symc0*_%Hzp3#-T!39! zc0v!%TIzL!gK9igLdE~u;Z{1RfM@ib;no~I38}YM-t7!@ugB|KYSaz{H>UB-6~Mn3 zU8eIrS6y7mr4f}rP6%kcTpB8RTwrjQ#*f>luU0Y}NmSK61j?{Pwq=<_hV_6bf#YpvLLD-9K&eu-u3si6IMzie;3lCHYSLyGXKop~TBT|7p)a&>K@$ zKFIyKb$YTlfbc`GT&gP64~$CY8`ZAs{0G$z{YJI-L7=A{O!#E=yWMJw%i8(d+hT;u zP|Wd^FuLkD@5|F4Ye}HamvZ_eMaC>{_Rp{W)imd!mgX496 zkP>Suo-E(jpA$JdJ>G7g2e@Ot&e4JtoWz&7mtK51<~Y28-s61q+Gt<%3zeC;7rz6% zzwc*PRDXhAdi}n$wS7$Tz?|jzg`mAnST8eIWJAJYqoDVJ{1!LJTY2s8?%=)a$YE6A zG)(k0pZri5m6@zzm=DXcU@s2eJf{@V3X z)LaDqiG@f}>}JXESiD1SW6}Is;9Z33hROAdd78vzwRL5rv&>K=X(wRUU}@q;K%^Ih zIGMVb9_A~eYv>1Abx1wsq9s;oLm_}ccUU;nGH@Z@Rn+J9vRd$Z`9z8~K_t%A2GX)P@?-U~{W?oNYb^-Db;k3k>5`$s*#h(MozzLaQRpPmj9J$pB# z%8CY6fM}^9>?lTE-Uc*=ysz>o!AOYVTn9#yTUx#}IF+3!upKBYv^N4h`7JQ$@ir93 zFSlP+4B%k8Fc>KAd?R^%gWT-j-&#OHixu)_7}q3k^@_|>{1jNmMJNC!#mG=h3X#F+ zqyj@x$$5ODV)L~4?`m-hEYm!c0-|i3bJ(XSHU?BBM zZpzw_dkmI#!~jIdJ~8m@pWhgozRMNJ$TU5HzrK5gFkaKI)gMa(xK=BxKAL1UYmX+q`wtK7t_|jLZZ4># zb&2c0Tc{_o+0yvY<2QmVZWCk7z(^HOQ0z>rkm1GG$o8QvhzL^KWP8#+MEEHka(g47 zONuX0ZSJlAxA{{0U*Ra79czT(|8KAHmI>WOY9v{s9E zQ{qTrs{vjHZlG08fDw?@XQ)Rja7*+$CJ%`0GZ4Way18&l%Z~IBnrtdGVU^3K5LZ%a zdXv60bMI9+HOURhfA-=w!j@Hs_fZ}+@aav7^mT5)0ht(gf?D}dt2LmNErK>0&pik6 zHOJcp846vti{X9j$JQ=XPpBg1hQg5SH!yBj@@9}p$!*##gOK-GKbhw<%2b%ZPSHQq zq=5+Z2ahbFA(a`yKs~2Xq?IdU_Jx}>DDdaLlJ1Rcjk$+HK3uaiw*Ze*1!U6wZb%^P zRU0rZ`h%j);!JCy)0+bZdLkb?t8~P=rysvv!=J#w8gwdm`aQebM_bteG3Yq5M!_u8 zp)oKuKC{bg8NXxdMqGoyk87y+Tkgkg3|44poerll*{Vu2F3`Foa)vJE?r__u6oYVW z+b_6uew!z=)S6ov;crZEeF3=gtFfO=ZEU)PwT9nk%&i8IY_498@HQ5$t>+e>02W#c z>}P4pfu%J*PK@NxBzK3XnB}m-qV94H24==Z1~)136H>;)RX0h|%9mG+iXOF-;w0`9 z;=8flgGfgW98Zza%HV`Vhs}SvDTn}GtnX={0NV8h(Se zjbA*3Iu=Tzhb|&TR06S?+%cxorf~$psm2|Q2{><~8l)h~R8@1T9b-7K8Tf_uf|Ps+iz6&d6oJb{(m-T@3~V`sc#iaOn&iX)_^K9 zPtd7{S7`4dEm%_+>^X)sq&CBc1j@{4y|z^#Z*8$vL}Z8VwiSZ3ktMfFay1s4 zlzgBJLv2o1A{a%(-l||8Bid7btsB--1PN%?!_buMv%5^mFP1kjr+6I6=TUWSyY#}? zNJm@BPu9A<5=M{?Ysm`9Z(5i$ykDUr`k+8 zjlbE9a*W>0{Bo}-RM25?Q!3-7N}}fzvyhWnqKGAVR;wBcbtJ#C?93R`oWk%CF@^$4UEdT= z;U|eFnd1^knR6^(lQAZv+!R)=vfd?lU*|Wz>glY3t$Bn25f7Ajc$&mhE7=3Kp^GUYeMWSjD0r~`T$ zjm%e^8U?G>)dWr)5rHWDeD*Wm`)zqHx#!ifSCqPuV_dLq{M)xwQzxL56~AJGRj%^d zvei2c(EX|$=ci~$haCClrWRyWSFTpYWzDQCFG?+ssdJXnD^eaT=RFO1erwO|G+4n? zNoHdaJM&t&|NTHl)#s7wA|>d1HD{UH`8#D9Ac#USJM#y>d(RM&xKe{Ya7M|vxALQZ zoW``T42-!3=ZZ6)SPoq3aDNyVD3dWd;n-DP6*6c7g9G_AJ?AV;FzY7=YC}#$V>@r3 z6*=!vVHRNu)V3^`ul|eAR#?qnF%Eu-ORyq5t~)usJJOMM`g&^n`#uQU^HW)2&*0F8 zYRP!NcOW&5x^B+-A!s@#mSHT5|wkI_%ezDgI1rdk3L5>jqz_H+%2 zSz=xIqqr{5`Ays7anYsAO|X)q{P~mNCPsekgkjcwhF1P*qSxQ;fO_{;R6&mtDU&9-G?F7P*C7aq>!R(FsA&EO7w3}xxny&dhzk`r@Q}49CCET zpr^=M1IEFp29W zZAnJ7;A>PtqeTxJ0Pj=1dWCTZgOCH%0+pQFQU@Fly}5?ue;DZ%ml`iTaBv;EYRoSb zSPt`lqOU@301cMX-@WKJC%Q~l|C+BwUQ_|a+lhdYVQd4 z@8)kSiA5|+;uOtu`@Yb;Mw&_CWK~#C;Urakh7tQAFxsP=ldoyepRH7a$uB4cZ-9HL zj)cgyPI+4g_|wD<>%dLp{CyUtTOKo~yUzTzB zH9gSlzBf}tgl?I2WFSk5#LAG~V36=0x)@T1-2% zg_i7qqIsfQw@0})?p@>e<16ZKQqWKL#2qyWyd5Jrxiu|tC3%n06;|#hCJQZ;6GpN* zP1>}dsy)yxuC!!O$6AISVJ=~wj_p@#-Tl|lY#^{*7EBPm7VzCNoA2`{KNDn*qK>h3 z9%cB9^EK9eE>eY}4)&qK&dgcVGfj5SgD#OGXbt6?uMa;F(Mg<9)JP~<$!%`5Nh`aKznH@srHAQ8pp?K zB;>B$M-A2^+3bQ2#(g-BbUJ|fI)!!enssGs)t6ZN?&U=5#_SJRX_B<}5`n=P@5MZW zaW(*HEC()>?cWlCLMe*MSyz<>D zP#VU*Owyx>XTY%LWj1213DrZ;Inb&fw8aKF|Mfljl|ZCbkl7avy;MI&^<)|YuG-LMRGKof2rv!)N z@G-0{5R{Jg-e?2P_*|#D!Ho?EF;t*%F#8AFU5p*?n)e8~G@U9`CKhhq z96~K$c-sp*?Q-aU3Afq3M{7#7J48&5gcaJK)`02KQf-vNC?l{aU`A zMt5rm$qOlxd}MX6wD>uouMGcB;+afTgCx}iGh(3Rbp%ioaiG#FLUc@-#OYesA+TDT z-c9K$lf~E_2!~h~>Fn#Mc`PLybbBtsTcs?NvO$l$c-6BOVeQ#7}fnF^n6TwcoLB+7_x zU0-3C_GhJSIEu2_G*R)A?+T#`zi})(N|Ahv1WF4U)}MMnyLjP~)RLBcCaj<-VB4D~ zzB}on#t5YD9}s3W_>u5Oy9016-+~p-XzvI@n|%xhE#eWGy3T4)k96uvE^8@oSRgeT z#z==wDsZOA8q3&t3Agepzi)c>qg52CMzRJvHTA}tGb>$Y_}wI|97pE3ZNKu0XTqxT zS0v|dT)p51Zq*$k9S4>3;+h<1EwHR2trz1;`nQp64Y*(TEAOa_-^hc3msJDxm%Kmw zW?Vf|Bl$QM-X=)2rOAoJ4s435(vA|i)P-x^2$@0K`Go4B{S8qRhp#p(j(w!S>;))^ z8%4@06g2b@t}Od;+S*lNboVb&`Bd%shnhNQ+t3c;e$Awxa$6|E%ZKS%<$+i|JN%m< z;O|g;*L&wkUnjJ-)T(d573^y=HkB{%fo3W-4hm;1R1Oeul3_V8)|v)zGa2a-3yYx& zcbt{yE0^*-jhQ%0f)Xo);NzryT_bgPoE)xspWEbz(v?98}DvS-9t&d>?ZV)jw8ksPrHU>x^YMWc8ij_Gat>p zVe*hlbLt&o{%=(YwBM7COl1avlXxmHs2SH374*2p8mUj(U)-}@J@m36(gvvpvcA+d zIF@l2?Vd*3p%!1go&})}m`8zQ%ar6i!(J)V$$N%0NFz6v?Y`&RoivK_ATZkvcW>^e7Xx%0 zHkaXHZsx%Fxj9;Y6(}P1wZ8_`Rl?Vvi-lBvN$vxnVn!QcAk=TRwMZywC|iSTLX}vF z_0>0Aw^vDoT0L{&X*WjDp<=O1h_(WUOgq9yGm2}qolYEkstZeC2QU~H&8i(_navoEE9gAZvGxs6}9WGIwE8 zua(7hHYmfCDV6PS;FiXj+Y66TKKWp(%JD;W^kLg1Rqm4))uDX5VgMkCV5M_i%TM@) zLG|$eup|6)HvfP2!2iEXSYzVgpk-&qXJ+7_W&8iKv$E6EvwaWeUkCnd3@ra_R{#5a zHD(4Tmj8vFHIcX!u}5y`GmKXfBL4s&R_&%naA9%t>M*yQB4qHPkN5eK4JEe16X zz!IfJDl3@z#;ZnNz4!clUOgQxTugMZyZsclTM3HZ92{NU)X?Mk1pn;%&SUN!ib%XV zwswBO^4(2bOql9Z zToEn5b6dO!Gdo!{^uLE(E4gb-l#PG*?q(D=g}oCIi?bcS_HNVYc{Ci|G_+`R{-2hI&N;_!6oX2jJgf z8Jp4``gA{oJA1sY@pKW0_n^dV(7zHeN+2c8^6Ye5KR-gQiEum9c7Wu-gZ=4(6^{f8 zi9Js12)98e3z)AKvf!O9;KJz*^!PEoTtF@A8y(%h703& zL6H%7YTNb*q^hukkVI8choN z@Hh-=X*D@?9`_sEIaKiS46{&&QB}~97JCAt#>MWjmthYqWMRKpp40>;Nmwi}Ac&PF z3DeUAD&|cSl|}Rz30`Lj^2WN488lyysR`6CE?h8Q6RWgfer1(^2>zk>yC02e;6i(?Y(r;r-(ohQ{ifdZk6)WZ{?QSZSQ>I~|EM-s@Vg(GBu@Jo#* z;7F;w3r%tw^ASS?*X0vErC4ljg1Mv;8<-d%=vWn?r#svxr22GuzyN7F8s{ zGhoXe-b4}=4XZW@fTm=}6eMu4u?Yaql{-6y3iMC6WO5G>L`gJK!!)X=k78WI8csWe zHxhRVWhDC;Komk?9R3@NX_O!pMYu*Dk_N>LGpZIMtH3~9N|6??ggQA&31f1I3eM~R z(+`(jpbsIdK=6P5>ZLSEcg#R|Bf~||KL3{_Z6q8VO@lr!gF|CC--taHrSSE}F9THA zn&1fXXD+mJu#tCx1|9O-MNwb90}Y#-Q^M~Hb*mt_(&XwJSwDzy$m7z&M*mEQ zlox|xc#RpqURkJu{y`6Z0F!^}Ef5X$83Yt!!6}{HAH*+^gwusjek1e`raeb}8>LoB zpQv{&0UycEk~+Azcx}m#-}x#cSDm^?$MmTEB&gHqXze+Pzs`VQq%?#72}-@CAdi() z-#d+HEy)ia6LqWvtP|0ZBoik7S1@NMV2z8uG^6c0xC=)fKL@G)2wp^tQovChr7<2} zMO(%h7i@>L7}%0_m0T~aIC_BA>~+tK4En@}%Iq~tt!16CJowcgV2rx3JcRXjsotSU z!T&C3gzcj>d)YY=jlTCmYy1G)Y*m4($O9NGM5q6&hX0x7GppGS<`l$Q-nfg1;9EHZ zSEV*h)Fueu;}GN;q8%An{8({t1NNg7LWJd1wZG4j_3|$`|Av0dhD91bv(l6da7UIc zB0guWr;htY+v&IaNA3_-^}1q_a(AuBc7hmGP>z!72tQaX5gjzAl4=+aX&u-ps&h>< z^zuqUAI!4KLQJTXOk1e-1(@n`hBxO{ix{4}xaNScvH(D<8r5(LAyDHca8RCUAL`S< z_5SiAU5gaZIqtFBNPu&+fS#XiADvepL?x1=w=1~nS!e4Lx=$-hl+AN$F z9r0LRhp6a&z3gDxIlD=>^KA06+hrm(*k*d$zE^*~?x;Y?kDR6MT>ZhmZ)x!R8}uQ%B^A5H6Z(qmz3`(X`gil*oTN863aIU`6_;HL z%9e1dzLl)s7DfA`=WTc*khF$<{O<-u$;qEB?4s}0WPV@%%a**@BNo^z;9uiw&76ko z!_eRctz`Pl6FfIHahI#5LCX35IeSA0PUp_0;IWuY(plIcN}_zpA?Ij>1(Zh6GT_G6 zSVTnwGWJ=BAH?a=NxNVrg!<&X=!&XK{G3;k^qn#Ak9Cux22BBa7AeEDe>)aw;2WA{ z*k}D$UK=NU1OFsB2wpaqd@WKMc%X<@JEJopUM(q_+P^-_IiV9(Bwv-j+jrZ7X%{E< zYce&()p+kh6-YVmRvlVWl|)zlUca%{+uLby`BwwmmpE*3OW%;9ZGjc6 zF-rSk1fzCT+JuDJMXhNwBy&NqsHs%vHNYyr%w5-58ccV|ta3AOyAbgXcd|&g_M@n0 z@a$!3<3%#xy5iAUvnw)ApLP-9xLqSBHJWJv=Rsh6&CrYaw>{I8_uo)PVT_fh`ly$o zTr2Ls1jCk;w#HH2?bxy+l;X_P>67^{2BWxgf)-}-3j^BaH`yYsS_adonUEd?`XKaj zjEzbq-aSpvDK_K-z43*z#>9!};P8fZ+5-ADcqERxa8p5 z4h8TILqc%R=3qoyW5R`JIt?g;nI=RcUK>JTc@1&Ckj?|ldAf7SAQRdm24(dAn>6&x zfMD%9axL+_Dw@CR+i0+qtA>Olj%5gxbfBT(oO*TnR@?yWeb9w(GWF}X)7}%_Ma?fv zCqk%a3+clA`jNN`m>fnk!!o#xt-c;ZHMn;D88);1DmahzZPGxp%Qgs^qGW-GVfk8E z2$|Uh_zbsLY!)PCh%NkqHz@cAOspKH|7u)QLAi<+NVnPms6ic=)9gBy2klucfMRYu z+xdca86bet$Ykc?<1n;c?>8dF6yHmYl$(9-Vn!%&w-sE5$EJH_Gmag->Qc&xcUrSX zg6@~iPAG+Dd`ziE8Zxdj@?>c;rvm$IrAE3vu2OoX>#?y*>OrhOhufEA{@_83 zC~X@54J||)G77yQl-2wb2A|O{*o+;TQ0?DP@lp67EP7C(So(@9Z~WIRYl-bz?2+WQ z=^#H`GUh7^ICuw9NI+M(L2+%xKwjr+jD|)9tFI_qdLA;oZ&+X+bpqk33`1dmV8^u2 z##LYJREt-GRIrM+N}Zw}n6?J;wc_5{c!VFXH6rb`=i=-fU9tlFV^TJLI5#=#g;BB_ z484u|xt}UkHh!kdZe0R$RTY$F|8zvvg)jnTGnu}e|KFkI*>FS4Q}>9i7H8*FN@|y4 z(4tj$NW9A0+20przt_`EOow!thqkv(XF?KnPlj|wsv1BlgfzQqa(|1ybSa)x(Q|bX z?o{JPZ0q$b*4*tKMo}djta~k!8Bn#B7awOjl?w;cq_$WI7@7|->|IiyV_$2@?s#A1 z%c5;$r#MbUwGips?hsz+l$}r=bJJ#W{p~@ccBK-LN`>LTSg$Z{rB}MZIO4Ua`h5cP zfm~zkxHTVtmyDOj@==-Z9eAZS^Tawp%E9plFZWofZl!|hK50k|@+?iGVFjKIIC$p_ zsa3BrmFrf6o6#e)o11cBy(ctjR7u$y#aOuczz1+IDavp9?GqE;>2TO7%Wc7V8K1VA zo@CXv8EDII1R*8QJzsmHmYKGOnJh0z1Tu0(Gbx*i(%_h=N4g^nOHaB1Z_@Az#*(d6 zv+CK8f`W`|N^yP>&eu-H;OpFQythPbv6{WRrj+%st|7FbjA zU#Ie{jj%pWJ$M<@kYW$LclW%31MgbQS_5P>r_0Xp=CMOsEjeuOyw-MSP&&oi2F=an zVQ#*cPM~46szGI9TC{x9n1?2Jk$9H%C-pX4nHhem z%8_q->Rt?;5}|(MC4ROKRE=D(|Amayiak!FVh#-DM-&ZRGR1ZU=8=(o;e@&fzK66C z+r`l3iQA>6nM}wCf%IOFLzpQDydeX64s3(JpxS;zidpO7Qn+=$RR@_sc=D}LL!d{D1ReJ>AVVXGY7$!EPKdw>dV#l#I5x8 zo3+E&xSj3r-;U}4H=Lt@j=k3Dt6v|2skqptpXqseON9#f8+++zzcft}+&zz9vm)XC0~L_1@~;($Dus@1|Lv^4 z!&FsWIYk1|Aazi3z+oWJdA>`-&Fq(voYZx7h=6`PYGF|l6s@0py#{~8dxO%Q0=?`N zU8|(W-CerLI6Ba+dYv|;H5B5E__>$q0C8?2=jH9mc~0DzF2ewANst<5D$5YQqWQ|1 zp?`m3&g8#fn0XuwZYfOTzDG$W(`mlm<|Yb9>+l-~2K`>$nPBWDHRFjkyUCnu*R0s? zAmV*3T!(y!P0NT@UOq0n&83fP6g!6wYxAMxw!UM``7FbPsMp!QT+5h-ZX@(qHIy6$ zV9ZO^eKTr(TMQlwNZWg+tl66cdLUZrIVuhu@+H!DIS~O6eL}gMvBbLacVtKWL!XS6 zM7_Sr<{t7iM#Nz)IPDzdr+>Fu(M}$WI5zADaAK;;$sxeqBeHRsDb?v4bEimQ*mZ|k3={eE!LGV;qdgAEuap*t{eKTu)VKFGQ9?o;P2%?za_5u5LTu)Msf89^d=k zal^)5iLLF=$>U=W;CFQVd+12)x4k;-fKQuMqut@;+fvh6${7zqyON_#=Jn&_2)@nw z_4=SSb1psZ`N@xNcJK$n>zD9J+nK` z$2S?#%NA%Y;vX9Yivd`*=?_Uy+3ast`e`0A1|Z779JKlX1&_InRa-3n12QdhBNW6 zemZ-+=R1~N@vSZ@H^@^nTWoO-$91qe@Mfyd<2xcOI>Td3FtDr3H5~Eee(HaAjIF9_ z#grU%WmESywNn!Fu{y3dW54Nj`FtL`68W6TnN_RaDkBw|_?)lYW55>lG^cb1BEILY zzx93|FOPJ5Ilb?mh1U^GhNn{#^Ur0C9CPSOT{wRUk<>y3U9Crneks|0pLOm;=BDLa zl&)P3>*T^6BB?g0IqLud%CCK$h`U;J{L920en^vzpQbB#V6;t?pMG$+AULYaCL^@; z+z=3c&fb#O?cz-D8nD9R+tIz;p4;j4eYzf?ZqU$HNvvk2b8%0zfxS~=&nZp1F28zh z=*hUcZCWF`sh-|ui_RYM`vUIA7y&)S%X58jPe;mr5b*W19Ddg0)2cD*T(E0%cXcKW ziQLNZL*AxGxI~DC)Uny_bTck}nTQo-KfvF(J6;P_Yhh;B#ap6g|^K>1_;) zf#`ex`87jYwdKW~_@WPigY~WL4nFG5srk$LyW0 zYom7O=n1;BslsxBjUlgNZ^x4!mrhonuM6Gdxez0b32XrOHoID^eWt|f4Bz^;rkG(! zzO{5u4IUs`it5W@zIN$ak96*f6a8kCy$O3}>5hsO8*Y2 zvq0ePu5R{yVWhH;abZ@`XqWc34z347ZTZNwnjHn^lTzq8&KA#?b($Y;jKQ}yj`zkH zmfX#qE^mW|2@L$I;BCZZ1Y~!C`kb!@YbLt+>5JGIq3XB!@0By^$oFaSw|A|U?wTCD z%%F-he3QQ&SqBzXg!B{ovBvzhukX7dIR~tiJ8D{PbbN&$^2L=#-O?x zn(=L}eVUiQ@46U2sXb%t+BkF2i<AMvDruu;cy0rRAJO|40Mu!Tq}Wa>4E;cl@(J5M-<%d z!r7w4G7NBZ3vCsKxl~2Wy;xQ{!TX-M#JHK?;}PAEV~eH0lR2P?()(NOVm>{PfF+AH zbYQ-`GXHVc7$1E0ZNo2hxLfTWpuN)F+Qhu@Zh@_zp7)WQJ#_PD^Im!SvTLOAEZRHu z-XD*y3Z6fG(ncb^N&q5WF!1eSD7HQw$EXGS5Sg%6P1mDZ$@h@ZrK6Xbd)QY_j{aAC zW*XueJMLVFj>Zkerb2ez?&WtWLD)nb7UqGkUbiZBLG_!M{RlGom43{QP?s& ztl4BWGe)pOfxX5L1K|yz>7%V0e(r)rVQ0|}yHcbuUouD>icAdXs=$#6@tT5`(wJa- z-%T$qjf$)8p~Q$>6&Gnl$*nIO+nPMg(3s*aq zZ5g5X@Y70~?g~}pP4*gmlQvQ2_Ui{~r~CXW^{;9}Db;HS{*%R)D%IzI^T$3Tgn8uSk?$iZY~4I} z8>kf(6y_G&8?jcq8K?t6OTbUBlty2irIwge8w{9deo>aV3;dM?1PY21%;JSo*zCnz zJww1vT)bu;j3|MLWcXCA^eltTa%%&m=_5bniYK8q8xp4J!$07PN8Yjnw+w#pm$F~0 z|2qFC|B#~oJ4*(RxrO^aLgZU$$9XkTR?lCFnX-`wGqFv$%YEEBEgN&JI{_kQ>rfnp z=A1~3?DaPyoW(KKGH2s#KG8+C>`YXEg24|s!k0aWaQss;jV+aY_enb0U$!#XF|RSA zTfeCHrtdSzmhNRNdZuu4Zz?jnKv;%>42a!ZA8r-?6KvVBMPP>c4^}7h^24@+@2UD@ z#~Y$XjOw&xo9nsgtg}YcOC~g-0!%!p@R;d$iu`qL!7Behh{)MKj|z%S{{Pm1kn4|Yy_3|BY$m}7EtmLf zwo(l!=ZxKJHdDX}=Z&#kB|51e0tPGVt6?ADxBNw5_NW=yZO^``WM1o|eUJ~a*IM61 z*)*HyHi&jcjc|T%8Ea;2zqz!FOF4F&?gR#;&i%ztL|!w9LSBoWsK@)~BVsEQ4f@u# zcBen7BE&Xz!X`u}BIFMtCWKfZBIGG!#m}7vt`E9iv*6d9Gwp_rLZ0f9l|nK%nh!Q? zmJe3j`ry=DGN{7$RZ4w_W5v5@2y0l5u+OVP8@u<5ZP)H+{ar?j;O_lOir(-aQcRFlKVw*lUHfYO&dd<~g<#c%m45EwL$)eGaVhAqDJ<@|&E)694-Z*=G zjueE&wIv%d^HAiK`9}KYNKq3RV*tXx6vwlx#Ec|mCnNt!_h=`A2)ha)DW`XIk2=W$ z8=ha*_9yOq4)gcL7x%;9Ae)bL}gz!?_|8~l#Au+@(YO6Qrc#%3Ex1zWFskkl*9f)4| z2yn^}=EfmRgA=k4@8K^zfJ=kpG51>I6z<*ks3f@<{wBE@wkp8jVckyxn}*~qZ6G(+ zV+l}eG3=aQfoQt7t}K6!?ZE(vg#Gx4s=_k>OxCXLt{mEOJURZ zJkp*s;#ELNxDQ4k7N3wZRN)mBorJoz;uO`MbbkhvL(D!PrzyfI&ff*HRHGL)9%$PL zC_C{cUbq2Emw{nvyCO$388eKyp?`q1G@e|N(?xeJDhn#X%?mDMGnE$H$5Q=K`-yk? zcF;jq14^jx1&O0VuK=QCDRN!(7Z0l?6pgM$(MkFp_nV3eM50_DNgOS_5=25mA59z{ zI@ura#{u!a0uuzBB6EmvCTK7LtA`z__!u&8zhE)J`6|9~+icM&U1VVsfy!?MM)AF@ z2Y(i%u`#qkvoRHaDh15#Div5dt7sx;J(Numa6)Wp)EZ(&1!rrF(3LO1MY_Wbz#e*EaMEf=V_PLoZ9?GL2ah73x!MpBzCN>&ySAP*meC!GR>7 z4WY~A|1#K5m5KOc7K!+iG2y;fwXo71vp@TG|DJ44`)<5Ik~NzB1Bh!a=PwqPLm(QR zgRFClrUeeISA*t~hCy*hF$V!rJ||i*brUtxAQqReI5-9D`S?2jEkC@4twvNYY+a+< zy+0h|yyfh_MrGi-@15fG*BbfKb@SBF#T!9#^OI5|v zW)4to*6&{00B^jvtEuX>1_yG`sslT0KFt!g*8*q~Ep}1#+d$-u&o3QS_?^Q)i?!rQ zvh)?|+LXqEL|ntY#2lhw3b;llL9h{&)UT}>^|d#h*sn$$b7F>Z=fo)1F^ft>u_e!z^HehPV#hY{@( z2n=B2he-r~pQI7%+sWae*9O`p3V?*-P{5B21_XeIsYGEIpo+mT1(GoJ5hW2A42$jj z1ehVgG2c{erDn%Xz^bhJ08a^PcC@DpKp99t6un`jep0grcq>AsgkP5u(9&ICR}dda zsb+7*YqyMe-C#0qMN;xr0A+eYZp;HH(|`9j1qhvpzsP%cmQdBiriRx;DkA* zyf@`Oe+QE00i9u%)b`CgER>oT%xlUw=X?^GA*LUfB6gWX*$Gy)S12`G^swM8af-}h z(3hIWO5+8sX(E181{xn%#UGVXMbd7Tnun(B1)U+kCpG&UxgAVK{Fc-V@W}+A|F;?` z(!nVzRSRbl1L}FaO3en4dISa23pCa7jSHscy&2oTA~%W>cZ1PpI{t|xBjRiez@%-X zk|zz?8*tY=l#&k^P0b5PlUF<*g8Hvao`~U~l)OY#jf(@~8?rL;f!rXDa2pufVbB68 zy;v)l&X9O&UboRcsTm@vZUC}mH&}FXe`+2}B4^cjmq-v0A2WlWbquhT4AiYjKM-3l zOfNk$sHj^4<^<9}KS7SIlF_NET}DX=j}jx!&YJyg)jUScp+#6k$w zaJpBY#(CPrXR( zgZ2+X@x~v4(RNV5(RN5faq&#er9xkGd$S-#9!*424;H~OJX%#4PnHbM z(^saQb8BeLwWz#g+BDlI7vL(MO-pKyZa5$(FDxmbm%`_d(en-=;G)*5zHi;31iqEt zmqHaUC%$%aR0Otj^~*0aNg~eSWJqq&s5u-Xo1mwUx!-@<@oBHPuOKFgKg5BH-bR%( zAzSgQ=3;Ne{C!5Q#VQ~3KNOZc#56X)%*Kd#`UPJKgPG&Ap;5wtA%-b{MZ>AK(O4tj z#g+ZsN=XD(nI#Zi8gQT97gqsMZsh8F%EV{=P0_#n=A_gs5*tk_QxcZI%QC8rTSA)P1Ro*t?Oy zl`uwk6Li>fMQ78q`<%Ja-1c@~k@O5^Gin#XC`y2A2p6Vc6qQXE%qR+uKY|(TZHlpP z3p|7g8n}oFKn>?ca1W3_M?Dz!6WKo_d^SF`r+*9tL@+!bY)dw&kaZ}L#;Q>bS=2Q1 zBpc8ATX-&}sk;`;(EtKEcwsdlR?XRE5T0Hl+lTB3d|0)rv)W;F?k39XcRT zSlK!WIebY}^CZ$vvr9qZBo@iOPr=~CJN(ywgZARXvZ5O?ckL+!^PRTQ(ALvy`i&Rl zELG>E^Q7_?F7dMqJ6`+y8GAR{DbELIG0s2=W? zL<4w{G{g<4h4sX!4{C_dGDh^=O8ql66HGZLI1|YqhAwHFpY&x@75jzj|B~ppMq+B^ zt(S#jahFW|yAI5(Rg*=PGgVp{ypIKh9oS$PHQ4~KdQ=PI6^0e{ggfkY2w6h9%<0AO zmR*X3G2bR@P+X4|Y3P;c(jSi2t3?>~Aa)$$tGI@QJc|pyk`D-S3IIs*IGv=q%ydO0 zAg7{`e`T;s?gg4;j8Sk;x4d%#YpyN+-;`xE1{BD6qz=q!*30}cwHrhnHu*|!>5?j+ z9b7#<*MhtE45n65mT?e{M=%VU(IPDU6a==`Lc9#9vT>l3KU@rYj6ocJ4B6V}4dmbN zr#7HUJBBZG5#f65V9cp#^fF{?yYUYNXk=?=K!Q|n;{ixQC~TzSP>LDXKjy@v4x6Eh zTdN2powR}ywp9P2x@fUD#%+wZcxVNvvJsmZ!yapO>F`tkdRd3rR0SevL6hRQu}pgm zH3kuP)EWT6Pk{zkXZ6zdYa;&KGwG&_r0$gz7aqZM0~>*2tT394vgINhB7rFX!!&nH2vbY-_yDf%{)K?9zjQhPuAcD}Q!fv!+6PeSesFbT<~eQ@q6AR5 zusM(@5S32q`kpcpH8&~5nGi{WVb-8g=hUp7Z@~9B&72aQx|92k)X72BXZEL( zKiSMxNtaY2&MXv=gvU9j0un~yJB@%W3h`xKQV~OvToH;PQ*ecX8KR_1K&+qIne&m& z4}9C%|9^P#(m`KH8n}AX9gz|x)AK>3InAgLE^-TW3z`cf?^KkVtEaZK~ z7Zt3dOnWmA;D!m&x0DGI`R*wXlwF$^%o9g^0(8_!&0DfgvcQF3j;L*a9|ZzV;A|AG zy0gH3zaDZN;;6oZx#QgS_U1C4^lB38HkIqs#fjr%O(Jb6=yk7DbNXP>i5>sy_dG#NOkqUV59NA0Q{T&Us7b}DJsGzVz zBdxHx`b7|x0a;uJ6`!(|M`=~+dIS`ri9 zzZZ-DG~!Tj6`VDfJ0s%jO?DU=EfER^|E0@2EaOlIHvqb>Q%ugC^Aj*LW2M0ViD`E+ zIe}&w9cX}Yh+m#xC>wWj5cws`Ht8duGFnM6@$l8`+Y@$^lwcUu@Nf(bqtFdY+IyAu z0U&Ib=8Az<{y1KU3AiZuZ#ml$X~nC0G7jA6z|1oKKR&!1NF4V`+WlTD2BdLX#<)E zon1nCJNm(D&1x1^w3WnDm3NR<#ERL(te{%-( z{aPp>YIzy`s-S_lr7?RU)PZj~CnNf14A>lQ9Wm+lORcH9As2DNLJC}fm@k1=+>;>pdRlN#M9#D9*6qE+LG?Ye* zG#vDuWhEECfGjF{a|WpPkT^n|d;}<5fiR>v#TxLiqyeNjGvtxU|2ieIK?kB>k0kZe zL%O~iD*r)Z`s{N+DoKWZdFFgBN%#So2)b}9ljb-=ng~kHN$qW9+Dpj6-```}>(BY< z*Dg`~qfXbK1SpKbFS0l8FUCD-*DjdF$ro0aT#hRDFu3zYQjUNy(GjG=#{!wCIjcV z*!otcJ#D>3roI2Vz}vj{B@}EjG~eT@LOR1LcT5Vjnz^uXe=zKVDYh_)X&z!BAXrPq ztG2Orzfu3BN@8RJG?CAe4)TG}Gy#O~e=?vP=)~SjI;aD4hysH(?U9D7C@8{hRj7w< zzKDlVEyk7Z8- zyrueF_+0?No%!`&*{P(Q&xK5TlWL*}w^LthQG$|T6ZsYDo7QH6L=biUVo-*JqVR?& zI=31Q;LsRE7%pioK>G>-f8Z1YyM;6LzFWdA7hjzFjRViv1&9)GSqIHx+5y8jZ16Ap zWp8DVzYA1x&SFEcM*Yndow){K4$3s6;mD*{U(zI^TvTL94$3&f;bKEU39%7I#kUHK zQ#gm14^`VKdC5~ynri`o&j@37e4witWCRmvlyMk2&gil|;Ds5ph*K1WAHfDr6UW^7<1kXvKL>Ldi@!(l^P;a?H$8UZ6TK))C6IADC zUp?7{sKG&k#3Lf`NBu^)bmBxqH&Q`#U{p0YaqoMtRAj{KC1AxHmGjqOOvy&7*;$XE zdeM09>CggJ4tpBwvaF(7ytyK=k^&Gq8UR9v5kTmu`%}$@ly(Io5zH+El6Zm(va+r~ z!Z3$=fPf6mo^U6rM7cBo0;&fHh_yayy-PiLk2Nc^61r1&8|y2TOQrkP1c=mJRo30_v9i-s&llK%i-fDo znQE^qVg{FWt|ayY+h+EnRnT@=j;tw%hNzKZA{j*^Bb9WLBFcVKRfI{F>x(D@D8n#G z2|xxOT17bdcU%GHalDZyaM93nn@EA0@Diefh_WOUb-}s1wn!4!sF;Sfh;lW(1)w3m zig2;l8G>U_FjWDb$7LB2%q6ZEbwLG7K}1=9@E9jBO1L}GFonBeIMtuRTE1K6BS$Jd zBoV|mB1yyp>Vg2w{Qu6VN2w!`hVMmC1dO67Bw$W!K^GiW1>oeiKyV6fw@1X^s{){g zEquXXXR^G*_HSzN2i2UhEJ72!F+-pkIADG@44}U%@L-fUUD8bw}B;f@D6yXJ4 z7$ISc6>BWnSU6Lb>YZ6UW?vzrgH+>fjwg!HrKimx@w?3gqRv|3bhj^I7UHKbVSoV` z)@j`bpZ2DiLEKr(J#hphY>yo4TVhG*s@~DG=B9ti@YeK&;--AA8(-{Wp)c6z%(cw? zL8GN}1wfx$0_gMS7GOU6yG)#rpln&c*DJumD;HoV&UH$!M8ZipkG)J9*trbgbToq$ zJ5>Rk4z1vrE;WGDsVbl70=|Qo`rq`o6EOR$0nGk%BkAY@T>W+gRQxht>U&ZgBw{|h zzm_lgG|idnYXXXN`+m!E_kQ^X$={H4AZE25OTj0;enQ<8-*8DY6+G6Q?aER$v` zsrZ*1k4rOYJH0P_J7&0MY`6dP;0~lJ5SP9k(E7?gEp4#ViFD4mDp@Aq&`-E)N`@}l zCJ2}Ku&WH4Bd{op>A0>cxP5V9g#<=93RybHy&awlXM0aHldq#f`Fgop&kxlluX5b$ z%sr%A2@~-X(L)ecQU}H@fiZZe5X2JpJyt0cFtQ1>vd(PQGMx z_L6CK;Yt9n0}fK0*8a0^9PM3YGj0S6oM?wfC`OC#Bn@ovBbk?zhb0+k{A!yD!u)+Q zYrkI!^43Zva;JB%5q)unm4t_z@nRqU{t+kXr1~_z974l&h8RN@^GjKSuUS81z zn)f<+VKY?2M>H6$NP_>&k%L~#W?=i!WC9TJ1>zCQb0m=a`@)36mZTF9pIZ>}|Nc{t z*m>M6-+1;-+|33$HURyA-n zauqu}XGINHcV6UZWD7udV0o4N#=c0VVs>Mh7!fjmBR)v%DFGNUimD|V^PRK33a9|{ zdU(NQS_Hx6Xapfau2wqB!(1><1ry|D*n9a(%rOxp$vXvsq|+iOQqOXA%F7b7eFDDZ z{|%M9RRZx}Q>FNQs;sQ}N!_Vo7QIoU3|L28=Y9`e%Ib;hWtI~)tguq1pO%9}@0JsY zJ}G;u)RtE4>dUDt>*%Hk7rIfwDe^Aqrr*yLZjl>R%Gct1d}#3*7aqCd?Z;;$4Vq1h zuaH=21sdODw`dKGZ3hz?fT94*W`Kh_kpRbtYxLOFb)K47Ko)AdGm9?puEPki;rn_T z{U`tk06QdDxdlg`ZjmYgMn37BP&+Z_pduI;aV7vULby8>jC*^6A0!Ldd zRAGp9Pg7t7CmdiDgt^2>*1o%62!l+=Lv_hui~Kz==@bpH6N>V*uVHC1s%vNh8Wa3itVtb$|Ew(i|AkKA{2$l+|L_iF zWMW|ZPs9Hcd%-PhNN1z^s5#!-Ht)xPF9#*B#d#~iPrz?g7VZ~QZK6{g&=`+XR?Hk` z#}&u3_P39?>K##hWkl$DsWm}NN1IC(5#>^H!uQ*~i0joQ-$nal>NS(?gEJ1@#iCxz z-u=KvD*g9kp&xAiacBR=ov`yoBNkc%UGkJtOVrTg1!dmp!-?5~JfZew z@y)QtH>vY-7ya$P;JXst)A<5pm0i2L(_L3`_9b^*!S>U{>*aU@pB8$@1&@DuY|L!i zyvODZ)O@tQbv-4zYMxWQ<%Jb;v1*%|nU6;=-%wh(Q6j9 ztNVV%B@<5OOwk#Y*(O#xv8vUnA00xeHP765Jgi>AWZmp3*P|U0uyYyRJlr5X;XHm5 zyu#M#_RwOxozo#L)d{gCwCzaNE$-^LCy+ips4_h5Wezvp@scWsulKYtu;IJLabAfp zUG_LVEZGw3N+!#Mw0KnW3qrr2@?+#|PYgpI%g8un`}?aV_C?i88xq4T360N6%5|$` z3Sq})Woh$!Lf^19v#pG-%bt^cfvp&a$fu?+#x^bZaXhlxZD4es|NxZA%N^U(3yE3EQ|Wc(a5Z+pkV_Jr6CD zi@s?5o2h6Ut8Ip2*#GzvezRdp>Ta96psd!|CS&pdTnW1dZSg*mQ(mo`d&yPSR4>3S zFx*e0n{H5;jQAT^Qv`};^(Sq5NGz?ctGi?xscA~1_Mcb^hdUlTo-IvFebr}wbGljN5D~#P=B^?5&}IxQ!6;)2ZVfrWfyR1z$7zv{K|; z0Ct2ce{FABiUu1vR(|tG-2DiDl&@D;)gyFI5v6l?9iIhA#T=O}?6p|>76N1HB|Jfoj} ze0yLAz`Q-h0%tit*__=C)j+%5!$F>0E>;whuEqn$BY_(MAcjY4PKgqia3-XHh1cLDR#X(*e ztk+@u%;z$pEj>GXBUJA<>|KpG+;B-%1cR4wB1@kaiKFAGRqm;GxK%c{`VG-Fzd}irSz%F<~WbD)!Q2 zhpfZcsih6uI%fS@_?VTUY_2)&u+!$a)a~8IE>&o2vWwcZV!u%Z zZh7eR51fkQA0eiW-8zT@~!>;!ttZ0Y|t6ze{1I!01v$vW(S!+ zEk{rE-%uNva;CpWE|k|t2%Xvz=p>3x3NySrt(-fg@MPy0z-eB9|s9A9V>wcSWh!t1qP^SM~h<4=umB~Ndfw9Jbh zsUCri=>tBaLhppZP3q!wFWIW2vpvrTKF^qok&&xCVp9JPHjv?z3O!P|u>{wQFXonX zLnPr!JyHy@c|&s4%_oZD2|7=NZB*KH*8vVIG|n^kG>sgm^nUjQH`eZP>{T46F9kfK zmN*bP)bul)UmQ($_d(2Wyj)_;8v`Md;s6t@xP{{8H=+sV$(`j#&5WqggAK!9t2~wt z4s?p4u(<^&B;tTFB%_is42EG3uFx?Jt1Jaht!`}GXk;USsNY7$?VrmW^>UMR8_ZPK zEXf<*o=N+bo>}`>A0umTy&TWi7ca3+!~58tjaP~eF<)eEBc+ynbjM`0S1G5sxUrYG zNWu>>Xc1ul7iV7?6-T#i3j}wU5F7$D?ht|ocbCw3aEIV-!GpU6cL)S`cMA{*Zrx~b zx9}?8IrrXk&i%%CZ@fQU)n#2(z4lyd%{BMlro1}a>ZJx=-iU+2vhTLn%JZBYes1vZ z`r3o}Dd6ZrAbs*MyYFye_k57wg%0Ib` zFyz?=tfKQz+K>&7km{phj=cLxrH>}e7|%&Y8SzA+y^N{yh>K|Td8DvM-Ya&I%fR37 zm!L((xeKNR^1^qP-|?y+PU-*wG(8|UwpeeKRSq<%TTVH|gm{(1EA zyX|>RWmoO8{rR&tq+qSNe~dqaZ+?V-SABRf?$B!5f!pbMUYj+gNur(@L8`>2wiz}vUF8<#qRi)~w0=0~mGH4CR?%Bus>%5K*-KZn9$%U%O#=k7 zkcL`mkb|;85Lfiz7l*40cYU_0?InW&g3^Gput3fjRQfNIXU(&s$#@pI#+3<+6m&)1 zlq^NVNj&#b=Q@>^ol#$V)lv32;)UQvJ z#?bJ&WGLvBj(sn)_e)hOXo{RC?sT(Y6a&%>2rUZHg69e=9GSFUiC9x!?#6P8`}{7A z2*sqdit)~ENLGSH)nM6Q5_e#)kQ;>^ z56ll6ozkaWngHQit%_@>3xiDX`%S~6%1mY?!km6V!H;{j(g-(-m9b$MhBtjZbSj4T z_@F}?T@7desZnHoS<>!J?nx1VNrWlY?ny&7fTj3-CJ1iEu$5NzUXT2W6hq3BriZ~n z-E+a~ALA>d(Y&NG%{P z>rh}Q>Hrw$BQx=lBd7-Bia{|G(%rz{wkSUim^ecPI+(6uvyu(Jl|x6lPE}aYZ>L86 zb%Bl-hR=({ARERDKDu19di^4}0tgvRs*BtmNMn zBkiJ2=N-&T?Ut@L10zD?G$k{A8d`aX#jfnRt*9mZErGf%sGaJ=8Uu7H9Pb~_%PvI3 zWLC$PM%3Hlti;>C-crB7p}WNQ_GXqg2oQh1MlZVikx|pKGL)sL!@(k_C=Fx9VN;C$ z%d|26r?7eBuoZ<%3lqf^$AxZ>usI&u6$caF3{qPl@IB=Y)ldpnn{8U}Du(=hh zHO04x*!whrzuJTf#YM})=lis7x2z*mUMmUT@ln#*l57du6ip5(d3h!c6xk`~>?U!0 zB21W8raW@k=>}k)B@E+yr zW5|ZI_HO0_ZwxM`X&fQu`s)YSH!EAr?e!P%B{ohwSti5)q0WwLGRMw2i$LT+D%0$! zCt%!Z2ndhb0O3&^r=9R)Cc*o;UCa+q6R$QvcvKNWJfW#oifg<6L7)(`5FX`PIlu#r zL9`tsi86~;X=DQ9O8W!}c^8t@+_+uS^YinDKv#!lNGp+4L=`@={sn zl+sR=w^V_0a+v|s?2dpz+jKrC^iC>BLzemHt7Vtt&unQN@Upff zArireRNu>uWsu+O)~`0dpu5Hzku_-%uo67x_^vY9HgEcAOU7JjIaW}`L~U0ejq@Fg zv2zdG5qrcDh8&cktKuV+vP*;f${R(>leCB7#K?2*1dSL9{anz^h5&OeUj~2KT_~j4 zx|c15QtbBf$VU0T@V?8Y7LuzkG_WrigQQe6TUsfOp>V`4>_njCbgyK(3cB6GR*%S* z3decrf1GU7!g|WYu1I@X);5ZwE)->zbetc8+ZuIDkjvvDJRrVWJ*xX{>g$OqNrMW0 zaJ>q*IwBu_rVRMqnFm)9#z1f8p7JS+QH0aG+R#waNLSLoly@f9fMW4Lfv76)r|1C2 zKr|{JK49^AY4IDMOkSYy;WP2YnbK{kI>V!@xrFpq>3mnQrMv`=!v-#`Q<_)Ga;{5g z%|N)551e<~oR@Y6b^C>m#)qHldDNVz19$_{NS2qtPaY3mrX%5uEHd<4E?S0yWY(EViFXkQBunGbX?)JJjleg`p$d#ScD&}lX16G;*1i7BbE zp?1P(+5&KDBF|7{LMjnKpeH^;u&ludt}Ut{HXDSh`U?f9u352<3hIzwJ-|tMwrn#D zM+2I>!7_M_+J+j^nYC5cfO_`D&TN~NFq$^0ZRG7SLM3Z%^DGk&!1hl8+dli{+ZO|De+aPsAx^sk(wJcyUQ4be?=SVdH~SwNE&H>_TUXC{8H*BRfA)G)OH;hO12a^7~iQ8zj9swUbT=i-zj@+a+a}+HubE|6VHvLhnywQ zT=!h(E}r%#e#wdVd=(r~)!XE`n||Vklk|PKha5%Pxtq$YuN7ZCU$&eu=GS*xOk^q7 zs;E~x&;R1GjTOfLbI729xy&5bzeV(43B~fMFWoS=f4qTThf5*85z^CXKGAK8U$X*~ z6L5fX0&eQ#_xl_Sjg_16Qs9)(koz1Glw)t+IN*!(3jr-^a=HSbLS4YH5=r1Yg($v$ zGmh*8TsrhVXNfx3EU(kTnAHn7FZLnlA90f7`bGM}=Z74#WvAAY#eAF_p+g`{@&v-9 z81d+o7ywAmQ;ctjjml1Bv4IB&M;SW&^n{mOv{Xs?I!lK%VHYGnpeKu%3qyLXtetYu zmVj@FM2b131FQyRsp!AFR(=nxszz(9({AU*N)gO>3Y)o8X6jd3dS^=`c%PdH;=m7!3wsEsw;olY4vmQIn4jShS`9FWL& zA0QrRn=kEcbXej&T9ilWy;cs1L%^r{@WKpvbp<|ND1&xrol6Y!+A`vw#a7iM$ai8o zulj7Y>4LN57uFp{b0>7fP&@d7_F%wh*o=}=0l|q)2M&yNhak!q(-EH619rx7JB`24 z#NCurkKUx5X74FR&()6qyJH|5Bg8$>ng2JxfauD+i#1)xGt&vkLP~_n(!+7yq(mnOrl=;()qY6&S-ZlB zAeiC|q=s){0An$$v?0&`M}*pBF`$afhu-KzdYhjHYa+ zevU97wWNV1w-tL=ro}3WBov`P0KXd3Rld{ zR#EKxd9Y#Lntt;4Ht&J59V#VD_(W3R>KHHXa>uwV*ro?jE|lmf$4IZ|3e7v!j@cz$ zGJ4=eJa*K(=$BCztqdJwgrEcc9gVNwzhyO*&7z`xK2k0^Dl&wHkNqK1j>IsQ+S@pYocpPd!QdQ)B@P*@6bcQ5UbOLyCAg|3anmShS z+Y6?keby++{p;I<8-(a{=ONWNHYAwQRCScn1DkcfLZL3kfcgMWa>y>yO%m)#SZLV$1jeE+X0p0R+{gNZ(Zq^hfyL+ z@PzmMgq?Qnm~`Ub84;;_7{#Lx@VWHAs@qZ;m;7;8f)UbAk;H{>27J1bOZfDKw&_p4 z{Dx-cuc>4B+VL)ax#XX^ZWe{&dR0hB)Rh1N0(H&OgrD3{5=E2*9X?=zgL{;99{u`V z(&rLNdK8KV3vS@O4*@tn1mL(Jfa8LHaD4j@j%)laj=$A}p;S(m69qbDGW9Ta*YGll zPMBhhHBh+3UPbul@*aoOpW6qwz2Y+Y?t;L1w>`nBbY+yTbjz(wgOj^gmi2bMrN79( zT^F`|jk$|Z!eMa8eXY5-RHXF+&oo%O!hhYX;(oh*sYD1w^kbQwTD|Lld7JxLD^Klf z2$~p7KhB_^+9acEXd1Z3ad$KHy#| z&3uG^;;KiI^5(RR-jyvr&!fO8&bi}Q*u)Ud%gr?e0=n48SNu>Kk-z-a3lU{-sCw4# zcI8!&qsyQ=L8|CLX^AhV{)ScVYuq=#)CG(eWY4*Odnodnkrk0$m8D-W629427qGcz z8YH&04nz#DcjQw?9BNXeJuXz1!d1H8=#)WQMy=NOnJI}dWBnF>=0%AM0)(1_`{}Gp zz!07w;r&F_%|u}q+K)5zG(GgbFJ*2Em7$2v+>&qQpy`TUZ4+M_Qe%^=?O%#%3%HUX$ zL}Y*92>O)5ThLOH#|I61LP&_$9R3qrIi56!T6UU>TsD6=?qPpO!eKvPX-W#(9OZba z(oa_~Ql2IM7Fd5#dIeLelqDzXx;1%}hzksCN65Z>lOj_?*KM(&$G=~wJje)){VI*j z14#XNxbz*>zXgV5W+db7kS2K~O#^RXnt67|!h=OD`2IJg(yECU9+1(X0TQ85t~PazV{YGYkMe3PACf9`-!lkAUDl;j`GQ2Qv)(DIlG3LWdx zpDu%MzA{wFGfY~dP8~=e8G)#0eAh%^ zv`F6J9S1G38o;6e!Sid)AjdClw8bwp$N(($0dHZTlz)yO&N~=pXgMI3l+~1$iqIU& zR{MG|tc~d$`L|eJ#4d$Yh-*w{I0Y)r*I1&CK#eFsQ2c! zm$`aGJ*@^+?em6);w^fFV4%97*)_)XnaR<2V?cS=md?rFZAV>8@93Q12#3@u)e>Qc zu)y**aCVS0Rk#0(5H^aKV1KEYcX5<6TuEr8(gsB16kZ7rxKLtZHy%IGbm2+>A50DS zV3Zz89u&rv@OzXjLUG|h^;X7{(JiHoQhdk400fBE;(kPi%u_s zNo%AkE13Lh;RP`?8}VO*wIDD*j0!8zMiERx+TTzG zs2&>)NTYdW=FZ{X9W#ega;ITj{qz8Xtv~Y%O%Wma6ytg zI&dikBwqAD;*|&_UTG>WB4ah|ag;r2sMrpw2;qDcxFPDANUD)Quah`-PXY0G{>b>q z{t&guez7DS+Sf^7TBYP(Nt0IRp9loP#i6i%(i{OdcrQHosJb2S5Kj>$U>?)7`Zr>& zjv)BL#n50?8~i4nnyh(eSlys9f)9yH+a-jAI%4))mvoR9C2HbYf0!%6c&->noR)|o z?f66U<39glkdod&sVgK>AeSmn+!-3esBQexuydlgvY=kg`LE=Jr3FY%#0Mq)Gt>d; zvQsg7H634k^LuA0TxhYAXi)N}s}& zUF1xBx=H#sN(AUQgg=>boLVWVA`VC}i+g3LC-su2Q_77p51;u_4dbZoFi6RzqZAd6 zizTIT;>jtcs~0(r{y}uQzen^G0MSzbYialp`A=(E?2JVhnuq}I$pYYc7Fw?xq3K`tNqakvDpgk4wu@ z3@oT=Gc=)|Ko2=ZEit78cB`qV*CvIpnevie+hEi2>Y~u`=>qqgm|P8rw}FDke}cLb zKK~$$=x2`P0L)jJ?QHEmGG^{S5Bl5`N#F+wL;_=w!{(z%qnw1sX8B z{ni=6c5C_rac)_E5J!J0!uDUL5#$7z29@#4A|vL!`XHyj45OzRFbw+Wf&ICmUJjWk ze;{q`X^!Y8h~`RAVV~^E^8N$>3M(ryU+uUVA;%!5gm^Rthjn!Jem+4oX=$@jmg;7Z z6B-I|JTCCNl)x7t0Qe~JR&Fvt%z9F#8HWjV5F@112;2<7i8z9(9YABM^fcQVB>|^V z5Je9(|Ai(kMvvo%!wB1TWCHr^_TR(N23`5erUb)fCMBt)#w3|EWb0B`JB9Le*k6Z( z*$Mk;>G5TvRsO6f`ZFOCMbnYwMc7M*Bbds{F?+doXt5JQXd4QvwNVFwJeB=*k{UbE z0V#+h4-({5j?YRws~LU1bza&<-pz2r{Vy)m2_G^58*sj*0S6*hx2=XBCoV)7Uv>0= z?<_Z3=^aAYj%F7rb&VYO^SB&o7;nYd(KxKxGXZjc5NH+b)miMBe+2#_fWW^?Au~76 zXyr>M8}R~5F<+1bFh4O+9{U&y>IuQzUw)M(Ir59)6TB%%v|W;ba;;JhTS+tQi4^4a z#VDW_027R}oDudlH6#vw6NlK(@hWXWPSj&iFcYko28?5fhTY z;NOz`p8=BJRDmuasJ4OUo)kozq{T|Epc0uoGM<|*@NVSC7EKYDMJweCT+xq67hj{Q z=7>-71Ie>Hduv=M4y6IuRVrYvO}tmtN?<{~)G!aiGYkS;nKE4MKdy)gcR?xLr_X48 zsaVL7J|HQLPaBaiVp+yEo}V5?a_iAX-r}d0<7zLp6X_V(JbvpyCS=<>`uo-an5al* zMO;#HD+`q{0-z3o;{zqP2ysEU3-*+f65}+xC~z`kcyfIC2DJ865NfCW;&!GeCftfY zj))*4FfcxAga zy4*Qps7ZVprE|Ovc4C&C%({vyGcKA*f|S3I>J^rhf)T@ z2m}GyCkEd?yI&2+NScT$nTt0?Fa+SpD58@M^AFWWX(HYp`r>vC*m7KvJmmCn*#sIs*O< zT4HtBEtYbaGjkx6DR13#D2;=JgjlJrtHg)nyrL=iFa(4#pW{{YO;;F0$+kIzQ$=ot zLSecJh`S#mVB0gXH=0mhc)Xy7>CB@xIR(tm8ThDFy{s*Lf&i0u968|>YsEPv>bE;j zazhNY1PAuzhXEQnq!OT!(TU>sm$$b-_-mIwk%?VG20$StNnQgA6jF4EwpZFRIiJNJ z=al%F7pnZuHRkCuPWMl2@88Ik{=R0-!Xx@}I`WGv$z^w36^i>cm&3SPw+UOqpl!1m zRa2DZ^JG$Q=&m`nwht@I?T1$>`lrepL}Zz=sXvajc?H2i_H^%Kh={HE76hL~ci?t> z94Z{G;dA#3lM6`qn`yJZZzg)^7u^b`?uxF=8KX#cFlmCn>$Z#TtWl39VB z8Z*&p-XY|33Gr#xWVyJ|8-V-eVnTg!XYYrky;;A~EM62Rc_iHH`!?pCwBR*`02^6d zLVrjB?n7)=DyE67NiS>&gl4xW@qIq}@&1X3v%#BjI=M5+=0533`LGsRfxO0s=V8x% zc5P}Y{_z2ZJ9ce+I@x?i!v;yTxJiJ)7pg~HWX2ASNLa5iL*qaqJ1fjj#6gb-lB@~J}!|~dNW6iZjV6s94A1-(pqAB8+Iqq6XZ-#8Q)ZRmMLGF*lv7GlC~q(`6Lm4qF9SdAlL` zQ>YR_Q$fT(EX-Psa({^S3ReKP?2a3|^^INTO83r>NSH-1P2}TJ*mS(KwTk^Kq*R;T zhqDm2Dn6Xq)On_x;Y=aw1bwQ0%j&P<1P>nrYV;hr=z}K^UqEr>CePK198;u$d?561^8v6i45fCOoM`p5>qmTL zWR47)`V%cZ7wJ-ei;mC);cq!Ek)6{Q$ko_l8p>%_a3XbU-D2xHHQ6ldnV+T3f&pf| z$EQh>&+@n90@fEk%gJ?-8nC28%B$F4W!Mrf8U&HFuQ9SmV$2o!?U%>GE!Q^07LB$b z1@$2+bv(>;D1^x_^{U}XQ}<%Hr>6!O#MM##i;u*v4+?7%~C^!;$C2fF5CA?*?m>P>!EKUtm{_DQh!Z4 z#_t=^^HlM`^!i@UC%pHMB0N#P(SNT)<^$%Z`F|NPmV=j%`#&_nzRT5gP4Q4~*ek4u zvsW(~I?`|85#ek{2)R$Y?e$_j_i~ULDhc7o=o)M%peOX{IAWZMU>xQhfg;2~bx?IA zLHh@Addu_SQRdLo=CgyYxx4quplkchsvXIS?~%QT@5S}R^W%@Br)9pbr}Lvs!)L$d zsvFl<-@9Cgb&u2S9eWpd|Brok29}T$(q9){<4q3FwX&VQZO;q6&sTTLe9zaHnY){1 z?o-c)*HC{z0qdSQ=kNKj)5U62TdO=P7L}bPAmVS;>e`7Fetg~BChh)ke(3M*yef~^ zHsqZ9hI{JyVe4b{`aHq+Ro3q@bglcsUlyO5h6)VHW1a3HtMyNwr~c#=vFl2g?yJ}C zv3?I1+llT`6cmjjZOWgHVzue+V z?s~I)&HucAxB7UwdU1RC8|k?u_xUb%*L`*NsN&{#*Ke-}4)pb$brxm+C-Vn-QWKy1 zrROQ-sgrx(%OU^!?r`xSlWPyp_N@(Ch*V=@_L_BTH|co1SB93K&z{%0 z-?06fcxVIs%{J!O=rdaXE@A;O81mxnb%Z!s3 zI8O~tzYQOsDjd4@OO`D}R%Diq1#8DXE|<10-!@OpPE_3(%fM>|o^}ckDn99TJ}A6CNz>!c4aZt>Wch6K$?s{C%_TCl0wnT`qmIe9x!X(8F9_yz}fPs@Ylh%%`8s zV@K<`yxr#JkN%j-&np-c54lg%eEv^2yQy03hOG~+)C0=PbwZ!|4#G;T1OxPFzq8!f zw^n6-*%ww4T=)NNtt~GWCxshmC+)O2zHI(3CG${NCPcxyMdXvo#|5lRI)SNuWk21n zi^IF$p3C9Oze{p+^`9@@yD*;5CX^RnHrZ^M1|Ko9*(8WK*k_$QIcDyh39~jiwYHg^ z&R3GogmS19CSV9it$JFwTdSx( z(1lwEPe+#spX}p55@Fx3Oox1tp2UyNwt~&m&%<6C(I=gOgM90b#I6MtgU=Zl6tUkn z1u0uu(#gD;19Pt!@&sQrzFVp)3mEz|MY$|sj-0#wqW45Db<)&efi7&^7*y|s)ZN@N zWhajn>BBE^9qe-^{1ILF!w8kA7XAy50qX9WpExvVxauQ)g}H6|TxK@=kkUJeYlX~CR*p{j^pF=lC(TwqJ6x|kZ5sML)8ngl z1QA}Co)6@HBRml$}A?W164O#!=`#ikL3dUrPjGugV* zd3k%P2Pf?DY4!L>xc|B{0rf}8@sHaW8a`$U#pHvFc?TTBFYEH2i-D??K0BX;@KqP94W)M0Ye)IetyH)1RLbo&Y3y=q|bYvhNZ}N!>dbKooOWMsp&=_LTJnip1 zv@`5u#3ZT;!=ylkMezTU2fMy05?$un!-sv0jts!-I2`&=e_C^6mg3*e=E5QN?pc@? z%@XV!%ARAUO{Iw~lOq_aKozex3CwKp>s{Dx)(!0E3(jq)_cDMk7|=@Qo$|A2a2^vK zz-)#_#`)%9VjGkO<7d&|39F*h&X}tZ$h0ze`nHr{yT5#N`=03L2Wfk3>_(81tyPNt zVnGw1^v0hQzCm~BX)WlDKVKhAO`vN2Ve4I#9)11{1OtA)k91)q%@b=o8mo92z4yI{ zoin2ZH97RH6I!tAnNZO{n%A06n<&4qTa>zhr#+PdfCOM!mu!&_LkOk7aHQAO($#8I zYc0cE4=L_eRbj@f3-_}t-C#%-QJ4DSir>}D+o%hny;0v}1F4OJl$pl)?k`d+RwF$3 zy+psCZ)?8x%nZ)o+~j=>7xuQwxmru+y<}q>=R)^hFK`xVu@Kh)+pyYMVqhLhWarET z-`jiKZ|COjmatt2A}#Pg44K9Ta84>4W?6zL7E*J+s|lBN6(QCfK0Sq;K|T4TH|6O0 z_&l{E8VmH6>VW+pbLF}2gIXbdv$4z14|j`(w>rR}Et%Q5x%BT1UOFFM8?sgYxUMOQ z+@}z9>6gUF=?&I<5&Tm1=Brd5Erl}&+l9aYqbr@nI9OdKfg6@eR&|DM(FRqPlL=4{ za|w(XVQq8Vh~tVkbkphng2lPuqNOpYbF`}97@*aC6_>EW;wam81`|=1BUspPfqgTn zzvQgIAuGOz8F4`Z6x2+XIYMc53(#auH=BPUMNYCbAoSHLVxhqgi*>`SS)gwyhQKUR zpl>w926VW4b`RGfqF=FM5$o3dX_U0_8((kV4t#Fa%p!1A_dUcOwzc1L|Lrf#MoYfH zkm@((#yKfYcS8NW&P+-m_9Z$JNQije$ecaP>Qb7RxAE-bwy)z4E(;ei4Y!}ATjO6j zX7{l&(SCot82X(s?U!vQ;`N(#tm(J#7~e_m(5lN&?MbKavqCDk^Tr7mlVOSb2rw~o z<5XciRT$X$r!Yw;*qv{1`C`x&Md*qZ(r@sc~NMy z!i%9$rQ)G%h^tV;=p&niJ5w>B1`-7k2eHAE;lpY=ydj8G{{*whj=n+G2eZbB97omz zV{nGttt4QCUy?Pdn#HL&?luaVbRK=$O6Bw1>HM{lqW^qm=o^XQYeoZIT5rQGIbeQ~ zdd$p|w$IEJ^YxqUwy}k-fQz3`#Hd>eX!2vq9m?(T$-^P)@1Wfa8R6RH-+e+4Z5vEK zjQj|vZn0W%Cl~tpZho}P`C~*rSkJam46VA(R~6}S)E<6%m@!IuC|6;q4eKjxX5-G$ z={xt}{scXCA`O>qO3PsiA~#V+&$9X3~UjW_zzW;42nj zON@B>*%-!w1b+Cl5loE-ywME-d*lNkoI18~AX!|K57wQmSUw@Km>iS7?>du0ZE{`G zS+EyLC8;{-3XyX+L>;{}NEbE~J^3Lq+tLvY7O?a4aDTn*ZpA+93Vo<)tv(VFh87-6 z%T+dZ-KE#|gc8WL4hI6`h{uj~R0vC&47!14eHj_XyfsS`)86qhtf9rw4}N`1ZfETI zHbb19JYSu!bPY{bCg?4n-f;!W4hdNXQ05&c<8p2?1T)O zJZ+QZe6=@Rw*HngH4oKdO++oF2b$_z<1~sQ0l%CL`&_cH zb@5~Zl(*QXIdG@lJ-#fngo=M`te+2gka4x?u7=bOnlGDk?;O`760kFc3mpar&r78| zfz*ui`V?tbe5_0hqhxkHH@#)-n0+wHtOW;Z(C|XLc2_G!(`#>a$qrG~@QBt$-?(g| z0Y`j%-Ww09q2Q@KJt}CmgG^h~M>@GT#uBh|H4RgYMP^DXMmwMFjfbC*@ysX;;N5S1 z(~S)Kc0Z{~%48_;=G#v35jBQBzt<5DR0w@e!RKd?YhSSCV=<9Jk&juiq$=eXvBy4MW zrRNYaYM9p(@ZS@5DBu%Qy~DM?%S=ik(L5KN+)yJQ>b)hCDF=Qkt*l~i!Vi!ne_TQA-Xt{`fbx(w`W zbw%|VDseVmbmY{%>|eaWwQ>A3R;|iNyh&GIAIVA*gG3WOIDia5La$>M-U3|>%IQV)(h~AhqjsA7*hn_ffeC)SxDXcc&9*2x7~{lpcEA z@KxSHapf*>Tdpx*RNR$B+Z~Z)`$&?SvC6H}G1SQ{?xxL}Yr+CN-E-H9?|5~8>t{w4 zE{l+c!BreA!=)~9Xc`w=jBy9C%u10Tq|Z-g#R#dUD+1@WHYm`~@%WyG=B^914b48M zcnhE0v|p?ela`A(^4<$`Ys$v}U9%e|N^>8C4odaR&J6m^uGBQspxYpPxoC4fQwpRZ zykK4O*qyq!Ey9GbNwwqy73I@TpZ{fgrm*WIymLmzsX}kV3aH`y4gtny1eJxuW-)<`(_kY8d;z1J7C9pBS1_NXIwt%Qa)IXde@ZeHS{G^dc;FpZVUv-mF=)n3C zcW5k-7ewdW3Ma+cOZmy=6ulwU%1uL|57@;Y$uQE-HTUW@QNr1a*M+GOY65af#AF}n z=)zzG2#6^i9>o4g#fE{os2xP%HtS=yaCT`ipPit%(eK9IAvuAsh}(nHaz6D%Gbkbx4SWEQEoT zE%G~tHU=aF3)!-UGX#Qhmko&qx+4Ol<&`WSl0;k`>*s$1z0u! z+dKZNue>78@LOv?qwmjF%{WdXKxES0pXRG$_uD?m_a~zGai5k_&Vh@L@qHfK?0IS~a|*AoM%`mYjJ1b#cX! zqA@<~;j8Nd$WO$v?4-GzovV3@yYBw0o$X54#LvHW-+v{+I__&c1HL$m2;5VHF3hya zEQ*;!ks9)`mIr~mi^c;k{x3~oHOvkI6}BDxt0~Nd`938>gl!jl!6$vZ)&s!9&}%1< zZPl&$X&P(21m~&9q-9N|MKPK-qqV%7Q$DgW=`|C_2^MLri9m5bmqCAA=>!GWG{hqx z1wWMImrWdD)6i){Lc~*tRn^WJq~u3X%nBi5Nf`-va>^q>ZBI@KNw;qGFD-GV0)NZ zvux9McA0@6{SLJ>6&Rh;F%5%tF}r{e@^k96-@9x>1YCtWIGln?(SBwd?8*34$RN_e zBgk3Yy0QNOuk?a+z%tuVoFsdlQts#xSMKDoSZ;HYjf}kL7z4KMzpDq7%OSAsus8vS zYp?}#9qIzj$3o+#=(cAM(xCB5Z;`>a;A2*{Tm7x1)|&|R8gIP{l^0xxYX=~R(lc(! zT*sjn(1|#2W=*7*xCnjquI44A72j{@5pLvn%-Ryli9p=+-pQ*nhNAh7=Wv~gEVkP5 zHjJt)p0-5kR4^?tiF_$PQ}v`qFZ4(&r=wlpt6UuD6sOQUC<{HZwQp`;9qxl3wfYj= zkT+kpF})m*UgV0YJa;1{O>N@h1+Upd^3L4`gU6Qb-h$VvSCld9!bdyf3%14;3Qxai zB{ePc7qw?QZJoO@eb*4KW)mqW@=>c8TaIZ5uT6TmB)TVuk1p4AC4kph_0kTZM^aVI z?fN$mIi+{t9TqkWbF(%AR65V^?5SLRkVsjN5i+y>$TY}j#0XUbF13DIZL+;&mn1D4 z&R)B~(|O*}b{@vsEy9|d{Bgbb#JQSYA*CcwX^!_hiVQRtnE7+#nJQj8*`QD^hd0A= zI~cIeAohT!SAT>AqK*G3;8*~E!H2c7S3bL5KglyZGI7E--%KL+g}HhcDzm9j7;pvU zHdq&}MokD*5jIPKcpL$1-^j3mkD7>it6^K6Eg&?ZVD`!5%_QoXbN1%}^9>F?*@e9J zjT(b#dyO=-rDVaO!NeA3qMBZGBEtd|lK_36*@mClT9gqupW-Y(0Yv~4bQIlM(yHoO zYI@nh70Zt?1;kZ03Je7{uO!O!O~v>1w>21l^6c~luY!O`9R#zaj3C+u6rmJR19&N6 zMVnr7{Hy|6f_*ZoRimD!Q;J?TU-EgAyGSC%LNMD&V;$?08#QWuxgG|%RquyUv7V6C zNBMFG!^f9xKY1tZJJ^Z_wDp-)7g@Ci(ph|6wORi>Lx|qG1)LoH0+I0G zPljJ5&h*;By4fqnFHh`+T=m4UxcuFrxb*JMQ(02<3p$}M(|(Nn(5AY1O66}!)N4VV z5j88{!c8NtNX$G85^Q0i^`>uf>zxxa%Q*e!zRS4GP>`s{vn$X1XY>3orADKVl8-H_}R^ZYU& zu%Vxn{9WO^o3oy08F1Fl>l4uTwUH-eCfRJTkuj^gvtC0!@MDQg0vJx|i`9tV>Q+%h z6WT4K*BcpJok{ZDoOw7#s@~xS3$|pWL8x}#%MoqtIDNoYzAkF?h@&iJf zT=9KBdqpC8X2dLMZ6qeYUWv6}PU%>T@#hFMxbnjqHf0Ii3y-mX^~8=lWbAS~*`um* zlYL%~q68kQvO~JYw|hRRR;B^j7` zD!p`k;nT;z!Ux~Sg-0=i=lbJ#*AM9w0u%vb>%ZJdwtwXa$#Y2Kt8p|K- zwjr-=prF%WMUBQAb*JK+v5%vfmA|pppHHuL&VKK7k=z-xX#F^9C|i3#YA2ls*_!|r;YG{F$@}n#{x~CAg77q11nN&}!H&&sKXVJGAt4)15$^aZu{@FAy(&XE zy(-0$mQ3lA)=U+Xdxf;#yp=0P`Wr96)e)e|*`e`y#?-gc53Nrcd9{UHBb2MHQV(vX zZ~ZXSIJF^0W2ur}tzg2MMS@_`w!R!K{`t!!kJ^6yJWGg1b6Q0^D(~>G2J@v20~7LBd$|y z_U}+x7FMRgbVWHfyn-t(c63|CHmf_4U05Ghu-=~75lluyxw0!8ZD`1D^ts-wxZBa? z586nDkk-UVtaw(fXkd1PGwl+;M)GkLUb_S(AJFJu6MX}kCnJQb?IG9QhiZcOG@{l6^OofPliVSbf#IA*S%r!0ryxVGZbnjrVWokFs=B zw>a6r0E#YXGbHJ3t$B3F1kyGVi|2CyULl5q7Bbdx=M_&)KQirgc$Lo}bx{+-`DlY( zbgwPG|C>h>^+6l3rhQVl|J^21J6}jK`j$95?zb7fStp8?{BeFL2^t6a+#EXRZbb34 z$anOQxSnv87~C`hvN&_ijw{V!|IQ6udZG>{?LaQnJP>POM6KLf3+q?NszjZ3yA6GN ziHJC!_4vR-ltz;3Np;2rT}sD_zMzdW{gWJp>NjdDG7E{B7P+rKSI4()RtHKzbFIeB zYojfxtrsmlYVJ%}nypMX$*bNFwF@``b0|WKlvH+Ge9Y*I04cLe)A=vW<18et7E;gB zVg0sT{0|(-=|_9~Ov{WyrEU>z@rg_;0`!%Re0Yk`?fY}C_oMU;jh(Bb^3bEKzX;ar zgKKFWS&;n;G?$j<)pCL#jZC@VNvpK6Q8&%B_@jA-B#0!I_9S|u;A<=kjYa~F5sa8H zF3>U%R}A*`StZ*kN;M0gI!c-8CE`|iVI7u4@CeAp{4##zcA}OVYezBrum)IA4~6_ zd5v3wpQ)TBRl5kdT4GjShY|-yt0W?FwzKB2HZ1+4@uKQ6jRC7n;&0txj$I~N4I@Cx zMc|rVe7k+^%23e_<^p`}pN%{~r{E4{0tcJ}ex|w!jb4Nuz-@Me>*({k(bp#(poMTQ zynJ9Id&nl7%W7w&JQnysJ{~k4Jqtvha4w{zL}@_e3GB{;a~TXq8wVm!qd$>nW%#I1 zhx$db_DkndBMrP5f zI;V_9{jHNNz)z$4-wC>7pny>loC`>rk=?zbW;L@ZohMD#h+InK$~mxQ%HQJzFp)IC zL<0Yq2(V|88~AfylQXg}On~9Mi`5+1-$m;hRi|AL;DXq-mD1|4i#hDsZT`bJ@|3Hg z4BGS>SpSsGmpQ9Ti84V1e&hurbUL z_tT}cTw7vdD#l1it6BNZRa^zOdwxK9$*WnlkLjf~CCt|CRGrKZR6XRF%E#=o)bv^3 z*0L#bC&wO+O<6ML&K(>$)39l$Ht38(uTMbxb-P|QUF97Yx!Et~cf5*PR4a}mJT(-a z(++S`JyYd^b<6BS#iT2vnPZ!=j|AxsmB zZ`G`-Gh5)V6*RLNBRH5Q2wXbF#glh{Ue$!X+#0Ja3X4*@$vYVWh9-G(F3`%w(B?(K zA?!CPeecohOqLW#z^3-pi)iB{5%_5?|A(@74zKI&)`i=!u^Y3oZQHhOJB@9tabw%I ztu{6r+sT=I_j~r^Q(ssKqocjqHb?+!x+x8hdLpt?UYDtnjC>3lJJO_hHb@1_4WMVO9l(zFV9GL-m8cI? z!b`sS&+;4P`~N7g8%wAcf&C;KU*9M}0!u*vbxO|9mS+lEmv=DP4yQ@N{_$f6O_mbW zEYq?F(>=)##c;xp2~ZXA11hVZ0aXDX{_x>1GxQ^{GmJJq{%v-F&x*H|TG9*(!UgT} zVYS*om3VKDOtz5p>wAZpD!foYsS<(V1!@=u4_x2zUK013nLK^0x~#Zm(c^?MMs2=; zbcec^@R`8xq|6^oKq5u zmda23wp7XXu39(Zwh2v@&ZqgX$yNpWn$cfHOvQEGP8}Hm=_N z1@Ll(nr-F!&4mk~N5aINO!RS^qX&LFL=JuP3J3Y+nDOOdT7IuSpnGy%SP*^+;-STBtYJN#5JmTs9k*uNQOh$cvzehSKsS!9zkrK#|)QGPQF+Sq!Rx_lfs^2T# zTG^o_=lQ3#X-C*9hD**Si~2c{k}BSqM=@LzciXtfG7j140%2(u5f9gx!`f(kursP#DNm5 z<9GV8!_U!kvibkL-pElF|1&``pZKRjb6JL{9{j+H9$$y$i*ICw=BUF>moyoj8qh&+ z<8_aQZnAk03CySrp$* zdd7m|`k0-P)p{WeY*@)Ye%zAS2XB_N^ejz2H2SL^b8XUjW9G0st@tcst_c1oz1UU6b^&;(N4%h9m@Q7mJSMALF~246o0kT=Va_ zhq1LkZVS!yt*g*$v=;N^c+`0N5@3)t+#A_E1Iq1Hv$b;-6BIb<_Q8tW}`b1L21| z1h$hwYZ+`16q(r;@@_Vv0GfMXPp$>iiJBCB}ls5*r=XwLEC^?Yr@V1|&l zkm=3q^HlevzF2ZQGfTFvpx-fWzCRGjkrox~qAg$xcks(H6?pF|B2s2+F@eU`r-TI_ z@X?jRgb*fjD6HY$O*eYz4Gd%O!*`*~K*t9xJtT|m0!QbeQiwW#F$yuED9j$ywk=0z zP^i>=DzXU#hAWJHb6Cc}cIgbgpE&D3yOQ!xzA8;%ARX_Uht;lG0}I#}*=!*iF{(Iy z2(+*z0RYC!2ili$k|3}-+C*f=;mBO22~^PlsSNB~*xz4;ORJ*g+pK_8Mpd*d{Ga(0 zF_Zr)IT`!6Ou}=+XTRqLpq#w8kTYewiGIXUOX%YF_GwcG(hI1wI*qmR?&y*|Luqgj zovbN!nHH>YgjNv7JWaN9D@k$#olmrmF{nZF9QxfK(&-^TcUbgrfuhKXhGnM7n76(2 z^H=TUY@p(!qC_hIpQ1ChKmgej znsBxRNPARZAcJf^hUEx^!-+a3xHEE7W0GQ7H5YW%-s&Rf-##Sdk)L%EiFb53Z}j21tQt9*6WnwERm%VQu>AyycMjz%{{!_xe{W(Lo!W7d6TF!JWYdbxXe)&RYoX}K?F89I@0C?bJL#s07{*vPIeMU|ad=PG#= zf;VEYo@3j`3KX(g^W3OB8bW8I}kATf$6lk*wUuGaz zS+eJF?!2rKF*2gj0a7qzOMwMB((Cab;UH1qC2HELs= zeEY47S}a$gNx1_Li_J_}MuCf5p)ny>Z1xYLu;f%#a%9FI(GnL?=U+M*B7%b=eW`^l zA`J<*|E$r1v5BBTd0knf|7;RQ!&H28bgL(fC=8-ZXxBQMArPj*ydcOoqz+gJxPqTM z84MR}(eB96NJ2t~-2apW>0vh>*kLg!upD_!Q0;&L)>;#lugw1RP$GHC$GfPpO$VWI zRjqYijG%}2J%gRoCbwYYAWabt2Q(U)Lof=HGh3zCWEBGb-1?VHYK~YQLjVmdV}4~l zV>iEEy@2Pjl`2i4I`Pgd5AlFH?c6V726OD-l|^NOaEHJ+Ks%clixUJob6M=IF5*Toe5eYzYVoPm8*sySjx37@?Tl>G)9AA4tJ#h1L(Uy{Eg8cO@R zD;G8uF82)6OtzKQ6B1zcvl+%6V^5Fm!A(vt-JDfTeQft@rU&kzVc(t)`&^i-(&YW% z-NMEUQ0Oe)Y1OvhW?*Ug+Levwkjw-ubioc1s0RxIEpk|6xt60wo)Np+xm5}(4z zj!DkYU@=w<62ABm=jzp4<)hKj%YgBxA3?6`55~rkeds3j$wkfc;r@($amK1G?s@$J8vbpf(n$*8$L-lM-8*bV7y9C*=qZD)^6m#{x%&v- z|Aptx@IT~vGqL`kJZ~MVYT{;xx2~S|&?oomc-#l4Hpnj^OYubH;e@N2{hu?vntNr!&-{@8Ax%{5`sIQXpJ*K_!?uzL* z$$CEVZh5^tzbof^-?`qtE7$Axe*2U9L9cWE`{nHVo=>;?dh2{nFJ*fLZr()0Vvh^* z<#c@idNNk_dOzb#j_TvM{&)5JExk5f?0ml+{w6iT1^!_u!sg4z^HVWC{w6F!YBld8 z-`mr5D!rG7S3U3RQ`SqE&;)|si|^azqv@LH$8{pU_s8SG{pDLI+_hb5^T*@!VzKP< zW~a}cUNOGy(XSKwVuWTM6uzT}5yZfu7qN%sVx846O0KN7a{Z{W3(|^}QFg2Z> zW&5WJ>4KAQ7TImV$IGj8^GQe7#7df!^TSD4_PJfVt#-R@ z*V}rAkBje*SNwyjmpecK+8$7V_I+6U+Ts?`+x;?TCxh?fTE6o3`)zymFx$@qqk8^w zR#$i9V4ZobD7L3byM5KE9?3Pm*osXrWSjH;VSG{{HZ`}q3M%e>&Z-XXl<%tX0g!-x zSY*2xTHQ~)pzFT5z1jDD{a8QPD1Wp(UK_SOS89G4>@|B(I23uL>jIv_xD1NfoI@6O zXd<)BZ-dU;hZAO!^o86S%!_l}ELx+Bt1(;VHO9p3To<|_#$=27WzT0vOlY5aw-wH5 zMw;IgzUUjzGdtzJANT96CYn1gBY4-@t2>d-%{1KPvo<%!#PdPAIUfpU%^?>@3ce>z ztq=ILIow^x#i4F|&qrq`_}YH=8)D|op%1j2P7bU4diXd!;12J=bC%P>IBXJUyFH)& zcz#@?j6JCdW;01f`1+^n;rKk%uKDB-YnF+9{N^OhzSITIy3OuOte&+c#g{p8K|^%U zia=i|yYqd_Y+^yYf9XN5;gK~T@6B&bJa4St^yg=Ujo<6>@!jwCyZK~^pyE^7lG=Zren zS;Ie^w8r!towDdWE|-aF^h7U_H5TOHzm=mT=lkF!BP zZ_RVKpOcSoc1KNS{>nkWQM!6E(U~;ko4N89M#CgERW{E7a?ox_fpJeXr8O)^1d8U4 zzTW6mFR&);7=RqK7iGNe_Yy!Asaa=>%5L{#!uos6t5190(m_BpHH46!)| zv+u+`^s=6@V7hkg;;$Zb{>;GHr+TLxUTj%34^H6&fa}fLfWSMjhe+_ZpMg4FSB=RmnXc#Xg3(={&j9-IbY2S*4W&C zCu@+}1fl|4>XEY+Q&ialjVb57(3SDZfTHQ2)~F{B-t6&L4_a+&-?_vGTvri%7p+AG zUnyfn?I%FjwE02_`)+;E;-vz6sQbqH`4O!o?rKjAm$msJN#=3Cp+wi8t~8()r1;Lr zQmGR}Y(+Ex+Q{I}97ETC_pQwwX6EbF9$A()=kBkZ&G&l9HyMYw&Lm{1=0}eTbsxGS zfz78Ma#}u55RU;XYt=fo2;aV8rJU4JVj@J(^LGAA4;uAz$E?`rhgCu<#|wk%FIx}F zVAdDMc=WQXwOXig``p&27lp4Q$k}Z@&KFQ;ZapA@Ks7dR;@Dv>+&qrOkueC9AC|@bDHI>L)E^5 zmPCC1SX9tWQa-EO4O})qhY$&VlwxB8NLhL51pV<3{a1@!=hZ=aWi9_V*`JPD2BXku^cAzh)zy~4g2Jv5 zPUlV0m2=SAfi2Or{=11SKI}hw`t)_093`qG2`M>yYahQ<81GoL*^#~zS2t&GX{kQM zzoz+IW#U+U1SL}kBWz>-vWBMlvJf=R&`O%~@}~#g*H7g~F;n!e3nfj8Tz2BF53Xe5 z!#u!X(ktKku|dyM3zi;aBrLZaq%rkR~lZ|_Up~8)U6IsdI^5vin!Z+jQr? zU7+X1!!P}G&6RtH!`Nv_A#%1o*`rL6*rpEC9u55&~il;XjFM&XD1lD&6C-u|GW`9ES))2O3}~ zP_U_<8#;a%ChWnAOFTn87Ywun4#2|mDJs%k%rhEANL+XLq$1-BL~^TAvlPVdw6c?*{Nx_F!G>slHpr$$=cAriz%yq0HfqR&SzKnEO+=O>W+PC4 zvBbQiA6C1gpPKX#TGP*wDEHRQd$N9?iasJM-xiJolVk}-!7K?DPGAa_W^oN3)tWuE z%~)7k?W5^K$cFXpAOM5uV9fc+?C|&d#$=sc>vDR3)AB>F`_x=)>8d`%AA1~{6mu89=ru7R4+Ze4Bg z)vLD3Ju9)GVy}(%vAaLAATJRz|8QiH$(J0~u(>Pb;GP)tkgb^1ETXMTS1r_zRXrHl zFVW*sy$;#6^s?}~nwO&DK@*TTW=>S7hSL5uT5Vssm559@`H|`9tGa#~xRb%wt<9_Q zNNqGUf9$w^>(;unEgrql%Occn9y*CqaFO*FyOKpT0@z2COI$4WHJ)Xj+CCEDL-ukQ z#?Qt1jK20LZ&Vj9UfnyZk#?%DL7meFwq4g%MMnXogP<(r?6S1dRMcXNph&Uj0R%(W z0!^f&A-_o4-6)Wh2u7PA2p!B$?%Ta6MCQN-N9wREh$Z3^2dvli3nbd+BxdH|RP#`U zOVf~ZZzy6^R|b-A_AGhiOgq#Oo}L_8TuYo)h9Ko2X%^n_vt@cAQ6!5|Y?CAIMx~5PjP| zI#OTNc+jpevY`W|1c(%tj%%7O6r;SH;AEF781 zxtwpk)M1wka!Tk&(K!Emz)Ytz-gDG6W0mcB`R_XGbRg2G2t*n!f+-Egb+{pA4`1pGxJQsYEz6&Q0H3-z>1x~6y{n17V^|= z8NX~DH)TDzs7KWfxqSj~;naQ#tPH5M2QZ)Zh?Stk$VSa>>sKd=NGwj(5t>`e?M*MD zwN%HGS|W5VWPDOza7vjsam4Qqu;&p~*z4a!nFivTwKKmZzh`g?*;fj;2quot{{042 zj74~R;0cGKc}p29Ac7}8@$gmZM$Q87LvG89GbY< z*cNXlX+kAZvGKu77!xlQq05f3Trd|h4$1*_4nR>vVrYIH z`dDXf{(R`l28o=&0+Q_WR?`>MIA=xA&zDW&M4#5bhBNlqAXNx+herA|{~-GYHL9Vy z^bqM$ca1R$C8?b?$j$Mk*Mm_YiJL$HKj)amD@I$HJOaL{Go+!^e7@l}Tz<)-+OO$jGZpG?rQ>I9hw zr8IDvWd5bDO?JRFn!tiuM!>o)tKj&HBsXX>$8CeuXAM~^4KF#p71^uS56UgN&ei9< z&DXEj8Z-q91Bvxz@KlJ~YcNLAVlFfLioXZu1;u5!8xf|pxUY^pet}>xGLVO4Z+uCKO5dv!vK&3+u=4Ts5JUw)c_#`G)F6bqe9c17) zfeCS0Ao8h$)jxQoK>riAjuBD@1Z)>)ZK6^O7a=ZfS1cfx=8m6|()}nJtTD-UV{_e2 z4w`OvUG2p&INHf3CY%2-1UN7ge>xN@>G#Ac0IBP%qB-cf)jnk{nF9U4lrz_Stz5*@ z%$KyvVAmO|FDwX_kWJ$3b73Q=?|Qb2Vrg922`c17H4 zTxNDGcfaT|+LP={b4tc*sXlbdWeeP&_zQ$D5RF7H(r87;Wnj=6*Y=ca_KU)e zty)JVfc;>A{T5^iLe&S1j0rG|O$mb4W^G$72=`Jl%EGz(9jU4(%zRQBJIJlHY}3U{ z_esenAL^8-wZjEIhhP{R(6}W0EzqF4?y!?lN_}uNL zDy&6pPzC`yVstN4mCNm?v8S+OLXxR$S+=M2niyJP;-!^rc@CF+-R@L7w0VeTUqASC zV?VK$lRTx^=)l~LKz2DdLq_cpSonx*JeQ@DVODXcbABbahD`;dL?Nqg-P zxWqRCVq1S$1x;BM0S3)zIxfk6R1OJP3|;J`kYhUi@T4^cDpsB(nu2`0yF6ijmL`;iWI3JN|58Ws{>^Eoe*4u|Ukw9&j zBn#wjIc3OsvVh#}F*A*6l5DEjzb6Ou-0?rmie#}8)RFa)FQ2~$6hvS}&9ZOp$Tsvy zOOzJ>%YJcAuYP^7eXHHYFaIk!1P}CU%&)ZBrqq_=*kMU=UkxYXSm(iW*Z@_MtA=ZT z4}1c=Z(suiOkfiYPgJOK*bAvU7Fi4?WI)2eKqzXz21pp(E42290WXQkA z5`5i1#uAP(z1-`N=R;JxjtHr2F8+LDpVoqu z$f7YxBqCaO6NuVx2h4}oT1`8>h9ohX_|hqA*r|K}m7xhm>pYl*Jtq#We@X(Ei0qfA zkT;bXMaN<)T#)Q-d%}jKHE;z>M=^4qRv^7Iic5p%ic~nBi%5_d@tM02$&W5nkdRRc zqsQrIZI}b7Xe&Z^CRe*akuJ21zA%cj^{njYEVeSI z&A!H~9r~I3nkxOXx3k0Q48kVP$H9ZCiHj!sOIHa9Bhl6xX-(aV=nhr+;66HK`BSWL zCgB?Js?>9&J5k2KNNYu6U@a%Oj!()py9EM4x(6-_5r%#Z>Tu@tE?wY25??_#r=|{5JQ5QM9 zxz=OJUK&bStto+`p-dQq5g;C{er-*dn_zYZdIKZLEovPiU}~LWadRb=P4mZTwEq7z z9hnY#%7MgMSq^&4{^TTbD)Q2#lP`JcnZ$*)=aE>FWZS78ddv}XlG%VnUp7~v{%9gP z!nO9kLYgf77!#(L{~@KXb`eBW-C(YLtAzyTkulk@)4>8Mhix*URJJ)|-U^L7 z%L6U&&OclWl)cpm6ur;~esodIgR61_&t*pguyPT|vD@wRBeyzftah8esotwJGQ4l% zx5Zr;SY3;NNJ64#i|8{CSrC5Tg)Cnq*vd8gF5|Oln&j?Sk%({`T_DDIDxe)~?J?w{ z0GD9xGvqQ0mY2j2*avl%>PSn+1jLy(T1)aQF#ZFVS#rtAzAuGl2BnJZF!_UlUK$?C zMJQ3%(nAVc<74=#rJwVy9mus$fnMmTg~&ZFv!lb;P94!pZu^@*e>s>II^kX3wNP|Z zdc-J8W=)I>|~W4CzBp%Ko+= zU{*-@SV3(+yFamp$iiN~mv$T^m0c;Ud{g1&eoyo6sZ?>22R#zu0I2IJ)Q1~WS*H9_ zC%5ctdLR{~v^13qj!dT^)?TQ{5O+8S(7`VN9sFX_smL=0MMGx+v=AQap7!83Q8!bi4r$>#iV2s78RbEA#)OsCL6m^c?)@@xwmDb&8mfh!iRTj2V1{l8j|io)d>7R%BdXk$ zm`Z5X692S8g-K{3!fC$2(Z*Rxs+oALg8#q_zuf-(Q6=}Pzod|TmR;ARs`+r>8d~Q* z>m{I4ol5EznFFE&;@=w_ui=bycCaj9HtVc?XaI+Z*v3GkoiP&Y5|U_t3)*mkpKpGU zGJ#dzA)YzEPh<{|1xzp{ZV_MM2R@8J?X^U@M+LIOGA1VXm8&Zd+YsD}%#|AN%H^b* zbXAe00Jve$m((nm=vZzQA(NXd$8*!RaJ27k_G^m4buk5Ba=cFo41=m8AZ<3{Pdcr@{RX=pf=!$x775u6Oo(za!xC;yZXDHtAXzr# zZ~L=5pB+(^xcO6>7~+M2PlWZr+~|=BXA%va06eA?7Limx__RAnm|_;Cy0BS7C&WTL zK$(=!7;u?FCmMbLTRfCgz%llhWAeA+TL0l{z^4H|Q(WuGky_6pAZ1(Ox9q#Ud=B5j z_w{v$jXlaHSJS&pb4w9)g1-Rl2ci+gk2KmAM&R}&&;Io|65XTxU;`)osOL$`qsHPd z-BwGlAH0lly13~}BXwWXu9bYGDn+hl3;B#JqRY+`!<-+W7*bv;!w3k1Q^^hC+ZDEWD|O&e=XMlZj+PD!LmJKVKy9aE!e=dnDzhFqOKatXUx)1 zGOJtVG3(Vy=A%^t6ehmU;IiN(dc`XH2P^PmiUsB3NQV| zp8+FxT3AMaeY81*j%q!;%NLpPtdQM{NhA4UXV|@IB}3j77Ut{=&XCewCQo8* z6?sUWLqE0=LTC`EL{K*l9yaG)2A$yNETL;oE$eGlSG4gOP@Ys z4juxt5Dyl>r;vdi?s44!;m|oiA$yb^`c>s=mytz3i3UD65*J{(lq-W=t0_0Iy`n*A zypQ?9jr@3_!uR}$@X-c&s`xtfQd8L%rF^FWT#N>y(Q}0~`jXX(prChABft?hB! zI5FJ*^T`yiK}Tiap`wD$O|@MC#maphQ!Q6!r=1zT z3u%>IB z$q5`wv{wr7GO0oNT?h~fWn(@J!6ew=u-gGN z!-|1M!Q{A2_SmwA5JurMxW$1WPe~$(hY(AExQ&mTe-}b(n6xoN4)qXH20co#48aiN zhsLpe3J{>hdY%J==TqTKa6UsS{6V76z5TYOOyLD49hr8s*ca%NT*AOLEYhj!7A+YjT78Od7DX{@d-dKhS>vZ_YNq*%Z*AiRMnL+$CD@v&Q?3 zui40UDvyw!<+k-182&?{X;7IGKxG5;;^!$$+w_Z<`1@pQul=9EN$~n90PYSdlg&G{ zcPZQ-DLqR7dPV|p%?W^Otw5hIR`y-dUZ9G2wqmvz1nOpdzaF9t)-?Tm1R>Q zQe?vzN>3*r2k@wYLU~pJ%Rf2*H~|N}=y2?C^%1_+>_o~&Uh`S;M4B8M1?l)5+K}2; zC0DYp(&eg8fMH&dU=#w9&>>Z-$t%giBEXP;MT76I|0_^|DAL67s41x|(?e zy;o2~lMr4w7|5;mpTlIU^`Gd#sSuf8 z@6VQ$63{25$ko)^wu3tq#{w?JS zPZ>?nT7Ei8AKoHsN~PE$^R-4w<=2dQ<=YaVxlXL*RO*G1nRHfnPw6P0mr80mE2Ifm=Kk5ot%gvW)0|z1c1S-Yf!?Gyth3 z;i<^vx*ImNpK5T!tL_mW${(9d?>N-F>yJuIoY$FMv9pIM#s)$a;zI&z%Deiy69lDz zq(Aix`J^kOrfga7u!rRgxdsl58JFlM@iI5$9*3HTqJu(OAhHExi}C;&^qai*r&Hbnh2*H^Fqu)7TS7` zK~N*=2Mu6gEq?W46KZ0Gg?h;ViI=fesMZ;gE&h_Q=1^O$Z0;xsQU61Y61GriqH#%$ zGLL*xhe6UsjjOPxUDNbU5*%Wr!0?lt1y~Oin)-iVB-Q?kC?`ksa%8?JUpd7>%v_r{ zk3t3x&Zp7wy}>>=s?P0qW#!_kf85GHHvUYMUT95cD%E8MkOWofuC!hHaZsnlHzd!6 zvCzY_z?Rd^WM;o2v&n|C3saHvI)(h%?gSDp9;tEbdesG1Ee_8*Bb2l!qh!u0$0Ib# zU~k_CA(XdM4uM3tzr`0af|Wl+;*ES+_p1PH`$7|75)O^ z3LuTV1b9n^*y2l_e^K4UfDv&8hH=}XbcW*UoV#`7`maGFKJL!`CK0tw11>c!*?U@| zIVWWxf2s)}yeYQzTO1XCVo&^_fyr1x&6qN%h+ZeJ422jVxYsvx8S@iIMU68&d?!zU z!xFLZpd@I{X#r>~oDTp!v9kY+ZS=-l{=-GWEd|=R<|rP9gcg7vH2HqE(l<>_=$7{q z(vkF_8lsT3P~^17l9BvZlsGa007f5mfl8TkxyokX79fUYGhoht(=4ZQa~1RzHGHMf ziK~f}l|8up{t;Y$F{&kgX_FFVXwY{dN||dJ$`;^2JOJ<3GPoAQIJg#w6SI|u8=uH< zYI2;(1n^zX4-9uoEGunq6ny=9E>rd&0fF!V!l9@EARw?&06}>!Gge}6rw9XeM`}V0 z9PJS0`aXZDUHMR(f>=#FVRAL3-leM~1SQdjo6o4FJT7n3~IIw@=OMhj^ zjjG=!kmSK8P4Z#Qqzs-8v0%s-ZsY!UU`!!SJoUc=2YI3_N&ZfWelHfSM1mpc)Hn2u z3J)`6cth+TEesU-iuqF83IS4J3`XP9C|+YP&hWf@m0p@YQ~(?H;f#+{QOmnX#Q)a8ca zxg>dGJK{eagL@stFpQtRH5bgVE!@qJHO@HXOvlUJN%)I7NCH@)5Fk-d03-^vza$F$ z@S#gdVDuN}Gva@&9&0wFvSv^!jl~Faw>bf>G}m%EF}5b#(T0RSaRva&XsWQKApwN5 zZR*t+9|>@o9kks|;u7bQW&6@vjN>w(^OX5S$k!DLB@N_6tRP#<{>x5l{nJhl19m!9 zWDu_31fWUOd0|Jcum!HE!tAeOcJ@4#9}>q8Wqu3)gAE$HgH1Se8!e_%V`sgkzp4Jv z(gA}Qy^YN<0&FzVZKEg_P_Y;*t;?|X7|7=m46DV-t&~`zgY+FM<`e`+c9jJuS~c@C zkC8_qW;lmnz{w>d8;Btia|mjiBXnJn5BUb0rz|E;zK&ERX&@$URXkp8ME|pUQ^<@g z&Vc{5mQ84Zs#P5g!kpWS7SH1{OJ}10Q*FA}<>pyEvAi2^8TD4U`R|r?ofoF68oS-WR+d|pnE zk(+^x`w}c6@1$-6tBa4m+)j|F{};wGgHUAu$P@@VR4*n1^gVPu+Rn-_SHfB9I$ZujJdYnR*MYPIC^|Obh=+@ zllP;0TUn>960+LV&0GC_&4-@a7v~Vz=dL-iF?)yLEjcQt76v4~n~e+FujF8F;;S z-**oW)jGSM=Qr0Ee@|E2%JFqw#X%yNoPPImQ<+{9(w-;aq*btXFEE>Q>3A)Esa{>; zG`f(Pyz^;=`+eZrP0eidTjz!0b@1V$`=PLz&n4BQYGuFLHnRM!(5l+WQ2l+*!^1@nWa{$vd2)aEQ0?sU_Kc3U%j@O_Sx~nf!_o75=Rhn; z9k&w2p9IAh$oYb#xd?eD9Dr}um8i?0GHL^4U}dS4a2covZwj=nE6J0+GdN6 zm>ZK0omCI}+IsA{a0dAuMbDG3l35!Dx1dW?cJY|pjE#ESgL-_;_0m_(%V0Uq`(3YS z*CaW6RXTIZ8F4iJY#B!I$f0c{Bh5;T!{hahSv$y7_Uf~*vo&4U0z2KQpYD}-vkuK) zNK)6w&778@rIofUFD6}D@TJMbj=gBrQsMlkidPZn`Zs0F!*RJA`0be+VsqBBj_)J; z>+*53eSFT&cSul2i*?f9V!KMzzE_BVw_O<6xz9cZF)`+Q!tb z$~cB2v6tz;nalgmQQRwRS+Na&q}Ub8#&6oGHFP~iv8Inb2ODBRu;GqFPhI01@IqI; ztP%W9U8`wbi8*I{|Bdx{+Xm4Em!~CpSUddnRzr`**|Wzn(e+`0zkZ8p#1WrL_+l5X zuTnBK#;9%c5QlLvccjne({2K9D#<$gwWGK?!sy`poa+rz5e`USl%e0$60|*2(_j!( zI0he~mNbTprP-3fy%uHXg^obOfbL&gqro$BW35_-7hm*gUgoz2>3+0!>d%hP6E`lFVV|S_l>5KfsDaQ->r25N zF=4@;9!pSV{C3H^bV)1OYs)Bs6DG3^ehgpXcg|x~wa;33x-8&=>b}RW zECKuKoY677HqQFM+Cq|8OyhYf)-qka0~`D+2IWZDfX`$?5DNCYGya1mh&pgo)lu8`S$@3YmI_&umF= zf5_4IGN(DxL6X1PW}V1dgicEQe32mUHOT3}N@#bkLEs!^;@I})TeYn#-jKT|d&{RN z9%VDGuYEh16?Srxc5bN>*bUQO_iCshp+AbJq;D0=;Sku0^bP2f!qwW;3(e0s+7-J2 z=;59{H~WDeA-yMr!6&7f5%LxY&*lPB_Df_6eld6pYW?%*zTdO6yT3uG)bVh?E6 z5@Mg!MzW&eD@iN<{!BG$5y*-}z!ul|XvHRSjaOj#JtHEHf|LSjRfeJyQpP~N95GEW zfwL~!WUu#Z$Bw+!PJ}$F+2(saKYt4nJ^yb^P-i4Uf(itPqqXW!)uy)e2AbbQ7bf6H zr8WM}&jg+=dp$fY&-O|EU$&msIuuC*L7Oz&SCP|K5G^s*c-~TcM)|9A{DW?VU?#Sb zOuk)ytId?@wzusmeFi7>CuygX*nw%D%@g^2)ZsHUom{=U)9I}rSNU9K*MWR3^{=Ca z^WzDk-M83D7aQE;Pe2jQIq_J6YI_rNwQB1I9gDKB^YC25pVEm6NqJR88hf&E|LvLq zg%@;PIijtrJC;v)dV0I~EqGE>`nnXxQAt*2umqz1sPTNIF6lVVAju*1Bfz{ z%Tv>9IVU{i&z|tLFszaS{*JFQ?R^2oXzOFot~~%*{1X9=zd#CI69l{(22R2d6GfZ z*?xL!0E-DM%!g7eF+5+Dvw)hAjBnzT7{QH!Hzy^0xcrXn7+YOU`0)6Q<=A`I@`QVY zq-0LGEDC=bW?}frRNTJ?7BhMamI5(>P)UqGBq@Wa;O8Eyi#pwxFEAmW+YB*&N#%_jWc=qP@tax@aG?Fr3NpDj}hFVj#^Uh{+5$)^w^b-f9Aovc0T; zoyoEA4{!KHy+fLi z5++rnn(;?+Gz?C})thUUI4eY|ku_whkTLL2TP)S3`HZ$+81~(Jt>I6%m<9X0(~n@D z0FrHekVE}g0t$8q5M%vTB=LCY&j!iBu!aJ4E+inJ28TE@uKuK5CJI}sqQnI`SSE?S z+W}VoQ|I=ae8hHID~Jh!rL`BK-5CRcWxtqXDn3L>hD*_>Y&0{BDAS`VTt*m>pXU|B zf@e*Z36d1|KXLzR9p}*yF5BDda|)K9Z9|FEjot$itJVW=_VbfzwymL){Ut}3Q|9-M zQv0vW#nkn@U#%xFCstC;;J5o_l9}JF=Y6W?>t&wQh^83+%obT2KbkAy#%5bnYR$zR zfTC?)pd_`fPrueqv8co!*A_*=;#-yF$1BNT!tpLDi{yuSIh)=)vPn z2Yi!JN!k`x5)GGQR=EZejaGHjHzOWJQN?@QO1SxWW@8vPU)*BE;x&w_)?uMOES(Ol>3@^-s<3ET1AR{A(;Zv3mC#_{Dj$I6s}ZP|?x2!>ZG=pz0K%+)lPG*@(}ZuF|;TRl?jZ z%4kD#THO8Re=+vfQE@#>-)};22<`+31h?Ss5Zv7@xVvj`cXxLP4g(B<;4)ZncXx*X zcmK{k&w9_Z-gDQwfA!F_XEV}W_3f(ePnqw|uTW(h(nXN}PDRnbj&q=x)#i92%TF+rBJ zbC4ii&lq{xc{cuo5rWm1TzzNCg))lrl;(4e^j)s{B$uDCRi6#Ue@5ymic1m8O=apT zc2X|$70Brc?(k2 z7DHLby*=jZ!yMWHS8$cFE>|9@31S)zK5Kf)0$@jt+&%lwJ8R@VGv8oH!h@kPdcOu) zV4skRvrxDhUt*ucn}9NnhE)~CSz<~pSg^4aN-I>jGDgNl*}lWW(?z}q<>KX6A-p%r zU=qSLgfSfFG7dM(ULwt`XM>#B;q+?_yR;b$rqKRJ$mr_@~SjygNY zCPTyqhq3XKITM{VE*I9;vxoaSVu4nckVv#STFe!4p<}KHc_Mt@&{Chg2YnGyVzUM- zy*1Lv(9#sv_|lIpEnZhdbK7=LNd#oT*)a>vV}5X9C;d#133~ul{Z1E30+|M=b-d%d zv3HiKSxP)^SW0}VzZ>f1!q^9BSg)JdnaQFUE$YAwFSeWzpL3^3)`Kb2Mzl;n>2J3d z;mo%d9Ivk%vflg!M^hm|X?7*kcwTIxz{ObsMe811q7_~)n^+dPhhfJxVsWKh)^K_4 z!M6w6#B~zq=Df2-jwOmxz$F|U)e;&Q6~uZiwWQ|~jQT`O=~_f4#9Cf1L|I2u_=Iys zbe>{y5Rae4dV@v49leguWXex6!?wmU_cw$YCX0@_f{1e*jrl>Tk~bFCy(B{9UM}LQ zg%TRdmBcwpdz)wE2}e`*{upX;0w|1Sw+GT?s&S0oW}+Eibj{w68LGe6-B47fWe+80 zK&zF&WF2-K8JI4L$kuaQ@`2e&X900g^#|9A;DNH!J6Jq0paWYc+Ep>n~oc+TNI+W=*8Bb zku^A0=3Xf=|44ju(C~;%s?sLQpV?F0r@x_y;kK;Saf^QV`GQOm!;949BN(vo2FMX= z4!}1^sEARLkW>6%g~{&~rS;vjW2%TX`3zxMHEt~+F@D|d<^Y+!zo*{>)I%OouzCRO zD%DhL_Y5cg_+N%hwS)xnRFMj=SX}Br#_D(>7hMME(6^mf5BMQ(Vx6lbwsF&sC4ryg zV{+;IP(QCcvRUc;*q3bmV-L12oLJrMFvZ0#{7BXr>%AG7+k-SPNO#|EGIF$(sZVZ` zMaC4ik;N)dRO*C?GFJ(KJfa(|LEI2t>pN3upWF?T7JkZtlw0v{6O%u)$fM;ySXivs zzOh#3dx*>B^x~`85i92cCPfK(^0x1to*X>+v-6Qg-N;xN-V3k?*d&}2i>oh7t{noC3<{M3rsEZfS9h_m*@;#82 zsFiCIa^_1K{DN4m+ zS+NIq+uCMxLl(I98HMrNG6#o$aVykP=j=EpL=D!s`LOLH6XTb2YGB3;irL(EJ58+$ zhG=!Xt$Y!+uQkE!;x-TRa%PB|&vGUPF1iX|(*@-MNfFw{(oL?aQtn9jmNnhs3NL3V z7cQBdTQg^1WtR)t*Op*)J(d)llUFP^fRhkT3Ck7(gc@ga)4Cr{l});ZylY*p z2(kxsfQa2;h4t* z6Xo5N;OH3l-b({5fS&t51%&2G9iHxr{fXhJ780_G_a2w^{P~(`UdwY91@GgVMVbRb zw~gK?=p-p?`8~rbSe&u)qe^OHRvfa&*hc4lXMem`wLbqUjA{!dr&4CS}LHl->bjF3fDl!3FmY}f!>;A)wiqn)aET4v=?Ab zUL4B>9XDxMiJG$QU0vXhOpdN85p>xIz`#&9CgnT^@h-Bn6(s0!oJPRen(k-(RO1qxLg5mNYG7mhxhhPLWrLM`x|i`&L+MA{DkO-XLw0kmnuR1!P1w@ z=#TegGlo#t-&Iu_k%PL`Ye=QIbr|KSk{|CB#{X%vcotX%-457 zV=hMVaw#o+8i(6AnkrKFf`x!2gJGpiv#oJ=GfM6>GBbzkoD8X0FE&g|unOVjff(!M9&d9)%V)x46QahX zn`?q?6FuY5X0p8-Z%{clv%NrCgf_bK6_M_r$aGGUS+N@U_5`VGBB)-y!1th?|8&(G zd)|HVd)`)IZ0jW~4aeA#jYlb$XMTky>+Y`Sn}35=1L{n2sSJLa{c-XC)c)|A-ZS=c z2`Ms-$Taed)HUC0T<=ZVNy%P);UQsox2lGi(p6Q=<*ec9qhgbF37&X;iCd@l|I68} zp#A!Ko0XSJNHzTH2_ubTEo#~!Xa8lPW4;N|oE4gDlVye}NXxSAR{^=Cn`Ec{Z}K1H zz-i+-MFdjopMCL~pWIW=#!krDwagD75%{T3(5A&;i^x&|;mbd1JRvH1D}3a)!vDp@ zm4U-aE50_1qNIGi^uS9x*ZIinTMcjSwzVpA93j$j+P8nZz&$+~wVpuG0l-( zaE)-keH<<#0k*j?%Bs^H{L(6HrU5owDAO$dYQSYiBa=+!w?Iee`hM(aJPrBzQ;drX z5?t+J-=n(IpWv_~DuY3lD>yB@k|k-XX9}0~<>?9}CE205wI{#5 zNC)*61_zpf-rM6i>Ld)w=rCvuGP1zn^r6q%AIc1nCGcYxB1$x_3apYhL&x2}DuohG zpYInUbJ_^RMX;>I``qK0wi#Wc%O!8_oJG8xaw|CEq0c3Mc9B95(ZKrBtBoGa_&XB5 z=7C$m1s%)#(mD4~S>`u!@(#M?hb0lVeys=y%$oO8v>!OQ`tVRD?Fejb}Q1eEt{iialiL%5#diG;o9`Cvdb=DOca>(eP zO8hbDBp8(bfF%$ynp_ezhA1uY`K3RTma~MP1@rewCiTg$sKWA+%hj4`W@05LP!`c- zQo{7lm>glrCplfC)eu1SMj8jyQvK(OMYAdvuTZVb?Wg+c#A&k>_SCk<0QHz^O_RIs7r{4CrUef9dC^fAq5gpq~Zw&@>b54Wk^wDW3Rq;C%!Hqa0SHd$^hVH>sI17C8Xqnm;-br$M6F($mfcXWD$xk3>B z)g2x)=7duu{@**i#sau6{m{PN(OL*GGN{b4lbF2q*SII~Uv8@K-GcQM2hg7^B8dxd zu>?i(ctYYP0ZDyh`QIe=&IM{^hQJLY$;;Ag3wI{*xv!t8VZosKLBYhj2vNVgHvmjMjW5<@b zWED!mE{re5kXa}tr^r`PBHvS7%87c`3@ihv-w$~KffGvD0~YiEdLgKQt~=}??^8nF zhZKv``$egkt?J@Eeam&)DFdH&6Ltftizam`IuRF$%#8NVmSs%OSX0Y&xng+j4i+iM z1&56eJ|$<18Y1hMq3{*E5H)yLS&qkIK{mYhQ!e6EhzfB-u0zIfLQnOMCa^9L4@;$# zfRz%V39jzJ5}9*|Bz4^8Z4!4faZA+OnY`LbPbVE#QsU#TE!A{V#gdC9EOT&5&I%)! z_%G|-jU7B@a_&;Ma5Vl{JA@j8twJW5@H;_g)R2R}t0^kkv|{JnA4!+eLoo1g3Rml- z2^*PqY8F(oZCP~(x3u83e=64-?DpcxBtv|A3SB-%;Fie1(Tn_?zA)G=q!vwPB)F!* z`D&6Ht35Mp3%-LXWE<3a-W;Q#me#oDQsUWvB=-q~uN-I&W^IcrNY|13$F?i3m` zhGghGk9`pxt1-c328wJL+cCu9Iy)0n@2Ovfr|W=nLH!uCDr37`jgs6RvbM~vi7Ndo zMG|*YV)|q3RDa(C&3FW2--@<0y7Qr3VMwnXL&ZVOxuM86dziqz>NV}-G%EEeyX37+Ft8PY_D}%+VXkWr{lbx4t=tb9z@#IWw zth(MOZ{q;NTl}Oy{_{vjQT&!jZVG5SpX76TXIp`oi2Z+!iP!@%5qlse;#GC>bUFvv zQk~2Gfd%uJp$8SMiz2?}>MIp3?th8mwG1;R=jTjMXanflorL=U8HrkjM#aTdi%3>Z zuR+e9j=vSvBkvCsOtIyy!mWEv@3Qd6M!>*yUEtzQ`Ei$pKt3P=g@B$2L={ZX7~44XlPMnxAwp{5Y{xRUbz)6(=x$FP zyw76IU9gAvhn$mdBAJJ~<#)RL$QzVFcn2ulbzMN=?g0un3sAW0*_RuB z&Va(r`bXhvikPO6VwtCs!o^t%ceeuyHw%?`Q36w{d+}eoqnjJh9h`vf=*~E$T;jXQ zA)ocTUd~fInk~H(kE^QvyFSIiWurmUd)r{q(37|uGZBPu}r*DNL9=Nyou?gc|=NBZzn@m zk-lzXN;i#P2+KCiC2qtiXE~vRJD@(e3^NO9U_$k>7zHr?Li{!B-WPww+bkL@GFrPX z@FeUwr@rFLVK$~6lVuRr%fBye_UKxkooQ$E1y_)z$8ZnFZGWodg3X1fEH{)f8^#v| zs4fd3$Gw5`hf)u!ZWOh{_E#R&O`FhnRJx@nqlW4Pj1JTYi41Q{6hZ$>t9G}{h0$c> z6x&$EpsG-sX&zxFCp;C>WIjRns~7;j(--ia71;k}oM>9b;E^?#x}g-SuiClY&$XNS zI!5)54KzkQ@>}u6m0#)(;uB2p%CC8}m=!>qapW%2BYW-%_5+=!jrmzGLj7QH2(#HX z_caVhZk1&5r7oADK?XFz>n%fM1HlBvcY%JgnEhTQYaUCgd-3>H4ECXMvur6R}FR}%DQW2i z(@aOxT&IV2CXX>JQNPwh?#6jP)9|^?#(UA7rr2P5wAN+B_6|wBo1rjd^u&}kT}=#^ zD>+%`1J_~lC!z>Jhe&x3bk^ZTBxX^F{68@%*vC~R7uo2=@WBsTaUO+9CSxN@``O|p)JLN}Lia}{=$kJ-ZM1u`^-AP)q16@br!dtwuyewx;LZrPm{@6;B8S&-} zpe4M#Kn^=kd`Kx>T}52Z-f53F9Dbq|(-JQTCB2?VJu+=$Sik^^WN@H{{k42+<`W+z zWQ;~0j|PiC4lM#Z<@HB2x=H+`kA-@H)Y6vy_jG1{bQ6x;R1+n2?woR&gLYC@STXy5 z^F&QJ%;YsCZVuWrujw>XPT2`_ppJ9oVgmqvXooRo)!cd2UYVU<(wq{7*o0+P(%dBx z0%c242H#ZD_<5p5IRlDeP>Y7~{?LEnoKg)%K~O`DYnR|d%BZp<&Dc;Z1xi2HQU^l* zVuFw{Jw-g490GZt3hb0`T^QbC!a_vHkYSj~AP|9y{lU`ko$Xs=QND+pY|f8GC41uP zEkG&$UmlN#x zzl^!jkU=3&e+8}GCO!0}YI!WMi9nOq?hHxY(OR-IQisP-Nj`)Uv1~EkHo0I6Ck9ZA z8n4n4HG~jLx3buA0n|Y)!Yv@Bumz+PusOXeS44MTjXlc+GDzgO0}n$*tQivEYeJ3* z+$IyE?y9NBR#wc3@kOjDvfyjD39+=TJv%2euZ0Sl6D5M@rf>hev@2%haK*BYCI`XI z;%L)xt67Y57ffo)?S!cRE9ew52LzogYD%yQ*vrO#uS$`jYp?f^M@}OC7}Y9ho})q6 zM#@`M{Dqh{3scHe1p&rGMK}Rt6KybJ&6upy+)w6UzfVgyxiPAhuv+rO zJXl(yPJ!v(6q8b$n{PtSacQ2n>VBWf=VV@7^LyKon1R(57AOw{zPjJ`%@OBjtU@(x|po=-GB?V2VAKAkF7@rSHykJc5maO^y^X< z@nCiecs=DGli2;?Kh>cNMt_otq-I^uIYIZcaqf5R{+a#>N0HIT*=)0$(o;>ozh-;J z(QEz0Doou!%WU;yl-iNDW?RXUbGsmdP0`UbEq{z0bX}&pP*bR?*Xn@C_QQixXU>#~ zHN}kh1@#%d5Htm&)M^73O}|wwEtOGJe**RoApCjxHS;x)ynsMtPV*xWhZu-&8`OUt zmF(`5Z_vT!C4T`HMo-wu34XxB$PZW;*#pMC`*n>pk`Y8ahp9i`A3X0$F?IV>PB)PCqG)}H=gPWLlNoL6#}(T1_onB z0I5IWBl34NhbYR=E)&TI7B@K1yba`Ud*WehBq#orhdfk%sj)gde$p7=PLIEgjPKDs zT^Ga0eNNy=X!wM=I0R0TWAs&qC)>D;NB_|UjrYVx?5(K-RqY)a`jnuIfvmhkg==`{ zM5j!jcWbC0quNvWoSlb6-LDfOt=o~!&i3z7w3O|LGNjwRS*mx`r^6FF%6dfXh++`i zO|2_<>5Lj&bt74klKvtv#5*2S2Ss<3{k_@bD|()Svu+{z#Y$9b6%nd4d_de2R7Mu( zNaYDK&9hpsL*2lyo9XeG)F3ITUuiDM*_E!dyFXTaY6{)@RxS$zNQH*EJE}RsoMrJ4 z#L4rwd#GBW={f=8WT^#NJ~Ohbu zjyy0=`TEbC1u&u;=z}cvbz#QsjD}9~`IyI_y%`O-T|=f6pC_xR%&m=S1p^}0m*^pt@U(42PnrPu0Uy~vnh|GP`kL+(OfD~i*u z>sHGF8P3XtD0@}F9h939_u!h+dG35$oK862rdPddiQO8r)$_ycd?h`mxqr2OT%vf* zL2MW7N9B2~Tv(7~^bcv7e)h|L*{o>5|EMI_HueW_6i0wz5F02dOgCFoqKro)!WY~A z5@ZovjOKX&W9*U~k#hn4jkma{Gt-F3R2ZLV*CNs_8K$3iq(Y^wh@KO5*c}Z`9qWS& z|NqiQkBr8WV42g6b-bg@xo6wI185-`m!8 zisNV)JxlwU2e0~97g0E4S9mXOcBqzYM!-!xlyK3NZF&%5;qav+Qv7)#M5cm}XTl_} z&WNdTjoaf6>1jbNxgbUl4Nm?_l5jcmRqM#cy9+u0 zasSzC_g7KPoiu)H?zA6kY7O4XAm$j=PUmsMy*Zb2G&|k-DT{58&C1hX#H7{MQZ8qb zR`-b3n)A_@DUM^ueNNK;fmk;#ju%R|<~W|k8xxn+fTxOk?;nNSD1mb2WAYq9T8Hy@ zR1qa$g>w#ykL`7_MGJ{{+OZ3i~OE)%P2k~{*S11<1wR?^)$Juaqb=IE6 zfjO8&D}z)Kl-%pBR6mGLvN=IJIVg&R&VWjcExg^ z3)fN)EP>+mfLu}@kV}Hv;;Mq;LHyuG)sd*8vq(X@NuO|Tngzu63lBX^kun#Xlc&cS z5!|UFigR92D3zWTKeuI{o6mzNKA!fLsmoC9Hdt99vRWNsge6{8!BJHt7SX)eG&KSF zQ=71KQU+UNN^Y{vv5i!YMsx*yF3hHq@#<=R@ETu*_z5{f;~GyzOQsPFI6oRnFtZS7 zJpzG@5t0v(F$(;z8KVs#W3*v^vXQi?ES+AaLOr&$)`2>>b9F?L%Jt})F+_O1n@C3>6%Z6Q5i%XYi<-KZZ-?-wOU;eKgyc+ZQaAP?)*a&nWaX$uF zwq|^>QFGKy?)1e7HJzV9&JM<*JBHsbKXqmrb89NG!P#f`axbj&!Zp}-g8f{OGV{?a zUt^u*<881Cs4jU*BR^n}UG8@`SN;)8oWP5xc2*2pF{*m8Y+XBw8QbBl$U&gcdLoRAo3`1P z7EbWEb?j^LRnWinIZ80F@U@umY$xRz@E* z-E8hg8G!vBw;I0=&Yb#WDb&3k?;@dsvq3Y{d0$^Vv}^xd{9U4X4omy`>euG{vg>tL*5quR z*G5;?F|(R_{rB)-AnLhnxvc28^x9O&C9mU>5Y*v}^5UtFwr_tXdjlF!@a)Z4KO zoX;4~BL=;m{ZVnx75_8y)+M;=lUqB%>RyVmddio-_VRm|MfYo(gs})U!N`;3HV-K-oPmc z=DZHRt@F9+_v4QC^K*2R?JW=YP~Dd=e|sQV>-M?4t&CtjbRgmfNb|A#uUuH6%eUY=^%JGBueVIFnya6BhXdtXr(93;0izHj(64HTn{hN1Ro=al;W*-jDK|k#6 z_~Bya$i2;diM{LPIFAH%{7Hl})Vlj@aM$hx*`0fRAj>{?|9ZBILgrjIWpVNKPkV}| z{^RR0Zk0CT4>k-Eh;8cp+C=7JjN2PI`gFzdBMZ*UZzC7`VX#8?y_xU+>Eq_q$3DxK znTfd7i;EnR(C*F`(niM)${bOBvwSH5h7QY19Z09FUy<{&-u@a~E8ER?E4&ZiXkDGp zsTVu9o7@bo*J+vC%;~$A`s|52=rSH`%{GE6S8Dz0tJ$5_+rQPz46X1aEo#Cgh;-@X zfGFzgy<1x-YZIV4u=~-&3r;=J_x$2Du2cH3GwKwT0ZzBR00Dp0}nZWJxAt7vgg`X*+JY8m~!hS0G@< zu9Q&XeTc22$X;4;?{t2UWWm(SwOsXy-jSdA4gu*;4YIC36@m>B^J*J0t(WU8S;Swu z$IaQm?cct3rg)b9=0;unWCvY$BWN5KdFN`M@7Bs`qunvgxn1VDx$UO^z!456gvOnTl(^;yJw%;duw7K^J@DBCi*PBShO8AYA zRVGqLIwmG{^K@=3IZyI$GgW6zkGT6Hce&R*?T1-i?%glH)4C;yXIU-kb@#5by&LKT zDiAqa5wVT)HmB?ACvLB3nh$H&V4BlJacAr7(l|c+gOn#+jVvAi2PuDQ<&N*G4?X^C zY-ytWhYnwdY|u2_g%6KvH`GMMk4wa{?Xo|mci0D@GroJ_kTojIyBUpshm+Zw4*>>& z!0XSfy6wCbw1V>#qK@z%vT5;H9mX&fC(7k+kw01BBhVs;EEXAgz@oHX7s?6g^d))o zfE)(K1-t*66TPHu{q<&~NFCi8tT%q*&w^d;fA(3r8O8l&{%6k(ymQAyR_Mq5RfA%y zz)ic1GvN5PQx|~BPfEOR^~A+)zyGNMec??DHFxiVgg3x`iUVW9j7rEmg7@Tf5Sk2c z1Z|Z@4Rwt613qv^NiCcdgJw58yli}_$#d0{%aB)xur-M}$!$G>A=n1y+s_&Y-bLvm zL!EC!R#hK^ZJQH*1eM>8=PxcRWTjOjl2f63UU`0gb0>ZYpW;pP6y$nmVVL}2JqQos z?;BTswhzkZXdSkF5r0GSK7TLn*meB$HH#0u##&;{pD?)DQRl_*#y`E_e5&^VCk$M7 zCV0*wvNP8u@+OBiXI6}>cKY^?vm0mNuz}GqGywEg~&R=)l5cc-WM4}wo~mi)xS zYP250aGp5luBLnjYgy)pG&QYMv~A3A5vkKRk2Al&p2@j%B}YUyipQ!#r3q$3sN?|1 zeIJ}aySTyQb=dlgpa*1TfCG8Ip6y$A8|TF7iaShh-T4@6?D3_{uE*8XMgy+?B zKD=LN?Q1@vVBqF3W9u&w;Qa|lVY0@OhN@$P4G?fz3>gUyPjEt%DrEgkJ{;vH)s!ug zh=u|$rL3ce!EFDT8FI{Vc|^<>qXe1UkHjR!*c1W8{8V5i-_| z=t$1qazETOkCZB$u){1r7mjkFBMtzl@?xhV!P@EU$DM_TGH}U8ccsp-P$mL~@8Vpc zt0l5^kmJ5!k%IpgYLn zn65SlHul=D68xR0x^^%Z8Cl*7uH>Xw1+iA=%NlUaP@UhC6138JnO8I{cPdxQwoH`w z$fhyR99Rn;z3uztkoew?foK3SxgF|yjt|9Q9r1qHJqbxizke-@;9uL@2n(dV8KM>b zfYuAj5V*3#5;o-{6!|ciIoR6#>X#0Y9Fv?Bk$7cd!WOD3n|6N21}P#bn&IegeQ5K| zbhpBaA{Z(O(269*mKkjxD20FEzLEYE2q$e5uqc9;bgjD_SV?%{7bfg42M?Oul@Z_eph-G0J9 zn5GBz`()Ja24*v!_%Smo_vw3t=xxadV0i}%=}Y&!(Z{AMS^3rXxWkVrPu1$6$mlr{ zs51QVQ?^7cA>UneIAt4T*W+E#n8j!RbK0xHk3NZfkhPxYM8&OzpBGArC0x<$+}k)j z@_2N1ibnXnA_siGMjHto+p@!YT^4qu=kptAELRF=SaQ8PwwE1}JjfI2;j+-ahh(-; zO0BTak|a3rGFJS6N<2cqcqd(%A5i@F7g7L)TEsh5Wo*-cMA~YxF~gXnc_u}XL?nXd zI-@2#^2K0d^|ny#1qY>-yYrv7Mocs3VP4qpWOU%u?ARY;m8yPP z7+)F;?UF;VUBG>M8Fs(DJ_w7Kc=Z5;s2{*_@E_N9qJ#B;!Op`eM0;wX0B{C9NOppG zX79G+oZ6jF;9(hVj-L5WmLNTBRFQ*2fY;tw3*sEo9M`aNFvUN56yUwS*VWCvA@Nl0 zi_CrRghEj>- z(a8}4xV8RHayJ8~$qSuzl_#Z|Yh4_&_D!bq8;=0OKs7)Z(9WR^iL0`_`pj9fLi-y* z+mrk|orB6q+~{P0`oD_>?35N`#Ak`%3;mYs`8+D;$XZR9cUIbj){xck{m)7=W$LWl zu;gFn{e8c~{)j=G7M*UeI)2VkB{W&TS|Hwx+OW$bB8c`QxqxGy0^x5pQsUogJ)eQ@ zCeO^O>0k7<()}g^eIm7yrl&vz$lqS(F~_rU&x%~(nw5~vgFb}dm$wy%-h}V%F~$GO z=IPUXl?E6WEgV*}@5}iYmqtzw5 zM9?S<5^15XDA?%YzbMok{VOSTH z&G&WxtfAw%4$)lwgD&Xd#a$gGeqGcnTJ`9?Td|jLz&}JAEsXd>Xlp2fh+^ve+Ux8+ zY(}22uP$Ij^Ent*msjd3ZG6Q=cWDI)T7Nu)Bkzw8dX)0RXJIm0)bbNPTkM%rH?Up| z7f>G5QL+^IW@cG(4l3|vD-o90px3Z0B3@8@6qMrM?HwFKi@}1SnK&uVf+N+0Ms>A; z2KRjojcTT!TH2yut1vhkQJsPN_#NiHeZfkh;hEX>Tx& z2#xFzypgF0A(9%UrntaJLvmO{AxA+(oHZtk0G4rU`DGU5*t!S`w=kVpzzva5N-V`K^HpW0-B&+iM0)uHpJ;mAM#DNTH* z`-BGbYdY-nhZF%J3Ucp73SMvKWC;urj-OFFGR(;uAoN5&Jr>@m0w1&=qMkpf3w$ai zxs&%Z5JWsDN48_MEt!($oTyQW_mzZdOpNKX$8q0(PF|OMXO1}IdMWr9z551q^DJ44 zXz_3}(w$TE4`28JtxSIRg26$vNM6_MAn5>m=QBV>clhq9@XSVNr$6XjcXMB zYx+B$+88la0;OfowJ#BLesQVy0D+&ozFl2`$KAqozcDN`!Y|#D-=C?*AGMT_A8ZcN zXcucVR%KD*e&7Fl=q(OFaxe@M`gJv{e(42MIG zN+4B2vvEz4JRuOesxU^xm?=pd;HO?Rq#?2@re+>;GGD0`WN%|-2tFA(!DH7bjWBin z&VtdQS{M-{O#yt)7z8nCVsvBKdx{yDROzO8#R@UoFi#2qrgk1GTsoR)dCitq5~#J3 z5;^P>9-@mqx+I}BryOy`>)fgwK{;NoTIkd=44`DaV&RPG--<<2e%_9+4I}dU6f~&> z1&=EP4xQnCmbNUTmHg3;U6|%(?&M%uR^Z~`ppmSziPiX3jTluKOR}wnL~rE{;f+LM3C+UpGK|OW zG8r*E2S=D_olhwn#`T-kT za7VT6p&-PB!EZ>)0q8-%7W{+qxkI_{JG2p=39M0wdwtS@)CpT&&~MyoqQUbE+vy0#iy9wx3N`@HZwvtXjR8PEf(0*JG_H*F+7d2?Ou_)~hsuR5_Mfsq1qz*z4|RZ!Tmf+0K@;+^p3E% zR=Zj#X494Afcnq06q2-p_ci|}8(Vypp~yc!{%iU!Dd%d|&}?MhfD88P^7wtDXm;Y& zsv`l7!9RpV*4-@2U=^?&U*c8GaXZ(6tZ9i4dwRxI%>gjl*mrdn9b>7Wl5+obht|^V zK@V!6&v}jGFS}{bF4#DJ7i>1Nk!+7SoO!kSl_o3Melsl*70qdc^B70|vE`@3NUI&W z={Aa3lb zbF42HAs8-+DnmMeC+O5Tg5c;S|Iq6$I*CnX~py*6;DVtk2KXVKuzG^uLeBUkP4SbujayhWELt zR&@Wy9(U>BarbwAXX9HID)wjrU_dPyj9mRILcKJ5BPiF2LVTfA;lia<>6X_`h$paS z6~7?qjxcVRNNR>Ua{?nGB$1}{d7shO3mK`lj!W}xC;;>^AKG_MKJ3ci7>m14rW)Ve zz91v$Btj+l(g-y**Z?Hqzs?QxWT>JEn7t5gMvkH1lPM^6SJ|=zJ4Vn-m0`lvtG^{v zdWm7>hQl;gkcc(H>mb+@hI`G5v#r=uZH|c=fQNiAeT*iV|;tc2?h3v z&b!u3%QDeZGp~y;ezhY|%?c<44~b=5Tz%cNq^8i5Kd-X-A>!MQJ_0Oo4N@pKUiu88 zE&Y@uh1Hu9Xo6U8^0+Rz)k?aC{ z;{xO^)14xE8Bc0!mKz2i;O-9&)XTlS_)q37MCJ#h)`LVqKaXQ5_-r=$S8(`^2Y})9 z05F{7)d?~*h!m2_BP@)}q6YMgDt+Xxq~v=X=IP{$BF2eiQH~M#PsRz_SSs|&QEEur z7_2w_A{=rB>FUwTj`}4yKiJ5rq~=283RDXRrn0B&$qzB894o|X6ue7u8qlS`ngBfi z5F54(rN6p%DVFcGJ)6GMh3Ad_r<}zSEW;2A&FyktHCGo(o$xtyn@99HZOweUI23$= zYN3?pJO@FQ?OBNvpI8}3A6l7#e(}z0OFn>`M^I*XNBBV2r2(?@)qFdC*UvI-NGJ6= z2VOtzS3Y^%zh2H>T)d7eyuJy9_C1ZC=Q;1*Ef!ud-M%I+N?%`s`oxazBADmy0G^)a zEYCF#3Jk^^Ck$DXu7)#G7^P(4VC@zT$~XCNBc5j*6urX@XIJc22WTZLP@19_h*J%* z)Z6pwqE@jjv}Z<|9rk<`b1u0(+`@n{18jC3pFTaCRL zDV$oHf5TE^(UjG>&T+;NIqMbG+nmVzMFHDZg~FU#1ppXLLUhWh>9SRNsvQ7>hz*f4 zRBsCjZs=|PFeJ}&iB|vzt5qOzsMplk3yfH72!fG%Ta4*AV%EQXC>h;KpM=(C_E&?! z*q@5(5AC)RT1G$JK20EU9*Svgj%cWesFO|q)(&UxT_R9RJQ!@N3?j8!Z2`Qd{Lk8> z$v$$bzjJCtKp_u1Ug=)fGA|f?=sEC7@q?FmWZ?Q%wS^BxEsK`S(eTGS^t? zFmgk0zFH}a#qLyW&2BZ5hi)ir)4x&w0o51cP$P&*-gXaT0LpCawP8_*o34{F`wh`| z90y|EIv|f7NHUsqq$2wJ}~--w`(B~`MRz21KRvsv!!GvqH8()Uu2 zW(aBsq0=$~cel=>Ckh@^91>mwL*(Qn= z6o9x?tsHe!lQ3^Wh2oqoZ*-4THU*#f1WG!pkq)@1vFcf^)VZm@NsTGmzF(^cz9l_? zp{B=bJ12F~4Uu}GdBK|`?0>5rdQQ4GdNH|V_{(Z>DcVBi`SYVT>h`RlilwNdTDauB zAy62|kX3E#Yk$`S$S>g3i>79|1SvQ+{^nJJclP#j|NLCaL&x8+(u@z&eyZ&yfYb{| z4n679k#;MIg>j;a((zr8Saj+)q{L+U%u+>JwsfH1De+9l|)R*3MWR< z3x`1s(R|8+qv&Vx3hfM3)0M@bI$CP-e`*bCdMS$hpT#5-8trj*nh?luhA>K62(m&c z%DNQOzAOBoB!$>w0s(2HDX^l%ue1nFzxrMBy%?kR557n$_nvlIdm?G2gV{nMzVX!(Gl(cRQ4Y}471bi)#gsB{*w}PdpTjNe|vcU5U z$)lEzsX{0joCRuG)RqoMZyh;R$s$dT6cOnN>+1Ra$8^na<4FmqR0-_|?~A?%gs#J~ z?{8g|^l6d(zdx)EHdIytPJCMi0N%_1IPpv+fx$>Bw7g^IRF`;m_^=svTBYONou2ob zHywj^s@4D)PsN|6^P5!OXt!%KWs+-n_{}MXarJ3~NQwz|(E8qBiR|+knDw)x(u_by z99`C>6u)S+71lR-j7H78KZMd$0;!Kuz5wR92u-?9Tq>n^mYU6T@=nz*WfQuFFR>om zM&WIzANSa^XN+p!`vZ~-SG1d8I9tklOPsZz(qu;~IThH6WY#zyG z>1<^H$$_=-2iI*xlViUGof1TIJ447Hj#a%{%hn;%M%cMEbnnE+7i%0sq^0SBP7ZLD;f-qmXW;8N@Qcd_5 zN)^ivN>a!etUBEW*Z+Hb6Z8O0op(4c5T?xIp=Pw#UX>Slp})|{b%9p)spE|6_PfR? zpx>`2)f#(~*RS2eRUo>@)xT(%3JSlEul(~&AMlJO*L&W+VYu29_52&X;w$sae^sm6 zRv$+!Aw)-Uyt1?|!S;A_q#H0ai)9*e8fG=Uu(ZY;_F4k9UhOqCJAnKH;uUln?KWrT zaBu`{YY3$--$5lL!UAB;NT7bBybfXcLlb*ZZ&?ikxyw>!!p6)TECz7hCn2}a@IlFA zKwQ0G0pbei7U8xXhra~wj+E1){cn#4{ELt{1s&FZtr~%w0P{(}mI0VgT(-7T5ehnh zoJTML<`ao%H1@iBd1A2eZ)FtdB-#NZW_cYz(SO|diC0z=nO^+EPBVIDj#`}87Etsv z>VTfwAjF|t19-!S^+wEFwq4()dd)PeJ$S>Fw{1lZFWoA!Vp}a)id@{<|Ht`#&iUW7 z$;FNKeQ_h4|4Xi`|33u?%=~wE_w3>$M~$)$YPUUUCN1^Nc6#(F2h#P;N|DACTH_~o ze>M}9&L?@g)XBcj&<7^e42n)(Jb~SmQ+nwvQAHzuov3WFT^inZ+cZF`t5sirvf|MU z48D~O94f^5tj(i|Nj_zq);VC|t-KSQUJ_*wx0LHr5)?N}kpye2Ae;>1N0(mDRW8}M z>q~;OQOHjT!)%_Gq^#LJMc{*o25Ap(Y5qTyy>nnC&AL7sOl+GIXJT_=I}_WslZkCl zY}+bJN0inqAKAf3fsqtCWg3*3>9J}cG|Ey_KGh0WT*ueH4oj3 z@ZptK#*yExjyAUgKR$sbg&vPizPCv`lWk!=`;oHuUnY^8fxiCIo)ua+C?{GtgV#`LRFffYn>COxW zSz@6C#$vHDDdr#>8z3<}YGo%FMYI-D0m@{>O+GM)EW~l9; zhNEx|zhM9;8z{JPHA^07M%-PRM?TLpu)Qac#`9z``H3y3fT$M$ z1pKVGj;KrmGfs3Q94nLLtpO`Ny~Ln-w{2YEQ+v7)6BS_<@C27+DHkpl7B+!2So3Uq zD{+L9w~o+Bw{1E4gNp_8SG|^hL6_~bU;hqWMwVsCiS^vn4V!R3!lf`wJv)_K-a#Dq zZVpGgg)?{mTyi3mEdRyKIeW9w$~+$|hH?U4s~Q5n*~^%`i4?&qYtPwE4w>K{JZ=;2 zJMbvD414e)%AD3Hl`{f92{xF2EZ-RMj;~G@|IWU{WZq=Y(Ms9S1&*yv8JwqkNxbmS z7c#H9H?Jo6Gp~D(9kd+qpX2qmvKlqp!<4e$mZj4~?{~oCcL#){P6o`{v59gT6=sJi zxiH?I3d4=xpU5cvfl+ZY!<4`!Mm5?EHWLhF8k6LXO5=Bapo(U!ykr0L)i`rj4F=r1 zB4$f}(xEQ^nDNg`?+od{ig4f?~@H4<h@KwcFe5YKeUWVp4NJ}<24j?5Ll^JP{z>Y|X43>#VjcXxeLS?{;%*szStV<*V z6-5P%0|Kak?(j;hkPC>V;?d@Qkcv}>&GBF+@Y9Y_g~8@81W*Z`Kq!_e0dExrOK8!N z&&q1qu;QA5y~E`UqX{c)p_30N|Pg0yyFzHclL+BE8|VMR{`8nzjEZthxEb z12Oj|aAmSN7WkQ_or)ge{k@tEW8-3{=Y-PdSj~_9JIsEh-*U--9k}pfs;S;#v zrSDk7xxm)C;T{8OWn*KdlSrZ6FtU-&Fi@Mbg6u7br8@EVQCb#gxK+`Ei>WqUGy?;U zVPPbYP!cir_OPJJda^%ENl{Enr>98DrvFPW(J-BtN(Z=x|0fzMjQo!^d=kAJd9HKa z+FL(4msGnt&?Aqb@5V~N9N?MhnM6(!>xwhlxy}G6+?qzeRLOYo9o;cZc@E&Biu{>f zR_R;^$ubW}6XVobA89C#q~)2~kHu&QRscs~g;~4$$u1;~92SsK z(ODM~I@yi-QW6PdRCKg~j0!i9QL)#L!?8!#1w=SIfaeuP0vQ#0Tf;o(y1y8eB>E|J z%Q*m$QlX!Ex^+2+hAWB8S#`~%r>`Lh1(t^E(B2C4JT3sFRG!ccE1w#HrkJ6L>zDuq z{W_sPw=|+Mr3%PQQXa{q6!?#c*iD@@5wl|z0c1s;QpysjW)0pLK@^nxxreRfx$YWQ z>Js%64=Tt&B*p;bic^4Gai>fo4q*I1H4QU2S)8Xiz`gCQ6&}I-pBj7uH;y!*IuL^0 zZ99xW4v+B_$2E{^r{66J3Y&{EQ?c9!C@=5^loxoP$f8f?+JWSsf>C?O+EU$R(q@Hc z)@i)m_Px!t=O0J>BkS1j^6M8MD(nv*mSH7d3lKC@%g`&Urv&$Vh7phELz6g|tn0Sa ziUJN$U?V_}4r9U)s5B(vGs(^+ufkRgcOxpOvN$a>cu3iEjcqnJQR4G{&9phkj#t}l zQ6(1`>s#FB@>T!Kpt-X5AC!0FFi^KbuJ!RzLdH~1JvfbPN4jJkJznpZeVDRiKaU(A zrL{&Lr0HQ0@RjBfK0_im3bU-?pXHv%?AT8;F#%(S+m~riJDrYJea;EMkC&W;sbFNG z)Sd^v%94O_Ts9={7p8hxd47Knox>oYSufLG4ArL`c2jq2@bK5KYSuW$uj@n1$r`Nk ze5eNU1V%FQeDi=2=oSSBG0uVjoE-|(F=#U~U?QP@oSiXHvf*%#KlZ|)X**&&qKzy- zek1{fzB9?qBrmG=?{R}NrB1`#FZ(kSWr;T!anpEf@R$#9)Us#KHEmBoy>9I5CFR;G zSzyA;uxJYwN+we%Rt}VkEC;^3{EDUR1gJ65&_oz0fT~>qUr-9r(P$0(PkdV1%!}1! z@B!w4LBRwinM!Ih>PB0yS(&<2^?0;;MV)m*=BPmj%VDARqBUJsXan?D3=ZGo=&)+ns`Qdz&qfaiHy3XMlKI2R!g|v|-@+ z8_x{K6r!4@=7TcOkf0sL^so*jeu@~|!t)w)EaQw7gW_75ZLch;E*#2pv47gqrvgJj zWydd441a|}=E4h_+w}7rheZ{C6An|k#I8{VKXRt?n#a<%jM)dM5{|CGKD6HMF|+0- z|5GLE!lCR-5`pg0mbeOo@&Gn1!#YF9*3#yF@ZIa+Zx}A>PD<8_b z0vADXb8(*#?T=VO93v45D--;J6KjX=_CD+uJ zP*I;eT_-&tTF?W^ARe}a51RQnCB!`k>n*U=DIRi^45V#Q{3@Hs_uK()HRuO!HRuOQ z9!%JQW0?3j(PTc`kZ7>&n zm1%nOBS16Ygx!=<;j|y7TqxB!$@68-EJ{^&Q+&Jg_j#XsSD{=LD%%K@m@M zSSD3;%{(b+)x$qNf!E^p zNAsTkK4K}N0j@YayrM`VO;G3@eL?I6Y7$` zHmV{VnDL`83A=Ob zC7mKfBk8paHNHOwT9x9{=KcvsTPs*aa$@)Rq*tiXjVi?pdyAtzfC1XrY9%$+24gB} zoF%KWwKG1(=q?Iz_^?U@J;zLhli!YP7rV<*VO8{NHL@AHSQD)I&}pz*GpVW_S+YCd zSHO)Y3mV}3*X&iFh=bSA544sRN5}JQhh3>EU=hC_l~>}sk08z_X0JRln)2;DY4vnr zBEn>LZ{Iem`Zbt-21`krv-$DBCZbgv%vQ9pGL34?>T5%kg-gomhlti}N)1viYAK>t zZz^F#jNbRP!zv{v7P>?2(MS{+Ns?ODRtEqDArpaXL&~)>r6=PIipIeq=A3yhpdFhJ z{Y&gm5qrNb(3@OCCT4A*SzCx46%Q&d=$2YyB1H=KF?Z16kDB<@5(*A*Lrr@Rzw0+u zcY~U?V4Jp=y_qOk7bEak$I35E#jox2MfI%}{;`oAG_O+(EH~Jc%s|7U$AQCVAwXw^ z&Ek03{{BjfeX9Qlg;0|2Wo!oFr+WR0#O#%b4odVZR z>Q}eH@|yZ!a$&ptbo$8dT) zbfV&&pLEpKwgy1tWB^1?20-LQyI~KJr>}j9Or~*1Oh*56fNcXI0wt4zs=e-pO&leY zl+poa04|V}Q|DyxDm)QV)E;Q|?E{i3+dxv~i+CcGjlqE`j@-=HR~)TAOx6D&!|1*x zgIFeZWN1Bmat#8vdf!fB&`j`}->Wt2%nDlh#9ooeahYv6oE}yFrEPpjU11u`wKb09 zFh*jPg~2IG{=+#YO$#_l64n7lzwa#XjWU1sYg#}^)rivghH2CaK}_)KsZ=SnHk>0< z64j_``ak~i;nixvH;Ot~F)iN~Uc`*K=XJ5${lkmlHHF~(Gb#NZh6pHjbxm!#fj!nu z&l}+sLtJc^ZDE*|#h(=(E6YOh)B1O>AZ0NQ6hD(UHx$t?)ffUVCE92dEubY!6J57A z;_F<=-ROz=P^i4Pmc+6C0`s*XDmU7sgyob7-tiMBYr(+5I0D&E)R)eXJ>i+#00&MP z8-=jx?`NChFyg9&d=jF_v|?2XWI@ayp!YLQqQ_?0>Jp{rMkHh5lt4aox76vkhZ%A{ zfm;OrL8G#w7_;Hsv#IEqy41+G$)gMu$_gFWwq!0jO?2`|Vm1j~LC>g6svzNgm(zUc zwiT+o-1U(?JX}F{?Vsu)1?wZGVhI4xxfr3neUGH|`$ztVUvN=^zmV3Sr)kHrl!<%l zf}>*S9*xV_u+7nXfEzo89V(;_@=U-9h%}e(GqjNX$%%z6#|D)Q8NXQb9i|1F9MTP{SF;&wY^fpBXrZNgoa))^z={wE)8TOdxP9y z2-HudD7)1%nU8y!!<3M|0mY`&i=}qJrPF!L8UAY~Y;O3E2gAmq>`QHl1DNF2JbGMH ziB9`nV|2dWtihsPQ|xF4^mBa?UqN%7C}C%vK~!6vjY=7BrS;CKR3_}sUh|Fz>2z>I7xn#ttsR(A$T4E`LKIo!h{W2v+ z=)E?l(Vl)85O79C0+E=-B|$}{FY3u({M-`)X?OcHzDy*7Aj>byVo9X}CC)bn$BjynV$%yyoJgr$47}eJZSPMRgfV5* zlM^drI4X8}wM#&o@YCDoPFf?+l;8ez>Z13#&#_I+5nZ0`A}y-gJ>1Hi^x$4pce_OB zknF#9%10KoN>2T zP}743P(ZYC_}F=Z4zCEnAYh=SkEmArn&W@|+ED%U1i^_#bkL#O^ZN00ygWHxwX$IS zdbRsTG%Q}@ep(IGRj|yRdO7jD$93V?hTjsTK$&K zgZ)xuKMgsKV+Y+B9;ynuY0f?vxnX-9R=jNPjO|^vlC5*M-8Fh0_%|-wCl{MnwYRn_ zLSGry2)59%mY*)Y9jrnlFRHgXdxB~}L>oLpKi6&Cn(({2hG#1-syEiPr4#t%i+0$$ zIxwwl8S*W@{`SK_drhjO$=H>DlV4*rc3n_8P-x7r{GA7q8gf@}I{}pw6FWur8H1He z3rW;OY^h_f`haM3Pa;JRC3fm&{w~k2_p`HdZFf;NuMnfw=(QPyN|#k-wFv@GiUdDI zL*!4pDngjv!u6t$ZKPW+&C>lG?p>eqKJJfNl}sqbE^@Co!X5v>Y5l6xe6(XP-a#i` zN30(2c5O3Ip;m!WiFFr3dgx`TSIiJ_uLiu{?Xby3mmA9tBSWWWh>P(K9!3&1bK&2U z7%ND%-vDa}$bM;kErw1E2$T2Vh&tWA9UCp%F4N-%xnFYV;P8c+w(ZyYA%i3W9?9(@ zL9v@m=A}B3rVG_kV9Dddd-Z7_^f!A${y^--8X8b6rX)j)xix`E1JML^`918tM^|t# z)qX`NT?I#u1CCEe=bdw>Hfj{Yc2X$$%`~1>dp)M;8k@;HmaJC2ZZ6wizluzNRQRAK zVw`4Iemq+0DMus%AIs!-EdZ9*5-o`(9kbdMg=9nMQWm+Qdzgal z{3d=Tq2QBg^z?zy>~v6Q*^5O?HwrO(FhkzDwvK)s_+|||liK0zY&A*cffvN*2uwO! z9xt&OG6)&rObHmW?Y%y89Cq;mGpQ*9p{X%@B-vB5DnknhdWGT7o4^c}ZDB2?voov4 zx#~*DFgfTSP?h>MA51SJ*eTtD(SdfUQT33aCw5i?CBscS84C6irWxsnxzjHr{?jn} ziMM?w3ZPx$V6W+rCzHuyoCvh!)JPhY_4&;{CJ*sEBPVf0z%zh4%nU6j@U?yVD!U)-FI%P zuDxGWmKyMc7o0z=CHk>~vF5LcOMXb)n-6f+hm0MTOIFdpIUzWLlSc9+2|oQ! zl!P4~7c1ALC)bEWm5rx1^*qjSxQt{{wFIW7+Mm`r6?maDOS1U(^f+@J+144!9~*mV z3TrKwV`CQ>CJAZmk$QgBD)8&D+H5YDhmg5<@6$9giNTYM;mt8H&8V6qBwxvKaXq?7 z%7d5e0G0i$2JYFIQ3J~gb8%l9SKcCDwWJV(~J5xX68qOd^Orz;PYw(3#jmfgL zq9{b~rNjn8dwEo;81>|t?oTmSk1IhZui!wVr4Y<94joznPV1AI%m;2XiFXfk z3d(X8diIv<&rmwuTWoecY1-Rbo0~E5J@^Yn;;4ESQ$CwPN&LmBG@{|Zs%eRO2)#?j zVJ%b7$dg)B5MekWrh`fePMXTuBz|aINFSa^fg+Q6i{ey22Q!)~T_-8WNohGaQgX;Y znrg4uAEictphIdpXpx*+idU15$sWr}stfIsQwy8rlkuNfHg{1lt!>bb{0eH_S=Y#|q^dM)R>qLa=td^{d@ul&kl48*;l8jVX z_K=KJ-(iBjMDFw+2~_6rIC#^L%aHDqS|pIDxdV!N26#AbT~}JJcOlm|?tdXepTkS%fZ&vRw)vCo?!P}jDL!C$sNx^{R*qj_6gn(lae3o>0CWLC8;}Et3J0WmV86IkvLPkakPg^o! zL^d@Z#sf_(afZWyA^=%br;WOifeM^H0+)kUEanWOVQ(xe2~z#UIEon| z+*Q-0*N#hjFlz7yy<9HoT8C9}%wx1V-Dys@fKF%)(0e%ZVFjZ=fx2P+%+FNA`v(o8+wRWH433FQ0|dsR+kVXy(~vvUuECZSr8s z8|Pq;G&CA5OfoFmRsqpa*3o|MsA46P(jWdxn?P|;JD0$@RO2Y)O;r*Z$H^W?hBK+p z<^q#vO*>=`uR@zW)TIF3BA|g@jf(q1$t!fMqrbqE zD_pTFVUH@_Gq}h0R|xhZE@c`XpC%a1yCc`c9fR^Hh@w74c~W0+IBe&Xan!ffv>ynh z`79@&`_>r6Co)rvXj!8{n2sX}S)-|#nmu?;u=CCoca8d%lDJZsiD1CjfwU`yktQ<1 zYMORP8Bb!G=1ejpoXTA;Ci4hsmZX1A&;xmX4IJIzN#XrOX4?a0r{JK7fHYbWn5H~- zJ5d|72s_!)>$r^sq-HnmwX^tK2F6Cbc0j&Xf(M)hemg|O;31hTGy9{lBpW%Fz)5u> zl&BkdieG7SA(T)HIhNmXxA7s^DW}v9Sv^8JRhrG07(+2LN6=ggSx-M_D@T-wQ`zFA zPE1iYO1`Ab!+liVjjTV{KY5xVMO!G5DtR_?;MZan-$%(&PasV;`&c!?!6J^z$z*BN zCXoS$tOSNnE|Ojq@-g#|CX$d3LYW2v>nY?oD;>rWpdNZl1_B!?1RltbCKe81$a>2=S0emu^yBuiwPATNl$8>VbU$dJk4j_ zXLm(*MH47+7^aLzt;Okk=if&r+Rg^b>{0W8V-CKl|LmiXK$2TmosnTaVPd|%P8XKy zl0z~*X=?%*Q0J$DXCbAu7lQ#G8|zwYIk4GV7i55G^ox`5C3iZXlT#ytk6SPYBYrNt zbSMkukH#;Uiw1PbL9@01WPa%j*fFzU@kvXR0?(=;#eOs3s8aREUZkN&!@Ji28#8Oe z4?DF1xAa@tz=X=MeBcb7@}ng*0t&xz6Ovf%&v;(gHuom%;OB+u#J8$vK=rUGR(SQBNRblVljc z#F+{bIzVQAIPwX!Cv-rIsyHf3=|<+$v=K_lk-NcUSMPhecN1v+l?~KVR`q^}&G4zz zC2BY@qbvRNbrDbii&6qba=dAn;T@i>Z!uwOZ$7=y^-b)8f?6V}^|>tA0L5)!A~fDw z#L*tnx4df3XluhBuDVRp@7&=q#^uKA4nqrUrO-rL4!Z@?xz&OIO4D(g_0_=zHmY$N zPXsANK#gFV(Cl*Vf@v}8$#v-}=3uGrg+r1=;eZ~8G;l*ubmBxbAsuM4Lo}3)GiJ*f&&MJYwe)I^!<-k_~sQ7ApbKi4rRuYG);7%R9w;fTCk z;cDo`O}t;+MjX=jv;{4RfK0bL=%nPk!i5B>NG$j>jLGdSf(B~OnuP4b-XJoQ- ze(88m!kH#qZm}Ag7tMSze4q!C_sc$Cl$PLWt=3Mc11(Fha2p2F;l<(VnvH|2#YNrE z;Lyhp)Qu?KqdCj1@}tMmh4r5gJAy^~;QYHaP5hEXenQ;kNROuDTNrLn|mhuLdN<`X^rt%JHccBkIyi@tuzIg?3^E4) z3^Oq^0y8jnHg_UsW@F*{E9GBIj)|?YouMTH>_0C4JIKq+@UQCrSN4C`{_nc}x9W*m z8<;u$Rg|PNu);=ywr18Q#EcArPDUoS&cqySj0}He@>iIS8F(OUU@u`}VP^i1Q-ZE$ ze^-i_jhTr-*1-KA2TUBSEPt1$_K%dTjEoHG|Get2Jd~YHY*c}l{GCQf$j)7hm=5@L z&EEwOGcmI={#C3F@!zM#EUZnK|7tSF|7`MKQU9mOz;wWm5EfM1jL*UJpn{P_4; zNKidpOy6#gSDvjodxruf4>7RD7>VXGw9FqK0?pJO8JRosHO;N;LIdTK4=dRhZ#4K| z7l&W$Tt8mzuW=!LIq-k3YGG5uFR#_dLDMnQMi=KFO%cLRLA#?PK~d6SX&7|}NiNiL z7OSp5;~%c=+KbsI`Wt=4)_&=oD#@WKixActts1p>vGd>@wmCMtSfOtnagpo55;`Uh za@mWAS71`F6T)x%qtM5amk0lSC`z30RJETnYBKT<)$Ub>gTYsgqBcdSHGMj#fU-<3 zko2SeWHD)*Xf|#2V)SKZW~iBT>d^t!fj`LPd05k4GP4$$>vGk&7b_JIUZK~&ya$NP z$Rjwf!P>cw4@d(KG23Z6eC%29e-caf7II?``o%}>&@ke(F=-{d^{9HVh^>f+ScG5r z_F`+Er#cg@P_=Sw)bcbu-i?bg!Gy48`D~aAZ2B*F(M`*BjtCRl^<2yZQeD%<*np_fQy-ZP6l|KEwg?$$(9Z1|{jG9PVxaY)k3wE9zc%-(~N(zf{?d57s!P!c;X&i+i zaWTLs{#eRARk5klH`#a%TT3lI?f!AsE!pcxSNN)EdYP9TtF)(rM zJ|`7Adsh78VnVKM8upxZ!*R%A6W=%xU!j}*)D-q8jD#Z!pHFo$7f*MRxN0|WHb&U3 zX~300NN?AO5ayD+>Diu%U{L9 zUvhY_#e!@mKdG$`K^9QjCuMkHB&AW(-v~MS4R6<4tFoFD`!0Ta$j`0MYQc=l^3G_g zA~ShrflGgBwuUvb9BcAYWX!SWjFs#~T<1c)GuyYb2az(!CdU9M9}JjCGVtW9hAUXdqB?d8cAg_cN+&Jz41W6CjI*MtG2bVrn>KOKCp2~ zHHRt8yq2_F3my@3!7R9nq$%}Q6S__OHc7oCXOiSi4A|14xpyt z`VpI)S(RwP;hnxxOF#~tGvb7uhiGau8RkDLZ^Fodd00i%D-We{f~{?C3JN8_yF^FK zpRxOP$v7Z&1>}{;Q(LoW#0q-r%jNA5v{f8f3(AfdpfnWw$lesH2b#I z*m@&j^h~u8qq(}7Kp1-w`6t~6K_}C*-H@Q5b!Dm9TX>Dqmfqw<%{F?gdG(ZTF3WmF zdwwq2hH^pi23&@YHCxc6_?&!d{B?e}Ld|M4@Jh^t(-r!J@OrT#ad(Bn(ZUHA>wEp; z(lymxg}mj{6YJ4!*qFO)4gN$kHUC=Q-uJLS`CeGs3+tw`2#Pn$O?CysGS>unxUKH% z`H@E`Y;!B$p671qrv{(E5j#YPeyz{%kKR9sU5?o|;ho0aWzZ$C1l#Ys)*mq2oG~SO znEshI|5ug& z7x?l&s`&qmVSwJ|*!~T~Fmn7mh+$`A_%9H{&iP*;hMAd-9x)ufF&{4gcRk3=1b4$A4Px0NQJ|_`@jPl)b*G!#cw} zCuiDzetrP;7%&O@ZvcrBL_PX!Kl4mUFBMH$7*sVa?FQfn}uSBtwWv7U8m2=CvUsRmR_~$Ridjil}8+b z*5rJl1t%wVkr$S>DGnqzX5p!D%PEqROdn?a(FRSzAd_Qf6r_ulg6B75;DEKubCPya& zfgbT@pL>hLFauBurnaawFfR-YquYq3v#~voQ{S0J3n>@y{XeBUe z@CLF`k~smi_L0bnHHp+bKcm9}B1^x~=$xLQ#*Zg!CzX^944TX*v3=Fa9)d?R)7}N4 zHmkXG+i-0|jl9?!K*Y{>@6kR19Qw2@L|zzF6E+r5-YBS%o|G^OOSC5})qY%lqBl#68LWv38@^rwVfeYdv4j{tRgSYYCiyCu*>G@Iza`-?IovFZt4mX(?E-vL~-HL&fDG(1a>+H zJ8vI1Q#lvL64Q8VLcW#^jnm8!{>0wiewz~6DX4`_FUnqRh9$A6Xj3e;o}CD4Tc*}{ z39Y(| z_}vti8W92!kCg(gq!!Ii$335JyDRugn-2g2gJBh<$7w1*GjRD7^SRtdNX`6yes2yV zd2=K=@-oe|?y!#3E$rACfS5k(2Q(w}Jk$9h_q;x2@{T?eo;q@KIwU|Ib-Z?*-VdKE0@m_~jig{=InH!bQ`!lY)B6X)1KGE~}dq=4NE7#5i z8%5wdsG2`s^97Fwi!Cq5n%$%dv0#IKd-vt(#L?WOlG}U@zuBbR$WUJPbuOqr613N< z43%8iYT8+cqR5c09qz{ZE1zxi<2fak$K<)z-e>qr`@B{et71e1xHYH8V*HFoR*amiQ*;KAesr7Y>vHPa-n8Ogbiyj`2 zCJ9hiXm&=~ctK=jIdw{cl7%1Pl1DPl47JO5&-%DeSDTUh79i(-Fyj2m*EW1AR+p@677epVp>F#_lQ;HBDcI z`ssrjtDf$rcb*$fR+;^R^#ms=T9QhI{db8@?=5(DMxi%@@}KL@*yC$Rp?O7qFRLpG zn9g3heQyrQPYV>GWo1>zPZ<4}eq-k%8pTb{gf#b-sx0zn{t7p&oC@m$0g#e}QRDad&_ukEJX$&Oo0HKvu6Hf)Db)Ev63 z|2ULL!6ql?no^r?+Ib^q^54(Zs`eZWKbDh&f-3S7WEvLc>i?7;bvOK0olZsXDZ{efAuWpz{B`J_{nPcK7tc{Ah{ zrN}f;9OEOKt%yu~=xZ8qC%hNKfq-PI$7Yl&EKndAJ;^_hvoBbV7UKRrzPiV+RRoS49-7=lC~4<4lWAP~OQtO1cRDcN5vrIKF& zGREo9nE3Ep5-4Ad5d-**Vgj?iH#rXy7}}UX!S!>05`1ChzOlf-G3$2;DD)!mVSs^) zUsxa}*x9#vV}()$XzP$JV7Liy`(jwf{b$Ps7dYaqk4@m9Z;RX#{8Nl{Di0@>1s2(m6 z3{T^Q8ea$|;+iWAIB>s+`H8ZQ2!)siiLz1|45ctbGBuKY%NK;9?UKP8DP=N1LBKtK z+60~*G3A-fjsqcLfVuXE_v8DGMmNU2mOUt#2Wb%wkSOMp#2K@UTXIKj?13aWny^rq z&?pt0ziUgx_dO;{j4qut0JWCEsEX+C)%Ga;`K#FfEc%GOrGb=JnO5;~>a&1Dd%vn$5Z6Bp45MEe{VmN2^H_lu8qw^ODAa zNu8lI@?OHNK=HJ3+Q_USp_zti`jgxQ*}_Ch{RFTkz&ysfB1xS%>6*_&3d*mGx($v4 z;^i#P82xRH03evh3|c(pDo!n|VWNcJ)7iretUa|du=XjLm zL1TsL)bksPV^XSVNmPr18z_`W3NeubF5)+w5++Ku*%^7(W`;i*-kbUYtJvU}W`-(#N}qOGK0V;(jaLMR?(ZF3=dRhj3D)Fm|GP)$Q3#17k&f|BF4$E;JB zS0pX`bwp>Hlh`bD9Nv&Nv+(?KHxqab;o*dZU5c>K2rPAT+VZ79cB@j29%+BOi z6S4`_-^gUuU|4hy;Yor2w0xj{;?U7nc`3@3P`8CiK>6c9YsFO}KBW|zX`%y#C=BTc zHH^#WlJdTx?aWJs{wM+tTp4sHOy=1}YwpoHv-~G2Ns9XJITZ_hG-ebtcibGq{G{Y> zXnhf3_(~Ths7!qodTo#cO=Auw~>YV^( zn~k6PhK4fr+arsu_8sRW%8=-YRs#wp)z5o|PJT&Hl9ua1@yRVZbWw0&3gnp^nrd#{SawP9 z3zacZZ(|!bl?Bx}e{?Uy)igh_C(hB_*fEY0Gd&){dA_a=zep|df1xnW3E5NBi||%b ztv{b1S%Fax@c!Z=emTNveIYy;Z%VW-!mablDN+9*nT8XlVrH3>lssF!y!w0iARg#Q z?4OP9C2W$1c!{g>-p!5kriq44Eb~?hsXq@axd~nPXUVG5XA=$b>o}wQzJttlg ztivW4&GOfIL%_bcc(^r z4!Nh&%yL>;61;&=$_3hR&^frRNBe`M#%O)4YTfH8HL&bg{C|}_u_Kk>{f%srABF|I z?smdoV{FWP{_b2Ob=;`X5eGCRbY<^e8F!as;5hHqT}2{<8BD<^M1{$d=DRL?f~^?H z*yM4q>)VP?=Mz4@tW`G(g?nR8W0HV3f6mDkn-U}Uar{NMKBvMPC8hr4PxsqC`MP&z zJ#4Tb;g8RLe^4nnF(dT3P#B=dMrv30Y#PZ$(uh++hq#IK9@6)A+_3V#_>ywZr zxz7xz;me{7t$~Y;P^mOY;JVehit;fw`@kQv$95%zccM5O>&5GtRj}q3?+WXAm#SGOmez&h6K9?( zznzr9LA#aiT`o4D%AL>C^Ah|dWlcs6RhP|&@D^l6_%5JyeH`+!+`txpy*zwlg=nq26Ej;!$E}3u;-t1)wmp-e3X8G>qaIvG} zY{&UEq`SL~x#Y3&v1bmer7ttxuKN7sSKRbgS9g0e;ht{U;z=aYdMx4UPhU^-%b_gc zbh^d6<(lg1*WPPD#pB@F!|+uNVP=q*;4tR(`5%Rg_31_r?BAoKGv@0M{Bab~!UY?f z@cakzL_ptp=FdoG7mOSCoJE{Q}vNGPsnr(#@$E2~x8U3OKI9tH4ydXxe--d~1P9v_}n zc*FISb+%?~gzt{UU!I4r;n1qPTI?bdwn8;ZAZvWZX*Y3})sK=YGm2f)$J60Td&``b z?~RQXI~*)8)K%XV$b7DpA~w3l1I*m+FUwxLzT?^kBE}uHh~8ff&$L2*Pu;s$Q&cS- zh-q}w+3S2q?=Ea7Sh=j3t>x1$!1KEdaXo$lxru_y7{F;+m18?${e1b+P|LSu9ay`u zAN@0CJM%h=qzrjyKGLhxBu^0i?T9M;r zB}FagY?#PFIpBcfto*f^I~}E-_$cZF?#Ne1zU+@n-$MF1zQcA2jOaGX8&mUZ*}vdC86h+ZjJFt=Sg5`60RBp^I8`jLogOhq@Y} zFWa8FPY~!7!6P+q!Oze9b`I~LwcNBqhc{od6Y^H<@i!RsY3MPMx7|{TZ1cXeYv<{F zk57CYTc1A;zBX6nxV;Q26L-Tk;E8ji7jPx#>zv=#?KySl>z*G$QTITiq-~AX2Mwoy zllaCg&>amujI$+nZp04uy%l^*vIT`IrtoTjwPTs^*JJw+!Hq}d0E9wqy#`gqv zFOWxq0lVubtZR+2q~Swi9WI)q;OmHfGtJ%{jX9A4x}xcjzir_qDGM4Uiwkf5)cM`^ zg^>isL?_q6kiZZu(Cg=(1Y*J}hi8n_YeWQ|Db^*FtGc3e@5OvC=A*Udjg|Xx-r|X9 zYST2OtGVK6XfftjE#&nd5{!#uDl|pYuTnw#-StD2&KYotYekx`Yi!0vm)c3mH)?n( z9gtP+e!p=y8s1^Kk18g;bO_^~>itB>z!BYbq+L)uFX>I!qVbJUyXeEdvKI)pEfZde zs+1Yh{+3m#R_Ty0UkGzmuJax->(_O|s=p9wY=-sHK^CzV3e-z+O`#Z!o;WiPisupz zyb|P3VPrNFSVKfFhvPwp{mP#EPEgQ%$0PZv^|G6?9C!O_doBOc-%`NMt}HIChQsc) zaST`_X3M9c_`fK7=O|siCSC9pPuaF@+qP}nwr$(CZM#m{Ri|v*w|?K(-P6-^XU&~I za_#l5jEsnUV#k}AJ0qVM8869>#l4Znb&xP8*$f2)e#VC*c_(KC_XZPEZ?<3*_a+E{VSNN&Rw`@8Z!9cecUoj^%G#OWU}U?V=ihOIy^@<1ko^e13Jx5s|oX<_rv z&GS;HW-rm?(zvhtH|5ePHl=#9l1XpYqnLZj7qE5kR;wXws2eF>nn9q2XCfzK}Y@Ohj!gw=G>|gOEJOYyi{v z@!GRyC|?0VyKM(=h1dmHQv^R!Q2+oE(GPDL(Qo|%Eaa#jXXKZ+bX_vneNl*Oo1HFv z+((|xB}WCN;eoPx?1%ggs+BTdt7%I4RhXnO$T*Cc9jK(A$y+e)!>Le!<*!VjE3FsX zb{S68$}O+fBItQ=RkQ@)8KhSLGq)Z(oqudvjIP7h!cFQejqSvhA6(7sa3Br{V^2MbpgOXePb?ZNdI4=^sR&%WgE zZ`gDyPZ7M4CH_sOj=wSym$h<;CYMm1uq4sqlx?NV5Ha9fBs00hInc^7eA$(508|9S zyw}Q7U#pAcg|xli6w#Mnt~NUiA?;m{$wdHv2p=vcLd45_Nj+a5xPj`v3anqCFvqw% zjThz(DQBERrX-9*q=cVnRQZEe`CO9-ZZf&efs#0Wg%UqNg_0OCCuJBSbH(4L`LY_B z!ZR@9a1ObmrQu5I2Nih0Ze)nW%*2*e&3rP-+hvs-l}ffT&0q{5$6-JOU6i;mqhAlI zEv2oOl8NMCh|G~V_%<`){!3LIT=I<(Tw;v^B>D^>@&zX9u0$}Jlr{{?;`kOS`~Vgz zV#G2fbTW%o0Sgk1P@O&bET?80;@3pox07dA6fw?332ZoQ7?i+Uh097P z4KDZF6u;@lYmH2_ikI33qflur&BW=EYJa=|87SI5fmiF|OA=n<7J{qA`W>!XMsMdr zg?DQV5H1GFXS(v})Fov4NNiNovYkfmOz zi8E3`BPAK%EkwDI>5n^Z?TAXzYF$p%ZcFr%d&+eC!;?}EE6Vw9AYdr8a3qg3v3+|W z1ymJR_yKN*Y+_vk(f;=a0O7QdVnPhRIB2Us^b@mC1H$)&W5rpIho&M_wR6aHekOnp ze&RtFoCFf>Goa_9JM;xvb&LQ~Z2%xrZJdOQ4jgF&YWK5(osRZ@v#YH`l9_FvGdb?- zN43}7UsdBsBXy;1a@fTZmyBj7uTo*NJYxx@VIH!^R(t<>*NI~Rm4yl^=B3U@kN_~| z{@7T&Ps~mWmN-;dT3T93Y4)yKu@-#m%x+%7p3I&fH!KwZ8Av8R_U29^>LWMS_NqY~ zjAuj)LS#grd@?{MgTTNcHCVE<=@h%mO{jscJ3d_I|5fKW9-KirX>RYup@@YQ+1ja7 z_@(`D-HwP5T{kD)@u{}=3-?%bN~7+|>!?y)c)(z&VDaop!o0YAvd95XFq8&mEFz=) zk*?qS{}~()x@T?d8$B=*h&4LvqtSWN;7v+Xgoef}wJ`yb@j(0}GNVEQTOX-05gP;* zaeR-upWrmaNj&MnkwUEfojH>3&0l){3Q0iODdj!eMg0pqFl|VTSh&et;F@+ba7aU) zEj_zEZ5Y&-DT+k$OwGOjGa474c%azesM_~U8&=WV>HXIf{cXaGO*`k0pGKzj@RI1P zcR}#kktNYfv)EH3ym>E9>9qjg8U}a!&gNKET9?nD+qEUWs~VdmsF%FO>yE3MqtDc7~V#i>||z$ocNW6 zaM0@f0B7oA#Fz|Wh)Yx0CEEtfmD-dl<5c15{ZXilZ%`}nk?MYW^g#L!yo`l-CU_GP zN7H2C>M?;sKNzes{wD@;+>BR5*X^+6Y+#8&Q9N5srqk%12E8<;1_r{)r{D5!ox z+}yt6a(sQ|^G{mhb54c_QyC#(p$mlzu(YdUyBKYTRV4@@HT*jnoQ%+ZlA*|)qj~Qk z?8{))P=TiU+N__V9L@L?wjxDI?U13-=J>+niNpvVkkp7w%0deV;Afm5IK>GdHL7IO z6F{+?(xm&(d(}J;OsJnc2*6J((;BQ}CvBM+rrD~UOiwzeB2}r06K~K1Bc@oPYx&fN z9DyFCAP-to69=GB6(iQ93qwqv#4ho%?8jk;MA=NWIJxFu5KVuc^nmPlUW%t*?-j2m z8njI9?0|C7h6mI}{h{7XhSx%kXh3i#Y_CzqI_UWM>puUlzwVA2pMrhj4jN#@=E$6Q zhnh-5)$^Z(Sa(iAv{W4PAsnVRmWAQxIumh)RjRv52=+!MNV2sN*Z zayjj^RK-p&(gs1-=?sZk2YAp12=GP)OYBG%)!X^GXcYWhG_EJ? zPu~E<+TOT{A;x+{Hy3CKNd)4-sa;saIoZ0$J+T&M~hw#^ZUpxVF_4K!P%O^k8d-lb5r?(i*&Qzso|dC*pB^5ujCuLSNWc|sN_5?TwoHHjA^*Z$KGA7a zU{bklL7o1z?|i*YJhJI@_iEhodP}x=Yhj(9uHA@+G=a9|m}AJ#m1}F4LCA%UynY~9CU{_y6V;waw{*TweV;VD;@EJiy2LEhFnI$tYJ#g? zJdNII%{1-#mSKvcgt0}hqrmLf%yh=ucAD`Xg!4W(^Zp#2ToEJ#U8m=SjicCBmz5=t6snO2X#t}z*MM3ZJPu1!D~oCd zEQxUN6wY^R_|EuPItiB8Q|b8kPF|HO0Z9+lsq=0lUr#n45Lk;|@;SU3)ZqHD6MsKrQ93tP8)Rd*9u3-8Y^1~hP==wr`Z%n{p+j5w7QmN@AP{Kr5hqWZB6V(8jg*#nyI&{ zoSRNbghW@?zsj=nkLX?3qCgmKVC~!}Yr4mB136X)A0Lfj$maUd?VX4>n?+VH@pmkR zTeWk4aF$Qhf1!@>(&%Fkp`&IDMsZrYvcqHb@*Gk%jb>#Fn*rSSA943Fjs*%T5Dcuo zl-##x*dRfRxdwm|p$hsr`U~V(l1a%bA-T7+(^g2>+3c><#g8QClg+{|lWCNNSm%c+ z1=~-!Wg`}jJnO;^;F1y=fN&{SY(l?pP@9bS)!yv?x%7FW#g_C8u6K$L)M+!<;z*kk z)d18n&l_PQbR4)b-45d=dkP-Mex-PO%cOnYx+1TWzGw@|Vp^dgcg2nIX$>uU#l_uu z%LX6ZCitmro$3HLG_GhMo}%t=_#;yXb*{I{-CnE~qMdt-yH1`0&7GHbw)1u6#sUgeUBt}=|Ic;yAWtK|OGD#7S)Q6!#7K_;E5cwbOa74X}` z`nX}jpi098f1sj{Z-7D!pLu4pjiN>`jY*oaIZ0(QidZW;dbcUSxuk4@vI((ifCKG` zR9Ho4^%1=VS=;@`d=tbP(F6q(-kK0lLL|N)MP(E-9Yb?NL`22y#3~Q&yQ5ZesGubh zVYNsEQB{CUaaBQpMHxdk=R#U!#VouMwc{VkXCpO^JTc^?3S(q+mHi}4JWcY-2LU;1 zMih2sj6we4G|r1Ye&lsG0q|BqXKPM^b}#7YHRmShO+$mfHph>sXSxCo_Gs z(g}(MdseC+2cRkqDip0lQ!^V zt#_o`@Z6D$Dw2`0Rf$!0%ZX4Vih?InS}i2jw6w;iB;G-S^peNG^DV#S$AH*-rvw2% zqu5jM1$o6SCYvo0s4{f;* z&}bEJ{SHu}#Y94WHApSd2re-shgIC|(6yoT_ln&13enGfYsH83u9PR23&|mWhO~Ov zq@VqX#r{RZ2tTfyhBtnle3ndsta8W`70*y;-d_cMzo(J(_{O0em)IZ|jP7QR&F$=p zbccGjx_kqUo%BLCYj;3N{UXSV zbn6`v?tyD5Yg7Mpu(v3){4UW}U$OkIq?Y_Fk?Xx`2lLS|qEVb4#yejWkDFxi$b+mL z+{17MV8`=NpST!IL+}WRO7s}0)V)o*U$Ge)f94>$!@D6V24Hk>8Z9|Dgleq{F}mkQ z6@}=YF9VzUSPUaz#Cm6IPe6N*2hznHZ22o!5Ln0;iliiy$sYenC(Q6jj{K+F* zqg@(Uc^uOBHZ*DDr2mEhvD;d*a$Rb6<11$V^o`wqdRMOmq^20!h zGR^oL=z-;e3$FBwDuW4-m4#9E?WhH+a0r(PhJmXbg%ecyOBKiz_?0#2>EFWY1Sl`G zT++kADhGgje)03E&ddmadAo=>C7zrUo-{HRq%Lsr2E&aS;Jdp8`?ZJ?nt3|WHz^_q zgn(N#s9%ztA2<;1TR_Tm#vatm3K+Gc*C0k)+E7W*cHl8vb?tLafmc#Sc%*1#whX1=S;74rJR- zJLK<|;f6EI7s%ne+P~j_{y$%Z{vS5yrlVtH{-5tcH50d5;(b(;zXsj867T4SlJzL; zm5at`u1R7DEm>h_QY2GG8!Qgv3CF`5dVS{F;_&=!{>E`dRB~mof5^D*4_0HE`T6?3 zrzK##@e0#8UdzljF>U8uS#VJj-&zH)a_YVG)PK`C zm9d9}XMx^WDjY?dh%2?u1Wr4Dw#{$htt8iXtXkC3jKJ^%cM3o!|jNj8fGQ) zX*MVF$?qM4B~jpHcqJF7{Sy~dh0G-vFk@b?XIsIT$ z8im%D-7?AuIAp4M&ed1(&PqAGKnd3}v$i&&_s=^UvfCZ7o1^a#x())tCuk-!yTzsg z&LW0qIXj&Mn*6l3Hlz2In8uTyl~$6To*nJRA0G?%nD$?OU zw6Y%qm`4|#M=KGr*L9yfj9!IU9KygubX=$OlwUneu~8lzY>p|Eu6u0Ml}b73_0Bk0 zzqkQIK}afhG))her^P|Lkii8H-FSY^Q>1JG_4!)=pv%*8<7oqvHPW6lbp!WWzk(x+ zJRg+RFGbqd<9U9jdP*jKx&2q*vqy3;fKr=!LzS=cW)J2eSZs64SA3Ixx#Mg5Nk6%< z3&jnG?@Kacb--N;h%94()!?pIAz4#gB8)o4LF%mUgtxyp#Ay9KhH~0yO5K_Hh*D;B zA0q+=V-sa6jtLmjQ;hh;Yf#$FA;>+vbrh*oK!% z&-tGN4gGqP`jySsMYp^Jg3z=1&Oyid&Qx%6WaA3p-m?y1Y!8ianpYqK_WLSmiuiX1 zVq{W(SGYA=LiH>Ge`%(s<(c~9cXXYG+bl#>3YV_mw9jZe51vq8{{2K5xzqItfg5ff zSkOf4w+1Fi{58Z~KlsCK)w(uf6+|P^f~=Zku#xMGyzWB@^E@x;J8gBw+gC*5IVrgl zDEUkssGDE7-di8jF$YGC$7O+UVo@J;;j_y=_lW?m_AD8Mw`GeDe5#p~Ag~khOKqP> z1mmoovcgve*_j3Zx*ML+lK(UfS>LHBVp))Hs3>BB5Cw#N;1-)xQ=qy%J8WUZMLKr{ zK~15Z)`;93p#ZTUZ``wECU}XiVJ(*!_vse%<PuAA&XWj^eue_mIbe{R)_|IR=G6ice|aY76fjwL%3=>DjRX zb4QluqHt(q6%DSwJ~Adp{(^BY5hPIpnk_72T_^%x!?jwkIMjA@;DXai_8crkWA zKlV~)vM;+o1q80XgJG`ldqQaeTFN8v#a6;#`I%?%`BDg`eq> z{oT@liI&4H2|!x8>0-EJykuq}X_(6x!p2O<4%;W=F zebzWAX*Mb?FQ=vB3Q^d-awz&PkZ9q*i}I7S`&_di>*b?u>ZA+zD}j%z@@NBBEjpOT z19So}?R7%-kT9*}Z29TZx@#^^N0;wi?$?W~1aEdLE|~;s4OA>Sg_1;R6tMg@=*DU^ zB!h1fvmZ@=6;yTl8&hey|8OTC*Xn~~peSs9y+Hc?urE{2*lvb=?kXc>@GakN9p#r( zxm;HhFWn|w8Kj2QWUm}*bqa)<@xha?@dT+U9}z?%p;Z2f(@#`To1>Y|+QF$Z@k<&V z0KQbYf~83c2!t;&ugmm0^^dXra3tvI2bQ8_6Qu4*C(gT#4u!j&CIX7J6%fC938^!L zkonEgBQA{BA6cvV9H#kOrRG&ASG2?AS6cf3Fb7Fgplm@2`ApCRiaHK07VDhv)Qrqf;PHGebh6OpH zB@|R9MW<@zu=|JgiaD=Be$eB}Dh7jv99mvb1Q3VWN^!q1#EYX!7+SMwxGX>41d%ia zo%fB#L4~G z0#u)1FnC}nL{ges2GY-HQP)E%sjZ?NgG|x)CU3A@f7_s^UpWCseLyj1rr$_{OLLHs zCHpLz2Jn{!&}rYWQHMT2GxfZfAS93KmS{zJ3$%*8vt7A=?a*kdPT3L+!=c*jPn~vR zBt}IQ?&txXK#gg-IEU4$jtDC2K}LBSK3OLAiSwYvz!E`dmMfFPYSmaUOgjOS(`v=o zC`|MxtCXL8UE0=A)DejuwZ~X>g)nR;^4m?mao%?2_$Vy3wrguiJ{J};P}r=_pncJC zoV>4xMId-e_I33In-#O78sqyB3K~{fo|S{i%4IEx3MB3_3UeqdX7k$%{xLu_Zp)cH zfRMgT6)@b!VlY@iu?Oi+OKwVgp<#Or0T&TCp@N)~zdijzgi7wkR4spE>1Ju=E^(4( z`{^M9KGBh^*;K*5vSmP`mAs*IWk3d+$_+%O8Vvgr{lft%_)$bO|6STC z>Yb7_b)t(Z~V;#Jj^3x25Lm8`WU z5)-mcsihao$QCpo=7;s6wbolUWFHVPbRT(xgk=7(kMSGIc)=iD0O1hbMk0!j2;;(m z+6Za!E+|(Z#N8DEI2@nX2vJtgo8$OFbU&M?DKw|XP$WdjB!jSN95xv)_m~%N1g4s^ zHpy`IE8l=68nKH{*~Rv)k_`K+7B7aSBFA&*=}`3*C#KyB9d#4Mn+c-JO%^h0?9!&0 zYJ_T`A)gCp>0|juOGXSmODRFQ&qL5LIWEyKVoi zmb+%>kgWat$qm%6`D)&Nt;1TVPhHoI{RKPp$WIgMNu$EGh7~(zJ;G#rxTlW2{5j@$ z=(38$1{;}anF*5Oe(`a!zU(Axi>}0kSTtr*?9Dg z9Cmtoaju^d??e!?biG93aRiDXZ3=O2b%P^wHg!Pi{D92bd8B^?tHCo7N)@Kde`@U* zib*5OK{~XfUm~vW8=JxX${Ik$vXU#CBQh9xYnM7gl`OoM71?OG3^6o9MCYgt=T+F; zv-B`DWdFulaVxEvhzSDSlQsAkcf>)4p-kLim?oC=p=oC8>z6n!39_HGH+&7Zy zUUzFcC36mK<)xXwPxo6`1=5=7bFEm{0OIA9BFx+l_J^1SYeomH}=H*p|ac+nv zoq9fAkCE)K|2J9F;6nc|QKbRw{>rI7W$?s_t<|=;go=BCigxW+dh7i#1umN3BBa!^?p<&-58*M zkI$u`0Z0n9laHpZt@@;qbM!aIe-(@G-KYXGjG;_Brzns-_0X1H<8#e0d;caqy|W~b zb;tZ8TE?uFwo)9>-U2Rt_x*w9(4>QDNO3DKK~|M0zjvo$tF}8a8`3V|syPKYdfa)s zB-VH9x)97jswUq%zu{%2oT;D;$<}&n37YX(JH2ah_&4P9+*JX9(y3Vgx$`BW=()5v z8+N7Qw_4du^ENKxjp-XyAZ41xpL6Cu0X5EP+`l_BT&ifym zkRXsOG{K&%E&SAF_d#{H)Rlcq@2}rD$|6H z6xNQyW%C=~?(>8r?gDDFm;pGu0INbPfx)HCmtBQ>1OHfE@mW<~mn&N&K}qyTUN%HZ z?1(unH$fcgBN!O*;1ceWgfyb%WX{6-7R^n&c<}HbRJfDXE&m)+PXUX2N<3)qq(bG$~cf|CdU z%!dy|T*nJ0OYQWj_y6PNu~oX-(RT*O6YQyL5G;#;^b&=ZH494aR*x6PzdoKYi+}8x zYH+%pwj@)QWVdS`EQf#u7LAtm2b5f>UCA(Zg+0F$iPBv?R<$I5G%=6QZ0K(gix~a{ zvjMj4xak*1t+z+Bq>jRokIZ~Hapx^KpN3Q!rhivU` z9PivrX?$D?C#RX9Epzv-Sx(@~Nm$Ou7pE?I>bn2}9jpDR+RE1_l3*y0fE@?7wh#(Ot8M8p7 z0c2VuSHv$V%;fNx3<&Z)xT%|e za4`O>5dbQ1uV$WWz@29(9skFl*~$H15OxK#i1kkzf996Z%--q!>|bT;Dw@PhcKLlM zBWDzp@DG;%j`mNm%rleJaHuX7xnNMGB?@$xbj(vq`L_$e1807oI&ZQYdzyof=H_{Z zFB(uFY@gL(R!iu@ROI{>N>mmS)L{#y9l{z;N5aWr(_JiDdJ^08@Q{~l^_u;YKtW^( zL9xX&i{LQ3x;HQ?7&AsCIgw*&fC|&)PM=*%!&-+(gTb9d#GprO=n`nl|5VVUy5HOHdqtKlEi-aNMYvzn`7hk6cf6#5V?|h#Q3g9HD1K?EP z|JUS`mG}p}{(Sx-ElGiUv7htacSOtpXI@w3tKybFyZRTz^}!KUgRDRBFz*c>vmSGC ztd~m_G^=`LHain0+^X}bsEQCk-=RpSwORcBXC4u7^E*RWW4Q}8DXyI`-26NbC!p?+ z$q~c@gCG!+v<%@B*7HNeJL!ix9LoRUF^+?<_m3s7E~Q)U!pA@oi$Yt(w1^K#m23-q z6>Gm6Gc9fCFTP_=G~*7cZ;=)X1Pq4{OkBka7K6h4G;poG&KF=aOgk9V{EwUYD*;l=-nZ!o0b6{)M^YEvFos-2$&$ zFw2eo0hqw~-ZzoT(84EhMF@?409?OKGmB?uCO5BuclEa%shDvJ%vEI001az*p$ToI zC^LeL9;JXnq0Qd#ma5o^o+wYLSWW6KX6{H0*o`U?Nxdyl@zkO`Nhhg-NVpSoMvPqW z8p8pOuycSpC9WKgnVN)%QEC~An7z>cH!3C3MhF1rEz&raN|new0UI$mkxkyF^1GNy6`!WsDvv$E@Bb#)^^b)PAxdI3L(eK=frUb^edTW6(aQB zcnl)wIOm=bu#Hq@jix=~8hcs-F@xfBJrK)!@EDm0;m^#hGPj;`f(e#i!T_2tykxv?);53GxB$ zx`2s+(Q55OE-G`{XgPrySXGXnrnK0cJ4tfh+)Jp&x7dVQGzJ+eb}dsCTLX!xMmJdV zDVY$0Gx9BwYyb!4XZkfIYv$qsC}-joTLV^6m@!SVH|Qk<7(XS8v#0uDm?XX_90xbD zOf9~|z@$9CzIoYh{m?mX$BVWDu}LaDc*^lTnA!2!so42F^WPlzclH7F+w%d;kVpFf zNQ-4X%B$Nmi~{Iqi~#6A4Fl-w{A?pb0Qv+)=;4W*Lt(vV^rXZy`ekiwjJtkxyMO)Y z;(s>YpY3Abk1k`OXsF-AhU7|QA}fgvrEqT#juW6^)1S>@kKn^Ej2Ctv3+84J^^Ge= zf{E0AsGKDUuAx5~YFTxk4z|DZx5};~NHbd!DHTu)FR0wD5)O4~3Og-mDndhy)vnXw zRy0>{AZ5jFH`ce`B;y6`j-lxTOGZC+d4j%49y3_4$wEm9)# zCCg^I9x2<#o^0zy(~A%o!1HuJNt23aSZ{@MA(^`B4C;v~Lbh$@y;y)jkDonlGM+^t z|HSa{(u^w7x-`h}{n%{IcA4FL+S&wpDWcY7&D?Y{wK^yiOROno6FHn%z!4Qt|CohY zoP)i6G$)D=KXXz7T#c!!BQu)ovqn=t^`8Uaggkau{k+QjfAnHA59tYT{xn5_E=CGD zUo(O#dTusid4Ox2W~m!Mk_tSU0>%77RQ^M7IKL;+7N^;)!cPvc=fT3P>JNK(oTIiE zGcZ&IcF2J%58#ZCnwm_xa=ZQ_>PT9nufX_Ocf+l6QcCB@1A;03b}m3eLs{e#Q~i+I zKvQG#v)gBmjNTuW#2G@QCKZ?b;R6>H;;}! zQ?N5t8u2ra=3uYD0{Rp@`XkkW=U;l@I?jfGt&Fj}F|K z8kKtSFbk4wRT4)XZes4g$=53ThlZq5#G&HH1;WD9sglx+c77x8GpdV`-yg~G7Q9>1 zCk7`mT@vIU1^tLY{D_6$rc)IqxeG!hBQU53pfMx{BQWYt&dIul=%rI3CkT>23Oh8s z2CF0eD51YcLD!d?GQuaG_B1c!$4?AC(-@Dzq#!YBkbBIzn3O++NT)JtKZ53xP#7}; zPZ;~Lfsj6qKop-&)g(=yXiS40Kdu0e%%Dy-kr*vp;f9}Rd}i%NA}K8QBOVZON_xM` zp%8a#YC^axn16OeEi0iQ7M?G4XSuG-&vm8hk*I_(X}WDxOccC{F;Vndhe}RnOB5BatbT5;ewc|b6r8rGp6m}a4Q0HoB2l(LWCyiUd_6VR4MIKGITPy<%i&LZE zMy{oQOH*`S7JRUo6kWV9xQm18JcBf}RK(NJ7pIroOD&0ofv6r1!~55M5`;xk6kS_X zS6%*LLLg+xd821GX~&5L8`@)t;wA{oq!US9SdiF+k-nK&h6H84LCrQk2@}FI7#OjE z9|}g=M4bC)Cy>1Gp;IIeHqX6fA^BLAS-mEh{dsW63Vu^!t6;K0S#QLl7*v84BOAey z=miS@CXfDLG-TLDzSx^>%bkfK%{Zd8db&KHo^|c7Jrl?^?Rmx4bNfz(%OrF)aKa7n zn6PlLPGLA#btszd;`G+b43VqeH3=-EzJy>A0D6DD(qDTn;718m8R8o?V$P^jC3R8& z;SBupKFNeIX{{fw;CM8(aW=`t$qm>MB3@W|t!Kg6bx|2CfLm|VO$Dg2?lIG&{)*KRh>_jzX^8p5c zbmB-CVAIpa>kR4!duLSDv?LuW^5F7c>nTu3DIPz<^@%jOI|v1nsHxHB-t^J&BK<1W zQV+-+cTK?3nm7wjX5J{w6B4g`4lLBI7W(wV)N@1hrqR?)ruy0_Ir+tD->L@BS`Ep=?!_Uio9 zsPEjV2L`<4zYiH`26Xw3Kp-L~ucxFFr;S3GEo-N%)sK}F(hk>_e(h!kS#c9U*i3a) z!I1CnqwZwoej#gD_6D%GOh9+)A@ipF^$N{mL14;N3vSQC>*b$FNzA9awl(;Ucw$<> zMVSwTuIR&vQQORwhSx=`Kcp+$o_K4HGB4q(8j#JFNhTxYzaLkUfn|kOXvqRh)*Q{G zTwjpzg`zLfA%zU&hR&ERwn+FwA=i}g&xn4|UC1$c8;tqIzP*rl*sc`t1xl_d>u*!= zZ(~nLB$#@#_@*%;)Uw4R5O$>st>No#fKH0UT5~x^5@7F$PPlmdlZ@p;xMpz;kH9A+ zZ?$H!jGy|Ih7I{cJi+QYqQqmhkZT?fj)>1IjHT&sb(#XLUIbf^Y{Fw{<%{EX_ti7e}-<>^U-PPgW~a}chFl#nff*Bude>f(<}*O{JsEW zewne*0v{mBQeVQM5aX%|v2VeX|2`!1@5B*9Z#Jj$njcV!YY^3Rbh$B_{{4LeNP+8$ zgfvQ@1~A|aN?V{`c}QCB@NuRzy&tRo7DZ7K4+wCxJ}4f`kP>NB@T+?|2wRCbIvuy@ z!)Oz})b~eM)sBmG>aw5n7O>n^haw=1N&iQemH*}XfE?1)ub{xV>3|<;nsZ#y+=|e% zTma)wMGG(kzPm0nezqfIYP-=cx?gH=Gyc_~A?C1l^rh4!Yi!`2rhT#lkl(}rVF2P> z=6+M0_6B8#B~mSJj;KdOXz?-&habqX5T44#AIKvLjg#r*K8yEczRh;zjKWLA!5_)u z3c7IzvGgku$FcOH;uVz1HdvZO!C8nEPWF3gnP5pa>eS#NdU)!WNI(gPK&Fw-+6rRq z=|s_oOz|8*^yx+^K}3EJT^32Qi1^m?C72Z?!5^>{`Ft}W6&OeeoMMq8*<^!>^j;I7 z#Nv;HS{ORyT2dom2@&Ar_L~Ku0l5}Tr>%5gQC~2qO>NI}_RxYTNvqM7S2|g15h_>~)Q!3ePnL0i z;TYkv`Egs&g~%}Ub0(1w@$pXpQ*}hORp<{4kR^A3hpGdfzhgiumsNjAcJqbCc`mUO z@YE&zf=)FS89<&xi@B={5<5wI*at=2Tf*?><1UI9ijseoM&Q?0CQRpjEKR2FHn_Z;8%e)lNC4t?^?2%kJ010S zINHk3v|Z;3)n1goeTXx?0DU}ingL$TZu`6<^}daK>idq@s%|J#fIIHVkuB|dfTlfE zSlhMYT**|lG(EEYiLwD7hh;jq=Xm0Z?LVU6BS)9VYx%o4WH49Iwup^OTBtXJT)ajo zfr+K{ma6$2r=)dJj8wHQ`I}LeUd-f8hI^uWm0&>;CpW4yi?VFb017}-7D%;K}3jpW^Ua3PQ)!!z*TdLBvdP*+9<E7nM#GL6-Hui!T(^$Xy_+)=id) zUuYR;ym|rlj`OD`WlieuN?NNrt?1Y_PZodeF^o2Oog5$2G#nqvy4pv`2PjZzD(6NH zv7R_4dgwt2b$a%-4cVFt6ajmhlJAa9W%F=+0zJl^lY=-j%7+F6r9Iqgv8K~=OnJAr zph7M8S%?%stb0T8HCIbAV}HFPL0sxMCXs)9=yA`}o>f0k)zIj_R*$|rt@A6Tj12*` zM0;#%C#={;#HDCc%yzPobj06WZS`A(-tHsKazs$s6700K0Spwcuu5fpgBUmOccvWU zUYgG0gSsDc5O^I3P@*~IY|**7g=#>R7kQgA+0)+=zRbPTxpis;Zy&{#Q{#@WstVBe zpv7(0?DRM3@yj`T9Xbm9>g;jxlTaoIvI7 zwm@$qc~Z!Pz3-&ed#W~C#}p;Q6o3hjV#O4d=i~J0V>?QeB2^rM{Mbw_`{8Eimd!x; z7s{yQ5yE-GDqG-w8p4e!UamBlK%GGSI($lZt$yJ;+3tvHWfi;H)J{e^y5FdNl7#}F zGEy5yQS;)iY{CGQnu5l~J&EdSim0EMm>k$C-_4BAb*&^)(}~Ku1I+EaFGe~wa7qs& z0pZKq#Lvgfr*rDXmzh>2^#A3vjsM=&?>~v>rOa(CX%&nOoiyVa+y{%)3TH}vg0p4IA>n&xqWqOPmpgRE`vxv;}-JI{Ro&vkzL>w%c`YxiF85^44 z&tv%+(x!+A6Vlb0TUnU4v|p8kZgx2d(OxG@bDdIQzDzIiWVXhmjtUOOE$q<`Pq!9I zb3fjDv$nn7#`o`Kd0)3u-&ZY(WCu8?mym-x0_Z(U>f zuxdZAhRznfS1DdSU+r!WI9~do!mfW>Tnv7q@y_e=Xk`*^XeV_;iy}MEH+OG)F*$o6 zq4K*>IkUH4eOzSTcXz+{uBccS6=)7#CFl${v7Ml^o}E}~ENf4l=H3*t0tR_AIHle$ zo=dL8l9rB$xyys{x_zFK`rh9Cc6ZPMf0nUJ4P_f@#6bYXYd=Byaf`#dR^;y-|| zwy}zDsAw*0xU?3UM=T6!51>94){c=zLcT0?DJE{h#U`OR3;IdTppM$_j}I@}>m2Xz z>7_@F+PFXOBbMAdknYcy&CHUlh>*fcwTacqcg^qbm5$WGm70Rduh&0Kuy1BN8#~_Z zdQ?|xt;;vLq&04i&d!>;(sm1*jmx)C!!kavu9h%I&3&IAV7|P6Bkt7lwUlz#eeQ3t z-Yk3rIRB;Ge>~k<+vM){dffJ=Ve3OfLu8Z?d;9gX#=^9 zy{Z2`KIM)A1pgj9zwbVOCq-lVd(EoKs^zTjP=;C4r}WCXYjxrKTV%EJT-C<2fJZ}7 zX`Ol4zLfGl&8DuFx8Q1d zBtGY!?(E9SiZ0do-QnArNXaKng<@YJ8~l?^;e`pN^^kD14fJmE=L4<1uZUm(H(RC) zg&Dac7PA0bP ziET}6+mnfH+nQuzOl(YS^Y;ADc{uB?b=SHN-&0lZ+Iv^k>i+t-p{_6>sWz%qiuz}z|(%b?jzgmz25IxPiva1SDOkHA1W z{VN?-HzQW<9OQ?*J}H-MiO|{o4eC z)^iozrv>Qm9La3jnMs~X`xvahO7#?^8C5cz@3+%*|28r|3luE@xN+>a=VO#UQo&Q8 z#D&nrQ1Xyej-2mz$s(L5;TZLUMln$cd7U-k2-V60^5ptgM5SpEqC&{1Q1MutD=|y- z!hQe_L(vb7H5TnHC4CRG^!ZNLz+K-Tz%OZ!3>zFG&IB51ccXTD(=Ht7qM(t=PS|bOzls@ zKGC4q_`fq$Yjz5Bb;@X3WkxZxKMGo!W;Suz7Y%%^ri01yBS_O97(w>87prbHwBQ^V z9A}ucy0U#;DnsWxQo6PMKP#y+LCiWMg`{PJR53noD*ipF9V0U?*B#oBCq-lOCBI}& z6u)TZ08dxfvR#+2NXu!)){a8IxIer%lzs0it%sh9ZA zzhP7$V^o=1Lv5||5A6SGVSCcrq=Yr#?UkiA}}ee z8)V9KRel+p5sv?7&C-9%Afc`;fn1`>SF+5QjmY{(4emVncf3PB0}Xl{_|=VBkAT zODe=ZmRb=Suv~2I0Ngp5pu@Jp0#B;_mA6dZ!&uGEY_1yP&!02~ss;e*e%UffaO5Rf z{C00=r5+A{kqnHaGrh09y3wESb^sR&Ly_A`x>SmdCR3Uy$Y9Md$87=<(9ZVJ;k-ugfjM<@A*F*YS z-QEF9Xe1p|kDfAM0^!TxIsvADfk-Lvi}rJN@IpPXqWeVwxFfx8 zMv}r@qU4xj8AEWEOAgn1B>Dv+A>Rz3o<~0p$4e>{@$^b9TImsS;OW04ocim!Xx1b zwl_pJ7@qbZW(1KJZSAuHJ6cUUlWzzR34TT|5zd^{kPZ>S8HuFo?O7OMt%D(^I1Pa` zWqSR;1M1V8A?VUCufXbm5aM+i3lM|hj@r%uaNI2|Il2hjp5xix4*ih>Iu3ZDe#Oie z1X>=YC2sfxj!N{Yap@IX&iA-EGV=n2|| z>!-CeDMFw>rKIJVrf}M^WC-4&5TzT!4T2up$%P)O&w(Ba%!b@9n`jK$yP%`zX`6f5 z?URPst&@V-&5(rHjga`_*j51U#Ih^he@&IRJDLqo+jfg)s@2#gA0(71H2U5Um1XEQ zKhsKH;Q0;)ylkhnBfSP^7od=nh;QFSlP;^^c5|b)QB~FyhR-- z`|s!Ce-Nz+17U{gSmq>A*wtr9%v`K|4#-7Q0~V)suogsJ9V}E)!cpY|OU6g>q4Cu; zjpHPYz7|b@soyMpwPiY>c|YumeU@RktVXmhCRBu0`P=AQd|aEyvaa~D1}WwLtGQiv z;g1TvRNcxtxXjk0e5`d1`~iFa^(`36Kv_#2U-2t2I%RS=6hx((k!4z+8SSiVf_iRu zl)hutk;DWyY8zMlr>suxe1EV%iE0LhKn>FYV2E4#)que%)x)7P zl;t{=dFUD6#Xuwr3RPkQ1Io!$jhmQkmy?Zd%J&4u&G zk(L|R*e+BE8&`)v7eK7;gI3xF_lQ*BMk5$?S=5um(wVq09p^V0CDSn>jz`xbqiTUks@&O|YTFIsrN!3yD~5z^YW!4N^h9rZ&& zck_1@0pR=75I02R#!N&YHQ5LqW}H<9qzQEyTzT^|_7#5=+A@`|4kkH7QPYHJIZ_V3 zcGCwn2{+4!RyKWg4ZAjr2FF)cGNjja&Zws7`Y?s7{E~dwvoT-d~)Mg&w z+Wp}=^)+B=BZ`?+@a%{$SNo^pYHK`<_oSKr`j ziiohLn8cmNP4~5dWdrhpw``FTGOuA+%56se!q7kkF-DqWaU9yFM?Gy98eDl!-E5e~ zmA7_$e9a@4+ExBG$fQf2PTx%Y3%yPKl&YRTxi!Zg4|dr;iiO!QEK!Z;n8Oq{%AV&J z>oR$|vF0iG0de1D{U=e;~OQPjias;*7 zas*F2h;d&TMzHjbvE+`7;C4?f!6U0vFoNAC$1+2eT`$mxUzLCpgVe|oM$R7YAI>6w zVOS2oI6vxYPHuE*);83Qm6sogQU;M77?Nu%s%QcyFg6HL`-`%Y{q|It6~@-k%xg9c>)MQn}KpBu5KyW#t&dp0^9qF}NDOW!ln?uC3I|#a)CDpW*LmIHdN`9Jv zF#z?OdsAlPdsK`^47bZ6wtXGa5Vl>^nFKq|v-?u_`zoq4g6+dy)Clh)L7Jai+ zRf>R8@C>($JTR)Ab-=1Ty+tP$?g976F@%==EXO3*I)$-w9 zj`|#m)}G%@DUnE)U{ulnR>t7A&!T;#Z?Inb3b$s>*=(e0?otQ_cz0C-ONLi)IE{J5 zT9MB|n;vy6Y7*~pgl1KIaTkG1zMg!ERiSr);Q;phMWRQP^8aHtXr^p_@|?1K-nW!F zWIdM)%ZbsVtH{G_U4p>o?RZOol!4w#h~Bqvi~l{V&V1aRer`aLgVP0CT41P13ezf0 zsxKw`JOPY~KkJIRcJjx$=C|*E>ddEJ9GeulG0<6x4f!bo#=6W!JFO0EdkbcBe{j1% zGvk?L!@*^+Re=OkXyS|g8*3$N{R3!iVz=>C!`_esN&WgyYO(e&;L1M-{^?}Z;SDA* zEi{UfgDCl76%JWZCXK*t;8-C(&Fr5f|82YS2#99`bvU(|xMbgC(6)2u{y zGfSEK=G`8WT(`}d@%PHH=dRiq1Ond>NWn8^&OjU9t>v-8mU6ySC{2um^#LE}(lJCq zqT;RwmfSA)T-W?J&a>l7_JT=P527-Iv)MV zvgfFgI>*#!Sy)QFVzz}hOow8p1q*uOGiWU)!uNNLI|Kd9m$=|*Z zaE;Rh*_DommfEByrXkTvn;{%S-52vET#6v1`{K+C{*#2Vh(Ft%k1+>g_n3ryK#(mn zf(#CGBz*?`YK|;eNvJHTLS8`6grd)eo*hnnoFT?BpK`lfsDX>LzGt^8MiL_?u76@& zTPu>43$)V92pOU&rM>O3v-MKdjWc$6KFfG`6RAED`FJEg(FioRciF&n6irK1)7ons!SL!GzW_RIswF$BIxLTWmOh-_OKBw!+o>V#j}YJj$GYl(@NDM z;zX#39D-H~UB*_HTZkrEo208B<>&+|pP3l0IJ@;wI+QO!(CBnq;iSi*1ES%$XYLtxw z1HW~wY|>7})y@;7!+M{Tok;3>3!JGyGoEO83o&`DEU=aI{_`=B!MqReFqm2N2JLQKl^7|4|E?n z7f%!={`!8jkX6ie)k;*5piM>!jZkg^tRaw2>x%V@=cErA=G;lP066oX1Qr}r6zPsf zhWusV3A4wr5XhVJ!1<7?OWY`?++nNacxKoLN28yD(~X4|BNJv5tWZE4h9tgjOo_L;GJzf)5~Ij21(8y?xbY5v?DEy@5^faAd5jI!UAMs>=W@F4?6bR_)^^68+*owo44tvvdnMRf7fLn*g~4Z?JOd656SS& z^ogg~$J=0OBYd6B^_Ut=*&A`BgdyYS5+mRRwMUEj{jN#F8_~MNRdM>XL*M^wc}_9F z`&c!C#TfX|WgU1S_eYox`y1H67sR%Mu$cXR9b7gxy$wAyz3F-HVCbSHt*Oaj9?ht_ z|1>#NH%|-J2!o}{ILJ#Kkf6l}c?MrEoXFKam;!t@M0`*ln2`cCf7=0tI!?liKm}$D z9>%HipQA*yWX(l-t3vMtjxN*P0)Rm&AIDz$y`cxfVj|uODZ~&LH1G4b6@I@o*_#ui zz(JKpz{Bd$pylFEUre*IuhvW3^MCSRvm#A7Ye~h~5MX!x^=_ez(k*F|KBaqoL(Fev zsg|K5#Af4-yJv{0kxTit%mz*)n2E9oEI@IC3(DiQbLPF@52bo?R8nK4E_}E)wn-8t zAdtbc!QCYB&-JjrN)jE?`3g*``GTGviW`093j79b(quN3)EAJ_Z0q%f=~2EQ!q$t8 z;D-Gh9edE2jYKF5vq93m^u-@r_Dp6jJY}Yg z@rlaGWilf?OSHv$&0~_x^3K+9dlEjl8c6Wq5;SEDngmf)M1x8ke_0_|J64r?+gMrl z-<#b7#3G9_COSJWF-K)%}DNu&ne9Q9){Wa6IVh~HOQ$| z2G^?YEWR|*do~HnLzP)&Ih^<>YLl9a%U5px9mvS6s|*0@l~6A~AHP z_WlCVq;D&{`(uI-+q$2fuXSfJ;ebGj0wJ;Yq{Pb8>$UeZA%CTKVQecam*q(q;&sAK zUIhfJ9ZY@^u${U3fMqn&?g-$liyXr|1=Umq6ud?pIA#AM7j|9@={%5yi$UYnbFFw) zooCrvGf=8F5x`H63l)D%@ZI^USi!mv;5+B6R{Tby3Cj7xv1My+E9>VDD3

;^P&# zy9oFD^N-xLUUmA-Tn&a08+Lr^$$Oma81!#F0Ansny}q}FJ7n$bY=%sO+fP8enSFg~ zP+(hsgi)gySWLA~N4zl)A5Icpp^}l$%Pq&EO_iID0y)T3o2Zg2u(B zlBQq6&X$p(l%tiCZXz)<Zffex$D~L$CHc2>~ss-FAQB}8e82l4z==IP~`|f zHQ6n7sSGEx*oP&DPp>%on58;o@X8NxdX%Sw`_xz^ymd%Mw|IHES4YvFR;URbP;q(< zpKOBc5@qC;)vc7g(%DsheU#%ELi>D|;z4os%6Xb--E-$q`PU9TJ@r?&CPT5ybLWfr zTw~zJ&EKp0>x=t&VI|lN+=s+GLW2}gSwN(krRpg`@Wwy*1x1USs4d<$E-1k|=Lijj ze}9him!Oo#=o{xd7t?>02MxgJp2LQUck+L+rP&&gxQA#lH<3Ak_z-`)*_u22<5B$h zS15m^0L3@W^^@1$VG!~LvUAbufhV*FmY8ig&wG(cbP)fGjx`Tbg}ZMUTt>4H7G2%!$u;R```KWb9p8%P zxkSn9PORt0Sl9lqezVL#}K$@C(ha`yd!RvYLd zQs+yg%toQtM8anht~_n2Ess3kFIl$qR#YM?R5@k0^mG%&R#z=y{(f%##6VNJRdk{} zz|n3Doqestg4tHQwHtS#?|lc+c-4_I2d#SKDqYJB8{asgR^CErtDeaak(&3$OtBCu>9{ShtsRu> zRgmk78KOPim^K`j)Zq`>F!i@p^nKwhw(;c4Z&rW%l*4GrgZdz~c{%AiyiBNVTHZFO zdDpo%yAyY=sm$&xfnp6VInNgN6~z9oUa?FOOK#~pf=T>cpUCDiCQgNk+}4W`Cc1~< zgG+76d}M6>_8=l$fWs`*d$U?`N-EG$6+>*y`pm_z<}D*T(VOe06FV@b&UfvchLgx- zVJy}(>_J*0y7eyfRgcH&6KzC)R5N1nX*tBG-4HiN4JD0S~+}@VayP}0xa6T7Td;SlxgGQx%nI|sDIOCOYcgz!m_Ks=2 z4lt$s{PtSWwWM4NTFQL|0GM_v&kB#!276zpBn$5#o`BhNU)zDv{BSLcVEtk?Ei zWS5=ZJKGYy$9^xOEWVCWYLCV#N}D$qInhGy?=jfgu8z?(1cJ}xI#lnbPilHTM5=pW zrVh%9a<0*Jj%U5-po3)VDjRS$%a{yc>Y&UM{Q`CJO}OJ02^osv1Cz-tgcZ@RpUqhDt1$M=SX+4!15#2^`qnQm)6>(B zHy1092dw?dseh>J>DANzLP~PR3c+cYAIs9L=5FUs|90sPmMOlY785TFOq2*^Jh`kj zh*f#;`$;SF;z6^o`}B8XMKSf{#g3-u=89acj01X#Sy;3;U~N%fJ9?{qIF@|2+W6-H zpVY=X{JwVHc^>@9AXd2SCSFc`?;Ql+@768p@q`F@JBNfp6doA;$fZWPuLApk z3FrwMet@G1ir;+N!dypt$_X%h-CD6pmuyF743F>s!6u~BHb9UyFJpZ{oBi4_+GlxfKBAzx~p_c zYAeTK{Lw0Q$DX7Xx&gJi&pNUtH@W(nsiER8pMB$xfnsS5RX;M~%7RpFq(QYYP1FT7 z@x7a_Xq8ep|3khzl(r#sCB=mhJHH!sJvy*WR&^uVyy+v=mxh+qe6HO4LB0QFq8)nV`Zc`yX86KIo=rH5b|h-9GZE}&V#T31 zc}B_uB>964p)FGR!QlL!Fe(uF&J2pvD74r@s;2z;1&{L-ivO4Yaa%WocyI3L-2j5% zIkZqJzB0T1AC04Zmc&U|Alvy37AR!xh>bQkuQ_lcpW-&c;C%kM$psEh{0=XZfu6}uIZq2ZtqD2YMv(0Z@_ad6Np$w5|x;@73T#+K&BGyA4FC;63 z9l)}D*4d}xJ?jo?!Roe!Y$pXkWA^)*cOAt6^Ik>4Ewk2tDjy+E>Io4M=4;k=r`=cQb!gf)BEKm-q zYy0}HBzLtay~nqBS|U=*yd0(K?LP0&|9Cl~JSbVSL(l%cP}iJF**-armdkn#U=0nc zyU%^|p9p92Eiw?(3~lR!7X9rz$2$Bj632PZtq&)K{p*t7>mOm=?`Z^~Kc?0XR>tHc znnw^W)&<6Ks*6_pQxem$FE-Pl{Kvtq$D1vqK_I-vP6hqI{5WIRX_u$IjK@F^$(bDaUidarb;C=cjtxr`p0fv!+kwBky5;?c%U(#Wb@-m!wz&FgH0 z3y3zQNbDF-s-sS3C?J+w8bSJ)$EX6ZsG8o{?6Yh)$7FaI`RWQxD#^nk8a3MSR6 z;i2O4?WE(o+-$-}C&_>4lPUr5FGPTfWaQ~L;=Oa~T0!u*geTfp{>ZwrNsA7khSaxz z#MfwvXg_HPbV?&ar>DQBRIbAls#0OCLpxBjuJ0apvZF*%kcFL1fhejo?J!52^Q((e zrrAi`i!AkhmT3lzI69gbQ?O1^)ri+3h`@Tq(Xu;7`@|2$fG!P;3Ww(7b6Fmg>7lib~X){poW7E2} z8F_}%D%UsM_-K0T|!WL$FM}w}yFGkxev;5OWL(v0H{@G-yysIy=B(k6Kk)7~u*(X-D=o1asBB5E z#hphg9yAT7k=EFHJAihpALq%N7`Ob=nmuGq52hxJuS9owF>i01?yR12jbL0O2)scu z(}dzp(a%h5T3p$eWJ9ht8ZH7QkE7q{+cd5e%?%-Sh54!{q0wdAkLut@Fzf1~h5W9p z+rQnr{f>KD8@RhlllO-7gBM;?kbgPgJk?8 zy@4Rod;NnNYV<^1#gT?;cn3Bc%)LE%C@>it4dyEz>L@ShVMY%aM4yI_3>9cp6bcrXl6trxw)p-D zT0CVdTWO>~Yy6PM@RhC&|>Cx1-hG~yJ6l9lh%3rhA_ z4!LHqM7Z@DV?oF&01IO^c9)?YcOzC67eVLL?DBES@-2AjuWc(1gXP%eYj@mVGJ&wS zh`VOquz|xx6&fO0-A;d|bYQTA$3+!*MN;9yS(53EGc@bPmU85G$yx~ql`C!RU+^>q z0t{K6%3#CZp=qbh1zmxN2pP(5oGEHWjg-?0VyOm3VOyp;^TjI zty_}<5UCh$?-N3GGv_A0uX~p&*EV8JY0Y4cu{4k?{A0RqDT6$tY>K12>jNp~hX)xb z=#GAdDkH{Zm&kLCrt+X<1v7P0gRtUmbX+HX*(_}j-DKM)RUuq6Y25gM2)F|e_g~*V zfv-%;*|K&iTWfW1OF?w@ma;BLJfV(LgDpPD!L!)G!Y@Xv?;RjfZl}BvBt~AfP^Rk> zN#=h@J8P%plsHGUP)95w<2eR>{vqAw9AR10$Xap7^(l}>)i<6k-7bKbvzg7;(W@S& zZ!X%V0BBhs#+yC!G>*m#jl6_x5~L}ySXOLIFAo3@rHQ9QLPK*~3Boa&*6we?Ge&tU zGono~3m1H~13(OnF@bb?YXST%Bgog9@WS8fCYE;P>@8&IZyTR5c)Um&YD?F3h?2U5 z+2x$$XG~aJDI#ahn4b2(<_{YkNujHbLB-c#OUNJ+8W-n@g`r`HNrDJ)i}BFV44MWa zp9g@I)HNhEh$xSuIBktcOtOH&m3W%B*IE95&&b2oKeU~&Yz#Giy8pN=Ue*_O!p{EytaQpi*wM5V82K(1^@ zi;aXgU{Rou8+x!phqA-f247PF^_sPk7bF@oikb>#st z2ene{>FULUljRcQA+Ug=+-kZV^B9oEj*|qzMEaXW0KLIfq|2VGAOdR^hPAQK34|yh zpQW;$P*667Os(vk-+P)Ix*7NE4D~tPmQ!PI!SvmVPDmNn6633zv{QUEP5FpZRY8hf zqS@<21w5QaGbZx|*pd>Tu3chCm{#$(RO|1fmqt6J(%c@ z8;+5Ig8kmV2Xn+k#&iZ{6XC0*0U}sRrq$xbM$j6l_M`&_Beg1ry39XLG5Y*{0|S>R zvh`pw{Q_}2&IFPYOvMVI6p&-e=ADEBBZDbR5ny<*FL z-5V_?U(!YWi-bi6G|UAB_0^CQ!$TzbI*`A5Xn12pX;X4Jf^i zCSSOMfMg~8f=ut{32?aPXExqT#IlNYxk1qYZo zDS>6{1To2kHoZqdKbi1b*r(b!i<=pkBL{(_YoZQ(O93$9mxPgL$w>`u$r-@f=c?U{ z2j`;!HXOAelQ;8kk9)E+`SZq}XgfYSII znM11BvnSJn1^vOGn27;#*nV|hkXRTaF%i#gnkfZd?KDy!nJV?~-Qq?Y4JG*AsIq-X z-Mp_6xCV(>X|J<%xkkNx+g7}?q6Ck79DAehwgb_H&vzW_45`0`6d($)IE>tlP=Mrk zrP#cBa^}a(r*+T$?=xZvC_awU2=MGx72WltNOi~#^wQ}cGj8#_fqXR%%38kS3861F zHs9jTf;&8m^l#dsvxzrggjNcD3{c0Yhx+=oZ=gk6Evtyu5!!+m6f6bKkx$;NJVF() zIXYdU0PU(1Q@THu!u2@=8~zk}Bz%9p+?W1jJgYZdI^yq){o!`D^}tab~qcrGWE(%rF#;@l7; zgw%zRcWyEK#Pl1hD@C@q27UT#s|d$HCluZKHO-y-9qPi!A7JzkK^)-X=05?GZm8Xe zV-eQeM!?3-a$6*hh!rntS3{`vnV;q#g_UG0U^P+jZuE64jEib8A898B#{8FI7nPCO zItzL@v(JfDN0m8&l9TpT4c+6qy){+(imK~GUl)wF>GXy=P_}k+@byw%d{V#-*O>@z;WR`Q(;&};w6(vg( zEA(E~i?fc6a`7j{&Q=hiIkbJ7zTHnu=Da@h@Vdy_kwZMo&4h3WIg|X3>|hfNg&gRZ zMP_2p0v+{08%}!PWglF>Z4o&=2qyp~#r%|I)0ZIoA8-o-0$s1a1r~rGR|mNu0Xn7r zLwZKTeM zA8^OKAZn(rOaQ`*1OR*!VJQd@M+3&F79dK2VAxKLMegxsI;1?9T@o>)CTg%B&HJ&F ze(&j@mcQe|;1M^bcZg-01a~t4ux%FN;Img=NoFVBcae=3pK^{31b*XB&wM5=Or)S zX(4CxC@@Mk4?->cJ-&kq#0Z!-jHxjhj97(Nry~xPXU8c6^*K4EyKcKgfr#;- z%uKAoW=j%w=$>y$))GK`om5OR9nb9bZN{^TBGgJYby-c;v2i*4G0zCm9Xq^NIeaVC z2xj9`fvqs-ML0RrO9(4tdyf-RmNf`H(NzR-tBMj8%FOCIHp$mr&R}Ktyv_eL%n2=b za+J!)6ryQPgv=le#gD%WARX=2Ep&x~ljm8bDt?^%Q;EJ<&PnVjHuUqfIukJ0#nPS;2o4VBkOaPFQ`EEj1~crB zRTM57e!;TJFBXDs!-j;B1EQ%#S73P)4!erBmI3G=-k#SL1^4v7zxFd<^cwEbqiu@C zM?nN%ir3749CfV6Up?1qYgYGi?L^E1*p@^c|6CNd`bHSp;?2k^sFbG$`W8Sm=kIV<3?bm+Yin3lgC9dT2rW#@L4_~@hEjF8kK1DBkYkMJ;9a%1OH5jEr0V>8f@x-z0bFmfi@4*?V&$l8= zdod)+ewx!gvTl1}CsiD*)iJ{Dj9JT-jY`HL*TKJqH;f>~B6H{Gb-=Kpah#1-tk5V9 zlI3HCvZ4A+2ap-T2ay?-5qX=oCpPY%3@8C#`o+|?Q0jONC&i4#NNml7o?8DJ{o}3f~*d`TsOdH!(>zy)6o(1En zUvAy1{l|nz0xM`SC+8+3*skw@#S$W9GYzhH=5DoQ5RtONqQM*x6v~WP&-o~i{Ix$f z4RtS3M}Dl~%tU#EZ;f2Paw6V+9`J8<6J7eb6L()l%YH`A86&_3Pv17qcucP|ZyByg z97q_bSDri6R7=4y8|NQQE@B}$Ue>7XD{|FTRicbGaXZL(+8WRd2#&}u-<7%zo(&mU zD+uqz5exOSL^@XKb6#gR9$gR_FQ8DPz$|EB4<{54sa53pc^w&{>08t{m$nOcw^ML++DG-kFIW(L&j3234_p7=qy$5lk z<$mIUt)BPg*-;nu7n6Mz@N|q(=G~aP)*2K$Q{mp82Y5~*{D0JiBOSAaElkGs#o>jB#QzmUpO zgV&`oy%O+A@+TJjGB7Y62bB@qi8ptPgBypl;uS<@&cSgu)NShopBz4gaY;i_1O&~8 zJ|Rqa`xX8_@))KZRUUY(ghTpe6#yra0{=p%KLj{Gu-EQ;X z67Kg4v3!$EgYqoWN@D-{d><~ZaUWYn*4f@N5@2g{eOj?Qx?An}daxJYVFU9SvzN!T zF)V*!eP`Bm!gmZRbV}@h_vqi*_3>0V{nPht_EM-d{h04Q-9p&!4K1KEx&!fL)yfBV zB;zKR=wa9&F+KgVYybD}t5&qnhv89TUl)s-bRVC`{nOX*>x<9L&)(mSpSRbNirrVe zes8nPlOttQ29v94MqjLZ)^)OfhS^*SW$>*%=a!(9Dzw{-CV}uugv5qk>FkoN`6KZ5380-b+4q_ngzu zr#y*I90Y$S{YPzL|Amj%si)7!&-#aWl;F=!)sN{X$=BDXdC1TAw|H)*F@>ruL(Q+P~f3 z(ZkT!?-NvU^X2h}waq{T?Wwv!3nvxNVktMXiCyU_i({sr(J0mH# zAKGtw1X+6CCpS=9HM#XUWe@RbhLuKyMU{KJpXnE@Y4-nK8g2;FG>WeOfUDfC<8xSD zTdTZH7HSdnxxQKKd`y^B8VwBmJ(TqdaQ~T(GRZsd1;h8@A zB5iERxB0c#TWKSwy_vIS-Z*7y|KsD~W!=rQXaH-M*8A?W8W1hQ7$&qf;oIT?s@S`I z&3s7=y;a}V>7gXl`=!7bqHxtGF{9uf8kSJ!b;cn@DtKIp)J`^{3Jb1o% zuQ|AXm)&`pUzG2byl-|$l15e-3Ulcmpt-#)lX~_%$Lm_w>jy~9*P&QotNJ^z2KYO za+mvc0%6{UKT9r!H2vG+d*2T~wLVLA-@!?hwkA$m6$kntKTHIKbC+)`dIvHJ$eg-Q#RUP?SqhThTNW(AtXi=#@*;0kOZ~RoVe{JWBQkdQvct|5sn zMm(UiWB^;m80}QUh1UzLQ7)luaXnYqX7CFXA>(}cE+~Cp`JgsPpw-#Cj3A_GZ8`ZP7knIS`4zvQ*B*`1t zq9m{w$+Vuow{spW9pxmm#S~nYIW<}3Fxt@X0v)=~LUOYz=;Ek1Qh*2S z>YU$7ZsWPS{j@j;k6lMTPX3UyWaDCuk$?!@EzSIeq&TNa$aneFb2GdFdsP7>RQ*aS zwO3NHT6nt>#3<}ZK+9xR;=nXYXI*(=&x>QTig-=NrStJ5jovmnK^GiwYD(j^=EmVu_zGzep%jSk4woC8AK( zZpkn4P}GkXN|mo&IVA-!M^J0_^T}Z0C=oC7u~eSEBRyE#;3=gl1g~PgIwkSWg~dTs zWhJp)Ze}_qA&QE^VZgx3#B@7 z;P7`JX=v6}qD-l{UDUf&>M2rV$jkK*M=I?9Q5dhbWn65&TshlBuy3l+TQoL^9Lu?s zA~->5pe{!x7E{R3Z~0rL07nj9Gws75mCKM=b@1>`Pl zEtDT9{^t%*{C5W?)6c#0pJgn*+KsEh==z^X--(5*^B2^bnu9S1Cwv`H+V)&W*|sUV zdY;Q+k&(6l#*QYd<(uq@mPQG) z!Fwse2U9RjXCPcKB3Xz>e*>bBtN}wWTZV#JmTRoBs+ORC&#Onv*F0EJXt+UDEz;2G zbmBiWE%wEY=@MoaGk!RKJN>z_=^Fm$Ad@Xg?Rb2;)jRz*r_ZV5ILSh`b8;FN|E|QP z=Tg~928~N0WyB(S2gLpUbHP!)%CHC{g-Ty%c!MDIEp(y(^%>w1=FQ((Iqa|e5q;bi zt1K11x1CXgxNivo@W~~Cy*l<~hEvjq=|pO~B*oQp{@A?d51`h9gBIbN;X^oj1QQe` zH11t;@`wHGUNn})pzkYH)Ii^UNe#sXx7PyPY+WXY*Re*uuXYA#pP_8FK|PV3P~1Bq z3{?58rsrMkj?aT8*Z<3eyq;e%CyW5TDU!6``` zv9^F2I^rv+^2a#yD~xuN_Bf6Lv3C)ccmh!&7VC^6nDxl9YOX{1v0$%5ZHfmt#dsK) z#sMadfo^JhvI@Ms%tUk1?s7d`pw&>0arnQp*F*G8$_LxROkOKXWb8SB_iT3n_aR6W z=yXpJ6vnV{NEBSzPE8D%;jR;U(h;vIOPd)WI=mN?c1e?s z!Voa+ChL46kQ#?zGq)jsap`9>=9gn}2t@7`C+vqJ|29r`M2{T-pkW~wo+&vY_LuGf z0%3+&!E)n#1yK5ge!vVFWXYox@}5MQ@s;(OnJHV0L)10ygD+#;fSnzBf;|`ufbr90 zqMZa`OXP8Vlgjrq$Hp;@qzAZ>Rf(REdV+C%g4z$b69ph~qx5NLn}@D$WTq-LvQ;VV zjYk!xC6~r#i5oz+cnN^%au5YfVj<*>NP#A0lhJb=D`RZ?=IcBy!Qab*V^}yR*P0;= zwfb3vP|Wwk2pl0iPCsk!1b5i_AKM-UAtDaV^QRt?hg&thqs1O$xk6&`w{-IlWEQPJ z)(Vo!L(fVF0m`<^YZIM$I=kUj`_UhdnJy$bsN4k(+=51%@;l!4)X?RxOlfnd%0XrLaf~-KuD`8Z zJ@zVM{(IdNPx&0u9-jSrIAVfC1bVgy4{HD;2tnZyv19{_FT=2k4tjow;XZXqz6MF|K%ixy_&cN zc9>C$>@w2(Ob`(656_V8GeD=;{Q*Rg-l$m8I;RC0FCm4I#RdWN5`GNd;UbQZL(#S| zM5;819@Rhrqr&A7Sp8ITZum!qpjg|6Xw4(Zih?rQW_V@CNLZrie)SzFV6riL*t1}o zV-0P$pRQc85wVXNTd-o5ZxvFJ`%Dtl9Uv7zDMjw`R{cMWopn%LK@;F{cXxM(;O_43 zuy}BX;Ig;`C%6R(?yieNu;6aNg9Z27eBV`_R9)5mF|}21=Jj-U&Aj(^ely+7#K6hI zVw%{Gi4<2(?W=(&hO(qjXS&>eY>XFCRg0zJp>L7_bf^RO&^IZ) zi&VXn&ViyU^H4U>xbw}U-wY3U)}fh@qX%b|;i{u&6_bHsrO@6QmvY#$$zx+`gF!ph z)n4-bON38&krzTTz2Q;1J0H9LSc+?lp6B%!Ybm@4hhC5SvUrUx)Yd>FT}=`nO!-oi z^X@dwG_9+$FXJ-c4#udqn|Y~U#En%ll(Uyzb?Hj2B^@R(c@E^WGrvg3*|`$RbrkKd zP9xhvv_S0Kkix+uRbw1)rC~xWv?>`^@T72P7$tA6WN5E zo@RExrW-MwHrIr0Le~7AQdO-FvDv@EAl@D@7lGSkI8B73GFzyp6^SkXE7e$cxO5&j zPNe|ZhglT>9mHc|dw1-sqR(R@XxcX{gn%p#GK59oV~o7g5V1bOg+;x~U0qR0qRQM@ z9ECbw8j^(sMZ{3Y7gsV0)r@`~$n$uhMk~T_N?3rY3if~pbpk4kAnG%UQ0(Xg8iQ)I7>`ylp zd|j1}2i#m+5Y`$cnYfS&5iCL*L1(?M>E!AcnF%%CudZ;{l(g1au(Np)2~fZ@=q}d= zbspOz+*NsRR@+`%w1}A4=ifFgcpzSuQ?6!)M;)PcV2-VqP5J3j{5D#ay zcfF?Eo?C~|i{U#%l7L3~40~12Lf`ltJASjj89jVDan%-QS$^Y*#i-rT0&o^*x5BBg zu~6w?!^hoM$rdVcO+g)N_JMsL7{q3Lp`)_)S*8_v(t0s>K=9UnB>DF@rE;T}Y*GA1 z&Ip|)``+nOx7~v^Kkx?eWo!CnVe^jkI)xBd_IzALQ7NzwE%l|U4^i0MG&KLId~FI= zdAdh~;r&&_{|M&^Fyi{%@VU1m6J!d$)9Bxw^CsQdU^m^wKCi&(y2I(sBvic%80haq zPU`rnKn)q)Za|6&)yaD`kX9d{JTN2`|4tzwtM3uGY=*f0)%_k)z{B7U$m+=cBvL~n zSbcKY_!BCrNf&aD^viRt_*5@qk3RwK?CW zHZomhx#6JNw?EK(UAbm+;BCnQ!pS1n8*_q0YGlH%rRnAn=WNy}h1i?MjmYTToHA0A z>|tLp1PFmBdlo>70czP8DwL^I;0CGJelayQV9RWBjicbp^t?F02xkWi);^k^Hj79Z zQ&|-GN;M|Sm%jX4eiAG{CH1N}ar75N&x_2q#!C=dU@c`)Qc~d{b(umv7Bo#5jM>V> zH5P+$zfyf~eZrmxr%Q>=mv3q#?pUr&j4S4yDs0~A*@xP3gI;tp@gnRHNBCi|Y^D*) zP$>>Cb|w7mkYA%?A^6%}Zi=F5Nuw&D=1Pp#?v>fxy6L`gBrJ!%k&Uu<2bJ%k@C0q2 zuSa|-5oQjNWd%gzXzEh)oOU$EEZ9xO?5cjs^JOd@^{@7{AkOUF>c1Sh+ECosMYn8n z_84%7Y+0SS47l@56u+*2)6jVBb-ViVakn6GHz|y4d5)7G@wC3(f%;C*Q^iICJz3m-2nZ4f+aq+PKY9Q{=drwww-;{f=CMhxsTx*O@_Kt4D4dGBkUE1D!4VKy5C znsVmsw@4<{&l2Xl3Xa;R?lH0i>v_cP8)(`LqR?Bnz^qq?Vb(<}eyhQzW9huDUQcUx z(mCJ3O7e5JJkD-DsVB&EXD;EL^DX8L)3kArM%>*_JTHhF;{EJRD(tE?bw8^YIk zYb(#XUrn7(;CT8reZ;=~uUGrJ(C6RMvSS1eRi|L!ULU50kTUs}eG00WvN?SqISzl> z$RyU&inxRtU=v+>{)U)?YGdbGyC3Dz2CG&SCg+ux?x+tDkJ=oUXbDi5Y1*l3Tw+Fk z?ukLBFr2;oB;Z-q;4u>RPe9#lUIxED1PXlfOk9(>!i*8e{jvm?xvK5$d_JSACN_!iV!#1KI}&C%VywFPIOqTe5#PJA(1()&Z5ET_Tx7-{qGP?pqgEiS~c zhLp`kh{|c6Fpbq767f}ERYvi!T@us3@8naba1EKdN5yzBQpz#IRN0x{MEi}1>cHql z-#fFyEr0Q;sK<K6_k!Z{pyP0hNa4_2+6AtPHe*$nSkxVtvBzF+3h#~=LxBji8+`{dF}k) zh2Qw_dHJSdB`MaH`!S+h`!)?v%%z%Iw6c*U2lp)S@#!@19kIr7++br{b73ozZ`u4%|LP#kfI$MYCdY0 zR5JMLTX)oG===P82^`owtIqv_R|H%6R8yp2x^yKXEXSgw7cuzyo zf@}1TqxBc!^?h3-lj-~oyv7dLzx&ra6AE53T2#Qiu7Tlu=pxr%dTslvqH$(zdou0n zg?e7@>vX|D<{vWjx~F;5lBJ2UvFV0kxXfM_bIaA`c?Z3AjVOR?>F zPz0G*@`>$HMG}rTF*W~QL^Juf&EcBhp)>jM88iI!F^IivoIaMm+&W?L_0iV8bw<>^ zy%?g}>QXz6*~+ubiELE!y%a5-_XGg?#=N`o8GSuve$*O{Xp&=6mKx${92owl$2t%V zgU5A9=G7!GdKBG@SFM&2%8M|>!3AG~VO}a8qUy!VTflbENOY={2|jd~W6aZzQ*>-Q zBXs|wBpbvn^on~J+G5$~fwx-UYrJpkQBWA$V+l+<^^#6M<00W@zfTmd(68GqBw80@ z0!aGE(l4EXt?vO_e+Rby%*z7PtPZU2o!}^M!dw2|yXQ33?78$6=jBh4`to3PpMjc} z1piZA9O%{v!=dolmWuf~@-A1hJ!LOvkM3f57@3%sXb^R)6=Gz9 zyS}16ZlpjHei&wZP2;N>K+^liSMFImk9Jzbkx|l`#WQT-bJib6^c#8lrWZ#higXFn z?E|5s0EmdD5L-(lb>?@!SG8Ox)jDv$6XkW_(wN=nPiWJ3iF#{dcB9W$#TVO(^&mK) z^XVStWQu0ii4C|%USH&(`4jUH!S8Nq@8h@W!ra8BjjKG={Tls6oGu9KB%Lkft#H#^ ztWGNyA~o`$qa~BGbg>xMeC@Iz1QdOMwsN(KjbDNf?$tZI=%Z`{%)V>s|0)Ufe`I9& zBXM@~$8@#6^>H&Hfx9efMw5E5aliQEF7*XdFUiHk4K+9B83PsyKkS>a>A+n7t|`d= zk5IwplqNIYXtP;%PmS9W(8oz@zc(`M5#@dHK<@$V*z4(2>`0kk@U~aM53w!&uhSsY zhEev<8`D|bb7#Y4GtMKU7Mt(l$T957~`@-3D%-Scay&FkR>97roO&vdtg$l@KDDV*psbe5Ya7Xp%wx7`UH$n;HPH02~|r6 zTn~)+T)jQ+P)}=c)t3C#DjzW$5!me|w^Qw&|5n*Ppg&)X`}CFq&pmiw-7U}6p{pnD z)O@|s0Rm-yTUuol~#iHt^1vecRk&FO3r{c3DSu6TMem7JRNfgZh1zj_7h4=fd z54q1`&%F88W}uni-X7RgL_pk7m|olRkYLh`Awp=Rv6S=fOx@Lu*im!N5sCBiiO0tF zMiJn|ZtURo{!8O7WQ_Jax{K-H%88sg7VoiWd;hhET1|oN;JYz_NMBEjNIMVj{x-W= zk3YJ#5dM?QwphGRCKweuOSu4q==Xhs5eP5Y+<4|5S8G46Q_y0G5<)mzCkT@b${clh zKyEcg{yDREgJ0y+&y(^cX&^uDiLzZj@oo36^z?#_{}JxsYts)(^v)*IxF;4|hcQ8$J&4lj4_v!6MJ)VKrDOOa@w-Vd4H6n6_!!bBi@Atfee-wB<8y0fCCj5AtnZ513GClvt3d0ll ze8&hK`=#H64xG+*gM<0DjPJ~MxLVM6+-;&sb9UTMUK@3e_$9=@AA5Kv<26UXEnOVd zigxUzM@VZ`NJLDrf3kQ9k}!yNRr!uYZM;&Lu|*Y`>{4?P;7~&{vgJWpfQLOo)=J1h zC)}fFVgWCGoiS+qjQ((4zWqwhKeSx)9)ORxYS+N}em~wV!%!d*;Xm5cx%g%CKBIY{ zjJir-&6_rD(L;(JN$J6cC{X}Yu0d4odd0B&u=|4kt9my|`RAcnaNf3>!|@lR7lZ}^ zXxp2v>1qjA60+L8+x=qSrrt(ZhM+ShC_Cp?Dp`qWL{9%GW<|gW;m$MU<4XVE&xdO= zhK?L37E-BubHLOygW(SM(6gCN8H08#YD#T5nK&C|8tu}$RWKyD;kkZV!^*xmcF+_~ z*iBBhm)yOOaY154#>b-lKe*wx-24+_5Nv^;qUDZ?AEhQQ*^bs-QBm>}j>H%}z*qD? zNXt}r&{nY6Y5t6!cOFwz#do8kzZ^kQ6mjsz;2*F+^!cWXA^080%XlB|D`>4HFoE6-hMf_JO9KfJ14ttGR?E`jR$7H#|MyuXP6mb@3>zT8~*l%F~pUm|ZBa z1h6^^YuBe~NSJq;&KG*d<{K-K<(81?Sw@K-B~T$K(4ZuIO|gBo2NN8ko!A2+u6YH8 z91GvD!)U(5!A?fbclM5ZO?kLD?3tl9f#RJUR~^YwsN*=@*moAtTUyS8C!;Vd1gkKB58Nf zMBytWrCdN4gVXx^zq76Q2vTfZppB{^4`ZZ1ELwc3d5s`WhZ%=M zOsC=sYr{|$0e3~3CBbl(jMqsABYPSwYIM`!4w#5teUZ!s{{qsKl)=DK{3dTVbX)=k zSSl@K*{TWII5`*JqV^OHOK;2A=BM!0=gJ7BMTx|p>q#hGMC5BJc=FhI?C(o=enRTL zeUr}gqay_7Vnxy${n&u1?*zpL{+DO zf@bzwBz$^{*!J-4{#`r&i(>)<5_YRUXU%$cE|jfAO#V4TOjy33w4^8ehXqN67XOj5 zs82_@z_NKT_LFL7@6A{D75IMqze~8Qzv&J8uY|98?X7f5736v-GU)%Mm*_P~pd$w^ zSBkuGJwX|JZC(<4zTK>703#=|&lLUtO$HymZ*sak4HwFkhtJ+B6@W+edIM*F7);?P zV}M1onq0AU$)F=8hoW)Ca>;~=NdEjvS}+%UzH_A%a%V40l7+gae)u<_c4`qa#ypW0 zDyAx>OxjuoTe$V{Imf6ZSDecv;R@(ix|9=JDSSTeg%kvK#Iyi)5Jd#SZeTr&)Y$w`ZNZ@EI6Y!v;b zYLM+Y5H<@*?pdGBotYl-w#XQa1@}ZPPw^i-D48!C#H=VN@mcdgPEC%NsJg%*@?pGO zB4AG*xDzBt$hATU1-$~Zcchn^UK)R`Qy>$og07;b<=Z5W1?}=Fr{x=C3*44^WO34v z_$rG9OB%wB^Sq++#R9i*W;cT+O6&|#9Ng;4+LXmJ3fu*BqfEGQ^+VJ5c#2Dco{aPB z(pnozHc{BlU}yUf+eR72_67hYz1C?b^305)`%b#4KFzlSt1a2EC|^K_B320~d9!pu zM>hV%Jhho&1lVR_?cnH}tBqr6vO&m>Bhc+2gi=bid<9PTah7opyQU_i;3{s*u!1vV zl}3}W`r!(uVb)4r1K_e8aTv7aB{%Zx;zOm3M zIEkRBD2z*wkVI#YKVthCLT&8LkZlEm<*)i_%?33P#ivy4=)0+Qhls3aHoi zzQQs>@vo`+1@$?<^UK)Ay!R~fF_zlyg^GX&+H+_F143um>i0i2jE|D0 z5*P^$d+qGpx9dfY{O5Ae-=mS_Tv{23p6elq7Oo&jYQZG}T+G0QuRer>qtq?*C&Jxx zHOuMD7l``>VTc!VvXCBT^nTS;cylv`Pm-jYAbDS=PqY!8oVp};s$hOdN){4`h~Cc@ z25&w&163iBsZg}kDUZZ6(k<#}@dctaR~Uj=nk?j;1iA1q4&J%|WV);mVBiz}36_ibFH&{ipi_b+*=| zC~Ddq9Pu`23tLZou5lHI4reGh0P8WWqNF2o-DrPPr38C|!h|JQ+2NVvd$qw?2(ic< zjL?89pS21|{kv&YDCy-pu4JMOZsh57M%GMqy;weH%a99I^&m_MU+gXrFd-U1M0o?W z3NLEtqlgSEY5GRcziMt5NfBMNbId|FLe)l)D<^Nk!5?eEz~4E%<7f#^EZj$GZ^&qg z0{R?dg#jl=oALRtCI>=z$-k+R`&<5gXomp_d^cWLDun_PoE*M8SE=eejRYF3oUH&G zPZXV23IR&ubZIS@h3f=u%)m~C9jfY28cqU|^w``o7x!c>SM5q8?iprz5V#6k6#+Q~ z+&#E-hR)_{;7Jd1<8Z^vwNd2i;7J2qwVC*{gQim$Xi^IOz}u^C7Q$#y5*6d;fvrhG zD2c`2m3yLCa{{wmNxn@1&*EuvCGcqgNjg7?Ra?Mf$C$2FJlwNfkQ$3=P#T>n`JFOV ziU+WfiYd7t>soH|`8dGUr_$_xhgJRvoR7DafWqQr;BdV13O){KG zj0AYC$m4I1B!X3mWI2Azffuen?EwZl)7n`a1Qj;55?YQhl`)^_P~vPA~hq_88-$ARKQP~hF9SzZm8MMt|KMc{3~5T z(RcB3EJyW3G6+%i&m7PdbQj%pVqEHS(ZtW1(8JWn-8xMOyFayjsuLV$eD={BzJ$Sig{6zj0?qv6sD^EvqJ5{uTaWPgL|0W;mb|9)CxQAgR9 zak2yO_@iAq#~k+@t0~4ycpVRU$MfyKc3QvjN5MdSwo6pxcbao z;-t|m2uqXA8L)s7rWdxt!!TmEHvxZFi43U(dqkX8OHe()s>rcHUYPasb9Fp40iNxCyE)^FKnq@y`51KKk`8}bzQy8A6GY! z5kK>O^Bh!9*(WYd6K@p*-C$Y%V+jiv0?7xzmZcXvI7;9kL;71v_I!eeknX?Lc+^n}k}7|NspGO$igN$-{6(iO zexJNI=N-to%g7$MT=Li{n1l(X|187n`2aq<^R~6IP-L%P5+P<+HUe3}03+T+hgv=9 zTRp`ra43?0)LP|R>J8osr!s~ua;^>J97LNBQ7&=Qf4E723|Y0+0F*gPKfmCA)HZho zC{Db9ue?H(uU7wKGGT5=H#YgdT0E!~ zmb}#elbD>{vdJ7>SdDfdcQZ=1raE%-Xjl`Ox5b@*xdVaTEnLCoVs;VqXylxGIi(&5 zuL3WOcTjHRx;LhD2P$_9T&gD;TdVTJKlA`c4r09wbMY2lcexA_`b=KujZlYnv2aLc zwi#7$?dO zrSErk-fd$wLM(gNZiU{ylTUPzh%NyULFXT@2%{#2{3>v}X zP1VO;K^hiL!1YovBof1kq}hJerPl2I$?E_ChZfFtr0AG%(6V&JT?y>v9%2#S^8T6S z_A+-&jh|x~-(>H04C@d_KNdzS#>*~*P9efXA`v7M_*BTCaXY~cO-uuzI|O6w-af67 zC@+Zt-2>ujwpLSpv6<#MA|zM|o53#A)}_WR?a(X&L5`AO^j z%9WtF%4*#j%*yV!ALe%QFs@qo;u55B3<2eu6_%~&nXK|&@!>dU-$++?Tk8M;H~z+y zx(ziTNz^#QSRUmtT!)pdLiGXBROS`N2<-tRl;)}|5UI6w@zEg{oSd&uVn~XRoc3y- zirF77e)+k)H+79;F0M@ZyyfWk?slSw*>t@Zz5z|mP$rl4)CJ2d5la^q)7UxcsaKuZa8c{5}NM$ zw~aJ8@$qG<%0CXAvb4`c@Qlxf%P!0-W&x~xK4NpFwY|@MmYe78zW_h~W$>|Q^vi)^ z_FLa7Ja9L1+z*KkW<@1C3Mr50STm*98JsYlaVn~b3rYEq!MO}3fY-G21t6%ls?>Kk z8~phJy!N-Y%q3fbZEd+^M%WVdN7{O1#2>6x2S@fjHqSAxOfdoSm&1e1dM1v)+|S2h z828lh*7T=qIR%GB&il5hj9NP(1?nNTJ<%iewKtGe4bJNdiIlb_uX_sO)*7q)9jV37 zTiiEy*x&CeM#jf4^W#O`sKWnjv|YB;_F!2Hw;||Ht!3PNb$!#r<@1611$BS90KBOw z0Whcr7=~KQwS8ckR_^PnNf?>=q%%EIpW9dw{PsI&NT-UJm?Kh7N(1s)wOD^sg?p68{`3MG;6C}60DI^(W)mBW z@79t_8Kc}^%g2PX9g>}rE>se_na(5S62!B~&IF~P{TQNfNam@T2KHxoR{j0>`{Xq! zo(O9E7}BrmWu0;O3-ks-K*$!=rsmJc`He;y(`Z#f?WICf>vv0j+&>B9NZ!4gLgiL} z{SAPLnY>|;GtSQ(+W8v*6n|wAq3X&e*Bg?diY>?_TaP3t+s$%{wy#%OceNqjOZXYW z*#FpP3pdmwJmqBb8oYZmCG!6`kH!E0bskHATY&HX&S_^r04#gAgCT6dDonKR5pQTW}-g${cjxdr*Aq2UTj`vwBqyhVQ!W*b(l0~ z+uMCciOBDI4sKBgNrr-O!4-3)IbyG`gD*ZGw|Y@x-N6r250~3-r(M2wqZ#PiAMbBM z80_#q4Daoaz6|L~L8n%IPnu|A?|p1fSJV-=D&79(4a?`27s3%m485@nZAr zyZ9m0t!UEuosE3o^!$Cj5!lJX82q00vW*#BZv8~}Y1(H4>v?IxUCv7&@|jn(vvB&MHFKW5jE&dFvx}6O1ieQn_~n6IY!Us#*JW`$>TT(2 zFMDg4oA6eX+-pyS)hO}gs^F2H9v*Nx;qDkk@>R(>s+R6cFp2_Z`NL&z((4}jre1A{ zJ0A~8w~#LNx8(XPQQBR%sqzub(_~k@SqEI!e zwU1h*(?fDe+nV);8!ex7=5*N^^=XnPqMAU*>-XZNCbf|X_lwTGfv1Dx8%pm$P7?RA z(bAv2zC^o0_*G8?{ia_fSk6!j?>?H3(ZoL5C0;K{<9>*4rm6e;sL(VLYd(o_>^}tG zeRtQEnJ8t#mT@o5=|EzrSv=B=#@*v!;<{+WdArFF{6nKKLtTVENaNr{N5$*Y5`n~b zh%lvIs?$kxEI9XRlq~pj3QJ&`tMkCl*c6!h`H+h}p?^H@2M4^SiN3ya20ZS1c?{4_ z9lKwLo!%rq+p7?o?Hg+qxQMo(Z@*vfmtXCoS6p3QXa2ame1EO$;c(yGb>ZZEyHJbT zhQ5<46!&<%8&!K641RlxD)_kS`dYB@ch~droctXng*-?W(e533C>Rv{j)EEmn-X*o zv{)|m!Td^!*7x-E_4~crLv5gEU@|`2!uy38_dOzI^Cy*_MK(?tqKJ>uuD@G`gYMM_ z_&>}LGKFKF4@CKkfo;*UL5T4BcZaTyOi@~KAzw2lmg%H@lPMlH+ihT z3cMK4o6U1vopqftH(Z{v9lvCLebCc+b_{wD-2oMu82-4|38-Z^G45W1+s-sq^2(q+cqvK6y*VI~^DlP6{f#>)_DYq0 zTpQSA7?9PwBa}(fK8f`mE1X`QwzpclV|kQMiWWhtrCwqh3$xn^GS1At^={i?w1|CI z*yS4$FCphW+(w&!%gm(B{DOU|iB|b*o0Q1wxzO_H`$Eo6Se~(nZrg2(Rl%R<3aD!< zt}^&P3&Olhclw4<3for(v1~MY_M`X=j_H!~b?1R*4Hr7&rOtw0qtTV^qSy3~Kf>0u ze{^_J+eJT1={0(_&6KV3VS#N~S)z|umu*wY0;?%+SLMH3EkdLH7pGlm~LwmD};dU zYOIfrX(JacTrwMjsKoqX(ihLyQ~<@0P5k*}^V48(mLxV@>03`{Qw{ z4oQ0d1qeI<$`yi~{M8ELcBP{5d>yb>efFI;_14~GpK!$lx2OD05Ptq_!#fp_ZALKJ z^OpWtDNUetmh%mcpJH?K?>TEev?dgqAIs4JDO0;>r7O+LSLk==-0@KJVoU2MNo)$cevcpqsU zG-iL))UUnEFJsZf77Ok84$Ne`tiS(w=B)s>}w({7#>bk+vCQ&lywx@Vo|r z{{k`Xz}_fCYtI|k&d}@iuB%K5ZP%F0zBWJowmx6RXfdoC(qK0R+@G_$jjpJm1+fzEmvTy5rdAp4ZXEcbB<+&zgK z$A1#U^{g|Z=F{q#T?XfWaUEUuT3!Y>yS|fs>a7zh3br&GHBHW`y^x-aGubSETX62| zJnwvN{mWdt#jx$;Mc-}Nd|q7DP|$vU=KK?x6#PNTi8DAC+;nzKoL%SprR2-@IHZ8;6<>ofphaY1__rAyT}&Qq0x1=b~Xuaa*`}#lAQ&~a7lR%f!xW5vg`gJ zZ6^A0w+3qKK=#Yh{v@Wmzr0b-_VHl->;}-gF33OWd`!7eGI{t zJm{oe0w;xP`s-8A8%D}$!zz2eoq%(%Z!vcNA@csIL=FbVHSS0fU*(|p>mzNiWOe6z%+eFY%9^936n*_w5Cdv-(}~ZNh*z+@CcaKUa1&g=ufzfimnF#Ji33`IL?$qb zT46%l%xmT9Jh7D46PKoCHmAp%1{d6;;s5niuU3{2@0b0p3(zj=f^cL%R|Q|ixJXG5SZaJUpiz1 z`Ec^6RGEu4@_#NBULr%CO~+8HNXX;N{ahqJ9N5J54%&WS`VO{D9BC=9rQl~yb_=4L z^%9*l5nW4$eWRsL^A)GVyKI;_6Io+YB#WPZmDWf^VhA$Tp0k_F73Q)OjH~<~VNXRU zfawPuD8qhWj6xIEFC<8<%_ixg4nBe;@BEvJF9CJFpG_|8BXY}Pfb^D3UFVad(w_yV z`BB+Y^%XIl5tYU+lb#=bIb&{-*_O^7dVNk7;YV`V?j`L;;J~7X10QL#B=GQVZp@~> z1+vuJg2NTG>-b}BF@7D12rVPr7})PI1OPg~2RX>k49X?Th` zLy_fPwTz#4CQ?cWvcRX7Txi1+C8X+G88^6PHHaykxilDM7SqD76A%$_G;&CcY4new4>XgBmcu*@Ewl;1d|&pWqc3R0WvnR4UmZHJ;0iQ`7XW z`?}s{ezK-Ns$)^9QCg1Xqgfhua9h=PQM!A1BMvh}M8CZALf8bI9K)0G+bublMvFdSiWwtQdMt(T`OaTo~~4QCILL9dv@llqtm z|LH9;)}jPkExfA?OC%$9svHyAs<-naHADkvIa`O9!&6O*m+=ha$NYxNnHRc?Mq1mG z^XSykytT9QasrZHdSU$Q=KQ~ZQLN`Djs&u2p;;02%K_KB-kkriR8iedBJ0>aMl0gt z04m&<36D296XMD$Jnc z8=byl24hTc>?Kb#oN6Myp$sN~?dKl|03N6gJ8onmc+fLa*Ic}8YY?dfhfW0 zwHQ@Y8Enxx-7Ird8Sb51{{2||aaCroKhDyuzk``fy*XUHOl`V98oLw>$iw}PgV@bW z=mX#W9RDP!_t#VM-71EJ@Ah}xmtP!y1(ZusGGw95(cXldv4z$-?A&e;_8~;Y>rf)% z^t5En?NX6CMds0nyK|m!RXH?5w7SEZ#w=%6O##yNvkKun0n7;1;(-?MR>F*~k^0cx zzmpNljX1-`^x0k{+sZL(`Xb} z(IL^d=69)9V$m|3_*EJ|1y>z~e^or-(@!c$?1a{W?#j)7;^DzLQ4%r}FiT_H>J#@e zkrm50z-f2H7s4;KXY5I@P5emGGL&KYRv3YeSLaTcGLoj^md}5~qTii75zt|R5rnAu z@;>)0>5N>Lx&6b7W&(N?KaXj%e14C&ftUIzbe)uPMG-KZ zzM{h=h3?2K0!K0Y*+Qks>TXoNKVnRW66oNrI{Pq!+g6xV#Ye*CVTc)4n2IfWt#Y%Y zf%47;R!i^9Fqdx0ibkxyAoMu32cEOZg0Ml+COY~cwhN~#W^pQIk=VC0-IP8$y-E^c znVy1>g-Qh5I}!u$TE3c_x5ffz_w%vDMU4hp6TZ|iSfY12ZwSJeV^{UA~HnQBZbE6eREI9-+kgS(dNUmwwh8)zR|$9=d);uXLN6JosT zPn>j4+asFWu?&e&JixLhAYO(GXd(E45|XB458w<~(%c6E-6E$Et_(vl(c=7TJ%^A2 zk&(j%DR(xqaH*n|*W<;%z=`(|Aiai+SsCXNlv?kx$X-ErWny@0N(sEtETbE0Fb@Ov zP@2r|;Cej2`LaIDi?%;EWy92fznWgy5&{xIp`vZ?gum zqGfy{=#W%G5Y!juAEe<&u>fZtvIn1y#H)Kho>$v%A|Wxt05TIZdiA_P84Gs0~i#5wdaM z9KO*+{ja%5R^+9K%9=Dv4pNVmWHe#f=0e1!-}xy~R0S2Iihu|Ld{Nc8;Mlw^001!X zZ&{VItvGTqmhOFj^v%7E12@%5si4d`egR7``2NBbKxi<+mZd699M&j{S;fjSPGzvG zNiHJdXer7|UTKdZM9J_4Kbit7-BywNMMMCW@%uFzZ`U&#|ZBTC`uETV8>0JB^UhZ>g;R2PMQ*BIv`pKcQF=l!?D* zDFagt&|>^uU-6ewTkn@n=~WEp$S+2O*d#Z_HBifvLg#?E^y};Bcs;}3+>Y>eOUe%Q zAeK|Sa28{Tkv`TdHgvWSO0RMN6*n^@tZ+-cYJeAYi(xwGs75`wxhK3N>M;Qu(V=7s z{27TjQ1_Dvk1tYX;7)ajkSsd(vqDzRBQ35~ot=J*lOSKdDP!XSiwOh+BL_Bef^F5BGSYiTntK3JME**tJ}@b0|v{Di>NxPWjO z%&%~5J1W757;TjZF~d;yaDN%*UG4T+Y(!C(#;U0V&@7M;zk-KqM$K<7M!Y5Klx~Cu zKcHkKW_f*_AB=H4zY2nAg@b+u4+T^E*3BwqvxJR4RYUS67HYJqUm)1m`m89uaH6AY*(#3@ zC;7LJ6kHq?8LDb+sr=7m03uh|K%Kamw?p;U9myZ&2USD#l2s{x%^4!x_Tq?t%vIv_ z+C|8g8AIob|6(#DmeL;jEXkrnoj>0Hl{wa%35QauU&B0+bFWZZAMz_!%qFcMLO3-# z2rnzaTDJ5zMtO$jt=1C^fh4R=!bF??kGP~-NVV#k%*qpsEKWi|*=*?;`w$AAj4+XL z#wTqoCmi4q{y-QoK@!&*tBqRPQYvea_2)ziA<^}mh9TW_Bd#%B&(IG#gShe}+wGBk zRi&Ia%qcEoj7AhQgC*iqr*P*g1WAy4!zFr2s2zEu?73?_B6Vk@jScSj7Yr>L zdz{f29%tH7g@*cPg+}~6o@0e$*yhvZis*Uqoutn8Xan7$k^!A30SZJuBuT1xb2sQP zq;2joIRu}0B8rHjA%jK7QYS-ZoGSJhtx(ELOet13wAAY15%QRr#%@=k%7TN4^-%fF zSIvKB<@Ux>&dEy12XIpGeWnl;p#q3X?m&$1u>8zwu0Y*RZ^Xl&G-!37{I2`&MS^fm z^cTgmZW7gJ){V37O$rlD4pWsm3iK6PC&zJ1;P)5@JmJAu36!e$DijrMsq$v8pE{|; z3C7Msv7PT83!9LH7w-tWmR&YlsF0mL^KN>LJ>gL(~6Y?km9JO0u@`-~@M<06`lMO>lP!65NBk z26qba~NY3I^A8w3Iepc09C*>1g$8BxE6l01tPe}_(rv@2K<%I|qU7M)e*i8Y2~&f^9nGB^?ZvUkUV>1@fWRiBM!(H zvx5DP#yD%7A_4%$wF`8-uRE<}MBPPip^qCAL$U0!-iISNy?rX5D%*9lE0c%@UE);J zzL|#C;#@>`<_Rbo({dbXU#_LRgx|NmAURNT`ck~IuNf7WOY`3UzQkri~s#=cgy<->k+Kjz)11!W`4F(rk>_noRcA??Fow|Avt!sP$u*zx5t z?a-C1BXBM{RK)}>Tv)Suqy1Hq)G~5IM7N3wH8EAt#0Bj;Q7o|X3D?-1&ZHU@liF7o z=gtH*%F2FCmu)qEr&5>}g3(l~*vL9#&MMURe*Z z30iv7tg0&k=j1gG0S^`Prn$Bw7r<%*85Yt&oFagiW^(~!g@!-``B7i&%9-f)HM%qb+E=;?G;Vrf zW%d$i9akRG!3y(IPjlfiXvW&~6f%AAX~u#&+7Zbq#r?_oX%5KU#hJF*{Ua(qklAMo zvA92_p^GarH;5C@I-z`SFB5F^I&(ECx1!EgAZ!+qv@w7trfZx5^pQZC{c<733oXBv_1&O_pJz|?1GiCQT5l=B{MbSum)$Sc(R z2dQ#!xZk0_X%gTa(Wr7FVeblh&p-Of{8`6i&$?c!4d1A77L&dK5vB+qra{RMXXe}X z9z}5WL}AniXtN>yx;n(Khd9hoaW6hD=NiV_PYU|~(1TGItVFung2iEII(@8VbkT!szOcx|W z8mh94T8hMX&5obo$$7;6S<{g6!~g=ijpIC*c)LpZP{I2P)LkJiOtk3=m`17XJ<~Xf zxlqhgfd2LV@-Q?|fcXH`n151tGGvgwLR;Q^RM8>%j3_}^uF>llzi^5dBxKVdP5MdSx2E+`Z4ETjCqmP_`j( zZ4-ypEwXhGu7tKj>aMA(l__+1UMKUj0G8!imH0fx#v(C7G&@L5Dq=LbKeofhLYtS3 zZ$HBG9<^5y(1G?u&K{k<)PU2!gqc{t8{$2rdLp9lC8q26b3v0LD`sbbeTY3nDZR z8?j8ZD@gfcv|$4jj;QnJFA)jttDn4MS~GY%8uWBMJ*s@xSHv=9B2K0~!mV3F13+3{60k72sxAvEt#3k%FajfMQAnE zeAMEfhYJ8VqWq^d!uT%YLwI=KjVkf!Hem7_Z^JydmmkQ|O}HynBXSkpjS%WiZmZkKgBgb~k9iEDOnO?g{2Nj5VQXZ=Z1s zEzas1f*g8rHZCgGfxe{Smf>(qyBzM&Q1Z2D7&A!zrSM!+vt`id<*k&8E)B{YS4Rkq z0-=s>(0t`lawub^O!JZ9{zc2tCymup^q3(l2HO^`Wep|gZ13lW1tLCts6Zf2K?+?g z3e1-^<_?O`KFqJ-y2hC`@612-pEiU#hxp|KdE{N^VzDc_!YwoOQ$4o&@#oe~wbwoB zX}B2~jau~kH{-E)`=Z)WM%P!nvV$tjnT35xUX--F&^+0?zUl~dgO#$49)M39JoR*C zGX1DKJDSd8-MO9n1u>JDG(EU~H@R10bK@d&OB`E?PSO@4cpOvL(1C!*_G2anJFB&P zk;rmLsmq+ua-@~4qMjp3VWDrwy!#IRNkG6-1AtXrfIv{ahs!VcRbu<}`Xbn^qbn{0 zaS)A)6bF%Yy^Ke!*K1#r+P+I+qO{42_Y@BjOftD&@B>mKJ`kPUGG#zSdv;kIgkO9O zBGrOegDtOGF)g@c938LdLN%5**?*yzTG>GA=SEcjzJCO9Sf~#%(Oy=O9dch<(?6Da zB1_4mUIU`orp_-P?ITC(jFTOnriIPY8GZd>cSHkHR!X~sFM`g-<|}-eZ;Tf;h`TZv zExuKV1qCN0uWKbm-VzJxQnvf!U5C@-IQZZQ*>~m`HB88>npmSSO{i#c1WpP%*!3#e z^COoGVeCusBhtFgcg9w__7zz*k8?SCL>utr0H68>g{zBKsCO{|gLcN?T^sbCc6rOu zind_+%@B^~&97lF=aDhwj_yBMdf|oY8xnw@B3e;wpbGPD5S#1rlBe8>pU8Cu7eUkM z=IDn0!(#r!-j@y`mZmGDRjCoViT5|jhdwTuspi2Fu{)My6P{&U6O6erd{%gx3D+aJ zZfU%b=sb5`759_Ywup{CkwNJd?6-ESqHAg9U;Hs4QWY7wv6fd6sqeZc>u<-cMw7p7 ziITV5-XkRxgo=$^ks9B@ATaDT-{YSNWODQNezRv`Ett_mqEDbF|Co+m#+8f$hTbb+H z8m^z|JRUzs?|!*6bT*(Wc+U-i`weCFiPv%+Z(Eb zuz-FvN&Y<(d>=Fm^KU?dgJAlQJd{|9@jbqMe6;3*lLNmCk@-hhWo7w0sVrQ70;zyM zAQiy*&-j=hLA9r!%UU23r$2)8ssKmN{Vur23jS^WejnUlKpz0`KjG=?;6P{TV1)U| zGr_~)fPulh`z|n+9|8vWQ(0sEBi8}j|0THpWzxi8z+ia4 zi|dD!3hOT!*u$iMK)|68>Em=gIjwK2%5k8u^2h&Fko;c<#{5HqgpKtdvj1NI`(Z)C{D*?%H*-S&7>NFT`Tz{<0}M{_cZ4J} z(@$0W+bQz*@%7JEctzSe+73e|C2k=gyrMhmRIC`$q_6(SUNZ?`uCyzkT7BUB~h~c*Ha0g znD|3s@|*tcCuF~#m5hMFAoxDIADSrneRO{XalfNW0$@Os3E!I}0h#WHbP0fo^Uuwf zkGkRaLXMUFpI!2odL4ISZP1~K9@hFRsI0+UqI$>XV8CvjKG-wH>6%Q z;KZfh$M{3C<<}Vhg&_O!MEXa=JJx^dB>t9YejSeglhDV%7-2NhzYq9_2mo!~RQ({WFve;E$9I3)4SGs`n#n9qCt!%PUZ?{`Sca5RyRo z`v8CFgP-3A_!n>p_>I^85}5G3>0n@&cyPAg2lqqL<_|pdheHzZN80Ak56PdZ{I`Yb z9{~Of=={Ar`)`Nj52>C%!1&{&{*mnY3rPJTA@m1;|F4qzLz0O7mqEy%(RTv=ND%>k zGlKjVs|rp`2#~*@iGD}`{XV|GfWqHPvp-3`OB}e@-v{?Y%7>Zt&mI2*{z&<-{D!?> z`jCI2XPxo*N52pChcwS0K>aI-{LNB{-+C$v4Ccvq0kZs%;9&;*xsk~7hl=NS#wI`M zfk*xGx5tVhd-wNo{*dnZ1Dt;ak-r%||3=!ivY-ikAKwp2A7)mre~dBzjEu_iN7CoF zG5K3R{X37K-)S%c-%me3RrYU-oYLmh z^JIOn-}A#2i5}Fx5>Q&3bD-stiW|RbFjmR!;YZ(Nh|sDnJ^Fe*z)0lh<~SvSD5A)9 z_vLCz+Sg`#l2B2R10|$?k+Da<&W3g3lj!O#G2T7X`eh#mZ_Is#{MGUuN|83t>xUZD z`?R+oJ#l%HR=2IRd050n3it=o3cWvmm{4TfP2)=@?B1=b5-wzS#TgRr=MTODxJ>Pl zb*;eb+&-m)gR5D-M1y%J+v0M1Aub*NaCz}nRL+Xw!H4)gs?Hh$_jQT%z50aP7wh#F zS|pE?^8xI%Cz8OA{U)QUlqNi4PN=8p4!YvRAvrb|hho|>V897|>YB{6pm`U9!s1Vw zu}c?wWV^bWpZO~^v+yRF2nz}^4=aoV$2}@7lqTWU?YQOlUfy-=?`OGPo@?{^at&;I ztlZq$;gg86g|Qg6G8RYdt$sb4i-nJya-WFKK-_W% z?%@HZ)$I1QQ={3%&K+ZIb)9zw+5MJ?&aM9CaNCY?34MQU zTU28zo0RJA?MQL|)f-|4Vu&YJtKXbdPEWpEzaeV&yk0Sjy6^;kt9)zQK|zC_mWrzD zj=i_H$FNSaBDjr3J^?NnSNiOMD@l~{|ibODn}Y` zmU!*Xr_YriUh9j)Ddt7{CfKTB!t$z8_z}k$1V?&cvR|!se!@b-f)YS+tbc9=6Z1*B zGp|iLsIG)fnudZy8L1+GF)&353miUh{#<61wCi3{1}((Qe=SFs2-~n!S>sEeUM3GN z+AiYLI(eAXXZ!w+QFX=3qG#9Oiwgd#>*FY973%hIvy7@yeV|Q8aM9<&aOz&cCo(c$ z2Tbwjrl{BxP3-S})0E^$6S!1X+OdtTe=HD|AF$}Yco5mP(Z_c@!@uQMgNj6M6K7$CLaMR$MLD=>F{~dPJ$j6Qr0YA z9^R@{hP}j17B-leo`zGLv9MJ8^$V575Gc55Y%RQK;&Z$s9=TV`V zPVWy9J@QeWxqw!$MMkIyzRGcc5uiBmBHVIJpAbfu4;A9##ipA5~SY*f+|r)$@~=Xa|u@R z?$WVx@z)x0zdqrVliJo?l`#sL_OutNN+CkOQ`E5D6{qJXj~AcZ@2DlBIcvLrlW=6# zME4>`D$IAGvO(UN}drfK6R+vN_j=I)O_2pK3#AMBST+b)T@_qOdGW$vP1xo>@aP=Dbz5 zQb=V`oLP3H;uPGpDgk@h79Qp`cQt|yZpt)4&&P*v3fKdY$lR@&^23wIYBDRxqgQYCDF`Cd68=oHyjz_Nxmq>;g}E0I$8` zR&js`2}j^Y@Wuxk3_t_Dcctqjbc`M0x+SS`s{@K50s*PpZxwkA`u#cfHh&PbH+`d`pFZWv2E}k}_c^M=i9)-$h!C`AtU87anHZQ1 z+8e$ks8KK0-U%<(Akb6by%$qzhi-x~RL^S#tniPlBuFu!ryuBP&r0%65A<6eNX`jM zAI4``9O*-jL<9QTH(q1_EMDZbCtl!w(n-&dJ$2#}XkO#Vn8ucMLoi zp_M_PFR*z{egr*rqreW{IV+d;^cDIM<+j5!xKa$7+$C(BBH95o8F1C49CZ;06@3n( z%U&bCq+*l{!djp4i&(Qgg-bE;mSIE5JVFj`j+pR;9}bLh`V1!`&GRAG6LCNwNGMvm z6!S<`>Z=QjJbj;MU|5k_s(VR||3ir2f{V!c*W(+UhJ^Hhv7$PC<~hmYLh%Lz^PvKq zb*A|a-peu-;Lb2hLA>W|jGR9l<~3LE+N)fgubK1+((Zx#RdB%1B8NhBl z)w!Oib#I33o{Kv*#4Dw%2ZzfrYhqD8%pa$*xnZCwgGh2 z_jU0!OSx3v9&E>$xd_+pfW6!7H(SvySED48S?hF zTh`8TxTv#w=?dvvVekQ&syW@Jx@-=Yqh=72ieo*I8Xy*0$-NIKO`Y+nTR-? z9-A{;qutu!*bmsRGC&P^f^1$m%d%SP3{{osm(M7xI;HZNn`ZbJX^=-2nCVeTt{N*Y=lrfs2xc2( zJb7AIx?~}ptpX{CnCU{(+D|$!`bz4CJ{ZY!T>PRVnT&lK9of?2 z*=c3T;VJ&4bYqGYp4K}ym~zf#`08N}Ve{c!q7L^KhPCJSb-B2pFm*0_Y4H1oXWIM z;a(19T7F$^t*bT3HS?tp-Hg8%a){DlJMe2!wR7nmJ&M`F4Q&F z^ZTS%itSrc!!H($_cEKd+})yi7PAGZb!PIu5Ky7=ezq?i5aFiRjLt# zIs6OhJ8EG>S0sL3S`mq_HAd!bDjb}oyK_+87>U4Y9GX76WEN=R!j){!6Dl>@Fx7bZ z3uOIH3P$VUgtHYvEFZ16HR&7cK9jW}Bxl0wl$2?hF|Pk+R`D3$f>RxSk(IY_e^NOY*;>{m6s5! z&+oh#N%SRM!uOFnBaosi0pEGuyFpvGYS*pfY`=s93uWr!IvN$l{EX||ZfnQ+vv(|7 zNt~g&ls`VpVsQReztqR<0v?>?2GZVGf31&Cnpj=bB2<@zI z>GjBHvKnclBqVZ})r@+UQ2;e&2%5Zzc_G|M6@ z*ueJDg3vrJR*UHg${X0E(5Yb!Gs)7>F$VU9{UGXB$#7e5pc_f#nRrw-du@wE``V-< zO0Uq2a%#9mnn^l)vu}>%ZFWm{Mnrk5?794TBmg{?v}3#0o`K>QNPNtFCj6o~9g5r4 zVo&xgNmtOxa4<_>m&J*Hb60Dg01ek{`2BV>Fpfz~z7DD-QdNXuqAfH03-?iYP8DIE&TPd)+{%;uiCY^Wfj@HAAAt^y6l{1*H$Wy2_ zsq0ayWpcKAsYIJ)jP;3fOdz%2p0}1w)p|1|WX8J=x>-RmetR`vBpNb0~#z9Z?~q<3gGF;NH0|nwRbiWO$vWsSnli)#_2G$UOY+h}BhUDIz@K&Xxg=L%fy_wuQUTRaovKsjW8^}>J)#eOn9l$J?&ph@ ziaP4lIgD>GyHs8^a81yr&_&xp;d$BMfY1LVB~N7)Dw4>r5J%in}p!35z6Fj^boEcBh0#OFMOt*L4= z)Y?5N>H3X$2o0a&z^s(QZhbLCt@dwl;ZE=KtGKmX8-Il`Ns-r+I0JUJ$P2R6+?-!B z+F~|{pTK?M#S|05@U6a0G9FU}yB|L@B#M3SzY84rD?&BYcJz4t5}B)6DYdEzpnJ5f}z2!0yIS zKvsGgw^}UmXhP{S@`DY;@RtrBfGS%EtYC}1kB47<&}-V=FmEAa4nUAwO!+AJDo<=# z7V8bzwI?w~E6|JpWvKx~4t4X!;!t8rC_JHM<)UFC6vc2jyp9&La+I&u@Wpdmsntnf zi6QfBC$+4TOAhLeox3X3sYE#;V%?#}sznPGc_L(6eUH6-{>%v8%=(=;0?2vV& z9Lw^H0_Ig(MGi&lEiY5IFNH))AIGt4wji~Qmw2W-;;`z}hX_XUT<2_QiEwfi)HDS$ zz(YB{l7ibSr!3kh)oG8>)ub4;(`RkUeNq+{1G`2AwYm{Nz&PTlSgjoe7oY9Q<@;7; zOCZADz}5Di=fYtNQ@?2!%DIK8W)teN+e{@OIfZ=5Z)k29McLmzszk$>uX$AhwwIAX zepeCJuZ23&3H~dlngE}NLXbq-v8|j-C#OakWpmo{knVT~tnq)_EE(Gip$tEZZ8upeP)c} zT3m^6j};Ulm|gjim0)F;<<;NOkF$}?K<&jDN8N}z+}o-; z4eTtnUoEnw;=n0SlwV(?A2ABdn;i*`h-=&TD$TQ;7 zvoman)9=8w2DP4q6LdoN2uesgQl$%Ta0U=`%2pVQAY79(kuez&Q$pNf;-KQVhkJXy zzY_@5;2nPV62*RQi`q;n8PaPi1??kAULER#ZjjflJ#4bcYeMsZIjpk78oMVGFXK^6Uv*ZC|LmAhccd@{P**b;kQ!A zx=6Bt7CVZqxMJ4EOoL7qL3FfxrM+lT;JxveWY!MwE z4s`z(+ZqgW9?ySvskY~xZ`+eK`i|zHxXf^r+<1Gv`KEtG$oG1Hq#l)CL@5~@L7*k? zWQj=~f>6(~`KX};Y`7vf?O`Wo5)axL!}ayzWUeXPS?}CwYsu%&Ffy!pEr{*KM|zMc znLXR`%%?43IXK-_1LL-j@h;=yp*B z=Gjk&SOCt2{+oaqqIu5x(1eN(heqT*%_xGzg=>#8L{?(FWS0vSv{|=Q0R=?_{PA<2pP3%~ zYzhU0=ZO)lwXH^U-8gVlch<&Dc_Zh9EA(Ww+dk+CWrQ(v^^xN_h|T7cr3TGs^Akf4 z@3vVRaZ(95+tI~)7Y|Pf`T0%DOXGx;z()b#-QyLcEfA<+yB3wM_2O2!i`3Rp1eGL> z<09a#K4)R-8Kf-*e5!KUD)e48?<{n^a-y@YxeqTzz%$&$i}wRGJ87Pyyd=lqW4wR) z5d!g27PmBehTcnbtrkxXY>$nkXC#+SbsUs!P!gVV%CVBzrXR8Ch`ILrP&Qe5+mOz3 z;*lJG;**(?g!NK*3FDl5#<=Tnr;No`ssLyELjH+D=~)6%s($FkD4~H$-bZd66(R}f zJWJ|EbuFx^%1l#!A@y8LHJpzrWM<+e^I#kY=6zVH#dbh{0gv!Y=^e)L{cGL$>_Yna zD6coIJX2gA?1pX8S~YyZIM|7mI^a*SbXZM)ZVNl}HT{$U0$)?#*6eT$XtTjZ9~zkF z>0GK{P)~4Z63cbOOVo8b4r#l6p!rn2$^vN+;?C-!i_K|bJwAa=au*Zy$M18Mzc)DI z%4FPj-Ow88hCL zJ>$?(LC<RW?6Z=otsU(A>G9--7NGeOLTw0W+8-emP+%+x$5W8J);S7ABqviKdna z;baeopX3(?|F*2jM^Zf`n&@8NK5W0e$L3?zY2GHz>X*l&+jT%7vBnYPlPTUJ)~`hE zkKZV;Bp2$tufM?bay>-Q6hIF1(i8{^v#vmha-<1Eu+dv%=sF~l^&W-CW|&e5SA&Hi zhJC8hwWN2u_C)!4N&<$oC2H0Tqua=INrp2sjnM*`5t4VQRa-`ATr^on1_mL*Dl)k= zP*k_GcjFySR|2ib4g9d=*vF=^;5YuvFth@sITv_Nh}+e$Nz)jiCgEB&VLYU7@JFOI z4gn4&e37ovkobG@`dtfLP-l8wotGAMPnE%FqV=H$G(*O!6BJ$c3EK<%>S$oBK1Q0ES9#@`)TdVAsK4Xr1!;^Dnx~2 z+SlNElbZ-*vlfH<5=Cy1>;=O7A@Rzr5fY*~x4=F&E;0ErEO|`+X0*W}ym*MTGHI-7 z>~VI=u34i(arQ-yHR!Gr!$OjHhM^O;9$3Q^5}t7Xv6yrWKXX)y=|h^K(kna_FC2)k z=Xgeq6XOm{kv`F74%kLrhvU*VJ@DdeSvpT`q_gzw%lwBVwu^F4V2uazaQMub3!=Hx zj2sjr5#-yk_zTEP*lRizcN^tear1VC zJu?_qgJm)YKKnqsolAQ90a_A$ryf-x7MJm@61G(u_U79r z7uqxXb}_pZ7Y*1yBhdPYYv=2LuOY{omZ9tzq@`#KL_$#s3UdRGQUk2(2xCjfQgjM%`vtYt3VEJ-i zK-?Ut{o$68xR~nprtRTgR;pHGJ8+~V;(As6p$`S_eDmA}UfX+eWXY|uKG4%yg7L(^ z)!u?GCN||jQ_f373CqcKIDquuSMCzPP9CNV}KhT3g27%<|<#< z9BRMLrH~L+P-+-(#m5xeAH+H%5#8U5R5H-%iw5BH^ z@eMS{2t^|4q$SOQDVxs1M6y=lfju!}_lgzvyl_CI{lZ}-u<1LTR&0BtG*TTOwy+zP zziw2Z(OFrb5iO+5ML?^Aui*dW=GIpD-FY8AQf69;tRe^H@%(3E;PJ!xHOGwT<`Y9x ztQiH>WX2&{=Z#{F`!$!U1ioVl1RnmStgbF32^vy5$7wW%)_nN@Ge8%Y!j7x)j>B_|J1@+M54BCl39!`gfDxz0~0~D>$a8^?MD#GmLWR~8#Ieu zson4ELu0X0@?PdL&C>e7@BToZ|Kni4gh(UPnj&!V`)n~j0)^IVq{_f7I4 ztHNVvq}k&MUV82zkN#1e4jvM*+udP{+jT{_*Gde$Jj-igZ938O1gh~tTUNc<%{P9m zaamym8sSEEvB+)timLR&Hm z77uxSN^U(MWw|HjJoj(=mv_iwW@7pE8s+b=QDR}?_@@PYO{v-u3)Pri%hH zpA;z0QK%6)@q4TlbMu>uiG5zy4tG;0%?2-4-d$1(OJr{CeUMcA3=_mcl)9Nwd?Y)G zYx1l`OFRGdm1jVP7454keRX_Ir0RT8P4~u@X4gC6^ZV<)frkB0%kCDR%7_~Fj(YZ= zGb+DQJg--tSH62%eS2Uat9>!mAZxj?w|G`VdVjckKJ_iP$M`K^=&EFDk+`_zL#_OS zoBR3xsv76F;?IM6fQS#W#PgO1W&?At3M^xakCr?VDKcZ^Ox7rk8smLC~%1M6|u z)i3r%Q8csor;IL_C*SnQG%W4UKP0CTCfDn>0US21o9~X?SW>ijDjhx{EhBZky(Uo% zebzLc>Tn=9EH!0uZuO8>Y;mij(p1n>P*Jtl-9K0PdL}1z`EvJqZ1=Ajd5@Lk4<+gHJzJ5+ca`7 z?@T1O+}V4E%@S%KqA=`#=4o7HN{zTUT)0{_0xo)RE+WzhAt{;dwQ3iz03HF!8W**JHXXrO6(<>iuOGD+?X`X%b_JPj{Z{ zs3Bw-cP(e-Tkf6E7la@4FYZYi&!22JyB@JOeqrRj^NqP3b;J9n$iqPhUvQx!Bz*=r zvS+%el zU1@F|Ev`1)7T@OgKKC3|z2xx}K<>!s!`t_`WC`~Tv9N-1S}8hrFEHiyL=ymnJaMt3*O4_I^L69GVo zio}2c*nOD{q%gq^=svpu(BMe2o{;h=Qbmu|0CD3evwCf*d{qSiu1}*_49Rc}MBs4G z0ACXfDaf;6C0ka-h-%0IFwD`SaAf*VPaX4MNwTm{9cjT0?A2WG`-K26B5Ty+!a(go z)Pw8WDgVkI6KoKPOKC6~+NU2E6&40+OMs%&LU`{^*CZijP?(m~Vg{L4n2gk7K2)S` zg#riY{7Mpsi3XfNI%7Z(B}IqPM@wq-J`xs*-t_pG9y)SdTw&E~B;(^+!l<#}Ic|xk zxTy(Eg2G_9%7aUfWqd8?hz>TuiEMiNk9IJ*%;EP50eZuzs6Oy`+8)U==5UiC5p(pX ze6A+yE~+TtUXIDKD2O;_SaK#E)whv|_>9V9F%xYdFiLhc5U%f^}ZIRW6y`D-S$VqUkROg55{f(eIzfDt;^G7p9hs0fIbSE;`DY+>4(2`PO(p#h;3L|X? z2SRvb8e*PEN)&*bg_xE{g37@)bT)8g5JYxi?@#6b1|(%^lQ4kk6Eb>(c}DMvq-RF6+MuqG7S96(&5e`fLy3-Mkui!be^@JBiE^aYs zU*OQd(;Nu=WaZ#4vjxj8JJIMbRc&9zQ5$*C)iHbyI`~L!GS=MkX3X6KWXfD(aGnFr zZ-9|R!Zo-#IOTBwq#+pxHcQe6+?p4+-9u&RRiOi&Vz?lx2CiOdV<7x@B;|!n} zs)XRg=#%*`6eSB`TUsd~9KGk>v_ec&sX3D*28qr<0%!403_q|Cb)v7K7776)r8<2F zgD9{&N}d}Ilh$oa>X|e#_-7M~_KQarrkD#!20CKrJ&nz!%u{~Np7}AV0YQhsm`xgp z0n1bY?`))iq?5y@HV6vHFm8Jw%R$i{ z5`Iv9LSnsPz3rJ-{vQXq80bI_@me-?5GuHJ@CC9SRUVYlc!sl)fHxS+Cof~FDKMim z!;~fCN0t#Kt`F{R{???icwE;sTu@FUWyu^o*_TSRGoZ@ClH8|>N+dx|Q0w6zgoE>C>kYfDIdF3r&{HtNLYL}3VqB!^xutrx#i7RB(ieOstvslrFsOpdtZmrk z#%7|a5(IZHlpypR4juH*3>Me(*&;e!dEG3@jE{$=pA9f|ce%KZ zKBd%_l&>}S2j9>>JL-Y>Qc3}V755IN6=2ZiPK~aM%eSx`TpI{9RtaGC|x5gi7p6HJRZU_?z6$KQJu>=kI(+kaI((0>KGV%#^S z<-G-p%W&yaSy;*V*wYLtKmWm?mkix6i?w$MY&~S?N0ujz`O<=j)FCYabMcq+jSR&wR@V!<-$Z%#foLk8?c;l&xm<-eVv|0>5G9Clv7$R5Wy*#IlXIW&D_#wnlLcy&OLsuju1yCO5 z(@(%Ngb;Yoq6UQ8cx~%Yy+s*{9s)|Wv>ZY4CfXoo%IP+%{s3wmuoJxeuCGar{rCgP~mP;z%y|y+9660$Q{KuS~`-MujG1BxGs&6&Q;fn4E;aP7b_c ztVMT*NAu2gAm~(-g9CmP`~vjf1OK25KB8E#>H@fL=r#C|F16MdM!pj4E|xyX@>Pfj zRd!5b4X&T!!%i5i?7_B$f46t;yDz987Bnb6_z10-5I*^Wp#&kjD@P|=<_eSz_S!Vb z0ta4MF%7K1^$C3lAUf%M$uGCXbt(iTm1XC7xH-;P-hMpN8>Y43`Sn%p!)3|t^BYec z6WR&~?}hWt?h7#KZqE#&92yBG={`=coV<2ci-0u~cnhdEuc3j``7ooC+6Jy$116<1 z8~Ik?vIki({y8jioiSFJ4@h`WxaK}eR#seQ|2ZDRc0emu8 zbJXXc=9Jf)+vtT8IP+#hI=Y~A46Np8n_LA!Qc5G5lSeKB7I>rWV5A?Ov4#efT?SIk z8(RjxED2Qry_i}kj8^tmzQ!l_;Rnn-=Be7xOUhSQSXxbPTNGf1#d+2AsokF7Vq3lz z-y41X`rDJ=AAKyL-<`FaK zAVwcTLQ1d9d`oO%!MQ>1s)wZbT+>i-17zXm=C;#7dE$d`jnF1ZmGCaktji|~NL!tR z{N$lge_(aR8bEMsYoNL@R@QfA&DZWDzJ-b1&qQ1h1>G4g3rt?rF=Ur4lB)?1^estT zrQ?|E0T7Ev(Oao(0&8$3i@I2e{mtdKUZ`)9r!H=jBgTGGi;B>zZX9&K0OL=?tciE& z?Vn737@+b*ev;ODd}?jIs76dl>13;zmMt>$;T##8$LV5dC5%tSjhoY?Zq&k>cm?+L zMR-1@Q!~+sJfFPim}SIA~8=9{nu zo{$!DOJ1LNWX*LqrBgCP4>{H=)%H<a!=@rYJSwk`G7ezK*^{ zK3rqOWv%h3l*r0Uq{1d4mnv@#W&!YD_7mK z3356KYqA5?4d|Y;N|+9QY2DEs<9$Y4t6-6m6AGk~dF9g;`Ie{J=uFZ zw@6Nlg+AP?Fywj(Q}bs+-^^SN^kv~6mZZuDqh?4p{w?d9lafFDW+Pl_R z;t#AzZ%f53+g}ZnF%)wM4!d@)d zo?uXrl7^@Ar5(GO()(=>PKxK2f-q}#8J-+gf1%Jg`3YeOpO?=s{ue3orLLSipOQl-D!>* zN$jSBYbJ`bOjy02mUJ-xZhG8)Izun-@^Cy-z8{i|HWEXQC0gc zjZ4e;&GFO3{Y%Zm(8}O%F5~x}+W(VQEo5zH`R#(ewWFQBq5ZcRK^F&Md53RR{O|X| z@+`Q2)gbqmVQVXg?<64x+`qMlzLUNa`S`3|{-r_B`27XGU1nlr{(kv(`CFde&h)R( zsA%b!{-#%cvx2E;X;>Nl;&uL|SpUz13_T4!!+%#LInY>(C1n2PnHBsQx%ZfAP|rf({@-nxQ%~ zkN!3SHT{kgd10mX{TL+ai1>3mhvT2+ga0X~mJvOJ%YX{CXU8OBl-ol`9rUb2m5sOy zw7bO+zs)=ft39P-Ozih?S9Q0ReQ)J_cPQMJW-U(6%pfH>m40fmD_+iYQe%I%TUHK( zhA2*l7jHj<4Nb;4M_1APV`_04W@7$bprT00mODX0q#{*J9QNQTo|w0x0ahUF@pa9H z>*;BhXe7H?Fse!%1Pa>I33C2f12+BV!A?YzwG*=pC(Kt5x0=L7yw(7AYXFMZ{lQSv zR{!hINhEJ8ZlE>o*a&u#oSuv9}4rpmUE{Os$!(?bV|EbLA3;itjP zj2LiD^+RroNp#QA>Kb%sKLF^64l7^dr;FOwB`zfwWBx=dex=T*cf}~RzG>~FKW7Te z#&7XmRxzrUkhWu1D=!n_kc^Kg1na)W`YsT>07x6bTA#ICi!Sxj1o~nJN(W zY22JL?$9OA%kn*UwcyoC>`QdxJbOO#s&ZIoY}pcVInwj?uJ)LD6ho7cph8j*;O`1l z$O=+;^pxu4p2V8qoI4x#%fWioIo_KU&UDvG4s<0{sYaFPCeuoy`;Inj4Ej0K9qHZ0 zBa?$`Svh6w@9nvYroc$4QHibqd;v}7%XOJuS_gZ|AwobK7zQv81;$T! zV!vOQA>x{3I=%^bl@Djxs&JNSUe8eXRu`B!{O(W!(?f>aEt`pG$*7F*{_0Sp_(bdE zhBP%&43-)x&689&fb6t=$dMrGyoQhvF-RVKwDAy6)LF?wq=kzUt+e(05>Oq$dYQNp zAtnSpeFHj#iG!{2J;1a@mNI!o8M!58UXn_|_{d19Ggy3hCoUP8AQq~}=i|r`x8rS& z8vN7POK|tLEk=`6zVyyQzG`7Tw)GsH%A?zhRC%;qk$t}^KQdzr3$@4)l6# z)-&A|x5r?rpvIe)CJ1TkhseLbt(N7MvxReVoa^Dz84d7-BdV_!Uyx;1G>ULKmO0@& z6b)PZ%=9W8OdDmC2a- z#=0@z0Z=jFrFkq+_#W;SFGJvHewAzqRG`n1&pT`aO$geN@kfDfTxm0^ZD#}ULC@)F zne}9Gndx*GC@raw!DN$P2U?(P&^u&;V~sW53J5^?pN@XUm-pHjSn!tI0C@$s!3zwz zTvu|AdaUO{&0!ZFXTLTkRRHY`NJ3kBqU#tu<{XVqs4;^ZPXLqmGQrm-K}qrScZp~# zlFIOb2}&5(b_2NcB5HMkTzfQU(cWvc*hXEg83eH& zLoKXKh+qZgWWe-I&#{Ml_3j1hg1hS_LkU8WFyDxNmGb$(>!ButSU0ks`DoDQ9RxJa zx}P{u%l2_Th=+~9UVf{l(Y8tbJCsbj-=%do0%uFsJ!loLeq1<-{bEx?8qLy zYsSprhE*f4zuUBtfu_)vTK6WwOlN)WkX#uRuD+?)JNw>uvcW`0uV3Wakd|jS(Xfij zfRt7@F3l58Ta? ziget835dB7>6WdKgC!oO6z5h9dH0pvcb35{LpKKZlMnu?70^0k!Ly1VS# zBYfmM1ulU*-ez)7^oU9{Nf$PO+`5fa_ujfG4An@|hjeWHs`<0BL#K7G(K(fa2XN82 zn-|+6ZkIX3H;xWpkS(PKI}k`-cXAc**JknTBjk}-GW+b15gk`jaR9{~kz6PbDomHq zpL9kp5+4GS-BQwq>vqjakCLr?))TwDIlP6sf81Fua>{h4$ncu}$%%`VYMQOI zt!$#KjH#^=!dE`S_30UDmC3GnV24%1t0XRIvhp zf`}yiQ5REwwIYHl0Rm(8`vq?U?uOn$2Vmg#<%;&3U;OOCJT&(j!be%Sp?pAW@Ov3h zQqSUKVbK#CV)k(mM%ma$)A*{K&t@`9a6T(27 z7P3aR4%H-xX2){jWjoC0RebM$JQV9yl_5N+3mb5LY9Ib17V)Ni=z(h8jZD_S$J;=- z`_O>-1A*)q5d<};$@t|Ws^i0s7JydnO;2b#vV)lVs4N7l=e~(o9!?JUk3Z4Ldj^`M z(?)6^JTCDVxg9lfKV;fp78JGPi zH@DA-Tp`IH)Oin9ofBY3dP5bdUQE)8G}S@wI%T%BI!IXjcb3cKW!M$xOb${EBO_{%NuF z?-EJ>yiTB{XZg>~C>3?-Ga)#ysQ9nysI$_|%MJ4r(pevDxC$3i+=rdwQjhMR^`e-3 z$1U%#ljEy|(H0BH7}&#Z&Q6^vHyWPY*rIqh)c$<5BN`|EGZZRBViSuGF86277OdD< zni0a>=_*R0vvp-BF8=+ozm-hz8Vm)4Ng#3AJ1CaV0a)tLI0GI}95`Nww~P}p5}ME83&lgPR_eMxh)_&3tB*$r=rih3uFJ)@ef5x1?(I zyhIU{XT(`n+rB^6R=&OEUA?_*gzQG)!J6Y7v;d^$|y{8IAu6!Jnj zQ|8BVHE9J133MhvypdFBFTEm!xijh~dG5V?0!+U#Xk}p12{V{{3BC+q<6FQXV{vq5 zJy&q+)97bglu6-z&!}03%^Q^-ZE^n@#0j z7c0LfwXLfUWxs1ztqI6YcA)G#PAc(*Kn*OjMVJGseKqn4+PPV35> zXK6DId`Wtu+-}1w%M@9@*7$nYFB>J51m8CeSx6_aiRD0sB%lZ7RsM3Kfn)76K+-V8 zb;izPbqfmR;(BEnDvGWj@+M*>N4XEt^tF?q=|fJDygq)9uR_1(;dcl59kKvcPw7sm zI{!4wHPeAS*(ajBgHzmlo>9~YcJ*8=a_x(?fxaoohMt6j3|3m{9D#~8*0vXRdn|{v z??|jH$Q5r-r+3Utdhv?Z1Fz%@1b7t@k&)UGz2fLeX1iWzbFlOy$7!%)3P;(57W`C9 z6}7U_)rqCNMj=+W+#`hKr<7=kOBYgoc5FlOhDk|wI&sioV;S7qL)m9QYGdKtLx-jK zY0qIR`dNXC6=61X68GF3BpZwAP=H|It^&ucr)gnG^QwutF=kbtB>M~NKrp(xC^&SG zFH@bk0u;-6%?qO%C$s;21%Og0L5vl5yeE))dMouo88d_y60L8Fh908>12r2>n^9AP zvmn1Qn>T+)ulhMg4bgqp8aisIYl`=ZgQ^>a%2kGHMEx2b`E*zlR&405$~_e~mEZiQ|IG#S$!S^k z5s=+GPD9>-+gjXvJJFY0451E96Nh2WjT#my)G{%@@qx2)a>ch_wO>Q%!B5eAxWco| zRrlIYjj#SQuha%nF=z*rzCD4ZRG3925lUaZ=HYx`aDXT4GGPeq#!>Gbdb8e;>>qk= zhJV+7`{$mUf#E;ZyE-&2?bn##KQGa~z`Www82P<_h)g=rAux+hEftzxI8-uUc*Gt3u)(+N)~Wn>JKv4?gN=ja>hrbWI8cip7cnlLx!`*M zJ(YO3m-ojrfif~miW?KB!-c$-*jnLn=k*ypAS~PvX$q36wv@^FlJ~qVjI7PopTwB? zRei(r?eh7!DP*{=B-vw4N?L_hwLI~ns3hIsb?w8$O-}l9&6HJDN_uo;YYw4#fy&xw zF3za7IZY|<%SVSXrQ)*iA%8)+=xc=Q{qFe~`uOSYW+ux$DTqFi+$c#HC2x*P9A-(O zC3Xsw1fqGK0|ztCRaVZXDjP4Jg4JlmUQsrAizo;|kP5u{92k4Dl(O+ zPKM}%oHKpY&@;J_z|%%jORnIpkaV<_G~dm&*X$YpI*cMz-jK?!StA%@(k_O5Nzb3HP6-Rbkui;H<1?L%ClLAHH&7;uTE+7wLP{brD0F)q)T(j6y{UST zjv9zesB(#42Op`aVq7LKjhXM{46D}8js25WjNgSt1Ie%zLEa^LsubdUn*FovRi~a0%H;@G^OPr=ILXU<@>m6nXhBm#{Etl=J|8SIdX%ts>EAIBjW7An-He2NAnx z+r{ExCrx|%LNgt`czIm%2G!a@eEbM7gcmC#WhquNX}S)QR(k$P=In+~tn8wj$+=E> z-KTGs!BXYmiPH=06WuApT9)8CUMoM8VwBP)#ntwY2l zOugUKj#AO?g_s{dXjPY{&(Fi>pH)m2wPv(!SDhRbYiUHUVi~JjIJgy5 zG%AGinkPGf>J~xSu+*a?nr0wkgMbheO2HLitjIb(W_qB!a%Z@u>9k@&XZJSW{BhVI zqI6EZ5bFw|9jx1tIaq#gLepTNa5tV@3G`hcCU;AqHfO@CYCvE9+7HWDa%u?uPx1;` zH+2R)z*ne%qv;nyhA0HalOVtzY#i7;DU?-GsO*h<52p}I8%JivxGz2abYA9wNms`o znTV((zsx+|)q@hFP*o?D$rS)3>zjL|PaI`I>>8DmDvrIJejKyu1j^gQT+M*KMqM(& z=}MoC;PV&Nn8m@e+E(5c;@`g%l1h!u_X)NG?m3VwI`qCwVu`ng1PWz06rA)%vepRSZsJu?q`_QSr=96-{Vc)ZrJ z-;t3UJZHPRd^_H$SmYbj#jKm1Ep&QxzsaWv@cBA~mHKqZ-LR-vDEX5nJBqmV>Z=}=K{=j#??iYX3GO@)b&`az*jyQEZIdr!%S zH-OY=sX62ctJb2csM;4w2Fsp|#};C25ULD{z)DkXZH2O3SFjyJB+V&Gh33V@quNdtyZB$2<$d;1ofX!x4RqxWt z%y{<eo^%q`!Guo&!i3f^Xul7yh24~xOhRnKBh#WbI)Fn90X}Eb2r0lHnHoykM>k6 zx%*W^HuYkd{m}wglhlHaY>R{`?M~X_c;kK*18r)iq|T3a59KO4U{3_Ty0!3tUL$ zPNkzW>IH}vGwc274Aa13N8oNdFZZPy0fnIgSq@Xo1smRC_Ae)Q#EVTgs4CN=sHEiQ z9G@#}rQ{FSinc7!X>AwQ)lR`9ZClxnUAF`4n6TCNlL@-rPABcdPFrntz-4G#qfj@V zEy*R=ZmU+J9uSqh{H$qaQG0FXk&8FUh_==8EficXI8z4+J%Q=VX{jbc!YW(n?M426 zl(73nhKDk1%ckBj<_V6DW8%*vI^4sgEhd*5 zh-XS|*uAgJk7)1NKn={#7gz(??7e$rx=L-=o052%`UyJvsq%xPAhoo8?)(YJN7k>p zdCaTCzy}u)YYNR4_US~eQcJw9t~%+686*x0j0#8LyZJ1kNpNS>r1iE_Sa3U;L!&eN z%2+W_ifmcVWxF;dBP)P?k3l4yrq9JF_d0=Ib=_Qa_9$x}K4M%(B@@0;%?T8+DEpB1 z+}$YiK;_j`y(set<#5EqC}~@99=Za29=hE9(x93N(*`_{4n)x4$+;?kglHBc(>d>h zV~?GN!=QV>`jgU&jF$$DtE)Dmga_|V0~O>N5N!Pmcb?I=sXx0=xQT)+6|@qlD6*AY z0C=Rw=Cj+UCzcv)rx!4)8{DxL-%x9k>zo;LJ3KLioSyW>H(4ct3|S?M&hvmr6eTl; zoa=i*BxhBp?}>D@++R|iTDH7UMB$Bg)&6q%9dpoeH;44Q#A2X<< zU z??@5y^s2++i7YRBNakb=SgKFMd#VD#Ynn2_bHb|gbu>0cqe7^@MDDJ=OyYuL5g>D_ z=g9dr-j9`;u2i+P48hH>o-Jy*qW}@f3W%n2G$M(H&mCthQcVh~rK=q_pd2B!M%pu% zuRuZi~IryxTZ6Flurlx@M@S^9|rC3FDfFfbc$QXc!zw=$eyKLsSLec9lSZi zGNpC)F<6F%<{B|QhvMQhB;QGv3q);_ASenO|&P!<&@!Dj{?M!_@tx8No?lIvt4PUMKy#juG&F;nt)yC+9@scBzo2Us5b$pB{Zrm!%-+kIb zqr24V!}x&!0V{GH0TPP9D&dI7kW!EihO6My6h>pfMjom-Y<-rpG5nBtH6}vTFWIgu zY3b-1zTgpWVpr6&I8`Sx0Uh_=LiDJr&E8ZiMSIiKKtFG#x;=f*e}EwvsD?vQ_}a~M z>&g69e|Ok{2{`{5O2YQ4=G)*7h|&Lh5rp$+Ee6XF()lb9HleT|62XwSLOdpx=P?I0 z1j6v05ONyP(oP`n28&Kgm-O>tU zrkH8qp*XYoJbl`iba&WM!3}oA@eAE8FX`4rteNX* z?H%4c`#~%po4BOgA2TWQkv!4#dI9V_K(TgFh2z zb7=r#(N)hUIWh8CL_rR62@fv#fJGlLxMRH4CPe-mcO)8zeG&$dI42EcnlW|%+*1ob zAk`mH7swCm-Bo~hiA0+3FE+S~hf6>$0Z&+t$}Qr`?wUVU8>?E6&Q0YW(_TYcXwTpdb8 za3ePl_5wMN2B3+hb~yuQr*DDquj9YJ(&eWqQ}xM>$T`SZ*yn`pcXpVhUq#~1@37oP z7AVEE(l}4l4D9&~%aU4*?z-*^$>JA_V9qLXnD2X2H$q8MA)eTxXxk2G^r#r|X9u8c zlh9ov1$$_dT3yA43(!k~#T#%0+Y~DCsS^I{#@n6L!&oY?Gp>kODyWSqL-@YklSTvY zf*xrRk~`I?5+ZG!=W?7&B4K!wMujBZ!SZvd7G{Dn$%A`=4i5rVbY1IR z_4SldTlt0&IL}G>O4_2^3vjAqlO6UE;A?s#Jni^=|AY9(gY1p@HjnyVY^1)QTj(jT z?smdcm79P8fm8_uUU?snRZvPoiQvk}n2cUSfNO#E97lHV*%}q(2-OFZnPx$snjScL~Ql+KB*-Y6@H};*43ev6UO!sg`Yczq!RY6 z8eY|f)B`%BuaY?36PLWoocSfjYZCkyhZl~Un^dpBo>m7pg+Lk)Rj$(&Pe!b5OYEBT zoG{hHHj|U>420|h-&)FcX%%sHZC1X*Yt}HKYA4JW$Dp4MEsn8>oqveiE@P}S8>g(f zyTfF0;bO26m`-BuZwjd7Ug>P-!mtSU?q<8#1$2N9kSQCpbg%d~Sg{okkm*0h-17Tl zyp4-nJB5?*gTOeXF%PhuWS;H9Kjxpg)d(}`{%Mou--YY{5sSn`&p<`Pf=f%oOvUt# z>!GJ&Wcf!Z(tpLN`7bEacgg<~ip22mYV!ZQGek>6_n-7Wl{K?99ym{wW1K@-GLyEX z6%0iFS*Dx-zP0B2ockW&A=K04W~vSkPh;gXmBs>=#spwJ@ir3o!$Q`)^#e3xj&~Mg z5SwW&dZL~j9j#uybYpGpZp+LJ*t_!s5MSfX?rY*Hz+}H4cZs?vSl^09{yPs% ze8Zg~AkO2%RNPPp_LiUtIp$DHsdx7BRibKpp_MP$ zcDgtxYEaQs4Hybslsg02SE#I$@$RXp4b!5foOxLhQmg~%r@8!~_bgqRNeSBn7k0E? zvSzh->l1^92cawN3In<23O7yVCgz>V;iID{O>?uzU*INTGj?jNdf3WujauRhnli#* zWhirUTl4eH)zV`ubJy%1Xq3IWDF@?zyn_*7xifNf1iWJRabRe^fx`v-lZ03DAK%;|6tKT6iD zx{w0IR8TNzN;7yed&Mq9N0k;c;uj1C;ZTTFO#+dlpQHK0I8>(jnjf;|17tF?4ZRfc z;uWQFut6w@)giOi#+#RR+t9JhhQXykrWN^y+ZP-QPkNXmQ){7orp0JWJCd(p_YOpC z>*nrkS5aza?#EkN}mqVYy&+OtnEenwP&f(xy; zyUcsp5MGc7Gr_5;6rzKvTy5k88wf%uubTmz1Mw>EE;-qS&gw?9QU02}F8o zu|a1Xpeno3Ht#g;mkspRVu1!!tWtd`Ayl9j@?ayYRGTd21@fUDjUFjIcZ_WI+Y$Ar zQ;Fx5gcyykda?-&?gxyYH$D7VkaUM((ZC#qctUYy8CI+R;sn1c!rAUMNQIVvi*!BUUV$C66YjrjLTTytdJ~a z*v-kiG-b^k`S+2e|sQY4FhU|KQ}QzVBz z5)+cQh3+#x{`%((Rb6&2QvU<vY&!9RAirHmXaqtpFoZxRQ5c2-cROC^_d?#5iE-6eBt|!|rBt*v z?f1~VJ>bNqH>~7p7IR#RnG{6iZh>@I=C_SB>nC>eY3i)UwjpX*SJ$@~)UmM-E1!d* z0Izm+rbHYOXR$D_Jrt1@g%qsNsu7cgIf4~;UUX5*a9YYC<~PmWf!RN=0pP@K1%z=B zBb&-uq>;kXovfW}SD84fKD}}7$qb({<}&6MAu&8d#{GWgV;#)V%46DEexMAj<=Hkp z@LKBFd7`!r?>MTN;-OlI6ur=_@jaYS@|$=NPewL)t%U2ay%D^o&-~3?ghx$`9vUDw z&w{0G2X<6NZAiH_)!x*Ob80eOk=eDBQixm_Mq>TdhnYtZbf~xulW06jZ%Z=fHQ@$b z=o(q)GH)8qA&3ceP=(t)mikd^k%nwgRJHm^n$MOt8B@-KVT-e}Git(yvby6o7meyA z$k5fkdotSq8z1YwauN>Y4cdn&fjaHl?eqY-T$&AVv9Ng%e@->3f6cY1PphG!mS+I} zR^+O;+9x-DOo#5?p=dPlpztr0KT*tj`7@8k$SXcMV33dNg^E^Nr})m1JhIpLu~%SV z)Rr+8c3Y>A%RJIbgaGJBcBSk)%6Xc6pRs&>MYkR6*I-=AW(0QS?2zC`mC}5&ZP?x7 z7ui}{hBI#92>NxWYkLC&R)tIDidtgPqb@Jw>e6LpUB;No?u0kdsUcM$8!E#>$vi;dh&tX$ zkx<+`tOWt^N;yq5{HAZDY8o{q8bo6r8xdrSF;PRt$lS+%DT~9^@jMY82poC$qJ-iP z7_nVgvgi0ksaOWsy=1;M|MEDnITks!n|`+0{NPcpK|0gH@C)S@3z0~{gT&}``sx(J z=_kZyj#%ZwpZRdZ23Ot^6P?4?xk0Bh%*fm(~S6vMAIkm)h9_oaClWMQ2F zSd1NLOn$gmq0YOS6q%T7me*#Fsp+MB*cV3& zY;*^?lc_flhM>#s$1_t8%P!i3(M*00Q`n&Ds^$p1yjh*V_|L=wi5jDXKSma^ z=G71Sb;sEy6MABniNV4mmL)JYiD!M`9t%AgN0&q&EmG{pSQKYIb$O8d&JO4`nDyoo;ZUbg%2#rhfO*;sbE|9xJQLPM zr!cOs>wSoGAgfaa8D^;%D)fz{(4cW;hgLz5a_guMWLi$PQQ6cB09vQVu(Uv)N3^#; zA>f@2=j|_9tgHYqoyPedsy);7>>Q~qfXfnC{5z$}qZ5HDkxzG=`v3|Fw|`^hEZ3I< z%}L(i36_IxDLTtUc#kTqMs^OacwFF@4`xH}?@T&#h^a#Z78gF0oz2;H__^_JxH&Zs zb7*zm&KUczxrgwr)6HO8?>Cb8x7PEfJV6-syIPl_1ov*$9`6guKJ%ZPK~kvh4$+~P zow`x?pM@5j>8H%jb0yV&qbb&V;%WpaaIb-hO;Nz}$AlC$BL6(*X0=K=9vU0{sPnr~aSw zWd%D&Lj_kGLux5qOG9cQT1IL(!=;G$gZ8e1HXx`9WFf` zwS?|}y{Dz4`L4mh!NeYyj`3eP|2y*k@3jBJ`~Pj=Z#@6suK(Y>6|&GZw*SkpsDrMB zsXnijv4tTn4K=U5zM+-Fw~s6=e~}UXzM!O|p`qs2wGlBiH8yd;W%<71bu#|jM_h*Q ze3H5@|GJ=~W2F7ty7IqrGJoe(`L9xRj5O5p4u+OW-$nk;^Dlw{<#)hi2Hd|a;C_#+ z%zst>7lGir#l9C3hID^5!QauM{-X*0oznLAPo*NQXZFpu_+P)_|8Fw{MkR#?7b;N-;D3bJd1(QW`0)k)Q( zSHo_fS)|glQtT%kP^h9C6AByd25PX@Z0&g3*RFWjbmJL%ep(ZL`oavqJ{xtpzdd*r z7zC$%NO?c=Zcn+_Fn)Q@^1~^OB){N|a+J~q4_E`*e9jS2eE_D* zz_&l~Vs2eJ^FjvvxxuO66(W#}#v`q-$=YRrQ3ZK9BwnzlPGFCXjj1PCPvFaeK4mdD>YEru4|OI zU7c9B*X9NONxF!tTJX7&PnMBd^~Y2LLm}=py#?SPpB@amTr@ihU&=Bgo$#kh(@>|dSOsg zPd=8zQnb>kFM{`K1~P?7u#gw=%(u=Lkq1D#C`~S^7J5RmO^izo-t-oE_Hb?jeEfKv z^_S{=G=+PqL%Q0WU5H%`L5Ws|fxE=eF~f5wQ9%SMS6h&p{M=e0m1wR=t@kBUeGanf z6sECYB52M)>g^it%oSL6h+@_5PlF>sV!d82f$aWcacl(7rQ_UfO;d3;N76a{Y^pdL zN?h(|7W3F)eguc0o3JpVMlea}2%})U2NeinLlXvmk3d97dI9Iyja}j_f16SU{N3ze zn8?T96P*FPzpxm1i6t4FGTr6{-xz2hN(D!c&3cj7gtiD8T@Vx~{LmG1JA&ZFdKRbI z5a+>X3Sb}gJ%06{p=UjL>r6S+M$akUQTr%3UZARsGsG;d@*#-}&*>|pXR}Zr!6V8T z0-u0*#}Uu)sVv`lfJ<~x0mhy6p<&C&^?E&7rIDifXA&<7Vr z9^ySWy(rzIUT{lTdVSi@9{S~}!|#hZtY*7;&$A^hfG(t&KH`u(L2B3<8d^BTV;yt&zVi`}%aYm*gA+?mT0j}w{)7NA{FrFjBqM+Q(^TllI0tIW480F7emeC9ZR*=nC zD{`!t=al$aa?0VPO~~IcHGZD}rqqx_7!SN*D#ApyuqZ23O+E2V5VhvIC4BNJ%y?DK zCajphAeS!L8HG1swjlu>JnBOh(_KFnh<62!6i^n{-~yzUv`ZsT{WW z`Ilb;lY3W{vttL~0B10h#I)1Y6JFrsv5iV+M6T(;zzDsqa`0_u|I@S(G_$BksgzM* zP~@m`V!YHPO-cH=l8M_y_H{op)l`#2`{Xn(-op%To)rS5Y`>C)v4t!F%i-{zVf1V{ z&_`CZU=N#;Wyze-7_!*?9-Zg-x}BnxZjZ9z0_-(p)m6)*{ys@s7ljPV*Lp0)&Ov(L zOVuNszC+l})J2ZSQ&8?BE3QhOy80Fu>2khX-4>U^GODBa+}GiUc9IcX)#(EePZ{>F zTm9ul`6GP$2T3IJ^rtyF^96pOf(LL-FCvcepTima>A)Ip>^P^5a|#ybj7*T)_e?%V zQBKRLyD!_A9mO2y2lf}_ydSte8`cb7dw%`miDtg?D4V6$%FfZ9BH`wmx2G!en!7>8 z8m;7twO2TM;>J?{G>`dr=HWkYywNeR{>S*zp>Ao7!3_UN)&1pzqYTo&w`S)IE9hNV z;in;+-GbT-JCGA?sfui-9+|I^`uUoMr`$GwYlw6q2B0Ti_A6`0=5VJEf$3(#Lz}a5+!npyh=L(^WfA>kZPJF(2g3xt-X3Rx++rv=kM}neOmtIrN zs90=A49Yc`pNw4Tg|4od>m&R&NC`EYo>R#bXk!m)Xw*9qz09oo)(xJd+h}pu=w81c zjFh_H()+${BVISTO0S=2SHAE!6)Z$>lv*M_q#g8yG(-EOM3wP98@pUr$lm$Eg zTsttnm~i++kILbJ*5@N45$zx;j^6CEl)0di7ZV5)3cmsClO6;(fLjchU@F02qb4o)pe{ck1S2O{)}%!N$43C_*1fP* zLiz%&T;JU@<2?w#ggE4^V7e%rkscn`u@=)J`4NQMpecn)uSCLB5MI9>xIr|i2)Vxoizl|=fzbW&xm*pHf=J|{X4iP9 zV4}V&Dyhuk-WF##VvPk~rmhBX4q$k+%a>sx(IQg=L;{KG;Y@zm_IN^LvubTion$C~ z#swa$;f#sSv6U9OYTl<&RHSX*Ah>TI9_~Z`vjd3smG*F%gaq6d*quMaE&Nmttf-Jq z0>{SF+$%EbYdSjSJxX0#gXC7=W1=5?l+9I5p>LzsWAo{o_r6%&v%FoK%MsYIi90qd z5y_omN*=-2EVM)RdxGP2Z0)8EDjq)SsbNR1ywH_$;Td)(KZt}TsfJ_F*|!oRadvaPEiH!QiszqP#|c5i_k59LNrMX>Y5LU9;{zG(9bTX@P2K;GRklTgH!$|#?&hu=M#BA;5i4!0Jk2KA>B7pb zDpHcs1@7+4Ei2U1+OrLjm6q`Kq4;10m(j@mayKdaZrvxKEhm1bhIjQa&mGT~h)a#hhvvoYoa z?$(M1j+^;t)P!tlRr%SefYHq+c}9RV-AboO&uxbRC~0_x2+0|g9pnJ8X<;t6f9 z6U3p_67bbSCmNC*H-mV-No{_kn82LtbYK3WSl=hpDcmd@C{JMorP^R5!)@pFn&OSL zZIc|FXvm$$seM9*AdGlXzfUIT%0=cV4-~fc>Gy`-O8lkJE@|8IqN}DSRu+sy;^Wjk zn6EEUnTJd_g@f!>ZDho6h}1VMXpk*Y*A&u?*E@j=Hp>3cB~wDV4zLJ;(78YZ?Q};T z4PiCERwk@8&Pek-HYTY{bKU~>xDYyx6w$WL(S9X^COYT42^dDWsl%t{&JBu27QE-9 zsy+`M#mpcNkww!m!?{|Fq`Y&tv--U`-VD00VNYDr%;q~D+;?&Wejl=NPMly`RO7(j z=cq#@4h%F+@pezwaTjKgq8kioMjctlm7Y|V^KEoS$CdgzlHke&-S)!Ynyx~UFKm)8 z-tI$|{*MxP9;0T^W`%HE1T9RZ`g;mER>7F`K_nM$DflSGQW>&uXwqp{Y4$a#WZMm+ z$VOollPE>5y%%&VPlO{VluB+|(yI@*fQ#FQY|sEqz|_44xB z#)ioqrlWG(KC*q{Qu18eo3y^Y-AMCV;iZ(m;e2Tk8)j;uguFzqD;SbHZroH-H zEI8Jo?)y@9L5pE#2%^eGqd3ggL`U)(OZ++P(n7}wzO8b6TfH4_5FIAa+V!8Mj*4~a zSjQdLMrO@3YMfhQUq`h13coct-%Z#^auX9B}fAES%W%<5C9)oOZpb_roEL8S&{R zX=1}an@XC~tAB|Nhb-b!k!Ym(r+Z6GM8zP8wrP#(7}2*AkZkTKCTNEgfVi8J3qcp< zEG@lx-V-u@TP2G5wi*~IEleJQAZu=RjsHs-o&<0~tQY815uRjsL2Ne|&#Dyi+AZFn z@kAEhlKnT)3{!#(yp_BykuE{J415KzhG;XBEm6)WeBWvr;jEKqeKwi>?AnS6YqP5Z zFl+iTP*67guWLL(7#Jlv=KPD-;heL%ntdCxQX(*Jcv(9Zq~TaU*m+@?qFn&i_?$>V zkeLylP-KoD^_$6Kc8Z>=RtEEYSPLDnV2Hi0sc+??uulya`OQ_9WIdi%^0Jp@1c=cB zkv?R(dRO3aoC(Fb!mw%46bE84fgPAV)MZOBJU zj-|2h%?s)q>a)071x;!@ zU@W`FC;&tfQ;;?strntrli)PF@A`HzGegENPM7#{t~*iR}s!rdhNP{228p?gw!) z&KfFIH3g6^7KmKt8p)ou^d-+2WL%vP>JfcU`4J;{+TPy%a=LuL`L^De`tjs)nlB#Y zlNG3wdpzFswPh0UEFVsrRt2&Bo1Z&(SXaUO$>u(rMWDuI>b2D~Tu@n&)+lYV&rsr1 zPu}jf96O~x_rs|)DsosF|!bf3WYY(*fymTyfW0VsPbp_;0_xJDtpj&anCTN#j*zY%}$q%YQn z7&`R^7*m3Lm;W`D!Gq*J5FZjgBmx{bXynvE@9t73r+DqX(V<_Wsr~Yapm`1wl`F(d zCs_pHYG&6I;syEpfe9p5@w^?;aZ{L4R15sO@Uwbe)enp5@RN2sobTT=ZUz%DaWc&9 zFRd_#vl_yzSk+i}Zbh_vR%f=WvVDvCR2J8ITRJ@Q(Z+3TZi09Y8@b;@r1@Yw3Y@o7 z^N-sU;-R{+hiZ}pF{Z-q$k1=RAA=>;d)!$*MfkL~LxK?dNrqrUhj{+jBHe_6fYj58SO|W~$YCDDbVWQz{mCt) z%2nl((L=cK-wkx!*y-M0D{otPUOWoig_)o*!zuzDI|7Yd*Kf&H`FwrwJ6LE2| z|MPgvf3NTYU~d4a?Ebk4%*esa%=&j4{(s*EX6EGlAH(j2j%FNQ3$ibv!Iy}@D6sGy zKY@>^I0zV5qn#WQSv~oX#z8zP=gU*s?u;%U4MO`;;YGv`*z5ALnvvZhBVCgkG$Hw| zt!)0!U9l`ZKR36;IZU?_N!hN9ZNDu!O8mrYS4S<0)M5^|DxnoJr3`{gIdN;`kvrJ) zeYR#uWUV(`Z#7|Si))hB$AZC}0w_x>c{%71dGPb-X){lcMchB{6B{QBQ_fuwZ2V3Em048s}Jg@t(}$unoKt`96dBKx1=#nz>P&xtpLV zQx|05_d9*gU#FK~VA9W^0$GimwXQ8yJi42~xEGa&Zd|J1&HXc#!)M1$rm7-a5Jph~tyHr3dIUS0JN1Q&!BbcH{!`i5 zw|40Vtvs#3a>=^Eml==yfNOWlEyO5w>MP=Wmp_jkN}{+1D$gYx`i)7L5TtGwzEJ>qwGE zq3;k{>KoC|E9)`W#BvDi^7p3uv}N1vNpJ>y25VgAAyvjcy)h5yYqVRVn!D2TxeS~2 zL?ssasnq14v9Kn0QfUUoV4G5&`_=3g_D%z;DVWT^W677m@_Wz%vTqo#K7&2N`dcn@ z*P994Jw4hTEZyvJ@y;DLo7(CO=+CvxoXDm(Yftlz%IMq!Ez}hBmb>cJvbRQgV|dxN zS{@c018fmV9xFk%&bF4-HY2{#{)7j|Liolcr6UuSXn={r^bI)h`$>i+G%A=oL@$fn zn>B0UHe`SP)l%bpossZYd>D6ki%m3SRB4Z4mXEbKl8ojf>nco`W>8?Xa6W&xenF7G z9-F!{E{KM7D*CU^T~O|l0z^u0!X}Foh<8~H7iFBEwx_g>nJCbK#R2JJtYC9E!Y%d$ zP@@@vF}2aCU?SN>bYQx!E$E28%^Nw_Jh>c}S%cRdw{r*D-ve@KRw_qcN_zj)AEsmA z=%8)xM^6_(R{MuFU|RHghQ#Xa_p8GIfHmGVX0;^9(2harc+QrIA^guZ1FS4%(GGED zN*xfK%x0fZ#R}OrLSq`_nqypQ8+O93o6Y=ejEA=;3Iw}yTB20Vs=CKneR3!+9_0Bf z;*X}~hu3@37VG$P&8c0|IL@!UHhP)c@qmY{C&xU97s{6|{}2@?gEd7@f9ZVJV(>q}(Zj*z^%BiA8%vH8`h{wa_NLW}FgzA)b57w;zi8 z{`7yV^cFt99&K2@&KeQ}3Fn=}0i|_jOi8-j?#HVHU9q=uKW;tfsZ!*6hJ6w&ur zvAmYCr9C)GGI6UlU$S9jeE^Af(b);6ZF6b!wO9gZClx^Z)iQmQ3RDcdC|t-9t?K;L z=ULi)WM?KvY>CzdsoEpH(g{-#7@sW28oeb5ZQ6z^p%BkBmGx`yYTbr6PZ?d<$5CxV z)e-|lGelTwqVh&{KCRsqGXyrnrJ~kE12JiysI<;;zcFtV~^9po~tl?nmK7&bj3a5>}z78h}l;aMk&s@fE#~Zs)74 z2(V&EmzVKz3F<^H@nx(KH(F@-Olvp#%${B*-R9M4?dH+opBBxrCV>*&EIaNLo?K}I z$4UvT(EG(|yj=(^NxbSi(aHBrNZHU*?E2&r{23z2Q7 zLwTi#!+7S&B!>c{63Oupns+imdu6 zXsDSBl5JmQT);2>fJ(DSDx!lADvCy+Rs8-Bmd82pN6d(!`x7N_qr7O`RCfwge%2p8 z2a+8tTA4NYianl?Gm8f`1e>O2`oQoti)A+BO2@|!3n9zuu%D3fhBo1QX6G*dgFJeM=QXP7=-fQ?SO7L^1q9u{EaH zUl6h%WnZx$1zEfwJNUt72vj4d#TsA)6$I^1R}9QP!}%?OL)^{y2;FroKtWLFCuW@Q=PqD%+7 zGs{CS;-)5l`Ki(~G0ORlxt#<3v-d^zwK5LGJ6M#K51D{yAm97>w^i`kY+BFTJO6AG zM4s@SE#tGM*llDD-n9UqLS%cnv zhG-h()Uc(C*%+8PP}>GiPZ;)S!V-=yvHk8TJ3r(Or4;@=K;M^DYgN>_B7$_FwGgOQ ze*q;1xwyb42i>GBn1;Q1`YGO-!8@F~Np4_Avg%A}C}*rq1`loN&o}ga7G??2Zvc+k z=*yqM=>>88MPH`79q!ZV@j^f7ZvM+GN8|RcB<0J1XImCtAcSxJI*_j}ij86?HR~?$ zsabR_i5rTgZA<%!EFkvrpMM1Plq1hx@5cw+Oqb4G7dc!Z2&esMt9-4!TcQT))09OE zM*Cyqehd|ByIa5TKT)a%s24-^z;vRCTbFXrcjCqg64|b7hRg9MC%PCeDKDNAEFd-S zI+je6zy-vwM3+LVq3hive+p-9Ov-CL=38Tb9*VbUIW_2x-PW+n7MUbAjqhSYH5tY` zaP8Y_JAcnBJdPFoK7$`XfU=Qr^Q2FA`h9}Xk1jC(gl5OF6Z`igMi>`LYBghYOn8(`9 zA9z~_eY;3hBg+_a44Rrf6mMrWk#-w=Cg@yqdtl+@vI5GgmGo+SCQ0Xho!&F--#$6u zI+h!8Su(^&Ci28sMknSz52CKV8a>=!b|2bP89w*4>;}!w*{?RcyaTpfN!dGoXq9n% zY*(*?o}XR4PK3!5dEE7UvORZo`o8QEYH$2-_4ImZZAZFz+<7^bR z&4xAK)(N;5aK(4?<36q`-dx>A)R=W)c5AYx+Isxj!)R!KJ|?C>>q%^_Jl{sv&4U=? zS!9o}*hb&&QUp4;3C1^!P)lF${<;PE`pdqo%bqai`R?_0T#i|ZP3zMTf81Q(UbW;4 z$i`>R^=R?1cJ;WJ4VN01d{7p$9R1g>SsL(0m_*Bg=1nulqm(s4g}6wOiixRU1HVXDtF>aJd?C zEiHt8_2ZX^J$j%%gz=*rAjplFIZyHuPzR5n5T)A;xF#WSR|)L=e*IfdnP)uj#mYAh zPH}$cSHN%2_dFo%0zFIZ7ki+-;Pa#3YR6$a#L7=hDlR7Ge2trYyus$XDm%j_zDapM z!|_V6+Q|Pt!`HIlx{O;K|9E+*$j1gR^5Yet1Ppn>ZFemQfqGI>*|@w0KsovjOKkWn zlLFh#!m%tK51Gx#fMIy*aotnaI?a{iNS#9?=Z&kEI#nv*5N?`#IzzJPvij4#<<{2h zezduPnzzPHmvW*ERnQNt2toV=skMe_z^K;;9Q(bu_!?Nbbx@SAcln(UgGFM9BbVQH zb6Mz}VDJi))4yfUt$SO6P>J^Q4zGGS?(*_6tu=RYgYjD)1WmaiWJ{HeINz@6@hE8R zDnc6?qx^zVztAGP+`*fG=9qhY!&s_#T*9~F;v}#XcU2D8-w>Y{hrYS3&MBP^KTN)# zN~e=fTEgwgV2ir!0u$`hDOCwa3j9`jD1j!)#9%YYgxKk7aRCK=qi_Z$V!~R^RYGW) zOt!TME`4%5E5sNAAD0p4(MjLWJ;ZGCJBMt(}8OA3Sf+H zb2v4i7bS$hiE+4WQvcbrEt_3kSR@_3#2m)B;T`X6R~DRt<;-z>;=0D;W8*~1sQPo$ z%B1DmL&|QX(=Ra{1fqh**wpn8)~T<(o~E?lLW{xsDekoQaJ2%JfRF5T%!1)%i7NF8IIWOMDiEFDiB$1P8_X?9~CtNZh$RtwGQ9)S&Q3&P8+pJKWg+~dG*p^i8M(3z0N4N_6&Qcb| zqpS@=ww7ZIDC5`OP~sOq^>ex|_NMQ-c&h2j$R#RC!DGqCDLmuJmSOFt&olKWr<_bl zWd@f~1{Of&Dx=g`v7XAAbgQ3{OUXxEh_W=3DWz!YbwKes@gM5wo>)Ty4iC|b%XEPZ zl|=w649{wV+2F|ylJ_uI`H&}_iobc3$s@5Bx$$2PeS*Mt`39>#hHf{H`g_jk;;ViT zp;X}0nVR3oWr7%S{*v1fnj|R$<2QN0vb;W(3_LFOfPX1oG5L67ub$Odgk9N4_>|*ojGfH9p6Y}Wp z%2#&JEe2V9d1z!|GCR(#9U+pePv~TU@DTrTZkqf4a~`#gCG^L; zuaulmSPRtBR_YS5o~nT4@eor`cetyKJ#YumHlJb^{7$iEaEH039uKM@qdpGuWl&TU zJG{Y_#jWS{K3J`B0Quk>jZtO8Ppm6AIT?!yyuN9Yg^AzbeAL~$kqsa)KcpzYH+4R) zVaD!L?IaJKGSmW;twqY&c!m)>y31hjh+IU`W7FO2e;iDcag5rny_foC%Vqhim(RlI zQ}U>GI-4JI(-<@g<*3rZuWq**Vyze6wQME*+GR~yhA;Qoc1noj*4Jf`EA5$~@F0o5 zK)s8o=NJ)9uhMHBr~3kWt->$#=?)3m>0ucml55b7YR0zOz(#3MJ}9?59fv_{2p&WK z^sZrET1wNa)GzT1ZOp7<>IvWUX*o{N5Ix`HY|Cgrli?}>>z|44m_)yq1ks$q52ij* zgwUPykv=Y%Tt+TS^KzH``S2U6z^Z*MBOT=aldJ~PP1b)LF30VAe`|S1_w%Bok+9Pt zmKlZqXh$f)jDUVTB8#^92M<0dF2ynw`@f3(HliTUAk(Gwg&tlh7G@-*>@HM zQNo-XP#yfo%|)m83rf1BR(PP!3xZ%FrZ0a1%!=XJ&E{&p*4XkhT3tNmdSz9bBe-&n z$=^9uC@x4^jJqPCrh#|Xl+LuJ zm+lfgLYD!f$=%2S-ZiF4o$--2pNtbLmDW#grVYnX!x8LRxehtEZ8%joW-{NB@_uYA zl>`=h{I(31EF-~fI8(oVlw$YE?P`{+02HJlJU|5sXfktqq)--7Y*zE@Z~0uH#^3iH zB9GvlkipFDTT%|;_8+#Zp#_~n!vSTK6s+d4hMXd6iw+PN>ick2<4&Qpo`avPA;MHT z0l8Er>4D0sW-xTs!)OSy8I(V16RER`m7A-{RDTmYtCW-vqj72^P}9Meo5GZo-OJ=p zLh{c%m(ntWW2l?JtXy`pgwPs*8`U|%(#G?V5=}1ZAKyBEScY1g>n2R(+lG)*wDo?Fg)k{Zw&f+-rFKWxyVjE*lNAIF-dS&u0V({*I7}e>T_+0>_ zPO}-tuBvvWoYHoydj^&2EezJSpY$M#UaQg*LC?&v(;g+4et}!br!eeL;_CglS?25Y z@O;fLGq$F?*~Ncxp=a&)q3TWVw+He0sJz~IV@>t`WuCjBoZ@Q(`<9Q5*>q81pt#%< zYu)ALrIK(!Ax*EO$7Nq0P>zesWCpiC9IkFgD@9LdWkAl|YZht6@0bCd6F+~Cp-(iy zSs&f6UxE!yO=KeImTgGJ0*yv`gOrgV!z>|=q9x3XG8t>Hju&h~28-+=zH3+6!P;KO z5;p6;1Ia#mzu+*lW zvHIItg>EVr089{AIHpydi_O1?Qcm4LDPRbFMAB$3D=R(lk8TJwID%^BwvJnQ&31_f5{!Guce4l4h_X*35`oGc1m=nzr)d=zDyD|I zXp}oCe#i-{q*T<%V`8cAHp+bZ2E`zUWCMx5;kWn5YwW5IToyD=(XuRq;H4UCNAa4} z(Sb^s!92URyj(|u`iT5lK>JyJ!Nf;+8cg|OPH-$odEj#IA;cXzwmp>t=+-=G*)n>Au;X_S zNhqG9d-9^7>A;T6oI5B+Rnk|>i~JQaDnFD^tV{>>tE`u_0Y#TY7NIwZLVWy3v?_&c z5?(AT7n*!V0ohO1m*%=(5iOSDj?%v@>BA{x?e|OtCOnVPro|W0GTiX`ZvtJ}9|gc( z+NCm3s^_eXep{H6o{1>5o+aflz9q^%$h5udN|2pcC`Lz<4}KS)$2ba_J$kD!g#UB;9H5P_|?*k}j`_#*54W z;x;zheFP#T;hzuQ@0<`U@t08^y}@rF)NRNbhm(H$wHD-;rt%BEp)54OTqdjoF}>-s zy%mTjmN)iUBH5hKMJe_Dr&C7)36Lm2t|PzlAJY(7FAs;Hn#ICqy z$l_`Tti+j(m$ukUUdq_9U-7SsvWK8Uuu{+oPNi0~Gj?sb5Wh|rp1#-MU_*FcrZy92 z(`)(^H}#h&lob>9Vc$%dcZs;?G>y10ohi@1*lB;8tX}G%BU|wLByG%(E_ckpa34fa zPz2teCa^`=VyeQI`ldkw>F`supY!|hUT| z=Vl@coO_w1AN|g^6L5y2f`W;;mt+cvyzGej;=xILvjpH!BAEjxT)e|!GTjncpEp<@ zm1whU9k%^>7uLq(`F7{$dACE_W_prMQa9TeXeQ`KwxiPw$#jv@7gs({hoL(Sg?x@7K64)l0_Q%UhoXW)v zAR0xO*Ni4rPeqLGhfIW7yu)5B2E*Hx3B8T5Qo1obESc)+Cn*r-E~g*RDdRE`zK9)nOLa916iF`@&#Q$n*Nkvv zL7P>sR8l<$*Vh+N>Z@53OG@yfXCOg0{Kjfv5vxKR@*@?jpfJL_`QCyXxL&T#0@ov0 zgj@oHd~_rzOAJ|ryHOlwj(#q!b1*+WDOrvBCr)nF0*?xUt5Jd*73?hnJl1MHx>A~J z%=6ZR&%u7xZ+M4I{+I>5sKW=;F&<7*Lz*kaD+WQ>E{bIa0b*NGb5t&Pv;U38L>Co*Ed0Qm+Vi(qoceCi8M*atnTS5|r zp9r$wrOwb0A3c6_h(P8#ftFw~&;NsujDcJqu|qkavBth@&g7q1SY{jL$=;^X3p~lW zP!sKgdFSCqr3Noru?}?y=#YYXS9=K9TYh+~dgcWQ!&SCz<`S5=;=Ll5NYyw7rE<4Y z+su5GQ;&b>jZhUY+yeU|uUaM_CVht1*V4~~90{u}UGz_FG*AC(6F91(dOEi=%RPXv zQcNnvcZqKog*+PgM+7u9b{dA-x!wOOtsF`=Oqv!w?zze{Y}e~K@_#Fb$c1dUkP4GH zOO6SYS=OWkNsTA(><{{b3L3gE&8Bo5_V&yhQwe!eYf;1;B<)y{9o|mrJQBe>tck|- z3)TA9^hNI-z9eO_NPfy_XdzX?PqS*eTta{aM&0o*b>cAXlOgoKPOi%gZ$JY*+cV zRqPzzAf))~4HG4+~p=AqB}sn}TfxEV>vLRBO); z!4>_K7dFkL@l%Myl5t!s#Tl+aYH2y~jIjO_H%7aydyokBmH-Zm8-n(4qozYvpVXSB zFwYS*y>|nFr#V(ztwzIYMzc@~W`W|15>N-(q7a?%ro}2Pp5Vle20ha3r}^4PeGeJA zTCWUv29E<~{`H1qUZEmMJ^F3l3CZoTml)#JSrSh0(C9o98<7cVQTWb?@%BJRCUs>V zhLBbbhQz)M%se{2W23$&BEc3L#yT3KHP$LIajhgWug)aS;cSh|OUArqsrIouyq#e+ zNLvs9y4mszNk@kF`tbPyQ7=;aE8buHi7G0I1>v!WZ;9@!*Gn!M47PD6`<$Z@V||aK z@fO0eB`TB!ib!{aXc#xq4N1=g-^b#f-qp0K14 z`gs(GJT?dSu5HfgIkiX8qKhp}aFeCQ4OUR%uO3_CLmQkX{6|c(7+ZyL@MPN|7)is} zKv=48Dfsnw1m9KEuand|vO{T>!AcExN@S|UKl4pzsEl8jt(DDxmhmt^(NER8J#O}J zd`o9&w5`35V6)7^G*Lndj-*R7S@n=D#xY+tEPz6iO>dyF*H5MLEJHva&M=N=k7AIl z+h{=7NETVa=ap93{!Z4-LipNt#LC)ThyL0|NzB?k1AE(6)DpmReF-)0pNwwB4}ZOo z1@TNG3+nw+1l(CW3#8BB5f`k*>CsOR`jeYHnOjMR%7C?di5zEp3?BYkH5lS~R}j>D z@+ceS)?k4=nNceC!8wEwqIg&?{!9@z|Lx`}J zcyl*N8VZ4Ir@_G)x_N!E#Kc%d5ZXqOr#Y@)zXAHoiYMPm@t>D^Oe~NrdR3! zrU1U(K+eM5={pXuI6tr?OQb5UXvjHusDCj-&$&tF<`b)sWVxB?2oC{AKPLt zH%@3N(C5R-8mVD7ESORW)7OarrtKaHFV|If05Qda3GR}<@8hdzt!NA*08RWOy`xgl za&K6G(V?-=ZUDBuqow28B@qh9`;MZm4BxmH0yJo%winWiClqjcqE8g>bg_LO&tRQ| zWu_jE%jHTUfi=ZF8k%CtV5WQ(D;R}=0UJ$V&&);Sw2DVXzBK73x9D-75u5uqPQafK zM3;U^Lp;t8YKe&l8&t73M=8IW!)dhrb#`_J$SEIoZ#WNgA=QSKx}=xa8eh+|%R4gs zXJVX;hM)kCoj~VoecoTjq`$)UnzX?o&;QJ-XS0{i1R3%yn6ctTY!0Vgq6+_ag4|&U z6777s+L|slSJMCxlIvFSSwRe5cqgS9X#W8*E2{7m)XI2K)Q!#jVcVNdKF2W6Hlz6N z0x)6|!c9hItTA!V2!><4I%2N_^FAXUDG}A~ArdTA>)lW`VG2Rc-r$*4W8=%c6Q9`9 zt|XK@S%w!jhCTF3=$%Y9athd_%xU2Exxcd zy$-QUA=IdynKytntY*pBv`FR5>Z@y+C)>9f0$O(4t@A6wTvw&-p3v-%{#O`zJm(UG z-E`MyW?ioz#UjfbioCnjL5NeAM3Rs{wpStKd%RjuSFKt<_7S5v6cc%}6{sjLSMW2A zI^*hha5rvYFsC!&imt`-&}GQI`~W)iwSd& zFevcP9|=DEy1uaSoV^-QKlTUOw}PH*w`-o-Z>D=bVLVq~A0Br=z4vV6l-MWBJl|k* zcCNYtEok>1k(r)n5AOGST;NrUhi=uAB!vfY&gEQF>kw!)kH-;`zIAc(utx+i`P!aH zSfG&(5h^0>bG{~(RRS)!ZnbP9zBNKJnn~k88c$|Bcx)}lWU(-O!rNYRTy>H(_-Sgh z&poytGAukErGfHay73o(Mt0otz^yJFHG$YEQZlRUZ%!*!4DH`La|)y$zkpme{GPuS zc_UO$74DIr)7Qg#U(tQME>!&lq-xze;QX2oda!nLsTJ5hhjQ?b4gb_fH=K zy}3MU`p7uWCdSk z6snicw-`xZH-hVVEXY9P{jRlg1!XGmAn+dJS+&9D;IZgGkE5e=D)2o5(dEv~&uN|LnPLkTnZ@N0K#;Z49deoa& z9wt>p8s41W?nk!1YTvH!M;b8YbKh@%ufZ=&U+VqweFf`zm^^PQF2`*-^IW|pFxdK- zzu5GK7;ER)smb|zy*x?EebUEY-^}RqyVQF>I7zbWIo-mSfQj^2k$UR$qbh%hN ztHAJedv32eGymqZ*lpevvUPS=LD0hUyrIMMyzsQ74(hj`qpzP)*jfGKixH1}oh{z0 z%8%8pxkc5E+^u@GXXpLlV`p^!4N;$eql?Eq9Qe!A&#U$H>FNs6_3Omm^r?%m+FZ-3KHFlFjO|EpoM3;;;hl5W>=Q1&2A!X|W^~r;?#9H0C$Tu<>4mC4d6JmbGHeER_1F(*!)#p<8oi4H2(hKzEILva=GWN zt}YKJ>$MGzC!WYfp~S}v1RXXWeRbV-7LkMXegkBQ&6=jLYd4I})m*tQb-|RAS4QA= zTcLf78b&@g+1WFr)a+c+m_N;J%{V^^bWL}*E8qys7n)Njc5oMKYiED?*kzh4U2+Hc zg1Jp0s!x1|)%d*-m?hcy23z)Bwxl3MK;aDk7``z9t1VudT#Z-uYkOYKEUvL)i1}cf z%(=4V@9Xr9Vm;psyV>fU_e4KjBsf|$>}vONc>@O4zrJfkh7*9G3zCX589^&$j!4K8w-72Kzt{8Sv z(>ELIC&J(R*pkX%x30iOBCnvb{NXje@+RM9e5k)EkPOo_ljh|TmF4YU4i4q+<7V;1 zkT8b(y8XG!7VT2)xKU^t>*B*{HFtSz(1U*sLE9R}t?|Q-#+=Y@oG%%*fJf@}P2L^q z`QyyZ&~E5{2s;?%79EeZ1>INaO21qE{qsTs8K19LCI}ZF-!oT4?A<`w7_?~HvW}}F z^?U$V&4Tb$&Hm%=^K|#JHUMqo1JrFewU&or@`h0*86kJh;bWp96P-x<}nb8D@ za(3ntokC=f$r=c`Y(e-laNKr{;pi7Q!sC^Lx-Y2TJCpzf|ML@$?+d)I!?m)!FH(%Z zd2Pqx#TeK+TB{u+XmD$42lU(N>F04pK&UO5BM2vot~zSDp_1kHuq{tqQn4kC1x!qz zt!o>L3eweFxFu~`DArt-wU|k^vQ*lk4()9#k@+c!@ELf1CZ5RAWf5OG$^3kAJ*vJv*|%pECN%kAQFi3n zEK3nQ)aDgk==6zNBBvz%ZV@m2$s%aI`~7*LakKvL5ltg8Z1t#;_FLyiX>N`e8{XKG ztSPhZ7j8K^XnHZAyWusDS>6N2I~xzY#qIPa5RlZ8MRrd7+C(bKDNPb>S#k7!GV$oF zXmGWC2d+3uIN0GIsV26L=Y}&pLGp;E;z@hT;7qy5q<5MI0Hgx{16kl)-;pDVAshX4 zCzM7O_e9N_7-u9@93~?h6(cbMb@KsDYq?Twl(rFvt?iHjM`17dx!Gel&nogl?nvsG zyaXjMHuE*bK@2%}jCiZmU$%E}2Yw8dzLIMBmiTQtA-@9C=E4)Ke}T~Mw&GAZXEEkZ zVC&{|Egvq=yR+A)O+(OS>Wq{HQ57JQqG$nZPp$D*7e211Ts>@cNVzjdg8672F)c+f z5+)U=XzY$;uFkiLVs>6ZwQ>5OzSK{Od7h4^&?a`R*=lNJy1IBoZ$bgRKN#S+ z{J)Mf{2(P8&6+kXX`FD-SI_1Pn$9^|*HFHXn3aSCh(e_;`E0bOsVj(%YM_%ouGd~Y z#G1;;aT-R%pODpZsR>G(4o9wdL!ljQg}52cL~Z+#%Ffm7zNoRBL@ivk!^J^>Ad)RH z0~cw8BXpC8n0NSt=XM!L6EmUhM>@NwZH5)6F-enbRLkWFZ4!c!9I3cYx>P0A-f2bg zajX8L^cvn;HM2S1)8c|^uJ){5jiQw7lqk}oKb-8RM#2!d1Vdt4E1_3r!)ImUFq`iw9iPA{5e1V` z|4Uteq$BQV9^J38NApY;>x#!{vB8x93cDt)sl2p7sKkl~5`d?&R57Ma*BTaZS!KaWdopswy#2Br0cBV!(+0KQZ1 zpe>ZarXN=9+;_9^NRkL%sM}TuR#;~7#EuAuHp3#P9ga1l^1_9`%Izf&-E-TGH91qVLYz3|rK=6)qv=^XZRN+EUiA9NoGFSjyKz{Ke z(zhqubiOtm_SB*urd5};f6p|7WK)&@irSm9J<`VCbM2mNA-s~4_1l`A@My$G8RT#R z!s{;wUgl>5I$Y%fVqWGP05WrDVVlkYy0$c<^&{lin?N!GDn6m90P|nF!9e8#GVhgb z+j}}&r9%$F34+XM#PZf&u!5WBck_gs&dM}~i+`eA^9p+c8za8vgM0UB3p0$xI@U_I z03=p3b#p+1S2N50kq2BeNz2ME8x{9r!AjI$Ce+HU(}Esk@4~r83%R4aK*hTK=sFG8 z=+kP?gmWCaGY=uiU9obU3B6=V0W|B96wJxjcw;0q3862~Nih%sALWy03ralH5&PZR zCsCsrc<}zbd738uM2|~W&iZW>k)~{MmYRx*tuhSwlxNWMRHh%_c#S=aXnJsV78;5x zmH=zc9M5-ND#F-4e`xUs+`Mlj^zJFKIcW|g5^Dbr>d~vOkVM7J&JWDVYYPXUU%599 zZ7AG!!qj=-yMRNaLo=^0Xc>SY!jCzM{t4EbQe|LO<5eMe*br_Goxuj=(YG*U=j@~) z6)&>pZLbY>WhU2lh_9kS5hwy2Aw|a!_4zb5t}&Q0!3bTr^m=#<54{2oxmz{(Pj&?u zwxFv2pX^k3Y(eSPR=)Wlhm^&WaN0Tx+UiDTe|077?y+BR+olQ-*UXfl?(lQi5f$?Z z$m5S-)DF}r69cB=5Z5>)pzZp)fDN;AF5ag&!CX1oZe?ElqUT z?iU2zL|Pi)ty7%H9EqSNx&9*Xsk?r3UWW*^4Gi zPh?=Ak8jS8X{GQGl~S$q!onX4F{+fKPyvM;l!WhTQsHsSYQahV1Qihov(#uG*HhE- zHPslP1MafYE%@65=V_%SfW1lUHJ1`{a2U zHW8|h!iHw6;12D;Bx9Uo!$R<>(e!v50$eKD*Fe5E4qvK9Sa|Ii?$~DbXJ<>K-3$i> z|HQpIS$7i`IX-+H2gg7cx=U!QkVFx`5AY-P1Lns^L<{&L!@NV3nvkl=Z zKmzdZ-Y%_>q-Z%xXQ>O5WOWu01gNxpv{t3(b^cS|pHHs8 za8#1FZmU1h?s+DU6EksR~C=VBYx2$f-Wb$rZ>D#n@megcaS)Cf+wI)i~X0mr}z zchc@YL*`IRFkt~nTeu(eAj}})Z&ucXyBacPaqVSyt#UzDV|M#Cmf6Zc+STR!rZD;@ zEe}0%AJt{*FZLv#eMKN*=f}1CJ#p2JK+5WCcBKF>MZ;a-M>APS82n~(r?aoe$2*12 zn~o<6+aE&FZoiYSFMroB=Hu^47xH%x0>75Ope#p?K9n-}f69FsM<(~-sO7waLRrbm3)ui)93akIH5-{QyD^BOw?=Cu;+iMRr47{(G+^L<4yzZgRJ;&`H<@ zNsQGfTtPEfzbO%Qhk-~1ZAbqoP3$=enmpmPu;%k#d^HXmZUB`yK~@M*CjoV5Dv3Js zy5?S*{h^$v%jtXEc^FJxgEdu^Wp~aMwZ6)=q z=*h3YL3%_=64^gn6%&c(e|cn9+1K;mf_N_EPY_`-bIIJd^}inX3H|46L+1a` z51{;+bjLrYJ36P*mHU8x0EG_d2iz2ZejqHF$Dj1y(fGd#m$(uB(U2NG6e9odreo+o zS{Zf^R1Z5^W(!U}l0Uzi|#R08U(R+eMM3F-Pqp=r z7kg&mDm6?K3LWN3YXN*Hn1f^yfDc6sU?zajhXPU+i3N+v5#hhhT;c+lX@nqI%ik6m z_~7(kp?DruZ_Vm3KxgAb(dVVt)2R%|5@zyJlX-2`H<6HQ_&DT5cO|<&imG+Oo=R|B zFt_qdbjhXZhE@F*W-6u`MjG^rEPas?-&t&SC5MPIN;M5PMA@)@%Bf7mdlnGLASq;N zM0l!}cj!>1~#3vRI$RjC_4~Te1&M3?)X9-CtDZ+9A; z?lJ|SBtb|s9@5=3MH!6T46YhgQGj+J)}vDGMR_Z<1P3M1;+lKdC2!x62U2kt+xnI z#$SlnSO;K`&${uNDKm_wAHbpK8`X)Uo1_gK{XdkwbCj(=v*6#hZQHhO+qP}nwr!ra zZJh4YHcs1iPk-N;-`w}!-{^;_r3v*#mPt&griTBV3mo=+-PdXY&BJr5lMS*A!e6}O5JF>927YfZ5F0& z#)_d85~ubnO*1xJothTU?&ngQh3wSu5G^zIf|eOAj$P*1^lvS*8MwCT^@jbs%AZD> z#hi3ZT)m8@YQTo88_^KjrmY0J&8*GZP1R;@Ci$`ywau20(g85T+D-A0B`h z$Pzc1&eM#hc;e)&GnvbNiexEio8>%hGFw!lSY<|$e%han9+5M1*<`9_bW}}g9Hwo? zLQa>*l-^{rhD4R7*;4v>HYAEQW7fkalQqw%F)cDu`-oUxYAOdZO3RFujP9H1N96L8 zZVfVXadDa^tYrq>ct@HhG$mTz+wPCVW=YFTSK-EhqAc3!xe0V)9KcL;VvcT6r}^`R zpLO!49aEAwV~{hQv$M`XG~)8hF*-5Hr@6GwDj=KkK9a~vD*w9TeV~}QIdIwpgWb}0 zLO>S%V@M%EM9OL+l1&~WI%Ar*HPANhA5v!G@8yt|y4NL0$(6N|exjKhL+T!GK*2FN z#>XoVHfB#xA>9p^a&9gZ{epfThqLLY#fX!e#9kLFbOE9rz@&*MMvU1%nmoi5T*`A) zXA}}4Z$$M<4rcx47i@h}fc83}h1%F6MT!f4UXk4>>E4S0!J!s18;h1Ieu;xx<$JtP z<$UVN3D`NIqqY2q@_i2hgR+d$;)B{KLd+w*y9zb$hjFw4l-CLc$F}G=)Z~6_oWreb zKGe<16RO6#7M%rBLG-M*`qIE$l9;d+fx_fvF5FS!3 z!DSPdcL6`dt5$V}4~D~$cd=hO-eD@}NknkUhkxI|`n!04*kvI4#VUi!5nkK(8bBgW zj;0@M-dq`sO5IO-h-YtaZ3lE&+@WSaB3oY^ZGB<`;Mv}dv3eap3uYRU)qB4U?X-Kx z5A^i;g7RJ4^(Iho4u_}t^OyYkCGDP`?w$_6q7~P5KIeM5*)rrGc1{id{TBD->ie?# zZv9c++TBtp59=Gw(BPQicW?dD)7xtlf{C=#ws_qP4`*Ul2HCqF8EWyHzw7E|?&+;6 zH=~Ie`&N4H!c|!w>@-gwo7)jHmM8k4gW&O{zY{mHX8!&eQZ9e&GXzr8}$wL zMUnM?jOhNqvEJGK6YE{>hxIP}gGV=VvZVcgWW2NfcZ_!qmVZOM|0@^Ce~HZgQ~Ce9 z!1^!B&3|Ud|0l%z|4+&P9_`Nl17iOVvir}M{~){nQ}n;d?ySsg|3P+VWas$5AiJ|N z|2x^8m67uY?EZ5X_lK9mOz_k8e+9e$gUwO;FCG7Pu={@#l4fN1FR7$P{`1XUHD6h_ zHF`lgfs0|mC%W{F)#%*>U7BC57Z44l!%B2(pO5MzMDMXRMCM+H%mmWRpDwD6of`Hg z?%#J0lDbPT_1D}j)$VQ$s>SX-ic1CV`1SdGuY2%a8|CtOJzj5zJ9-&g3b2<~OFus# z=v@D)TU4!`sXIR%2M_T5Y})AQ0-x-1zf!*D_x*gBi1${$gfsj8xN;~w`@9?)>v{(7 z+UbzTuY*Vb{2JOST=VDAVR`q*quZU|8go|t^J?dOc;|k;+_Ude z_x}-0op3$(6V`3=7wsPz;boV zi>+IaJ9vFuTg&WZg6Y}sc^2w^cQTWnb8to2#p}`Q~UfR=xffQKo2wzI&YnDx zXB+5#232i2LUuT>>f~i-AH=e*TEF^LhsAoKWv~@MUH68W&?_Rj8Tc2op7F-#e(!9{ zb@8gVd*c&xZDY&+rMd-5)MsJIK?~ZvWe9E;O>T+J=l1I~Ib9A(!EzD%5HKaC(xp#X z*mPPZi3z2C6!0iPe|KneKA&M|AMx@$PZwF+s%@uY?k=Y6PUq4P7u}tK1o0NWeiptqn1sA1Tyo(S zZ_qT5bhBSIxyt+zpy}>(=s#3#Gk}@bW=&Y~2K3|okBhe|bMh^I1w#1a`tTUHM}@4) zMVhJ?{g<)F*L(MusN9XEwY8ScGuJ&6WseGLD%IcsgighEETpd7BAA;?e2ULKM3NbZ z2Ic_1C=rNYU(g0q!?pHGl{VG%h})fgA3r#_+w0YxfSsMOwT;v6jk*QevG*NogJCPOZk8Q}w2zwNZ=0hSRxNK3zEz!q8+jRz zzBTfgB1o|q_3|Mn#*qy}L6T}Mwt;+)2&c~2#!Ci4nsxF^f+N|D@|cH@m<&0-X$Xj6 zOU4b<^X58>_Qo)H?c74S8lUnja^x-(#N9-|{gg`eEg9Uo_>d$}!umynbZUF2I)wSB znUM)91&ym9Gt>5nhf5d?7aw#4L@?FC912C#Y-&ejqswYTh-brkM$5WU1og84hD(|P zA^57*Rh{Fy>l(Qm7+pC%I{66#u8S>;s_9w#MC0eo1{P`D|CofIW;`CFzD31+6Mb_O zeSvuDC8LBZa%#9nzBC&%Mco3xGxSMuP*X$j zjwyl!i*YlBo^TLOS~$(vCQ|4?Zk%n@0Wj<6$5Lo3f=q8Hf_nLYLubta5ezkTOrl^| z>LME$=dD(85{WLrOP0%gq6sVJ{S9w41cUILxqE}jNC>P$o=5tb8(Hg@+5e0uk*#r! z65N@dg~uc9dg^lnyt|ur_y~Zt#rpC zrtxYP0}gU6p%8qv12q&V6fAW~Y)tr6u2BhCj?~vBX;N9He@3glq%!1ZtYmzmU|8sq zS{YkqOXDcGu%UIFB>$xmhFU(TNQfceS`h(0y5t7N!P`Ef;I=*FNwnhu7oF&oR+slyE)n+c*Y6<8!e{ZPPdl3A7o%d@ zRl+;7nWg7UF%Mla7&dk22#IXW729{BFx7M(Y)s53SJThtDY_$xlS|AM^r8WW$xcO0 zUJ5a#t>Y&OM@DAV?1mK2Q%AK1qem%%y0L)6SV#XE!V3bL>EWdrHnaA>`8`IExI$zK z$7qzM2`h&E4P5`4Na~}jEraa^YvVQbe-NoH!Pv=6FBL#MaepSk&_6A_#i^ku4@E_1 z*iM7VVp~eNay-2Di2j(H!9W8S4PlQRbXiKHISx8Kc3NwlN4Y|{+QEHmyk>N!CK1jQ zL6XHN2M)3*o^%jiTGLR&v9T#}t=~>h>U19W5=91OlcmbRU063W?+Y4h9IsqA?!d91 zENF9o9}x4?qDGDX`bMO-UAH9aUh-ZV_A>Abx`raH9?Y%AN53n7VCF z3_$??*J(O2z!(77HhF+JZAGANM+1b%00e3% zLv5YX91EABga8+3&S|MsEGMv~2`879uHxKuV56gwD;C&*halD6pg^@d1q)e2Gc;-} zSRZrjL^0rn6)QhYA|kA5=Y&XsEfx2{mJw|iElqsbKQK~|T(&g>Z&D?Il+9>=El%Sw zBE`53q{R}7SFY3qBgJ;wv5!1C!)CWgh)+=n-0bkrDdR!=l5Dr0LCAnl)c{F06G&n} z34MuAhFFGV=2>FRGAdy#Tpqm`(0WtGfYS9P3qh{&Pqj0_biTx(%*)hMeJo|;+sLJj zU@oajMp*!e#8j)#q?Rd&E_jG)C{N7FYD<`)2>1qWDbu-s$&hUE%JMfdGs^015yK03^7h0~~fTOWn?L)}vep zdOB_QKmiVa#=p7ezsPkczg^MDXT|aND~5Gc zp4@7~I%NyivTGsS@>1|>j+GGC@KCp_DjO4fE-I4iQd+$VFx24XS-koKX!>_U;P60(<^)Y%rJ5V zD;l=KKXIg$X zj{M!Z{nt@;YT2~PMm`CSylPUDDW7Z09p&@XMmUjs>lt}$aq&{*$%b{iCEbiK6>?(n zbnvEJUNj_?E3*4RIWh52-d7~=TP27@-d%V2c91kXBIzQ<a-Q3 z9l|1?3H2`+P^eyG4qd1+LyE)#KSFO-b631#@EuwcR!(XVPN+ZBho%`+qH#d3P@b4& zN=d~6zCwComCJ`LlDb06xpQX@lkjBRqCRwG;b)ON1@auJY_@A(T=!lLBlw3BntCF!D_wHVHQ-zbPgZ7JYg2jR zVtx!(l3n;wV2aF-yJ)tqE;zRz6pwi*uF8`*t0dIi$sHDgsh-I>2qj zdL?7P^7$RV9p}hoxps8DU88He9K;Rd!+2}5z!G#+&gmR^BByEj_ixap^Rj!TrA9*j zA|BhV;xUTZ$Zi-ubBPK%VC|aTn3ev_$I4-g!gzJO&(GX0Gw1tO>I)pIx!cB9fu#Zs?98B=xyZ~*IhgZIXqNT0$9FJ;Fh<$MkSU# zfh4d5h7iqa%t$85RmPafFs`GeBP<imX?;fF zibF4xtCy5wN@`TvWEheor5H?!32I_IA{92Ka?FSW7U-2prS>?ivn`!f70UxC68M2J znGz}N+8==4+bx7cruCLLaKacju;T%3Kma)ZmFCCo!T9G_*me(0kdN!6?03*q`(*9> z2A+E1pMYM86^&L|A1K2bju#g#P;&PxOPXSi4)9|8150fkBaM3=RnWD@7S?6F(?(J} zO4qc;S`Q|B*TL{LEL;uDgS@!B&S6pxy|Px<7W0zp$I-sSu*%r(a5#O`w4UW&v}#(u zj>*B0@XXDA9}x2sJ@ZBdambidh#e|OJhEC?ANR!>U@MSks>_?d_&dA)_8)9z8^KsN zsr&(6(G}UjRyU6dmtR&~PVVR1mka@7^e4ak@j8dKd0SzDapavaD#1;Y1>NZ~k_ExV zxyz`(sF2OB!d5B>1EzoZagK#3%BCPCpt%crNEEYPscQtAK@lz9Lj~p3UM)Tm>^Ff0 z%1d4>gWWEA?DcSdfUUSSC1r28-@L{<)FOk6BUk-q^Bb$z`aX&W+-bvvJb*R|$(jM#UK6Oeg|K`KMC>2_nqT;o71v*l8gYOvv91jeUEj{mbU zx;U1MDo?3qho#M2v#+*K3b`>_>~P9^L_8{P^w(JatSN1`>6UT9akU%P@s`@NTAQWZ zk>zf4MX{b`;rR{$ZiG6jFsVD#tN7C?jEhFuD&umOV zKgT(JSKXmMxH&KC?PE{bx_cV(yVG-CZ*tF`9yA{z`2(I5jTEovS}&D4)pO!QnC1=5 zpP9k0ie2lyT00> zY#e87XpcSEzaWp`c!x~N|9lyZ^$9>#SbMGKqU-O>q4hi3AS-L{879k!!VBQ|OI#B% z^%l?~qwU*oM5bG1XZJiesDdAtDIL!=7N1f>Ja7aYchpXqzn@-}>CFy*WbQdYDktk) zF_}eFoh{m?6Z0cy>POCB6+ts29{9=G+fMC{w(Cvn3t|8BV((lQ_Br{zja3$X$1LPx zn}T$MH5RURtP14~Ikq#&5-&P|Z9Hul-R=jYoDrMX`IxanZSrKQN>iy2@W z>ZDY8tig`)0js@4GG)G^1v2keMZ>N25I}Whzia9f$366g6Kq~a!{^L~+5jfC)ON&3 zx!h;u_l+76ah(%`TFg_Rmx*Z5Ym6g>8n#2Xe{VEOy7IObIzTo4z7h$19a$Nhl=iHEEADMn+XMD(9 z6M@(@*y$*;T$jdYtnQbGC>k@Ilj!kkL*vOC9k5ozxWN2gkW~v4j`jRzMt`y3+#)-1 zRs}J|wV(ldERX!Tj&KFk;m2!7JwfZ9$42L)jz;H?ZsA1}mUZD&J~sYZ1U~V)AA^)m z?-h|jA7#>gPa?smh5fw1a$Lo_&6v>21enUoWR2!%?2Ao--z9HUgy7whDdRL^Z z$*uO1Uw@7j&*h_+8ePD-^q8y<4A)Jq^X+-lF^r6yc6*&3%sVC;GZh;=4)Ca0j2~8b zhru@8U%uWZuK{%)Ip^r0QlzUSBZ>k_-Kr-?AE_GsZxO6IXtlwQx+uaeLC;5`(ZNg0 z_5FEsJWF1HSQbgeCXY`MOq@Nr1cw!b6MQNxH!u4N@#mQf#RN=+VZ~(af_WiE+Nk7= z&V*;8EXn>Llvf_b|4|5<3d?GdIfiE?wLWc5?II2e1M1wqvh=+=Qf($;%g&$ zjmXQ_h>LagJMS?Ql*}JqKe3^;uw>!YtF--Q z9;oZ;6ef(oI7BGHHv|~ZAWe*+J`~(k2c~bi%upv*npg2FwA`JQIuBD_IHsviEXY)M zMyse;XGVp15rrU`T|c)$^+uT++m7yUYNl0c%avcy%iX?S+=*H9TCD-u#VFoWoo2*N zxE_98_$SZCxHGDo&-7dH%V)h^b^8X_ers&Z-{sFUII(X0X;lsOmG-`D{dEpW@PT}k zj2Db%)Gwq@NI?;Qp@eS!!Z7F2{F{Or{>sP6M7&1ybCTX)=+sBlZzx77kCaF>8Ru0(@&?m5X5K>{6)}{%9Odx5)%WJ;))A&yKN`VsTF7c?_9yJb_HPFOf(ak>nAZ zk?G?v1IQuy7h^nQgpVq$6~SQ5)?htOMiv7*J#SE#7p5lS*!XybH@~MS%kp#ckuj`; z6gSB?Co4w49ru~XvFoywZ+$j-xI*2R>N@yFZ@hwk_Mx1!l;&-!xc#S7g5K(VxCf&f99e!tro)NADWW%}YM7#rU>%t?}1hKWFnedfra6n2dFe z?FvzNCx-e4pugS_Yiox%?=X3H1}?sjU=idx?w+1U&Cx|dpExXJ$q!;(^JC}2UN$l= zX>84l*kwy;r6g3`Ws4nDsgwq52+q)X%dprK ziOw!griQk#P#!s3y5~RnH4?w+1ipuSav+;>kE-znn*vHS!mjr@9APlP4-Ceiw9sfQ zaV6z0J|4%2qPI+vRS#w2ecag^maiV{tD;1k^k&BR@%T=<@V>Ik6mi~yGiA#U4Ht^C z|L)Cf4T0x>AKkp|1&`(P`rLGtzcIMqJG@+Mgu?@D;tw09=+ZwL=6ocpqdo2Ij1Aql zrQPjyyju_U@%g;$MjsR>^HDjzT@RUm-`)&>r=9KK@x6@MQPMmcN|ZQECmx5dn6by_ zmaXEx$vxcNtgYzdgm$wT|CZk(sC4^sadi{Y>`}Ua>srl3>MC4RIsck}wmS1>-rRm%oWe)cr;15O= zxENS{Vwz~ZY*74C=sqdeE7#9+K3!L-yld;5anEA9{eB@6xkV8G zKX7$=D)vLIxchrRp0AhPnBs;|!DM_s>0O(GE!EfOm0XI`IiVo?g%)!pZ7!AH)AIR& zTd@nErV7DOy`0tC*!p-3?DDi9Crd#BRJzZn{y z7WjDyCv!89s5It&!|&k+@1u`b1B)N$KBwR93+}(uKZmB%nxdbFdRcw4=$Z!=1$t98ESUq^k=)_=eA^aFG_KmtXHnv7CbB zT^+hy79Qo=zTj8R#L=G`@ z;#0)%AY(a%afELmxEKq_;-#A`Q^`b||P<1bXmrO88U5q}gn5R5Y0HT_*n>19*t}fwD#xi&$?lr8;@U!xIQ3 z6%xXe46;cQNJc%H&NPqqYTr6B&8dqjbV}y6a3WYC6Hpj-28~Fjxu)9w_fd+qGrB&f z>#|f^wK61y4?%sP6q>>y6v=EW?~ zLO9^CoK;wn46|5;VvG5|3Fl7e#@VHr%zrrB0Sb!b&_d2&FqCp(MNZUUfvP@X&!($o z-!bCZ;`q!x;;@nqO^IBLExnov{+Rc_a9;gm3z_CHHt9-d*Qb^FlVQ9aWW~8<|^lbv&!~-^P7oa z#asa4`BLSNlvuJi3UunQ0-k>~Re@L+3b90sYpmkChq1&r z_Lz}#F_Fx#y7u)MIZX!lj6{PeokRPX4>g_Rmg#5A@`+E3tp}QUi2hWD-G%VUhF8#x zzM!F4umm}`aKv+DLoBud>^HDnGxsIAIi-Q=;+ImPD5qAVCmi-E1ze0cdjr}q$ zo6I+t|ouC@h*w`!gM8axJhDJk@^1-!iz}a{@b|=zU*+nE$KSOfVPvPMg_sSLlW}j2Gy0%eW~IB;FIy z{|8QoFCluF#EeUCuf$-4pqyAGD+)YS}cth!Ucmt)oDX0#4a7vfcKL; zDDU>d7>wF;?ss91k=fsl>0pI$K;fCcXhbf}DHmQ%nx1LR$!h@60qd?6rDOD?Z5)}N zC{~OCLE#hS&d`{hmI{| z`Z)I{X5{o+vF6>vi^kWtHBg!eRSX6asB8#@*`T0=P8dh8Q*vg??qa_x$hSs1%qcZY z7%K!q6bg-iAv;AEMS2Op_cO}rx;$o}*i3&_+qbUQ#R;H=K*3-*f&8?w@-bjd_oMA# zQ}Wts?w))MEPz_JHE>lBm}U(656J6_M<`D+$S#q=Z$`dR($lXgI^E>LwvPANE(hl6wTPJ8fV~(14IkoY!_QXerBgpUfxzTikHygzV7vx{S7J}EEb00+b#KlQ3q7Z3NO#tYV>_z~7-Qk=$d$0h#)B$Fbn&t|Luj`8STKlDb_|CO zaZ89(HY7uYAd0q7)8IQnFklBkko!$=NEm?wCoiPkEgs+-z8tXjfcdVoD86~z#gh3I zCV*>c%mDWkn0{^{u-zUU;2XM{B7_H}YOzfMDhg0tL|M2kn4fAPfFAGz0NtQL+YSvXkgV7sv7z&B=zfF9Xn0NtX+fEIOBQi9IP>QbwBe~-IEbY0&J z!tdL!V&273Q?IO5>xGwfxEK(G2E^XCbIc+{ZA=t*gPg!uj7r#ExI|eS9 zz8199GDb|l9;C45Uly%2+{}jxA?(th_~l1G?_fLjk9s87;Yd%Vu1~<92T?;Y0%YsN(NMN2$6I@C`lk6bma4TZ1tFXogVUs!PY1Bj8nlstmJze<{N8S-zx>`@IVT!cVF zN5o7wxjW~fzfkg{C2}_`YGbQK?sN$=>pbd>TAo02NPk5X?9$}w_~MYE_$d;34S1|7<(49`V(A2b8fpD^Br;kh)~)&`W_!>y0Im`sCC|D(j5}onE~< z@Fe}TX|UfXr&mOZUtC*kOqgA0lRjFhMHjkPP(~U;B|0yy;gk=rClg+5i=ZU^+_wl! z+p$Q9dD93cp2Rg3C4p!Jl49eX$fN-D{YpSJlBNuNV1(J@n;73FelZWV_eW7+SO`=i~`g9~_O)An! zBHoOMktC!;%90QY8r+@##Q|)*jHJ*kg@~D=s@yk-Xwr-dqE}h4(pl84BKFC2!juz9 zO)5mFRi=t7rw}irctIkq45x@Bdk6rP@)8lVWFrlMq#v7Ns_nTZ);#cLf3jx!IG}X)*i;hL+t2&gv@*OY9q0<$*dgET#kWj0E^x-;l=Vq`Q@tQZA4n*~m-0{r8|x-yh# zP)>3Ng&Q7zL8hUn#~7jPpO&Ub2#axu(BCk=LhmkBD>sRmG6^k@UnYyBNx_p+4SQQ@ zt&ZCUA&tPIB+tA06|{^0hJf2@;(5F_HYtNfLJ%PUl-yj9es+t1=9EfcvHLMcq_)q= zmUV_k=qrV}zsbvSeuCf>sg3z*t6=)7lVG&@$S5|c1lF$$qW$a=sUOThIviT|LwK)0 zO%pbnRtKWDCgH8M8UfdRC@Q8I3W8-xQ!of}rHq|B6emne-WJ2hgENy{N5DCaPWwvL zu)#N)oJ)jaP>JIt9g$2L1WZtM+O6;D8}Ar}TjQ z<#nW!6&2P4si8|x&K03aXIcOyooVe^3M+Rx2>?%WQv`u6m4BS+1Aq_DG>oc5a3?I_ zinrwJY&Fn%X?we?dGv}ghrsTk?`@~i0jcN_aDZTK)HK8E%p?rh5F|2YS|b=VBq)gm zN@--JAW^qCmrd{njq!FRDJo7-g*}ueAq1ABt0Amo=eRZb_z$+2H)yA%Sru&8D+p?6*=rrp z7Fn}e4JCCnNp@ia;c^&$e4!4|acGuK^&QTx_A85#y$uC+`1X*hKRJ%Ru=9vc;Ix>N z1MUrWd1$ebUwthj`LY~-$!HB>N|^fgn{v}{cR+i5#8Mo+Abwn9>i|B#k(jkNj1~2Q z{)tXj7^~lFGe1v$Us1~sU$k-cI_P`4x1`xrY^=wX>I^6pZ8MbEfLY_RE8_6vncIHO86_MLtSSz0fu7SwyxUb; z-uV4(%I%DcMz6=;=KsCyX1BM;Wafnd!#^M{f8!N1#?Z4VM2dl7m$R8)#1FL52ANyG zY04(#Ijzkd<#&D!FCQSc9-B?IN!(D+X{YHp8}1sOhuWE?RNuN=b;Ypg_^ba3I0O4NXz*w2z@m^}<7UTo zQ1h1ULl|AHHCV)S^&86XoOUGMLKf}dVFLasdH6ul?0{)cg&&9y{i@zTY%i(j*n%aO z!>ZBy3a*Y0)f!)#8|pbN4O9~#at=VP7*bG{{%(;nm)W27`L!9+K2b@;r;@8-lW?|rp)=^XR;1^J6PV)u^wh9pt4 zK$VxePEo!o^;j2@(um)9Wi8*wziVeHqXs@-HMrgOl%bslK`D7cAFIwnL_D%uwXz-5 zTMpj-eEIBZq212oM1Q<&FMrWshW*C%T3{L^f;Jf<=1&mLG|H@^h#nIWje(t{r4~&I zu?2Moizg0=<(7F;DX$kB=e{A`!X)|{d8K$RQqEN6r&X=z(0V)S+|plV84jDNEUn(| zXN`_8!_CC!g4I&ZyHFb!|AIn?*(v7D#Ak!`S2L8RbUu}~-3lx9lw%!7U9y$vPna8~v&37p!azNl(WzQ~M-AF+Ss#}N@}$U|A7c2N@0Fdku#q)+jTu%ce9r`Kj$nM;iR`baRFm5? zPz7#GJyFlKx3wMlzV)fzZj|XfT=D$C$bcN22C1Y*`sh*F$rCoit;KnA)FRd zjiEwovRVMOp$1*GY1U|^Fj81GwD5G$QC~)PaJ*QXgO4svSgu7gGHBJPLiW3!er@4< zPEVHgfjpPAC8l9KZApk?SVf3JL{*yNWW|aU1x+n?;lSm!x)Pf6U4-&@TFx%U-Kqrz zPd#^AJJWBea>1>v?^`7@YY;Y9Eo*35^0|p2VcnW0-RTKZV+tW|)1Y=VC_{=PpyKSE zj<;RA6eWjT*^6-=sMx*4XwaxHHA^01mH+hun8~)%nYs+3SlNB_@>%_ec_XB=KWz5t z%tmUojNPF|brd{i#-e=6IA?k25=H)Wm?nhs(ve-+E{SG)EzeYsuLG4TYkK47V2#^M z7Ph_l=4rr}Gp-^e8m$IK#*w@|s0jAa#GpZ3B0%OQdzeJ`d>&(qo3YU&nr6P2wYfHb z$zY794=DqM*Tw5W{>vO&FXZIu9y#mfL6IeOXT(gmo^6h;i(uvQ;2b4iTv2P8Zc5FA z)rmT!V63l$v?PcI+m5L|;T`RAml=Hbu&T1}+XpSB(Vy*P!m_(O7{w3orU;bBJQ%_Y z@1|53>_fwiaRU2eGit*nnAtg*kL-J9PR)tqg)+KY@pjlZD<1}Q8pj~ycO)m@9R2xIEc0iMoEWBEV-*S|lZ_@A8j@I$Wo_`3o7x9-vHcLvDO z_`LgDw5z<`zvfz8t4;-pRaWL$bNQMECc;d4(Tg5F?!K;ZcIj@jb5%+)a+Tk1^fvz90Y=Bx8C*L$x=@z6 z`HG;FdA_j1PuAoE+Am9w3=)s1G8^d%FT4SwA@O?nC=ulT%NVEL4vwIFaAUM*n=^4VPLk|uKT@pIn;F@uicM!ey$t;a4P!&ERiB?iIA7$D%76$2eq9EDX5@1*cj za(O`oo@#)~Tpm%SLRH{{k}r3w^>i&BC_0AH?{uSX5md4abdOUsIxu({sq`j)|K;dJ z)oM>{358m#!(50a3q7JYbY~n5KFvm@@&YFBy@UGKL&8+QLZKGx5aTi`s8wb?c}>xc z_vjQY)hk@-4^)&XpoK!gU~1>Vs9d^AxXU{P3qxmWyD+FLf?Lj&DLER*ZjwMv7a;za z0C>pS&6QR80`LBjNawB~ z8_WhB9)DqEv#WOM<_otyeD^<{dWAnuy->Tyr3hs-|xow3z0kh2`Xv+GK&G9mQ^ z@^C>{FbKJOx<#{Gu*EXOD+qf9GehJlyxzrKAN6Lsz1-$Fk5~sn`7&9&&^MTZ0(tNx z^F>2Byvx0dcCcJDwe8D89$}rG8r3yxkKieJPrO4?Er!Ds`$C~sXuYqK4$)#yT628k zXcZB4G*l|G@i1yAQ^AU#90RE90-=^GFsLbDp+}Lw`9__BWLk6!rG!39iWGi)ORyMP zg|I3oZfgzV$jtPr7I_$##xu58f~SdzZ?E>igLu=>J4 z*cS8P@k1TuvXj%zCAvO^HcoMLpU_DbWGQ9dp#K=pwAUQDHxh13L}JyDL~4U z>(Vu|t>ZHhI|buhoSOqHmYuidNI zcYVxexg?ZMuM6;aP8PX@LW3@(J+C=V zBekeiPXsDPgYk%-P^f0JLERzgU)1v6PmIaSXgS3qr!=v%VC`)EM)YfKtx{$@CaDgo3>`rDzr-L0r|x#7Y+ z+&{g+!`zXRcrlmRe2Z$6FfXCJ9lZ!NfEo+Pbo3rxR9ZoT`gcXe3qqyEDMnTy=4fKN zXCoM4Jmmah(O1fiU})879KxfETV-4zh02r3=u9LuDkuwA9+aq~T~-*dI93~fB(c#k zrV7H??3k53NRcVdqV z{c*dPq@m8=Y+~SK-mB{*mWBG#-SLX;o(RLzKp^c>MXeQV)6rjwe{EgNq&8H!TmflB zi}DuG1R+O@n21SehViRY3f>Hg5L2qLu4?`VW8VNIOV?~WIAhzkZQJ%4+qQMawr$(C z=ZtOJ=9}+-aU=fwBEE>%9o@CjwGowBz4p$mTv*ao_PbSsjKL0Ji71U*tRMsI=9>E3 z`SC=Vvg$;}jtm@kz!H6cfAb|J-l^^Kl;!>EfIDd8?LEm?vw^SY)x?l;rH!G#nB)+YycQ{kxcXQUwjlm9vPpxzu6hP;+*kyOm@GMX;d**&7GM zkTxEHQPHm?kqSw^qW?W!+zrY|M9R7pzUZ}d);*9Jz@?)|@Z~_k#&a4p$R7cwH#P)R zk68$qUN#R9Jzxd^Pb>DXwh@*Am<|B}BfdFEjvVkz#yr5oJaca(3hIfvIl!Bg4pAQl zE#?nz@sT9NbTl!V7CH?7Ut!>x{TTo!VrGC(U>N>}zf>ft56-E68sy|8>Q?bu^n=U* zQ&ceg6%xQR=yL$f4#fB?v^o@h2g+iS;vbdXN_Mh={>uWa(&9+hkd&LXrc7D-u(@~9 zJjt*P($;q8Vu=x9Ew2n0Z4b+YdlH)8T2~Z>PuJR{pwu~eL*&cB!g?m2aMcf+l&M3N zNdzlh$n?}ve4dbEI$y7G zDxN;%y`G6v(p85=TWwV$pezTR2LgGM3my6Bn@BW2`<~Uvv~sAlrj)jVUk5d01OwCi zg4$=F5-hF1E+*5Hnq&=l9vjqS@8Elek|{|Tj07nl#%ha*gQdwy>Wr3J!-@B$B&d^E zUI@$dD9i~4664t!yAuZ?8;0$PQK)}@l57@J7*Ir9*q{7Ua}JAO3Wk&_jUJC4= zAr`Ty>=^x&NSRMjuuJ|lEsCThxkn)~@%vACV!RtqkRqb#Qvq~v!Ji^x7bDR&STRT` ziOlhW!q9(8lIPNS>?xmr1}SHmJcRJmjv^(}@2!~nKOd~N#0x3W$ALT2DilHRw4uuR zLG65R(`o~O*=>dB# z^qBa{wo6#4;OD}rqD-MI*4q*R8iaeD#tnNa^MdnBsxfI= zE{g$Ia6xy5v;e!CJAe?`z{TLs<@f)bAA~1qBPO>G%=YT&RyS%A9E3DcTHiRC0#4Zb z8QC&b?s}bm*d02uqqZbQ&!jSn4Lf+c`20G2tISn}f^}r~qb+ij7(y0>trW_V@=+WZ zS(5X4<1jzlj^J;mDqcdYPP6cq7pU6OGwR3<{n9 zq4%)T69CP+Iaq^_l)17qem8v;%i#sPDFe)(zC|{Mb<8jp-Wm~77K*hSzV}A#kmQnD zdaScjPv4i3_9A1a8b_LtMT*7cksN4Lu>K)qlJppW|1=wrQ5feY{)|2W}TXu`H#1VN=H2-_7?sRzI|x zY9YzAtk1hYmhnNn-ke)KpE449N?Ens*Ry_}&E4(-FtJ73&8*~q%tE85clX5n)O91v zM0wIS>*w00Ox!vAbfhYOpIZ)Mx_Ua%k^8c2y0vSP4PLe@vm6oaeqlWsVBzh)wQnOw ze?(<|w>sVN-8~%0+s_WM{jjq*;R^X+E&Z61c&bL)AhV%PGo$Wm540y1K^IrMf?F}? zIJN-l^9fb1k6wOX#+N>w-q&_;3rQXm=wLbLt@79YNcXgDzO}?f)KUxv7&#)SFqPtu zD=9wtwfvK|{Ykt0Sy#F!qTMq89ikG_X_s-VvE3XK<07_o=rzu|`B1w6tTAQ}gdrtk z+c(R&x&rh@kZ?-p=J$iJ1{ z>c89&A%w?yU?RU*2Z@GvleSDt%dXg>wc>w

K-%dlR4l2?o0u%OC&m%$MN6;ogAQ zt#xY#7b0GfD{=Y3ku^s3K@?rpkR|q1ql7H0>qYp%__&?5tw)2a2SP~+m9PWEl|#%i zvQ2U3a)tdSPvrUmMNd{j4>uDUV2_|y*6T{|W=d?^X86IKM5pAvy@PMzXivrcwW3;h zv3AJRPz2L)q|`3%_!EI@dq{mwrfEgY>ktIFTbII9VMLH)YCV*2!5%?{g2bn_crmhd zuV8+j_&Z8xbBOYtLa^-38hI2&C8pM!UtR#rGyGb*&OVSN0~Pt?Cy*;dxP&0dP09{) z>!bd40@BOU)&j>TT;Df=&A)m5vHw^2lYfr_!py+V^uL|{F15~WvDy&6ZF;{4e6&y= zga3LX`vYo*%_C2-98YX|kD~Znjn&Vp-;LcJ&h>QP@9+}GwTp>2fid$}dfUBX#WM}0 z?Z)!q;)MzB^t4wSkut8Y2v$_G)|)9er{myxf0tO+0=eMUjx^`dZS3xt#rhq@b$#o9M?rmLS-st2X#{)c)iWvie}GKsMFT z`*=LcAIB-Jff$AoGL#KXi0^Zy`%FBR7f*Z4wuH*LAO$ca`V#NF3Q0$R#&URLD`r~D zN{T;IiIk02^@zDFrF;3f$Oj?f5M3)DdzfU|%g+g~KMZ9N#!oE$>la90iK>KQVo82~ zC11*|7|CsRm5kTH@M2=R2_$o+oUP%3Ef|aCok=tLQvpeOs+C)@uwsc4%)<_!&7i4V z+8?C!uoRSs;X0T+da|^YSD5Kl^q{I?+Te9Nrdb_#=*k_lQ{=ohPc zs{u1a4wubZCyV6@kbKx9WPhGEid6|s#bT}wjYq-MT7}W^$>au#YH>^33`_zs+KsV* z3gAel!MmBj@KVW#X&waiN&`H~)3(%RW}IqG!evz`oTpR)Zty5hD-YulVK!DMhd1yl zqUp=EK7-%j!rolRfcAEYn6v|1dig-L9V711*nMA(x!DP8bpcdcHcM%+_X+VuJIAPF zyBP+Oex&>kg^t&bZa5_3Exc1_;1Tomtrx94qU0yX)zotmvWjlh zqaoCOmZHyg@xc2939hd1DlVZtC@X`I1=+X>BwUDCRxjYV8%ua7Ce2z5*y=Cdmo$#2 zI$>$_fdNZn<~QsNE4~UuZWfWkPiG-w(s8PzO)-4y07S<84xcJ zTPZlgAdp53AjCXqkp)t$)wO)nCZ75gHMUiLN#o~1b2jeu2q_z5X@4l zr=ls2x0M5~0!?e^kD8S#R+Nsd;n)2`$FHU;C>w1QP;EPxp1+yz+8t-ZC67yLh&|lL zbVc_|MK->tYY!>HqdN?{s#YK@mO zt&SyDWNmzM3ywyaj_8BlKj&TP)lEdmTyxQKa%Tc!j>p*nK|5!hY}zfSm(#<3;-_aI zAE^E8ZkqPmO<3#{1z`NbD$HT%ZZUt-=L+ECB}>s5HX{#0uvRb=D5eGGQKm z({mTO$w;#FH!^j@a&EIa@zr8T558jHZ-PdbZc;83sIfI}{YFuKhm6RiZ<8ix?5#pd z)ilw+jNFa&%QpcXGb4J@4mrkCaLvd!UnOCwRBbq38kt`lNWwJoYbKrc7}o`%Wr5=4 zT#=8*XJ8_4HgBaT(v|hR>{S>kknCOY>{W~l$<^u%I_;f@czO#7E@58ju|{o2JAKiB z==od zB*PO^>7K4VDACM6n#S0(nyzM`?M-1j@NHRn{=?9V6N~GM(rc5= zOJK7_?I3rT7mrdlq4!Ct)hadXII&_6x`hW?njmJGot1O+6)hxWvtgt-iunGu5wd82bXELnp8#!{PnOi1X@zd3pl58UsQh|33O6x-Uc$7@Za_BllU{pBEA)i;_A>C5g3`dnO2M$;)MtY3LGJ2 zURnA}3DTR#^U%|i8~HpgtYLibtzvuR)r3xL(3vkevPwr4gLHQ8o;7Jr!MtW4nnTX`Jg1rl$jHvXnsqV{8Da#%Q~_Q?X4gpy z@SgHcLK`T{l0k8NFW{lqO;sPl&@Qp2&(YpNqB0A!C@ zYqvxp2ytdH%+{DcU=DicFiE-NT(L@W#=YzP%r-=~ZcCr-Fc+O|E?Lw@)3PK0otP+< zz-@1`*9nqp9krx)ZjxkT_iU9@4arvinON&zxR8yO(eC~{uX|45EWyC;izU|@jl-EY zd=Ts8EE<+6vs=5cVYS00Hi}#QVRIO(pw`E=6)LkPT1p%XUzV8=u&xjPEpaX^cWqp-Uv9;2+EncXLlSN zSf&^ia99ym%`f3qW-4h=>ir56jpWjFU}R#YDdQk6Vc4?tuFzBAAviYqDMw|jQjKJ_ z(pB<$M!O1k)+6{yB-zvRNS4_7wP0=Ta1D+u9&2m!Il6=j)dn(2F2QK?xrcMyVTPs< zxdg^&GzOggmt7y%)?m_5))0%MN5peaeAslSdvR70FuSK2jh#U=tz^gzBwRU8 zj62lHX>~Bo;q_g-w?Zg3?)SlD3XT${`bUlz{@h!bzn~`1dL^RUhC42<=pZgv)zYbg z1^AQ~6?+p;FR!ec;2!ysf<>!gIIL4xsge0Hs?v$b8=Y+j?JR=X|yY_lg&HlDq;K*)d{3fMvZ>dfS?s6y&|lWFclr)YQP_3o#B`LJUp zy+%kyEBUk+ow3dZsxpO3JdJ(Q<83)h>2M3p6=zFWviB{USsACki9tE}v|Gdxn;3v# z9D!j{jwH4-v}$>%qs64nL1jf#0Ne2v|6yPw6vXO8OGBIRP?mR*n|ZG)>@Hw%*P|i?H#UL>;sijfsq{mZ1s`)`}8}A!FXE2+lZM? zi#$lQ1SV=%hK-$lhCR7Z;O3lv(@3BrCZrC#(^XT)zB?OaDMQSd_hh3G@Q5hb~1w5u-UVoQ}26Q|eO!UxJJ|?nn zjl=#Z`qTv{_^j*PmCsIO-369UgjBqBQ%zGNRU4<r0!E;s@TZqiA2^2+lqADQwvVBZ+e5pC z8o7%fFB~zc_J&triFL19|5wPsR;`m@vwfLYu8z>_TNA`hLyaOVG7_)p$!o_B#PN#w@M3blwy}dGC6(tap9Ep zS6+}v>-pRRC(p+n3F~{R--z99dBR|nBxe4|sk)SI>hs}`rqk$oMo3!R`;h13UG{9~ zF)q7iTMEpsd%s32Ndv4}a1wVQH70S_lAXAEa2$PQ8-T%Me9Hwt*Gy`~lW&S# z(D;{=fbsErP^v`mj>?AL$j>L2F;~HHWaU2=yk6u>ELC629BBua+I}}eb1f`waJ)iKIUc z9^=S#;^kt!V0df}`#d@iX=t9#pExlr-D8m)lF_WCT7Uyr>+fB$u-(0bC-r7J6wzPn zXxnB|&dsQ7%L^zRH~Ioqn;f1Gj?+z`B=o@%-xm*{QuAF!;%C;%CFPHJS9l2scMr5tC zaQsYH%>Z-e^jSLH)t9eyI)Kd|TKV)R+J(}GGuEF+oM@$et)x39wiWB1{!q7^_HbGB zwx2)A19+q!ypYkH_%#y;c7#M();ZQ5nz)3C_YOPtC&6)Ua-WF3M+XSGvK6@KLW7`y zm5e)aHG6Vd!JFq}(04af{Ay>Tcq7Y`x7gBfW{{wkkq;gJEL@C}b%}$8 zzQA5xL89Nx1G%)KTZ{C z4%g6A=!r)2PVFHM*K(xnqa%J^ZP93NtmpLAdg`o*xlQ5tz4_1%pissP<<;z3FO{ry z3@BTo)~Q#9cpke}ma{l$Fk z%>Ns}Sq};L-!>`!iQD==F(9?~m=O=f0LQr%#FUDK16cd){6O8r~$6lF??S^>ES;dRQ69s6`&Cq@PO zJF}rvFR0p?L}VAa#zKLb)U#{*TXpiu1I+fxH=&9QEIV7ziC2n7YHymnf* z&EJB~w_)f3sZmS%bdtrC?%Csd{Ii>q0S z@Q$*IA7{+bC!pz}Ra>g=Gs~!-DO`KRcmrUJjNJvk$OIrXoajo$;^@FXQra7}+_es+H_nhendj;d^53Dm<-xz-Cl7I2n6AJo8^smFeXKe<`#CQ%^AiWA~Yh z?m9J`bEqWwFFdJsn1E_Ym-Pz13h8vPK8Qq(g@%N zgGMm0@45XFl?!#?c&^{QW%!`eedm%DBkPXMHekGjqvn|)V-BAf1EMRx3pkrTl}>3f ztYpdB@%6ob@9@z)4dC?ut!ev$^r>rrKy^)5c4h?X%Ce`>cW9&nz~}%Ym~CKrl7=Jb zPcEU}hNxOKc9vl!Y!%)%B?ewr;jzvu%Nro?x*)*3Pi+r=1QI=LimZe;kU+urE6;aq zQN&Juk(1QsZp$177@fFl{>!)l3h*G(gdh~P9`e|b{DBw;m@4s^Dm;m{Y?$k|+{qu! zf6_Nm9P>KWiy+J68^pX`I0*3&&zrKNhgdsPp(Dk~(q0;JdSc$&YWJp49sin;(-EW_ zJ_(ulLM+jrpC{zF@YKv)xU7y{aywFZX(OOxX7+}anYC$kDIEqkLyH?$@R-Qq7wzBy zgU3>fcpyW)xga-g4w2Y2+NjjL9fTWjrKnUjE4BNCNT+@Hl?&G@@wF60gkm4p`9w0y z(iN)@E0#IXCgd9LLIJr?D!YyPxy$K5+LhIJEjNzLtn$XjRgTM+sJN>bR*_~$M zC0H~@F7=E0I`&^#al`tpV#RPTl~d#E)%F)8GF6b?Tp%e)wD#@EQACkS$Eh1zQk}~N z8v@B}2XM6A%v-IDhi(iQ``onYj(7DcpWu@D?#`O>g_8HHetm0p69an=CHlc(!P90v!csYI;~C^2KPG97>V$hPye zomnp-1xz8*-uhtryY!^dhZp?eQp!oC%cFyVH-n{~*Ux#CEkb&?(K3uZ*ki4o*Z(Nu z(Hhp3-h$Z-9R(FToTfWM)S~)|RlG^E39QY^BUvbMV;YD+AA7uF>ccXt?9T<_rS3|4 z?H2SpO=g@agUdK%b1qS(RkcnswQ`yLm|=c52pCQ?-l!wh39ew6hS6_mKIo#Vp?$JO zOGEkKjkZ3ErXIx@HwbnN;a#)MZ^Y_%>8j;lRl2U})ddb|SR4xv?m|niGQvNMyr_}b z4gVP!T*-vk*bUbs^HsJ9b46&~f3RbR5uK^-i_04YVh6mwjy+z+gEYE}b7j$zK>1L- zQPTpX9!d9IpbVzxgoX%g=7N_m`M`Ek3s$LImqBIleD z4$B62Y_9WP9-GRUG5aC}iW!aJ*$iP>CkT$;{bOKi!{pN3v{wM1X3_scg8Hmtuj8;Y zUjxT7kmX;^K3lIlZk^E6=Mxw8NpKQ*0XG2h?4x&Dm|leCI0~yH#NvOE`+>;KezS{E zd5UK2Ge)vRgj8Sn5=iatI8_teENJ4inD{(s?7(F*RSd_neN{@{lkeGB+nbRq*wBd|2q z`9O6`ze0FB(^q3Uq2Bn`X{m`+F1VoSLL&Xf@Y)DO9h`hC^x;FsCdrulHRYx(-oy2NjX9abQ4Q4fP@|$LXcL6Sp}JI z2YInX$}z_V(i-(1t|#jAW`vP$rr1vqd6LqePDWBAe3 zA0|)h7P}3-p3Q3Cr#yzWB9g94o-lHSNOF_UtM$PFGp~1;{dRmFIznfSAo_jNOLcXD zB(IV2H%AlDWqFD8aX~Cx4+EiO7iP_!IrvVzT$ujr<0&`Mw=C@gyoGjQ#rBFD%@ikz zSUKFxgA61qF3S|o+8P*v8M-rG4gy^>2hela4uOBWB{8I{J+aNu5-2|Z7@5cLO2v#Y zi4?}a#Bwo-C>Kk|fxA@yd};lYTJtC#?Zf%f^2K++YtkSXwN<{+jl0k^C)7%DLMsYG zuKDmG)#~o%BD=O^^{&NyajAJ&raRe7R8;z?0)M0X(^~XweDdZc%66?3b<1Wu1okG1 z5p->5g7J#e09mQ(;;Frgs1PuNVVXp*6)ub{`TiYuFVv1%o}4(zvwLPV0S6&yN^FdN zW$H89a1gR%O1{v1Y28vi-;-5Ei7POanxh;(>Lcyj);vrEmnGE_)O5nbC-x=rBXKrj zJ3dD_2UC0q!QCzQ-iJBDf5wZA&dOZ>)F0;j%44F`K(BuPNXXglT;Y*b4B-{H>oN)Y z5yRpdEj2DQ{ndCu!BLDRmeA(U_DW)>Wm90HN-g2a>yY*=Y5~y0tn=m zU4nfJT$iR{PexUH)EtJn z?L}CxvK9-CzTUA0nDKe64Lf>A6OPPW<RSOS750BGEesi8Qu2%u#q5A0 zRV^O?a{C1q1?=f0J_h6>e*+7H&DZ}6?q;R`|HIu(4D|mScXw%8|6?5dqV4_m$5RVI zns_D&#P21k3Ph!;cC}xJ3)!n}R;%|DKOc4QI{m@jyVZ@!$u8UoX@^V$7_fM- zr*ddWeIQ@=-HFolSk%km?nLhLR!X|kU-vkgy3$)Z+R|T6=IT3rbrnDBo*!&$660GwcPu7KV?ofux7>Ukt3uZ*^F z84DJ(jYFVFT%WA|F$vo7vYWTfP_*LV!#7Swm!Y^CL*9G4uoJaP^wwc8(@OoVw(+4T z9EY_gX(2T}wSC>iZt=-nsS~pEKTmY737XLXKi`@x4Ec{iu!WXa`9|8THCT7JGcC!^ zmgM8vcJlm%eCK+CEsbl8aw>{*9l)}I&MAq>l%J~2u5C8IvMv~EB606G=n=qgA1hX z>rxA0Z=q#xHZqa(#A3JZ6^RMtuwcdX6bt+*YF$SSmK;-elOsl8;IV+Ek9<0;mY`Q6 zDR<&%$~!SB#Ak|dM?TO}bL!#MMsQ+rXzh}MEth5%9rNR)PF9DAHf$U!@cns`C}2Vh zff$^L5R}4z)rw{sJk=})vZP$t(!qBl3sT#0(L3!$g5qdZ6b{wEhF#V?6iu^pGNH`y z3;Dyk3GG}UD~!gvWOhwTY9Z~kfNYE)D;oD{R|i%pDuE;>V@)xIAWcqUrP1M9JFD0x zF!xRfxSTLj>W=Fhr8Ol9jEV~3e;>6hP|+r2Wo|3lwn?~L>;sz&zOk~Eqw;tCJ(i^b zSsvq!nzw4`%fvH4S1JpxZho|2!;wcR`C=amhe>wH)ooYPbR(mgTC70n9;je3nFj%1 zseg%#QhB*F#KyOK_x4(JafsO77&yPQISN@dQ_VjRw-N(7RUJv-oAz%}9)zfV};%BMC9b2Tbwp29wAT?8skft1x5+QQFXR5?*5=ldbQy+}V6t zGYHLvdfI@-v(%%SiKaLUUmMFJ!>*!5LVZ9k*6SOM8aVb(hBQ)P!ZXy`ue2j2v*?}W z1Yxr!lmNRo-$@+e@d(gTGtD7oei;b9PrJfi9?RY$={PyL#4#TEkn9Ch&yarLJCvsG zQ9}-NwSM~@2drA_W6i2NOi2h^@!)+CL-t#EL%%NvbX*&|#!34T^oGTp^LIHKq^f5K zzD14(cL8$8S))p@_uTT`hw-_CAqUo`pwy+|dTB z644Y=(o&{p-K>AvYudO?R@Kbx57_TP=g0O*zxfjo!F~${dKy$mC@hsI8gkGDaYJTF zW35eMAPlaoGnhY&9YmKX|E1Dtg~a^rO&{r!-dKjd_L791wDj{ILJvP?V$u% z*sVd*^|%l^>gFCS-iU$x;*vz|*!u+*^Eg!HHEE?~GkRWQ_==(+NmW^C;rL!z_^#|} zj^eF#;KZ!z>dR316}ZW>hE~kR)zmi^?+e?;w=|q!r4b8W-Kurae)0LbxFBDPNc}3f zNa*zXZx+flCSvA1bK@_0vdgbdsCdbz|egTcl2t$!bO|* z)u^L&^|UH8N(&73_>>Dx>2%N|bnW=3HW)w3zo+;5gR@@Qe&_F6Jv)x>usOcYDeNOW z>{IG}RYkg@^Au3~Av>_q3WynXvA;8I>V92OL*hmnWeoKN=7cz{;Anj!7tOkn1vN{o z!>|!1DuBU*13oOKZbaU5kGfHw;ypIU&&YdHm&G`QkC9)hgfN>qTwh#%H+*I!`G2tr z(bA(n)cG!eAQPx_KGG-aF#uU2`oFvg@t>EDe00>H2sr+ZTgnt7ppvTgoJu6XZh24< ztP-`pjuY+b=8A)+G!<0X@1lLUx7Csa{M%n7pvn$O0ObM8aa0>L_C}7>$>~MkNqOBk@H}LGIuizj z652Plby}}k(P?i7J*@u&*LQFwWYNFf?}L=Kc@S(?17h ze1?BGn|^ql=tSI{#FU)$os56V#gy3b|MBAbDgUVv6ts2I{K?VdGcmBzvNPdxu+efb zG5-)k$>=*dnEz8p%gD&a_z&&X&zqKw=^yf_pVU8;QRY@o#tw8pq*hMG!p4TSM#gl~ z#x|x-X85d(%>NtVU8>8(%+teniH-Z-)OliXe?2+-YllJQPQkGI=~1bA(Sg4_ODlC` zHM-1KQ#zPwmRalMj7(>Anv$ewuXDFE3IcH+cb?d+4^t7G%IE?{is=(zM&-Y6uqsZ5 z`FbM_e)!lsZT_$u&TckMDN#DD-u9URMjaNI`cf(fX)0GL@cfDdK2wn^Vv0qNRo_eq zeIaKu>KWp8o+xcjD+pn2M$BPDUifM znNEu1`x{3LczO^d7Ssx`0)b6aCT;ejNJ59PU2zBC6np)x7C~4~Mc1B44Ym zmSVHtO>$x*)lRmjB()0tIv(J-lBKwE`)ipjZ9h_GXg|^>7RjaKlHZC|Dj(5dM1Fos zIcqAE6`$w!chN@3{wy_*{W&yv&zOvHfj_7z?`i!+`j^Q1x<`Q&zjJ}(L(2^Uceb@V zVe_C!gT{n&5(Yom^oG7eOuAj)9r z7}?l`M$b(&yR#KXL{S`1+5XZ5ZZiG*46seKM5D(A0M0gVqr(LR4Gw2vRoN^-Ro))Y z*vfAJN!osmf72z#AHn?}yTJdbkF>ds<&XLpI%(oFFf-FKGT}3^)6+8iC=WXuEh{rV z69>o7;YZp9m41{*4gY89LMMvP{0~vve@^+QO+`9&joWIG7Uvy70p8u zIn2JhWU`p`{eqZn61WkuZCxC^u2y)7j26bWb`D-aySUoIBlkWLMYi?>T)i6Jg|SN{ zby=ImmSHbXXRik-kMyucqt%}H3SV5ju3r^DE}7oiI3R8*4)8$^HeTJFjUCO?AcwRR z4yrc?XY+Iv`ynoq;iM^<<;Qqnt|OA8REM-0ht-g632nI+&oTMr8Tq9LW1{^{z31iR z-6?OlA)X=-%R^(ueGY)j7s9>9it`6AJveV7=v3zu8=km5yX2 z+K}9V241aFtkvUqFYsJ*8XygNZJ*bz<_n)YDy8unWYWIUtaHtt-8{3b*B*9hE9Sp} zYGrGlT{RM}7B+>%bZ_IYm@v2tQ!c*);cL>F#L8dU z^z1$o!9y#K<`J0_fEW>TNq++S5MTQZmOnu0T&t*a4jv!_uj>HwSnzr|!ff|ob57Qy zv{9GQi{Su=0{lh^FZx3OFrN~i=Kv9{DsVbQ-ufylf(mxpdT}6UsHfKp9047Na>1SG zet3W2DNgqj6lPI{HV2<~H?@(?l9jlu~T&Ipy8 zuTuERNsA2=%h$Vak_@-!uBmq|I{C{%gp}FKe|P@$&rmA^j$#Z0fNdg2ebqvwD^#mX zr&yw50jSR!K$!PJYimaewO}SYE*-!W79i^r(d}KFlz%0G_|$gaZR_YOXV&W z!w&w&+dyEp!m@L+HxpBo8$EsmpNJYxK_KZ7o9q{PvTKa?VDR=6ReNWYd#T&rG9+vD zm}F(_8i;xK+!$z^D1X>(KF8zR&&2Zlb@k{q5OIlA);s}(O2gpwN$gS?(}CUir+hCo z05N90jV@XAA;GOZW4&yI=xmioF1&;lVODnJS6)OrMPs=$%WOTqk?K zI{$WbhW-aZA&3n!7bg1iE{{ zKZy+C>BypV*$uAZfVOn8@4j7La6<3UqFijQI`6jfsv@%i?+!Mqlk|+$5A=|Q#;{h3 z%w3^^`D0LuU}zq;XPFIcuk@LETIRCg2ZleDj2}Mro~?Ztz~TLuY_UL1Z8Z;iz9|w7 zF)@;}4*poqx#%5YpIs7kDmjWB2Hyg?J&x|7rN$HX^L=4&T`*29+x>@RB(9XKl_S%> z*R_<;ed~7Ujb43kxu^)AbB73k1<)&}Rg}@<=#O4(SaYC+giD(EDwF78qp6m}m4@vS z$`af7vZSL9N=o$`3k7r|7SIHwl4yjYvDp~LSq&!X!v(bzxG6FWX9mEy-{8P^ACPp% zaj*62ZVH5+uXVz!8!zdF_vAL=e?L?Ey?jLPUIm|F9-3TiB&k=?brT|Shh*XlwvCSz z+YgLA3P!aY(tH}v{XWSi4{eD)hE>I?*l(`#7-Ehz`>I%~UiS->qQf)W$jX{fa3vZdpvL(pnwJca6^n#l zl^9&^>)Oza*OY*-2k5~-Al{GqdTA#YTrurf4$Wa}V0Q0z%JxVOsWkKuG*jZjy6J%I zg(0@w8l%t3%~Ed=+j}wug=xkPcFlAVT)9)!6%4*GTJ4n>q1wbBb*AdLXnp?4Sw~|R z`7*O5%(`xAT^$f%>N*Xe%Z7)7u1-clcC4-d=Ei?%d@*a24*~1;1Jz%F+vI}to(9KT zBZdC%+@ZUP@$IzJoJ9%QEIparWXl|MIz{Dvr!L^IO?kWxNq8kl+Gx!d^IW``GMmVn|OSD%# z0wg<~i#WT^{YXkGT_d#(v3<>Bxb<$T9Y8!U)Nb_usOTQpr|p1<@humn=q*ulBnQ?@R= z0T2yi?+sda%^J82wZ?8D&d{ySc$`5t+p9LZ558-yvhY6B*PfBwWvsa$$pS`xZmt=e zr59qtSv$Wk#IknMH5?~ChSgkuyJ|gZv{Lxr8A3uNx@|$wD*S-`hemom#}jiCM4Apv z-A#!NdqmS+(xTpo(-Jc7wMK90>6+(di11bO7SI#l>fMU3cDp}DZpr4d;n=hXB%iV? ziSyTgB!E8)VZ+T=@pD{{_{{v0VMc&Ru$I7Suz-pFSe>v^OonOC6aLp_IGU^ptc&~S z&d82TgN03#5RMQiLkaqI7Sr}QsasxsZ-_i_kE8^BX|BkSUn?Wge=4>$6%zzkPKplVyJ^iqxPdf;Rr)Al)-58+(S2Lf6x2t!WoD} zU}5UN@GUl*yUonR$uNNjnDW|oO z8G+%4j~RHO_{S+s{j2Xh)k{&TT43V2d5e43Y^;Yrx*O z0m?~hE{px&F4?|J(6Be7ZM42vzSjd`3aoCw!C%(O%9)yS1aB5r>Z&XPYlxUyR9=cU4T)Iw&eqOsfaL#j~)plI z*a2tz?D6aiQ0lt;lbX7k=HC3awSUx=o6ZLI-Tvv@x`{ipuHGb%TNHZ4yN;txHKpmz z>c9vjX6>(7bXh$ja+|3hc!0I6(oOvm@>C5g8CiuQ;z2ktzG*u}wNBRtLpO){kR%C( z#P>$FmUj6Ob8~^>!J4A{98VSHta4I$4A@dHSQwtNO4jldL5OLRU(aqz;z(fd-|#*; z$3(fy0#sjJTsGDCz5K+F1{nHdZvmC259kFajYUnRhFZn3dPR`qB0XybU~|CgRx2R> zcX$p4x?mce-NF0E_w!}8eA@0#s&1jX@)uy=#c+ZM)Aj%pmd5gRpk5T_!*M>h=YtEd z0f^PXC z?N%9~`P3vY-|@Sdy44vVh>aQ`4FDx(HCPxF4(S9q0UJY5kw)EZgSkf~RTB4-Nm2RY zzTY&$N@(yb_F~`X&P;mYfGTm&$bOoT`jfAGtjnAs7-frvOV{#SaPPoBf3~`|y5GPZ zyST_efW)1NPsa8U-`l&sFV!z!puiL7y5Y9ZVAi;4u5h9IM<^?muTTi|ZH!;iqr@6Y zjP+b{EdFNT=|C}-zaz#s0G0go;4&4Gd>!DrHGvDl3U0P=a4S64oc zCK}pADZd)vddZ#C?cZTFSU3j6E^gR{JoV0wcsSEL-sN5_-|2DNp-71Wm=dNow1Cli zkj1~2-#fr+iQQgiPVa2)rA6s&6eF1BGAj7_D{Fpk;77lYsD8$-&|> zgm3&cb0xnN($MNUZC@Vm=AeR)GmlTozIvp>9<8~#&WJH{J!0r)yD)(CF{DQNVO0o4 z1WHPNU|1*1LQE7?Kq9C64#+Dh?J;95*`yC8<;$QL7frV^oI_?K+c>KyIK+0Q7*PA2 zh)sqWI$?E0xfZZ`^Yui>+FV3H&4%L#3GhOQ?6YQ#PmO^hdKMqJ*h`lMPV)44HN@uG z)$wuq?%nW?N`~(B1oNTg)5W*YQS*h==?TK;+Yc9*Gcmz~1mHUcSjZ^M-$o_dbIawVOk8 zwHR*nTnYG|R6@LAlRmT~V1CWJu1LDdu&UWPDk|@dI8PmwL7oS}gaYKjgGS7y6GCFN zIuhxWJh>gQOLZY^{BMnXS^96+e$EDZ*$jl>`YB8bMxrM5uk3;e_e?#JG&c6rX|*DH zhQ44E(|~M79yp5(rubPy=zzm5%(KNhPBr9Ivheg8xrqDkU`{7`*(`)$NJzdv*W`v8v6;MKZ5OHf9xZrSe$XYRS9_lSQ5e zL5Uoq(E2ePNu#=p$~ec<*#3n%>0UFM)CFpq?0?k|j4ma`WlH{tSt520#r8WHAW*98 zb6gZO%l(fP1%b01;*5qYOe0>|L{-F_XCenW$|@Mr{LbhVOt40;r~KYPoQg+qXn({( zehD==W0H$kob2n4k(Pr^azFecVp%57&*tQb$G#xKx@?#=7Orqm8h}d~=9rfp*G};i zK%sM2DlhXC#~UqM9FYHVLIAkjXRkK^7cvYz&)JWXKsQ_Rw>2|6Z|9z0HV-c}bLW^~ zEWAikG`g#R_u#`E*I?7FH#HWCb;9<1HEh>w_^cQyzyXQtx2lS@GF2X z3yG=Jg_2rph?ilf*+2UY$FXfjP%t$AyArxC^ey_n&?Ok#HfKoNhjsidtnz=x1e`_Q zKUyp&LYb2Qla|FQQ(Ccj^}=Z2a>qC*VE;Ow7>H*9Hlbk@u{xGHh8JDf1vFg7VS@UKMHiBWD z_&F3LCqOxHJuymzSZIr;1O%@kDusuc_nl2h>r4}VDbrs*6QW5W90GhF3BmxccPE(P z7l;}>o2+-v&aM1CRN*KvG}*b-`U&jj#dvX4o*eTz6UFzsePwmA-ST+SwG$@U^wShS z&ueD!rfTC*tQkTNh)H8cwz$uSc1(kfxFf8=FyA&Ib?uB^!A3KJ36@M46B)1HBfdExWGDVA)?yD zPrb8jist5|B})HN?UvPfQFL(slWb9juAd$; z?Jz)Np;C4Y6!}IPvW2uw6965@pfRk(uui8kviR=befoHouTZ_i&l))G^^j~7KC3sZ zQ6OA=Z1Al&v{9h#&3U)hXgol*gt7=BjG7nn%0?15;gRN{U5sRm@cV+;Kdmy<22SQ= zH6FZ<`#gb&$B>5C9Q?uH&7lt5aeJdtsl2AgaESsupg1)fhr1no^7*XpH%Jz5{zUJu zY9LVfsFFpQIi5$Mta{?ae6^-^{BmkNo$%>;w|jZTzZ;z-K4o)FV3Fa|`6-`^;f_!n zE#9Dkq4%Q}`-KLM;(>+)+cPXcFRFT5htpURis{ni7_XLpw;) zdB}--_u1Nx=6k~!#D}W*LIh=kQRqQ3QugD*$}5*9rCni4=jbRiDFSqy*SUL9yTnTJTLlhMt;_013nLnbD~dBD6BDBxIs5f{};Xdnbk zjg3yjHDk+TSc{6n&e1h1Wj4NIPzv|VOB2?vVT=hP4WbBwRn$?U2d0R^1WXNz^FUZx zqd{baJqjXOsEZ=f!WL%uPglC9LSyqE0BZELCt$q8#NPFjB(J?fW6FcAG!P4iSMW5m-Z#`xxVf zB-~45J8gwJAfQ@e()_*?8j7U%07-pkqnC8$u}|I0ZQgv%$t4^2m4%g;9lGlF8-p3m zPEWXeTjVnuU6p&7@Aj*mO&_2X^mp_{&)O+oQiS>{6hV3?r`_z^hTz2CODxS+onop% zUfd(UjN^_{SKr)Ar()`lidQ9Z5MlVJyc{lu5}dw8G-UCSQh+`@PZ5Mm1&%jkM2zM?icbDYymc z!iec|hTD%vIY-`IWB8BfnN2S+#tAILGP%}hFYm8>c7A1t&E#R?1@kg~OwnB!Rm0PK zeT-6h`xw+k9fwjhd*g3dW#dOkY?jM?6hxTU?#H*SPJ@_ZP?V;at|QeSV&9_Z=eSpze#> z-g=vws5M~25p~uPQux+DN=&FU8$hPY>x}nppyDarR7pbX&+!gtrH+Ongbe)t`r~p> zU8TllB53^Y{IDnxv^aT9YuZWCmQMOg4teuO!(;q5&IIr5oU9j7r?UYUR30}}c0cAL z*fea2IOBG56ttVDpiV>1`%;Dh9E=X>To_!5Vk{%m^=e!myDyu6dvF~TgfoL5Z* z&1yu!7&h)qWRGo$EuKxkim^~M5*b%KiACGC`;%yy4w?p?uc>_%?jaeQD%?uo)3_@N zyV?y4L{Ij%6=rY^^D!Z;>UY^s)_L$?ivh;q)X+R&mN-QlfCEl)>yHzrpy!ToJLD4f zHr&%6Pc~^LBmPqls9v<|DoW6QCTsE3XY~0me65eT-Y(!&lL1GxQ;UK#H za3q2tm|kKqSu+h;Qbjqt+L#Hhj{dwk;=5FPc8LRGD8XQ_&ri{(Ip!b$AMv-{K60yO z)XYkQ%*arR!4L8+bWHRohw{mN7|QCq-64e_#{e4k?1@&x+VUh5l|3DpgsT-7M^k>N zhEQxIPQdk_J)e79J6#pmKCO+kIN!a07qI;H%s?i2cYN-ud|6#Yy4pPU>zT^U2isgM z*1ZWMPBAa|H?|ZFdJIZ(@<;3w+GEx@wrc+t-r7m|KJxkOf~!mkcMxMMD{bkwV=StF zwmPNo4Q-y_s1W*0Ikt;ioaN(Axvp-$)}D>_Q<2!=j@*r&wVI!B2bXUCOj3zWt^aWp zWjvK0Lse_DW1`*eXzBv8FbJw6IgFkZ;Y%=C-xd+$JGpWZ5sOWXy@$C4($03pU8*JS@vP{P29}7fMv^e48?0sD-R5sJl0t=iP>2^mp2b9c@}R#xcyvA* z>6M1$!YFk<2JcbF!%dQ6iX#}oLeqXP;tkgr!wC=|n9T?A*~>>DqT*%_U)0Ev@J@7#FyD$0z_ch!REbI8s^05q^Ni4d@Kt^&whGT1 z%2)_D_Fns^T%zv)XOUPow+$!S%0c&hVGRalvsAXjSNyf=v7jqcrXembiLYV#`8bkX zy}kJoGVhKGrvrswHBC0YyOo=y)~)+euyMO!r)2?O%@#|Qim2D&h6~w}%Vxai6QFnX za&?7hei)VLafi6IoZer9+T-&%y!gSkT!#jN$Un$=l_6Xm-!*3`pvF1$oOd&JR2-_* zXU53FmYgiBZ1(v~mTgTDQ(B!uhb;eEz+C*myl!jxdzRj(9J1{6$zG!^ecAImZ8%azR}lchanDp{Z}0svpnLIwgU`%I?V6)R?3s zOHP=K8I?RsZm{{@#I!Yrl1FBmoT~ACnnXh#B`aG(@Eui%>5r)V*+r1oszGJo!?U3> z_Z3-a?H#w#>`R7``C*~JiBz^OFrJ??*+Cww;|N}2X`L8vaUN(Tf?(hQ;O%@&CF)ZJ z#6|~rNQ=E4uO*{yfE6{}pRPde3^fb|o&q%tCC~Mrjdg4su%ZrVCo%bsw{hSZsHLxC zeufza(p!bREe3~3X4j$X2E=QSAy;Gc^9?LlLP=?cNYaDZF``B-%_$1AuQ$o6#|c>l zb_6S$tK+iA<} zuA98~r0a;8&a|vWEaLmL70o>F-WL4axp=&~E0L;1{kR;d;BoSrS$jc3Qfw#*#e3_L z>%_Wp)Kq3Tm3t8Og7mv4u%`4cVe6}oS^m?zfolk#H%X_4bxkMnZsNk&!~NS+u!p;t z`EH^TCQ)FXj;l05FE(`%cQe_kS&4Hmr{ZR?4Clb)5^jatl>BDuve8PHKnRF;f(225 zZ=2;gN(R9wxnlh|j!K}l8!t3#M)3XS`a!>$e@-Po5Gc)M(-xW8T{c&QH)dyegDZ4S z{=_z>VyU2FD;@TOQJN4%8}5TcRrTEoK4|`SE1wnj{lRt3_NGqE?5lCWLc7D9*%l^l zh%d!TED&C0wMy=AeMDLAc%4{MZcO+?uleGjv!@J9yPfnMSv)y$qf&iu&7-64*QENw zQjGyJ;pGOoapJ)GkT^r(JNz(HwA6cETqL|x|2L}gV5`Q{*1CKK#ronUx8O>ve$Spi zTUMk}^38U&Ov7to|NK(DHBZkCT-pMWE;Ejf8#%@?5>V%&paxP$M;#CQE0Ruct@RQza(VDS5X{ z>|;OKY1yWHwOE~|$Q+rvbIXC4D>|741R0|aax+|S)|WNbsU6PmoY3n`bY3>OX^2kS z-3Cv?-9p^l?3jlXkFURroLHIdxOk#s(5_h4H4Wb^u9vgRLKAgNF5p)m53XLtnNw4r zTbGZ^fp9MyB@>rxwXe<{Hf(Mi4X*biPjIwb+di$UxiMK;6*fJud_YfGQSTL(wQVjt zxtg98THE=ts>>3>g{>BZ_8Cf&%Ztr#xZf)pA%l32GCZm|Wm&eB{xM7Kwl**OZ?}c` zIG?&|`M<#RTnH?+EL0F-`@f`;H+9nI>=<_a?oamzOg2>Xwp4XBK}9*8f7H&W*qn7& zEUIHS5U7LgA2-zz_%8KV4fwwBfU1=F+5@kyizisM3cAm8ja-kHFgb4@p$w~!Lj2v#PVmhUhtM=TII2hU87BuEv$a;P`JsYrN|LKOb zLg+XkazLkgeY>Oe0a>HMXFJ2m-}&io=|Biw%2HUmlGU)#U}eSSc+u^+{<@0>B>H>u z=xpI|tIWi|t>y=p5V_vH&}sm`*}lp>4&?hS%I0s+s0KeTQ2d<^mTwqUKYBz!Uw6H& z3FIX^Q07Q$TUUiIWEMZ@TX;`TM~D=D`TM2Dwe451UiG3Lm6@eXm6$DmiQL?Jw=MMu z!pQ3ysSu6mRZ<$%IzrPK$JO}<@ldr=lMRO}pHZ&cb#$SpU(Au?EvC)B(Id3!7fOj2 zok(THeOyB%S;JkAZl}nB)05NbNo&pR+f3|>$szSsvEWoQmb&*|>c~~p-r@l(5{p6a zHw{acZ7JkbIp&WD%hnpXX?K6;Fx=zZYl_armUvQu7k@Ai+m>=$F^WW-nrFYeF|xRu zd1;^yppzi+V13Ts`8MoX24Jvn^Y(T*YPSvB`!iCE%X>Dy{vN%)nq%7Zy^yq?G&>5WKLdz-SH zTluBydq*_Jc&0nb+WTlY2u0Lpo_1)mxZkIbX4Rsb zmtiKEb5_ z7Q#qv) z9m6}h5JP$6j4b>HhoPDWE*xy|7?Qg^^rk(u>Kf+3sSI@xm?lYmK@{33fuvZxuD1*; zek0Uh{(F@50AYu!+h;&D{SOskjAgrsIwneaGX0-%pn_74iwZ_LL5##-$}q|nhAP#2 zu+S(!brZ{RJmd0+8YSA=qKRA}8im71<9FY$M+)o~M=S>%}_!M|q7kW<;u*HmFk=HPq=5g~-jKh5mQa{9ODRl@&;7NrP`?5pk*IhXvrY4@jk&!t_;yE%Aq*Ho* z^(<bqCzWL`-T;$d@L8xBK8Cw+&;!Fxr0mcN z6wwa|IBZndaHv8o@QHA%beGBD0fc@Gaj?Z&{pBJ-fB-H8+-YqTh#@fYmpH)@TP4Cl zy9sH7K<%q~7`m6seK5<|K+wO3J{uwHt4UwQcf7cPE-4(+_IUMm9$%2!{_S6Qd+_3nbsko;y}>LB#%t^)ZYDVIPe@z_%Q zELZ7?{Jl9B``2n$>eJpm3|>HfsS)I}{h5@v&t`5f?=e$wy}0Uo*DVKEKYXt3_~^+sst-77j>XtNqgYn`*?D15%0vf3Cr0X1AGsf$QQY=fkX9A42y&DkS$_ z)82vU*JCSy^yHA02jtG~hTLe06Tv%MDG)sA z%`m??;24fhl9^~NqN0NbWT+$xmLgKk`;V=32@gDf31iWJn_|*FNxZnGiG!5rK|cvx z;w234vZ4nUytsymgAx?}Q7rsuaOmGu6w_yD@nRJ{tR@MYtG1vT-IL-;fZ%V>v6urq zEs7NfE7`emlFI1*M-MECck!=8pm64fwarg2 ztF17%izMa|3FO%CqM_ZEGafeX23QH9KYMVNZVlU3>HMdco(ZwF2M2)^OswARI7`uT zX%Cj4COKC<`e8Ax2G)_uREC1jB5gzw1kf9F1Ss%l(YZ#0E3Lh&)5=Zv z@Vv$0xF8F=+|{*zA(N}F73+(#*wrayiJZ$KkOT@M77Xsi)5AfCAmdywZVWKf!&xn^ z%+J1G&>!+@pCOY=+`2~Vui`Y+>1ZkHwuNUmKTkOo-ocd-aI0)YTX3+RaX^3C`u2tu z&FJkm%tuJL^VjL4TWfracMPSf<@!VA6fTh<;1w;ibFV$!5*o@rB9bYTJ<@r}rk|>J z7yYGs4>MK5CA@OAgqpjw<_qu0Sr_=YTyXLo>rlZ@WdHRLxVoY9EBu&;Zz!d6gDnv7 zOA|6J(e0ge)|qVqJk#ztlOGkl7;+GXp0CZY2qB&Tn8jZmo;sIDT!Q}+Ully6@M&zr z=RWM1H;-Cw`$~(C`}viCh0S~CWe+?(4#5e{dm}8(fR}zk;YJ3384CRnMaaM*auEhM zF9KxaW{<|g0U{o?zr{;kgj%-C0nNK1tb{)AexKq+bw-$Q>ofuCMHJBrG0KPsCnR7x z&M_z*vB@6IJ1MNFmLeu&O&jN}RxnH~J^+im6YgG>SFEqa?Wjz@oeKqeXVZWj6c$ti zq8tZIrq5eWki>fi4npsm@HQVzV>bZ34r7=%${}5B>?t%FT_b-C48iN6O0mhDhH&WC zO$_$NFpOq2?SgCW(|SXK0BIgO&zQv_um|XbHU;RgdS(iV$HgX5z!e?1#6T?)JhZho zVO6MvXu=+9c9UNXvaMsZG&DLAnnflPQ*DdjOR{emVOEy!&N*n=ho!=a@pOUn)Ec} zBms?aBoA*TTQ1{#+7t4E%*JOB>38ogs=dw+w4uN|Uztu>8ep9{U^;OOHl$%`x33%bxN zAeZ#g;?U3Q5mW!_tKz&$G3z|a>p6A!G}hsMA4JdS36tR&nI#(%Y{z;j{Mx7SDkVP$ zRQgV5YxvqxwY`(wq;PUsWsiNWI;iMS(Oy_b5LkPTe@VF-Hm6Ee#R-VR(NPte^G zCG)MxPXS4OD0It0z>#T?S-g}U(|o$NUUo}YEIq3k@4n|Un?7DqtXChsT$8FzS?5;H zX}D5|)rZwwt?!RH8#eNN8{0Y{Yi`qWd;2ptdvU#=+darjcCyref%}vAXKKyf9aG`- z+Bx6!w7GsVd-FLq{=xDE^ ztPd3l_E(9ow5^d614?S#Jn8eM6{l^KSOJK#pZS4uwTbNAvtcL-Vm|~Z=tf2R{%|^ zz6IWU3zu9!p=5sr^gYj%5`ku;XKq)ebk3j#KECn?+DqKjSD61a+o9`L#M`sB?#AOe_^Wja6Q+X(c<9^X|NTumMj14 z+YDEsB}~v?)5nl#q{VQQ^-hjn)wZ-wSVpf%u@%98g4zy?2)r2Bh1S8B+-`()0(2U= z3XLUVaxXMMiNa0Hwyl%UCqNSi?4(8Dp<+XCC_yYl7WwF7yTems**N4_}X6d zi)F2UBc5SrcBX0~VZ;OdX8+q$Na5kpPHC8%bcr}kDNeE7iq)l7*Nk%XV>xw1U$YZ5Lh%svxgY44ju0(9GF z!Kd+PkRW3tYe}4uHYfNyMVAmm#Rqtt+xDJm|FHU& z1mY-$YddaXQAy7UUl5qbn5iAh{P$ecE=hsL!00?{c!vPSI8BoV;HPt?@0cE zF7tzXwmwhNeRff8E#yTx@MN+oh17gc$9HP{35ZmEdF?j(Np>SU%u%zX>;g*zhLzDP157lH`nAf=QiO|76oUwe@PHjpNayE%(U)PVcY$&rWK2ev1 za-TS5Ip}(X%ji?;;`M!1`Z-x~pVVn>WwcBej+lwoh#83A7yA9{B=H3#8$txSYoU5w z;GBfI$hf|bPlWwl=jBrub};$cjf{mn`TXRf8J{xHDN#g4cQ4SyvTd89!G|~;so^xn zv4;naS^Wt~5#XvB|2%cfQvYq_#&j!JdG&DqGay=mKQ^foJgk;->=g`bU!52DmVg16 zkh2YJ5Y9#bgs7mNmS+`I5p+LU3SL-!xeS(+i0-bk{u3HA&E;I2tl5|&GvWEXz7Mj2 zT(|8jIC{dEj2Y zQui<-`t|#~-E78`+=NfQ`VpHJOC_JA$KT*pQ3J%nLGfad;;CmuC=wHb09jVhJ~kLr zCM3LMcs2IQ&A0YY*iO4g78*s!o=GU6oDf_ z$`myTXTfwL&b7|+1yYX`|8a=&X`Dng581U&$v~4r@d9CHi(D1n8WaZ&w^-x-i6SG+ z*Uob5o4)yQHCDjWwN9!;3zwn4`#U%bi|UC{IBemnZ8Fg)L$U=jKmQWpksJ{TlV?S# z>%>Rh-}{*)tT&c)22RDF|a;9!or0; z4Xp$O!8h06ps};jWjEfVu+V6Kd&^dkXSoapg%ZNLUV1Z>Ba>9wgAm6gf)bcnXHLI? zUA;Oa|GU)pKV97a52)O1AvVH-@s zyBo2OFrD4V3uvPO(~B+Z{ZUN>owTX6+^~g=mEKkE9Pp~M6i+o}`{O*R0+9Nip?@g0 zq~d#PZ(7=w=2<`6dm3B%{wVYO{(D9@lc(+Tw5ak=>ib?zt{RZ~Eim84cI3{3x4nb=KJX1(%eU#>$%PR1esg*2S8@Ce&}Fx~<0e<_yTyZV zCB2n#=-tme`hGSy72~t@DrY*)a=2to94QT4N zZ69eyubb{@zfEa!u^KK1U7(>JdaMN+rkhKu-E)phOLy<~b-RCSzAW$AR@*7?(EAMi zcHYj9Qu6`raH&;eQw4git#~|*Y5_P4l?zmKxjPFH{a4#2Y&^Qj307`go;!y4WK^X} zptIKSg|^T+Zmq7&tHp+u%kwxa>QD-FW$7SZ!?`Kwv4=JN{@hiq)OM8>-WYgdZM!6z z#;HqyE4q!YXGroWt3-YA<6*Vf=HR@~sW=a}=5h}l>GLy9p0nxi;L7{_ZtBl?oMGRM zD41ba8*IZjKD->yjV2eS`o*0C?;T>;nwy2KbHDvMcYiF@a`DxZ{o%78Up{eud(D78 z!G7O?%O%)S`GM3H{M+lSm4LC0GcRg>J3gSc7JPg!)#?yqtFQEzALZ_)Ioi3+xd)9q zoBhHSX``c`UN0|Hix+Oa@bYK1hHSjbN4<_f1VsmXUj?49!J&qqEn?3baJ3Mc)7O4` z48ahEeBPaXCPyym{h-qj2-q)e_pLTd_x{er$Fm15i0Po*W(bJWVY9+b?4M$E{5FtW z5803BBW!GoJ`)-5nC}B|gcKEOb_~*X{S3ZJA=P*2fj2sEqdP+5Ww|{WuWeke50r5B z_bAWa5qg9C&>Ki!Ori@m7$|-|ag$Gw?-_l5?K7cbbnOBeI?rPiu0D{kz)}o%VGCdC zeC-Al>9|}oDYkI7XIk>S)Ee<8M!yzgYjMD|YQE5-Nb!)nGJt%6Yt7&j&~JbhH_I0w z=qVab&wPn#1Uh}*;e+QF@^ZWU7rAk|1MG5reLAlY^ghWe72!WVuzle^xOuQ%&QIm! zXyol;O@pg64BYDqw>e8;ImGVU={4%%t2>QhDqT_SUbj2h{Tae?=lHqv<1~JV99JbEpQ$(#a-)!7Tk_7{T%YngdkSm`&SBX#B7Y9 zzmc0t?RX&;Gw&Uacs{qU%7Yt$v~5sHUQlF$ig?zfJ;A- z?ikh6oyq)`GBA85*x;Z+AIVqKM(df>`H3T^wcyoYsQWzg;`0I9X~P{BUh#K2aBf+ z8;mi0O^r}xWzVCJN@SlkLlrA%$d?2Q7d%WJlLh7qp$DpRNdai;V=8D0+m!_G7f9aW z27u|!z{*&gtlW^npB%RlCA!gyKH~)YOa`rI4aNYlT~V1Tuag}B6T;AEey80-t6q5D zuujM!3t5vvDfyzq5lUNxziJ#ZUPrsG;d;5oto^RH$z0$x{Kae{{{YDN7HBX+aaA?% z{Yq8hydO5tGcY>fR2V0Z?bI&WkP$RNW60zmG|y7fWQ?Y7!lQ#kv(I!B=c3Vqx^W6z zL~xJF&yF)jQplv&=B2_6&QpbD@lf!c!T#eDsuQGkH1Qg2*iZe#fDK(9^B-)t7AsQb z{{)ry*3ZM|PQG=sRjy9GhHSZ=mFlH^B{1YX=z(DX+K%(M&Nt4NF!U5 z+9U11@dV%&oQJ+BwaMr@Znlko$prV9$RTzO3MEl-Z1PXQ{7>>&2AD7(J`E%@O0)qzqwK(W-MA`>#E;FH`+mM&ctivMB@j{Tq?@9rAI@Hbnmj-S8nUl9tOKI3je^&-hM86S?Jfp{GoY$=WQIWk zfz?NO{J=bvWL9hCzgrW$O0ivJJ!5#vKsqh-%QjUZMBy(|7(}%bs{MfiFJ2(nd+nCn zH5ZL6Fmt&|Ck{C|)&hGy1qR5dpAzxCdcvzrVY?;9Z|=|IN6B|z#%VNG)9oC@)*;}9 z_&2#TwRTD!gkLE~HMVhXY_c08woN=+G2@pzpG-5U)VXgs{fZAz%Z40CGLQ*#gS;`G zB~|tHZ|#D>bDt8%0VVMH6%3(E zLEpvxvpqF_7-|JY7I>HjXA2J$q4Tup=66!xK7svB&L0*|U@GLmcw324PcQCc@^;|( zIdR*;d+p!YFMrb5Q`~dKaEzeT&{oS@i=pK{VTA|=u?Yujr|kaTZ|g5!ph{F+0r7X@8Jfi+Go*+YfqV4| z)OZHJ%^>$I2U{kl;JuNhAb<(1$iwe`RT0VIv>RJgo zeIB{;moo}2F}uB;^TYLdxq*GBm@={5_3?9$Zr<9hnG>@b4#uJ=R2Rt6Es8@!HGk*q zVvqHtbo8>F(cKk_5`Wmau^6?BUB>yE)~)TC1#PEN8n@;2!mbLyG}D=`4&>ya!CfPr zeTuLEENol{tH$jLc_Yi#PR5<0D9u1^$ zBiF{4W5>u<+K!iRx`mUS5aRFIE9vuzD?Bc}?YEE0@uRDP>zpUkbzRr%)yKQx;}Yb~ z#rwOYymJU!|JNsPI+o6Uq{Zuc68Vma1pX~rss4T6{i!XZnhCAAs_MUf7tS7#N7$lm z$cA|n@ANxFr$e-9&j#HL@z{hs^0a@Pw~OQNBv6Ogo?Fw+XJz5=!?w~y)f}0x_NC8a1N|*)d$sUB_Zj3{f)rJ zZ|&+)d}M_+3AK18yT5hi9HOCEh>fhB*Wv7cYG71_%9@jE4(or}9EoJ}zUi^HN*ufK zYx4rQ-uY}0L`ZbigkG=|a&0lz*gRklj)uyC>k%%ijsp`W%*MogSXjs#D2Xsjf&+K! zFnBp<7KBO0{9QT>Ea3M`r(46{>%MThurq5WJ;QY>AHShHDrLUzYlo(x!*P|_Ke6X4 z$3OPe!*0fOsQG1r0vI{|Y-M#XnB&tmRz>Sh26A#C4Lwld9EH|*0d6Q7pPW6K0D`AZ zuO&#VLSPgc!Py`pxcXgy5IrpWj!tse>6_hCC^j@KAI2)g z&Jp5f`*3?HN}iqE>mJuRz@|qPtz54c6HOt`4rZ(jP>vNhhukhV1&gFb-(^muEH zpH#szw2}UuYDPhhGhO3DAp&1<&h2)%TfuEySl(LN_O}+LO;IPK0d(S^IBuE_tEaecJM;l2s;(M`!+#y zpnc%^-0*KyJfcJn5^{Qv%ky={a#lUO--mHr^Qu)yfeo1@94I}jpXf-srwzVR&o{Fg`xctfT>}XL? zpGCh(C6dBcfS&R^rpQ{tj71eT_?AUbYs-o~Flp7gtDwndVSCY@k=>uf>4`~@zc>r> zNVhP2b~_&fDeZ0sVD^mU7QM(A@*{&iDfd^2Z{Z6xacwpu4Q;Pq!xvW z?9jz?Jr;xJgGdswr!`SDs(+uXZxP7(b1bqJp*MyN{jy#XxwXDrnBZ{5J-8*DqOXi0 z{q;eOldHc)FAWpSv!(Q#VX^tSOtEVJ+Yo7Hc+)3)8s_l&dixX}r{C4;trLac!GRDx z8Hphig-DW4UyCn~bSf<$Bw6#S$!9Dx-7?!e!ZI5^3`XA)S@lSPlWZ|u|8qFCENV7aq|BNxggP9JMU^NG&d$i%tE=YCp(8hTiwIMUOSaP-g z`7xe_5j0&u8CJ87gsQ}Tbg_807H!I|@{hFFvpGlhsHmqMgSG9 zlZDRGzbR?3VJTFGe)*|h&mm)tL`5ft!%F1m7Xr=J*MTXKW_uVb9jwm!4fV6d{=}4x zF#`Uv(0=-0j1BnRODy@5f|p$(-kLO>YHm0$$-0n|XDyOl<&Oz((E*B0*_diz8KaIz zV=85yHC=-wC(Yjg!?=EanPwtc70aWAKg!%I3z3ptla7g&z}Qhk3R6YMg%T{kmCM@e zXhw@rBvH$;KQY^qsV&-+gDn_o9>QaxGZY=mg6Db1sQUKIs*umq2O);luDPiDG!$s(cDu~ z60XlXmO&|*wBMQ2D6yvLnx{Bxj)I>0_w!E_G5*KWkGKpjg|ajaSw$LsqdIoWwq*K9 zW#}TQAF=8Jv_Is_<-OcwX=73swW<1)Gg3X0VnC6{yGm!H;K&2e|1ni{swj943`?P%UqFQP9~l&I+?)g{0MxYq z0`;FS{sUF&zd)TT`WLEF2Y1k4;UZmA4v8lJn4$gBqxtZ;KLDM|U6f-*Ad)}I?PkV` z<`Ofd0DHE;7&p%lQQW9am9Lyo{h<+|d?H21D2YWw5)c>*0`MM1TE+ZGq2oeo$f+|8 zQx=3K-%t}h=6@4)DW*u1q*wVpotyOk&q~17BjR_KE>2LBm!a3zspBkZV=-hZ6HBI9 zZtPBw6;pFq^C|r5S1#NC7Et%b=E%rgFg{X*mQAtDkUMP^)>4|+>`(?#Dr&zwQ7-RS zS%9rdREG7)UoE6hS5y@h{zvd=fn-TH<;)~CNf~xE9eq@Eru^UFaxPm3l5Z#nQ!28Q z;dvTTj46Joq}o?5Oa0H;Qh_d(1WW+bEcaIJnxv9w`p?;_0PH|{hFp@e*b9B6;{OU+ z@cB25^1UuBt1{6^!E#JXJd4ybX!C+TQ*Mbg=`aA*0uWfUzl-J)m-7DwH-ZX(8IjIw z14`L!fv#~fGvKB`a9nWzSyV<0gYGWp0wFlgTs zm4Vt+{mK!nQ~x!DBVu9K{y#!kD7p&`LX$SdpF?uEPCt8o@6DS88I|!DU;p zmp)yvdeuZk{qxnt&e-P_yB``$3rxm8)=FmBqhf$JoQiwO0Dp6cvP8ZfUKHFeZt@&( zCaX6>C~gSEnd$rbL4b*e4clc*Tn~m*Seh^A5eW;(-#n47nM8k zMxJ+|y}aM&wO;SQ6xZ9sJ)&>t_akxL+uygl&(kdj;|Ba z%dc4%z(31bzOK`~hLM?qbKcVhH}vjv9Pg{#?dX@y0kiwXff0J%u8fmk-xsGs8|D|W zwwy7<0KuC~r2a}pOH4v<@~3mpS7r%%_s-97JLNc<2V=V2>hSq|R=TYZ;1 z__mv0D+BP{?OxwchkK^$Jsmu7@87_?wSKR+PxM#U`z?qxKDDy0rC!+Q0)WD3OalAY zu;fav&@H-`9$pNRC-aloh3e;#>O9w8GIcNKfS#AH+d=@9psj!^IPayPQd_er2W=!- zz2ARt`=CEzK)>?*++UxX&fWalH%Kjb*iTmhjWSyySL_d5Ot@WoNY)@@m+Rn2e#g5d z2PQ9Fz)Beg(ZK9*8H6qNAveZ030BL-=RSkuMitj_O4D=rJkH&PeZCO*EzUV0b{GTu z;z~zdG}Fne@DQ(17rdV+g(E*_=;J>w3;qXlZvht9lC2E`2~Okg5G;7(7CZ!ZcXxMp zcMIa3{EX@ZgXiGIwUqoVl5E&wpp`^L0NDRPWwhwchovs$SB2mx`Ko0Wi2M zrgZhkt=-lm8s-DIV0o1nd}h4?kW?f~>B^TRTG4)`X>YdO%lbmizD}gN(3dw|ILQf7?W!l&()^g+FZ)5YPP$68}jW@_|AK4fi+uSf969m`TL$A+i9{9>CcM3-_QjD=& zhf(f(-mzT|5|qESYwubcJL`9hJ~lkk)PCcBjlF_hVemuf};ztzz!RPGZu&Jv<$M zaK5wgbQRj`PrT`0oI}V!#d5=i7^FqW^utQB3_g-RL|B64H3_BxOSdYSI|A{$0xl7IbgmyFxKH=wo84nf-4j>HCy-Xw z8S@EWJ;HV(vbS#5!ii9h1mDr6Ot0m3@}ciJJ<{U`r6cU@kzt}o>U~LEeW2Z*qNEt? zNt(dzX7f8zW#Cc`k0wlWBCZMm@~-NL8HS8xuX^2r+xr^12-Ss@_b)A&n74a-Wj-fqqeGU^H6j;h`3>gelg#@AQjhc`+Y>z1($%3ipwBnBBHj5WnP zz#4k+6g4wYwmL&6^;&X!qk&ULj}!W$PJQAIuXm$A5@;%X2W&83QHF7cvw8 zfcVDXXQ^x6e7vWKiAwGft(=hr+BTfUK_SAVH=h;!+&6_QI4;crM4g=BxJ5W9mf}20 zmy8h=e2L#cMmQ2)Z9r%adPQc#JmVN+J+OWgSY{(_6j^YPMHvkD1@kK(PSJoXJ7l+@ z-@6O&5)1dX-igBH4DPj9#oi3c;G;pzuNeS&)V4r2)j7bzF2r&V+of*aTWlurBq@2< zRv2PG2BG6KfV_U2tKU$UgInNIM(Pbb-ocdR7*WL|Y+#O@ zH?X-k$pyY8gllCBXf5PsW|H}VN|zxnC^~ICBIt`w=pCkJ`MHrYIOQSJI`j7^)u$1%@_YUryW>QT+ zXe&dMnY6@cvQ&FLkY$Ih!denC{*j@!BP~~32JbzY!T8c@{}9xI;D-r|;7ZN|p^-uz z9QH-)w4R52Z3WonK@rf@h-}}1f^a0re00ic%~4#7A%=v(Lfu77w?zf6+Lhn~7-Z zdGS0;-(#>F!vcUK2{ldLuY{0+LQmh1MLOm?_L7Y;_WnikBuihe#97WvmY(n@5$2+w zhQ#u$rfpI#ObPpVcgNb8Qxm@b5-S;SUvJ4mS~*w3*hgbejy zY9oX$ll*8jb1vrY5NrHhOdhJ9YrHn#m6c8Hn`+rOdO5 z54X!UaBq){-Y~M~(qJPwy-NpQldad}i^0MoF*=m6*I#z0`#f>)nknVRVhejITQ?IM zjYFOM@mvH=K#?RkNrGiO+TlRL^-Od6ZRV!=Cfcdew<{c78Tnps%3$gN%&-10wgn+P zKw5V$G9siIw{ZrJ6UlAyWt;&QPmo1FJZZi5MHl3~tmh%aEQWD$D{2@PY!Ul2)E5V0 zpfcbw0IY77qZ;dZ-uEjJ^Xz4!$d_MsX>pPxs;72Y;QI2fBwCwwJ#+nHc^S#RRt;UG zKGUdLkf;^3Tl3MPl{%c9>dw|3W-3=l{9d7a+2I3E!H+7?k}(r2Ql8Aau-(GPs_oV8 z>5A0MRBt!AF_6|dX<`t(Wg4hPJulF;HV0(TDbm!j*IfZHt;ymfAY*=HAQl6%H2s4o>6DFWB7D~LhodK*rwUtea(kgR#Qvr>uip3E>Yf{+yPkC)~p z_t8rvnu8$Av+n^yg+ik`M7<*tau^XopPI%8c#qH=ZO-&g4E9Vn6Lz3TxiXbsu!9a`JF(Z#x z3*o@AjZ885B)nrc5ic0auuI8}hO&W6GO_O;Is-8?pe_G|-?c9x+PLv1+KEkJ{Iro2 zj4e1F#CXyUj`KV`#g|kJjLdL?D`MXM;vt{pZnraj>@l{r*n)&>b1F( zGLC(XY{V_f=Qhc)PyL{WwvSJ*9>RWj{a6h`n7WB`lzMz|n)SsJn*G6a2)%gXk z*99V8sO94w2r3?O1YmZUlPlnI_lIgg*7u{}W?&?eRFK5=Z}cPtghCHV8@1@14oMXH zI%g9{LWVx#1eYnW*rP}eQC>AvKUR{<js zJ5@3PeN*4T9AIkhTf-d7O-nLhM!4@%LPt}fBmCMK;0HBehKUTS*_{L}Y=%kfQyWMG z+X1dUd13+(K=+2tAOU-BJiWuX5pFMJhEsV(YnuFWA|v$k;dmd)cQ@A?*nz~aDs_Sb zlUNU7`A@L}je}iI8U?*)>Gh$VD{KF&VO6CIq1qiCu=cG=TtKrsdV5VH3kIOtjp(5( z`NKeCXC$UZ3(5==`}t6kN$_(6>G{0~4y#>R<8kahaRKG-ap7_7z47>n{a-9Jt z=b=!3zvn@)TlEC8`OGkLM0SfakMu4wAO?AMuD`!@{yd7(%+H2L`06YrlQBRb;gum; z-yxInMs^aOk)qK4$0W{7@O!Ytcuh0$4xT01L@~0d#&HF8sD0OTSl%v^wdOUXa1|~z zMbRT&W7b>#5S1N>16|mdXbiOkS178tZ&eP@o4VkF<372}^ZJ$fobvPfkMnns2B(`R zke0a9j)v=K)~L|F?G>>W99chxZ6|S95K$ zWElR%LUKDf_0SHE{p+CL_#kZ~(C`Y;v4@xx4V*FDVV7w;X!6vhxO+ds%!C*;O?)+5 z5tPci3qA_5`-eYiDoZ>?`2ObY8&HM>6IGbF6UX@;2-nv)5~Bsq^Az}kOJix)%SO~x zE530CU^>mQ2Y?~!MK(3@U`y*tT2D}`?%@b0E1mtzI-=q<9pQrkyT?Vh9UO#;07!DE{F0+-0wWu|6vD%P;ye?KzAl5>e%6;sWt6 z)&d4$&<54!JJP^*q}fw#$zk9N^@mNM*bu1qmO#I}PgD`@9`~Iz`VwBtIAW3R?L*cf43=-P|2@L+V zek}w6VyAm}ukShuAYR|?Xyw~~>_}6rENgQT=zjh>nPL|N!5x^kV;gU%f^3+~pPBm* ziww20o7Foo*FTv%UjuvA0IGl&cwc4cE}mw5`Br4ww=^=!g2ASAcmc8$Ad6jwt-=rj zQz&P?A&{LfV|UK1`|;s(o-1qxwmL)d)9bdgD*>)4S3Uc;;REE)n_zFzF`e2Gf+_=J zg|pW^OYTQ?r3Vz+J+9a3XA zp&>0j!xmtq#MTCBsVguQ5FUXIk5YJN&)2KatwSc)t=4Ig=F&WF2ykpc93ptT`jL?f zpa|%Bug0~c-}%%{276r%Glqt}U^0q^yG?(~-_7OBDX9Y!&88z9M#@N9(QvAogfnB1x3tRd<#r3V(oh5vvaeF^D;7Ej`0X2SZD#7HC01Flb z_WIAkG4yok@a_(b#x|T{h|NCWF3?TOht<)6Ga#QP2+Q6Z`ZcoNw8ayGB&pVe_1F=3 zT}KXmYjVruX1aDH)}vk5wwq&zOUnbnI&+-NA8h0uX*S*c1PIT6yRjlY4moc&uh6cx zPd4UIu8w*uX`3%{#PVbLykd_@MS^}5WJ>e@_{K0cHW`GH4h0VC)muror73-r?7V~@ zE|#;^Kxb(RzuN!U=wdfe>@la1_=F-T_6;Q`c7aNttu8Y_M)n>Bi>3#W6xd_F#1(?Z zIn{Wfr@o-TD#bm_BEdxmM`nT0C-NB=Z!G4bJ9g@8+ukjoErK1L>*$rLlEiMBXV~Jn zUB%ex>{7csIPfrqHR~Sf!gsZ!S$;a+PBbx{6Do)``t5{o@csq^s+J4e{k(l z%R6g#(r$ImzODSEVwH`PH;$B}RrFrJF!8M3X`SO6(L~s({YS^Uc3_$bsPk~CR2X?gUiT7ivR9x@$I zX0xi+l_CRWf&YCifTTe#h$rK;|I5VrdEMl-9-InYLNgi`M&(BcGRMI(d*^a^QRER! z7GpL2IFqS-}O9MsBed`kEd-B>O-q~#i)z}AjQcCM--%i)cW zlQ=gUE<}z2G(HUe_RH)Jh$?Zw9J_jHnaeIYTI^w!W*Jvltwp_ex-IWMNw=fFb3ptW z2ds8`37Kq_s}^;~yFN~)V&2ncD9@TpBj6S9WMT&niy(gGJY+&m-&hw1^igY&xA|C| zI%jCL&~$s(l!n@s5LT1v>F=6n<&;S}=^~bDk++2aov&crbZ73X-^5!ckMrqE^;NyA zQ6p0=8Zgxv@g3hiU=_8}38(Tm6LRF5-9|0x70XvZO^)Xq%-c50$JwK3j)*|2wf}TU zHX2RO=elaH0DJ4t{1NkK;zB4qhem#@2A?y`EC7H`vrOF<0JIderh-eUciXp-{~0Fs zO`ubTYQCcFW5TSpuen6;i)MD90xPaN;~x*@drkqw1FL_gB-R0e{9~M~#`_z)G~s6u z-8un$ZM|XaR2rH;BWkBxi05uVy%boD&tA?Ta`!XB6PL2f~j3;V2X*zdP!5B_VX|1;ZdePPtDH%TdMPkhL zs@QKJG90O9>_yhIZ2>>#-o=cQLCg!1Y^?mljG$~?X}oxn6kraYT2ZiT(`a1;f0x79 zwUPNzi40T}H&@trh4Ifw95Hozo5dnKcaLR_svjaZq0()o11m9Uw$X$GR+b>t9`S2D za6@{U|NZPx@3*#RooQUR1^{V+~Dc<`*CQ$4bf9LxMr2cOWl_*APkAXmxbQk!K>BA((PxFOoSs{JZB8P zn0aDmyx$$z<-3Rz&zadkkHgvfO{{@FK(FYlbayl~G_hKhq`)k+Ebau| zPg%F8T80%bB;;Is`-k~W%oX|cdHbrs1z1>ErDGbP9T&L0CmqI8lO#5Vw$2rVJwJ64 zDef7t$OA?214&@Xf;B{*J3E<2a-h_>YV}EEo|G(DU>0f&s7;-Ib)z7>@SRPd;DPYE zS5qNIv{}QKuus=!nbc5x5tNw$BpF>_wEv>e!x|fDs<$OVo_L&osz?q9O7%rb9;Wbm zDx(lQS@sbvrz+(`J}T=&(Yf=IPKnt^$EG6r(~^u7MH9OqQNv&y7%6@JV`hj-J*?6` zkyL!i_?y`L8kqV^e62VrqQ({#AVOQKVsVxhT(isGM~nA#tse&)Ve&64s)Yvo13VOJ zE+dZxi=2&Kk}#9ZzG`@ zRMNK{zZe5p!sbn?+DlOI28gh-({#;J+&UlU?||3dD8KPX%GyY(Jy6VL>Zx(G7d6>0 zHar7QqLV!vmpRS1GNj=NdLQD87RoztxkJKU0KmbVFdV&WZizj zqzRd>U=Q5Mkgq;!wsqRull2H?%`dyJRE`V=Zz}E>LKBOre2cDW&CV=grN*BP0;PEn1unzE0&r~U7hSGQlK$wNR{m+?Y2>rD`Hpk zQ^Olu!ZdXqQrgzBKvcy0f|)u=1J$oSmS}DrkMru6S188?eE%?FAzcC85-UGK<1GeT z!9cx7Sb+-Lm{VHE_v+)!!2+UF7%MA(Q{<7VE^~u#fR292GwO}=YLjB|Vl?ccGQ~Lw z=M_mes`#+63Q|Qos=ON8@5XsLjl?4~3UBN9(g#d6i13b7b7S6)rdCoY*unZUdG0;( zg4-aeaoxz9`9V;Y&IFi`*9pCmOJmWJdsYkPBql$@jUG|C3{d^iP!Fwf62gJF)B(@p z$bCdYnl}0`vJNfFks_O5R-|&;70AL)hm_5t*>;R`U?y$2--gQ8)q^Bvn><&^8^=d` za^#x8v4hKIX87QPXEaA>2$j|~_)2!rz5UPK*(fC{DJd#OV2$3`x)jU`n%2$MN0Gvg zSjbSWwB)Fdl>5MjWHT@v5}Lv$il)TlPrT6hx!dmbVrsVfnG?;Y6g5!U+Rq6D`-HTw zFKt#oPyVzO*nRDGG9JoAXw9EQ<60Dr%-SO=Aw>So6dDbi483XR(0`uL@l3X$ET6iq zapITwzZgQlbKQq$t->N#zGyYv1b0G>58NhQw_Uzq`sWawy?#BLkt|AP0kX`S-vxd9 z9GZl;i9e_f$}7MnvZdrxg5R?us+5)nrHv;GT_he7R+7lnd@l}_{_cg>GZRBp0QQ_S zdf^XZ33Xu>#1^p4ENE&8%GpQBKl6hb4nt8A2rYf2^Z@!^bF!4cWC}r+r{_^<~;7dTNQe1Hp8X*DW$GI<*fu-#I%9KU5ye~#z<1op( z&@O-O!W@!P9t9id(R+s-c*tw9q?D`NE~#Hvq1U=nIC8hGJ3%EfZSJuYq5jpjM7Pnu zmgiu-T~e>E1ZAr=qMi;TMe{?J;?yR@wdMM!Mg^{#RQQ~Q!CE=ZPoA(J>lPrU-tSse zdvQa5E)X7}0WrtGF%{oehzMO@n1Lj!QB_N=2QoAB77u(kMXp=$Qh5md{(frT_DFfK z^D6b^A~?FqcNfmjZV(1*MXQ2Oo9$9el6KdhGjC!Dm7z66H6V#ivp5Y7t(HpPIM*7S zff^I-Mq49Jvb!L?3Tg_CJ>y+vEgKxh%0*)V#JU89m{ z#{DqO_&TEx+AisH4tgTO{;;vvf_Mnb@F?GuzZ7$bbJWLCfK1%fc3%WP%igdtolF3h zYpcxStA=jp+>0DMMoRrqx+i8KdJkwc*vZe)FeKB%ke^)2SYLnhD$4B(1@Bbhlp_^9 zxjQV1OC1St-%a{twf@1X{;K5FB5_0)E<9-q!3EKFIPyIS9zQczXhgPb^ zX`;!`1I~1HKjLv6mOjSK^&S?{?;ll-*PD`QLRRDkX0fiQKkrYvk-M2Bo2iC7w_`pd z-Ri3(AhC}rEny3{Ua&o{}fUPw7U7R!-!qD(`{Xi28h!y>JvkhWvWiWxeOEyvoE;Xi>cWj$9z6 z{R8oG?#6e!*K^Kv@n0Szj8shO=O)bE_Ut->*)ezD#>`?vDam{YR!OU~?m88hKsA6E zaNm*D*@+WyP9dvK24zTX!c^1|uwzOFyh3GB|2AlT2SzqBq0e%uzRqvQ_7QeuT++NM zNZnd@c=*8Hy_-E>8i+SC{xfxFW0O*#nvz#M43%@SK}r1{n37xEikZu}$??X}k%K}X zG{+_F2nQ}YU5~aPrfvf(ZNLzM!n{#k(1aqRZO|Pv>vY{0Dgr@bMV}i^`sTF4tJj># zZYoWuuV|G1#Bwe^CnWVt1dxMuWgxwgCNfY}+jybC_BnwV!Adg}xW%5@XWmydyz|%u z!kEwLwW89vc;_Cz0nGqNjBrxSim+KM5%DKy3Y5l2tn@?w^t>8mqsAutul z!ML)MQ`C3y?)eRS%hpl>nFunszw~tP%fdA!FLfatSOm}BUaG{DYm)IL?D-R(%;ogC z>=|FeU)mU@^tsS4ZOWS4UOinyv2G5DGbTg0370d@`X1QTPX>rBTnT=eny0$MU07(M zlm~_VQF|Yk+2EaxEt0@SB|lhMR|i&Y5AkGXT65;XAR4tytt?K$a<2)pK2Az#K*Xlu zJy24At<4tH5hvL246^KUw;~#DxrcgF<0I*3mk}=UB#Xihc-4$)bKdx-8w1U%bC4mz zr2jef+grNoOUiOq=S*yCTo=GB*P36Bv#Ex26VvGsUd+S#9#%YIAh23+?6cSHy7kma z`zyU8_^BtlO0PyYuPVbIRGwk0?t%5LUQqXmAR+T}r|ZyMe6KeA7NZ_$HZmEX;6L94 z?|697Pd%aOa(7)Uwm3oN!6AGGfBoPrtp5WX|5(=U=JDhJ8T>nZi)=z6}SA)m8+o5S6 z3Atd02z`*&Mhi|?{LwgK-hSrv)$~qeB11J@mBQN7$3Nh6u9_8w3`lHM4VYc+6QWIaMWpaw+zJrjbOBV%ZM6AzGi|G5avh&2@AA2N4(GhUcE? ziJ7adljjlh$K~Uc&Y8vTmz%@pyz1D#;BrF@zmFBzF(a43?1CDi@=)I=Vqja_7P-+iCJ1UwgzgsR?wRJR zqkdJ%p#gj#7h-Bf-!k!@f%qX5!p2RaSj^r7R2ES1Qy`PtA4sAxmn}1uPhCo9BXy#C zP8OF6m57C)#~idO^(URb?X6nhuf7*>hEcJ1H4QXCydjy~C_>qsQZcU<0!Rj|Q3R6d zl7Pp!CBvTRUmDmMbdI6wdj;<)VYFgCP**tAIBGVKY&IT0`7S3miB*|+(RuLNdbXJ`1` zO)%9|>~L6-J(WH_b#uYXQN6yF`Dpu*hTxFRj69*%W#IUg#YF5}UY@k5OIy2V3r+{z zKyr+TO_BUt>`SIo2i7|M$R48zA)#s{Jgr(a@r)$>)C8gbdMukC; zJfEi(v^y0GGvN`Ula+F8V{MA5b~jVOtJ*khW_oGL)HTKj3MZaV<}vLq38|1;+jX`j z(f44vb#l17Snq@dx%qawHkJ;Ktj?(LML?J2($t&@3%6!xVvPw>)|rb<2a|wlM{Zt_ zRH$D*R)y97*3_PDBpKhkG*a7U-QD5tEJ}4?B0EA`2*KoT^=zG*(Ua%1-rX9QoWR0k zPt$oC&i$6KGo~>v=4j?u;dtInn2hzrS*b|e@Ca{$AA&znML@mU-5IK5!sW$>KEPJR zzw4A&+infw&f!8`)CBM30>n7alNK zw+EJi3u7W~&Ox=XOrznp>^2K`5L35G93Eo{`Yc*rf_9oH7_Dm#;`bLJW=EkzYh7~$ zXEPXEKXBUo>S#^ib0#bF$<+diKG~xcuIs7$OwPZ)XSLGEAa=OktVa>;@k! zcXd!bqe^p)9J{A_HScR*I{F>3V8-4%f@ot_v&J$FJKi<7TZ0P$HxM~jSsCrls;0?& z(1#&b&6%P#!*~gHD8qUhf6++zZ6SqdLteGrCb#r0GuZRpXvzwQu(*pR13;v6u5sTV ze|0&+^SoS= zsmwO^j2bd25BH%F89`+}b|cXxoUG;5V->TvpU3Hqx$4!zrhP6m*twzDt3CO%eFe11 zF**C^^_2*A=C&}jC=5p@G7E2Y6Nu0RnUTQ#u4Oj!9L0&PTP_wGB5L zu%tEKx4$k`@-lr;lzg+}eeSl0O9Lxl94|_%m($eyT76kV_j`81!Rva$-M!DYA)3%* z@~b9^1+e0?o8*MKNvx?j!>T*$P&w<;7~=WanbR=MZ%2j?-i-^nU#k~yM5yMMYiG?~ zpXl$=@NVEm&)_ejfn1(>1z+z6MXclw?0X=)1aw37%YX8Q?X((-$rBFDRw`GS#jG{! z$qT608@z%{P)tTEps=8VX;c4LU=Sj#lI`Pgth2xo;pm}&{Xmw7*5iB?N?gn*qCm_c$8LE*}EU&-(Z-FF^rLG zd+p~;XJf>^dK1Jp+kPvJHxWLlI>{ya{uLXUec{ec*w=D&e->8*j6WUHaBZn1Y4TD&%d7t^bCKouf=^#-=0%g1gzk0gI3GBf6C%%R`F`tjbn&9 z-YmF6y2R6$`OsO{=_!7RPkx*LbLRb#rI`*$D=FWmw@%}#Fdfy|(?r*-s#@2>=CU0{ zJzWur9HCF-$%py&zl|iZ8)(5AeQY>erU14oMMVQ)`q?aDR|zHI6f1iSy?%(_UPDgjqul;dv~Za?o$-v$DS-Px(Fp`i zETJxZwHb+|2K~1#2l9%yr6e;GCb&7@A=wj`6*ySnSx#$CHV><42;h4wE8DnoW=sjF z288Cf^|fcIHr(^r%w-BJbWdNuIp!7uky(v80Yz>}0u_O{aAz1xaKS%kxJ<;B%{nZY zpDQxH>jQZl5^St*{fHOY($MKrWDbKVfEink0v(OiX&A};Ekd;0g|pTqDQSxSJqZ2l zjJ8ZNqp`vf<2LTktiG-d;Etm3ocV%D7_SqdT!iqoh@;5yAEsh!Lx)R?YDKpY$&iRS z@X$KAeiZA1nl)GUhN9`;!Q$i#9zRgjeq_b$vf-lZBa2}4!qy@Vi=+mP?;r&m6Dw*I zbgkTA`;v^#P^1Wo+kc@;J;`=aXaj5REr;6C=uhDt0c*1a=5~Nx`=z7Nnq(N(cgUaV zO%w{#d4~!+lW3tx_CyL42NJPs@-aP@W%M!Ox4@)h?NTCf`6^5%^hJWEloR*VljyKf z_#SXG{u`*cO8?IoYEVQQ3AYxm^Xbye(=3&N()W=)5lY^Len1<7@D3CQVnG6_vfGGG zo<>s@5yhBpqA9Sri9%Eee(bTuPh*>oNXhBqd>VpYg=#(TuQJie+aOySC+Xs@yO?sZ zjpLv%d^>(p3KuCZkI&$$9#{&oB2Bj5;zE`ukyru1EwDsmT9~zN(+qh>_pWBED@;3c z$7(B?qkaO^?^7j4H-fR&X&A9*N_QoIwT%F_KgO4FqWm2y!5p*)R(nn^TW)ApCzvK6 zWPHYHk<5(rkRr7P9^by_V4`birtFnZW+Q%r1HIO@{AGLL6%1!7Yg@=}X9srf8~`-R ze2B#lXXq1R<-Dwu1y&RGM{Tf3B1^@wa}WF6t)GdbiZEJoq4Q}PLKO+K0pQH#ZW zmv*~mT0w=@wQw1JYlm{k9_%ob#GcZO#huTiZUT*NT4BX|d{})JnW<}A$vQo* zg?(^D5}V_<_hnm*I0@h_I|CjOZ|*dGJF~F!qT0g(wKwRNIJjgl5hvsAQ_(iQvrm(M z+hE{8c4ibq#kFX7J%mkY7NX^aPSc6W1y9~_~p2`=#&WQxw+|t2$|>v z4eXvj0AzZ(ghZB3Sw;2v!-PysjD++WgfEf9^KW{8h8aM5R{GxzD*rN~vXrjr^LTWB zl|aNo$H;(A$wc4Yn2>=UNGEJyVq|Pj$jU}1U}bJ)D`%~vXYf3rqlun@><4~2eiM5; zX#-mUD+_BYO9M-LLN+=POM3%bYb$dddjmp49dkPaIyng)J2S%P(Sbh&{Pq3*S=jzD z(D~L01O)*B>B{;pWdSXf_1yfQKh{hdnn6H+q5Pkc{5ACY-|FFmt&R(wgpR$vf!Z^^ z=jRJU{}a9ZS`m!@qzF*JGxV;kYbgr^NYsDSLWdvdJMLdYW%zTH)BlZf)_(`(&qnxc zlfOpF^1I8Kena^;D~POrMEb8^d9&6!|5^<%g8T`_|D_uKj~Rz&{hc1XSzmEc{2JDd}%l{kG|9_nF-)0a0ka8f9 z@vrMT6T`n_U4QXj{?#o1{bj1ZS=TKfy#CR+y~jXUGk$H`zjc)Uw?O{`oHG3S8_eRr z*0VJond$dF8R&~o=1cga(o&fBrTMQO)<(YH ze~tWQ?Emp>{R8Cx0cIKh3Hsj`=znlWy=y?J3V#jrPkCVf?wQK=M;_R}Z>Ihn>VMAX zzh0951AP9kXX>AFtp4pp{*hz#ZzS?hc}{--^#4U7|CGA~WMTg6lFP>Q?^tsG&|PBu z{gUhRvc`62-O6dLgDCvbn)}!F_!K+G{MU=ppYoLcKn4E+iWymcuYTtDUqe6d22hNwUm|4xlg`rL*kt){9Q;2W=&=8xvjqG-z`tAVKjm%y zI#2)de+ex>sS)V#`ZfaYEwP5 zT4X`|VcGHH6_4oq;TY7nvK@%pBX{!)I^R-q2tqxqk#Bv-6itU5E>=&DDF&-)97JfE zc=19iSC`M6=?)I92^%}SMpXu1Gls0k=t_!ZP}GYD`ALn<>#{j}vg1CS%hvdl16$JI zAla1Z2g^42O$^gdn*4Msxs_QoI=`5UT2(l^G2^3N;JUv{ zf#HqX#G{02?QoxAR@ZH^^waYd%bwrdS)ES;I!ael&MVJ;uz1?Zhn_O7?i(YKFz$`DQLE z9D5CQPt#lyq7Y@!;INY+t<&ZSjC^N*#d<{O0`o>;(>MRxu2ZZku4b_>uUXCg zyma5#eDXo#()qMQEQ#5HneVLsC2(}Lw}Izh`K<8j2^Y2QYDJ2frr#);D=c3ptY`u<_rOh+Mw~B{~>FdY2Oh|nyD|R z2;0oCk=439qxx>joBaHNJS=$lAn3_$E^vUV1AIAia-f_|3C%`r$4Fn#^ZjnSWODr6 zUv-AUF*wI{y>{B9huK-DfJdJv0RQU2R!x{;k9}ljp&O+96Ne(_Q8U*fl}hCRL%(w*pVEWYFJFexOf)zgbAtp zMbqh6%YOpJHMoV#m?9k#t_rglQ@lJ`8?3p%0 zTd8LZ+v!8(U>`PfSZ-Sdv7*P4a!A#PO-!zt*ajV70i1(z)9C`_)?MEQYk^&=A8-o6 zP=xjEm=Bk7_?0Q*SXgQR?1i!;PPk?c6!7Ub5+hC#HWP^wr>&QUq<9PEQw0b#NgnwK z5!Qvm1gbYjR364YheRG(rslBfWBUUBh4=bt{FEoE?0C5XDof)c->}(O)a%Y&L?)hY zD5=3lk2OO4jfIjE;%YWUIe0ildE=AP%)>rbsn=SoQe434-2eh2zI$gL-Akwnjp#2A z*64X!XC{Wc1}EiSOJ5ue_(`aO2GoN;fJ#hzkSu zf?zgodUqztrpKpGykh=MVAQ6#;^VytlR%fNBG0Y-Jv!&FSUOcX@@gGqA#Ml3zM=fO z(+Y8OE5QSb_LHJgII_oZa9?d}7zg57@&h=o2fjw0Nt24vz&>h^$5D*|45@ee7|_fz z;*~J#re96MS4(~<#FH48=}PDFu7FhT-^P(hsxlKnX-T_11b^#CCoj^)IRIx+)khHD z;SjnrmwFNg2RH(2A=7Ve@WtM}2oH7Cn9@{U61E0GAOSZGbC6@pRQT6W_enF9_jm<6z`RIrsREr_;OJbtco zu0=3lJivvXw6!J;5=Nnn$Qv*xLPbVoXv>3&(ww^(hhV2Ooebb058^kY`+j!-2VUAs zskDt$!1iEJrK!VY3+tW-GoH*p0>lst~K%v!PKr=yDRp4S4;p ziv`e>AP?^9o@Ky9U_n@ajEn~q^rbMKoydM5D3I(1Ac@LpDG`BPB_PC}(_vklr^8vZ zCzjqm=}rxWxCO}5b{cBkXv(fTq<2Nv!~J@QB`kqQ;o26s2NixqOZ z^YiV}MVuOUTeq6|Z6(-;lf!MYl$o2V8=7)8Rn3(Zb3=(vmX7_a9dO4xWbPj3z&v_6 z6$yTCty}@|JyQlS5JsBGh1uAIz_bzD_Cj!t_U5+R4e|!oF3C_c>y&huqNCas-|1lT zhJ`YA5gi9fHW3qv=RYn1Jl7?xpptHPp_~on+?Ha`3heN~S`$+dSn~+m{+OJNS-4N$Ko8R^>)!AwJA55|fHt=1DC>LNo!v*y`L_f?y=CZIltarH z-UsNfespG*m$83H@m))7No=KCxgBY7(pp_{w^zD%dN2p6^o~so{4q)pDZ>hzH$_&I ziI*jmm9UK5s^w8p^bu5h!Iw5=`&hu+V_duM2I4+m$8ZsM4_?4)Ijffx^m7s@PYNi{ zyS1s5+69ZX3#p(Y7aAMhx(`JxWfSm4Je-2dib@djcK!#v4nh!5#6_%ME8x+|=l#_X zxx0=BvP?i-ZwJ)ONT68ghyw#OY7~4cP|WX=@hVu{YgZZ+^9|oo6yVVd?@K3|^N}2` zqZq?6>|&VQGV7EQ?=}_yt`DHtzU`NefG2c#7r{4hiK?c~mVKr-gJaOfIn+;L?)Fs< zQ^c2MIPT*2&^GG~rWMVl6@K5g8gn2XE(a|H#7-*%fP1ibWKw{h;+aI2t@v;{WJWxy zVR2q}7INFeOt&4JW2rDWO)TyKXJ9>n5R3ls!J*n8C2|AG} zWkT)F4-#B$nv0;;yK?MTa?y0`;N&d4 zSuoBN9K=9vKN(nfw|4RZN0-GX#IwfQj@{R_xYn@TXQ^Z4SHpp|eWtuAUMVKstEnu` zaraj@R(=J@U5;4SR#PkiTYHhqgk9O3ASR68`T}ovog$^*SjK|R z0g9N@=zH1dhxNF0-jreVK~}*q21dR?3KKIAlJTOPO4dslboSU=x9^%i4zcy?P@A+3 zGTRPp+jgS5uxy~JpSGYe?A@91H#jYWzY+|2C9BFu)neqJ?Z%rvUn3gEWhQ_=#sT&` zO*ov^5R^{XAtgBr8eGTNhqHu`BEdN0S%MB((l3w%hREM$!Xld97n*Y;!LeMoRUF?|SkYVPl>YL~W?dddnkUfqe z@2QNtn9+K)b5QfHI9s{+#L!sybG0RjXcr%3nX`IJb-f9SwAX7a-3I!{8?vhD3Lpj9 zxh<@M4_uV5FTtkNiDxD8Y58-mBQpw;&k(|*gF{Qrz%Pm*21_>x^KBC;A)I!xA^TR0 zsRq4eHc@3~u%X~t4M@|2lnYcucIB9p!(l)pM?LRB5>ba;f|N<|ZHgc6^qB_U zV%`IzcIi|?+g4gIXItOS*he>`mnWmE%S@+0Gk$qH!MmaUEi?5hdsYC-vf^zUYq?VC zo%bx~o21OQn2SzS6RQ!1KCH9kvM&KHXbT3N>InImrh zik*|h)}C#l=pzLl?i0md(g{2IUfKsi7!paNT<04;1H$kHeW?&$DQhdw(>P4PVt8XdP0PF^%NOLRdQ%W1z*JFEK z+x*4kEjxhpq}Gq`al$^dxgd7pWp|NDVs;zwy?egewkbD>h#p7yVanHGHppc6`XS8pv%p0miQB7ID zWMi9ZHe|U2UrBOqAoOIh>AE{gsZ+lP7zlI+I0k`guRYH8bkD1U&~eB@JMVhC`uu;S zy=7D$QM4t9dw}5X8r+n& z{fDpW-gEZZd!JLcs2kq|(n?z!ViN4ne}Vj|<|HsH+58EVu=6;^#?v|8lqpPe{%E`W zi%*(~&U*4K))2c%?d*?wZ4OP@P`|TO@MX^x_xRuu-I6J)-Z0gZ;l_T`kXC~%6M8mW zh#RJ=Fe>f|VXnQamAXS4QfN>l75AAwu={kf7QatK-YGf(*+tI;`AvZyfxgZb!hJAl zGV(d_;Na&Zgs|!6YOfa&7SRb$v(v-aOd>fhTPt%eP1)2MgN#y+oyEujp=e``IQgd5 z>Fciowsxlyw#~zv{OH5&zX>!YE$?x(rdZ0MEY{iG%`L4*Tcq~ zNUcw;=2(9#-0GhoS?x?2+}HimiBh%%)N`Vt;Qsx?#rz4f0Vt%LhW4QK-eC`1hi-n< zGpIlbt`nRLZB!zbQ8)|zVvmAKbQHO(BTr(|P!m8^Kx8e546G>DR%CG_uF z#rHK?kLlcGrSwqageX%4W&pgsBzEz31CW>Pp`?%)vLtlyhVnX5fSs(!8vByvD|lv5Se+(!@V* z{4Jf_yEXroC-CsH$LHN=C50*^moyevLJdJ}AMP4VJ1a9?~{WW+#!A*x5Wj2An_v`SFw1H!UVlTNvubZ?M$&v1z0Sx`fFK2Y;O< zOy^N*<5W0$hD668!bD!iJ6yGy^ptU1N2)9bld?x$blx+{S&xx3>7h!Wj030&@d z3)+xrXe(8P?00daf>d!19~{&m*1?GWbvQAcAWH~|?3@ev z@n$D+YFxl`t}xf)%*W^>8%>4>#YiKeU#vw*GPH}mmK+~-wf?V?93;Tt@>vjm`?Tki z#&o+!*iyNyOxH|O?d(yaOUO(xC1RfwxF`f87j!ewsS-wOy{#Y)q&S&vu&jC`197&vza^tGr4} zJ3!^?M1`=Db26rGO6jQezQ=@5kVc9}%~*m9Qw#Egp%(cZan#`F zFSi_cT231?Uvc#aF2jYjPWJxYxQNE*FfoZwr<-=4BTPK6R3Ntm>5AV)O|^Rm;%QAl z|5V!iYmd7xQ!aO2o@vqIx5}Nf&@nn3Qosrvjos5v@mhBhUi-b9q z4UrUGXATfPcFcxsY=)ui0Qgi=ruIgxSL~q&P{hJxDXWIUA>L(F*`}GGpTAT}AN52z z@JpLPC@W*vQX)d&=u>$th0|!#seME4h1gAQmy4nEB*$~AC`l3`!5ra5s@rag@zM-+ZjF*qBlbUUWx4 z_tX7#{42^G&Q-CI(TisKO2ElP7exrk$nDeczoopd_hKbcZ6}9A8JkIh5yh>`_76lK z)cKRySkmMN+aP54?*YCs8UOqLd|!A{odrjk?Nq+8$UiPql^eoCPNhptDJkakig=#{ zB*o*t#`M`^M0{D-eKdy4`Frh-r<32cA>oK{Ssm~Yj&{7Vq*wnHX_l(2*-`IYM)(uqytL*quYd2Fw-djtMNeY! z$KnSS!g|%B9=CAEjp25a|Hfh*iB)owb zB4I#OsB7966X>c*tJziqd8XO-+$#jl+{nrPOoWUEJsarkfXU!IKIdhZuS<4XF{qxN z@Fp>v(5GohJ23zL5~D%OFeIGOmi6DJplP5w{VbKA-M@RRfb``G6E3}W5-B-H(+ntG z3tA@~Y~8JA5MJ&#Mka56fm4RSw1$6xKC<|7TPm60m>+CQaWqX2yfKa%oPb2cR0@&5 z<^9Pk2O%#%!D$P+I}b2VsNTy;u4;ZLn8)BHll8s zR+Et;O}lo!3X*YrfeL(!Bs}xyHBa?Xmorkg>Or#s0?B-5Ji=7RzKRd>JUj^nN9HbT zOztz0kG)Q-4(05^A#5eZ$n8Kq6xi8z#23;D`mZNaz5zF# ztcm)~{b&5-D~gg&f({UOHo= zTizEnYnRc!&yi#t^~!O=w`l$3f@UsaU_N~V=M!Ij@Qz1YBq#5QP1Tt*Ed}|`lRKA9 z*qi5B`TB2u8SNTmPfZyuDVk*@H9L;tr^q)UByn*=F^o!c-+O<+IABk~4{PMWq}`+8 zN%l$)V+ksKN8d#&>i+v z`9YT~MiOn5obk90DlP_#MskP3jUwtT24p}#3%&6;dy5vz%MQyn&x2M_cXt3=eKHnq z-S$*dPwWkhX2~V~&w5^2Ag>$Le(K`5EF71Ir)(`}XQc zt$4cm+rD0?%pLuy}sfUxDHI6-|q#b8f$;yvC zAV8ahThYJ8h6ERK89_(x4iD}Re2&B!1(g%{_Cys9dPD7x2y6Z^`bgaZ9FmJ+pLaAd zF8pIOpX=^1}0KBAB2{V%7t=OzC!WoV4UP#IWiQ z)OQn@A?Re^Iivf3o-C>B@I!B4pZe*phJ2bckCN*$DO`hZ^y#u!-eRi%;JJOQSzrR~@`7Y`j^RCN(LCNZ1+oREq(+>|hIcNgA zpDPD%EXIhW!#&6KT!;O)NTnH^Ank|J{1i4@p<$ck;)%bqeFhks?vO|65)n*Ds1@du zeF_U%75SfE^st~Xq7AO=P179tr$-%C=~%lm(>Mi^j1|ndzQSjbH0~8@>M90yG}%;2 z2j}VpJ@VI$bK3|a_oF!LosSwLS}&l$hpV12j*I$bxp25PPULR|_wLlke(K^yZk_2A z#fR2D?7a>zvsA(-+tL@*!;{3dlzkM5C|M)_!uyJEe+{emRvQhzZF^ceQ#uWbni-KQqg3RNi0M5BNwrOUN!%!klr0E+c_&mcq{%;Se&+P$ z*)w88qZl8C>!6^_5`TpWE{4h>>y|OB)EB#rB}Y7b!(wPR{3#M|>BejMSyymp8OD6eh7?#Yb%wGz9@@va? z*>nH9egrs=-5JGjf7K^S`m61Qp#@6ek}8X{?!yYvl9*vJq#;pcPMPyAVdQFZ)X-Ok zJwtnxLgWYn{*&_xO03yq!~s5sh<4G9!@e$2vbR?dZ_1gEqYxlH4>6gDo==feAEaja z!bD#Ow@|*9agq`eiiSC^gmN_a2X$=Wa*g4kdnTZKO=$JzB#b|~mB2vkU-td-wstzK z_baz&o3shKp)!#)TmG0jnP6K_gQhPkYx<9EySTSAm>3>~rgfBfs|m)46Un9yCDHIY z#=nY5;fqS!-042fU8nn0MrE8iieo@s40o;s7%H-zHbx!e~z{|(Zzt3t2N zp#BG;;5mb!bO4NS>ftuL$X4_b+QQ#J1{mUAmkpmSE-3ciL@T`T#FOax9A~ea2Sr}N zB{X_@Hf+}dpCXQ6cHth%NA&vKx~%>rU)Ez*qP%tb7-l8kJu@4YMoedwuAJMbbDxc-E?h8#5hsA5hpX#7J z@~bE*@28FOh~RLfZ6?mK+KfsNWr4(j2b?l-Uic~2DdMR5rFJ|;pH#9?5KNI%DZ@$+ z#gDu%7&Fjo#c0Nq{sMYrmL|x^H&_0DM z8Ep$h^9(|y;DgEZU#{xh`V6^m`Ky{4o+fCQ;96gbds&>GQ60+>PY@YdxPlaHd{5@a zDp)hlNH=^Wh=vwK6(+T8-I|wNu77ujX*l-^9=h=0p-I0x56q2m%M08N_@=pEK1Oqd zZXvj;#Npamu;RHAYFqNz+2+kV`zpVxx%U+!O3+J_sG-eItTU41E+~!5QIQh*@3#>L z$}`PmrJd)8_Mz!^@8lJ#t&vhK^?Lo)pwn2=uc0+10t}DfgzYm)>N=cdtw+U*Tm|xX zmG8be8yA^M^z~?s*|uk-DVkVLqL|+Y4q~nxVw7EGOL8(vzh1*8eD5pTq4YDSj8oqb z^Xb96$5dRz(EQGw4n0^VBkwO(aD}8Tl$3QrD=@wwxl~FyAr?7^G`F1v7hNiLooD$A zE<&HM4Lg1fdhp)7koiLA_ZC486l02Q@iu4Gd!&a8^p&HGJaSXIn_nc4A@9W3AY<#mY0aBu{F7cR@mzdjus$TOan&9(N@(}B zzIc_I`K+D&7jYVOrc4=@JI+fki{(5o`7qd|K>O z4JH9vU1 zoW|G}a+H)tx1fZK#+LVc&4ium(CMeA4sr!3dK)fMEVGVyB@Tj&`@W$cN` z-^Tk1{gcE4qBimHHS#t-vwdI?u@kmP^02(@R$V*NZ{YkkY(q?pgkT&@|5lK)EiDSh zK2c{!Ta?xK=*;t{1xDm8eH$y5HouDQYzdpKYhS00s-V`R&*DU3g+bEPFVpJYfHnSa z4uTYa&HTGOT7o}^=%!{kIek2uGrkUj$^DytcW!q)-3aRCc;(wSag?2Uhwo!!%v@d<5T4HAN|@%51_j16xuD~@r8uUePNE)VMy0hp zDol(j$llbkCFNBjr=DA0J4z#MkM}R^&&F*$*0;h}F2bM(M|g|OB*d7n!f=clKy z(Yzl>ib-y~k1L2XFJ?`n2(!W>#J)Ohyf@S?a$e6^=%_IkD?bWs7Ku5?^A*72Oz~>E z!IviWB>A4m7aWVicvcq2HphCdZyTFnj^q4Ii#H{aW*%G5g*_}GF-aZ&ha@jIR%;>n zzI|JbmM5|8m-~T$tFNItYHbxK!dV)|Ozzj?QDa250@Yu7IeHmcoXX_C!st6$TYHqX zMSSo;51%rn=Ii1ajUMtC#644<6PUe|{VjO3BD*3M+=quj8T;LRnB5>%lSfyzMd%F$ zI}tw6@=A@n{8(}RYKO;T?~m~c&b|$Nd}|YLYqW0~k(({Otylp?e1jgB*a`(f;yRKt zSqE#M)yp`Q`tr1NM>?|YrqhhP!aL*hMf0yI62J6OG@;DigRdI;J3E}FYd24x--HMT zR>zECsl-=5=5L9n_8kk`3cdP1zAe8M5yc^4d^231Xha^nbV#jLCi?(?0I19z8 z(RtZzZsDO)lsp6ZG#S(sjy{x+RBSQFN_#>&+EsK=!! zPPEc>taSACa(Hhn#*j7A6)h%H7j*|(D`~a-4}&K$-I3!5Bq~i8xuo`Y3+#xOyjs}W zyFBN5sT6sPGdOz_n)b=)QmP#J4#dqh(zFRbBN7^RzF2iC_jzMILe{9xk>kM(BvPist5Z zH;7uw^hE~k75-m-)&GOhr2lWz z_w3+hlK=a31-Ab`@0I-bRvH+8;%56_-n~7})mPE{{XD#Mz=`JnL&54H^+z#U;}3;d zDtiT*Y3l)jNT@=J5luEEf*>*;SeQ@>IWbrm7*%wpacG9|sq^Xn2T z_j3Q`s!2Ru>kc?wZiR+N-QL^V+u1o;?Q9pdL2uMAGi>+3#>M5~;kmuOM!AVpQTw0Q zL)g(UX@H%rt-PY5yQgP!W23vf`_1|JojCYQrw#F!#Xo;0@`MC@{sD9G6VCarnKjb@RK@>WMp4zYilbiD!RJ5v}|gxZ+;tJ@vyN? z|M}xR6pL>=Uuom$Nc#V{56?CKX4~J@EZt8SM3bYVhsVcH7sI5af?nsOCA~9fB2P}Q zFV7DT59jAjJUq>rnVBiH%3rG*Qo#i$^ZK~0U+ z>-EJKZx2!W@A-zrM{&8Yl7lG1J36Bj0T`K+Z|EsRL|(tubX{ItKt|vHmV~7j(w&%? z08ggd;$&)P$H=(sDM&{Tt{d#Un26Oj_oJaW!Uc=9K}`K_|3~ipOYJoUKBNdC|A*_1 z{s=T8-gB@<`62;bWo2b+3dLl{UEjVP{i;w0n`vQT@wCH54(YLWMvnD+Y039ub1*$U zeQ{B5=4$hDXYAKs=NQ2YXiXu{Q-cp5J}4?qfNh-2;gcwUOVj?3K=DD{aOTCW_B>w~ zGno(c2%0_mUGpIZ8ynl+-X6{v>xzZB$zm)$jX@g@7M4lBi4k1X)UeGnGVj@YTSv#n z!o!~69kGy)+s)xz?YYS7z4Bn+zrcsa`nL1uyA?7L(#-5^ouPuk ztGXy&HRHQ?eag=Ik!q{7c-9UMyNZ=LVZSFP;<`JO6ch%!OsBeFL7Z!AYtvFuF&ehH zt#)`bGc!LuAAYMb`|yFj*-As^wP&{lf26t-qV2q_ZHadEAYU=Fx_*friU7@PJ zzFu5hoOfV$c6MwmMsEW-EG$g4q0RigEVvG&LNiYOe5Yk+2ggpn9MyDl-=*KIcKTLT zRq+~HThsk;qV~==|6OhPt+H~UFDEw_tfAF`IaqirD_kO?j)2!sF5$t{DKj%_E`b@Y zE-tJ!pIYHU!acS&H_2>AAO0>mHYTjAGk)$TCL&@L8~^Rbi1O6e*TM()Todpt-%TJF zLeobmy(RK;k-*Y*7o54b_WIInW(tz6Bq(yOzNCbjhGuAW_0x}J>c_t)?e0hEegiYn zo{mdRZ$d&CE_my1+C9&>xwtlNuJ)$#h5a8Cgpv?<L7r2QjuSHsGeAQ_%W}9M zYMjvZLA-#BO1{tHumbSI5^*k$dU0_=C^-gv)0+#D?|_k|%>RaTmqR(_lg3V> zeq<7O+B_lOCgbW}b7y@P7M7g&cQ$L{Tfab7bck4v9l_f($H)$T<9I=9`z`2^3J;7pK{tSlln9-CQcSlA$dD5V_Ui%MS~ z!4!pwK2C%xx$l_d4F?BcCxwKB;F|4bRR*9}R#tx382_#|_x(B&`q9(FLwEy~kpkW) zMVcnA7nh7B1Pd8_fR0|Mxz^@5liF=Gm7bTSi_ysA@K=TAH?0fQ)i=>*kc>^0)iUu! zy;fz)`6Q&I0oVJg3K7?ahK466Cpsc@(N)k@xXcD##gAbbJw3z2R+|G+tc+)%{28}< z#4YolAOHF8dwUA&_~T9e(7ZFgoQ<`0U>8WxI&Hh$>lUU6biTyZwY30HYS2AxZI38( zVO6fPxon5_v51NN@6JsX-eeS;X+Xn-4}|R>9Ubwlkvlm5i{6s_vOxA8^{!+l78ag6 zEY6|8?P!{DEcQW8NSB3&$eZ3kpODcN&BFcYU}IOPFyPf)!S>o z;4n6>bIf%iuXB?%nBjP00Q7yAwIgTl$;OVu38bWWcnDwci--HXQU=VI78dA4Li+kV zJUrG}yY9_#hUx)&<>%(c&6);)e8LLN-yAGc%JrGwV-bu1@kvZf4Dv`mopFQn!Wk;| z=g*%d@);OZEiEl~4-c0%`ud+)8RPyeFXtpDLt*1!W53yEw)s;LJ49PuUS96C3~X84 zI3i+V#SZ72L(Q$ctr+>b+T`Tq8Dyj@CMKpqV>>&$-O1c`mmN8SrMWrm?O(5@sW(kc zP3f#Azsgl#US0+V2Tkh3n==ujHYUQu;ZBBkKn+#Q}XBz1&EtU3o*fgFIy}+{o!D9TY#0QW1MQTBG zgzfwYtI^NES* zAaMUAnx8B-Htc=X{O0H9cmC|WH8hdM0e_cf*lrq7h?*_#aY-~{VIuN$-rwrFXYJ%t z`iRn1{af<)z~JCp%i@xfq}9khYpnkZft>+$=}iWune@{gd3nrd87V1Zez%bxg6&0{ zSfi%8K4D&@Ng_*}P@j#^q|GSMT~1F<{Cfrb z&v6Mt{kHkkM;-pHqY?22uM_6b^+8#_nLUUp0Ff#1RIu>y(AIvT5lVT2_^chix6H>K zJ~xMmm^ACo_xJY+?HxGn104e@PU++0<3Y7fQc|$!NEnmZT*zlH+bPPcepiYwgQ<=7 ztcpx2^{noT$dZl@Le3;0oFH?Rl#~Wgw5tsY3d+&$ z##Ldcpj%b-9B^pb$QIdJew`#$8%qLu5fd9*jG2<094Udqey^&mOlM1GlcyXVmiF&K z40L*aq|iEFbw8gt_J_aeH#nc|GB*3bnK=zm9EVYdOcJF5L;?mzE%=IPDhLo;{P|zM zM#A+#;0%>LFlGES13zI7_>x2u+12e@K)?ey0sS+#bLH|N3e6P(Lu^!3ftFX!E)BYa zq~rhqu%e=(rKP3(+84sX@JM?AE|G6D($nYX<}MxpIihjl`49Zeki#Qd2)i&%1vS~# z({uO*huL6%V*|1tviQp%fwK;yFu z+|27#z{}PCbddKnEMy9puRzsF#40hHO!QPu{v-<~YNe@>|fDPP~f@Mk1K@J9|2DBAcC z<_il8<@T%&jQ<(w?9nFU=jZ1@z1+s}teQ+%*`M8de13h}6LG=ESZBOF?)jQn2}-xB zn1cheQV?k!))q1hqIuYNk@zrYhI1SoIA2Oi$~q=7c~(s6Qei+F3K4vLeSa=3E@nqU zDGwZMcbVjP?u@1Znxx<9(^zqfSfG`go7+MX51kni8cIb?ZDD1lKGo@a>rxMJwHqLP z8;brvE{jXwVgpKkW_D{UAH;}lB|85QLX+cKUUoJC9v-jrrsQ&Bz}a$Zh{eFrke-gt zx`EE&mJVJ!Oyxi~+(;jUp{C|shxZkT@d=UV17!yX2c3fE=4OL>O+7t+UM2I7IN_X8 zkd_nKQtG#zsu?Y2D{byDaG~g&EN_&ugM))(V`E20c_?VcgDk@|@Y=9UP zFLlGIlaZH~2h|y53Ae-Y`)rxEF0%A5$mu|UfG_}HUakAx5}f{e&MSt%C(kNj^|mAt zT6Hv;TAs{8Ej&D2P5F|_qmWl`t0xE=sKye1Jc#P*>IRIRnKEg+5}D|*e5nR(*F7La z01s_;JJeM3xuFWlPm^QbAwKlJuSf7)=nfEJc$FdbzwU)6t=*N#U_5wG3}plLD$oK3 zb>7+795_|nqZnVT4;UZmL=GnC(M@SiCrhDZ7-0(LxK zg!BWb>6dBw12R^VIlY)df`Slg5Lv8Si;cED1WmB;8*a}pWRVO>XuA~M@}tjv>rKae z_b@ncUTj~wpLSSG2w3BNclY)rBr@saQU1IMK0|>*#*{)ggedUW#dBQwZiN=q3s4qO zkY7N6?`UM?JwZ_U*4@RH-{HxLqSf?WUmxf0{nZ{&K71bm2myu+XsMVOh;oMp5`44e zyB5E=skNRSQG^U-k(av<$jC8ZZvhz1)@oSpP3C_3^vT7BXk9_>tDHKk_`0oijH;N= zyVu+9*W8h42MTJtY8|g~$?u|&Kw)WWYQn<8dV71jJvdO8Xe*%jdSBXs%$0`^9GcmcJ1_3$X9bpwUa>H;&Js%1&P;{~=hwCx;LQ>dr| zvBRD+*2h$O^>cQmg0;sGhn2Q4w&@k#^%y~QSv{Nk%bfu}*S*QXyGR~TK*`KDi6&RZ z#>Upx@_7J$0s#mJ213N&lcnDa3-38l&6VZ)f|8;V`mbF~|=&cPN)z7>oYkT`Q&`{m1sIPi@dZSF*ob<1w zn5EkhE}J-)2KDBMNwQj6IEs>}nJoY^Ud3kt^8}UBPwu)8|ElyUDpT>dI0hLXYH_Un5|fG{h3}oSrnVmCCWPv8iy=H|qb0r5@~q#_9|J z?D6{AjX&eM3NbDPi2yZEjB{Skg+BO?dV?DGRqynqQ}HJ(X6rLjru7uTboR|Y{(XdYSRgkaiw zJRL;og!Rd^4AVmBH2EDWRp7<>ImCF>NmxBSs&*kS2M5QWs8vwE8^4Trq zBqd>@qR_3aW@g<)8J-(~YXwje^1CQH8}u7-KZ<5AS4KW`az?#|NQv?QS65eY@jjrI zoV}x5eSCd)Oyfav&Xy^c={xSjoS0ouQEC+=P~jWW{~lq3!y_4B^^@}x9}tIuDpV^0 z%o6WeS35ckz8pw@?{_>&?>OSKHi1MdDZW0GWzJ0U&+qH&(-Ab@kqU9mh&VEZsaycY z(y+k!CP4k=AXozucPd3U;0-)k13Rk42>6mK?VdN^H|YeY=jYSIndszb6XqU4ai$8i-*7N_0?Hh36i^FXMjePH;4QA0Trs1Pr}y-|PZ(Uc1uhnu-A*_7<9{-VuK&>0c zNUzju90d{)m?#Y-0sek|Ixhb1NGK?wMRlt8op^LZ_!VV~f%5-qDD`iUS3ojD=!2B} z3#U!7(&bOs4n$k1pKLf+?boq{kOc z!=Zur0!g3S6c-)M53&wC5^$eXkq(@#f?>WktI%eL&G)w)5ELSaqZ-Ywku(4zBNj)8m!H24urt6CUxAx4mCPPjEG%f{L_7{e3K4@QLbS?x<{S1l zHuTKQ@sW`bp<+@BkhuMoy7_#|qobq1-lIlXqF|VD#ab1c?ogiYVI&T674o}F{7_e= zS|rWK&tF~YPS6#5`on5Fm1l%$Km*)dX-jZ0R5ly96X_m58!HNmoTO1nX=xf- z+WyA@j*^lRNflm4eSN||UsswzW~Um7_x6EE0E){CC#a#91HKO8&r+6qp7~{muUA!5 zlLv^ROnMxMT2a|MZQ!UJz1CZdoy$u(WJ4>4joUNWCNA4%$ zA~jDxP{>U$=6iz7>sbIsxX~;wD7ir6w*bD~{KTyMQ@jK6M>1hAxlldOu8WF~s6nZ~ zmM)kD;?OH@8q>}XnCg_$u}<#puqg+^=UE$;o|CgNMzodrC#dHJ7e$8LqngAJ6xJ zEruNT@i$(7aV^yA?~X4GoFU;=x$HL3Kr7rulZeGnPRgp8!-hNA!>}U6LAa zV!y0{aBBHV;P^*4ujD0A$ejWN<3|(oiq0j!nmaheD@t4dUxCF8od9{(487VT9+W9R$8u3UXPHP^i@n|BlV!R*Td_5RB3MdZ-T zdTs4-&!5|Q8$DhD0sX&x1=Dn$b#+OZy_1uGp17;w#~1nomwIpFGkn$zi{x8QN=2$i zL`;#N#C?7BA#^KLjFqq`=G05}N!W2eSjl?NH~N7!CyPABQECI@m(zcMHS)Yr_W=g6 z{)o5mWytL(AU!C05h+}EsIR&uQ+;>_YfD*D4Fz^tg@N7Z)T;_92mjT-Pp-9R`c=p zhRjSPUJq|iO8&rxFrK}<&Wi#|tJm(qq{)6a@%Fob+dleSkHz`hSs@26*8Ga%0GtNF zG6f0MYk+IA{r6^#WChDLim8`zrz9VbTM7$<58uj*R@Ad-`T2GDsrQltrsfw7+uzqw znky@-4oI18Ud#$~hwJWm(qmW!BDWAIBK%2th0j6OU-%vl6{@J z^TdB{I3c%PZF95LLS}H-*-HCxZE+Fe!o$kw&7hPqNBh|;mC>s_P82OarJk4UDzH=-khrk+1515&8`(;z|ssrKK?*_a)D8HIOvutEfOsY!Gl#BE+g= zlB`Do`!AXH^$__C0;1VrWmGS2aHI(vpdZM$ZDo2mDUOhGv?OTegq!aj>PRfC#O%Nq zPJFCwxb7IbsqF*ZpYn3L=ymk*ByIxRdOKB%%@{+ zbYyngKKweeSsFxsXOE4IjSg7^$!D<-z=56&ZW@%1se~DiNljpa7_rDvG&VNKHdMEbiT>6-w9c zwh0TDk&*&kql#1-RYxM=5j&bA?B90QS&lVa26`Op=G{{+?9p%$VfJ=*kv!JklX?yn zT`oIFcZm7_TD8EGPGpIr5xE5PE=8D|TWcXp!bAcJ+BJ9G;18C@c&bwd-TPA$3LJ{2 zAR}3bzm}Gka=4f?D(OIv09)sPU8%LKEJ7*uMA{;TX(58OsIf6E2Qh1(@+B-RN)*C% z|FfOq?NNu>2Bh2v(?Uu9u}D6S+o+%Wuu$5TFwmu~tyv^I{L|<`n0{*BmOKFmN5J;f zf-rNGcKoK)QOfS=W>#fVuY&pU?$@|(BwjN>*C&ewwBkzzH4Jsg3Jmuz*R%XKnr4mL zuzyy6MMWX1bd0DQDlpwwB@VXM*0PF-NROu;s_a zXoz2|Q+KQb8OJ}Oyyd zfM&qA$p-pko?z-Q|Bm7=aN|e5te-)o(_$3d#=!a4t7~rdTvJ@h*RO<&#T>G-wl04f z9m*^gz=Kop*b-JwDGBUhXJc~)#c3d#-Uo~mDE*3pXl!fC#tt#1C;ZJSnE6$CGK;PM z&gvaoEMLx`6`9k(pSo-|&vFHEai|G-uI-x0-#}<6%gd)s_`O$nj|O$!`TS~uZi{+_ z<+T?J5^dWOXTEuV-#T0Bbyye&(kYyormlC&m4)KcYP<)w(q$3Jl465cz~k8D#y3s( zPB&or?3UIy7_zm|k8F)Ms`k?i+EWaccO+{B%JKw$VKL7Gss^KKU7|~9JuD$1;qzyJo#+FQ z1}fK?Y##uHLREK-52Xg#m%{=doDXD?No(MclK7(VPjXNrc@iIybRmI*3LGP7w?Zu!0jf9@ z^B?`{<#^v&1O(baTfVk7@d5NXF$oDv#A4~p+!1mK#Jk2V4!Z$!-c5a?ky8JT#X7w` z76&{;(DG#eQ(zg-J|9~o1O_M|1ZwBGxVXUAD6K$W>|v&<&Bvu4vYs_%WoG8G@wd@q zKj}*kR2c0cONhA(5DS37xVm2bYqNhCj9pwtP?E=Fo zN;>c!wI9i2{Q`|UGS8FAj9-yI65RvTCFF51==9+tVp>z}X$8KPsj2BXtdztWfRllH z$&t_s7h7&VgBB;qkEm#9VB{w?`XYE>V8+kwY*h#$SDY`pe*<<_h

<%2cVlxjDo1 z{MVXA>|`H`U^r2T%qfx;L{CAWsfGrYRNzp@4_lu-*xPT@13!a}H@CKev?yd-jX!}t z1o|6TwhnL787g$(d^7GLY?)hFyp67j@95^Y<4j zUjF%$%#(VoE*ZuAi$kEOiwk=rMI0P)&p+unJQFV3c~GqpFsPgPsVrBFX-L-9mE+{K zWtN8-dG;7357@h+I(eLJR#PMd`E|ozn3$Qv>B#~IuR7CbNp7yr3?TJrYu6(#NPK;4Ej?t;% zC5y!cFE0I&f{E2A0vsHi zj;TL3-KDA&QY!dgsRnQK?N?LHX9gEfTR5SRCjqS8)DV<3xgb4pZT`|?tSpXl9%Sr? z$NzLWU=A11EfFlni;lz0!0;U~Rr*X`XA1dfL3>>e<5(}yzpU){!f_q#@2BMAy171> zP54Hl9w^1g&7FSm1F7f}?!V~}HTFh;SfZSf52qo{^h#jp#DMlBCvqiFjN1uZYiHfr8{KZ~7r z87BK0>(1fI0^}X!EJ7TqB|-p$Po`ZchNfdR^!qr5XrU>`b`KJAqC z`Sa(H5D73rkY)WSFE2Ml#a5PDu8Mu%<3QBnel!o7rrF;BkBb^7@0c>kV>Jy1^?ZZb zikzG-4bldG+drc2yp&ZqKsF~c)7sV+dEz4Y??z=KQ%%+g)feFgXsVGQ1*>p|q6{*k zhuzf1h_auVv`RVUm6hXDQ+xhUHt~gdiwo(le?adZe?JnQO<(ev`k%FXltnou)l55|frvYP>V;hT2|;TE;iXX63{Dr%R))}p$V z(HfsqJ1jX}>K}@dmU%P2etp;aHksM7+@Q@(mNz>k&FTfT>x?~+i~k2t-yM%-8~1JR zz4zYPWRp$ys*rWrJA3cFN4Ag^DwRDV<1(_cqeLNuBCAB={kfm#dHdu3qwe}#uJbs5 zp|`ag_M_47a!rQ3vklN`uE3}#$HLI?MTkP&&MbKasYbi^9G88CfG6`&43 zT>tT7qI#MQqevG;)aLuwuV24?)9CgFNy^(h`c$9b#mZ!qz55^i|8SON8!*VYCXBP6 zJ%0uFRpJPC$%>KH=Ot>}di)+TRCno;#Hp+rY?Q*nhNHxtR@dmY@>O*0LQheIc@Wb;M<{dxS?R&F9N-WjU$nKyVR9&ccs@|=bMFVx z!*$<`UlrF7(bCd3k^imHeMC^UrQSfu#Kp-;>WKd6C~E;gj=RKT=}Ad8oB&9(2-k>= zCMvsND|^yKFRUtN8APns%#Ef?tXcHT-}Wr9YIUXj#+F%^vra>BOvANU-AvPLE~pfk_l1R;-nRu7ipbSSQT*8V1n$lS!5+}A9z2+eVUgVuk-Tx^ zhC?XoU5Twf0M*&7asOcLW35-4@PwZJKcX6~Se6(oooP^^!n}6{&-LHJ{Rh#YzIq8f zgp;;5z8;4vf3MD(v&uN~==i0@J!uZgpl9~PB;wm5lZd<=`z$Vd4HL)uzwxLHUIez7iNNYsP&(>@#$ZG}X{7dO zZnx^Ogc|p5b8D+*qb+9Z?8{_K6-8FQlKgzE=&jAo@WUZ$6S8VHd9hSYvX->e)Yw+S zh^h*HB0oRBxN3rcvfzVNR1?gdzDpE3Q!R(`>y_%Ww~tTdl4|n?!E4yqt7~eowrp$2 z(FYQMz$+JTkZ@bzPa;+h+x5SMPtzhyS%c%1iM4pOn^s=z9I!P}q}tr{&&SjAWoPGE z17ZNAqDhIdF)=I)E^{@9T=8RbCN9`Z`GF%jUgn;Z98%mRHA{n^7?hhpVxpH=!~T1V zU9m8^kA?=u*G~_6th44$cmJKz6YVUU3F{E5Ys{)eeYE$G~uS4M)hQyUH(QSa;P zjvAJZj(qf@xz?}yz)r{cc?dk)8ge(Bx!*bZ3TN+MMa2IU^EOQ?Y=#+(UjbCWx$oltUIa!;LHkFaHx{ay}{0@LA!l_)7nv z`|w6-1Za?Zk0)h8mOP9M`1x5kOWia{+BO)7&ZCK{{y?72!lI6Xy1%e^71lRO@QUW+ zL_t5TV?qspL(y9h&|99QpVYD$h)7Dd`P6X+I_UhymX?c^pAm0OLFo}W-tliWmbm;O zFdk4KHM3~al<=QMa}V1hYHn+2yoK>gPEL;BA5DOjy1^4DS(x0<{B=id4vthD3oW9Bi&pR!7ux>bsl>KwacY%BN7Q_Gkz9}IAM;LYIXYW;yJB@Z; zU9G#zFYuKHgFv70ZF7suQng5t`0Y%lmLpJFs7KVGwJh* zU316tMf6WrL4;J?{Yj$=v0bcJ{F#roLQpW{4n*0Lo z5W$RF(165Cw!5C`Ol@!yZ5dd2uJ%QX?tB4(37pyuP@TIvIkktK`NU+CkD!A_>8Nj5 z!0gY@$g5Cz?KL%VaMOqCE=ETFaiWB4mH?a)PxDB5Q7V7z+R&cN)s7 zw|zR~*2Xs{kpMyZ;aJ8M%wB;=3)QZ3akW3z5ZN>>)A9CaQ>mmMFR@t8a}dGavK_<; z$^2aYrRRM21`AO#(Q7nhdU`q`At9`RC+HhEKaE8C2bOrlPg z$AZGQdm9w#!?$U|i>Degm~WMh`3mf{u0BHA-s>XApL?%p0j*=D=f2b5+#NG3D<*mN zE`gJuU#{-;p65ldg&*`|TSxx$C!_wDtjVhEF#9cVy>W=BmM8cR1|lmv8&&J;lts|? zbOj78`^qeR^BkN$XtnW)i8rY3*60*95s9htj*(RSc{(}r&3o)5?P<#6qzTX&^!!;* z?fo+(bW%G6`^8l|sM5eHlI|Gb>wCY&nbegbJtg0*SHSPS_`E{1la3C7IjPzyI6K6Q z#W2w^EtM8Wwc`PcQN&{L+~L7MneH#~{FwNJSC`2gozR|~Ie|E1)v9z)YtK{sxOqxp z02_t;#*OFPWkKuEPTvRKQ(dXbR5)L9d)2Rjf`cvs+fLL_%->IATH^;4)hZ4o?jZ0X z?G|&MW-&{|v*rB8@(sQ@B3D+@rzpi)v0mg6saiU^x_MLEJkcHKV>}$f!dW&OI^uFx zFM2hbJ_wkZmi5$B9mrUCgSi7blVY}O*8|BNg~q3^UL~g4d)T9gmbispT1g{Xvk<4Uew&@zGC3=Rsq z_{x06@>-ht9nZla=?+BAt}ClS{z4|{zt)TF1=P{C5%ZkT|b zUuSCW$#SFIRDU{dS=cqy)f;7b@voB4|d(%{%y)dWg>1En3zymNHHJuoNcKP z%ll1D5z-!vuxHAhF8gkXlM&rcyL}795Ht@O2iB~EH506_%@ z98UmKf{GQ_!T_LY*dG7?)*dDFI8XwQ3_GL5ttT=^Y**?`Sy)=0jfP2jt@d5xF?jQPK!fi(hk zjeY8!kKuX2%oif2uiu7&61{@_{PgD+Ky{!wu?pBPew_?>5+GTOyFX(@LX6A(s=a;t zWuIDa#6JZ<@cLu1g)CpsUvQ7o+Om)apvSew76@9R1;M+hXdbn=v=s8^U=6BBozf(T z>_lBlWCp68m6z?HMqUsJF-v(VYSq1bnUS9E@9P`;v6HI1l0j2gNGKEesSnvlRE{0& ztufEb0%By~q>8WRktby3T8Ur+8<#%HV(pALi|@hZFLor6FwKM^3SV+ z*Ul*fLhsMNpOI5M7cld-Ha4P?qoAfXyMh6QZd`cuI~MbI_iR!5V;JI(j*!~5Iz>mr z^bdqP+Y8kioyvk8`=U^fYT&uV{EkbGCiU(U`p7FQ`zUFZJDJFd-L+#Jd>Dug;4*Df zaMq=otIw@Wi$op9WG1ri)R+QpM%L%RF*(M8^hFfb@`XjQ6+=r{q^iz z{nfcN{A5O>{nx+jGp% zRH+3N&cqhL+jF(tsf7&(pcn*|Y53qR!8fW-|5cV2Qp&`x+1+-SPGFDom_!o8b)zhD zP%{9lvY=xa9(d=3_^<|4+yU1Cn;Sm;1$DOr3(12d#qv86Oy}p{UxCa3wf=>9D_&2| zW*I=x_CsRbufY)Iba^x`w2A3e=T$%H%$4NPAe*_vuM^tu1v9}aDaxX$=|0X(R|17V|1nox2n&>1bZ$#CzO!0Fi*e%fXBbEShMy zgh#3x^3dK!S2kJqKod?LwT!d$o@v-~HvAhnGN!z~RwgGW=PD9sGT%~w_Dni+kYml0 zLt1V6kS2V#EARmp<5UswR3HHhw{4IpK3jNU`UmC~@RX6zHj+GP*3a9aT~QWZcN{eQ z(i096@U^Wk_+mS!J9CL2ax>?XZiaQ;q~eMt4GHS`!2_yj?-=T-Z$3>V$Ey`8iT1>z z5pSndR8%POZ-B7fERlAOZRsZ{g0cP8^%UxVaI2^=N&8@Usm!xhTL*7ahEw&xwjOe_ zeF#ut;u@MoWfohKJSn&PKTyZ4D4I8*o;6P)$6+D*^C{|G<~(XbT5Yn}XB$fB*i? zFcFvm8DS;x^#kx$`@dT!@$m2thM~w*ED)T0BG;FTju^OAp@t!>iffC)wRMXGEw%WPUoj_Eh^iK z6LQj$k)dJvI0glEpjsO7i;}sdBzf$GBQ&uq#=}va_EV`Wdn4Yo$x+}8uN=}|kdMXA zClE2>m9V8ni}sPs;I|n+x$jvwUu}m#VOAcbOz#!aLEofx;S+jHIU?Q1@-No0O!7h5 zZ#_xu9fTs~mYQ4+Nu5BrD9ks`1%~AzL7^aAPGg{_zw!Ku2-|YTS`D_8XI%U!WfPvb zgN(E^jJWjGqUvqc)p3&*E~~Nlrl3{Sf48q1-!kP4owd7vjL{z+99*4Zj=X5hK8;5pDpjl^`yK#P0Nn^@ zE%0-2;`UZxf+Cd;O9ohz;4~FA2|6Dgt%5DE{#|k=2vflmd+jts=2~jMwCoz5z_At< zU~_v{QbEEua<4{CHMQ-7Xs@qonX>938=b>F(vK^5@}Dd<+F{QYeC06;rsy0n?EvYy zwB<8wV}m=Zm1kc+8lbSzDs{n#E-rizm3_64|E-53qdnselsM{@GOZWMIb9z%Mvdf- z1AG6L{p2cDQ2f8IkO`A+k6F?z1M$T! z#A*OwhODgYfd=~~thJiDpFQ`%vv?E3-@(mhIGZF&iwCb@Az-TFNKo+zY~z}lD>xZbYw;?mCEq=YP%kQiMzp=S)IHxIcAvG*pWPI3ZROg!$7+`nH~Ph#>n+$I%)fG zBWQLj49(hq!?wa!+(EVT@=c?rI*jntmeChATqn{>*91a{5GUsl4n7Q1;HC9=3IuDt@1~||FVvV=rNMv|3dQe*u9 zWO;&u9nVWU$T$?`$J5%f-MLy~=^TTluE1CI_Id|c7#OR&ir#MDHOVmtZzjhxsF7q> z9y;0PBc+qvvuF^G9A4AJ6PW@EnXEOY|248){|1p?<$9$hCH9EV8ce|(@zhp|>k+_G z@c446lI~vEkKJ#wPd@vLUv=1bJI)q_VKR3)#9d2bbvl3qnuygYz92dkaqvaMlLoPX zc3gas$4x57OOFRG*q!t5-y0SIYZVuAjn$*OZf_ z51LLz)(%ftP_l@8&>VoP0Rd1}`Bz_v<{ zpK3jMBV4GD)zI9`{yr&mF42!7>qb{+>D*qex;?1F4e74XE;<>a;@M_4+U*g$@I&2J zE<6Tn;LOhCPDWW@KhyYu*3$E%8WI1r+>MtTQC)h>HH|_TAaz zb9d2WP5gOLw5R~qHr?R!`6L&9f`HLWWNDYDDK@=4fV63Y%AN9O8##dLJYhx=k)a}< z;ntWYD2~DqXzgu~J5FnftLVh;I;Mlv`M4x+zTjG#X@#HzmS;x2XhEdhvFWNQsGQeA_>dv7ep8!gV9x0R z%8P$S0d zvd(v6e)O*-Q^#z=PzSD!6Ld-xxqdHc>`~oJLp1-nGCOYRs9giOLpJ_2{@OMe@pBy3 zc}T`;Sm$$=lzYl~(&Nhk8ntnEc_R|y`NeZoM8M=L4~j>Vb;w#)_%l-4HFyzNsNMayPUS}(KleThvorOp{X9qt zEn=Q)atRN?hZ`066ZNDl7pj%cm!zjiq;l8~IGOwJpHt^3G$*?o*D?Rvz$ozo_rb7P zVIgK>CWExH)x)P*kDENPF6+;(4fj(3N&3)Xr`Mmn{J%m~&Dv)WV(fXY%1@Hr;y7;-Gl@LswuI;l70zcr7-5XSI3M@jEvwA5~|f1bGFFLHFn!4Qf)3w zjF==mWtgF1U`R`I?`>_~xbp?lD@M?tBzXk)P^>F5^+}Crt8zUnBdV~iLnBGp1qUF` zZDv~9+rLOqfD*|fioAR0PSNppl>+`;nAQSzU)Sepmo!ZNG&`L_Vbp)>x5oc|#d2cX zjZ(mG^2*t_D*?$G1-ljYF9Ru>n;?F%q4LT}WFScR3bSVrz7r9nj5r5}>gPvuTCsUv zzd*Q+)EY6=t1>Mo{^H4GeH6hQm8n77y`FN#EE_=3I2H-Jp^){?>^%}&fF=03{vM2R zRp1!1WzcZvdU3p*4Yfc?(c#Kin>o60w7j303sxQs<&IyezT zXwLfG<0Qr+&$J@w#T*GFl{cs#&oLiAId#VWRm*xEu%R&RAp!MBJm+mm zVLU7+O5%rNva;9M^SfJr+0gr%p+1`ZL$6(@7U*4@@=?7Kmv(N@)I6U3zbT1GtVY=6 zh7nbIac(ZiE0>qhX7X(js-e()d>PECkq&y*JQSc0xG5tbElv9(W3rmd*$1{I6jT{? z#Qx_u^wKE{YPZ>rHZ8TQ71%oe1sMdGM6=Y|N6rmtx}|MVO0~uwqH$ zChp0^#(+=$AY}`USYPk2z}*P#d4k4 zOydrrs~6xE1JJFc^=d3<3Q&byoo)lC*J_u4|DArRa^ImnHwa}Yj$C^Uy$MisuR3qr zb^HBzY-HOzN!0{wTn1PCOG$C@X`|(`-5HF%0F%ru^6`0#zhot`6x!SdcmDkw;mLAy z!Dm&U%4yp6oy^Tx*Qq0~tQI$UlgunxG3xN#xiarEI(043AXnzQFY29joI90j=hh2i zB=)fofbwwS_KOje`TkX7)$^>mBs0Cf2ei)TcfX^GqEprsNLBt~s?slr8J&GzBfgP; zJ$yLE5nQS_{FtL8Q$b%QG3Qcf11{LmT6^K+=7njmgK(M67|7%8#)S7iXZ* z;&a3luG^O%aR~`N*1P4&2o4O&M{>bUiHX=LmB21RYz9z5K+^241qv!P#-dQfY+(nW zm@+dn`?mer+2ZFv!1=QFa#*CI)YMcAYd!Lo*)c1L9!9R$4p{zVq@;xRmrg6*>GlYU z{Gl6)xs!*~CC*{?cRVaEd|-Z`|C8aZ?Vd)mt`#@kvuE0RIS$9VLLXyP%CEnHpin!z zDt;=b3wazk`1|}hLV!L``7-?yEXN)MSr^gL>d~-fP^P~ptOmrR9U4x zN73_X7e5kdfoZ4*g(qUZY@!+sOlL=)*;rB&P!XwM;pEu9XK2{J{0`T~B`8R01Xn>N z9va8q>rUZg(F6Qo5!vyovZY>@`lzTV(9Q|nZ_~LumPhDTXQisD3hFPSQBI>bApPkZ zpPZb8fCoU#+>Y&LS4!d|r{&W$ey%jV-+PA~CbYiJn)vmrwYeE+zfxGtil)$cj2$z; zZCX?GJMW{wlnHF+dH}peEGLmA%>)su{1EVXbRbs&+jf8`rDoG!6W((Y(Ae<08XRHfO%pbosOyEc&rR^?-2}@SCCG zV`E|h%-^b;!AB)ABU2FXJ$ZC=1g=X+EdrE1wlpS>_qz>z+Im8Gxjr)5^XPcY$>{6r zpWIq<2kRmv4-}2C$L2l#!KcT~WSJbot$a+fAk zPk8Cvw5yei731E#2?tPgeg0)>Y8!iY3+DEt z<70#%vVVO1d2`kAzQ{3XujUsQuQ5-^LV=(6-Kjk435|j%Rs{liix z7d@eIWR1%+>}!)|k*Pdg6C|jRwL%2Dl~q~W;h0B}ErOiT=~Dv&%$c!JC&r#NKd;g; zb6R@$ND@pbAQjYb#Y_RHM{EdsPgg8Ka2t619WMY_2V|kWjWb2mVWmF`^B}HUSM|@I zKYjuJ`%Gewu*aC3VTywV@ZebPU87(k$ z8+Zic&dS`Zu(qTB?E7!HOwPkJgLeS@~;#Bv3 zme>2f=;f^51Q4A*raRU zzkmAZ-x)f=#y?T-3J&M3tv0ts!Eh?aNie)8rKObs*$S45wRceHkAMVkb;e)vyw0zJ z=UPxw(hU(>2YC7VIy!O751wZ=J54E{f)bPn2giVa`SUde4<-q)aRmV_2)~+mJzTNP zm!@8@KX{>2rwgT_f{LjtvLj9!CoDPo#ZWx*t^P+?2Qj!7+gUSwY~)j}MLH+RFd)VC5bJ^y} zO8DqR2gv2{d5 z&yN>jT{Wg3RPFeZQ&XY(et-Xb5#+O8=B9mW&_f+N{>5P8mz0!TV`~;DH{sy$x$uY$ z={OFtV_i_=zRPE5?6BDfh7}P>99mq7mc2?>4Kd;Q*8-t6Y~qe$deZ4zgHo z6L$jYn}zT0K-90@`UpB0=@{Tqh?wMXSlE9YwB3R#{;cR#`)!8EOi_iEiK*UH-k3U#3 zO-4@Mx_9^c?k?!&9Kpxw{-FVKpA<_~#~`X9^Kp($VMh85$x5CZG|9^&CP;47pk>#nG}fB{>!A z$O8LW!C?vrYw~_uc+ivUr(v9M8lGlfht>|vKiObX4NreS77*R(DI|4S%#eG41yC@?gquf7@Z7B}(IGFkl z#pL9=>+5yOlu`SNmg)$?KP3n~GZ(Yx*Z@Dr81#+3m9BOVV&4Ghm7d&C;0~Wdj2V|I zgDgM4&TkXjZm%Fw6?pc4Pj=AP*CrsE2b#x3nZ1M{s!jC5!U;VJ{_mLQ7C0JW=M4QW zuy-bn)z|Yseby$t2%!pv8Jp(24WXx=Y%+p^FTwY8wlryy`L)3bnvZqF*(Q{}m-pNC z6u7Ph`C3}*K4@QZX?O($IO{50c5gE|e^L>;?nJK(ybJcqplpjq_HgyWqj)RoH(Zht z0+*#Sbhb2C8GkHAa5V`xb5v3krBpkGILJs8)*BwdTlV|+@1*zOw5I=}q6B3$+>Y-7 z*mE%B((zxwBLOB%El05<4wsGwsXRgmd3fMbcm#uqq9RHdH5r+5efD!u3V@^ej8SBH ziKx2N7S>uolmNbGk`HN#jYY30efaRY_nf?_e-bNE^Q7dirDgi^CQfyxReEwVYf&2W ztq3^31O|Xjx``HI#Qf0cGgb)h8y$6dS9}u%2N?=p39#=o0x176A@+pqEG~!l@xy-? z7t?3?uDqj(mybp4umT5LTizJ|#4+Yy)`K1|CJrwiE4pItjl1=VdEQz4*n6+Lx=z0@ zX2wN{bwL_YXXjzgK|lIh52!M^<`yawY@a`ShLZ)zYYx^Z6GMe5GRHRA7+HP0z_7x+ ziI6krzI~Gd%sOy@qIcHTvO&#e!Aa%-VCWHeKttYy{Ay}!T(eKvw8D@Q0X;W5-IpfG z4#v%_(+-TVnvnKSq2|)p4=N;K4MN6>`H`=5%udBW+AICGf3T-Z;xJbHD49PD^q6o2 zF!8CtvCFmvO94R`fsDFzCaqXPQ8=72$eAhfWT9lb0~Rc7*2**z&qXJM++!00*2-l_ zw7Hfodwm!ia|LL+BgD~hOQiJBdbJ(6&xC@4g7!a5f(T{NTE%k>d`Tj*v^Wp9OvW+M zG7F^i_Qt{%+Q0V-j~=Tyfb}owlvO2R`aLXJ+rEW<{}FWhwbC%ywZ!CCrsD>qB1CbE znSVi&gDp-$0yPy?TU!rp`>Hu8APcEUAZDvZ@k5b0%V0Hx3_QVOT`VtJ7#NZTgUVZHRVaj z5f8pb;ri3E!_Qg%7EfuiyS&zr;HGS^_&)gmSMY{Uwumi1@J0X{+BSmh@9zhRmEG|5 z{NG0KG~oQig@t-0{%X|*@Nf6SuHNxmFG}A#m=$)Q*kE=fp_%FXBja!}h?MU!>E=ex zxN-#ExkLFU(@SmIC+;r$BQQ-w;l!y|h*k3<$>=z@6@Ngz85D4+d>^e5`^J3rI}n2^ z%diPR+bnleQbKjmYGE5<)zTZ8oUEY-7st%*Metc|0qso{ZsSDYHYj8z9}0bUp?+u9 zXgdUGe)b#%TUV0aBMAWCGsW5R&i1>0)`@XXlt|}X`P@Dh>U35Zli=ZT2J3qt8vDy$ zJN&a~W#^m0xH_xUQU#%g%&%wOzSRgVWAIaZ$hQ5#U`c_(yG@&Ad-El=GHGD3)p8sK&IT6lSqBQVI%Dh{&|fpB~tQ zc2@Y;%doPHWPFiU=?#Q7Mu0PYSipO6p*&NOYlk!hbnzHyf_(gdIN>#VerQnYiP{ne ziF&IWaIm8}WM)Sn+Fng|+&k7_Nis%D$EkaPA-s4fB5>0kL8QreMiP^{_)oaCq9dr#%L=qn- zXGceOhN!K>PFDf}G>?)*h9nvNyQz6524Olknj50uFDz6(0beAa5OsOtudDIL2h>S# z^-m<&ay}?Mt?Zk5jZy;??IIf{bX3S6iIS?kZsAArT%;P*$qF0XZ%`?HY92lM1kTTy zN|dJs1=P=&f;i$>9Smk5-(qGaTaoFeE5)~{=NMH=t%zXTl8GpSg;!$p5RnCwt=qE} z7;NWVyu2Eq{_;Fa$9$o2RD{xnUYt`*=XJbHEn(A_5O_$TPebaYP;GQ$Ve$d9n%))8OR=T7UfQj?Z=;wvw9+S zMtx_UFGH9i3zM-GQYk%lDg>QjbRUKBwTbH(f`PoumFa)RNN!dMcNg4x^>0}!ri(7P zML)n7MPf7pLw1=@s1W7ZBMFc7B!1I(0)^Sq++0IT**mF;6YR0|?0oDK&^7!yDIx<-) zh=h<=U+9|k|AkNF8@OxZ=Jp9@J;;wR=6o%}%R5?rSNGPN@BmAvrN!3m4G2gG3_K-e zS4a6VVp4sxPxDulhQLNARWcE~u>w1%ej;72K3Z~G8b-d$br9qRrv^IvfbcR0Hk~FT zq(ZXt>$E4`QhaOiya zMD=8SjNWcaVOGkUwu4Km9ZoQ9M1>^>UMRe?{7=7%?Mf$+IR3h<7j^Z2i?%3}#QS!^ zVAP}Glqq*Kf-lq^mAL9pa-Hd!?WJmMZe9#8kJD#Teu8Ld1s^|sB9{WsQhECM6=&i! z35+i0s#~nHYfZr6^grA7LZQlv^XhMbc-9LL_Qrnwy}zQp^zlt-JG`#*1O#Xa)%Zwq z&A1F{T3YK0F+Fs1hj)VInf#E(aIO@K_2zJxUd(B7xyeBrsQFr#M~~@5*ov1bwJUsz zn_+oYE)ykvqx$BzpLdR6%2OklB@Xnuh?o_Y!}6F`CHeW?Pqt?cL=AKdZ_$Md6r`bk zdME7dBxVV6| z`?eX_2%sppphdL89jLeLL-I{y1@Y08B=(~hE@ z2RXP&W-K>uXiL<)dmxM)Z(y+6=8Y5n{$5QY#h5)@60>>>n!?|mMm+@L<)*sd*CGY=JGDK-$S%Xnv)3^hGQ=G+x1qm*$1UJ~HgsgjX9-_weE80tAZ@y6JH|on$ z*buLlwYkn>LuT3D23(=?A%n6BnFERqK$P%)@P=)SWP^SQz!ehCCo4x?^baYO#uy#& zLr3T=$66sa2}ag7} zb-+hz>l-}=Q^K6MXrXvq1c^}P2g)0Fr>&ezZ^1(S2P^|hdS4lW&*|nd^@$jy6z>U{ zHbgzV2B<`jbL=(GpM3k&Cghq}WV;q1ir*xx?))`02{q+r&X&CZnoe&Cv;X(iL!rHL zxm|ZJAv66~r97qt>8j4aQ;-C1af3JWzv8KvV6Q9`-Kc56F$Yl%mVU!R5K9yYt_5FG z<))$T0Lo`%d+GLSe*wrE?Q+qyh^U-^ZI0*zUSs9%nQ>@ZbL$IXLkEy3;?Nk$7wd+m zWw}qe$Hb`JhW19j7qUCg>&qlA>ItjJ98WXZHy(+txQWrKt)9OyL+j z9fWk^JW^6ptgO+tpeAWDGm{h>mgnPh1)E70QTBVNsn%ELi>DXM*Ycue->GX6ttx}J zQVSUFVJ7kaC;2YD`Cz6>gH;wKp95um z`xZjoJ8wS4D&Ern_oC?bycA`vp@=~sZ2TrPy=?82Kq8M4x1@V2W)W$NkzPgQGvPCdc-fjaJ%xlh?)MVKQ)XyF!$&R`}a8-v(Pt~+je-3bQ&5K7&Q&+5UI9mRgk{tvSN z=*A+Tv9Ys@y^e}k{W1D|cyx5MkAE4D$jQ;M(vj4zkAJhu1e9*}-!iD4Uq`m;p}(B* z7uEI=9V1!!3sO#auUU6HvM(3ss3ghF3Z=KNew2oq-nn!B*2A@_sHvvNudKKzVs652 z!A@*Nz<9hNt-HMNY59nn5+>=ZIGm9odbWquMi{IVhN`L~6owQSnCo18cy!d5sx~N{ ze02wZ6~2XknA*3HpqJCY_%g*Tml$s5w&xFbGW;uPJv9fdNK%J^gzDC_*@0oBQ4h~es6&N$J2+Y)TAVF2+PIPT8x4H7# zrme-0Gx@YITR&(@l!De8yzId{BqiEo5q8(3KkEq8lhZ!yBqJVoZlVs!Ld^n@j+v73 zj+IqLHwkeSO-nd1QN`>G{H{7-bmcC1L_`S=1E<-TX^sFE!mR>Yf@#X@Utm(ZvIM(3%RlujfglcK4}8$Lsg5!EaG>f$a{zO7)b>rTCDpq`0U$i z90}Xh{kSnADG~1jf?Ig^+e`>xYWl$A9}^cB$3n&gsEiK?xnJ#y_n2$c;J5ScMsJ;} zI+y0=s#YzMfoD)Wg{aayNnqXS{TbchH2#d&HxQp=81mR!4t@~NV?Cx(ww904FOj>J zwEv7al~sy<9RB-b0X&RYVudKlHCH4j7CTUGL$_%d8CQ%yQ>(k#I6H%(_U@C9sndmu z_huR(H4d-fRxuZkz)Kuhe_X?sC>9w5;=~|omg3V~DvgxaYnq{dNKmaq;se@J9c)7E z34=G|pOaDFQ&jAOrVA55*yTlV-L>ij1bL{7IbH~h3ZTPbfN-X5kU#MPXaDAIk-cR^OK+xJg9rgrn=1vBh{NIsiA>eYt~_v%*7@71R%z5>!1u zzJ85u9-p75$U6q##&sU}rz-iuZyLcQI}!niQr3Vquxtjb?O*5DSkNpgbO{d#r?r)1 zmyzx%DwBHgwZ2T^YouCZW@`a67=NeV&O_J+l|KT@QSNZJN$w(b8^4Q%MQJ-JiAco5 z#)f59qXa}@61qQ2XLO$8XhF_*CL?dPh?p2$$Pjy%T{HIX_x+m))HA^}66$CfTf;xH zl^TPUCukIxmF6U_Y@$~nwXt2aO=I)&^0G}#o%{zuKD_hf8>9k8Z_qk+Az~$s(^@KK_B?0|`T?FfAD23QxV+Vq?K8P38peF^dL ztkmzBPn_#riamDWt5>VXKdeUdqwqm=KNVYAeKt`sW7y9+8B@MXwe%U3zXtapPEx!= zN}XjA{|IDT^5;{JPwvjn&fa}xmA-{JgSL(rfJi{l zyimM#MhE{(aWR{WvXt(eGn=0(e+V!~kQUv)!!IDhTH)pb8Wb%M?i3^}g&r zK;rAm9S(j0@4|JZD@Mg&Q!dpO5&P#2M5e8(_R&$K0r)k zfg)6qppo!`lrviN=f`G^%Ito=7Ud5=AorOD^Z_ZZsiH*R`kuZ}K%%%x|h+Z6* zl#XNrKXK@gEkNx%+<^TzN<8`%+3UT{O-xB<`4I7xbmhw1gNt~**B4eSsZhKJj`D3x z0A+mQ`wV(XqQta(DlH~*^4NUZuCHN=hkB(l@tmfqZ8)CVD$gq_v$$MQ&NH7y?J4gD z?k&a7Di4r4+6lZwW4OBytzL2o99znRT1_j2{kdLXDGCRgS#*A*QQX&JJYN0(Oi6Tdm`Xx-7 ziRNr?um1L4#iFfVYX9WD#jw#OjHVnCOU$~dgDH+7iF}~?Gs$p=+Ycey}F#l9i0$!V6o%pQF9%1=PO$;aT| zHldS`iU=O)Ul+O{B9(f{5=8rhY)#U`*w9eP_gAG_%oHAD)3kUCJ!mpib5k7?6K*QT zCahFM00DBq4o+}!Rp2a~KW5z&lyT*UAU5<2!?5hNn!cn-0#)R#Ke?kyhC)8Mo^AABqJX~fK^NT=Wk`j z>7dH^=nFQ|me=C16{;ye1%-yro$3kY@mqD%bd{eW50&c-iy(|#BOMWHg8wFi6hwA0 z2r&~(yv(XTr!d9wNKpJiVdjXrBSj*53iJXEiS9xe$apm*(443jNIPV|>Lrr9z^dQz zt4ctb^9q2ej_tPJBw^v<5N-=_Xk1PtyaPCDVU&J>of|Qtf6vY;5F*0Dg`y64`7A9# z_K|tEGzmLqs}hNY*o_MyluxxGo}u;(#(6@*!m3&=(%OKLk(Punc%720>acyJ?N&b++;IPGOLuCk9eDrEG7T8WmLkpj!J?xYw+ za1`W*CoI&%o$2GWi{o&t0p!eeG>v^%aYxBlN&gJ6M+i1hQa=6hF?OKV!zShfsghLg zi;j-M?)sh{rWe?=)f%b1s;b}P+-RTn-#5%@P!{LvXtA@kE#LTn*~Kmkx+RQJpy}~V zOgxIR)qvpcA%d-=8vQJudp$%0KRICrETom`DD-UlzJse>phZ(wpZ40o`L5az%&GSe zMsp6WJb;EsNm1uC#(2c&?q3YCmP>=WkAi7PNpPBc9{q@niA)i*5(`2s)zCbj7S7O= zl|6cN<3yt>>rWuibJM;IXmm1#KLHJ3?c`zg#ID2Z5NjI1b`8o_80&SJ<8n_Re*Nh4 zKU4%f^|}ur-v*_M=?AEC-pcEIeIA~$6Z?jubueu4)g%wD&=znA66P{2iVFNBEx)N4 zZFrTG?bW|7`b{btL8E@3WmT0XIV%*H9Y_hKf&5m_04yvlXCK5Xb#?Wma}sRj?<8)l z;>sK@wzi_-=YAg=&8cfn=U1aXFh!CM&X#B~z7|GX1%XHpTqwpfoI-hHHDSa7cnF+5 zXfI3|M1f=RxN*}3Le^lE1GDUqAh`3?{ZfRDj3smUejw9ma2}YM(aO)!)6y2@-z&*29!W@CM6}=C`MSTVDU@YyB#_+ zZ=+G2ynyA;=~uA21i~P)V*ZY2y}h?eikXCKS~ba&6@@Dr8+>%hqt=h&qLmFEDW_Oy??z!5L1X*T-3vkd<&NVS<4ZG7^38I|sq;2%AZfv@cg>5+xp} z78c-62~(mO3T4@p$mxqRDQ_f9d>LstTEASZmc>~YNvsArlC&%Q|D>um$Xn1D)w@I> ze#B>y5naN%CIAC&Bd7UaAbIF~@`tU%asH87daX&QxhK1-b|m5TTMxcT(%Zb%J197T zv)a*1rd=$KYf(7L6;$SXAoh~i#wC7Gi=*~SPP^M`qCH`lfZM6SRaiwIu{?#0hLv=!U3WmMAJGGp{fRW%^+hl`tkmUMwPv^9N;n=%&o1zz)e{= z{Dej_@P?QQRCj={#zTbUkD9vrtcmX5)1S39OnkdrTUaWCNXU5*x0j|p6ob^^5kB!%ncj9Q@pjj3*NadANu0n}0m zc#a*0m-bWpgIcHR`;_h6EE{YSLV$w1Q^cMl8=!zvVba45QF(ckZz}5NKB0CA&&AqM zJJO)SXB9}Ih19nC7cZ>C4u`=1iM57dOU6|75J8i`>ZO50One2`ok4ye@YJ&6x`j(% zYAk;ZOOZ8%nM}0T)!}gA;}1V;X%XjXsHj-0zMa$Nxq?@~&&!L8hj$KMK9C+>Tjl94 zF_l7#(88i3*o-v=DF>F9FCcssS(OHN@PKh#SXlUa`a-(|-+HM6BOJjKmO()VT3X&K zO8kwzr@DuZMF46)0AAxtvIS@p5QSFy{{AyavY==C*A_V7ODS_<<4UW$o+5%kpLtz#bvnT6{FK|z0xdX9(*{2 zKLIt`>X5g$H$>D#yOo(EI` zh#G7q!EUgNQBxN{di4TGcLI}XYGnIY5CGS9uziT9t$gwX6M=<%crE7NK!VeJ9MPXaJ_c0gfHzZzIqJO_lc-PI4e6V;DUi98bH}xpa#RFFWO~+mNbGnVTN_0HHZb`i)LLh>MR8Y~T9*n>kRq>AX7{Y*3}Jv*R4D zq^fGfy>WUQS{qLa#|hAujSVq}+fPE089f@J9C-8UD-x_X3~1Z2_5=pNS*y(vxizI~ zxtn%ZufoBJO*)n^3h?%6gQzN8I|mD;YT8;5dtFZpnq7vc3M8W=zx>$G?~VbR4--;8 zqOw_dwm`bE#Ld6>SHdR?6tD%5FJNi-MJ+KKzXpVyd8gbP)ArD4q`gWy%=^k5=$v3t zhlacSxarR~IsPvFEiJaQJvn$T-QC@i$AfJW-;ks(SJ4P#g{5sb3m&tNeDV&BF;E0C zr`W_niH#vepZ}q;@e_i;)&P3Hkxpv_{D*m^`xc9WDgj{ny)Ar&PZSHYdO|}rj$j5V)J7>q;ad@wMycirA z>EY~5FX{8*l3r`9`x!Barv|F^z@o4K+VPw_bt~(k{meUTf@$K6a zTwGB;(f3UfXX%k5&(`2Uf;UV!$rykHm!Dvl zqka>aCn7$9LDUz@e`6wm76DZ~^{l3m(dgLpCH!>av$b#*}yGD+#uI16u-70*wyXUvh#QR+gytzf8<}+3+)v!X1>*Ii_})DUToI&yv?yXQylDIfX)`h+qKU@`TY*6PlE4B> zl!YD%$%NzLN<@7qW)rI3j~r($8-qbk^?<<}s6==KARbz3Ub}uBp~M}8{jPvf>fd#W zR6jSS6U>s6lNX>lM2*KkjGPTiP_T3+IZ_@x7@sIE{Z>zS@DC3;GV$^75NtBp&cKqG zX3}OJW@}>2Y4mHqg`12cf(f0;P29_iK9>?Ls*j*bo0$JLUb~q&osy7n#(4L(x2@sn zcQUzERX6Zt;T9zi7C49xdyfY$qHth^^H9-l*1+28=g(W6CWM=e8epjN?D6AnT$X3g zBB3q|4>!izUGNST&m2EqAan4#)!*kv$0POB>f-|UgLtf1I()|PJz>GEk*TQ~sRQ=$ zVo9nv)9wa-@*udBvq&)Io8vQ*^O*TB>>8EYVZMW$=ZZXSmu+h}rH%-~^L}FDb(ja+ z?r%jd{|wKwuTU{wwy?M}jdyU@e{$jG=XZy}y#gT#o^m3~q#vr?PM$k=3u`qnjrN!7 zOcrVz8oJRM!(LToeR1CVFJchbP3AbhzJ7z8oIE+`H~2GSCM?&Su?F;LLRZlx?6erm zs|3b68v#;CfUcsRw!1+Xah`U7EbpYLB(;I|hR#3!S`6321@*&TIoUm-TRNEei7P}_ zGfw#YlOo-&*yyV3npc0_#RhuvP`0Ir^5dR5ai26rr7HHa%WwUbNcFs~UB&O7FqEU} zv|+&)Qm7HOSo`rs%*@ZG?#HBS#xsXMVp~c1V%-TY^O4rhPFR76@}?piTpg`cRagIx zkw1-k@gn9#w|)*^#@)t|!j%TSx0JBbrzynU9^C!isN_dE&(upc~ zR~l)O`1f$i!a)~>1?0^jl2KAq>lhdejj{L86YKbo3x@ujHLFnDq1#>l*nlEC;-9w1 zG@~tiY(4tz)V}?qVprwno0UbR7y8uV0(h7ul^(WUpCb;nW&n z*>qVelfK22 z8zbdk>&s5`*GI+e%25+N@m!0Rb5-~RPu^IsFR8Lzd|qtYdaz?6Wry6A*4>)IPwHI{ z<)=={wj6GnQ#du0`M$N7sc=WmcQr14ij9nEw&2>vAelofra$<<8m1<=x^;)uruFFN zFzuT$k2`97?N<^bb`KJb+yvHui2@Z7O*k=<333#SG<|=s4m$QfiXl>RCjpJ@csYe%MYQ zDFDtYob1XKac z4VWayo0~Zxl7r;{m!1%1H)?%V+BQDo(t4+UHIks;NacDN#coR(fb8|f7lW1#8xScvk)dNXYW?qx@ zTT}_Ukv%0{vn4DQ*VJ5s>D2?6*rfU`hX)@e0?mUUnN-bL3m{}nT+MJsar(F6Cq3|g zadVB!%wIzjCFjAV&pF3QcW@uw9&JsG^*Km+ zEK`p`HVkFUs*b*XP(Z-zn?$66)Kfi2P&`2$gsnYTG$SV`=j;qo9l;SYRV0p{o*r(o z*h@M=p!=&{xNz>)dRa-yJpA;`-x%m>Yxi2bMw5WDMPA`m01BDMFcN=1yldx99FFg+ zT>i8Cu@vKn;wpKb2>-g@XFC|ss$kC4(_2|M&!r=Mj@u<@oNfI4YH**#OBK08*2)`- zai2`)yOqwd7022It;$4s#mMzY70{GwlTeztPY7S@d%E?a&xZL< z=QB1qxQ1cctAgz}FmmeGH)BBQz}pIC4Pnn(fB%I0-IN25c4A^lNFLB&@bFwiSAq@H zC>S@fGYLaA=DH!m``$((I(qbI)JW~U2eByB|JGzuyx-8{+1Z5{UBd=%pg<5(EIv!& ztd7DB56G2y__9o5zTs10+CtvbpM|SV*#~XOt}?y70g`UiFP)ha*gIx8;5-F$p*AK-}gu- z!y`?^8xaGQ$RE@WkktnT22Q5FF_B;IK9mBQ9^^IdGxhKrz((qopFiQfTMv~0)@=h9 zwAHn-;W$OGe9?m-1I>wYLd1VASR+bGOnwi}fOFiQD$?8DK95=oZu_H{xsr?DfqYw; z>6j|V$^E>7i_cC|*v*~q^B*v&D)FYYjyZNBQ|ry8Aa$pcOSkXkChrulI>~r3^qy;m zXrIL7NeP3ByCN!G7QczR_-$9@R9^hUEBRX3q`J(0U*RgZB%i9Gt#q$a&g@Y_GbFI6 zSI$V#Wxq$VnxbD>TkC9XEhs6`9r=L?7MSja&z*D1Nk3pM*Zlr1547i1&o;$yA@+!>vsmHwY*pA@=r)+a;|esd8_hp<>R9v-dV-R!*d^4 zw!UTjoVS@f#h8DJ`N;*r{zxsFA96-&exhy@2fiBmm?l4o(iRp#&1*%xa2Mn$5Y@VR zu*7iaI-D>w9$8vfJ3?0@u6zxrXnsB-_OYx1>1yB>W^#r`M%d>;btF~H;}I5CX8EIr z1z3Wj7~_Ed1bjKd>OZknL>Y<&ymORoC0ZZ5sm{;z3{M?WuVC#pbrY;Ba%f05d>$rMLvV2o_Ht2%rWXJ$>jk$NpeBgN%%ff5s!kx^Z53 z&0dDbvMb-fl|py#3$HhfB#%jU^)gCqOP-qSG7Kdn!uH>yrqf$dxpx3}ykGlq^t?i)!X zdp&X@b^4#qG|j6WFWma~s|;PD9Z(i;d#55wx|8|Y`q1nRUEhQ**C&rjPuC`5;V=zR z@*XSdsM&hO!rWYf;pO6$3YPuJDJd2NMjK$A$=BpWu+Jat>vP4P1Fa!1xNM@@&)&|0YVWG+!6o(56w)wl#n%R+~0Sm9ca?^Pw$pT zIYtAbN4$xgf9R$NanmJZue38v?~U_N7crrkk7AZVl-VT~udRbH9B9F4#I|3*!0haa z6Uz01N{1WoN-3RdIdS>Hu^mr@Rezmu)9#tDJ#}!-?U0~yeaej6#ia>b=c5x#CKYc^ zz6mH`%&(k|jLnG+c()O)jiWInL_44--qu>wz&+CUylg~}-N(&>)+SMSnD@OQvI8`8 zkBpzkKobP%94)N}=3{`QV9$yjSW_|B!j_7%H7DniwRQGNN)zD}H1K~aBVLVrAbvMF zS|qgQcq_Heq4PH)bhfyTE8UrE{_%x`QiF#?iX%s`ojj>PJ?BQe2Ta^ zy}9DFx%aF*_f=zNh<4>iSXeiZqxst*A+0cd2Y3c0Um1j%m(az-mIzo7_PEVCN?87V zq;#2l`NxpTrorS>hdO*OmVtBIt z+_pa^-)*Au=YnRAL!S0(@4)yS-X#-P;n#Pvq*`^l-Nf;9Gf8`6b<-Gfjn?njW_&W% zC%lA#!?f6{Pzz!ox;iSOa^|8qm1~EFS*7gS<1H(F>E2oY>H5=$@bOsPEfk?OxiiuZ zzclhc;waj%8%I&G1=BAenyDjL`37x3bVbD~5&JA6Bga24r3ckkH4+_myl`-BkeM@I!9W1MGpCDhZg2epu0=3&7j-Mdd>{A%DlvpG;Ha1z zQex?L8;nQ5A?wCc`mr}}2zTw@|GbSNZE!?o@B-T8wgf(zAn^BHftn(C!?xcWA`cA{ zR6ty1X=x0?u)qaO9so5xrtbqdcis!{pMzBibQIAWuS8>(=CJmW)Bo>JzwoT<{rg+! z&}T|AGnr%E0jq&h1_u&sVuy)lW>V5{xm_=IGGk$L#ns_cE#CpGkTZz-n_!rM*9~L* z(;ysu+nkD$F0>;CU2zwqWghUzCzl71G0V>d`<*gdspmIp2m>H`45@G3kGt|4SEPz98hI@sA|GC(o03D(SEdU}EbZKwF! zQ8rOFwroJsUgUovM8dldwD|N-Lwj2r<^*)%H;_pItYCA{CT!io>=T;b$=cD{O3*9S z{rT;Xd|?Z^jW1Bz;T#8(0A@DQy#qR+t4Qu&(}WWV(E_U7hY zumg6UK=Q>ZPa5HN2eSXZmf9GM=DoZ&LEHbm;8FLrw*%Gf!pDzMK$t);8Y8m)_hS-% zz1Gv!1ryXeXwnFTO>fzcX5QYvVV%A}|KHEzN%eOmI1DB8-92QUlOddqyy3oPUh_gQ z-2#_*R-yRsmHd13bN6cEKx5fAsDS8lDy{PL9R5OnaJv}%6#;CskJ3xR&-O%P?%#Ga+U;y~lfiRD_ z1uzk#xg5!$MPRHW<3M}sQc>O<5D(pQVVjNTq3eaJ$YoM#@>x)fI z-7jSN#9GPP8U|y(=0~4l#GCmJLqF8;xP<@KgMXjPg-#+pF92n5n&4W*A2mX~d=lnK z8=y@Xp(6#@Z+CG5okK(LW3quCn}3mmfB!|kUG-jobYZ}OM1qzO`-ELF0Q!6K2*1id z!+eC8_XQxm$;rtD-h=;r4>L4`2_d086=S%53#i&`L^OS6^bo@l*V^KX(>o#qqVfeR z_NMS=JtZ0tt=H%CPCTVDIuVi`wqaeJphq;KJP*wfZr(M!z3IACZ(y}vo7G)qB3RB28Cw!jI6R&uSwQg7w*7l&zBR8>VR4+%$^5k{QkI&Xmg##LrNIesSqinX5Z$G0(Cu{5jL2n^8KV?pwk zyCeFPu6Pt&0}92hsSQSU_Yd5roSbhmtIhqMHfNSq?mt4MKyj;(+d<(OF`s4|Ua)gSqK{TRKxeJA{e=l92Iqd*L*HLGh7Fz#h=z0b~NiecJohpKhYlsaX zTCXVKXY1hb9sV3>Qn(gz+G}6Dh&ra#yl04!sf_Q3^peK@{Bna&BtxFSHvoU)N`jS! zam6#tt+KztsIDH>{<+wFe+<@T-bD9KC7_cXRLE( zfaP#@A=O%3QLa*s)@a@Zl2CoSB8eo0An4>3^8*5ijxM7Nl@78)RfJmscjHs8GhF}* z@gQDX*y&!ma&il%C>{W~7tPjfFqup3d-ck^FJBYksb1vnZXh$5Y6t^)Ln6cSR;#BN zepsy$luR5P(r(e*l>!h$o^{GX>_;>f2163DE+r}H@ZsN*USAk>`lC5N4v)NB=vdIZ zWPdqVOZ)!VJ3V2s)7NcIrCi`hQF6UNcPk^1( z3j-q~st4=Q3-~8{rNSV;;TmsJ58!;Hl5wr z2Nlch`?OR{nLbZDomt3T(bIn)%kQ3a&`rOrvasfk=GdpzA5vDVmwGO}>~mr|Z4(|X zSQIewhfUr-`Z|4i&qC1;>IY0^Bmd56pw^xrdb}$@rwu3k-u(85Kfgaf!V;Rrl7a%s z{?N;Cde(Z|Z!l>=JKu0H9Rm&w8AC3kfIFV{u`WNqsOTgqYR5Ly*aMQc))x`_G2&8I zRfUi?I29}nWS(UI<3rv5VI%FzJPJt-4a)!YUT&mq>6uYG;c4u}Tq+O5<4s&s2QiJ?+Q&q!qWWI;?AbA$&2L zx0thXZq}+nlFYRs|Igv-qfG; zwVDrPAVj17LW@0DU?G^aYwpu0e+kxXl%yDIe*#O4xYj`m#yRV`U=y_e zFeM{n$2gh8BO1cM{)3SA%0JDocDqiC~J#w;#x zaqBh-%X3&|R?Q(nRn(pAen&{e7cXLN>kI}5iU=`}g+{HVUNqSNkTCqh#u~?)mo22E zUKbla1xfC84&#!Jz^z}?39|R7u{Jkh9sLMeSxAF?<}0m*JX|r`eEIF;$5;2tz5@xh z>s6)-T!|_KNzU>s!M`QKEpO2iJv*KRrYsJYmePsvbaGeNCqg?km9P0Q>MKYyC#CeT z-vf(CtX{L(fUk^`)}7+0{_lAz?J~ZBAr1aoNq*oK>T}k1`CtTU^syPW-UltFOuqWO z#cPR$I9d+9^Eg}ExiyDr&s@tr)$@MpynRCD=oDG2=Q%qYubYxj7j|{1$37VM|L%Qr zUnluGM@P%0-G@pF3#H{yo*XpT8tmB3y@D<(Ov-Ed zE{pmnQy=O0y?NE7N+nofP45eN9WaiFGcTcw_HnkT@R)CxZFUe-VD3MeDtLTAH8XUP zY}fusmB^JR`AXp<0Sm;%(IKTmCPI|CVjB<71zbL`orrXTVDpG21ta?^9{Z4S%Ywf9 zC;$O9JdDRte!WS28e)OVm%pGwF9^B@bBYXO0&pM58-5jxC<59^`}t{QrQVub1MGsk zjOl`O*A%Z@5g7wVKtawEYz6$$!=+$&c1VB?gS&(yct{oEH^BoB($XR>J)H+>6!X`5 z4*!CpqOWz%tkbCqKxnL)#L;YZx@q$g5}4n4^;s~vsus<*eMa^~;+RyuWYM%>CEXCE(Y?dli$Ej;XQVI<|01Q}noX z>s*txA(F$yx7c?WV8iGIT~+Az)aPoxOMk=ggxuZhS^yw)bYcNfn504cjt$n_EB@)} z=}S+9oS&5}JbXCT&RI`TO&F+R5;vmt34L*{Y16S^dTcW(f>YgN-eanM zG#{KGTz{2C!o8Q&4unoHN%UQhc#!^-?H(?7A+2<{@qHdkF%dU?5|xL!*5`? zg__A8GoOX`4`39Wl5*sdqSkSW&@gG%Dd42WJ!mbxvEJclOQrf_1wtmLcp2Gs5auBd zOSKTcdX-ALNawzOjfgE=ND18EtsBFbr>J$N-Cna`^m@&aJ>D!SUtMT@dS0AMs}UDY z+%>#jllsz&R;GkW)tlQp^%)JF|HM`s=h zE!49e#q#IQL+s9=i)J z#ePy!nNSE9TmTJV$l#L3c{lc-q?pSQM0ku7d&#}&E^hG!j9X;0MHpp{e{~Dr|IY5& zh`mZIuboU$H5dE0+U}L-q>?`MFV0oe*m+2tBomwrx6d0f^>nqUy(-c4>QQK3+b(Om z3w)b_yI#+gM)F1$Jh2;NSS36}X6TDi`Jh*9;N`rK_$pJUauf4hi?`r6<2laEfqr`T zz*HW(#5oIta|dXfGlnT>aq0)co}-uG=kIE4+#T2P_H9oM=V7Y%K*qrMa{a(pT+;7V zSGI6u4mG=4Eqg)BXHaN{!!G(jEDnbVI4n!KdV=vv(J+#Y$0zIx8;-U>|DT?h`_+WmX;O*^oI?QuY(0X053qSsG#9A!BX}sgykj0!XhHib8_TL zHjsmGmcCC+EP;TdeGRw*zn&#}jwW?rP7n~hd-o223s_*z;YJR1jdxWnb3w`jglso| z=5i9jL=O0wJq8a5>#vY9ubfbY{YpnbVBmqnr*_YSv-0MiApm}vcWKj!n^jIzT^2qu z+lYQzx|*7(MGv4X1Ly^Jzhjwm6&mXMI%@E*qu7Ns35jV*+k;0dd_2X9=6liaqOA$4c+%|92ZQ3^;y&4g|I&{19 z#OSn`a#0B96_E|7gb3BSKO#veBoYhjrRCsnxff}n^~0Pnb=gye_c3NCL@{;he}rLs&t z!tQoWPxV&W=D*?!*;g!<@!sU4x+rtm_*hApr?gzhOHUUg?mJ$8o@8>qC4C(pp8mt` zR-LCn(c*|2nFEiFhy1pHM(?RBQ}y=i6*Yt3pS)-*&tx?D+~R0#AjP6YDR#=orRMEL zk07Hnq}~P(>X+}*k5A9kZ7$T@IQ+pdtE5Q)LCVU(;m;SzKVTlQpE;v{L`|1U)x}y< z1XD3Ad&GPL(?}R~qGiu~e3fAR>-60@{=_t#RbPUX3C00BcF$!+)-qh+#^waDJLeuX z36Mm(s>7GDqXTh8%`21ti1Qv852fhZ@@eNwFwoP>y)saJED&9S4A8xc0hZV%J`=HpqSO_Z$_RQ> zZHWJ?flk9-c6PH6p@7DWW#0o~%mGY6)9>h9o{D^+5684=MAIUb5aU^-xl zA(#MqazYb{2hlR$`}b}VckX7DxgVP6wNIVG|ME`D08{4c>sHW2%oTcxEkKum@jOW3 zm=!|q-Z)$mLMM+km~W*!Hdv7d0nUFMq^71ezjpR`ipMiEy@UUdaefA~2Z;CA1==b3 zi+rv>hA*ct^)7N)xc)$Q^ev4}G5gU3@M$}6NRDHg2w`mzh7%aMDnv5QfBg#3ufXQl_RpIK|LtAz zt54LeRiWDb!&YVERs8fdDD2OzVfV1vpZO2l?XO>FVmTxqVc#N37$lCkGvha8R1cJ{ zoiE=Yl}_@(v{_cR(Vv9s{n*&EYoh~0LtM|4NdJAa`1J#2-xC}ZMDObAk#+Ar@oIi) zRW=Mskm6+t|#F^LDIZiUaUtdy=+py@6?)AEY1PI`iUsfye65!GD7z-(|cl zSSKV^mHkEh2tQ(x=htKyA7;pYpTH~pSc2#{Hl{uHkkqOGmk@6QtK?q0KpC$~MMae* zXWn0RIvv0?JZ-}uIoV-wgr5GQvGLVU$3FshUs+ihPQzIAZhKR}f3Bnyg}(!d4|G+3 zz{edK9#%~~D*)FXR@R63HjWFWcwk2+>l>F5j<=Q~eFaaSCX8H=(_-g;purA7NnuGR zaSLvozffc<-Ow~i%D^K7?c8rhv!14?Ne+=bpzvCMBUG2FAk-*;FyE9(NT3T6A z(bIck84c^{MPJZ}VJ?&+bR|Cge}5)%ObuK$sn_!)f6qb@u<|th_ctsf@NjY>At&$b z=y+6Aq;1s*G!7&WgiU$ls=`8h80}ysWm$Ro%F+^|C^Mt~GpwTe2V+xg-i*%6yNo1M z-Cl^}$SCGsTth1$NkjWDpoSZv@oEPqcRe zQU(-0(N{$?YzwZUOWEiuaIdcYuJpk=u zn!E>isjxMK={;;sni>E16Wj$}ZR`M!2`LTts~3Q1Xi3eUTDQgkSC)uwN1ylmi|_WA zNMEew^uCY(<-6U)=d%Ru5~yK>f}pc0pj&H|xQsWM6tV|`a^vhbw88>rAVCAQ`3^`1 zHqA%MjfK-{z2TS-QS}$}fUK;n=ZPXj@xbNfc7JXZTSqNg&8c!a$G! zJycKCS7$U}i_rLo?Vo32huhjtva&WTUTUl&Jb5RJPlMgv0+@%xW(eDxAou)M4+=vL zW|HDApZ6=*fKwlj@(gYa|8ulgcv^HY+=L4$CNSu%AwP;I5iK@&Ob~H>`0xSBx{5*5 zO#*TtPP(1vyOD<<{tOQgc^Qcs?xQIZ-iXPbIQ+6_v490O|3@nkML_5|dzP>}7Vat> z(fHzoVJcpH6;?I5oIij2e~$W!2o4g|Tk-%BoH$3c8~n1ev$4{<*Onu2Gv&jU{Ggxj z_HsHo9sjF$@6bu`gI9xxB`oX?d6<8rdQGa>S2$;)&V@hev$C@DUQnL^nZ)3iuy~0H z!)wgNN1nUn?MqEg4&`Hg4gw#rl-Y&FkpH=Gzh5JzVH51a?Ch_vW`F33d@#G-o;KSi z;;V#Q2@dMYVSFFzeE(pS1=k9s7XLdLsuNFyQi`fu-9lD&wekDWr!z$q_OsxagV zVeJ&hE8JaFO}QtZH#&l#cd;C=8}Y99m7IbCP$rD^(j|RO*Z9mVb1g7Lvc4%Jv+LTG z1SJ;d7)_*aZEcse1rw7?xeV6*0KZ^Xtw^r*-h-X_FMvXWQB8KM4>m*-))iAx-ADDP zLwl#chI9iEDKPHSHt${q;2(NFEf$BgFS7~Kz!Kzj$v67lSxIKrQhG zWxjxk--74P$iD%=e>*6C8s{DbCgK%%D;duPQki}@U2Y2)_q=%%vX$D_#D+OZ#Y>~_1po6g0y$=JP4Lo?BGi3Xi_CDa?K}kR&H--7$avM%G>sYd zpEk2?Y=&Vg(7lxz@MCES8|2HMJdw_~Q_oXPfdN{JdiGf^E@C^~d5#hb7=T<^nua>3 zA%v^qEW$Ykze?}mOf^(<@t&*0P}yrNfPfVM@F9Q~U$sX6=Hr=z$@<3Ykl+pv510P{ zh(FtL!R{KanBDt;&7)2h6QheTt?ilzxTaQg?8Ojbfj%R*&`wq6`Hv7G`mQ`=`rRP8 z)qob%_PZ++(WIsHCI%kX78XkcKQ{P#z=s_<%<7PSB24ep)jPpBLe_^0J$7K998xb| zG{GjrLC#J_TDoigP1+erDs!ZHpeL`~3E1zYnBZHO(h`>j1t};gg0lIlqvyxw*F5lcu3;9_e;4koQD*kMg{-MV@CPvSn-wb*FxyIf^2gZ#>y+2e} zK3hv~aK{jqi|gh8ZQ_v`Q4#!7x@zXFiV54myA$wc#c$rbcVHl^6_FNN*XB0?53{nO zW^nQ+v*%&Zjja?icTie-b8_YZM54ExB763?{F2vrYWR~QyQ*waJPW0LR+c5AG|~#D zk72tfT2#ILyFl5`|t8=3A>;dn|E}&KJQ?(W)^33^-M;9v! zAt6V`f$KR7|6mdh$&paPYFjYN0$E}GzJ1_lwPzTi+*4QQi(JJ6lQy{ZaePYSD?vo z`Jmc8L1cw?jv!kM4{sbJEUg4kpBtU<@n7Fz1;@QpPY>}-p?0l)L1dDmtEGCZm1E{9kk!ZRuHWl%L5&+h zbi`M&+o9A+5b0s(34z#BJon~F*N$G!n+QGzTlhndN0^Q)au6b_B!6Q-!1seF#ZfMy zZ^1M%?{nY4Ku) z+#?wg9DLsE4c-s#Iy~a^y0@^6JLUxyg~k4V-Hf-)gen*uJY7EfV8e0O@6G z>y?>$ZYa$Os~k=c zLtz7Mq@yF72!L%Lp$5JoVAQ&6mQW3llM^D%ZeFa^Rrzxb3^jnQouVT3)W|+BgGUYqEg8xdEe1LP1jJC%1lp)NzX4VTz?;6XZ<{w;AQ&##c~JgRfzZ! zVfU*I?JRM*E6fe?lja9cWyXManrsZa(rBqC7n0!*D<8=S$%Fv>Y9Bp{r8I@S{Sm?L zPSW=!Dtb_avs0a;mMjUeHAt4v#6X-57UlBa!w%e@_|w2`eP~DDeeGtC6TIB#7+hOt z1N4Uzd%z6CYRvqurG9F12JacAw`KcNPRx_tPTh3N4-|(JiRYy*W*hk*)(fEDe~DU*F1W}i?K@u zG3y&Y?@O02Q;CRzm*3K#+s5XUi!D)sA`cT_rN?~Twl~nNH746ek zm|Ho9!2!PtX^q3er!CbvfxhG;1q*mjuPpXLMW^ei{m&$^l8tZATitE=lix(>Y=j6uJpd!jR9W% zEYrnr)WO|NkBO0SFLS4!ns48xLcg{NN*GMN7H!kHy&>E!7U20aXzSh?E?nV`EI~znXqLZ z&7(-#ro*X|kLau;YBOrlUt33*BA3^$MP=yY!Jk2p z0=`K9Jq~S(=St5$#LRQ-02ewt`LNFGRrT*y>@>z4)U(o6Z}y4(_!8($7*8T_1)?_| zDKE&%l2*^ExqCl=m;G`(x_YmtBsZ<8PMpglsrgz&Tkm{5<{!^NHb(ESgJN{89G6AY z+<_Fra|Ahe;s9=O#givlMHgPfVeXLkDYH^kv&u2jG1F)=8CUQU%Zczi%x`j&e1Rt`0`-6gU56>rJO3B zG!~VGkwdKz4Dx&vD}_NEmRMjQvnq1d$yH9AT9h;!P*`#5lFk)7;L? zKk^-+@3^&|#oEY`n=i$6yh6iHWbR>V3fQD!OZfWFUfN%Xl=8i{KWt|;rl9?d)EU7_ zai`#d)N*Cpw2~4Y6@bPoRm+`^@SrQFJ?rgWLPCcqppgSaB|0Ug2IkwqP)qnlE)Yz@mY382Y+=p&7$A$;ghJK)QDn@V z<&e*8Y;3kxE-N2qL|C7vvd&qYHyM1DJ@Wx0_TG2z!iQFx_4=lkOVTK`jMz z$o+GHJhjD8#V37*jH6NAv+sUjYItf2+XJf5?ZO4DFIVLtvsW0K-`T)^`z>n<#V^`4 zx}WBTiu~L!%gUl&ipx_lFsRxQ-1tzKf%fu7l=-m#^Km8U12)e8F`G|&qWjQ_>z^7{ z;juT*44b4WAL@_L&)vCHIoVQp`Kp_KK})~O+ub#TB+pO3ctKHBT`kJZ9o}dqc*7P% zSfw1jMJ4f1|8)1~b=JWZ^`~4+emYpOPbw>OV`j$bWOQPAl(HVU{^F|M_mF`!Ms=i| zZK58aisCQ^F&$v9czq+bxy8%pG{LCZN!nXo;FaCa`iL9J?+Cy7KbKh&0+3lWTT<(R za~q$jy6u>zsT&(`HQ<0tvXyT!`-yLnJAwW|iO1IO12T*~apGbh%ZQY9{Ao*IV}g!= zEioGSb{#gyvTktT-7g>^;RBGFd!jl|mu}U}5Gy|BixI~4ypdF_=1s-sTMR@~ zN~+|eRXdVl>H1}QI{JzlSqBj}9c`|`Suu)V5ElR$=zVDZ3#7^CvW}TkD__{Ow6)f5 zx)Xr?iBX)Sf59_(_8Ic7dq?h(_SPg~!$R(b&28DKdY~DT^?YYnCL*C>KYH{6ITatM z(I>^5dBM$awK(Aj`MS9Jh7}MCy}NZQufa;BJalLp2@XOL6bnRnkUL$;qO>U*0nNdMmzj z&GmLex9rC^K7U?|p1Ul$`RiM)WO}DhT_^By^Aro+#^aAu)6?tZA_+v>7mL>6grcP8 zyUz3qn%oUCOOOagf9z1Yr=zPY6(|sxD^-73%2kk|-YiY+joj>BiThNFr=om!3o+aq zK5D(bU(7!|Po%-3qOik_)l|o`Ij_jiS=!0|o9G@8p!~I6ty< zrU5?F&Gb&nda~RabPm?xU9zd*zLt*BHnVlWvZ%pkBS~svPM)0lG|LTAD=C%Zfqv%& zx+#=mR`zS{OgNv|HZYSKcJ4SASH_|6dm+xvy+E4 z-;inh!>wg93H1-a!=ig<2qYa^TG|nn(WJ@QGe0OJa*T-Gjgt-dzg%huD1yJ%_B^YQ zAL;10j@F1{K(P)Mb@&Z>rTTu07xrYj>U$nyJIcN*^Z-T)NH#30UJzFkQ_0+?-;jrC zksZ&4n|(=O?O7knB^c)w*DcqeAb}T$zskoPMSV4en3i_q=lWu>?V?M&dg<<(WhVwK z!K6BYWn$Yb_cTfyi8-V^ojwvgYcMK+Q8>6xbSFv;JIR;+pnXIk>s!f4>{xCOwHBvz zJs=7w3a?(f*2FmM7GG3s{G9Uj_<)yzpax0Lp}Qt4ucU8!oOqw2Cu2PA!&`kyTpX?j zv|4k8dui(xCv_fH%=bfQ?pI8O6C;sclT4jCG&L=>TWLtNj zRoR>+_MR@}q979+%-9*O_^_Gk#iJ79vHWsN=gYFHJ`rjh z0?HpLXph2C_|3C7;fGISUJZlibguIU@6*JV!u*Si%k`k^BbvbP5CKe$zvwf_Jh>|p zHc7XpBF>;rTK#yJ{N+lIO3TR!{W6V+KoFHO0|D3AOr|N{pnVx?8kqU|h6wR;_6gU0 z*}U*yiVonH%^;XN#C|Eo(mix1$Ey1}E6ktL?FxHHM;UiAi|pVj^!>qn?~lyxPLp{} zSW?)^ncg_h>`$Q|%$tpYWa3CyJ-Ev)hlx2kS)w)Ehc2`OPZH*IrYOQ(KX@m7*y~&D z92Na4eUTK+7x(VnE6ZD%SscO&I~pLI^`~b~<_neJXEfh;X2}l*4)ndUdM$7n_ynQ&FmGbsNjtjpV zpp+GIkPa$B-`$m#J?P?}IdLq@w7C9axiD+}a~PrAYC0BknX5U()?Sut^`Ve#V6R}Z z2#Aey3f$TxmolcG`1f}yQB*400ZRC_BeQ=X`iMZHORG&EVdl|Y|4GR(4aC~^vbP3P z%;7=_Q)XxrGK6>@aO+Efz2@2B1rS57*V@N?1}QAXe;L; z{j6G-N_wRryMOw39ixr?1Sn7w&oD)q1Df1&2Skh0C(a*Wo+Lhxp?j)EUT;EL2uJsJst)^tS z%1ORmeoJ8A{e*K8Hj{_XzvXWict$U-mVd`Erj(x&BJByBKQP_{3#5ssThCr=Qw?~q zYfoBcX=y28Su4Oxtm^DlA*Wt~N>v<)$&EhbR7c9mY5c9PgQW^`l{o<^tIC%FMe*~p zkw_^QGYe>(45ogu0I~+A2wWVpEcf=Qjy=6hZhxTQWG5|^6J}<_G_GW+WDWTm4_f8+ zYAQ)f@7xFuKITXvL~Jya@jgnf#Xle*^NP85Dyc>8C+JbRZa;g-`Stq9LE!u*+dfO6h0k9pt(8yD2q4J;n=dvNPQ5Io zJW3qVZ*l^{-j3=#PPc6Q21XynU?X3y^U)IJFpdj}$Y$58IsP#L-SIoB-R_R?Fn4nO z&G?@^{fTcr#>~vfd#=Lch0uqPF^9z{&A7X=DA>}@MGC)-&>U%Tk`=sL&(-zEnN2_- znzZ{JbY#VG>C|+Vx9osNg?m9@3ath66#^E&{&r^I#C9Ng*P)qdOl?!&x}Hv16(xSG zwAb<6BzZpXr=lJZW!Jb#Q0+fwb^R!j8bl=c&5w1JC=McpWsY zaOf5Xn#&>zhW+~<1TN;?$u*0~DPOD&IyXN)thaR{u^<4(CF=^3amahc`OP#I*XTGjLg(gTNYv(vRv^KS|?@r70%!1 z-}Q*tJHYU;TIpgsdYnBH>1kQ8V(*zcHQ;PHSHA7asrYBEUxqWs@(~bKGx0c zD80?7KV$LgPxPU#ZA@lmdy(MB+x{VpRW)yR@iZRKqP%oR{yf#hV_8F^1A00-{#QUd zZ(%Xo&EZEx-@MmQ_P4rt)KDYs&fWdtH$Zh|HlOw`g`xt=>HA;K>^PX5loaA4wMDtQ zF4?q5FxFb3-q7C})CN%FAZswgyfNj0!KNEqsVW53H|!9z8BaxWFXT9nbR2y5@kEIP zm#V-Ibx%)G;fy*O(BIm>oSl2%i!jgX?e~qaE~Gk!L&Ym+&o}0Q|BtEnj_0y(|HrND zY(lbkA(`1**$O3_N)c&LQi&+i_xbL+KflNC`t!Q) zt2oc|b-a$_c|1pDe6~P?50o$RJG9@#OrM$fC=$qNubnSsaC*FfCVir_x}P(QOI1-W z%|w8OSn_)J`|cj&-dJD_xPB{8QGDa@UXFBP3_+uEGS4&dThb~13m1y-JQeuHb>i19 z^4w?divmExy)AyxIU;IjjFvNLb<1Y;4p!E?U-F#E@**0V)B(t-;aA0d(p#UNUfot?^Ns)9 z_JS*QoQ7ECMj?waDY%!c7W!u{Q0olKtNU0pM39u58qIN#r_?&i=82%d+p+fisU_P@| z;oENeR*tlm8oLC-m$dzHLv7zwYAcbA{lJow<*9a);5%aY_-z($?!%7QyPc3&ArMx2 ztrXd}g82hihIPM!3T4~Y?G1xsOd*1e)K+L5Ik|_`hw9!FeYX<#)P4i5n`Bw3sKGAw z(Z6P^E>}x=DfT*;HSM2g!qYmjH4kl0+|Wi4JWZQ;Djy)?^la#GBu z5*K+*hbX9jsTYpAX++Yi**np7M0^sHoB7FPs!CU-m1%m2jJ7oKW2)9q!3eCGY4ihh zY4&PAp3wj^hg!w8@B*Dqw+vSwd=>NawK)8--ld?VbpIOfJ|V^-%TnmbJKWto2rXK7a+LS7=Nes2)>{yaHNA1~p2*!JCu>jd+hyGIMCQ_% z&Yyx?FM|x|R+tA-HRjCiOvr&1GVJ@ z(f1WPEVN;m@9>pT90%=^TbiFg*hKrv+uKDpx$ancg?|IaK;#6|udS>IGxnaF|2s_1 zZDC@fW^&he#{Wg+d6p7kDy^vd*MeC=%HZ@C6K_m=-LIW6)1RtNZtGn&PR~M5Mzd2m z-j1Z-B$>P;G_OM=TEv~R+UgfmCy}G?%L=Dr!A=7HspYX478*CT!H;n-5O*C@1 zk2bq)(BsfHEA70fZujI!z`UZ zxxY47GSw=d9^vD0`_*{w_M>m6&RCXQ-uU?kRDxwVI1pN`pYl}Y5bKyYMQeEQqnFh7 zJmfuIeuDds(ap6qRsoA;013gh+5|i1w4=m?Xk0EdK=_LIdP~|<%=(;MHvd3sV9Ve6fsH@?8 zJwG?68NqbW{DiG-_V59dCFTCHPHf|S4*lN#larNobU9!a9E|4B^02|LuNo@uF%c-9 zMa61vEr>+(rN}PsQtuGvEg$M)D%QnRSxcL=@xn2oZmbiC-q#`Ob>8XF7d*KCy$Yl? zyl4UrKOY=qOpcTcepXZ>Kss?7>JVIvK!i%yCHbhsn*`0}(@I z(I#ws{Y+B=mYk{<*4Bo#EE|pG;s=I1dqwWv3Dct!SAWfW%d}3OqN?BznU2{qwH(>0 zT0&-$b$6Z9EJe?+i*On$vbl8W()FBc3Z%Pl$zj;#JD_jWYagfkjm0SP8s=pWt#Vg; ztGYU&m>nuv`add+F>Y z;J?QMSizgHr#90-h_o^-T>tL$?^wV{43DIgT3sd&>gC5bp1vGFx zEz^WtVr+CY<(+*2jNlXuaKg zF(*l&wx1cym05l%y5e4IlJ{YgZVwKGf*6=(9HmyEp~$#sFiq%vEwIt9CiQBh({T6g z2u^GW%F4^r;*ai)$#6hu^|`2`yAeJ$FD=tgV6Pt&sO^vww7repOTTTn)Z|!iShzy*LKSZV>mgjB(Pmf|v2Q-e1 zV>Oo2&8(9;TC`Q9+NT!;FRs3@`Lr)LGFJ~R^7|A;Db|gJ4H9&ecFcm$&W(v5ckS&O zm{sq)K@^ghM)QO(_wA`JVs{m@OkZA(rWnsNi}6?-`{|((u0fXM2I>wROsuaRV>&yT zHk=p1d_qg5^HVi1z;}_iOp1Udhm2{@hZ~G)Qy;0laemXOGh>1c@K2(HT-g@Y6K>?+QgatO`21*(n8jD2y$VnhT<%Ct*oOkqP%FwO+AMw+? zk78=GQU@AV7_&9A^VbM{&z>1AHGaRTS}5n1IeX-)lU!=!yv1S%jcH?%P1nG?bJ%Ni z@(q2(rVcWucV-VwZS~^ceprECklX9`<Y53c7T&h=AqVf416+dGWs zXQeH*62ny@eHRV_T`Pr z+2B8imN7kbYw)D`1MWoP@MTB9z{WS>W*s!fuCS2)y5YVWt851 zFAxtpqDVFMluLd@@oPAU+)YqT-j(Jg6ZktkDB@+2-peHRRV^>|{lj9DMpnPWkL!rS zGU4vc#1#40j~_=4$OkR5U8+2m-SpVleD~`c90K!|hxdu(WcbWs`#98pqT$;9&_y;Q z-C-wpkJSKsPd+!=6z{r^5!>qseNph&e33JiD@xk@;DOu_l$wv}iw;o&y!<96?TWv~yxY}M(D$m5>%w(zh1e3pEnnTp zn>#4(Dns&epegNs57ed;%HacA{qX;kJDWJpybdm*FIk{g8ZBXdo&6>6wxpDlu&gn) z3WyF_|C)AbNeMHN-P1T#l?pfd=rC?6Ew1$Slpj4ji?&Y$#r!S#y_*O zp+*fQm^6Z+v$in$vFT&B5RZ?+%U_avs2^upC7QPx8ATXA>7ok)(?nmgoxsEEuB)%Ly?)n$ z3unO7E;8s0&zY`>dMFYl6cjNQ5h^%A{R3N84@=JRusrpT=LA@1Np3tk9x#wO8I9TF zXKsCBt#`{RhZ03fwCeSzEDVYt;ZBA$<6tgVvzL@yUC_Z&2QAZ+!lYDBIC+U_;Lg>p zCUC6YA)_*V(q^(gcvAbswN1FC$^R~9`V(!JzrUB0;6kezxz`O_qj}Xbf=a)3F==u6 z7fpk!$x)~MwmT;VVLvafBXgEQ!?;V|8h|^GaKwn3q_EIgrB5!Eyzx&1W3;pZ6wFEf@ zIjJNo;P-cR%R)(`cLh>j6jakYHkl$S+>Vl0boK96XFxRb2%D`D?J^qU?e%pw2bT_K z3rH{rI%!nzjobE7Y@;ufN|>;>5mrLhH%qYXH@u&8;=fvVEtsC(C0Gf~yxK1PxnBDW ztJ!u#CMUP_TgWhXitB4=Zsuknw~&4PxxlQq{6J+AcDNt5jaqVYi#|x!XaXCRa@Q_d zr+-oEc~0jRhT`wW+qH7&945Fu?&;~;wD*F^q zkRds1uO}|8_p;T)C}#(IS-(6NyUl75PMzG7(z4Rt@cqJa@aw{~JCCS2QY97~fF`7d z@a0XKG!`BIlzLH^RJGUm1O%|lWDb(KA(NNwO9W|)Lc)}@2D($2ohT*Ygmfb%B?Wt$ zvDDvt`#U>BjftTD%eaAj8tVN4Wzt6GIaH4bLU2^A4|@NE{pFrpak`5s7qmuA1(weP zg}|AR*QI+Z=_fS$BORv(NI&j2N=o_3Vyu*pjfw8(E1v0&c3bWG%=&hcd)qSNnGpg-G1uu za@j*Z+7zalemcD1w}k2#reBGy-}i@0p4RgMG9LdjHMe5@X(Pc0mU(K&o`1UPbx%Ot z<0S*b)fu*OZA>xZH|w>$5=J_S3|(cgQ(Uq;l`>*j*yz6j-5Zs|g4QV+a5lIpY;lz=+Syt98{&!ug2a z0rY4uX<2`K`xYFe@x`@5>4B|>WjYrZZBN^-=9BdrJED4NZ_}QQJ>@xBOOtiYrG6zA z`{1Wt4?not2i+)vDvcPhrKM1^eTvju3pPv5>@>v-)Zz_`akWtFHVSsL$V9BGZ__8U z-j$*M?C&@^WId32Fcwn@L~12(1X?qSW_I9W$0Lfvxk;?nJCg@DRX zHGZ+2b;G}GIt@Gs*QG7a_$*jWNZ^U^oR@idDb^hf#-jKlE#_nAz^IBgxgYw#-TUfX z^Uw^~76bR_zxPtDa-*Cw`G=NI-A(6Z&7-sdVWaf-FvbJg+Iu^G;DZ?^*q+X0%ZjQh-X(2q4pD%2E{q~tg|2}G!!*p}M z`pcj;0fAxp$~SG=hTv0ua=PoB3(U_p@F*Kz$Dh(V3rq_5EGSEH7Fv?JwDW zQdi^cthlbCz$xaoqdUhnwwda4-u;u`weaT3hV=Hni7>|0q>mTgM;HYe>})DJE?BWR z>q{2GSnw4D#r!Q`mVgS;_5>zGn$(;SOACVBg6M|z?AbjUw#OQa&w2SC>tznch_4-0 z5szCGG`%7`i%`*tO$>1)=W~!5h%rSEyu*46*{ZRIYo}} z>KHcV1F#xR0~Xy#1SgaJtsXl+6Xr=1$igXM+~86N&ba{3vs$E?=yIbKkP2a_j}u z8;xF83sr?~_RafY6e=#>i+HwxfQ(R5xLmv!Sb+%)Be#5!ne--+1cfrRi(nTFV=P^NYA(xXDJG;oD-ZHMDZB3VP0x_Xt4d^FrTe3TG?YFwkjJA)``RpBO z8=c~BU@Qkwvpw8dzbWU7AER&HS^D$qn~JH{1(Vn192`T2+y?gPk_u|--q#`l#o1Bc zrqUH`jTRbZymhN0;+D5cJ-=0J19#-nobmHY5hUTHEG3&F0y963GJf}(FBkkSex~uF zvb3Oh<_aN}p^UQ2;BNw{;r_!9icJ3;%^hCg6g5hm`nuh0pH2J-(sW=75cQtrsl58n zxKI)hqcoGPT3H%IYI(gB!sCCR+EVz`exj%MTh8p+uE>8JRoVpLvWse`n=-S>L~8YMI{p=un0&-~u=% zGPx?@+)6zg9DD$*g7Z_|YRV4F7x0Nb zZRE}BD|RG1-+4U-luKN*_haa#uITdOLbVWcnYN)WL0+i?{!!Jb85t5&*~!UdRb0p` zPChvw5@6IMv~I>bT!{P{Uka*-qXWELF>5f7kRf4VP~oj)J=3I zM~{Ze^Fr#4c5HTYa<|q2hC|}g_lGX$)P9@lB)%~V3z}RJN*FcgRKq(WOU{MiR}}Ab zgZC+9jV+8%(q>)f#R$|-dfp=_XcH$|oBGgluMm0`BIK25PXANJFzqkvt znXizzbWj8Tk>{N@5DEwsRdXd_bpkmJJi%gIjEsOvliNkOV zc_t#)kRs+dDU+x79CUEO6bS-$570EhFR|TB{2(N8Xt@G%bs!nQyF|Fr=^wP3a!88k zZ@_H_Km*_3@$x^Em!4x=sj;6di3KdOiz?r4W1p3EFrfPI?8#t;{GCTWtFJxL38l5+ zi#v`s9~xo|_=nID!>y3`+oo&2S2+t24}YG~!!+jdP$#dGbZ`8A;KOf}+eD94`4${m zo(Ibu$_Geyi@!eRn$74UH2CzX`jTRSVnacJGZs4lCS6CMnUOQ&v?SV5Luxkv8bM5B zzW)B}L>H9hWmMzsMjAGdk>#z5H^I&WX@@`TaoB^d6OArl}$Y$YuNfwE5fx6rb+n;BjT{r4>>)+f4COO77Hv%r%*K>WRY$xN~o z4_$>W3|<+NQ{SFYyu+fCWBHgZRge{9&so8f6-7l5Prrb2KJMpTCIa7Crpvm0DQy(z zi4Kr3h41#us9Cq`j006zL0+DJC-cBA-E-JmNS`X5sec#8W(Px&7LGJtq z!}T^WHo#YRT65eM!MhE@QYe4Opf009RY0>`v~xA5Aymo4(mZ$PEWAu0t6c>bDt!rp z9^&JJZ9-ANsoX;KplOKx@M%M~jt_Qt!8dDHmd!3f8`Jk+s)gk02xg|$_*hz7w@|mv zNZ3dOm+LMFow1U(qefL z$cMnEinpvz1-Ee<*x`>Se|WP)_3YbjaOQAB>K%quQTBdiRaKJHDu|1FENjJ8RCY<< zpU~UwD_cxH*<0Qap}1o2Dg|>bvp*s=s8_ z3C)X*3|ntxa(AV^&pevmmgVVpt9{>It217u=@U*b4Yw{-IIJudF8U9RBwy$BDw`}n zNGl!E;q(;}64>AzzXex)7wyv*NsS}6&=u<5fiQ&tB{#+?@rg8+@y(AB#21mA{Px{D zd8}BVE648m*6)@IqW$i?&Nd>CKd276F}&@JeMkOPY0OlY81ZQ|GZSSlp0D;b3f9WO zwZkT`IOp5n{ZN{KS*WE|w9)xt26`LZb2XKf{rXI z-hASDW_|U`q=Mwbm(%<5@fE)2a*D4-eRiFN;e2k5v@B(-Q853=UiS9`r{dn7dI8PV zj-5MqDp3n~ySq1oS!nd9gf}k|&)5IfIslKo4{~!!Zy6oD9G03xLa{YK8SyX#S>!P4 zNr|v*v5FE|Vc++9DocP%_BS7S^V~IR+<7q^qJq7%S)K;_NthcTya6ZwYmzQ+6B1iD zRzL3tRSfc6bPBNR<$QiaPk5i7au#0rr~`=2c>{Rth+jl^3$x7B)Kr|S?K}7N524{? z7C3Xg2c-e+Pfm`{SFkxELzksfu6TM%$BoTfxk?`A;03};^LPKBdb)onHZNi}7H%SV zw41M9a&VDzTn5DhCi5`Um|sCY1bTLmpNJpw*XgX3l&%i&I^m$MLGts`?-e%(T5V0? z3-w#dL2ABQ zi0g`s%o7?J9UXpzDEJA<+^a)uBPXSQ3|6?!!KSn61YAU%ePxv5>dcL&MXyOppAr3L z-&_g{d^ojhFbKu|Is=2@6Y8;f(J+ts<|6nU#x%I@8&5w7po}7>RYai0#m#pR@^Pq( z5sXcD^|8&f)Y|`WbaC<5m(nXD?BfiFz`Y9juE8D`y=QDkU>lr7aGT9%EUeo7`#PF| z5uG`sMJ*;QOhH9;HIUAH_vdEte$!-5G4#M0OpROfX;MFKL%rVe2@YS5cRZ0tOg28h zIZ+8iHn;;gpFRxJBXHJ*>|Vyu{lis9AYy-uqbAktrQEJi8!paPA$F>>zn$2h4S4KZ`@LpM;1-}TzCkAk7EY3G|&*R5=o=`IO#yJnr zkB^P503?G8;YDmgFr4mGaA*4t6~XY*i0k(M-yS*dwoP5n0gX;EH8<7#|S|5Z#Ygx*FZQELv!7PQ`FSMR;Z0f8v?cTJ3 zcf4dHRxa@Pz~um$Tl%Lnul_P>i67?~k0}m+*wAp%#DqyYwCJu-CCBOEAcKBE9!_Q_ zsV^ehiTby*ve3hUP;T^QQAaiBg3@U?gn*FgI(zzQnn3ekH~~SdW(0Tc&jt7H^(nKK zM@#mk!#MPK*Oa?N=&l5fGedV5K0g(B47y~Y*%8?SBy$G?j4vQ z4lvU6Kr%xPZO$@6bA1Xb=&0QT#QjnnS9W%GBh_{H0iU5?;eBwd0a4KVZ5D-})l+)3 zJ|@I}8rKNN=;R8C2l~3Q+ekh>49s7T_2>{I++m6)tJ$t26kZ3TJm&u%pN*bgx^VY& z_ci0p2VorW_M>e@1z5u?f&nP(wnL&hk=TvPY4|MDxy8S*HVC6oy|StJ`+8-v$f)!w z{oVObJG7#AZP5%Q zGD78uYe~Z^=Qngr$ZcPUt-tbS#`=qdK{$C!EuJfO9Jn!HK_JUg99farg-QJH)9DOd zZNL1>ijSq7d5*}+z3g{ROUaN*(KqA~8*bDxHL(tj6@1IkNX6tQoW~bEUnK6zX01kL zLADecOiL?CdYakvu?T}68~gWT%r}bm{#Ggc{4RT|`Bs6c$=KQ&Rbf*@iIB1EEfM{6`_ zw-=rlN9i5GY_Osal#A#DNVGp_|LRz<0)`9X>vzQIgM)`}Q#MIDXq&B)I&D-0oJ-Q@ z6auSk%*};aVzlxu$2Aj))!qD9sy_)W8w~J}*=J;Keq3m8Ga3vC$3T!n zVTMz=nWd$BaxTf^z=6)0K8UxY9ckiV37w&UX|12z!4WF9%*Teait-mt#n547Tr^1! zJalux$5`xeurH7yMoO3olB$Y4gHMY7otrlc8Mq%d8x$rb4G244xv~YI9k=McRbjuo z6i+_dAAP5%mx8bJ{)NHFY7i1yTz$@JxXnB(DpNHPoi zwr|@yYHHbd;KEIoKFBmWw5!tD5UiCHOe zueUU{Gl&=NFX&;Vn`EqQZ3j4EK0%U4G)=;71-mU+(3!VNWmi_}5#QLFZCZ_wm)9PF z(yXj0v}n;I+s)G(&jRQ}nV@LLh9l7>Upvh}g%nr(XIy%Yj&fLzw!5R%(F&vVe#M;1 zA~NB^v5(0Jfz%g#eT8eC->SL)cH#PE;t8+DtGR?u=XJI#6i*PqZfJ)H_B?zJQQ_gj zqN0eEW~`n@UVzVbv2(F;sTFO_Y~ObB@j)*B1U&`Zy!V(_2HS4?Gou<2k0CK<6CW^b zu2YGYz~cm$V{D1A59V&A7F7)x_o@$iY@)`>_EbuA3u+eC88x@ck`kImBhM=K+RU1n z!tc9N#-hI7l&JzO#z)@o1XD?jRIqd^ZOk!E_v>zXooM*$u zp^3rGTR!bN;gcSidR8L92`2^iYTRc*x9!UHZ?cP=H*=Sb5p+K9rAOc ztL}*cS0&*TlS-GO{*fbp)t&NzkwO6@=UYVp9Nwx*w;$4LD0pBBWG75W=lTUb-SJX8 zi{(}Vxx_zZYbj`p_~?Ako<#*>TzS@C7jz40o=L=4w$$R@-3b6Nyu+-jGB(zuyAu`@ z?O&h2J*=2VWf~_^#)Z;; zK12r?X*iaYoRVoL#ws?Q(L;1%d#vjFySl>Kcu+HJI3sfE7$kA@CN)NmuP4Nv2L>jSgqnTr6q=%t;HA)h|rK-Z#Odnwg= zhDkmmqvYp~sf}qhQBtbcr6pIPtK=+%nWCOJak zI#W5Ol6uNl_Al+N!!d>h0$&G}O^v@S6&6=)40Ng))qQ>z%ezh8X-F4x(J@;wic*!p z6_cmUol=-|TnY%s(T#G-g%>kY(1j%eH>%zMSS$oEpS^kvB_{{Hi@*Sp`(Kd z$tvF50fb5b{J_Y;bX@pAm2$2^%noVGZguM8E!$}~N5no&i23$OiE@iEFmB&&1KP!% zJA5lt42awPTXYPU^{suL2u_yXB1-^@l6xp);DeR=)bUi~Ge=IRFVKF1Qu9fW%R~jT zAINR}Zt|U>nnl*l*q4)GWF0@znfvh8Z-*CO%dg5ivLOyqloqaRL9yC-PEYVs_WRSl zIQIyP&5i{$S$7Y?t!u1)!0y150_2<^^^V>X4pSJT>%lk|MODY}`@!@iK^XK=^^Kk!a> zlqX(A*Wa$3BCe}LcFuuu1VVQ9o6Q*E@>W1q)4xWp-{oR0Y0@VWJzg4UqqprRMTpbM z3ffP1M8c2lOaMqsy|k~*=IhjaYb7BdBot*4w&+Bku6JgCE|{&%$9%Ps7PK)jA*pd) z^B}Tzpx*(_tqE-{KjZD>J9h?GP*~ob!YxnrmdovjR6oVZwFKA3YVv;dw;ypsI3OIr zUGCH(NjEM3c^=xwTRw^BW*lGyS63vf6RD37>&F!NYRKTjTSs3T0#P*q2HyPdbS#qK-l)yU(@@~yqJMHzH)C@=gL)#AkZ+A_rtLZ zZSV_mzt~*l!#-K>?%7Mnd5+SXloR>x|1L$Hbr~K$(ifPQshNKn@aL+|MMjH9zl9{| zjM{m{!*7WssZf!l*E#owCL$Mc73&$1`<>(^{)`1e69~u=F`i?gxMwE)K>gd1JN7%djx$<-k<5XJL&;jf0IP-Mo*UIP-Od$DfInTacS$hEGUmK9f?tAc` zj5BjM-f19Su+`?~l^qe2Xm2||^5)H7&dY3&tnEO`DjFnBItrIf9j(u?^F-eG|>6DI85_Y)2!+vOi;(+@-&^RNtJjf8L?!a z20l%sg-3V@*B#?5&sZ43tl{nLZJiLf_F9eJ&fFhI#@ReQK)o7Yirw{Q-vwDPtqTe% z$3B&vNAuYD3PflUm()x?(|h;s^~<&%{_iFoFAg@*P}Hk8D?LFhsMm7x&=?+2Qes~C zP9!3S+fFhzJ*i+eV_8@FB#*>NRR2<>$jwFx1qEG&$Che&uS<649QhiDpM`VDJOi(Lm7*K>ot}fqRohH zzXHS#ZlvmQMz(do>vs81@O@VYR=<#wqisfLx_mFRLV8Q3;0h~ z{~G;pw@5c0GEe^y9u+0`Ii@|sOY#}&I*Ivx(ji3Ls3nub!sf(@ zde}2Q6p-ov`kr~8ayrc?m2OsF z!l*+?fREqs_M87^3}*eM$+~N)Ykw}=+ufdE4jK{9vrduX;pEginfRE6L)1y+4`@DG z-)N@dU}ezGB^ubRMc=n(+(=#4uFziryW%-)z4rBWUS03vBMN;@9t{sCMejwdM{wK7Mcyq;IrM%NI^apX`j*S@a8h^1@V+oR0`vA=T0w?Nv z**`=G`R>qRbR>I!Rw&*Xi0`&_%_H3V(*(LDn%A-}PP7yEPk%tKOel zxtvt#6Q6&9r>m^=Ic#CcM=H}^^`eFR_IFfrrbj)_2KKlqAda;?U#0B*I#Ns?U zU6~l+{drCn~SwSc@{$m|N$_YlM((qz(>SaY%iMgT1*~6~X#3 z&*Jk6zV&)o9^asJ5OKu3g}qVwojVo0HOHl37$BD=BoC+xHk&&w5U+a zZLloCbu8_|*|c+UO?RO~j-Dxnzn6%}!uAo8nc%kOid|e>F*hD}+6A!Dkq3kSZnusm z+3oI@V>u_s_23uS0tMd{U{+?`V~Yp|4C8f_N3E34Lz=oGcX`{u=p{II(RZSSbNpCk zJoYfVE1LM`C;Drhqz@aIuh;J(vHNtEW54I}FPGIbPfaG2}PD`gH*A&x%W4=dbsVd25TTnT)KjHQgajdhd{f62)YtOHZbx!Ya6 z&d~GvX#AKH0*nC0VHPa;iH#M(V|tpHR5M&4cLSkS0x$PQ!qkhcx6OJ7dFZdBeXiTy zFi)`O(bLdKNJ`3Hc@!qp{~N#KPvpj)tgvO%Se1WpSjoLqXePBro^LlTT?+4AW$d|C zVdgsY{Q1pAPTOYf8tobBp5kQ7dJi0pIF7n7mcrxQandU-CSq~>KI{^T6UbvEbu#;9 z-xWDaJ)ifxU#PRw53SDnK)2$_SLQQE!8b!++b;h89Q`8lUy+k2mw)W&QAMk3JJ@4T zwDnlMywD_Ki(9!SUZCv1#y{a*ZaXbF>P!m|+WYlvGbjXJAP{ zqi9h)(%$I=+F~g@rxw`hMtx>r;z?JkJ#=q5SbR8 z#I87W359^(6;tl1GUs-`1y8A+KVvhC!j7kZ*2qb%^qNwxO!|COe-EjGS`I!@(e10I z2YzNgq{vlGjbA*MJBq#8V@kVsd%!=L?G+lUIzwLzi;T&L?du5ORn{AbfLn7% z8^Awd(H+}}yca=12VAyeVjy*l%-_b$hPT{jjKxeu;nj9`L$1~Qt00iMvCV`rw+60C z7Q`6XJ(=PEG*-Q~WNl6vMdyCYl=0Y$9-ORN?4@G#TZm+8AV5 zbvp*$m0Wmlwzx(K)KAq!nPtpTSmgu@Vhd3s5HZt`7O0mXT1ec{NcyNeD$EZ1Q4>*lo z)v=V`qW=mes@emYgOC*ny5zYQu-NbWa4qLDE=Eo!wIk9y*_oIKz#HM57TsRuhpj`N zI77K@OLNH@?wRcKqTDXkj#)*F+gKVLr9lSQ@l^M#K1OxF2ls0gd47kU18os`jKrIl zFEbSRe(tYX;;Y{aJR?p`#VMAnJKMyppIK|D%xb^c`8bxtwm+c<1$L_T{85O;8Vr$ z02EIj9{+9fAB1HN*pni*+aLKcJtE8=W#(0(KG6gT_=7MNf#gh)0YnUuFP&My@|h-Q zo2c_jF1vCu7NzNxrA5Syf)7^o81b+=;xCAXCCc%Tp+q+@OnO?T)l^R2%xGq=NKR)E zcqmr>_!es&3q9+g=67Hv6+cA|#7DIK{@4m3dD4D5wf**;6ioDwkGr{^gYN$2 z$7i>C?wh*m`|jf4KxD0XsLOh0n7O1BBSG&1Haa9JT$5n(o!L=v2A5%~=HYYp_Va2Q zUUJ@6rE5GjH8mYpv2S;F2tfTg`>%5*_qPYQpu^oBOsATl!-f1{_dTVUl|y3_u+)HlqK)RLiPE} zE@k^!<&mk%Z-CGG2Ap8RXU{{{W_VObg1jFr1m;IC93y3|Gh|Z-&lVh-I0IhF3#x#_ zhl%5}pY*m|ttq~sZ|W$kV}h39w%w+ih}JM`;3aBSoj19c=C?_XVK>&nZ<1L%v+N0KFAo-8kgKD)N6vk zBlHIx3jn{EJusF%Nm`eq5xA3pEDm8J?sxv|*(z0D2jLF;w*kq;DmiWy%^4p0JBED% zDapy$>mO*H&ThnEWiHeL&-bPZ`;$(Q_$o}?CeEdU$ z!eIfx%$)nv)x~&JgUtQt{%GFCA%eSE-sGcGs^`lv27MBh+AQij$I%+_Lv&QDMV+MY z?Q>27Tqszed(q4y^vyZ2uoRJZ%4_)~S3YJ!!R&w`a zt%VO?Nf!XOz~^qNFsev5#iFMYTjyc}Lby75 z)rKa|nOV{h?p{btb^l_)@CnV|E%d~8Cs>?E?}g7>?ol0T@a5*Zak;d9>xF#5zV}7X z<0Ued<6kB=F+MDH0*Ps?UT0J9!l#(``IFY$c2n#ca{ptWv&wEX@l}UA&n9#6G1rZG z^JG(5w<3W#BTc{ZE2d(qu3Sn_>tHV4WZhz8WQmfOUsZYQ)+=zCjDBC%+17K`39azD zR}g3(6_7J#r;3xERMjs8b*aQ#45pm8NkKu?+M%^=^=i)^V*OLOFPKB70UiTY^lS+z zrPT7+r<*y93}JE?`QH;SisM0&!8E3SBZlwNNwmf+BN&CFqsrLCoF3g3H7=Q1Yu zJ=>kEw}%GkJ;dS%B2F|wsbP1COM}-E|LWjttsK zxJ$+MM~f5bVHqglI4x;sJ`s<+py^aGMuxAHr6oPt*f*;izvkJx-iFCYu8}VB&Yc8J z3QJT;-5GlmZu=m0by77WA7W0MD$1j-mKKa0Iy-rW-((#-Lg zQK;ce+BmcTJ)p+?wXuPeR7KE`Kvmd78H@JyX@jP(srQ}TO!ys!?@GMBJ$cF>Hb8D} zZsVOF@D6?4u^CdZMYzU<-L>rpZ4ej3JBlzHJc3HXg1!Ai;Z_!%edf}-ZQNR`m6el| z`j@VsMKJ_a4^Pcq;w`}X!oI|L*mQR0QR#Jd3MPpX>nhfq0Cd&DhX7yHm07vE&SS9w z-xEb_hTw@$T;oxpJJsXk<9m3pUK}bo7trRlRpWLYs7EzVF9zX?miiyS(Mm&2jLOTw zO_6&0w)GdQ@}VWf0%Pm$=;;}66@DHF8d5yU>nE_*rm#UI$M*z~f~?;N+0wa?EPU6gVEgD&5E=_pJR zR!Cyfk5zp`GYYb)ly!r(aG<&0;)}hT*D8I!&_-?C`Mc@zvidY++(O1auw=7BPvd|- z3Rn$Fl!?a#SKCWcZj5rgOjmv{6k>sL_%S3=8zU6|I-(Nd7Xe}xk|fm>kqA(xa{G)< zwgU2ktr(;UN1L0P;ARb)t+ zGPM(YGdOS;or+qzXu~Uc82PTf4&4arR&x}G^-dMix*oK} zF-{?nO_jKC#w1QlO>GXhc00QnfQg6$s6mM!v6jxb7Ilvsk4$#ji2W#{LtW8y-Gnh` zI7bAwGm*4a_mJQQ8oL~EAnku2hLbGzTG!Tg8tog{%RV}oiPtV&P(9wMKZPXXa1UXyEVV-7q5ByyNZu$`t>|J7)2(rWu5vdVnNUP<)8R{Ic4l8b;&@j*DL= zx3xch^vLr~>#jB3pz^P~&t{bb8Hco3v`HKjBm9D;*{3TLSYYc@Cfov8Sku^uiqe%> z-M355L;GJHz?jp204M3{Jlt#cLvJURMH#J?5I2^7(Z>gTNBDUmsX|37sLkc0P#NfK zz^K3b$$PyvcbpY9%EZ8o52N=9<-gN$GIV!G)q%SP=Q!&$_67CSP2 z{v2$e`5^={!xJsj&eJ_gzXhi>EPud7Mf}dsD}w{NmN00>A{rTQmat8e=lJlWw4zlR z3@WrpeU2lzmb4kXjugu*#H+xF;Dhi@go%J$L1s* ziIv@uUQUP39=Tjck%Ew_x8nL4G}a!`FiYIu#Fv=Y*=LL63o>(-vR~la7%|?BzwR$8 zb3yRM7x`}Df4m06cISWqB|9r@E0LS_;q*Q3s&DuSNQtye9FhfZ_Kx>Cb5J*9TU^wj zh~>9aYam|E0oJ6CU;=T*ci;J2I;xa3pTri%*Ll=q-^7$Hx!{jxQ2S4un82A_eZw~K z93ih=79+j3UH|>EJDGRfNJ}F!&0$A`VnkGJM|ZaQ zCN3m}1BEGmz?TdxUeYE|uptm3JqQd1EyC%yrT%)Yer3~EqFFV=@}WU-IgDr=a2 z+gmfJ`t%J9Q0DfYHt_vdx|T8+cn>O~RzjM(2ogDz8A>_LIJmtHjg96iCkj&$1Ufh8 zh+9vXc(}0~MhZ+{6h0ETVK`w^4WB|SAA-EJwV`#(kC7WD5C3=!9j;0opC<$;Jk zR$#OfzW{<@Kv71z*)n3dpoUrg_HBl`wHmEFo-gv1!EAVe5Tg~RgediJ&Hll6632H)RaUmT zvT`VSOGa9n$mK@LEf5W4qqTj9bRxvNyR+iR|M$}&5nTMJg~hKQgNHmm``=NyGy%{B z01?;_J3-7tyh~L3f3$#mE#lSzK0KlPsp|^G$jqX7h)*U)@NR^RCu@W!J zC{x}IxNFDTw84Wv`srjIej~;pzIZ|GZlGmBHh1j(fsT&MD3C412+U8!f7__=)X^C{5X*X3J!YPUL}vsM6&22E6x zj1=+8)U_Z2`-*4Z5|{h9Z~iDq{yX6%+89lL0fxac47G%Q*eyfv2)1^}bz66!Zopyz zxQFcY_itYs-YmiIYrnF3UFCT#t#FY+JydTM1qHJf8Ss3+q6xdOF!HFUM-2IRoAsTU z|8W{th|lOsCKzr1kE-{M=lb8@fQyt+k*#GXWMz|0w#<+nqG3fg$;gNZg`~1FGa@p} zo{^9wyNptZLg>CeopXNoegAVF-*Zmic)#DT*K=IY>$;x2HJC{fCH^r#^6%oMR-vRo zxvZwvPLMQZNN#cUy#w!WHW{n*Du>{me_o25V4OnWgO``D0xm&fti@abH0vFiXP

  • jfva?*0Za>XISF<^GV zRPuqa5DxBv$5Hbex(Z|%w01!H8y#VhfG7x^Fi zPq$Hpe{5~t^L{K#gFYq|+MMA?TR2Fh5gB$$P^je6J(N^;wh2yj5z{Afs4v zg8i_~vRjG+(fw22CkOVLuHOFhVJ#hKjL5UJpxgYJvR#^@sh3?l{0?32|A-$SL*k6K z;b-sxnH?bm)NeKUX1#z1SuK~3i4yI$N1?*|!Ey~_91Q0UOM4Z=Gfb`)7YzTEAPoYH zk2de>Xw6G348&%Favk;m9a_R;>1u1g0kel-zO{5tKJpj;Q;LJcC)fp&jUUmqx5>u~ zXJ(e3H&*1!duGE+_O^(9s?}Dg^w3Y;tK}rQHHHsatFL>^_SiZfTG>r2@PRV%Eql); zpL55jrsUE)oU*qKJw*1jKf9CTu4*XKpZRxS$4f(z!v&&TgI?pw1%>VU8?`6FOfyD9 zh&gMDxT_5|2PK(6b&8e@4H=$dz;cn%Neg_qM}OBJT{5Zsx0Uh3yLZn44TCbKrmjvD zJ&+436Q1E2?v0U=k(CGj0`TCIAF}1_xPAd_7DE4o)aSs?>t~r6+cX})^_PiwcwtPS ziMgc3lSyHak37FaK-6u!o=*{3`{VZxsum>ZE-{QAh% zgR{r0w+>b4F$TY2IM-vGCww8*;9=tl|6l#uxkZh(=k(7V$6wCCe=sYl#smtf>nl-(C+S2R&&(&ZJU68E1#}%;omD<`@1tRFvfxDC za7vT0;pu&QyGJ&JbJ9v^To3s@ReEx`pYr@)n!lIky4}mGN}@Ekwe2`F<~Q@W3ue!M z&62XWo*KnX^ejNbOH0?{giLVi7QwQJ?EUpeynBp|Pru8jwaYf%njdgF@AM%3RJ=p>*?wEaet*M- z1V*}NzrJ17-+El<(ENONd7pEEH;tiU1G{rddrpVgx?6?O`9cZLmIk5*R^5ep)R?_r zF=W`ON9-UHi%$ZnWt$H;00{8G1zPt^u<9&1`6VJX5Dyw!TE<&D5w;0+2l^Y8W-tu} z=#7d08>tT840XMPC1y~^R*!jj8)V8AafkQT2j@CvrdWokSHJV=F&=u%b*g!uUa$J< z%d1Kk4WA^xHornV_xqQ5X|XHOfNlKKOCjlo=EIsTd83wtN@qUpBPT}}U(T09eOUBo z%QH@L6NWGntDr$ZfQv8lqAWlOMkgdE$nIoD^Z`E@N)u>jQRbuD?+2d^JeZCGN8XrM^|uO)qdHuc7Cl^?FTQ~GaqJ0YsKeW6}sBjKU>4zehg@9dKC>*tYs!{rlUG z#qWP=u6>u_BbnSBdhvA8mh z?gmAL$_KK>VhV?-H**EurSD(3S}#o(jC@<+$QR(88qCtQzsSl)8?Ah4xj8?sK>NqL znFavIlihk_Q)<#jcn8ka&=^t<#2sa%yY`er%vn#F_S6hVzqxVkDuvpan2ACyQpc;e zzc*k39Vj=rN3UmIf#C~{2BJSQTLpH!kdV`j@3+H;b#jt%n{GpU0$83~RMf~BHZ5J?~dMA{8a@J>f_W~N2V?;qFShY4LQXjq5BUg6-d2XPMkb>8VjJn zKSys~P*~_{g@-?razM!o%WObpAHq3TP`Js$#~YWDLe?2;R?yCJ?qOLpdE*V5kHmBC z){m3oN0_D>8ZLz*gSa-Neq1f0Q5Bq_&;C;0PMwk2#imD3n=Vm zFwOHDLCrlWMexZ;!1vL55T-#&ee~%5zsgE{#cKFQB*Yc4Q|Zdd$wB>oq|YU(ECFN3 z&E|77Lucc!WqPo-e9Anowr}Z-TIxr)g#tSE%Oh37^TQvE*0Ndb=(s|(KYLr3OuEO< zA0O^v5 zmIu`yEDvvmhE8yn!;_C|5#rbJ8W48iawl@?6a@O_#a2O3G=gn7{Ttdr++Hn|5bf>Y z1?Y`kjv#!R07nOhS4W=1_@J_qp`ym7PY>Q6;k$Wxc=Q8f$&RvrcqY0m-^lr%?_TG* zcplF3BJzsIeBGb)PoVTHOY;-65H7D1)xEMmOHZM3L60|*Bhc75jc7inw#g`7tI&C` z)2c`2z!;67geApN#T6ZnhlKk#P1|;Zyxv;1g^cPgL3L)6eM|C6x`*Ym?Zu?hWLx~SL-<6aDMAU>}wc0E8*^v1@ zP4lZPBF75mx!VkK2GTv3RkJr54!sS1V0fRd+GR>d`((3WNyC>I@vUhm@F{h!sz)miBI=0R1dDM;ugXE9 zxxIvwK~NC@r~pcZkRr~%LU&gRKzSu0et-usUXN}QMb`c68=dX#AIcoxowM{G0ArMN z8N=p})uJJUgZ+~7CMV~~sMW}Yq>P(jsh%|hO9nasr-Vw$h%==WttkT3I&Q$Tx zMB990<#L>fqlVdGE};Pn3iYg%<@}9N-nqNK&gN43J!Yt+KS1w!x3Kx-vQJiSZ7PL# zud(h@nrxvV-<>S;9TE+3`<$;Ts9t{8+ZzisHtWb~A9q~XTNI2o&dvu!06cs`WZAyh z1GXpBRk**&$>`#Z4GlS(p;_b>UPm3}Kl9KNff2jN(FzZj@cny+y%N5^3Iv4Oeae2b z^f4OWxWAz?nfa>E)_irR(Zg_gwTJHtX&(=D``Glqs$#yJdd}6lIa`^msjB<#QiQdc za&~0g*`;eCb-S7k9hJ^IWWNbBOwN7w!;?5cN%xHH`{|JDEB83Z*m5WJF3NQbpK2*5 zf9x`0PdNGIh!7yW-mR~%$GmHMC(@WVA~hh2P0z2smQi8oUkr->tt4hf4$VXX}A;4-gm-s$p>uVM>tP5g+P@V`yD z{7oPHT`W*EGxM_~-oNOGz>Hl22F|TH~_XPL2Qm(GY1`WGl4c zc;ERE5$$_0f+zedK$sx^*(U6L2VwLNIBI`j7VWYPM&{hdiXT8tvC9@~ZHKG-|MRGn z3aXvCSfd^hVSpA@iNLAnO}j2U&K#hrcBq(&EjUVaqTR{f)$!y z^E_n$3U#(rqj1u$og{s1Vak59a>JCuRo7D4+^3`W!wprfYF5%*J^Gy~=2GdbRQ{pz zy1;77-zjD5@yziSHof~D4gTx#{~QW_Y4?f29ixP0;PCWQTO;4!q;d5_NZKqpZ^Z*N z&lBur8kRAvKG!sIqSIr!g5OxlJw5&Uhx?$i?lPxF)M9wJ>DNw$$QS`VzDUi_Z{NO| ztkI6mR<}N@?@yJbqAy&)b^Fa-Rl9q)-hN~)*BQZ~J%?xq*-qSXHD|D+6!Kk|QC&|jTdo?fVa=rE3f&(SAGy;~kTq9)%!YoD zPE6NoAHvRej-P4q~nZi^lSrn?(2P@@A9~7USw5>=P0Ltk>y-f zqFELuUqb53lF6eo+4=RCWW3j#DQpujYSSiO z4I=+aBlghZ1f?Foxt^!>YChRY`CcaZr%61f=P$bJQnlZY{wT(@&q+riO{DVmX~NGi zJ1lU}-w}h# zJtNY5n^KKuZ*LGahYjVI?Q(taQot?Yc-EwUddg(GO`_Fu!an}Okx%{2T0X<){KKAC zEr`ygMIL^D@~lkro~l{a=g(JdM(W-UrT${?krC(s6liO2PX|=P$f$$lC&pV^EaE(GWc@8!lJOK5$G1qvHtJLX(jV zLne#z5!*JAh))~bYiuG!8$s4J6#{z;TvwoK1hz#~H*xwS>~K^xrM<*?a{aQS}I17tDKiz(6KaCLlL%`QYFuY~n5omYQ3NfqDqIMYl z9lB*8XLqF)?3b2~GBNo;c1U{SHiPTd))rM?W8($D`{J0Vyau`gEEM1vF>z=+>%H)p zObqJ2z|Qm)=?j=%mplPqH41($rvr%QBW))NVGaTxRKko}ZP%~98)lTAaAN{or?3%g z6S_Zx4}BxW{{5hEzXbRL%P~x&F^Y^qgKE`Oye4}2BH=#PEFvp~Vjf{)0=gyrU|5l4 z5YsP8^Vty|qvHytA>*WfV(-w92Rd5P-@85^N2#2sBSCkm;8c>I-SI@niIY5qWe4v& zRB$#%X+>w5$2aLvE{kcf52+pK9!~i{Nl$0@J+qwTE?3x_-@599v;G++>kDdWc8gE^ z3ET{(#V5O#5<Ue$Ybap=4+eYff2mG9%H0 zloTulC+Ubd^g3iOHTKiXV2}6ap7pggv-$w@+xs!f`8M*BTKf_>6B;n(0N>dKo4t2a zFbJSmW1IqxxIcrp<# zZr{bQOXWH|AjBCY+@{glGJgWthf}|MoAY0+N!JDy1L`>};~j4RrKeRaHJRyK1Rq+g zp%FT4-ThR3j;T7KEay{eXt_BJGr1INoc9gXao)3o$9B57+^I6kURHJo(NS*9SmDD6H=8RClV6&m+= zU!o82N~P1=yEk+moQd^lvC$jOM`Z)dC~79J$(%Uh2u1}aNMYt61n=fwdPESPquWt* zwtftJ;UhM1puveFlKDz`M4<}YJy;%O9w1gOKt%+BEiAPdBm7`$ORycrYATm{V=#cV zStVuUFx%}t&eDt(Fx{xmN&bwYUa1eeabpKN0R9HxGm|mI?N~UhU7mucQex(FToWvncoB)fhDtf{Hs1tWAHlMhXx0)Lvz8$WKV65 zpixnon{_g*Swqq9jNZ!^W>Ok4L8BK{1=e|8iOr3VsuZLaIQMW~ZHhGPyJW!KLq7HA z7mukgM}Du_(k&8=s4ItDW6CD{Gu|@b3?6x0(W?hr8Tc_?$DM;nX)@Q^PjW(f&# z;zmd$L_ivv+$uCH)P8M^F6Ro2m!Q;daKS(yMgzo8RKzM_R$)NnPs>UEVH37SRvGyE zkA&npv9WfZ}I(t4;t&UbZUY%q2kC4Kg zE15hFmh@?b-qS{QN9>!kHM-5um~!7PGrgZs6xrCZpy$6(U|*irajJi#<$e|y_X8cq zO55aw`{~YQR)N+FNC+eXXT)wF;|*AX%23oaYXI|@7|q~k+CRoFoaPr zB4Ql*<_16wkWQELkw5?mC|JNpCaj&i<4%K;l9FbUz!Mb8xCF23DP+z~w2@N$c~M%b z@Q8qIG$}9|5r*~yc?2eAD50*mQCd8`$1-bi>#0&BTly`|-@B;#p7iiftFvzE+8twM zd84PtT{k%WtBwrlp3QtwN9y?rr@NgMU7^i8cw)0J=F{s0l4O4(&ilDfRNE&_wWc!70a&HEXDIaJohpYP zg`(l0^Tp;@E>{){x8vmZmh0FV^ONG5F4pEU~a6dwX2cQKeDiobMkIF zN!jOfy8aJ&Oc+PSQc`8d99(?w&V+-z%MbSj{K_cbkh3A#K%?>H%a@}^j~2gy)pHFb zSs1T-*x0ZVJw7v0OQ6EQ3_l~AEw|bQvunvh?03iO!sfipq%vO53CO6M2jJH<{jomq zF9sda$7Dyfh}n+>P1M_ve1r6-;e+*Sw2hQM5w;scar-73?ue755W>Rr`mPoh(RV@5 z!7cD^`dv=*MUkH=m->Xl9S*{50>4;s~jvOLlroL2ydHl=f*tAmGc;aVo;>5{w` zzn1%LPJUG(?l)wz8+4*Rq3``X=Qvjfn|UJLeJ7^}s?N2O`}7PfH`WyrjHa_r>wZ|Q zV;ML%6FG)vW4R_4JN#;K3Ajhi+MHp83N{URPh}W2q2tA&1$`PzrTL+b`SC53oSu%) zj8tT_&fgaVj12qq(kk){MR+{?d9*^JF)En1M(YoRxlN8~c z*LS^)sHXoor=FE}=}E4mtW2e0#W~8)^^6S6#&+=B%&jaQ+IwzH=8TQ9Q~T%7`?&1# zavpXx7)8sr=Nf2d76`eWT<&{M)TXesd+y3b-J^30M!C5x{))DFlMRG-U5;YgT7%={TVLT}|&3%ofj>F&@JPL-XV zjaYwWu+qiNt#n9#FYE0ca5?mfaAVyhpaRe+V!q%0h%GEBW2mpP_*a8Tbc*sxLheUK z1K@K0e7JS$+<2@VQc_11ctP1*5ocP9s9-38~5ws{tI3%PBD=kC%? z_Z8I1TkL*Z23F1aS|1VHYZ!G4XdMQ-nVpxH;{M}!a8A7>&Od+p3tZia8Xltpxr&1P ze8m+^{XOXGuFb??H#eagIARoNVf--^G)u5p!@|LBDt0cR*2jS_@B_?*=#?gV)fCOb z^m9~Gi%wv-6Ou7lPLk%8SgV9>GKMOXr2LoU|8;cXA$3dgd!0^bw2{ApItum>&@Exc zzg@oj{P~ZBGk^Uudh@(I$cny$`g5WD#fzg-*9!o{VRS3JwT6mk(V_C`8;{I5@l>Y0 zzrZMY^Jf3<$WzNZ=J_ZbL&NSI65G$jH>N)ar~%!RvUk}2BUSLZ!Q4Llb1s0N3l}ax zM50TM_3Po!ulqOqn6){9=Dg9BHWPx%3;QI4@^i=Rm*bza%cPc8xB3YKP zMn<^_3Tc9pZDc*rs9rxM%&mH0I>a8q$$xU6?AuY2f6j^Y$DmIJgO;5&vj)W7%ZQ$mx6#Ko*L&2&j-K;bV zD?qI@X>f}Vt3@*i676w`hAKtSA-@|KDfsQ9fu{S8$^APfaCe|Pin_^)w}c7yAh z->k+PL@rUM5r<2chVe;pnI*-=@!|Ju*@o@*D#3l*7(gb2-y_SLwLQ6cd6Pem6MzZy z+E)GV-#03<6x!U(nUs?4DnW49Bi=vF8gW5MiPvEc04KTKxE;6|8r9b?O@a71%Yw z?=R-goxqh@UC^&F0)wPs2@{NoX=HE$;}^3!7-jNHI_TD}|V2#0%XB99CQc^HI)NaiB3nu&i_gAZ4CZ-LIS8&6hNlZ)I|H#mU)YQ$o z#NoW5VIu2}D;p45s?Oj#x{P9Iy0*S9Pgwd4m4LmCjS%cd5zA8{q6R-h-PF_+<1<%R zK{0#Q|NiZL_OC+mG$^g10CYq5_Lg_&q294Hr+?~e8kdFOnoZ99OAGq)M6@hmWN!_7qOaPUHl z=;tTB@)EynO-(BKS;DM_@Ia0nOiWC0B`076h-%n;_5@)M5#pMsrz|uHC^7t4-9ev# z#VkJNK2K{BRMNOE;HgMrHYX>yqi+dysH>}d3dqNBQ4%+<^OLAy7)<>~22URny8Z8@ z<39w+fbqM0L;$EspP;W_YbMyA*w~c1&-H;_h4C0(hkWvnvHE&MJkTiVs*9D(&5!UN z+RMq#jy;opn0=^TCr~;tCHM)|Zeut|6$e3#pra$0rl7l0|4$vya&>pzU)U-eojW(> zTRn8bSdw{~WE>}Rb`pk6&JdI|uv2M~HAe@84jR+|IG-YMP#rvo zW8H`Cx?W3&@}_KO{&PygZ{mtVXoWvjg?9B4^w5J>6f9`W%+23c0H9=UUhKx8PU9(g zFosao$cfNkmK7HpLmB?{W${h)_F(2?5QHfZ^E=VDfADk&B{mepIou2F9kidfE{ET~ zEv?u_zEkp=fk8itnYXo2V~7B2yGjou>PDz=W^mzvO3Ejr#&dFZu7|;-Q3_k3*43*E z7|x8riWz0vyMIkRPWGXuVH4aC@MyUE&T6)iD?#}6kNK(wA6nEVfvfqJ?aFH?WWK%4 zxP_q?{&tje6K?>Rrr?mKh+MYny*kyfb_}a8x8P@^63wJc|G)W_4e$5^n7)NwAtMO@ z5C#jpA?ScLG&;eP9mgxYL&?j}-!nFLjBj(rmzz-=dTUUo%)FowM+tN_`0)Q*9Ljm1 zuN6h^Ly1hwN>Fu5Nmb)rw=^|DA*}iaItNNh%H9?}v-9VD0ToyMZ`4T1wu~f?u#8uG z9XA(4Wg#)KF#wXd9567$+;5r%SR-a+Xa4UOU#`)I%>p&`bDS;=?E(Y;0t(TcOl8mT1K_Xu6L@jlQXGtv z4ZR<6ix(Fc!EcA|xTb$_0>w9Ad&~%xm6Wi~B;r=ws{H@`DmL!;F~G!FUaaLG?W3f} zxJ}Ay@iF!iVBilFlHm9D^((D4cBEN6cJ}K&-nRpPmeRoHCflrf^MdfK--O*$3 z5n2w5UNOdo`;tq&isd|-F-XbKx7I*B0Wf)_<70Iv;S1`7vhZOYTq1s1 zaQYz@jeKo+;J}@vBnDSnF{MC)E#)3F%yUlgbw84om6kT+&?lUKhKDIBDX6ry0OZ4# zm6}u2xYFfGMVLs4G|8+2%00yHmTvP+_LyM!yEk*E;3c+QyT!ssvG5RkSsHk;p?D9n zRqrTLE21GXMPq_%5d^j-NTF38Ul$i3E_g_Iw5t>{v4C7`!SlgN84Twws;_HFe_3Z^ z(Fy908n0i=6M!xXQwf~BXM~}BwKPl98s>25`0ghro(|ZoeDXwIN)D-vW`}i}v^VR4 z9T8j@mIDOr?#3#hKr{|F=QQQ1NG5PuZ`Et5$j3n&6D!`pmVxQfRIsXlj5-X)?<$Xj zSzsIVt#Wc_+=WVVod=@(tOtuM4=j|Ilzgc2xD0KqT7}Y&Sbuh5}XMvO`lnb#9hN(0A%}-6^y$& z&xGyuW(l%_;u)v81rnNo%*x0^9GT0dyGJOq&KshRNBl*^vLZuH`vevbhIW|P?fTvC zso0c-RDcP*FH$|6$>p0~y^>Rs-{~IKx+TcR=L9=`TmmI8Fh{)hI9*?)N>IN@Z7291KuR4;)(UU2fG1Ru9UV#XFO^HPTMV$PUuGbbPw><5^X~PGSH6TNUK`OsyJa+WztZZ3F?HKQ5H&2SCzY9XP+|j>}YNhU! z%-8(bo%SMcxNIw9oh>?nTkUT+x0r59S;T!COtSc_t{A=lW_9KIPMg*%Ur2WI{wkEa zk!wA)dzXmK5hMDLJ!cshUKNO*Wqw5-6TSF#$a#$YXMlU)^1#3mdxpWF#WfjPM(<_ z!~7DCW+$>BYDsNF_C_0->{yi;zVsoQP$zD0eB~Y#q}7I#j5t?g1HnE}akhv3=u*yO z`s@NUTe4_69yu1GWfZqV>5W!DJ8QMn)`IEeSQ=i#z!s~tq!2WsJQp#@0n*_A$gdxgHnk4H;Q{pez6S7`$K zE}e(Xf94hkFnqv_{{^(Q`%gb-s!NgbC7#IN5-5mErvNYyCFQ%QKP@?0L4vbEE_{1jGd_%ZQjMzmlZ+-3X?@`Vtsb z$0TYr+u^iNlN`2{@Lip6CU^fjc=83qq#>iubvAz%rW1QEOiIlW25o>HZXcF#Lj@3n z`A-U48u|<1-hG~8EKLXpfhb&oR@j8Bn|QqH)W#ryF! z_;Pa)^Vt#^V&-N$Nmvj4Vd9R=2I(@_B;X8(6fsXfWc6ZM9{{?ZUO=|<} zAr&eVi1)Hld_%KFbg>rtFQXI_BQnMtgGcT^cxUn@#3aw#pqKoCBNPg$sN#VU@WI2t z_t%xGw`L1)emir8?}=)B>c;$s=TDp3e>SMG$2GAXg!a%eAVB8NUYB0?!P?duG+(Z< z+ZY`}t2iaDJwq(4IWNxE^();`6YBOh=en(5A5oQN?!m%G93(?dnzxOOE~N%aCKe1` z2w{uRL>LXC=bU1;-eC^sQ3HtI5QBqTkB+t6+j;(4 z*53u^z(0=Mew7P#Tu+K_m##DWo0zt_)@}sq=?+d!53sv87X@xz&J$n4FRuH!abD$; z*)(KPWN5O2?-QV^go}*1j3ts zR&77N|Ai2Yc~Y{unc2EgzoC-Y?9r}c@LBSt&-GbO;{f}`kG{ukZXwa+(xEnHsKNfCy(#GlOg_s#+LcPhrXu}&rP33Q#+({RR>le)p?1a2MnQFYA%}FXhkJtIEO^L6k7kUW#AA zwX}N{j_HYwj;0SL%bfJftp^BO9VgFD(jLfpJ%@{~#JHno#pqs1hP|$CTpnwOt>WCJ zqqIv6sXtI`b>)EO3e@(VUu`nGyb!uh?BC)4F0qN6tV(rId9ol%)FjU9-&=n|a--o* z%_2eh55*CfGgBYD?D7r}K+>ZG5)F({;A;}D;~3yL&T!!)Y%Y-L$Ar#nZsc2Tk;SJw z#!zff5!YN>x2P;Gjtz!&$4~UDm_rDlmiUb22Jt{8c)7SL&s@{Bs}ZnU24xI|)JuTh zD%Pm#yY3%7Bxn;7BNPL4@duP$Ktw1k+maBLW_y57PcVxv+%vn!xY7rJ#mk!b>bDM| zmsRelLScubD>=-q@zU^?>c7)pA$Ju}+3PUb^>&@K!0mOw6;UU|J zIrzZm`%nfG7!(22)c&9W-+fJ4=K(qlg$E#kp<8|YPT}Jjj25BGkvSeqPI_Z=pe#mL2rzk1c6id9og%G;o zyzk@X;iPpKU$BnOwpUiaqBzd1ivTybE>hGaG?x|2kGb)4KSu*l=NK+r$W0y5?1hn9e&E>q?^ZB`6fWur^6_iwf z?CjUx)en++#8%dXx5d1uF;)r^W)3lnz$hj`XrVwFqg?;U$6+x-K`ZuQFgulIrfg!z(w`wEfjmM@hEs z{og^C0vz%MUC8D;*)8h#A5b2kq;%I(t~s%{6WnUqfce~~L(^y~-S|*P0w2BHpQDT3 z6EX_VkF2r$n)%`M*o`Z2?Dgc<^l{l-!+bi&r6y{f{vyjjAc?N;yK9vYWJ+FoN*8JM zL5?W+M4kX%|Kz_KCKK@M`6EVVx;0!hH?nTbO{lornAHnpw^j{@gP2SXALm)${32Gr zv;gg$AEqYA6Hf!vdT-It+t|cIC2zGtKx0vF4~&PtGw;|f`Q9O5@%5e@eRW!mi|I=2 zTqVJpwIhT@yUH;M9*wUuj{VDG^K?f3-vUE92r0+ib~J_zTul-E@X@qPw0+sca| z#H3z!^&=gNWC=12Ao_56c0n2(NQKrNW|O5|MB$KaTh}NtNVJSHapbn%M|S%X&Wd~* z)tx+f-@-@D1P3ho>7A)s3h42}TcfGuCFof)mJg(Vz3X*5oz-Hku2u181E<7<{3D0= z^e7WfvT+8EJXskZ9L_jQ^9NnsC<_ ziGDENeZOG*OA?1BM0jk>+Whvs2fIw9M>7xmUWFW+&>`Y~w$)yht67ur-}@UkWhIG^ zHQBfy!+Dp|FT0t@zAMrd2>-ln)N2~A_nPFZ&d(q>je6sz0xWCI+R$WS>T(B_4HeoF z{Ru~UA~!U)m;eZUNWEN&QaB_k&~FR=8KH{thaPvde8PR1zG446;PbwS9bE{6L6b}v z;$jqwtI2LBp*z6e7YZMQ7>1zWwXQ z*Xe#E1?$AHhD@`BI_vfWmxRxjJNAbJN#BoFX{2hrG@T!gQg#;RA23<59%1v>sNmr# z#Juz1VU0BUXJ2#;Ym1W)>?z1bH;87+8I8G-r8R1a`tWw0kPBQEkC|)&zWi+P`UwQQ zrZ2)^ZF~&X3@U|Uh={Eg5f5FD|AfVe70m;)!5=)Co---XqU)O>t^sGx^_1 z`ubQmT!kgm>(X!7uDSe9x5&$+|KjcJ9e?PcrTVmV{hmvGTkG!m)W!OlJ7Fo(}^Yx`-57>7fn4B1Y1G#9f1?||0(4!f< z2`X*!{6nU3!M2z)x|oF_hT*}agzRoH3fi@gxXv$GZhyAaWPjIlVr9bI`Jlzz(yXtw zNZAlLr*=me$3j-(bJCXNxdrHTHk9Yp*FK%(nz7-M8;0H2APjc)OxB|I*mnB(BASFx z&D+{u-xVF_7r9e9w>)M&K)a+2j`$8esI<#2*(0wLF}-orc}RNyyJ`yPJaZgrOS+ewe$722Z`7{D2XhfaTwW>+u`T(C=c` z)$^z=j<{<0%;b5?B__CWX^C*6)wEl5SG7jfr^I{j_AQ=#L#t^pSu+eQXqOYTU#To9 z6`GcJj7UW_-qe-e-yPU_g=zywj^M_i%yL}PNC^kFCFY1P=DDR7N>Sb36PR4M=-KX0 zY|w(X>3u{_s=4|Y#dUh!)tW_g(pS!sKIro=1>Oj(k1+$W^scoqVYA!n6IVp@+$Yv< z5@&bO|8ULz;9l9ZEL_>*0Ble)51t#_1Lsm7dLL9tx+%m3te#T^fb1b*hh9MIbbnBv zku4jJSYaS`_{cL}iQZeBLpMptRe9~MekjdCSQvvP34t)I(H<;iu61;OyG*!OQ*AM$ zP1d_#N@k(@#kWoe8bGmIzdk)Rv0K}+Fl}Gfk>64DYqSwH9gN&WM_0wV?s+>!PgLD>Z z2Jtz07DHXfwc67V^td@}7Y`vCZl$ApgaJ-76~M(b!3!#1;$;TdVa9Wc;!O>+tCC5| z>e)?@p50*)q5X~QrW)v_jywFK$1e1|>RN-d1S8+H6xh(&w@=`T-U>v$VH!g}_%mVe zGH&5Hz&gi{p7hta4*ce0nPbKXuY~SWj**4&@jsDG^GK$%!lK==1j}=YfvjD|cvCR; zA*?~k{E41XlCXd1;kQNrVQm5zCTQ5(J_9w^jF^rey(W3^rR!AKr6gMR^J+`rXiSpA+2g z{i~O2ZvPwB*>iIr3XWHuoKV*Evb|-unYA#6G=(p16)Cmj39PD^>_ND;m(Fnm$^*1O zM6(%NKGsHDs+kR3jZ#aE&5h}$$V?OQ)SguTuO4wrM6C_Xfgo?6D`{LP#o!Hjhvoe@4?nAS1cgt)LWhJxr7z!PY^tEWl`d;-;1n z$IG2R(EzndJ&^k_RUNH(@Ha`g`J+wU8R7u@(f)SToP@p_#w&kq>fRoW8xC$VdAVEEB7tka@Wh z1#TLjkZs2I{gL^J^!9$5SEOy6em0==0*z#El)8=MA0QE2ht0JJ?8MT16?V}JZ)MBq zN>)(TcUY+b3EV{bV~~G%M+EUUEx_f$O;Zeg*`&c#T8@~C853VK``YP{7nYYoyn6*( zdc<16j!?ba?5oJ$C}a0&&ze^=+xO7uqMbsM2tD(d&S-1zzk0#e+RCmGy{dGLzyus6 z#ejkl`p0JiR{;chR;9=dqBr+lnO#EXZ=w$lRltby?Y0|70|@0-L2%g>-ovk?ZqV!? z?6K(~+26YguBn`1qL2*Iw3Ok-F=ekUSmUJfO@ZL?s+i?+`yNob$78<=5-jrpKe?VqUj%sO{ zbSy6|VL*$9BaYT^fSfuR;W9mgltn0lvI^ippzKIxY~(GoS^wMQ6;U0}#*f^RwA_?D z+PX2O?7*qm^tBu805<{@j`)`Uk*zV+9^lT?i0TjN1;@OnmU<8tSuDTsY&B8$TBW*X zO#vIbeV?I$$FJ)8g6WA5qm`lo+ne6IlY{IkB6doqT8_W?_0$HGi^qmk9np_&l(^vc zAq~cuBQt+zX+y4vL$93O*-dllX+w@$fyP5p&up_kV0?|;c8lRH^H-0wc`(^Twz&|- zi14SNh+}I(d9T<{pTbr<%{^WUF*KiFotQSl2EpGhGa=K72*rF1rChrJpfCWcZ4 zwhDWYDO?V2$t(Z5mmba&4+l6qgC*uu|FM?oInQNO>_;kXclYxuaWxN%YRIIa2|K;YDw1l{Xq-F#~1y}OBo5)4Pa>?^l9 z-dOo1B6KUC>35}_-9baznuJMgNL)yM+hW*N-jTy3Ms^NMkx!Q6ar8aM|l4 zwe!bzkR=BC&an3-@|yqcYd@-_c+~7o#mNeGyWOB9eL&f;smy0ou`f#^4#3Z24Vloa zeNI|uhWHYOk%f!RUCsE9z@eW?Aas(DN5zhX zWwg?ev9!%?3_rs~) zH%?7I`zD1dz)#FYby7yfaJ6A+^QHtQ(SfWp@-%TxQw(1$Y9h-@d6v&k0o1&>d#M?W z5*X&s&wmL`9z(t^P1bw|Sh)21?fyd$irQtsF0}-6xvtt~RU)$pYcP&l^jLla%~VVT z1EV$i;x0uqfv2bC7-avY#-)=-G zxskrscTJu&hF#=@2?G6K4=0Efld3Qc@z<0gW)pErmj1Rq!wGHSe$d|PMN(K=sRpg1a>#5*0jPkoc` zuqV~xd;1th8_gqPt*U2CmWCt0Cq<$Fz)ZAI$Y)8W6>;68RKmF+x*uf>?`X#}UGxj~ zD8?oE`43RziG5*o7kp#;sAYJLrqE|! za%ceSWsh!%J<%@a#{-hbpX*HW^k0$qTGIDM!&5@z&Ziee-%G-{cT4uOSp3v|O&#>) zxr0X4CyJLS)K8LqCS`eaWak~3Gw-_g90Sq#nZ%uf*8b~>!aQx`;40wiE@s@3abth3 zQ#PE#cz>;XR_2})^Gf|BcYc&jFk$|*+%Rq9@v}klm}|x=3aBLFtUzm}clIRqvVLnW zUwZe{KlnH+b6AkPYr;mauAMvl=?#s#0Qq3n*ivV~Kj}rpl&)$kJM37+o_DSggj=XV zH2#{+lBz1Lpxi6n;I6Z0%S)o?G({_^WQ1W3=kORW3TR(3Scf@^Q9hbw^$0%y@@jNyGqG|i*`em9do!{o=c~2P1a4H;kGQ&e>k}uG~7JQ8_0&7CnKMadq_;(H^d;L*k zk2-z9gZ@V1wv3EHl*#Q%T@VTiXnfNhRp4ScR*+^fbk>x7DBhH>maJis)t~vE#~*je zN&ip>A9UK=Hhq0vJQtOdB_>BT@!q~M`fH;3O1mL=-v z8*L}k{E1K4UWE4FAnqmg)IC{Z;B>br9r-s0&pWe>bqp6#3_r;460b7*n8h8Gz}eQ!t8o#H^ z46gpH-_w=9=p=D!{E17^Fl464kr%V@oF~BdOe!R`0)JG39&Z!oAzSWxcdO)f^};NZ zKOPW!Le}wjx*|2jbq)K!4I~L(h$VXa;5(fV?+fd~`;N2jU=c5uNgbmRv3|aDy z;D)-~-$-Uclm8@8WZuFedV`rYV83W<*ZF-<>~r5?Xt<_9tFM)F*fNCUiQ78qClPQ_ zkj6$^kGbp%+3URC^+1gOT6N|vx`7e$bB}U_EX1GH5$S|g0HD!a!aP8H5dUP-MnPbO ze=NV;8jyV~h+rGM{}Os?k~d+QPiQwKw{o%b2;O5DTXFbz1(N%ryzJGKq03(JaR%BB zfR}iG@%|UV4h}cYOb#3>kr#ekoZ>Fjc|{j{Lc>HDWc(^^?=kR^@)O+byn0i81E~@gXZT4+4O=Wk0@o^m%elbO5$5T!gPR~xf0OF{i&jM>C+kKyHqYb zRW}L=^v5vmc>0;&_jF8TM^Ajl=*_qen?=6Vgc+aFK7Jt8DJx{L`rX)Yzv!s+*m!TYyPC@h;yWmveZ%@zOF$|d~;_50eHM6yJ?Mnn2XDt#*(D~2;Gx|t$Sbo zKQw)1RMuJdHX$G(NH>y7NlAlr-gJY~EhvprA|c%kf^>I?q=0luNr{N0gvd}L&Aabs z{ohZsX3bhN4*bqO`&=0rrB{^>LzZnYP=9@|rn$`-c#pgZ*7Zn7^kFqNSj%GmeJp7A z5=#+X)BH&OVJw|Dm~8d8XOQ-B4?Hzgeg-^g$!mfnup_7;GaRiC*h@LVep4aU~Z#~uVXEr@`C6L8*af&JDx-_T_Mcr4WB z^}8OFgEXz~3|pBXoIl=7UDnZX8kAj{+pxNAtGog9*z#xiOS6NjZC?Y{OL!C=&egkF z29!4-E4a@|$f-MPyF_)e1JFDMf*FP_n_3Rx+CoI20eUi9VZe;pfXE|B$nc>7b#OO z&_4s+j)QxV4pZg5rVjJw-Fi-i3Q6|(7tki zBJ10>4zMBRwwRj&i~*Tqw2CHW1jDkHz3MkmU2XI1JYbDNQqZVvuyQnUlS(AJ*Hy!u zN0;dYy1#oLhLg3oMS!YR{GBX}ryfsBUe1|PcsYzM>BiG+T)O?c+wRhC;Rk}Y`9N{F z_>7)-YumT}hJe-UrGFip-(fxo6E(^Uf#K9b*(HC?=rxN*`H-{&dz4PP4yOWfy&DjG zgXPhqYih+A4#)^`io0L8H-QqN?)^~8+W8Fes`|{l5}axMb4ekUj~AYR$4Ywebl`4j zIUz$N9C4EGOx6O5`dSsopS?KeKD+G|#bH%rKYTNCmS=Q*;CAw~IN=5uFuZv03t&Cn zj%|McXzm z!#;o(M{CkZzni&f36-#P^fCg62dGgoP&zrqrxgJbH_C^vIk_uXErWA&*jd#CW zhyTX2De{wRuT{2`K!V~xYXKVzm(R4;;I!GTUXlU|KkNJ89}p+L{CaGGnJSA@CtrK( z8Uhc#;aR)YWnQyIX)DvOg?x@cMT|d@k}W<4f@mOydSIwyzZ)0U$&}sH-Qw{oTJ6Xb zTmQdRzl3F|fz^G~2cJl?HvmDL$w^l)(Lx+M?#4KBWu0MGdS=vmF z`J=WP@Y2)ABe)!~C_qd<-R(MC_9uVIxGh5-LnD$X?Y;+Fwo}9n!Drmxqx0EKfD6KM zFzfP3H?i9xF(q8a8~OH{$U|@aEO8))kv}~{wFIwvKv5LKuzoQ4B&z-sb>f7UuZ$(- zxY4!TwN|c>kMN)LsMzSDT9cmcZ#_qRM^AbUqpP?fy$xtRYk2bxa*GrW#(vFjmQ9fP>r}Zs9%B)h8SYe{z8leqA5)IaKHi$k z5EGnCP*cT`f6s8&LyxrT>7dGhK$wFb3ybXraVH0|8|uOrVzE8my&E)!d^Ywybl{bF zxMoG%k~G?r7VL?zwelyYrDTcY1R`K8S-$u(y6{S+em*M?q6r=3DHN;t2Xd|a@cVAX z>hL2B?8E;a=9-;mdaVpRR<+GvxP0r|)%#)ST_7PySO!d__6HDkPi|hZ`|bbtkXu<= z9BT!AInpM3?7@VplM(J086~s!VUjxSCn;$OC^+JV69XYC?h|h6p=aiLlN9L$K)D5b6j$BlGl3@4llxxE?tQ2?p zUh3Cd`eZe|nX-83J|k-K%txeu=Af$1RN@2=&)b}(oRk!T(=(B}cutXP7XYl4$H+n7(~cv-v2eD%M0nk7-G)=8?bzYqERa zuRM9A&H-)zNrO0(1;K;07Ixg05B!loWSoA7RP6yP+zu(+@P?34DEh>+g9KHiOd%s4 z)~eGDJ!#Fp?-<4A_+~wdfc;a?X@tnMwV{6hx8UB@7Ld*A zu$i3gd9Bi2g)O#Zh3@Wq8UzN@sEn%uRFK~MbD4Fs1mQ zP15v(dVL99)>R0FGGn$}@AuE^KR;IwvZma>Q=$Hf3qo2(N#1?Lj3}yfcBSF!;yZY8 zDl7+3f{zE~G2t&8u)nqIEi%{^ITBLk-;IyD<@)-4a>H>%hQiEX%)c~kn?l_qSZw5-exbLu zLaGSlO|x^ncs8S-vNd)34a1zM^|;pP?Ve|pKa=3}7wHe#WJ$G1X#h}%qDE*A#1|Yt z5Nrl7WiEnu?qWQX=_PWpQ273rvItc5`8!r|2_pZl(XL&HB!@g4R#uLvRQeE zB|BDebVljU)J-La#nsa=I5KRwrw1r8zoX2bm$OYBe;3`y^FqOnfHpIFk1~VrkI#f@ zGxG*af!WtX_FZd{*RVFWL(`EoN z<+#a}zd7K>KJt^iTp_qQuemt~I2}+v(e6Hc9|GDB;KB=q%gJLFD$;u|EuWG`H5F@* zK~TObpuY1OZb7`g+4h7!;E-E9krvDfN^lK){xw#DHd<1R?)%`fQ$rM$)(v2_<%z%c z%@#<7=rY9(gVi;|V6Y&sM6gO*&9e1XNbjqYSp{cxq5^qg5oWAUXl-Z%)(Kz<9me5k7 z`u?hesJO_Caf&eYM`RQ_RepjKrDuS36~Ep13LKg7v;0-3>xHI+-5H2cwl9a`}})6WjcSW$0@oe7_<6zwVcuZ z5%5`2CU0Q#TPun4bb|d9_fdLgrY~%ePep~rSy{;XU#oNfsPoCrX4tHK;7X*y zx`l-@BSN}UcAKd*kTs4LKZQc_&Lt4zULZT+H>3Je!p~E%=0nib&3~#OOi18HzoVo^ zETxZ_j^V6bBvGWqRO{*DA4mup^%BR{OMIttzqUFz#+AQ#*12B5)GZshap?#H&j}MK zFaK9{PJw@p_)obw^dOZ#q<@Lq0WD_j@u6IlPPm3cty@m?{}fZng=A=_Xly@~FvKn) zi~k1BDMl?G73Dnx4=rkxG7@gQsX&4pPsKmoxVw2TuV&1yQeIy5nO$Op4bnMugL)G@ zO=PFUzL7!0Sbst$u{JAKQ0xF$Ob^EZP1h}l9{fQhA}G#S4^IPo;R|ftZ`z+|HLgk< z2v?%heU{@2*HNmAR@Rm!iN6H8>0Jv1xjLu#FVn=(@}xNnGcjS5OMN~d_LD!^2*0CHC?r)s^8v)g95S-1zE$B^MaZ;F z6ErnJ1*8T(=NC&)DCQ3rYKhlDZzwg^IzX;2AS5NcF#kd|1l0$GXGL*AiN1wN%`dw2 zj*`ejecv2DcylQ9=17RYu*kyaDQ6w7qZEhoGl$2*+%yCe3{lOfryc8*7mxcp!vFm% zUiD%&yZ)D5LbK=GV`Wv^{NuPo^7(0)ZsAQ#(<4QrS53U;UKTr|?JgogD;)#yRQR&v z{QCFP`!_jP-*R66=lfGOWCE|c*cs;>R3=+@`6D^mCvn#tU;YOMQ8{9GNcQ2Uvp7A! zKeWYq8FK0ua(FkVFA9I6&Qv~17-{iO?3*#?lw}a+ZG z4oau=wE2^B?-k0q^oA0>A1rdKv8GTCC`A0HO_Z=5qUHWfm-jsnQ>wu&25Y%+;$Ncv zDlF(8-EfmY+|tTZIT48OsC=QE?_YHt_U07pFu)?k1 z6u}iSiRpvc=_mv2VgwPj?#}#a9>a^BFNU0zGv|WkhQ!Go0aG6 zSwC)z-!Hegj+0YiDZ0p`mA(*u?wQs=f;c*z3m-Hle`Ym}ka%J-!Etb~0va<}a~Ix` zzrg0YSy&EStMIpYo+BNe)RYIHj&1|a#C)Pv!etr7d;CS;bt{>h$T;rPzyBt2kA&NK zXkxLR>79Q3t%b(6_LtxAKEFlpy{pN437;cK;6j3zjlCb*I`yi?tTAMz%I?Twx_6 zBYsjW|FPeFikV#ODQLQeZc2>IgBdv^VNsgy_L0jORCeasDf~H zOkY`&4}vFQe)~CB(|v*oP$Vj8%s%Rcyb>N!nF!h83)m5_nRNmvrGI#fGYz9)4&(|{ z2=q{;5Ttd@Mi~*cpV!y8u6PySizoVy^IuE1>~gl$a!>nKVUqfA{}HSc7QG7k;n-L! zoT@1J<8cB>uUcNnZ@_|XSM(oXAR!T!GkWFjj|N&=%LHcu0W)atnla%UivNKUTb^QL zTqK%Jpba`VMq5h3RGeO$@`ip`EJ zGUiwqR1A69DL!23c6)pre7Ufhg{H<>ioK~3N$C<Z)SW9b;7&0 z>w+NVi+|I)DD!O1=7NFU#&euAw!7{!t8{&zT66Yo=`H#<=~@c20oRJzcy>{Vy zc^{F^_M4YGwFzzrjA+%!+rdVEt2$8X3sSANN>ZQWi*Dr>#ER}D>!>3Qu){hV#BuEAhd^Avta{;%PmxFJ7mST|3kyq4 zZ%NW%F@n(b4&(HZmcn+IPilBchTxZ2Ey+(d!xn8d*!V7CnX^gR0)v60qa^eDI&1-f@RZk+ET9VX$TJ z)l3d98Ruyqw}0N+!LOR=&=C zPe47}^L?H-OHoUrrPNjHh}HF{&+n>c+&n(sTc`e&>&mhwv4oo+Fa$?RpOq!!4xnAI z%Q{UwU|NO_>%`$DMj*G6E9(N_3TA9SGZs#Oee(i8;BD;Nx2%TpM#8fRp>Ol=zX`u|&ZV3uO-1AiaVwm@v&V7{kfCgGOpFZpCD(>Og19ACLI8$ubn|<0rAnhm_g0mb@hYQ9DpM6eTvaKc39Vbs-kpnc{z%Z0CX(EoCFm_T^%o#}{_V`D zXjdQSdGi_@W_RDQ8EPiIE2c1H#@Dzsd5^^aokF-#XBy>@jad2pbbR7GPEE3ey5yBd zpX?~R!CA#!51wIcBjrf}P&cL}4H{N&hFji@QT)(-WzCAIgqHQZ3DlaNm{dD9g}=|C`p)kRP7#54>ey z*c){>8#0dY$Pc@8ehx%aqW8OIloP(hxZ$X2?^bEVetAqj?MQ4DW2dJVKktfi5Lh(j zYHqOT8~tO$@|Bm7!rla-k(XUg=bPh6#f9i^A9*MXdAP^di{NbWe$Q!+4+=cG=QhYd zD$-dlC4<@rnjox^nV+AU92o!fV=8oOzKlB1ljtipAEaMMS(M>5oT7Pe6&G4aSgHSH z+Vx>LevTDi;sVMh0wpWV;M_Rfptt-!$d;#r&aJ^upj0|6XFE{u9r7Otp=Fxp=UGca zvi^k}*=3fZLUJh~s+A8%Y^9d7>pJmJ(VXdk2|0?z_gp4A4H;^^A zDMVQP93iz*QDV;D{%hNw18#SXp>k*T2G3!xuWL=R&8aP z6%|&ORM^XQY0+L-qM9nXEj%lseK~KjLDUah$$KF2p-#>L>czBC@ktDO>_9Svlaju2 z21Rb0s(9{X?TJ+=34`pRxX>Mncp-T{8OO{m`ZaYSRxe;4z5LNW*ULP34nv7O0O zGX#C;s-G&+up`l?@ZlR9vRX-Ch`U~`-@NZ#BCFnB;VB6@#3tPCawCz?n*tDE?aqeD zp|NldF4Liu@O=$T;@%LZd-9ZB!pB1An7W={ZdW3)Pmlwec}&W;ev@PxW_Wp2ldmL$ ze5}@l)K0-9&{@vIBiD1oqZOTI0qISi`XIN_*~6cw@SP6?9GbMdB)eE(3bArkNu;?a zHCXQ;jVw_IiFz|AL@^0^R?No2rs&ZRA6)j;>q$GHaHebUg-A>PkvIOcD6~}4?83>4 zGtosqKK=X2=O6EgP|^O~${h!=@_L2fP5P0s@Ol?I!L1T7#|es#4)o%R);hMhkj&ty zS6}y2JBPpa&`TgFF!kAHnJY)*NHz+{o50k}S7oBe@79K(1Jq|Fx4O8VevJNzH+W}@ zvf@xbkIg(N;I_L9b8G;%OYRY{NEM?Y-p@ES3EYo$wQ%%YSg}7${nKYpG#ubg9n$L$ zmgs5&q3!`)kpxPZ$S&S-^aALFS07HUatDez8h;7!3Uai0!`sp}oJjHrxXX|n@ypy` z{NY#rw~UN6m9-d%mCv&}XKjD155f<6^|i%dPleT7yEVQD>ljzgX=3o_LnynWa3%;* zy2poWnerus_lsAE;Iq%m{jY6JxVl36*bvoOE)3rUegFQiGcobKYx2uF6W8~Wew#QsdBq(dQ7-M|FFM^`Fi8LCm&uBWspP zWB9~*byF&qs=2+5B=R0&;4jn=Ub*Enm0A!)wTAIx{#$MTJDxt@vFa2=i;L>yrn<2u zce+#xf?ebg^wUQ#T2LGU3;ekJx=^ubUM;$I8*>iGs^;Fo!YPzr#4!xy+{Q+%)7-xS z>3OI8CseHj_d?V(>%eNn#JFAIl(IfAA?|iROWt{cB3(vLh>h|U&gC@iL?H$1uatQQ z9Hoee3CWwYajU-?yBpC!$h$atO?Y(;ZQ;OS%jGC=41+*JXg zqT(tvXG5u%Rq-gT_fKRI{o`^Ew3u6 z>NQ*~Lhh`|K60shRp>>#qC~#|hvafwBC&mdM5Kg4dn$U0as-< zA$g(}K6&cXsFiNsmB_EZddqxdCG?_K%BPHqjD-g_i@e_H5M(NN&d9tu8!@w{#53`a zE5qNti%rWql-*$jwV+MwVF=tk=zn~CQ$L!FohO|EjJM?Ja`0G;O*7>9$$8J&JpMB> zS?5%;SYDa(E`w{k4Y!Z!*K&6#Q+A=q`zG$qrR=CB z%eR)beaKY=r{|h7rFPk6{7VM(c((S~54Az+N;r6^_!AVdeXCi+59xD{Bi}DFD4!^7 zk~We#+6B&forR30oN!o@kp+Uu`2Bx=54PtB6=?{sBAH8Z(5^K}u-aEbsU1B2RMz8V zOR3y{F_HVZZh)>rC()ojztL>l9aL6eHQh&Mdu31X)it1Li;xtfP)22eH7!h6@YSru^0hP8 za!X~JxXoRGEtJSA!Q7J1@1g9}Qep391anjW;mFo%v_^ncEs~}(_$QOWsp9KE0U$N9+MjOLT`c|e(BVRaU`vHabUvTTLF~shN_4A6MmFun>Bqp55XJKCb74cqn zthK~Ss#hI7Cmqmj!T!{JY^{rh}l1kH0 ztzEC57|hXL=}9>}VzsusI~b~{#G6an{`1Yv71ci*3tVbGgu@H4HzbexYqK%C#?Ln! zBT_J|?|ux451BieQ+ocD3}IWcn;uKV;TBBWj(z=`ki{fw;o!WMiG?$L)XuMGEcE+7 zGXp_ImTx-Piot=LG$RQ!rJKJK_B4@|vjZW-o5%wZC-RGelcIYh0`z#6cdaF769gAM z8()$IyAy517qVfHXMZ=pb>~xgz$=#R0#5gw*)2I#rufDJvpUJ;Oy`b_FCm0de}iw8 zB(wi}9J1UEVMSRrimo99^F+tE^&0B4K{O&}Yccw*if$Og8gRnLheHpR3M1qXR zlhQ;PEIjW{^DsJ3`p=I|JF(^GLSA8aK+Yb)NoI`LNWqFFXWGp=TFy;nfnK*1-C@3_dW$H8 zge^XDySAswXNdnCMI?g=3EQC|ta`~l8e-LU0_Oc7rbQe&cJ!`d67KEZ^FXSwrfO7}1V8yI5l?yyr9UFL<}4Q^sjUvmNKE zF0zpf8>%wLvhHL`mwEfNdq1U}-^KTznB7u*XUePbik54g^l_CB9;3S7_l&Hh`ln(c zV3=xQz3-Y)7T#BYuWK3#lc3Ed`7xx4&Zz%jiULfQXX=ykwu}@ z>DBwSa+2m_PwzM#MTDa0jAeh&Cx%;Z<&&Op1lsNc z$us5J@xahhrLnC7R3+S>WGx-va)yH(YO%GZfs^B6bcd0QqveAUP1^7tXH zT@mg5eAmu?_m$^dyB^Fc>JeCNB55v>a!hQ!DsQNc4Q?IWWIdG{>mxHEPy7jJ3dHbj z`s6X=MgMzwKyObb;9e`@%D%N4V{48q;QJm8*iB{rKr_M!)41KMu7_?Yyx&N`iBMQ& zIsn5^`Ub85o1caz81No{B15Of#AgMd%$$&sL)uSm)nXGfGQc1G=JFUtWjm zDeo@7jQQHK%Abnw{s$q$jU`p|K1ddEvtIn-LMFiTbBmC~@?I^?Z-d{lYaBnkxQrB^ ze3n{y|K7}mb|r9^7}s{M^X6KS##Ii6T%ObOFow*1jqHBvZ?$7BwH7I5F`Pa3U+E5$ zI`O>PGp2m_OVXX zYrjO=&PG@~k`R$(b;ZH`t3VCwsrB_(o>=hqsp6B*z9Wi_J77g+Ew;Skipbu!cPyO$ z19)r*dU9aoF^Oe;JNmJZX+#i1x6wBg)^hRBR%uGZAv(%MoIL`}Kf!izvkY4}#J*V2 zFNVK*Uyti-$S$vcZrseNb5g3Y`UMy5bMism9x6N5(2B+W)JJ)iXqdKau@p6+`}3t9X_vroyv`x<%n0?OJP!I%>-H z2N7_Eo3dX$d!V2C^%Zoo(B%t;D?Z^PPg(Dn*NKogwiBwR!#&cDNXS^|qzJrq4pl+6qZphd=YbPZ5q}nbnqqM>LaNDRK`X7-w-t`ozu=$Cws=|SPm8fQ6>L;g zC3`Z+`*QxM*`2oK{B+ye8ui;9UG|TG*^#;;RcfD06?abjTFH+h4XU1m2!B-*qnd6e zAd1nEYg(YD57&*eN74SqM-Pr0+I54H-o zx#N;Aq-c(nt@p)Kw96t7%&Q{iGv1F~;Ts(`#MeE8=cOh7Q^k|xzq+XmE|nM^2*&8|03*SBb0)DB zE;OP`Ql~+D=S<{5-1}=e#B&W}CkOL4E9155c7ArQx=VdG8$OgePDR`UBwz+YIOlC=}1onwSB98R)C)*^4=G3ZKX)cOnXEv(JYE9KsG)m2~iljp}&P za!^bvrzoF_L*Rj+vF@;~a=#Yq>PMTOyWEu{S#NvZTz$FdJ}{nVnSQuSFLNcpmF~;z zRMmIR@FaGK+TnRE{Ri4L?yy4A*Q?JY3{>~4)R{NB%L*Cet@Mc7%Ru-(fr8$C6lr>%-K<|RLD!hL;I-rBmOhcuaM=q$Y@83uS1l!=SI!%`n znul$UKmJFvkCiU+`|oGotL{OEFkBs!n~*S&H0hhKR~kQ5e1tD7ui)lC!?exx>ua~S zz9-(Yw%-n|@k~_dd&~ZsTZFz0yt%|yLⓈ^T8+DiC_O~`%gl@D6xK^+0jU6c*NSn z&uhCVjhUp@%uB-bn~-}uB)wR~OgyqHAZF%B4EKriQT>ANr%dGWOjX2oQZevh!-W9d zzfgL!T@K$`5-iDAd$`N~yQ(XLGG$%(A_*`XfMBDy|E7xsNF;@T&(wzO=-1hj9r0c;P~xSLth;7lRK?cjuqM{A?x!&k8fUEY-2L% z$PC0tJfweJE92;fB_%bnRWmjFE~gi5i=(Mbjx{0&tPP5s9KtCbk)OQ83swKt^eQU9 z4@x2;*16pe9Az`(JGOaus_6rWr7D}DB?z=Y>nM9ae`|5O7WX!%Sx1%=7l{x-Uz=&q z=4BK2NJqz9 zkJx)jWW3u8$~!bRjznTEl<(7}oanRge`;QMVPRd5@IkDPEmu`v#c<>%+M}W*k*U#D zuV$0eyiKlzbWC#+&FHThl8=`j+Aw~5Xm?)cgn7Hcn|6lHA}e+@84j`uTyb7bnwazW-2{P z#8oaHMwd32l+oayMl*B6*>|1geza<)OJ&*2Wj9!M$G&>>ZbbVuTPvm^k4|ZRC+|y% z{Z>OA6+LCylxV>gE*hQpp@{2xb{35HOnr@3e&I#c_2eomqiF1c79LutHY8H<3rudJ zU$Im7PpoBxZF+P4Xq)~T8?Y)bBDiJQMq>tT(oFh2LIdLZ9I#_upS`8!tPSNUOz-5f zb9bL;*F};CQUjx@Z30x6b+!j>FdH0{dU=Kbv9H zBDD4TJ%(cT(#^BP%ey{%rjD=>aL(@#P$tlDy#D-9?%W-_IBCw1?Y_paJr(x%ev7&) zqD&pOmd^KC6{%jVOj9(@DR4^(FrGYsbi353nblGXE>3n-t=(H;n1`}vk@e~GKfwbK!uWu^3Pr6f zTD@GWaaRSWx4epmPAs-*bND?WbGWfgu!F5Ms>^bK=9D?-7P-*5@q2f6;h7=JNBMlY zOlC)hg-yU`i?Y7ONOoRl63wj^r}=SIq(o98f$L3Go~5@?op=M-L~hIhe)v0mo^F;O z9*klWI+V(G_R*Qq6ujN2kcw9pYxN~kH9a*a;8vT@X?_%!jD~Tt#hTH_H&&@^i@w11 z=6*_;;%uN$yFX+8TqpZ0y|~a_b)-RrHeIPEhMr}k8Qe%aOeyuBjY{TwSy1@)IUhcp z;X4u?72>odiRMcT2_2AK_sV**oU$Xj((O7Tzq9_Z-CoP~(Yaf~y|axY&#@4r@8L(p z62u%Sdhq6>ETDJojVi_LR_a^WtrJUsL%HZ>qY)8j!+XiB;>Hu};Bpkh6g&|5E=Yz^ z_Pp4Rd8v5wPsm*5eiF}$v!Qhs-NVz-PXg4q78^NALLIG8O8sqd|DYd4PW@lFh@t68 z%<6+}&q^LC;?!t61;S}gwh7B0q#cSvU6zIQn=7nJrP6j!M7oNuDmu!0ek99ib7c)n zaMkUIF-P#BFOW1pZft<_;vR>u9}s&p6i#scqpYijk33fK`HqxVN@cKa^)&n)sd>j3 z=fD7o$TJrIze%n`Hv)2hN)+$e+>#U1w!4A87@ZnUEAOyA%sb@;)`n{tV_}rijrD;~ znA9U?6My#;anu!S7F7uLA>@?j4u8r=hAbHOvCvRH9O$IFaaJG_NF-Yw$-)n_QGwBeQi zuWCYfpqm0* zJO_!n{WHEDx~pjOUPgh06S1KE}G9FcxauRpq?0OMs z?cmiDKYCgE1H6S0iD4=G?Vq`;T~&*w3yyq;BXmm91urv1zLaf%Bn!h!MC3H>;!jjp zt3u;4VlEo@{A2#vQ}+FIPob6WZ{Ez3R{G4!=i!wP;vS%;OcN}O4$%*7;NAN93k830 zd^_QD3`ZyIqH~mOAz2)v9J(==ci@&fj#yRtsJlEW-qyIT9=PP{o;` zvYBXw*b;IlN8%KYv=LSTa9=^C5F_Hg!F2PO%mq9-cL?_hIOKWy*OhT zBa{#!YfLA|67Zk0rC^_>kSncI3e)>=?E2ni#gHs>^C+vkxi!;eWk*TitKva>o9ImU zn})(co$I6ola$9%BG2biWm8P+-rcXlR&H@&FC)2^sQ0UTCV}03WtF=w4DMp>GWaL^ z5{!K=7Fn%OY!TRdFA-yJnC~ra#-XF&kN(GyM}jQ$wsJ|=4d9U>Ns6ERlx}XcH(h{i z@P=e0k#|{FxxJmn04T+R)Q?ukDeEim1e6WsrGj9D8E36#w-y>|+@2NV`==)CatvFZ znuxo-2C<8q53NV~*oFjJR0|~)36rw%skSARs0V8|;IiD)Vsw4lq}~IjDj=5`59+2J z&#sDe28Bs#BBUG6eVZR(Ap17!C}^SmjAKt_oqtQI;K*B{KBuz=yt-0r-M7uh5c;7r zHR0~-$LV+h_SAgnP0(<$o6#RFTLczj$Zz)rjEeLRhK|91xa1k<|IoOy5bSLTZ7ySX zqMB=IFP;m@vgrWGd1Jb-I=gUH^f(;%zvMz=;S^n>|Nj#+vW0Q<=}|q1-_geCDf0pc z%>l^Nj9!1NZxE4!YI#t-IwL!4`RE+(v;Gs-+f)kul0}^al9!qiGCwb4{)>9{HNme9 zyokxsX)oOA9Q%D~cr#1Wd#MDN-F|=!0|+A-I%%slxj(G_%;dD(?at3*(I5%2hZkaY zp8T)H;M6&O>&8~U&Q>{%)i&&>oc$r-7A&t&EH6%XGb#F6XIVyUe;Z1Efn-64(|Tvz zTFLv>$UpU?zxvh2MqC3m0MWItyR0x89ICRUe9~T~2v=%xQM=t`+~_a^2r?Z&hcK|t z#n@6znE%;XFMN20!03}|@hzTv`@bK?iO>K2G**y0o4hc(ZXZxR(*Mw%PBsSVI`{GK zx&PoV(P~`{W*_C-;>+Hikx-OL~_*?+A?R z;vnCGj5{jdIQ>m^J^^IC29p`o!h_A3j?enG+xz#ivP188yq@PUQ@#f)qooV@B1E1( zz|!OPpD{voTI`r%1_KAtWF>CC{OL>kuz|2lKjKeYv98^*MitT7QcS5vSr8M%56 z3@C0DKx{&9X$Jp<5MJ~nP)XE-pB2RH+cOn&o@KgE)3su#IA%s1Sy)&c(ign>+nd}h z{zm)v>HDx(ziXBQ;-8I(T6tLjtRv}?Z~TWt{^_%Y;yTaQqQ=O40Z-ELPDM@XcYHdn zCr?Bn@e@XjscRo8gk&P?y2=aY$<6!@K+DsIzYY19&D+J9E~5^`nQ*A`#6iXE3}vX| zT|eq=R6GxDIWztaGR5^)46vR+_SRwAcXrd&h<%XaGqfOsJvrGn^;<11iV#bH=tHu# z2mmEM|MsqcN+nLY?}!AQk@l6j^bPAmJPC{r(bc2iW7&O zxPpA(OJQW(=x)=zJ{x}n)WQh34qwca>%rXtrDqaQw*k30D^52LQXFnCrs^xi(v zAAstOzsLlfuUTdX?;#Pc$1dykcbQd9W|t?l=b^mF)asiTkkO-OV6w3z?B%&dZ4+M) zhSQrJgH8uH7?8ekUn3hCy0J5nldc_Z1wz7YP}8*lM}-VgKog@iV84O#hypTs9$kuhj#(xp*`ipu5PtnK@-=2p(~y%*fnq z$nq)rIKtJ2mk9V(>&s&0|Ei3=AkP+3M4Gqqqn^MG1?bsJu7?j_GoJpZ{pEjVd#z&A97S?e$M0>9W+Z*GbSHg_ zUn_KS11ai95Wh8BZSvIIE(;-lK3{Kx8~Y7_7>J0=DknKn^W58?*aV`mKy?ya*gml| zBHF`URppDW+en_u9|pD0$*!_8jn3kWAFYj|dH+Cv4pE1z)opt_PzaM^VCp^cu!)tf z{AgbEDL9>rpW99W$n&SgSa?soHg5F|#D<~<6jocnRTh|z-|5RTQvRO?>3+q!b7yEmz%zFsF8zhf; zs183qx;K}u@rJ3Wmp7S+zsxWBlcFGr>~RZ4G<=zt1aD4d4^-TB6(cvh>)tr6_^g>_ zG<{O5Z{y}(qNfbKoFMr-rJ$!74nd!yyAOfoZ=E0%=fkE7#%S84;Gu1nFt8d}k z?c8hq?ILUNvy6`A#r40;$Fq}5kRbsZfkCGDCHOdgut`wJn`lcDmc4}H4RGVyB{^2N z`~uwG3*r(Z&HCAy7ouY?_Q%Bj6F;7ig>B>0ZvBXd*+kiSr1QhJ(I{ug{jUK3GSbTJ z^U&F{ckrUR{D1=K>4mRJQ}8J3u}AC&w9Em>=h0kp26vO;z_%GfFoQhG;BMW^n^WhpBC-{K7=RP;BG>yQ(SIqh%STVy@EYX!Oyz zDtMpZ_Pl)oVk-AvNA?4a$Uy@N=;lSwzia8x_o?0O#t^pg-*$8sG6VI)48Bhzt|Og@ zD|`%dW^Z0Et}L>dYZOuN<{~hb#2`%ZM-1)&W(Zo~T8h}Q_z^IpkYlCuR?1?a0)vZ_ zIgQgO=%$Y`eVQcX+lccCNm zs1+i;d+&w~-A_!mwaQO^GsAG%0$>tc1)mpFWG9tWaVwMQ_y`+V_?wQ zdg|1&0o{u+cE4R~a$SN`aK5vP@P7yImEJL&(e?+`?@6icBo7Cfp8G>SFK9{{z%cx5 zNdqQn_D{&7g5IACNMwBrX>t0Gn(3#&l1&F6I4oqVwewEbKVXk$!9KMulsMBwUF{jD76y>Je6)GH{7*kpGh9%!{kaG@4ov=i0cy0hg$$}`UiDcVHo~1 zV@PTQnt0sDBHM)$;NazJKdggXF$*!9VUp0R7jR=H@v$($%gOiTn;lERvEVOL&OVnF zSRCc@g4Eel_*JOYl-MMo>CcI zoeC+zDDQ<-C%JLhKol7sltF*l7I+jTLBCnudF?av&as8{jzD+~#+{l{X-R<7wSu*N zX&H7G%?QjHyF;Ws7@}l&&)`hi>o_i04}oi>>V)ED(e81ICYsdvNM55$>9iC#^^UG459fOt{!qJ z9MvV5D(erXNlUxQE}LLix5w{BMzlsKWWu~CDDfk#4VG5T7B}L{zkzp=0oO@u>Pf;L z#8V7%BFkTcO2cdY^3>kZ>zJ1>mdxv=zQt|(pxRooq^-=->w+v(ZEu2Ysf~d=^%g`U z-oSRUzYnG+F%UO)s`~4>Vkf1i4TxMKJj>ImhJV zz=(+ow@tjTiF+0$3{eav?4r!?UTBE@`2b>iwi9EO0VB8NuE6kiPVV2N&DeJ}o><1p-|eu$V7(wcpN6nB}FCx>u~p3d%%oJVBippCDF z4FB9GldnYvkKoWSPJ@&(RcF08U~63B0`FcLllgx|X{MsEqMWuk{v=m#=3xSv=mXdg z_05GlH=egWKvMP<2`n}*)yL$|Obgw7kXs`k-@s85lrl|S8sZ{fP+;pYOR{X{S*YmJ ze7h`RKTYl2PI#09c2-{iZXl1d1su8a`tj21rBK^S<#7p;03h~29=r&b9sGaE2x>iI z`2tW0G&9zyndMh#N!oucay6tPGgW7&Q-GIV9~)n+GrtP)onblfb5Go7F_Aea*noRZ zNg>2e;yE0|>+S+tV6nuw8GBql%zm|IGN;Q?@=o7Iz_FiecG~`{=P;*0jf$4$Vd$Xzevncw zTlj6%NJext_F)@2`Ce(=UMVR}DLgGqt#!trczpWX=nV2@XGuTp-hc9&%Rr}zb<>Q# zx>~F3@jYq#cO-FPBYAPOceSVXDq)H3FaDV0Zb@Zz_IS6pw)PJOB?e((FOcxTb$yC- zcY#IRUOuQM4-E~E2xI24GLu9C;EXQA17T8u@W%(KFYlE* zIaM9wLe`ZfdfQr`u4+_ktsUHt0NsP|(&A!tkEb{GJO18RmW*Qzk&VV@Ng`DIi ztCS(sp7w$-;3>ujIjuG6P(~3qDYFug3p~(?b6;Ou3v(-aoq2BpEL1@fN|>C0{Q{Q_ z%w2JrOxnOXfB>UaDc>PKD@*l}#)ECJ7<{a}BZP&GjYMLyU7?jo{5&Mg$*D|3TS4~d z${ckwkyUFYZeBUzb2}4FO~Qw0HekK&{i@BCL;H^m=uz$Lj5zyjLJYtwH6U4%tbNvI zVP+P5eqOnJLF+Hw|~UT#NNp*&offx3y(;^nPPd zGC$5HmLzusuhWCC`8hXVM4KD7GBtBvcVh9>3vCO6+Kh^d3i@F@T-<`SCYf8t?4~BL zE~R!j84bUBu6V0&anYP#M2*DV@WXdQMjb!az6LXnp5CCV`~LH(=}{*D1W&22QY_rp za&dJfqxHSL!6Pd<`J=tHBX*r8GLMCYWp#Dcj)Ur$B5#?(w!5X}$K>Dm$ji!T^Wmow z2?-IAk>Nh_XY*kkr|8?7aWYk2csY`zhR&ZCB8Bn)M9wn{cMDBUg_A1BS5cACt`R0q zPktupW#dj(U1&nV~N@5bAfn zYfW6@%Y;XSe*mo@lKB-w3Hyx)hLwz7hT3x1B_(1Mv@+y>|KRPb?>r<^QRr|<>1`z|Tex(OmJ2cpdzb-DWOmy@PF{nP_A1Ns* zD+A+U6lvsh#1HrZcyR^sX!OOc&nQDoeJbb5>S`HSiY28oMob#tyRAw;1vYffVVdo7lO&+J=YKIV3TZRWd+g=(>_f2sKcnI z7yK-l|5N2cKr$vQxm$y_-m1y7@`Z|R_N&r?1hHV-_Vr>!tU*!5)bu03N+;jGeSft{ z-_0hM_J@)IFL4_2Zq=_|44i6YR>bJGE1!oiyQPJ#jvM%h0@qzhw*{@xj>hH&pfVN3s0w?8;hK9SL2lm@k zh(_{+w;u=6%DZD;LTM6vrwiIQu>J0+KZ14n2F!cK&Tejds9iTGUb%`WL9*d^4KGja z34da$SQ*$SoI^od$kMg7w#I5?1xBAY#Kce%OwRzt)jejWqXKj0$I-Brq%g1}Cbpt6 zg7M-QJcu#d%8t7X_IZcor7#LWD#?UE?T&JG=e3}VhqkLmh5_Cf^NWl0G&BTEvbp9y zK;ik6Nq0Emmg)d9hdeyp>OoYTJrvZ`PdQ~|a<$$=so&Al8w+fjtSha740yxgM8wa= zcbw~zS<|Q1UEST;wPhQOgmSdj?uZ;EHCr;pk^Ext8`g0GA5ImodpqhB;1EhdLL#SP zZ2Cw!!6PlYq&T<`nC6NK3U|lyHOm-SWAtstpcw6~5u+0;6Q+~LkyvW?E6egpPe~cC zTwopsA5FkU;Ux?4^G}yVvkQkuEtqDxPfbmsqf`lzX&Zl+9~{Dk^a6A{H8r)P|KF+9 zkcoKR76skd1TJ~3i$QxWI5fgL0yk+odU{0@Sy@>nB_+GG84$@j{|<|;X!c;{D#Y7N zNN(3dUWn=TCT0{Om)M+|Xak{OU-r-&j`7NbX6|dEqGBdljoej5MJSh1x+kKz1yL?) zTM~mdjQ7_rYccnJ#YT%X7Zlh4c!g5=hgUz+{rDq9uMTS7|BEtt(Q$E2Kfoz8Y6yDb z%#4hHy!CkFagG~;D%S1Il{F+q*1haEg4r&D-{SWV9XVy3c3!%4f{{i>1|>bc zI<@M)S;^A%|4wGTHy8;*q5@-R6Bm%{{t5QoEA*I{9P$Nr&uEjw0(7oj&Qva3#@-?% zB)lmj11cI->e6@!jL`*C6L%}HH$DS2w;SyD0BHXStUoAziDUp6{sBeTl9+slVI9B5 z3W=Akka^2K_!jII^DGw!1_eE?zdMp8FAsLHN2s&{W~6V{>?t%?1VNp$y4s-DSVogW zhb9VF%e;ur|l2qNk_N z2;s){EJ@y0N`aAVQh_&AYPBQyoli_?jHxLpeV#ppMgS^B{?^X`c}p#++_6L*t>WTS z!6j3ZSTr>mj^#f2v%oh56%SZDXir~mi%;atIC4<^_q@bNK}AeVLf-Toa&O@5czo{3Gh?QuI=LgDPsO5WfL|bBr5xz*@9*o&swndUoY2cY zH)tQQQBl#~^Wg$j-}B}og$?<{s$Qh9babMT=Xc*-mxN(g$$)1|x7 z+5aUFJSwm+6^*)rR$5XLt_1rc)LxAWn2_lKy1?k!o&>eW!FTV}>g(@WoA9$PkK2+Y=m=F>e4;0e#t#QuVLfqVLwYCi$WA`26{n zn3z9Y&5*N`lcJ8o6{DHmwacjf&!K0QiR{{KSr1?g9zNEQYgFtl6S`=fZc=rHncblWMm3S;D#%4?V7&KSTX+5Mk4LCURxbH zCq2x>7Jy79jg5?ym6V>tPga&rA$YWZ7#{xE;z7@U9cZAFxl#e~yj8WerOMDh+IxC3 zLTsn=L}RALF1sr~4PQ7m-p|K}R@kN&;z@M>a0;(1%(#u0=X!)pvfPAtc#hA`UO*N* zTZDVN?b-L{Q_@wiUy>%8F46hllcna@$Z>aaQgP?-4|J?dOE)mL>4G=%|Ch@VR8%=^5)u;oOP$8A>eC-84LpgBEqHoIhyzS* z;a?bd>A1M;06t+;4xQuSr*`rUNfaT$nVUomx)A_X9^AW!>lytfQ^=Z#0z9ze{p8u( z+(8L}gx0#(mL{q6C6w*4xI{!THL%^O4>snBi3Hndyy35S+@P|!%0e4wD@Dh|YNoD^ z7{D3mL#|v?iVUdFnGcA40UaF1K{z`I#znZ*>%UGQS$3p~k%AJ>-PIL8WMTsw8(R+x zSX-JJ8UxqPuS&(F+fKsqN>L09yiU~6)by#@jrupb&NJ3ht-SbaPt(%Sc7X(=>(*Nu z@c?CuA)%CV2aFXMv73hdK=+?0lRRkTVWa;S7V}u5sPOk;d z^qbOrYePRGmM4j6Zf)J_obTO(1{)n6UBU@^*yZJAP@>E8+~@dT+$38^VoJNYxzYWY zD$|~NR9;ch4!5V--~sYlTX}75vi43%GJDqm^+kg}<+k(0lBTxyGk$)K8G6ifzyYoH z@9_;{7EXZ3;T8V>X*~nP{l-(Yz8ljGvOlTU}KLD&_T zeqyk(y@Wx2Kb!aSEH=W8?B~yQxl67}`8$JSa`7#wv^7aA2q+RHC)uHuB=aj)^3fp} zzX9*o8zPnjFE75u%(^R0(9>ui&U@m}mS_pYZjv9$gILuez!#w~)}* z802+nDXF^-4rrbR%Q%W$yZhtls9<*6QAtI` z_;WTAo5j$IO;>Wx-JEF_XTY9ti4(@t6@0c>=EAOFe{! z^^L1g&D~JLP6u~7Lfi%ufk*%|#E!N$lF?p~uWjaw58R^A`e$f!{B>hI0qcHrgZ zC6vJ(i=eLZotd3o3)IEBxq#R(w{))3)V7nPQA0lsZ7 zw>#lDOl1^JE$&f>i9Tmt~#u{ok1_cIUPk|ocXnRadOz*2T za$X`PGc&V}j*fWANdvwB%wm`aX73Jm!>5T1geIi$?n6o<>h~7JQ8xm>FWq~)%=a|A0;;Kk#8YtsLtBIjfO6bfPlc=!vo!r0K4y@0UncY z!|d!VDrn=w>{H`G!nbh#@!^pXg2Om2vT;EfID01`h@Nr}sBroD`R{(bgT^OC^oZ}S zX8%wKY5$jVLW~t@-=nj$Eq}q?jp3(uhj$k)A^TwXb7|$S;7%+1Y}7+hy|QAH78m*q zY6R>Yd&=+S)Mq~&s5TkhAU6pLj=q1-7TWnFLMdtG#s0p#tNbsu&Ht+Z4#lYJQY@I9 zu~|oIGMYv^1qSpbrKOYF%;~R>2kD`1z&M7nGs-#pfrs&vr)|+tN4CG*ez&=`<@e+c z2|#f9}VAxpM838UbUU{?tzjX)dM(id#Jtd{+lN0eS0y-Q_%>CtV^RCW! zTi^(Vfq?%Sz-?c>dQ~u*Orr4wU@o)I>u^`VmI_^F$}8>=RIuS; zYHDiY&lCa1K&mAJ3jQmcMqjDkdVOjOH)Qs9apB>wdl-N3X9t`fKC-ux3F>T*M@B}r zx3{yhXnN#kp_mbVc|qpz8n$?$JGZi8Ow@1yKWr|ZVa@y6MqTt)1_tcQD+lpR6g$tN z8%SO_R2t~%DL7V0tNh;)4909y~rck24S0 zGi4S)1}4hCfBy!q>+FG_?(bK^Gzi}a_ji@1xEF3gW_4LZOFy_oXxo2FUjcx+{k@)86I0ov7I`w%2(#>uzSb8--DRm7ZR((p=8-yqKt4i>)I22cUy@%FE!=|JQsxZUu=V+d$Z z%5MF3f!7Ft68x>8WLB~^{jCDTyQyhElrKt(9b8^o8 zuU|VeqTi&5xOQ3^Xj6qet~;1_kB+VZl=bFKuq2szW4WJ`oelRFuys2llqO2XMOOg6!<< zbai!!6IHSdtgRpYJaPPfBU(iI#trHb3j4Ysn-(MzvI*Shfi25Nf_X1qXtzDuNL9I= zC;MaG9wmAJc5??`zY@lS!2A?P8(a+tZ530U!>B?=RaG_RUzx%Ya6`%FX3#RV0zj>aA0n3Zgezx4Dhthek8Yu z2q{&*sAK&9f=Vabg$$Ha;2QA|M*K|9+D~r&pjpa?`K5uO;gXv@3KHv3syCFE?}LFM zP#ZT651D9az#932u-mY&ug{_{f(+b7T(~sIId+-@&eTKWl^b7y zn*^ck^>^RzyM`tNGz48GVPR;;o1%T{uN{}3uM9wLy7%D0I{JvT-zEXt;^ZW&XBluO zBc8&Q2q9Dc`MpJys|rCam=af5xC(g(%63)RX)PU{@vpNyW!_%jc6N8Ad^c2AT0Ppp zn)uOQAR>hoLD3I%jcYesaJw{z+P@oqmlUqs&Al&QlM-MiFDso+OKTy9AkO1=^NcU@7TQ^{3n4J76DE^>bq3Sj)NGU1dh>~_5FMx;A z;%HmA1&0E({^)>A2T(AJ{CHhO#husR^{lO#NJ&@M)&K!3D|-YJV>r#w^cc(n8|u6H zY+ju7zZL_fwgf~|DElF@05}3=7naO1s{ND@VopzQ74MIy`3;J8eu7cBB_}X3g5q!= z*egq(dA|5udW|WYU}buvzV&77UsxC>q!G4((%_N}rBi$3Mk`-}@g8f4g3=T{9svQ$ zw;eiO@PZ%q6wpOoPBpcWefYXR;jqhI9`%E>`Fb5troju*`u-QnU-c%Xl<8-5 z1$5>pSp#3pic(;v)|nV?lM9*cb8_Brw7&-#Yxz-{ZI@6(E`I#j{rkrvME-iD8bl@? zV0hfR*kmKXB^8Eli>vJ~j@ zk{&;rd?o=Hft?*I(MMpQ0>OFP-a8y>-!S34B!I|oW^$tnPmUQQh|1?s13yhoZSeZs zb9#CTX)rm~_$d?~1@;fYzmm?9s3RJ#ri+Wq*4T@~cbhSKdNqVRu1Js^{a3t24#ydMYvQI5} z{=4Os`lQi#-Z1K{t*Bs8h>HxNHm8-6lq5|XkT1H`ke|-JhxcTyL=1C)Co=JDAye>9 zdpuBdntx~XIG43mE@9BU#-~F0y;^UdyWFU_wkTebc-iu658UI7D=;b4sWNxNS>>+E z-17$FJ@5}#KW_l~$N*l!1*MY2lqswhsvqeqXjNtrDpquWUq1be)ni-?F=2nlCFwx*by znQ^%xXaC4n^w17>(_cdzfLrEIV1ELac42-Vs$Ulu=sS@mG|Gj+AFbP9EC$Sg2iW7K zYs|q1nUI-LZpbYyEh{ZmReS(n1qsn;5#QGPhcHcBPHARLKN$}v#=~oP43Y9htHB?-8o}yu4&lS!ro&o154DHZ}D1^}WXi1~4y5(O0cwTE2iud)RfnUOCm8 zk`gSV2yOtX@GIm^mZ+Z$G}@(5Avu_}o{M6!0SNXpYTfxNq!)m0N+npt#b?IGh>JET zL{#Vk2i>!SQz?z{c@6=FS&LMLQ5QJ=;@;(b-o)33WCu0K%+5~f^G_Lb=>v;zQ5ka& z#n9Z$@d7LbfEB>Kr)Os?xA=pv1O^5M^&&qpLQSj)jR=hEfzn^0_R81Cr|$s@f`{qb zhxK?$K4HZ!w9ya1n~%P@2>ywkiArG8H;o+~Ind4cqtc!~zhC!ZqHNnb?q@mpODKF+ zzEe@3AA>pfiHfK-Cxe^xRi^-AF`_t)v6NgfC4@B4btS`B=WT9)h(_-FvW`8H&|5xT zOQ#;~Pqdy16JHyOuUgTsFIL`UB^LEl<^Y?0EQ|j7v>QXBD2y@ zTAspoBs8LAT5zN7D^M|lpw4`n|4B}rsMe~Rj)3~-?c2BIUV@<`*qZLkQpLPKIXSt4 zf#FnviB$Yd0Y<~VSM9vKm>3u|+bD!&?Pp+~8X6MP8!{U4v4ODhPU2^@;f@ZeNsPvN zVJG=xDD+@i9MH>ax=`ComLKb@^p0}DB>qxZa%f^O9XJFEG%tJu8VHAHn1rqkaVqf3g zg<1>q9#nFEx;nS&bF;G-p!7+lrQ^SZTDOyLkzQ9&61Dxs%6f(FO*n(5DtL&quGAqA zz-o!^U^AEL=Xlx(C`xztO+mVw!A+^D6QC|$(E~XR4D8$L>r-;&ZQ8k_Q5V8s%s|Lt zb=Dl?{*~>fL;=^a;+iHW4jZGq^kxS^T=ikK-fXHEGE*Lk3J#w#Q1 zGM^`Gs<00~(;`|!MGMN=^!pYAeXN9=MUi}IF8m!F@lz7USKWi0p{F$MV$cFsTT;#gFr186EnBO#24r&jROM%f4`cL2m1USSnnws$6x762<+(Xkl zw|xH;Gdj9`9#F#Xai4Y(wmy%0r-3E!{lw0FX78x$+1BA-7x8U&BnH;aGYEsidprmfVcnhou<{c7609E@)C%tt#lL$rmP zv_V`N*t=@TvrUehJI)4_c-sH><1IkDP3Gd!dL+=f(*O~xX}7K25#hYOIpSmr2^LIL6}-e_$Y)u#`0}Ld`^mj+@g(}{?6Natx$|yg%ha7w%ub{98{@$k|j_KWJ z(O847j}%RDsY%B05qIK_RYX1-O82&?OEFaFQyB>v2O$DP z6gB#dZgl=KG3jR%;I9@rGg&WMpls*4{iu__ngJf48gbVW&j$}e<`OB~gg2cF0u5|j zP`-53&F~-+sJ)QmE=VMzgcCfhyd}kkqvol-zWH{MIy5Al1Z|NeC$JtxHW?#uFlcV$ddF*SMU;eM#C(k{j)Gc^76 z8mF&pLqRq%9sSZ+tBc}t?-2`M=?V&y8Ld_S_rx&5&`3iZJUx3ra$X^kOh=3r9*VlM za?I1GQ_u=SKaE4dm-9QWJFZV-@{@SWO);UBDWxuc6N4mC-|j}S_i`nheI5G z29Qz+Kn}n%YWH5fau!yw1cxOyHWs24bU#mjSMmq%uLl^dK6 zJXVhw>b*M$D)+zgE$dUg9BzG&G&^t7r|H6=8nmP{o(RlgZ=lFnHZ?J5QW*H!Q?fX_ zpFHp=s{X0F^zI-Z*$}^}N#z-wNa%7t!^la);qG1KmrDnycmFh^U>JZ=lr^)2SDF5v zIC_)H=*Sy%ktC53k>19_l0Q<=MVFV9!5d=n#d`9y=h3&H6Ei#cJ1!*8X)n9} z6vX6%X{XHC)V1GTn>!B~gDK`HoLybt4G#m55=8^gWNvBcCI^SoXVqFY<|p2(FvLZQ zz^bS*pso6sNneoAM8J#;X8%y7YH4W!h;{oVGeJ`T3<6-rq8lPsa!;}t7(f7l0jm;p z2EW2Zesg0L!0=Ag9>eFy&=PM)Wzoji(ro_hqXe?{U|(M$@Njg{{Jo$o%+9!*{DVWs2<$Cog znk5Xt4M|vpgGrAw?j#U&2c4u?6VGjXz_<$1!&M}R7o?u>s`9c>E8BXwyF>ia_z>-r z4vP|nj=*atg)|F1{7;`OFinA!3#i1me5Wp0pkAnhJwc$Dkw0`r1;mL{X!K>oMuZ9a zv#{E(z2T8``$8VuEBr&nEVXmz|JN#^HDwgq{g_dIe;9@S` z!_6oyBQ2ec-REVYZR8bo?Hh=1mVCI>ns;jWi?OS;a^*~gE3~$?T*RO7P94?06Xy0i z?)?pDz<}cJ$w)?XUQDE+7QGwZIlBPCmIdI{ za!+VyaWUo}J{NIG$O|yXl%s^npJvG74ESgK0rEo~C_4cW&P_uG2~We{!&!C&i9`Z# z1VBxunKXAbW=3vdD;NzjYelx!;QJRKs?w>&-FUlM%?j@%A)dC~p|YQRW+HRg)RQ$s zFj{GJER8LiuT?ue+Fu&`;ajPKK@a4_#tJ5YuhK z?sJ2K*w-J|c{aRTU6d9P@qt!=#FmNaMN|~#vAcnCS#9kB;2I>WK$S*L!6QM4?3X|9 zWR8L3+k2K+QsM{{wXXSMi!9dLs;=)69Aa;Ab8o}9dk7n(?Ak0S3no|vjZI4W+BEd< zu;1^ctS>1kftftC*=FiVJSvw!2wLX$6%=o&`5|unVFd|^80amwx+|bzA2(&KH zD-72zud6$R7BwLufz$-XW)YWPo>`N~b-tBqum_YKC_~_y4LYnHkQnzaNqb`js~M+% zLcs;o8CcbMy`7uB4iex`Uc9i@)lJ>G9Pfi8AuL4Cu!bzYHTL(EyGixoVXZXj#+#@8qvvYOAY98Z0a>!VU)B6!+>I7z{&?^b3YJ4u9{v6E2$Wr66&(SoKE#l_3P)0@FvYy{3()*NQ-`M*4^)1((v)6xb_WZW`EijLot>JxsIx#VEG8Jh{6Jwh- zDqn&?d@~(3wkBg_HoSlPzR^&Wk!Y~e9Oh{Htze5ZMMP(;VX`O}F=aKOkUw?>vqhSd zyGxUq=qny#jVx+2*=62DQ+2iQr7vsME|l6)T!MM^vl;hZ_FRx|%ISOCRtgS3A-=FM z`7Gv!3{Nk#nKbkV~^gIAa5u2Ft5?0vP z*w**=2}Jw>xp?~tF5L37+}!GFEx?b!pE~R|>`V;4eG4$|4RLYH4u8Db-GhVVy5!2r zN+^mfAv{84f$f66z}rO=%a@5+(9grdCvfqhXy6&U+79CISgWY{2VXxsqm{>I#&DEGJ3tnwSyz2%naYvlj8=5o-+neJoB zsO5%YFVgo`T~qC|+jU>$c@fX<4LpyDY0h)L$kqq=rQZutS-yU-D2!tyMaJYAl;Ax zC>Zb)cWc6Emg1|_?0@-{Mz=)X&+MbAt*^_MRkKiWIrnMS%c`;H?+gl>JOghP5(-44 z!-eze5!db}(NROmI0_^SEx^;Tv9KoC`hl~G8o?;E?ZVfC@97VCZAj0kOWzLw;lIS? ztRUfjk~nSTrlmy?tj3v|nhG1;s}&Zs(9uCdKl||m;-f$kLb2iH%a?^s^T#m3L9&h5 zc{_)!vLym$%X$z0FoLR_&x-Bd)rQb9#8mZrNd7wioU$`Bchz0i1U0UO!3Ml`xjBsw zG%sdmbgs+5kD8buwXTP!I65>Waqq~++xrMeJ(E*YK%M-*#};%-7>ZxNk|n!=MA|f6 zG*`Z0I>wcF!JGKAXfRs5ri!4mynV6dQ}n`g0p0^N)(01c2{ z0p3&lX5AM8#N(ANIG6(b{87=XZSxThB;K(!E<$#)Fh%vJiHO0>tG+7{4237G7Ibz# zaB$c_o&PJsKGd_$)CR5-3RAI#EU=^nGdloS8$iX>phtq%EJ`U06)91{QZP)7dbUYw z1%~D@N%Qsf&Hpqkt<6%X%U zBwyh?hvk)A*Z=^^JUk|E^Dn2Rhe)zkP|!P1Dgr6&-~aq&b}ebl zAYeTQa6CQTA41wF!KA+&NACyV&p>hxJzjegACDio^Wl9o906#vhoe`2L9aBJ9Q(h| zO;ftAdkE>@$DF2Nlq1v{8^|@YFsL*(ejHp?S9e2#P`p$4e}A8FA|7ko5sWBU+1N5H zvt0PRR{;nCv+zLMf6oB*B&OuOZOt}XJ31ETNdv@zB+>}a3gA}MuM8wI-s~{u!{^ft zlmPb4AxA`6yd&yA+WdlfLeIc(Q(GN+@~tuDnJ@B$koTk{B+f4`P#Et(qjKUVB!v%zO?^#N>0uqa9^OVLY14Fo12_d*rb!9 za-~eJ_V(+r`3y`VsI)^uLS8jCevG!}2B4DaNpqhN#cZC+9hjHa=F;F%uw!|xdV9p{ zbTKj&`pJ=`UUFlg@4ctmAx+ewiW1OHyh@;)fJwrU=f$G6!C%Xo(JUY&D!grttLQ7? zlX8I{@ikWMp#+|kI+tL=XbBl5?_-@>+b#~tX9YzPnF=v<9ygo5U!8K^vydRChzTVX z{@*he67YVpz3r@`@)n97cu~+}0?XkTzK&@rzCYQ`R&}!@;gRS}5P*quS-La_8LzX0szLFzp|I_kBCVr9X3f81ZLa1_W2FlR^6vqc?ZU%!52 zWwi`(KWS-GEvpUz5au)La4s0kTFaI;HxdEgxH{I`)|o-Bl#=!w9|$yUuUiw+i$4l8_Bh_wJkMHz?l$ z;#Zg&Z-^p-L)Ho9*XQT#znei8s}AZ5potyB3_pq*juNo zM)OxcTlvy~{jk&)AWjAxyP@j#a1R;V^)C)pL<};0cZr^taBk9FMc0Uv@J~j# zJ**POk71=1C_y{bykB;K2f6EJ-adr=RgY#XW9v!xz%A1u1Yd#9bNn>bl)=d! z_+D6~v)uWXnh^;jE-+qUB5;-Z(%t;M!L zt)}lxmUyoo9UT=FvD&K?Q(-yE8C$1tc0?N3@~5R&ezP>m&3ILa%aZzZ_ZvTpx%}ng zE>cR#BvxM2<5n5s{fFdu4SlaW3#kJ}vY+a7o{QC13U|^i@j!`hL}i=Qu@y~emUQr7H$I`v%0a6){ndHvNQt6zIs6zhz?ipLIP|kDI%+23*$hZ*lXe%3eyBvgR(E#z zuHC7ldQZLjIeWgx++#QIZXvHnpQ-u%E0&nm>Et$5u~Q(vR~ic#;wV^?ved2LN1kA=QPV9XyT$$nTa~H zQ#c}>|8M13v@V9-)byFRbLUISs=WXKUKOqHGuItszux*oMYk+|FS2Q~HH}Y(pMNyh zSEp^M_q<26-bVh5l1hNQT8C@gE=ejxLS{!1=jjb&wM@RX1?Hq!g zot;<}r;&z+hI+J6@+%3(gCY`{yr%xc>6Tfgrbf4N^v$?x(9g`ZTvRktl5oBu zgNkQZzVJB(@01PNT6@J}YbjY$P0(E9!Hi#raJHQ2cD2_Pkkepf-BVxxvMqRKF7R<%eZl1ka#H#D)55!BRc1wlHtn40G_?g;sPc%M_Ch2sBrUTR zlyVz;qDxNm%J-4~Rb{0kfQG=zb!24ZgVSx`!FzSFu79}kxv91F7Sx*QALsi-2g7BQ zUaZEe@QTNHn$PTnN-_NuE1!{y+&7`-%$Yj7UFo3Ox&%knS~D^SLT3_!kN=R5S)-L> zSS{!QYE!VGEv zpO01nD3fbHDEk3bOY=bG1jiXxA%3ni{pF^%U4z2Y;`PJOJ9pw5A{`Tz>plyrv&+5o zwUZ|CG|zbAzMK2Y*vW4AMA`KosbIB>K%2$mFIZevllL2I`*1lTb9hnF{e(U!_4Vkg zrL7k4{XBoKJfxX*VLG2auE}Kbxv`$IZJI|Y&Sd$K(Xi?C>Y1vW|L@?JM>!as_3dbP zDr#!LEFKUO^~tmmVFvWk5`Y!gs(65nS6Vta?<9rFx%3bs@DYgBb|~!c0~;3QfeLrw z5Ty~R|Gv}g4|LYAfs+OlSCBCvar$HX?Mu`~dRGi5AC*uT-_BP>Z}zy=*GrCW^gHjL zGg=tZSjAsb^o+!M?h$`0e>QQ>C%WMI1Zj#f_w*=gXKhgH_< z&s#X!p!>52KjV&?7I7S(wx0lnDUsx>h*tbt`KqG(^X-jMmfIT2rrXUuoHo?g5#G9h zYQbC;7cdm~fu^s?dBQH{zGo)C>Du1j-uimvQ&-rMfRRH*Rn@u{MZa)jT$s=XgiV;8 z85_?5Z8Q|XB0$ujSKhApF>dGR_+@`z2H<3f_x`KqySo2YYd zK_4ptNKGz~@SN=JBee`3K78os*Vv$aAJqr^MW?Q6#p!Fye0N21s_je068r>mGSJ?_-Ws&-MNiD2 z&4LwVN=nqb0w4v7ST^*K_JV>HNWlQ{meXJaUI=Thac_8b-X@XVfyLa!Z>B&C4%wh^ab$`=S^YhN{ z-jno36En(4z_*5CysKAf96C%(9RKI=|r%1rZ%gcB(nEg4=m75{l4j_snNPGV4{Mdf@VEzm~FostDO z7T-a|;_K=vDLHwh#zHnmKX=&F1E^TH^#v?DPGO_&Ul1-G0oM+gy>0LB@9*x$3wiwC zrvxe#9K29?RbbNy>$AYKz7!;&phnOf%+{D6p8>1KE-4zf45(T-I1e5?82bnL4Udj` zf+!-ik7t4E2Tj0R z7)^j)%iQd2OLMWe?Eo4zs&6Z%addGbB6ukW{H0Ov(~5u9oW=`KDMHQgH;{0J!~!KC zpCppPrfXbMQUI)2_akFDlJQ(1Qd)Nh1FJSbPEPL59lUnoKS;x;PoDx{J_xnE%OpEg z@fJEqK~O8h$%FCFA`tFsjGhbtTn17xP_fX7h6nHE+EEWPuOH#En>XIow6$gNc#QiQ z7)vrTS5;_%hX_!cR<6VZ(FBPFjU*5ib@mqszU8j$!=pWbzs5VF0aZL@$^Lud8h~j~ z+=DR{$vn^!?^w|M$;!#;1les>fbYFP>BXb2stQ{BD0yO9QOsYS^Ytu(f(P3ZFX!9f zkwLQo@+}`17h$iE`7wNVc6Rn&@6>z1JtMIS70k`dybg~VCHnUBXJ4NSTNGV9`kRA^ zmydx~Ufc#hp=YW=9MlglPI-9IqZJ&lH%H8^#mQspBspVc6JQ=zJ}1T;u18F+*C||- znWb!USU*lh)iHxzHvdZ1e^?!mj#56v#^S2ho?DiW!*Cn4?{I*>a2Xxt+7$T)$mXz} zL<_>Yu*XV3_g5BH7>(3{#RcPGIA#T7a1GmL<;Lr=-X#hE6CZlOnbuR+;9x0>dmthJ zdMdBsu(y2b38nYdWR(H21n9VcQQYJeQ(L=%EJts`s$JqH75w7d2j_G35O|--aFf0+ zh!01|p10Q5AHjwP+#S$+7HvBf7-)I*icIrGUY;fF4Ym7Op&6Q4S>?ZY@q`?W^IWv9 z{5`5ivA6oKUj>+nL=i6S_7NZ{>_k{x5j*2_?@(~>b33*sAi4xXTm_!hQkxIkhOww8 zZc;?k+65T}1!jo{P=mCz0=>OyMC=BjuMx6nLwh_)s{y)E4z!Z?DqtY4ghes7uy6qz zHg7(I;!6f3tIlG};b`4!Kp_P{su51AuWxombY=oloS_+qTJaj*%Pp8bYHMf^;NqIJ zdRhYiVS}1ja-K98(N2Vi7jCi6KJmP|rw5>&Z>RN=3$+ZyK0&nqF3AYuAJl}Mtypyc zB)aIB7-S%@!EQ;V?^Ck85-au*w9Pe=x@fsnp}WK&5t@V4C#*c_n+5`J*C1S|sY;?Kd>92XG8@qgL^!p2)l%+l&>=4K3dBWeIQQr3UI6M17#+U=kl(lG0XzNyG<1|c zFcA3kt*ri>?&ymewFyr;I6BhGU=H9vOj6|T6z(;Ldj*3DREWeOpcx0$4j3!WAjk-F zbcz^>Ma^eEt57SUCg*tk{QNdOK;Mpeij+D&gXr#@3YQyVKFH|8y3YRYOcl3;8R3_1 z{~fa1G{%pd>BxZQyeiO8&PvJbcRjCT6>|Z6QB4 zbi@Vc`E1}Gx;E&||AYc@-Toug(x^=(jPBtuBqp|PaKP3jD6h$?;V)Y{3v<|yS@KAdtoeI#tI_~ zIDXDfPoulWCe&G^rD+K;j~m{+K{>O4N>?iEy#hVeCCE&@?Ww7AL0(ui-&L?|O5!<3 z#BmB<{;pdS`T`FH2`_y8{Gt$#z?(J3hxG!=a_vmma#=1K55I$9}irMRXWyZ}lO_AUBTrKK!Z|6FbO_b{$*0o5I-igF5ln`1CpKf)0O_1WUruRhm?3nYm*`@l+8+6_kxCp=D}GSz7I1&lAo|UUtR=r4dw{n;FRax=mg^uOhT3950q7^h8_0zE*W`u(<*r$;C_=Mq!h?)zND>rvF@V7fn?c%4| z#{BvcuqtRJ+@|2X8~>tKas@BEJ}}K=?S;;hMm)y9sZU1=;tHrH_Y5F|tBDTR;H#i2N{7H)Uhwh6W8BTL48zKnf4jpT^N8>NW)SZ zi2slU2b2TA$br(7%;U?Ol$n<(*A&#aE7jg#pbGlFv9W3^FE3w63Gb7VG8jxij-ea| z0|Wbxdg~zO9$Ge8yUuW6P%ugRVmTvj=1Y+*&~-47kc1O_`|wDff#D5;9R+MM`2(YI z(!T&d{PFGT@;>lU25v{(;W<`Nk3|dlx4qHuYq8kWt+*yo)B$#Fls}!UCriE+J zJ_0eR+zx;I3%rKFx2Y#};Qa84a)y?Xk?5Y%BX&N%7tf#XfPSxK(T=+13&7FNu)&oB zjf%#Qc50QYwSNl?ykK?Tx3W-2V?7$9mQ1s9c=))ZFf?QzDpHVhLIF-gMsUo}!vozS zJs@1+S6^OUZZ`y{Sug6~gW~ORbE(&M)hTGwP(6=;zJV0h6iq-(RX?Ihs!0+GDtOjV zr?{933B7_RUFJhhPM(g_58nhmD1sSe<>WeQYVgXK=G7Q!1|x6)?+r7)5Q^A)Q=6mI0wlt9Kzv3 zB~U7Hb8yi7nH;1^dASb|t~{k`tzt7d+$vR>hGbu^efo#W#XGo%3t47FtD%G&&#JCb zwp2f@GtltW4@wf1oYUT44t9Iip2OU{MX2p!l%36vul+4`Am^GUdXt3n24`he70xV1 z{883*BfFN22x$vj8dC`{F#dh|{b2!27{$b_t*pi>V$)$!(g2=E4Zf+}SSNT0#JMz; zJ*+G`WBXw7W+!){n2@E4cwg)){qVOq!_dS8St56faGfZjTGX}fSLrzIZyht9<_^WU z?k#n7IY2R6KLxTOIGW23B_VPc_7bIDu!Tqrsc&xXg*ETjnFt=-QpQ8sqlc*bdCNias+?>iF$y0jP>-l`_w=c^qccx9+N-GIsy z>ssz849GfI5dP&0qrMEvqYY?4__f0QVc*kb#^HgXq|+bC zrudKLA!KSCPF6pD^-5^3ol$Z!(1aT7hBMNFdz+iM^Zwr6;aG}c$JnsDmIikb{9)yQ zdrR*U7+mJJA|o+46rz+;P*>&#thW`SLLNNO%NOMo7pL$qR02t)$uxXQEfSJyWnIiWD<61IRXQpcgy*CPzcTZqKFUm%i7u= z>BEhUST|_lBy{mZPme^jo%3=D3xC4QzGY_x;SHQGD8fX4R%eRPSx>}hh}cQCoRn+f z1m}a?yEO_qGnQV_Q;&a2l1B4LBL&?i>BpV|wd#nI2p-{zy;`*9ujZj?d{-BlR3+tz zAVqsEGK4N~EP>z$#oG*G4r9)xtA&)QYz*pFt{64Wclf66^A83Vo%sQwr7YZS>Xo0H z#$5TUDSv%&!J_it7Pi++xH_ey7&SW2RAB8Z5zF%k>kea7QpL%8*|T~ba|I5lulfBfvfUP{=! z=!K4=f&E9gJH@?i4Gkmvihz?3?3rsv&$a*gE|as9AJ!v+L!<|EL_xmKCB-GXw~{{o2qhisejXh9ReO| zR4%KCt~4?)Esf>kqE{OprD_3GC(L!>RAqWk8`vFa9F@e-SNXi4XYEUkfk!tQli~6`$D}W&1}mhpcY}YadNsY!4p{@Q)^zmtmX84zGJ9}ZZ3s+>o$po$cTBtI&G}tM?{Qg(4X%_}=FgycAC}7D*sM4_E%IR#z zB!fE~W>Qk>$Dp+V#dw((F!jcmU36hI!5NFbLJ~wh2zKGJZm3A36~SvjsYF3Rkp+77 z#YMu|YeYmUS57ccS-q~qLML1WuX^Yh6sECy520eU)LJ;RqM^LjP9aHvJ_-=1U8vPq zKfo}pWwBx{j#ou&oT>)Q#*RP_Ih_IZ%IN4Qz+DAa4-ZSSvt#}m+=j0LMivV0VCRhK ziy619Z){w6<5_SNE%iAu_N)CPalzysxX8L9SO%m(&>lCof^^2LCV8_QFI7}eoq|{! zF5@h@`JOShpwI-;4&GLnz~l#oF$!`<@w1g`!~cE=v6o z64KVty^S`2@OQhe5q(6}`ncmu2q)PBEM|q(HI)myEOFwDuJcZ)Hp&*gB|-F(7Chm& z`<$pqPQ^r1wQ7TB_5Ss)8?E(op4jR&0W+^#$c)>Ll3sG6Km1(n*B%sZsm?X8R2}W~ z*J4vO(mKxoKcp8XW7jQ!$LTUi9|02(1ruO~YK?|z9%w^7^FH)KG!$19nglB={jqtQ zw_c3e5!_K}r1$xyVDdGsiS z?pjpp=Wv0Nd+W%@{$X6~IG$jYoz3c6#t5HfvFeQ_ z-h_Ol_9j|Wkg)Lu55eK{4{8=Kqv_I*0&{Qi2wXdqwl+Lx3jn!2oo0GQ|JOK)Bso;m-YFh$JYBV)>3z?eoTg7L!aK4;Ld1gFuQNY} z9_wIl#(d%8Bg_Ud1cA>70(+O=}|&iV0TUl^O7r3Ff%`1I0v`^(Cwli z^A4;dkzog&tgz!hqFSf6l{-&W5`!VAUZE6ePKp+>C=$W+PyXkKY|V4_2Yh2A1Sf(T}VhG6A%Ub zbT=qhagan97{8Me%ulQD=7&0Wgvy`k2Jdcs{Ub=sl;}_*U(^5aVLM2dz8;2p{n{TH zeVm-^@8{>}5gn7j*WwO?*m_}EGebiRmN7FPDrRQAdUTe_RdFnSLcGl6SbhFbdZgnD zjJi>KQtLaAjs=3-JQ$C{4diQdZr#nDpbkC#R(4VIBf1N``!dQE$+F2xgtnll2SZ8P zW&n>VbXh+vOL?R}%@ko{W7E>o!YO2U-L2;v)Nn@Eao%6+hlkGj{L9d6-Awj3$;F*jrGE*y}Cwh zRD8ZFPIq2~dS#yMJ@=TJZ<1<%X1HtUR8*hD@9}()`Q8D^o!rM?pE%E&w;0t{>UmhW z8`1^O_=D2kC|{7}Ucg^G^@jS4FZ@U^yWFp2yMcpsOUf-@>W>~>{$P$=hpzW0l)!JS z!GvBWQv)+Hng6xk(TTf2&twIMdbXv)Y)=o;*7jR?csS5c%yYEmIF^4x0Q=eAJh?tb z4X4W$3>v^&jx5D%h{UOkOGlrBWFZS=!Sh)HP3)|b<3!fnyVZRtFC2dO+82cHH z26|YKGcXMI!dL(^*;@^!%a}5!M7a^J)~=s*Guo44+J`odxYFX^Y8OiRK$jTGg7y7X z;WqS|vLP;xjum{}@pwf=*TTRQKv&+;u@vG_*7D>D-B?EhvAC!7&vNQJ~SmU zf2ovhm)5BmshS*XthciK?QWguA#>NFC~&&h>wSYld1nR1iu(UPERA-zI@>+ZqP`&O zQ*pU32K=?_OQc=n_dFfmv+KXLw>Qf;qTge4;dUs2;0mjP0a^{Lb*mL;#`@{J$Q5i1 zwj)f*x?4V=N?II0i!J7C&`oie$;XLEya1+zF2qn)_=Lwxvi%hI!|wr59fa?=>{9DM~6_MA8=Wgy959gs^=8f|N;&LEBS0;07zsJNte+xIqKM+$_%TKUx zQ7`IZgA!dM4UPI9Xb6fuXLIf#x1nC}cV2wf*f?=Pe>fa9c)(Lff#4%$CA5FtiH7{&(v*m3lWsB+(6b2Ji8lePpkDZ`DLA|Ds!xM$_v;r|0R9&Z4JZzR$HR zDt$L|SdnkwJc->|^`ky{=U$lY`oksputz^UlR|5Iy~4~zXLbE2UU<$5zI^TN?riq# z^KM}IzO&bR{j%v}Lv*z>L)4>7hYJ-vZ=farbfJ@)5kODn)x4i-Vqox*STej7EGppZ zI5N@|!f*wDgfBEX|3puq?E(i-#t!CdtOz>xA1fe;fHH}XhbP>q$)%)}(Geg^hfqNp zk_fK|5n`L`4}n7nTF0EiLT!u;12!F&w?+prJ-Lqe5U@@xjFG`j6S^aA&Ak^a!N6pV zACFjTI8fLoqvEQ}mF#Kogl$=G66Sq8M1?arTRw#SgXsYbXB)_h{ebRZWnHw8-F|@!7t4>9x#utM1 zF!~ZO=)IK0#KfZMx3-esRuFG*Uo&=tkrhRxMCuv=55d%HBVAV!cID4C!X;r=ba%gg2@(fi_{r>o~D3IYNED`;m; z628EN_z`z(;?zWcf;@@L+P1|zBHsAHgLGo7hQ%(GTSDsk0uRvVRqCxk@EB9HLcOX{ zljx0cu=eW4zDmf;3KM`7bz0ik2wM8ODtQd2>1z2n{+gq%(K0i8BlPLhr?puQ$g+SA zUp)Uh>15A+48)pry|4$~MdXVo-OCT8HevO4?gqG`&`%#urcsG&@U{hIzO*(o8^D!S zd$6u@4VIJDLZ9w#L)Y>G*d_5@6jM!L?u7df?YgNbr^HZirokW>@nF(KzAgD3lh@$7 zu<+RI?0xv8Plecxlp}!xVcFtc2JKITxF@S~^vZN7EQblL+ks9GK4@up8DM#3-v;9kz?A%!lKyf=7s7BkGd6}Mfw=}!)(mYUv(t|% z&$8|Y&R>gUN+G)F43K-|Mb3cpK=gT9w(R!AWBNKt+kRF1aptlQez{DA7oGKEa5I^h z#F#F`+aJ5E{)~69=VS}Qh*E|o6y%Gr7K3fVw-&9+_Y&CT(dm7TIk&cWEgOvHEf4sto;OeR^Pw9ht?-v5UIRn2}|CK2q z89JGDAm#FTwx_$X?x2!%O7Xi{r&fgOq52Q7D1JorX)in-E)oj)j|qddGECL;5)sw` z)mRV+^#ow&8W=HTF1egY=kj&pR0L3b!@dlP%O)U#kYggeiU?~y86$bKLA}=rh(ml z&Z{xp_$t9E7eKjBrAD~J$~{kAy+q0$*ul{RU`0bqGziZ!vx9XXiDdIk)qn`bvNlJ8 z3BY|c$f>F06d@14U{T#`f%UA^2RhOVJ0}mG0N@a*ym)C%RBmRLz~wuFQIxLu zXG|yfDwSGoy39{HWXr5>P0;Uo%~QG-vGG zJ{g?M<7^Gpz{01l@+HNBx#p~&L-ofr?Eh17CG_52@EM-ToC$Jczciw6fm zKa0t5Dqy7;P=hoA!8^eQe= z3pgTw$H~fC*3^_03i>VsGuS6e?==m_|4Y?$$*}o z-cC}NXmY9SQIf_nvrAh?ha5Y5ROu=}8*w_Twe!15he$Nry~n`-F@)lDy@lb{k7=HQ zF>&bFr04~46*I5k!V!`d&enK%a1tOBYFTV73EeQ0<-mx&f z8@Hdl#)i0`lfC!c?w#P!+lwQlP0!5c@)m7sMI_X(B9!4OZr=n%&RfEP4ux54{oiwT=Lqlzp?v)8-e< zEclmsEj$Mm{2Fu#iM!p z+LDJq&FB3QB_ML{b4Gg$F~dZVmy{l1>$WC1X2ps-r?X_+p#l~DmlrwcxHyHv_85DE z0wkQ%s8|s}fUx-X-889Sw14zG_VUy}C>Z#MQ<33VCg5g_M;AdzC7VOYHhVi3+Bge0 zHM-$Jxs+%R1!S$$P2+Y8eTjC;ItTHAWxeoHqy*}egmI`pR|&MV;+2s-vWuU1dg76K zADi_}PIK>Hfs+g`4dfdd3Nd!>x;WF`HftfTtDK!2znt)7iumewLuk?M z2DZnq%SN03UO1P?(x=a#C+2xR*7^DEdq!raAI$9K&{zQ7I1E(GtEj_Iz#v>*Sy8Nq zHeK!S4baP68v0D$sH1#~%?W$)0RnZ}5Y56u_wst8cH);sB5TGH|lR})8a?_;TgF8f1wkn-}Ux~VuIx0uu)*QkH z240zifc0q#`^Xc=m%%#NRA;o0B^gDqBD3|lIELP=>HKPmqJ4LyUifAOCQGs?huwNG zobKawFfv_?mo&Unlam;pGlwHFo zt=N9amd5t3;uyofg7Ic;9Nzpv!ez}S-o7lCObf}zG&dLD$F!d#L2lo7%q{tH@1;zS zFCk`btJ%I(b!J|q5Y6&zdb(OguaNKiB9I~bI6YDVVX2VM&O62H^0x@0r0>==S8WGO zU3O|)+{?|bbr-mi)%_}EVuQ-He4uC*@KK?lD6f;T|rjj23PWuO{djPI?iJ9fiHBROxWUv-dxTL%WVXzk7 zYxFswh^5+dHE^b=bgG&{(TWNQCLszM@Lm}@Q94kuEO#NqQzf+^?+D!cXKJs3;VN1- zldQGOv2ZZgpq%vd3mDbssm9OGUK+|4HU+#{psUPC(V zj0rY~k%y|LUzc}mRUMuRq@N-C&e4pw(R#rrj0L~7q`?46>mT5Sn^~bZGvL1N{K;bU6n^AR0T_= zNqSe1+PnCuI4xG6oaz!35grR4!r;7-hcw}yyC+N{Qe&;3koXu0H|}VeDg1$D7VJS( zL|kH48XUJ+y@_SLY#kiq zDPMGbfSVa64j|^x(a>PsILr${4)iQFmXVanr8K)vaw(azd3(|?{Ui{^W(3t8Sth6t z=vKom%Yr_l(Klguoa z9ri%fkAga4n)W`C0 zYNIq@kGCBHm%i|bqZ>crHx(pOpRxU4H!W?=6NWYlCMLmZ#ap39kF(8O41(MwfX*7U6 zE)0u*5IYgliO17FqYH2n=7^)cfqq51*yi>y5?+e0He0s-ZMQwUaMuErL(&How=zC> zGX2oRz+u+#$gQJr6R>cgNfgoKn{^0jINLBEvaTuTYEgpya(#!GD*@#`Y(v)7(XMD7IF9z@*0KZNm9lA@7kClWr;=`0_kB0r5!WZ z0ebIqwbWsjD$Ng{uuaP$U#;gh>PTUNl<8a81MQjN;lS;vR}E4P(%w>Eo^Acnh0Lz! zW#trM8lHBO+1|Fj`c0jNM`sIa7|h8Qti)tO5Jbd<(%veSzQmrV60#xmbyKSiREYO= z4T&diRNVy0cDi5xNH^U1I4idnQQP1hgb_K;q##Ly&!!D`Ok(Dsyr)t<5j9COFtNP8 zbugxGnH3{H1j*GTWt5UT2Ko9ndYBT%lU`Ja5yhpmQYRCJ>&FDvspLvbgx?s6wQt}> zi*a6J8|8LB>A#ZnTtEhRhqdD>q97Ts!p>g6MzNeY@5Y^>EC?bA&TV}`gB&r=xNg18 zRi)%?pB!m~I`G-BN{#Gpc{zJ=({SL$< zaF$ubJQFwcLv?kpZ9iifwDSz{0lH0v;6?bl(+Dna(1V{AlBi}=(<^2`ygcc3m}Xnb zR`q4kql(3V^!tg;6Zb4zH4ms*u_DXxE?6YY&wm|T3mQis<+Q1#u6gKs5el+Z7 zocv#VNQV)X2BoUT5r?>VR_EjM*Pp)!_h^MPrPqb|rj1eW4hp`)nm{HjWRa7~U^Rhg zX};kn2nMqvO_2r252=Awt2b3jQ8vwUM4FqQWzUsi4szB>U0ipH=-Hsx%T)2%EDpW$ z`)+0OczKHouH`;71Z~=ynvvD zL$n4NbQ;Ax8pgHeWG`T(r-XG?KL}Bu7g~0D{GOj@dGNp6s~Q-}2k36hMeLF^>;)qz zCIxxW5Zx@oF)cliCtA}BOc1UqB%i*;1gz17+Wmjh$Dr0=0Ck;el9RIct{c3xHM3d z)g;ByOU$$U>H-32@iQQUOH}mZKgfY0BwX#IvmAUUyoG!JaIT?y-1SY1$P8q&ad8$= z^R37?Nfl}7N^<>xpAXh&6qB$Xr!S$#NBF&hlaCi5|G9x>?So}XEm)cuuK@rq>X-6i zC7Ok!a6}~w>r1P8TnwANc8KJoW5d2C5Kjg_cwi(EYBC&y0j+kB(4L-}@(S!>`Xrwx z%#M$p#3zR^%7-!R#k5>dxethoM6tS{@(V@qo(vohfjYCx5$KV2_P!eg)(Q^}kdMG~ zOVQtl=bs6pHY{vx10Fhpa7U5T{;4g!t1d5p%i5s<1Lyy*j6TtxLc zRYxN5>DvSA-ZiV~6KSVsh({+?74VX`6ifTXI)NtHN!@|X&}^8-*GSxeheDy|I%zZe zXT`4|WPtF@bowT(cew=xTKv2wX=0YG>fwnJHAUK1G`-dpOwjj3G}e_qqL}y!cVGZIC84VJpf7 za^aq?YZj0{TacUZ?Whmq{b7no(Nezx2);$dvUAD98!Rm0Hx02DTc$Tg&sSs%%>IlD zP~zPO3B}P@5Mef_I`X1Xw;&x>^ydhE3@Nr*MQ?8aHFIRlXiE-sN`OV|B9@@)%Uv&; z0f|*G{9ssiRZ{%ihWJ)%os}Hqp#`T+%TQHzdy7EeAgmt83hG~1{E9KCg3=F;jc(U% z)5TQG3bE-P=7D^R@b@k;73Cb_1P;UuQfV8dQo(mQ|LDMdj(4%z=KmH5+0UTI^5%J; z7ZFbn`x{Mny}BmO-yu2sU|dbSj@ci zV?5y6cL8E*)W(QYGmFQdyz)zY;W98ByI@|i2R&jCgv>yy z(Va`gT>5lx-Hj@CS#~VW4$h%wJNx+Ln(TW08NQ(o!=6m67gnqx9P9Lez<%`6Erax_ zQFOg9>8oLT(nT^GC6nkLhyePXKR;9OfR4N|Lw@`Oq`-Z1(WR1da>eQ?DE3?j8{a4)$KV9U|0>FCPM3z?-hK-!p!1=|VLd6i_25ROzOgY<_+1}Y=#3&C z?sM))Y{~ea2EMgA1EJ&PDm{M}mG0d6>;?f`DisQzo&w`Sr$ZmA_`1;>y1JBB0~gpt zMKfx`Ku!a)EG)-_pU<#^BR8#B$t^SkIoPAQ`ffb5%?mM*F&wHg%c$nTSETucTjg(| zX-2bEdXOelD!{Mp=g2m+N+2+RdyKv-&7TtU3^0JJY=N6GI9($&N7NtAd!vZu&LQ1J z!D$X;+ssOexCZoxy?*QeRt25x(W38WC+H?gOw`?Q#`)}WUn(~YeKyMRRH9A#s_RY= zW}HW~)xBOvTmyu= zD~Z0zQi2hhPAapds-+^PXTN^^f?&j|JkmHtqLUIBF=6KZ>=35x&r1$CRlA9JSZJes z@2Qk3rs#@TYr2AsN<=Pz2D@4m`&nOr?ADh(4x+b1c-SWZJbU`0C%*loo0F%0_1x z--~>M)Q@a!(4Gxh2|KN97UueiCF+etMh!4(RltNNG+t{kx)9w^G)q|>uCatdbnoC# z*>geZI{F2V7@z4pG_VYG0|7u?LeMk*bU!2#OA{(BQyaHfu6j&Ge#Ps@@+}SEzjL_V zPS`->J(M!mhOBo|CrQN~K$nT?hV=v~C6;^wugY71$y>dd0By2JBW9D5HWP5rDTt^@ zn;`J%L?~SrT{=9fZvCrjO-`d73C2AP*Qq{!SR%guMAAKR6S<~ONQsUqA|o7`v92)! zY&RiDNt zxAV@4!y?IVTHMh|Xt||1WHZAf-Op7+V7xlPqqnIf`LDy$x181y!6f)VBb`aVNWz_} z7k##oUQD2uQZ|1n`s$OMD_-_3U=jUnNzNjqMs1auMeUJnk~@*lG^&~<4vOG=~^x^3`n={RPh+RM6|?DWogFE8Q8-6rDsNvcTnANt(|6 zmLxuvMg&85-BOd4_E`8>R)yS9$7QAUU2OEs^lwL)x!;xK=E zJoyu{9j zbdg1DkB0S9#V8hv)3SZMZ38m;kdP3ie*H=hV+10T_(r<4tteJQ3cvPihu|pyU9AOb z_RB2~f(0>UoCi;K>Y6cS1YW7qbHb$|OsoYTxY=mpVqrHYc{eMdIFcCQ8JR)VUq)x% z;`kuFS&OHswUw5bR3DOIsIM046i7Z|HrVIB7S@5}s7QLqgOX`gle`vXiyq<|P6yEd zP5oB356>vgp`_EQp8TesG#R{oGREmSyP@lKx^;5m8?42syn+er@W$aK2ORR}y%9dy z5G_bh?hdyiCg;WQKIol4=8w<#8=x~OeT(?Gt!8&!PVat2lEz_d2f)@;&S1z45_ek5 zEf;Ue-uc#5xI2;05>VNg_ zgutHCj`P(ikQS?}s>s+dT*7G@O42{$Ur(@5G&pDU$~ek!DO{!|5*vGWer4rP{t@1t<<+({XI!ZT^(xNWY5|BeKhx3B!>aW*p2+JM)?%L!aiw#9 z!;gbA$c*unonOc?s9pJ9NXJn9GxW^`0t;{iF9A%Q9Uf>Te+e*&ej<-3PkkeiIc?aFh94mG%SyO zvtxtxN8c!=DkVF6!_lRy(inn=rSabK{@(?~p1$w0u$a(GOT|SbLZ%HkM$UT=hq#7; zU$=-+N_ygIr?m=RIk4Np_{1BuL_LW;B`~{!VclIW4vv9hAoj6p=F;+&YFP4X#vTdn z0U94$tvejl|G2M8mBwhu7QtNJQvE?ih+4=d`Xl(538Y-88wgtnxZB#>y9<63CGn(= zy|1i{wjfEPcQj`E3%*?=HihT54nx);EJ1&%xCa+3ulgR*H6vT|A7Gm*EGfCy_Ex~qjc))9CS9|H{z=BtCw*Te! z&%DIuZ9c_me_Ff7aGi7$VK@dT}FPG3J#nCTNPHg<1M&)jFB-KQ_iXzul3 zcy@#Y$JIlfc|Y?1F84+ERYC;FM~RjrslhL_j%RF!KO7+?_yzf)o_q$g6axd}-TU|G zswdnc3ZP>NI9gWZAuGnP&~bKF=XYi@C|4aKAy|ZnKlRwg3ts$A?Q^<17E@`4O-M)+ zEwa3T^q%in%NcX+;GWb;(ra!U(dL20F!WP?CY z2}-jyn|aS#(14Yeq7~-S3Oe@Q=@%YWFE&;zpoNbE{xp>T16H!%%6Fhe9nkrC#z_=H zmz|ZbD6OU;f2CWir3}Zm5M)=kZ*!lk<4cstKVtek9wGb8&f1zW=vwzgC@5DJ#Mvqd zH`I_w?SdORKW9ZPI+}N9)MbKsQ&6J|aagbZPXWZ!I@?1_75nC#S5%bjXM@}sW-NFr z(L%*RI@U>xL(!P|x$yh&Vqnp8c6to(MS8;mPZ(eoT%8!Y7;uX$ShUf$f?|$LL(+3s4-mxQhh4y&JHelZCJ%DhRAl z1XO(ANxi^XjELe%AfrlR1`PAz6+>Y~!3+vUvQxX%=M?t$-GuSi_3sW%6y)xJHDYoM ztUf3{>R=|fjs(c<0#QpggYpE_Ul7%8AP3)b!9Iwv9h6TX{FOfIHZT?5fD1060*8=> z<#2PsQ}*n`4IdlHmfE(q5D-}{(1U~{Vi^> zjnp4O`1r~gIN6bEY7lCcx8VnxAy9I$e1d1)-HoCcfojee7W1Oc{{>=-!-EV2pk@o9meyO>BMaKqhK6+F&K@aX~(hT1mN z=lo~|`~XnAiF4{~!bKKCGW+e@RSdNa9s$8Z`~6qja*iVi;ia)Llywz)16383vij}v zUowR;uGbe3)xDfnZ=uA#-#liC0@uM`AHaHi|KGW`h=6bN5%hlmJAh#A@EAZ0rQpl` z*?SFXq97k0P}TF@dYoC^okuVR@6b5JwZmu8*|lzEX12xl98C_6IAIA|NTvfF>?O4E zRh!z<*T-xhKD?DoOGUM@wk8HcORxxnD)zc(&s=Ap`&OWJ z-FtsF1I;3MrGX>{^YNAYx3eh9#s}TNWe87bcx0r5-kj#%=)gcYD)=Nis`cC$nUK+L zy;V2C4lVw-={D4>_Fprx=<8FA8Z6#%vbT$~1kC9AVmScdz*u!u*Uh3GyKD%)i!Ed< z34`p`>PkWRI(Q~(*lWOQU;N~W#qHb9iT88~enBH}1Hk0aKz4%G`!(Q+zSfi@AwW34f!@b ztrt^@?Qw*7lq-lkg-^V57<5$%tBg3qre9t(!i`axs!u;gM@iZ1Or0wN9JyIoPXOuw zrXFa2psonNPc69&Ktqf~d)USFW7MU!C%t-wQ4OC&gfb{aM8Uub7AHzTKue( z+a62rF5rWE`W5QOLshB{Kp}us1kBmLe;pp~@2A9poBLh|8RxG`;XN3Uy+0Y^BRm5H z$o9_Cv;RHR417Pqg^A;AXV~&sJp8#8mLEzU4I?#ea4&$-n}vl1+??kb*JEKfdGuE2 zB;!ZI@;BH=gx_9Xhq*`m(7+gIcA!K3%V&O^n^hIZFn>qg6h>Pb2^rpZf`WqJOVSsW zmcD=|1lQMO)?e^I)>0E1Au`fO!Qjg3-UxsWwCJ*Bc*aVG>sKI5%iN?aIEt|3KQCJ4 z$;w(E#64O60f~6jWMr$`+tR@&>yQ%&iC!nIZsbGFUzXO>2GGgfgiQMv532unEQ1^rpmsJphf?X@hkrkTb`ahS3L}E7k8&`I zWo^+U!B*4G6Go?s%_3&JnN?DPf}0o`vT>7IW?k#}s0Jl7q#j9;aMPRqIX{PQ)e+Rk zpc#iR6!afppMv=UZVT`XmaURx(ckavxGhuYIN4CvU%{Ke*{ERS-@R}o0bw5;#c)nsPbYjP^Y1y8~@An7$ULhP47 zi2pHr{U`7V!4(>#aGIv0ZltfT&52rY+cxucAWVzWViI+RT&aq_4557Xx2qNo-9 z*~MRDzJ1qe?-q(l%9tao_G3|Z5NtZ^*2cRx+yn@?fk zx^XT90rCBhkR~S6>R0#4uoOwK6yNz~71~POyh)b|D4WD|tZpxlW4TNdVRS$ye!19@YF(joj# zcXQeMBh8j0IPJZQNtr~ErtxE6zcRX685?8$TlcZ&=xhMy!3q|10`Gy;A|*w$=?xwu zaCZZ|Ov){gE1g^STE`f$Vm8C-=r_@wF>OJHb_q4pQSV@iXIA@BsaYKO1>p@j7MLaL z>A?x$dY%epMaKXI+JC=E5=ZPiR(U#Hh}?*Cg|Sj=Zyr2rSJ!P=V1fe{@>b{V-gQD? z902TN@m3&yZvFmBU!STJ-QFmKg$Ri7XgE1>1b(ZxkAffX6WFMR(^&~gNxRI0tXhCk z008=_DT@58>}-1?aX>@e1HCMYuiycmXYJ|z{eAm*{gLEkLKiN*G#BQO;9&dvp-N&t zH$afouxY zR!b+mB()9iK`=@)QTN?9fCkwSrt|`-q}A^M@C&D%3JpCygtERSoX_tuShECX2ngrH zyiia#rA|<-p*RemKbuVXGW`=A{#X^k6^}one#QPjFC8KCP>b*)xhgp@7nq}8fgI;` z|6@xFl-v7w6Ka!m{J?&UOF}YC?wGeHk}uQH4DoGc;84g>VIy@SC!-eRf>1Zw*yw1M z(auf<3Lns1A_p?LF@VehZt*TsMRnW~-O=dUG*)B*HZ2`pq6Z`&;ZnxroDdtPbV5K4 z?l%|#hTAI3`rNP^(ZHx)mvI zDfz^V6jo|3yhs+*{~VOck`cs-518!rWCHiXJK$W)&dq&|{sbbm%Y32!(l7qv0I#84 z;CWAo6xY?3w))2IE`l-k3Uq@?zCcc0em!@ahoW)OEEY|r{$o|p3Bb=Hy&G`Lz`TIl zdlQFIbz`pR`*m7wwz#6>$Ne$y!D5QY23MHBr{{j@+$~c46N0_tZc#=nNnwwdbnOggDQm6d3puv@0ZaPVPGwqS2bKi@(Fg2Su5W?dK${ zXYpW%fR{M_T}1^u$1lj{_^TI{i6HNZ#(;GIPwlH$YDD~JR5-jBRaIP5KcNeT<8$$G zS`ANHBNQG$IFi80SQ&*)$JE`L8K}V;OCrC+B@dDs^%;(uF?i8|6{Wuqq?876sA|lj zh6o-x+~Q?^!@lEfyv_3z5~^UN9_&E=sZfB$9OnBI19hwh=xmt)jERnp9vDzxyanP# zGc&VzG#jS_`TyP#RjlvUW*lUSIB>?cfg*Je<09lZ_fu(vi$qyKG!D*{a<68j_E>79 zYoNdXyIR}5l@J$dR=v=Utc&1rCx@ap1Fzq{{ne|-Uar(lmLf943Ffy-wz*O2rKKf+ zQ@J>weDKj0)FvS(`uhn$R!jg50Tj=)%v&bPZKyU-DPe%rVP<9y;*r$H+)>!WZbw@C ztaM@T#VkOR0r#sXz}7J$It`O!P{#nEu9fZ?P>cLSj*!ejrI44OPfJhF{IvA8=%(H=NeRvV;a6E`Lak0>pcg47H@)xYl2le}hIgrm?TYM{_eM zcO`MV$3(`zeog7)DA*;~H@WOt@4^xpM=fz+Hb_KBh=TZhSf05b7}%8xwGc>|3g8O{ z&qobJ+IcDwnHm_NOUGC%m4j=|#L{vX5?`Szfwv5l&?x71P8*0O;ff0gIHTkRk0E=z%gZDT49ST}DSRz}%X3QY(YG@a z@py>^Js$vorfwg(4?eJRcX#*qZ)$Fq5Jjnfi-(IE5EG8992`JKsRF)Uo}Luy$|f02 zIT4iQF)dhHvZ*8`h&fuly>O+TtP6Mb*kup)*wT`mlaMrgh1%kft=tOc6Uf`za&~j$ z-Q|sWsdR6pb*vBWHIQd9o&9feDWd zkXm^j5V8v16Hv>ZKyW=s$F>d+`-^HI{TXg&kDyNYH=0{mbj5%N0Q)_Z63F;GXtP#V z9oCa&7>yY%0pkg7-xL|UEu+8x{=M~>2i<2QI0l))gRo1d3WSo@e?WeMGZUs7aGz+A zL`8v0)S}gwbMG9APmkfpPp*{>+a0zF#NoBjUd_y*Xm+$ zbZ}r`V1NvTIe%Yo`&#?=eZq?XhgG%)(T%Zzfe26WpchQ<%*bhIc%*4q*5Kp=`582@ zFC!upVL{|%>dSYct*)+6^cvu^Ag9+ln*bgJK)EYewuBEJHXc``-UMj@&>_=;eSAb7 z=+OKoY_$QgYH}XYl#m8kBwbu;;7wg*5F8e}V*KyDtRNVP@nL?Pl;rqfg}moec}2w< z3=J?M>|jTP`UDTQcXxq$wgc=G0Kj4HgL5DZEN<{q*);>>1OEH4Lk4o9jP?(Zj>3K9 zJq-u>N7O@w8)`1}`)~}HfT7{!l}4k+oF0HVL45#pRlrLw`F~WscRbdA{{?P`3)!=T z?7a%5vRBGXgzOP2qaoQVJ9|V(gi=N-M7WHQNK`77nFtvvmFjn{KKJ+c`29TYKkobS z=;pfK@7H)f&pFR?ED>Y>_|Q{0;5az^h0B$=mY0K1458z3ZC3K}p5*u8ZWVVPKKzBr zDqOM9EYft%C(o0LRy{pET=D)N7@gJ{p~A)7N^_( zYNZb5u-bg1kXCecQ8Vh|6>)!PJBeCIu-SA7>5VmSjWF4azNWHr4&Aru*0xBzv`=$$ zHfClKk`$bD-g-Y_MdZ&FbG9TF#-E!Sn>)Z3G7Mt^6= zISz0h2)^E$Yz`+q=<+J}^F|7E?_lmgATbj9__(-tB zXi(jRmsWHpt_U>l3$t~|vj0fLPK(t)*eJhxsmb{}lEU+Hb1`~$aQ~3-9MROjvNBCa zh{b4Vc=#8*3gG>E(#dIJdYX#u!TtMs@xI65gG4noF+oo4{;*hjI<^j8weVFWDqY|6 zZ{fKR#$FEb!Wp9jT_-g~JCyp@A?5XU3g3mV>G`)MeVIEa<#dCW)A z-Rp#M>gv_2*ZTXFv7iK=B-nAENbNv#GFoZ?y?pwCx|N6W_Yq>y5d#rA{dT=ZxZv~h z@&-Uk3serV5%qy9lQ23I2rG4cIQ{XXkV@70vu8#Am5OmIov_>R3;1x)I|nHmC{K9B zJZq7Lc%8>Zf0MU>4X`1sK7-%Hzdh^2cvZ?~G_RfFj(EM2-wAvJQ0EK`3`t)_Oqe^R z$}1{*u;_bwJh2a1SXfMtkuqaU2El`(AOQN|%t$rd+l!BU0#h{5q=Uj?p1lq3x<6)S z|4w-4>}=T0Ds5fU*4Zg6C6&1LQd8t-3_QnJMnQoiCnur=AyCZ1^}k7BjZAwg3LjK7Gv}(Ss-g+nM`7a=b5mP#4URGk zpgmEMn7)G4aihxhu|j~8_3q7McL>)-Kh&SdKvE&j0^wJ)Gc%13A3{j}2JNQT*ozlk zyWcfmV0*DYa_ISUxI<=dCu82i0SjceC!AZhT!Mf3Ys2DycNX`|*KglSdfQ|BSy>fp zR_Z{74RIn}2ymj}F=vqRUAzSqND)PYahi> z8(W(w{$Uo ztXqdQAV9jy2=B_`jUmeeixCPRBGXquiVc%@GIk^?l`0O@hMFJP8>2#?6~Q5xqx> zmaFMOeAs=CI#U}P6lixKGg|@;WNv2W^o2U$p$i7OB^FrkKjD{-kkD2GXp8R4#m9$~ zhIhxhRtRZHs8YAvexU}4W`EWXhf-^jS?yndu0J+5 zly~p;x1Feww_#*VCXMu@TAkW0qIdG-?R)ni5)5m>><=(FPl-;RA^3YqHGXOD-;JruOiWA|Z&Hr=sY7%0pqJN8K%lY%2-7Gi*k%W? z;wcVuBm{rWX2!-#cN7BGg5-daD->`vhNPDejQNdwADJ;Tm0&NpXI!Mv z%@voIKX7}Jjj$l2M+St?(vp&q6U38v#<&_qPS9&z!{|L%zGKzsyJydsPbE^{k9}%k zhuOaKc0r$HwvBakxZqmAfne@WZ-G_(?!oCDHD`KVg^Sg6 z0DKNw;mW)V*Shf=5qyWM#=JY>0Q6D*5Fxsl(9zPWO43N(t*a~MNZ{AilR&-u+8JGl zL>7as;2Yp6S|P{n?HQeNo=%_&#Fc{xdI-4inB3n)nou!3y!5Iq4J&ox2|g(j1aNFZ zyrS&N8S$AuIU3Lqlnc90zA1%x-c1@u+GjKd4R_Fk``S!?h`6v~a0zckli4WZ1|(!( zU`UI^;14a|GF9FOP64Y8fU_UviBd{oY+79ne&7*7o#LxjRb25p=5mWn2_7nKKQZcY z4|jb+I}tWOd-v>#Ex5jIMo4?~E3PS5drI513l0uDSaH_%Ef)SlNNLTNeG1m8Mo|Y? z^w67v%e3R4G#-4!nuOB?O(IU}diuk#2mx}6l^zlj0%NF8@_Z-8u;Ly+76Wa0JSm&< zj+fn~4gEGZ!>e7S9_JI)cZsnnlCv|A{8yn*0i&ZUgGaMTfDxLYB(YGIo#)wxgDYPp z$I~4~ZZb}HymvHM_CR;x!|)crJvlyJEqJ4*rR6sq*+yQxK=EAMzriL24H=FUxFgMf z{`~gMo7m0DOE|>@V)H=aPo)5D+KYDg!{0yO&1E+++w^Vf@&Pn1q^W`56$eR=cZ%+t zbcABdUtgyX1+0Sf%fAzKO3)mmI>dR4;Ufa4kDHqaGcAf_<3opP$3K1gw79sPhsOqb zZD1!T8$W!I`%WJEuMlwe)rVy~X<+Oj&cQ3{?=Q?=g#;b&p24B_|LVKWT#QzYW5K|> z%;)6EnId_DY%{3UefwI#5m%D;3*KMo4c^dscty?e4fwD}-LcuO4WsazjTE=qu?SByMNkyRv zZ=jp2dU zuU`WY@%{&0U_vr5D5#_pRVAE`rbSuf9cM@WKUDqr5K*^79FDOP@X!lUJbmiE|nU5so#~ zun$c=?i`Bms;D@Tl^{*R1;FdQfe+owaSJrWML@NcZ;1b^cKE<#r>3Wasm3i>6ujKN z1=TJVrfx?us@Mk)j;^K@<>j4lbX=~I?dRs^1_XKh+u0iJzC7ywq_(AavV9$cjRB92w7S} zW(&qtpFLatVP~CCSjewT3Row_tS>C!+7p-p5`$J1hZ8`swdG}17%jjWotO{v zftBYG1mH@biFc`}1jN1_8j9d!K6MVoESM{w8CV0SvQCb@d1EANoWuwJ#O`Dk{PF!h zGbPe@>^kLrW>^R5Z|)z-Ks2VF#ipQU(%#YLV_==g$;toz7!0#6`tG4Ac#w=r5ycpNCEk$9P(&v(Wa|4Ua_ z*TNzIdxR9Bt9aDP3LAXL2d8jf3{R8qNXy?ZD*6%UO@gHafTe#W9<`w^}F{dGfKb-LMQsADn!JIV%7mky1a)FXGm2-oPOUoEbX~YycVt z-8p90Yo-R2he#(=b>_-Eu^`2e7_Iv1;R zFwVUaedz8X&pZJss(6zd-R5~u7^7yF%X)fx9Lan1s8bs)gOBQb<7##MNuL5cY2_o({8^CZ2|H7b6khu%5Y!ut;&^;}om@ zxud4FwUq?G-$Z=?KIGCReY9%PL5j(wiaWHsjG*l?ee7*TMcWKCSm3~9KsedpxtWv`w?opDiC-_aVNZ$=!1 z9hQup-Ew1;KsU?7&T-<)7cWQ$wVTYw?Fa9#D7y7Q)7*Mt&Al`anqdZzFKh2tk*dtwT+UCiwA$1( za_ede;@IaeUABffub-9do2-2dW{RwZY(2z}bu~C~O zQo)4$fvb?o;FyYxih}lMr4bWjAtAp>nw}kd5Auu?NminP%K}uvV0x`Z{{a`rB?<_j z@__!NoKcnAGP5hA_lnOB@hwVOAU^VZNli+lddKWS+$|RgBq3-2P;d3L8B1uPooI%oxr)DPWZQ1cn4w- z9gl8C{rN=mw#+YF{B;@ZSFTs=u*eoXq+h2x6E!{OF|Dz`pr+M}%iX@CFR;ng=tlOY z5|5j!tFPF!jeVd2%SSp6huVdUPZu5#Do;Cnqjqf+vlucYJqTyl2zx|HAlSB8HfZ+h z`9D8jwT6OFN1|%Dw#Xgm!YO zx~rd0w8vq7j;q?)Nf{2I-@{VmX+%;idGN8b!~*_2p1SZeOe9P;l@Z8-vkocdVrd-< zl{9c`mt?Q?72yVmRuBt(k+ zd(0=iy+Ib-t*?)!hF%0ggf7WrJMusSqj}T+@3Xp~NA$Dpe0mBz0BPAc!(QA+e@k2^ zL~G|4v7^jouNw{&bXCC*m*en@`A;%`Lf*sAl{luhkduoh>OQ1 zCL#wEP|W#2w~|5aXH@p-Q75cjjWzhXo#2L34GasSv8 zq~`Ea>3L5wkHVm}Qy;t7i;gD&Os{voUdVcT^lQ>rZ`NV4T9x1nk4*nm^RYfXd-ch2 z$-&HPC3k{Je_D?@@Dn(7TU%Qo&`W-FpzpO3II;}>J#Pl3h9Phk*;!c==QshA?Tp9Sy|NDX+hTvW&6By zN@_2wj=p_pbR{i)N?3|VSmk-<$gf6!C;M9M*mj?^zx@n26_i9)uqzlo>&}E7;hFHG z!$!?E?)YYINpDgy#`n1I?H-d@<#U{x%-2)JoHKdOxtP*%amMSQvxKY^75r0JNZ_<4 zH75y}LOYJ&3Jrm_#eSp$$S^9avH#y^KCp0U63U_n_(`^6wgt8XTP{M%RgstyH9yr- zcj`&~JhiOM9S0NEq}`;=&F3!=O+WUFE0w3a{xNE*SxE6`3(!8i@53fB%4CN`&adH! z64OSNlpl#Mm#Ytctomdrwa1+Fe7otjk!Z+O5EJZ|d3 zd-wXd^slTY`Ooax{hAlJ+)bFI=EvQ&&HUnv-o`WrXNC#$M=(m@*LP8$Ic5$eweAf*I1h(NE1FmBm@Ycw*@ zuW;^#W#K8EN}GsSowT_)9U-fP(j6;XdW}=x_Wc#D%5+ua!r8aB<-8 zqnc^D=`W!nDS4%V?~}4GHnGR-Iav{ZnWyeI(SL3F!f3c%EE@EA+?;N|o(y9UDGWCmeTjkkn>9VnIqQpM+h9{{(!8wZq zu@5F!U5ESyC*m50ZqLw*hKCyQQ}dena8>@Xaj#ssXE(r^X8r8}#cO$Co>ha_bLo3# z{q3!X47?M%Z8Nmy8Qs3UGb}VQ{C7o3G0YK3B$ll($#n?uWPA+CHBqk0gAObJdWuz4 ze6ZPgjE)#F2a=LE%gS`k;z{QQ8i`5uHwWW+H`9Uq$?{7y9aFZI1R#XdUt|GJu| z(pyrIpML23+Lg{=LmwN-HNhP(vKQ`UdN&xSmT8$Q-(fe(+2=*06jJ%sQ^N4+&(3VO z-)6fRm^kAVx0W63tFq&AZ~2>Gs%QWDd(Za7bdw}piAnCUXC}}HLEH@DWUK~)WKdq< zO#(1be$7->oL{pEB6Fi#Wo7H=N?*TuvxLx}C6bVURD1HqWu?pg@E6$kxtrQLbWqaQAj-~v6 ztA&^J+^A^I;kWXIosFOW z@PE(Qg{kn4w%gCJ_@Qvu1Ap%B-@PnUbJ#b5e$-#CV&|hIIzaRU zU|1RH7O#zjqe_lH3RZ)#?&QUWHfUg-IALw=f0ft!=dWLIXM{_Q02kgraF|GaaC3wh ztwx$;duBdGxOhb*jZ=_9aWG=Gwz|q(o|&aI&IL)HnwlCwBP2Ng!c%7^S=dC|p9*qz zE=eL3srnJoPz($(9aT+lCruIfH45$9j|<^iFR%!VO+l&*kQTlFe@h;#ULiJoo+wK) z*G1YFz)y)?;mOXoii&oWD&iChbDqJ~1oR5YT*1R&bTH(H7S{Gr@DJG572g8BVlH=B zi^}o3HI%(F%(TUVP0lrB*EBxX9L>EqE$h2@|Nic(14#4(&Wc7JuM6VDO=@0>eGxVq zcSO$5&CfT%j}A6IIv2sZ0@*%}Gw8}LZz$z3-a$`KAG;7GDoTq3A0-hxH!(je>mHKZ z?B3%cv?5{bB?!8`YHBmHvr|pPU6;Mnu|Cm?;y>M-=zUEM>Ss}CJKezFXC}WthY~;* zIPo%39CvBop<4P{-6tOaM(OKsF(RyhuOKcN(AWb>ASF4_$5cV^PVkF8BM`7riElD} z28b~rZd`QBp4^}!xA)Bim0__yfBzm*loZzBVBsXv-Fl z!lD-xfd9F3u>=>kz82`fS+^$qx|-&Qoz}BhUK9$67T%JUs61CER!NfTL=Pkdt}VT) z(Ga4j^tE? z08Q9+G(4}_B_l(UGi^Au#mtY7|5pZ+PCl0ua9e;>0Fr249C~vAqb|_d!PFI3Dq1Q? zOQ;f&Qn!gtC9R>gb;r}tQcDX90s{loXJG;~A8pOd{4gt2|ILXRV7NSOoRXDRAe8W! zz+lWk4LJAdQ(Mq(an5EyIr(SB0ViVHI{gM2Iq@nr1+zfyX@WJQ)HB3PY+}wHb=W? zsr+Hl(pvD6TKPpVu8=LXl>(ChK0!Vpe-9lDNE_MX%?3dXqEdd|eEydncTwnv$gqg+ zGA@0h^c=A*8~x1}*1moDaG47t5F~k@1tlMhE{SAxs;R;K7*+EMN+LhM*DV(xEO#Fl zv9Si$|KE?7FMY0ztn6{fQd19B?BL*_rtP>!RtJVJShWepAk3yMalm4* zwuDhiZt7Q*+fwsRPP$n)Pbu`UTomGgSSadP}1X;OOGi7fd1c4p$^M3 z+XQ8nhWJ;n)2FupX^4VcG?pHooiO zIPL0|gfH(~p0FH7I-sn(_A~msw-Tdpcmpm#`*G}kN;lHCtIdoxJGpuQ-cGu%r7zGo$b> z;So6kuC9l;aks(zgyph`FLQX;ZxlUPk{@ts-(FqDV}avJGYX$pjsqiKCIA25L}x)~ z9Ul@7lW$NsBV!Wc1Fe-SHBF&^et4vZ!1jb$w#v)Lr(cX}ae7*(0N8hBsum{Ha&lf_ zrl!ryy5Uv+ecCZv28M!N-q}c`!71`!KE)PMSdL5J+Q2$AF&~zG~4Gw7K=; zw_TmE^#A**Y_Ed$YB<`JwDK# z|J%X!gk>swL?hhC-NN0DD+h3OXQwMBHi6s%&KD{exA5_?w6&G`MzLfS^Fe3(Hg(MD z`9kxg4CN%QzCY>+0m8lL%NT@E=9=nXL>RBz;7`Je$_?y71RY*CDaspym2|xYZ^~UB zZf+!IpV)R922;ATA3r)PaWlz%PvFK~qK;pxr$^ho@ue;gbm2h^>P|wI(B^RuLCaV)iQG;)T=-pQKoMnxB)?%EIFM zN~BV_FNT9q4=$^Viqa%}`9V`ZQu933)v5Vd(o<4m$zFuBaYh7u3B~YA8AJ-H-x2WwUV-dl39 z53}wku_p+_DtxjfBh1v4nXCH$^WDSA262qHxB5mvR-*&aMdjG;8A8G5sj2Jb zwNSgaMMN=IfN_9kEtg?BvW4%+>iRnM3G7v5U7@P%q!k$}5J&ZaKf6-LTv zU2v#n9uy6y+YO;h!h>{bRgBb9GC}B9aPQpWvFDVHzR`E@D(OQr-0nr~ivO?RR|M;{ zH-j!lb1A$})Cr?Byu3gtqi7&T%K7}=i1eEAaG@k99MpJQ>vCyygYMlX=9thCI|85KSV8m46b-j?TSX_52w@{v9FCtT`&gkIcKNtK_%b%2i7F2BKCeiD=& z|DtaOXbb%CQV6+2Q{ZZ9nu?+TvS25we9C36C2w?NHnz z`5*)a^{7PGjfY>q&O`gi$9J#}wHg+A+!P2%6a~>dXpx+|SWQ(#MPq||?tARVAr*T}pQAe*}@PC@n2?oWSjZ@Xl2Cmd_TYFh!!@*yVtAgvAy zI$2b+D11X?oMd(}?25Lr4h%&dQSIXEI}e%DZ|Fqu_j9*!m(RDxuV;=vUHtpoB)Ij% zj)q)uenqz0DL!8H{B_a;xdDZ=WD!n_>;pfCXt6=;3eirjc5FQ$|C8p8(ErXYO|eN0 zVmU~J3e-6HEwSge2|pr@#rC4%y4DHa^&BZ1*QuK)3mOkYz5O8^k6R7MOpo3~>p^Mi zZTR8#F&4DJ2FDM7*N7a%?3Uk zt>C&dVtsMjY-_+QQ)tgz5(UNSMUg+<(#`0BWn89L;=L3d^NeNfn@Tr5Z|Ig@yO6g9 zFjqQGBGhC#Ige}HZkipiuSagh*qE`B)nAh&zx^<*`xF!UP=j6Hs8*yPosNw_6K8zJ z#);pzn^jaqlv8w&{IQd$iZon5uFv^~!<+)zqUHbA2I-OMHr2=rFnBF~Xg#2yP>X8rk;Pv*-=UW;X+kuv;!GLO z`o_8=H|FoHbXkcllmwIjSo~d&ACpAT8pDO$sp@CvNAB1^#4)#}X7r}(>C<0kX6`}k zg4TM*hUpDG{Xl;|xSW~Rk)fj^m}Pu~RE-QeoArmMnaJ`pGldUhp-())ehaY#d%ZIQ zUCrWwr)O`4KBL@?Tfw&wB{;cVCO>Av`JVts0wAJq=wVePhpgiftFUF7CJptiQBR|6N2j{ z*(t3O#aiZUSRX!oXmUmQ1d=d>Z}1qpoH$_^L`g}B^Q5@A7>_UQ_(3UheMCQ!GU{$% zej62ye=f!paC(9FMG(LTr<0~;dzmb#7Mq$omr$V&yhp_7H6aBr7qIP8yUDLC4%=qK#r`vcz8#H&XanCB8k%;6R2xDs3|P#@kRq)r0`AVH3=vg|cEI`6$a(-LU@!06 zvCQdCI|csM!jhu#rKS8a4aJ1>c&?N5FTN;g{kJHij5-Zqf5SI8n1a*bf$ z0^=dt`njyH*u5PKqjXmQ@toKUDwg%Chf*10b*#F$+|0hWkCX|Tv_rJH3m7k0qLYqk z1gnNyKHgl`uA$gfw|oae$%6~`hcw|$wE&EJbF{JT3Qo$btQWvcU%dK!y&B@2r7vH$ zN&>sT^zu5bbbHvwQE2g@?!(;z-5bZWPD-3gic>$7y+f-badPw0y>pNYlv=j7hQCc! zTJLf<0{C;(;ekVe7DZD7#Ee4A8K{^G8UO)Vzi0o2h$EDDaZkK z4vrzwhNcGUts7Ejx$+w=Owk^Srg>Dqt+v?q7!qM^MfvEjrt-7Ty&LTN%&j{M}=Run5grGzL+kvE{Bs+{HvC;AmBq3S(iLlR3k#%Nb;*&y^xH{m1X{H5m5`NE9xY)=zHl#hP97d;yj!IpmTi*y+BCTLD97%NT7Ny zo_PQaKRuDe6Zr$`@8z_bVNZH}&-Fm6u*HYQ9W9gS>B+N z>BVFyw_a;v6sqm%i;$Tyjbp^5m-SRyeq;xksEvrQa3^%pot@0fVj?1DR|~&r!fTo3 zmXGCu5lHyH1*`I0Ic#iPDg@Qx2w^7=Z$m293$6i1By^SzCRt^*mLxEM3 zQ0|1QagdkPz6N^>lwy9Epv+r7oRv!+6p*TZLWOBE;_LQBCDZR#!i24c6M5*B{m-7g zS5xDtRUCHyW~b>JQIYjxVp!3&-s8RImKR2^(|jABK-IcZ^?s}PNpp!#e9PP?$Or%sgD}&rjNUnPn^~$*qYF54=bq}?&4*up26fA+>{D?=kA=6^$Un+; z?F?YYp>P{FilklKT#kuoEv&EIFS?&_|0w3^t@U3yH!?Q1jhFW)eBSr3k5~-u|0O-G zirn}TQR2lrevUZ;lTeux0Z;6vBoZ<7KYu>i?QF!+W!nDLfxTbUe}5fD0@#>Tb+aPe z(`zsL>grP8Fqb0}gLcD0@Jf3>5oeDt&o=$H6y7a$Fw^8I<&b;v{0$5&rs=wYy595n zY-aO`!x{QuVXbb)ppeH_HS)c*Xg9J;PFQPm@t6r;0F_;fV+k-0(n$5_+sjp8-xj*4a(_2 zqYAfmQxN`a|yytFD!xZWnlbK*?AyctW|*+Vr&cn{0Psk7G$yC~~*d zcXxK0o)03QC130992~rC)P5g5Sn0K28(P5ze5;M1{k$~yI?C*Hh8l^xHy&ggJ_i63 zQ~7e=WuT_TDjTOyojUA2o}9Vg^z)zr=Oc#+`J{%TBalbGbXjcJ5}LEk13;itgWBG` zQwfugHLhJGy|}KJT**fIamPsyAd zvBMhVKYsj}9H6KfUVBvf%*EMBfa2ICo-cFTwxqMB6F0D6fB*U3%C#ODM^YMt07T7v z2A4mX83+`}M{h))P$m|;P2f(je|Rq9U?(3Mfu;pRDi-+WdOA&@3~6gT^Ag*f@jAS2 zzWt*_qK<3pPxxeh5pu~bQ3_OguZh$~1Wk+re5p~Bi84_mG}fL z;d#hcikf^h?+E%ijP~}%+sN+PwQhDhPF6%D+7>Q`N~Z(-Jm#~3Iqq`pbW1!a+)k#z zcXUrl-5KPlmoa34gCfnSHmk3PtphG^{rdc1QSd5V#0vaJKm}qvg6<7WlY$h}Qmyws zdHM32S*MUab6l1+SjsA_~8TH~b^;|0~h9K^y(1wtv zjZJnk)xg~Rd9j;QBx6j>HI7B8P{R5XV)UoTn68o!sC!z3?w{inUBLYt;Y+IjO(5E4 z2o+Yi09F^Lf1K^;jC~!tE`2;=_EL-jJy$1a-3>cM*{YJ==s@cN6RXj}CyNdwjb7$#=O_Odyf5Fo)qL2ZUwV)!)WbLWPZ1_HzR47DTI z>8%aM%l~*%?WA4p8tClo1U4;6OPsn`pKI+$SfF@|_glG>i~VL>9LD^9Vc6yXqFv-# zH(8sYwLU*M+Z5))D8=a>J{}#t^p)1&*2HF;#5*$>ktoOT-Ky4=bEdp;RWn*5!Pi=)Wth!si4)hNw#0^V_YfOW%f2KGU^<~0cb%L__ z;6QQP%%@?@bnMCUf%;x}0v^JjpI^0plJL!4jL)K!b=^)W28dO&lDGo-F}J zE)*5n6|JK@AeO?o{AbQ2Ea;}nJ_ue;H?PoqS^;lII;X%RhdT6E)X3K#j2q2}iT~Pp zM?>DMRoYn9s0OIz9sq?2r295g!Xo-<(+HuH8%aM7e^$9}KD&DydhH$WAx6T{p43@$ zA3!Q_3Yux5I|RaTP0e%6E7!7>KGzDKoV$1Gh&RTNy_}pPYJF$W$TbvJI#~tUcAt)(NX*fczgTDNG{-KsuZzP9JqHdfa^SU zqSm(7I_oo5;3oXKI{n~NwDAgC;&mV~nlAdd&W2?~m=k})&EFD1eh%CnFePSu=V>S* zyhKPmV&1z6ps_*dgWC3R^VWTr7&p|9e;o|_!*+LKCj(6iEg`G?b;M3d-My(Yhx)>B zNOTon#0Bv~>w&wQ8{>#KF(Hj(mAF9IpzM zf7|&FA^Q4kg5w#gyYIN++b2#=IKMupCnR9Z(jYFYqcil1ZF|xq7-rZVRF5d$CRP;o z`?G`Vzy>Sf(Y`jK4n08*fIM*Qkd_G{k##aw>3;4s((e8$Tv&^Uf=Nkypk08nR-UwG zj-;}r$UHK>qCAHowZ*I$mWiKep!6>D|Nisq3#HsKYwHVoZ=h$SHH}h@qolqJJBo;d zznA2UgG%&;0+=@-f7vB3FYw0FXX(N5QL#Z6YbedHF@%IZhUW=H6jmMycKQ z&sQJGYaAN<*6fEarnix5-gi$u=n#^X1MeKzykdO^f`lT}PTT2sab& zmA6I1Z^ZOU3W14<^Lv+nKtL^H4&{qViinq_poQqYWSj6UFq0kcjekbpmDY+YWA{cE z5aw;FwblFX8=M1bhWbrozk&jbzT4_!ErFpAABwzKzW{N$rJZbD%@+po#~Vzh=@^^P z`Ok5j?oBubvBoMC&(7AE=$3c&yz}eW_9Z`0>son(hp;XQ%pMR*f5rW)VN3A*)Rc>- zX9LD|8m!fhTU#6G2NYa@L-twRM;q$eNl~hjE)fIp1aR-46b2;Iz^u&>rh0bX(xKHk zP0Xbo_{taaE5A|CIzK#TrwhujS)%xfk|1xowDkIhFqmO4&%`#!!;%TkwV5NPBhaeA zJ2Gw)y**U&(dmcR(q7jiv{@mH;A&nXVdc< zo7uz~*Y-pICXy5#AHIE&()5A@_hI3L>vrRj>U0wKEEE2k8=0B0EE}BJgKp^n-Z}63 zWDiI*!*KfJw{7mm_8+aXRv3p5kms?&cV&90L0R9Dv$1+_9vw5Fepx~5)Hpi50-L>Q zx?X64u|7Ntv;s}IxAH|+L@$NB%Vd`}PTi{d-Dd~GxqyItr#cuJb4_<;$rUQh6P&l$+1cxHZYq+W$aVS*&Z{-Fs;AI*KZJOHDEq^&b74m-5HBMOTND6EFEGUgoWq z$_zH6u)A{T+A*SXd&%mM6&N{F`MXpWd7}xuU%XD7aJo+w$fLSJnc;Eq;@&g88_v?H zf=hkY-NOPlO?+W(i;zEe-f#iyhBmUz%P!6gRwU1E|FjWRQ_BlD@blA7A22#%f4A5c zdW+Y}PsQmuGRN=c@;Vy7lVL~l_sXnU1!ju^S*gRRQGq7gaz1%;9Z)E!)v>v?JY@NW zF7rQpuu{yPs%^X%j&RpjXh|b4@h{?^($3NjBFPZ`b+*sKX>V71CKO|CEa@2wCvY#$ zy;I_>e3WW?_OS9$u zFx$l6cJHpEtaa8#p0ySs1anD{(qJ+$@6**k&jU-@B!!Rdwu-m5ADfQp5|9SI{PSSk zyPF2K;cd86Fz;S3A9(!ud-bd~?PRTZEx~7CpN4uMBpubzF|uhBE!3WpdUEybxpR>j zlRSuK(WKHTmsj1~i?DCzELnlf68%mIjXQO8a=V!w-kH;>2-JLN3=rGU@Iz^-vr)jm zef#Zq+7)$y8C+3n)UzYBXMl07&_fEiqM_QoCQ#b$o?m>^CGMEi(AcOTG%gX#;3MK&DfcUkl2L_|^YV`2 z^Ji=ZKSV;53k%53&-|0w`^pjOD!=9M)jb@>F9R=gx1RL&ev6r#z!A-=fS5}ep|GaL zWCHsBV@m`j5k+5aeE`&#h$P3b5{!deORuE_cwJD^dKk+}P}0@5H70Fd`*K#7OLPSX ze*gZRXMjZSYXi;@6P28V69niGNhzscAw;|8+>W^SwJuvMbR3RSHOJ89QK9n&$?$SR z(9PPgt_Cvp%#jRPS=Y{E&L(9aelMLzS+k0Cjh&pWk&%KFZYCm=dW#n&&)(XI6OR-h zz{!H1wkJAw0%R$e+UPXr3CyTT`4)c=(|TbO2>j&xz4G*iMT4ZT4_bd88u?t7bG+m@ zRhiDA5rXf|unpQMK@7Q4qt|_RjKBWCaU3DVSjNpnlxZ+!f}gsHO~6m=1T)t`#3WyycjnpHcav0zOos zqt7KwW&8we+P@SS>_->1%rYA}2(G5!5!O3I4A6x*uA$GbE$S|pCBX%Gc|0G@mMAR- zwY_lbMD2r6uo~k4rJv_^x>E)xEsYbdCYIkm-ok6bL{HE9<*#C7u4IJ89+jOtug@OR zPRY#9RwT>&&K>3KptLd&7kKzab)m?`ClEnfDyM?c?g_oRVaA@o;_)lgKuS_b^jogGf< z&YBxx02M+-vRSbQhC7b`PwrFbNlrVt*wy-Hy;^?C_H>JGuGmr@g>!TwyB`ujB5l_q_iLM<*^j8@|r&%z z7O)G*B`HVx7S*;@HaBm}?=?~vWjq|Y*}#_F);f|>oTywMB`ZC4JBXgwRKyV@9anar z8C~(JW|8L!n~T`%Jt{%t3tQSw&*|S;J_EE zg3;`^DU`dwLu_;HgrM&-Q(3iNR!vP!N(xiZeW-?JSqdpzzFm>ouQv%jW87l6=EqPQ z?(�!|{4pNXp2hVvby`8h)F( zI^LT<{}6+nvr-fUk9v4g?Cq*ka{jxWDCqx8oU4gcG6buHOB;_Gw=vKm>p4vWSVYRk z*vpsM$JPuRbhk*fQevc>JS)0yS>TZ5o9w%7#uWCWheFP7cRp>M?&>JF&~M|4FmA2z zQJl{eW0w{WMo+fM{Wf4Jkm~Q)U8|QzOWmuZA>My*uZz;5gq=f#$p+f(@tL?01P;Z} zR_wh)kuZ5o=YAi(u9m73BrP@obIh2p(k2a?PV!i8u!I%6!3m%qaB*MP%FmF5Le}_~ z6qJ-*qFpy6h^qcYl;)(bCV+8D=Bw3YAFWWq30@wEueyzf#HTs z`cRbP-tM)l-26qIn905ZzM?MXew)+^y>Jx8wi^B)+qnioxS&+AV8a+qWxIk8$C4IfsL~L9tg_PWZG}k4I+g z`E!3j-(a-kUnRVlrQdUOy2JP^NG8lz0icJtp|aZY+*CJX>;W4yS;~U!PN&XdtI%d4 z6Gf+_L^mmeYRzF+gSi|_qI=SGhSrYN*%b2sxBNLqaXfAq>W=uFhQ3=L2v#{M*m4PE zjnCL*P%*YkP|4Jg73ut8Z}%&qwtv6*!qI7xemf=2N}aEfQ9@w8w1PrfaK+KVVd_Li zX#!g%D@#N|XA9Wyy_Q1tammRuN|;nSlVHH$!E$Z;z99Am>Z{`t&VdvM!ZS@%#Si~J zwfEf_C=em`*3$8x%3PGxv^~SvuC8F*`s8^!okkP18Os>~F(-q?RBFRHuH8tdlc)Uz zd1bEuoZO5o!`W$*{*MmxzkA|=ExD%W=Rffn6i8r_l#!tp_sm1}#hqPp?F6r8o5VFy zj)|`*IUb#wtT^byl$iGQA~eiP5djomR3%@utHo!>1Uyft8myP>nmACBvaK^Tp(DvO ztN2*m^T4>sbDo}_(6Zl{spT0oF)(1>u4CaS)_x8aT;r8KFFR7N-FT*QNbC#YFO9i} zlai8Ba5(wB<04J{F#Q;RF+5CJ#m?D1ocmaHa(a5?RfyABzXa0B_GURTLfyGDj%1|* zHIwr})%|(x{ExUuMNB`Kt`jEBmLb=rV)q4O$1w!Y_He2SyF+nz2u2%Ttx58T_5{eQ*rQM;}NAC?FKb=0|W>8%OA_^SS$XrYI(%R*q$D17`pY#jB1}X%++$9 zUjtD$T|M0pwZcUiL{-$nm$QK*$TeT zzYq#_w@ybxb`I4zJH2rLAkft%g1+NK!fS!O zd--z0P2n$=w*)55lb(iUzxIJUHh1*^D1Dpro;$$!;joFxtC*M{Iq;k#MGy|@?`R)K z%@#4&$>g`pHLxbXYrC_wH0KopBjXVdCqZ7)1TDx49No-Plm!a8MMYb)6K0q`Im?QC z^)WL`Q|!}dgaJwHrAx?S@^2BBK;fbBM>T3=*H$8R;9St&y?db=Y>NHj`~vd=Dz&`= zx*bDpa{308qUbVU;NT2(weq6|!*{oj1s)I(XoE`@xN{8m+nons^yzm5@9NfSv6z@S zBU$T>4i1S*CyA~fze0}a7J6=2gSZLh|Gac>$k2wCMvo2LsV;-U3rx2l>|fp zD5Xs^Y07Q$MjInhb(G%{{-!)zY@L)=dk%9Bj(K-|qu|K&^BrP$yZ ziTcU!ksa!^F7c`B70R#D8n@ySxW(9YL!emZA$#AW_{=IJg<`7AdomPSTXb~C{aLET zCyTi#S-+3v(SNu2QXM?s(lWIB(6I90m&}xt0?^p) zss&TF_BZf?dX}Z^u8AMF6om{W6}Y&@4vA!~$+%IypP3@g3e9{Zdh{8K%X-Nc7)f$S zaqawu_SSu+89)+*npe!_cLZ*(JjSS#*Ru!j zgA&&dk;Et}@6K3Yq(&{C=jWg4zkhZ5xd!5fl7}mBqF=x1@p{MZJO2+&Um2B!*0fEx zNSAbXcem2rh*Bb;G}7JO-Q6Ie0wU54f}j|5mq@9A2=8#7?_2BqIzK?}d+$9n*Ia?u z(cbPt&a~NAQ=S@Ko`7NQU`{6N{rjsO_F{H_YBCWgS%Q*{6>>Y^!#F;OEQj5HohYkz zI^9nMDnA#?AwT1gk$F@&U`dB({tWDyMDY$A6Ejh~j(uk_}?zu%W} z$@x(pano3LdbIOeKq|R~jF9tEehw?mUHMrc1)53`L|@&U?4~b!4b5tT?sFE}x~KOrl~+8CO!tA~uHAS=f5ZoyrLMq@l>0z&`dFn! zBc%4}@j@cG38=<^3k&%M1NGH>tUL3tzn#hx(Xv0?gl)qVYtYp?&2GLC!2b8G!zm)K z7Jml5dE6Unu@Pe?m~LTDnYwdA93Tmz;_ zdHTae9{QR!NJ4z{$|0kp1OYbOMw?$90bjUo)MCm|Q||i#5Xh~U6P-fj*}+#ml}`AS z3z$q?U4iEW`k~tk1c$nQ?5@gYeguUar^!1QF-EUBGhScY2yOK>XTOX!8QLt@RjKG4 z1Bu_0seCcmyqTW^QGnD}d|LLTK!$V_xz+&2gLkP+Nz*>2!;t@We%KOJmpizDHmQ#K*kEh;a+m*I_?A9j9UeK>)tOs$#EO8ZynZU#I;TZ?%P;DNqESe|bqK-w7l{ zf3ej*Z|(Y(;eOZKUfTI<3#v4wl&@fMA1f&IZh%n?tnwJaW(w}Epqs$_H*ollQ9MsN zMaCdwN(N}L>69b-Rf1dW!1mi+dM9xx$;&XN3diavtmZ41J3Z^JGw$6%(4irF^U=Wg zSa-1F2sBP$fywuDy10EI0B*eA@<@bfA8Up_`=h>Xnd|d)F!(I)cT4B=Dk*CfkF!FQ zt2rkK1df{D*$$uE#t5*ib!zfkB~L~e91_wSUx!)}y#=Jh20Qzd>r)1Hli3^GYm}lD zbs?&e3qg3aRm5_>`jd|%tSC_~=`^nzRxo}}LBj-B-CqmtABd5TQj_F=!}<;WPV!B; znp8n-%?Dkvmzn;GQ5kz?SAa z9(?O%z+R&t-35!eTe`$F2cpGJhrXQ-!;2r^DrAH4c!z)`=W>T_XHb921d^X*)8Jv) z9C9JL9IBwJaDI#8bWu*>zA6`nhNyOw^a0Aq%jwtpx*h3^zoU?TuD*yt#Yfn!`y=o% z`U9}Oj2cWMFy8;U2$WK$wtBB8ORu0OB8J1IEBS9)=a)%B&vm%iAU4#5p5BW#ogHI!w4 zbCSr?5PPS=M0FAej)(FT!I%Oj0hB7Df>fqupb`sCRLp7IAqKW)nP6wnyq3;1PR5vvOQ(<$UvLtE4Y zokII_^KNf|Y{1KN^&a^(?2B|{?f*hRZZZ0|cJPT0x|}U49pxm$W}&cxy_LB0Oz9xJ zPBjI{z+FWpJ^WNR4-Z@hqv6u)=l4h!ph5x&u}%?juXt$W6;=y)3lioe^qKg>OA4Kt zQj~!M@f|7wb9lxwW(c=mY8ie?i3`Pc$1$)VGG{F~SuxEG{RLBFBCl|%E(W3E~U z7(Q`-9@P?&UOR4m6n}s6r)f~k?&C`RY$FOcXO8Q_J1< zQYz>E^-b8P{cS)#X`=qqpqe6I^C}%}@R~y6WT4N4UqxkbZdGMbYlDY8CZctW-w?;B z8#Su#4(W@_+srL!w4C4m5SAp$2WHFy5OF|b8Me!+)$3!;v8}DodACn7(uq?+sy(pa<# zB~1t%tX@Gx*3mY6Eutqk)A0i*(ojE7eL$2TU-M<(xpVU)0XFB@+34<$Y9V~S_#4h@ zQMO_a?zU9mMcK(Dr;T-}nQPvzKBovtNwTrlR{NuDXP_v{%`=H1Y+F9sl~?82c#JFN zu`>(cZU*341!=16S*hV46nNxi5p@T{%`TlD8f3OtN7oem za(MA-wQq_j6e(2#{>=~y24+@&;FK3i=}bXKa-m#-kVD{7fmTw{e8Q-jC%NGdgtNfJ z3U+QFlgjv>J*`er%?M-Dt3>!cZRA22ZW>Z7wV6cG%>MrO?kswo&sq!%kp!>$%PzR@ z*T3FnVhOg@Smk~MN(;3fzakv|!(hDL*oaKWw+|{#I$XR1%~i!6*V+3bN(#Ut<<=P& z8nu%*Fl|APwJifDZ1WAwMt`#A<$gs7i}OT{VEXH--ZpLCY$QT6beiFLAosX#e0v!C zD&R7R;R%!ARYJhWI9qb%7;IJOL~6|5a2t8i(yZJ787$!q0d>HcPW!Q$4o>SJEuI6R zaobZJF$+wU3Zfbm?klKHP(t|+xsQvimfJ2N!s@mObXn;EpMNfkF45PVIICRHeuNEK z|GM^$t%M)R-!)N_{0?kiM$7cHWBZRa7`maZ4r;21r+V6MfsLaZe70Y;D9%R(tF5Ms z<>28{sAShB?u}py+LS%0!_7Dq-mhSg{BgS^f+9B&YLJXw_Y7^MJVObC9 zUi#G#TyGDq0@rt(ljvW0?i&u6gtb$mNFzS(=39fBOvEskqzc?vB=eB{kjeOp>Y0~0 z!FJIl;uy7{=XJ!Cn!B}?zyY`1Rd+in137LC(#SivOahd&lbf?DnGCm2jj=A4auA(1 z0)HWN87?Eqbl9ZaC`+nQZJ27@{heu-yac=>)o)ymCy-|SWO9vThF_r||YEM5zr!hYyh zbmG6%476#NIk+mU3t42%t$~{vMzPYi4O(^s(WT6J45AF$gva(Xr4fO}(#ZDYS*fbJ z&S%PM5x#%-MLtYFkqJrr7jUu}WXC9-*RbmGbSD+pDK}WU+Q9-lLcmr zx~|=NG!GIRJ#K-SoI^jaTJ86G{+k82@mKQ}9F!nFA(hc#t6IzaA@C7cUYtUOx;=4x zYtZ;zkFu1#n}Y-imqO-Q<^m!DVN;OX_QSx<9>roZZyYNRM+!EyKUf;`>b*_qQr%Wh zxnS5-h&k0ALF>9v+wQ(e;-;*xkK-9$ zCf@NVE-nv6(f!4=Rw?&8Z7(-O%LAK}Y5CrKmhu9WZUYYG>rb>6qv~_iO;Pt-;rfEY z8rx(%GW3|BQ&_nvzb~MBGgOj6!!vjvJ_bIXFWH4^zMbuG9XB~vY$RGy zQNg*5=q@F*itMw?UrO>k#IrshRHs)6=Y4g%Q>!bk$HdPI(+ zdHSD!ZHYL+o_XgTY|a8VUXV|@hMu&WJp|j`Gw@eh(bY!FICq^%>lXCmHsb^I6fO@G zi*JD_hq-g$NgW`o`Z1@~ef5R%DOn5ZS@B-2nk>5H&mehs_zJGa@R>+RJ{`QJ%i)l% zsP!6roD|Y|4dsFaYPrKF2QV96m9MYYa(eOo`J0QFxYCcC#&KmtHOAZ%F3nw-w%1LZ z$4y6U8xMmfyT(6Mh;;~Xjh_l^4MpV)(T!%TTIF3BWRo>>E*2WWD4mVTXw#7*GyVex zRRq&DmKa+Y=-kQzp>r6luShbsHy6#&*HzgpCCpHBrIGY@0o;ohznrYwfEH_U7!d=e z=R5NH$lU(Do2~KbOK8c#W(e0!brb)JPg%a2Ljv(#ncDnUt+cWhcP8bDpaDdUEk-?R z!jrEPHzzv}>6|Rzd5GZhuX&i-@mpJs@es;7Q9kwvV|57|C3$)-_%U=w)eL?_c}Y`P z(F=7C0z+>G2(_NRgcu2cS77Y^k!j}Ci|>!t6C3Jc*e@}ZM3dwJT~;|IkHA`ArRpiX zM?(!*55rD)C`IAbf^G(iFI7QIl~6tfEocYCCA~nYHRT8n^*BUH?qHcm#81)`r?g!d zc)1%xZqngq+ZB|H;2EV|zvOb~vP9vX+@K~`F7(t`4?~4>3jG*;@%nCOTcEnG43 z^WE7c@3kCn0-j8xbz!NS`}Idn*#t}ysIK@N zX#QqWM)mp5+y`eS;Y;nyGB>9(^L0iaWb=hbF)6YULKi^VfwBr#Wp?|~80PT;b+(ha zLJHyQNJi$RMl;e^NBz)d#C^;ZwI5 zgX=KWRS*=BEprdcM)&mC%|1yJ;g#=zd#&cT^7Df*D{VX0gDJ}9QAb4)e0*Sv5W|tV zDX!kt&CW`;4+JizWAj>fdT@l2fo=r#QQCXJTHEP+(HRlBCs&zDwWKc;H%;4JEP;7) zYeqgOPj6uPqaKbCQ%0QrTzgN#%_U51vqjf!K+fx7!Kr+T^zm!b4RFXR2@bJ~JTIC~ ze(fsOUWZ{3OZST&$Np$dZyuAn3CHfw#AD0WZ+>H>O7H;ZnImAn8AcX9lS1=7#O=f{ zC_UJ8s18C-5GF`7u@!i#@52l}NNcK(F&eC(&k`8Y2{hs>aFp&vdj0HcsQMri=bY@* z*_UWYlzX#iV}n5j=K#M%IYUb{+~hJ3gADNglHwoJA0t@ktfafF`W<#u0en(TIdr^i zqC3|4md=}XBfgQI=dsU>QsXoYBUOcx2+|2^(4*JSa(w7lG_gpjg`bh_KaS&l5&Yud zlgSM@VmeJ1hv*H30;|wp0FWi*3!&WJ98I|ou<1zr(TDlaK9XT1-DW+G*!wT%CM^=i%?hHNUOwC?-H z`M(^S-bL=-r4Sskp&rLrJ|%5vY^!imOFf;8D4@z5;JPRLY$VjY6JDir)0P*>X!!|l zE{L`3l7i&sWUopploKds#NHCwx)8wcB?)ubFRB>!0*1!DyXU+%MpD`@DMsaPP2o>& zZR|4R(r^b}!)?||BhXhda)rL1Es#Ycm(gO%SLD=Rjd;6{ZXsBDvl^fm_rGA4Yp9%h z#`wJcH{fu#$M4dyt5Q|jYtre9uhX=oeLfi&J$&)i*2vs-5UTX|dJ}<9a^0vzX^QVa zH<+xzp83uo`IIls+xG%+<1t$$Ic&@un8+O{CG}RdYzF=KA}km=iw2fxl$=#F&D7(6 z1Sep<|6SN+lN;d9RXESJnQ^Mi*v{*5=Oo0UTD4;F3h6F9%|~H0SHY(@JNIQekB9Dk zf8`jJQ4i47P_#yi`V)X85n7AegZ;$cpH4|s)8r>1(W=U}9Qg|{xULh}%HB&^_O1h% z{n0QsFKRJ%EArD2){Suy(Qi;C&&{Cbe3-fvd4Hh+o$TsryJdKcXf41U-i<-6Kck*t zw>=Zp<5GrEmQKB#X?AvX&0pT3M^Aw+K zG)|9}opPPCmo}pW`YER_CC1ky1JvFtAwhrN1pWQg6c|w@;ToM~QgVMl#$*@wo(5c}>)-G1PZNc^N?~B3U@TVrb+z%-w)?7fOi9J!lO47mH4fN;cIkVabXp-mtH9r-bc1Lff9cP}L{9B5S<^ViT1?C&U*dmwyyDB$&_f%f z9NnDK94lNsQTLe?l~npP0e#FK`lPISV^!f~0v|W>B1*Lm7j}T@=~53mFhBaIrp%JQ zzY=56UbrK&;PO@E>Emol_09IfDy-wzl-K7YD5i9BI7jV^fG4~lQd zGd*97(9RBK8>L9N>3CuM#x>_(zCGngDQHHow8Riz&{- z5CBWRt;i|bpUepK4m{4m$IOIY#Q5sTd7sX!nuAq_Ddt1E`9{IoJdYs%2`A}eB8vTQ zuj+Vqdz&9LV+I$CdJ^nP3Lh5!JyDOu?*Bb!-1&$d&``bq&kP8GS2}ff`4aGGFPieYhU0c{gsrq)~6nf zkwnH9iiD0sMysHV9N70p&eylnJ+b7@@Cw(nZ9L`GdxO%S^N3s%`dK{3jU<5GjYYae z3_nWYomf&1+2!)hzvZURJ@zMDyUlx8DOekQ&F$jfII0VN!b3@B^l(SRmFTY^%%EWa zI2Y};n@b)|%%-nzq(pvHqS&4|#_CdNakT1Er3^Pk%@MTMg`NhavjtuMas~CI1w{*f zSp}*Pg#V+gyBO??uvfUwie>~K`fl;h>^8YA&Wr%oKw++s-+=!Ys=|xy;;5i3{OF2+ z2raYwuydb#JQcvqD|DIjkoxbXO4tzuCXxN0c$CQ5>yGToD{M`uFdntoj6$pXSbp%* zJQMN?FeQrxjy?id@+)TKd~4xn8oRn=$X?H5JaM1Kddj{UD@-bFA3&=>0$*%_(7uqz z#OAXnp}cN$8>6VZmd%>P9+cQbtw5D5**B%!JgLz&_w4mbTC)|p7NTyVhVX7$Nb#d! z!X`^0Cm#zbn)NSj_Jmin;ACubF4nzEmtLZe*mp^^TvlPB+X@8}a_~@o1V4{GA>~rC^iLLF zX*_YYG&XuFTicS1sj$^I$*Pen$j2ABlLx%II7OCy&b|4j_u!WFZzX#w&JBR5sDlCe zA0BJTPUQGb$IL=D;2?8$^p`=P7%4_%I;D)D?^#fLcBu9*rNrA{Eb_?}M6pMye3s$k`Ao^QtrR2d z1gIigt3SI=aUwLI6+F_iZ$FqZjoHjLPv!^AWEd91SCE!CRH9VaZQDZ>R{d!;h|GmqlB*6yRgD~u&+imp5nLiFrVYq*ZAaENt z@}Uy>>!0XP|DevosD^Q6m~Od+RfX(vZ`^mHK*A_y0Be_?{lTEhu5&vXb-c;`lkLa1X8(RXJET znnpEqZGi%lHs|CQxddJgyUoN z$W+(~CRs$0)Niw|U_W>>9CQVWOp!-D)ZdVudJ7MJn8=xXr20~EaDyuY%}?5KWLal7 zo*^+eI=hB6-9xP2QmfiP<+crC2&E%^eT^k`xQ&bw2zZqh!(lz>zUc%3XbXAr;9~kG zoPudxe-s_7VefuYJH;O7_y1lv;NQ1GUs8VZYBgWwO(+pXk|$C{R=cY5-Ak=Qu}Im2 zQZs>|3;%I*+sbtCf?qacdqE*Ttd5%;a z{s`@w-=)FpzYe~p`I0{c41UhB7VJFGBJJD1xvwJp(Da9Jx@$MLT~y3I!>6e;CN4_K zrFgC9pm^vlIMTxmFC6!#GFTMjAbmn=p1pMo>Mp}D4LI*s+k*;cvT>bldy_~Qj5-OJ zyG-**zIl9a>PkU_6q&(E2q+pUDifZ0J<;>MU<=p}Jfki%j5@3lf=QZK?qZavFY3h` zH3W|;!mUpZn1+~8217#>RZqNq4&--aC)bqxs_Jq1*8sljPsFESF4nNHC_MNFfX7fL z`sVykrNIbWosbXvjop_H9f@e5LNBZY?Ae`-X1ht)Li!Ee~z1&wc*F=IMv7_h|X;fN7cBq zgUmv%JS()3_NGyzkxKiw@uKasnzW1~2BF>yx$AY)$-euy9dymor8p|M^2q$V3(z!( zl4(AC3gT#Q6d96Nlhart^CC-en(~pBeDvlYKN6YX)9K*TAea-m_AY`>MS=)LqSv_| zK3TguCUD&xhx-}a15L4&t~7#9bjJgH{$yfS4qXCoWMKhcm(>H}!W@EH^oEW`{YdPv z^xN0mfp#hUG&CA1s)SG5Nsp5>GAbc7td%swZBYg^{g0l+6d#F@)N}uiZ<(J$a*dPr z=hjSFwlQORO35$s9Z*gF`}LU0u&E)q8@L}!bHe2G^DhuWEOkdOC4C8NkB2PJEc2b% zdP~abYcNfG_(0&SK4HW&6net2Db$v9iN`$%O2g#G#=lG3_Ws4Ud1%O}$CHe*TUPRN zs~ww>B%xB5 zR*{!&@JfEiSkmudQ*6fRKtx=?aE`EdOL7TT=jM{R&a?_c_jVnSOe$HaAG}Gyd#WX~ zV8KT8)b)Ng#X1$D-lwW({o=>8V_)L6SDHX|$gGiD&D`wv>RErHI4MOZfnlzzpMnA^ zf8JB8rZ1ywF#R>^RV9WOH&bSGDOpH&7`MMX>)WI^ny)p8`)wTSIo9s-Ip=>v8!_X@ z8R+i+KpTZX9;I2X83y#TD=S4|N8i{7`xDG%tA4AMX%D%+%%M53$T==*pHELeB#Kt z*eAc;e+tfnI6uj)V3o~_xqY+rr`sqR_2wu_rfVzLQML&tV|{Fla^>XqJ|2_|9jhPp zkTfFycV!1SS`!=V@kEQ0X*_aX#sZIX7RtoZR{JP9GbIxLWt_$2CQwV6;xK3NWCP$y zj(dMC_TloDIteM-2gYPKb9KI-456u2f1OZ&#jLf9|@3prc)*ky4%y`OC6RdM3tApq;y^vlualXOoyuf zQ90bop@rVpZ!7O_UpD@e0`unrY4FZ48M5|8Ox`)#QX`@^i(odKr7kLUxKKsu?ZkP$ z;7v2EpAu0m(bIZnY`@=6ngM;qRV+bhk(-+M==gXVqbm(&ln$AWc;1Zu=w=sZ>)w#1W*Bp)O&!ba+qe9nAbUj}1B_cy9KRx=T#7kf?_|q;m zH@Fz&-{r24uES^^Fb9ZqGppqd+S<4=3@=-qR(b?SO&k4K=5Jv1kmskyN#iyiUQmqH z{uudImGcbDt974OM>l^-Nl+~9jJb(zhqXF+^-+#h!Zu%TfUAC;1aHoF;%9b6v)GY4aubDjy z8{CWVu{Oz0dP5O)%n2kCt18)gCjt^RUdR%OlB}*V291uG@R+kW+6@*;KbB!sM&_*k ze!6;b9BRgCf@Zql(tl?Z8%g?VR_5O4jYa} z&6(XjAT@^GKjJ9Xu|^}Nz+FY=gb~?m8HCT2A1I{#MZ@pLt%ZFx&!&R6jQeWYdp#k# zUj7A+yUgz|)+>DG=nsmd15CRHEE>O-elLwG8r2>I$|zc9;-3hiM8B~>euJ_5x`*xS zxVh*{YY83Tc7_x(g~SloVExq`l(~v5FmThMFM=3 zamJ{yku}^6))48_FD(@c2K>(KsQCUPjH;bvuWq2VQ zW37+qP$KR*MU~PS6b0})sr*bH8l#KN`bOorvSXqWyN0S3YA^4 zZ6d0nPF56hOSZ1Chh%CRQJP^e#YpB#z*`SX`=?uiNj4kxaT!d0>`Z^Ljn1XDnJ_g< z)oxCBZV0As`f09@I`x{|3E?UiNPDEhnz{iYUoRqlLBH0 z1R{{FiE)Q+x7=#hOn7az3&|1hz*yO3NHpJ8%m+voi29REtkKLNMbk_llGi)G&!XTNuXWMYIT{>~Nbq(APe z3FXVV@iJLPpZ6T?R9(+d<_;jeG!+lurqT?lhAR=56^9Z4AT=iJI#8>f#oSq6Jg z=3JEQnbj20{bCM9PGRQH_acR?1~78}RLqJ6UbPD|^9b&!rj}Ri0q!j{G*(lcxIBgi zi_>%#ROam}l&!7O^a+PWb zZujFRE5?wgG)9pXL<^5JQtn3F4JriR>*?u23RKacOpCQa#0olU4RSHJa&L7sT@#ch z;ipvlE01peNdO7p5njvkJ{xgrXc^o$GWh5%4idc6`6EOI|HGI0z z&PSRMhQiBWyRI+)Jl@ zo#*$9Q)WWONby$N?sEw}_y>?On=xyu+wQ##?5-G6qnNeo5u{AlR^70bzR>_nT9j|| z7BZDaXP$%J_5ey$AZR^XDUIGI3H%)(tP!oD`ZCw)V)rMrF{ENzK7 zxsqA>XGZ-a-L%kL!y9~Z#0^$CTNDZd5dp-!-OpO8OE6vIhYwTx#XtE{y2H4BVVCI^ z?W&NIn6NDncOv~rUOK)mdnmy2iJP}1J>+gK8Q&il*}|;(Xu;Mc&6tNRK^on*upbq~ zfjJzea=l7(y(+PMp$SwLgobv~_yH#2&1ae{b8d(oyh3i{&;tXL>Apw$^|uy1LRU(J zs(vhcPcUEfC+->`!qd_WrEW=a*2Z}eWlfm;b0Mey5A5G1t~jSrG)p9Aj{WT=r~ROa zAU!!_u!!H}$qHLoLo!lyoskfwPbpe20f1bPPzBT`%=Su) z`bZY<7^j6i7;I6<7izfPGkV|G(LRua<3Q{PXm^UFy-+I7W$@fOe0^i2UIL3`uoqbwxI|iymn`t#-(&UE^Ufd0)uhufx zqE**-T^(Z7EZHAQ)>9kVlPQhve1Fr_S4)C{h^l|LH^P?8wN@m`rb(l!;%FBX6r2~_ zr~7yIk`dLlFwPAW$LX4-3^{fBwv}Q>dFxz6hd0YwS@di>c<-SK#KADVmt!Y~62Vhn~RTi-DWGTOK>ez9SG=io`DS=22VKc?(@*j{V@|BVs109E&V)_Ngt~(jGoKEj5Jj0XArbK!Rw)vFDUNPS zx^WAt4JJD~zV-|f3L6t1t0F!TW++CiXuF(#@=TAK_8Iw`tS*YBs!O96zxFHhGM!MP zPXC2DI4%1fem%UnmJawSh2F|cgmQ`C(vq-yPg;b718=oe@my3?B}Ju0RZxj2!3SSa z$C#c232ki~oK*3wRtLj_6iK}+EWa@PnZe*1q1zofuq&4YNfALw2!d{L7zM30%9A#R zS1gqGr7`u-2-0Kf?U8Z~ctfxTd`5Y12yO^}OcQ!I#`m=p=m`o6j^s8JOJQg|P*~Ju zy{>3*eclb$NTnJV>i@Da@IB{AnNd>HD87Gl@K+2S&YR_tELkM+~b{^C_Gb3V+frKE0}_ zteQdI753obHtv-2qgaY7Y1OetsY9tYk1>~Mq;M6dm+B-m!{7(8_J#=GyZ&9?m&orT zti|xrKmHNb{w!9SCcqtXbWlbW7riXXW<--kXT*w(LA+LqZ^X31re%(|>l&kx&1(sZ z7rcsz9IdamEfS3$9YObfR?U?qQ|VE$ z8lirrs=%9R%D))0*(tJ*J?p%S%$w46sIiTL8HGcB0(?Floj%Sp7o5-%twM)ne6;(> zPwbt#A~gpebLc7RerLpBI1Rbh;vpbGpa=c2ice24;(;u-?rIA+*(c5A>e^Z>J2b4E z*Hp@%8-gy)z}?My1ZV?dDG_NpJG)LVd2MaVh5Sd;-DgI3pPcQrwFyqdUGoS$o87mW z?ytE}bwD%w3c>^Pl{QjZb!YU<4)tnxOH0jRp=e73BO}M*pi7x~=9I{nyke`S_XM8c z__+!3QCgC>Nn{DwvA90SXGZu(hSiR+$zf!^*R3`%b3Sv_)kLCR~qn0%xtX86u7N}Vn%I`aq}arU1`*dk_#VWp3>K&ULN7M zsCxG(_dP2$DMjkXqN_wT9wE@id`y6ort&PSHQBw-R6Dm1>$@)TOWq8ehBQtk+I)ct z$R-xpj*wtYk&IGj-u%%ANN*YjCc!sI+#;YM(7`Lk`-rheV1!q_!xUY#_Sz;@G(3%f zUe_fxqZLnGm-xi6@;BbpgEUvHH3ci3Z;71{98T-YqD!yM=(cXQ#@c688+VFTp8Z(l zA;~qY!fMhQCzW2@FTIENXjIZ#pX#{=p~pE{N3r&sR^C?{xHT@dxle>%Po*?sNW>Ib z^7&#n$S$wc=xmW3*U)*HHj=UEKuYaA3hTc68L-9yN_Yh|z0IowlPJ^EUTja{51U|wJn8=P{*ab#GMW+LdCr-dC7+5_8&78w|2t0f zsB5=rS8Sn@>vA8Wv{a(1{b119h~hFQ@~^YS>Pd^!bfOrOVsn${aBp^$ptDQ2t70Qs z%yVEgQJvgWkIEX>V59OJQO4qEU4Ol7A(U~pIqHDuve>yPzg~V-5jLayEl;{FqMlZv zklba2m}#@T+})WvhqvVIWZ#K9`eJ0R%S3OnyybdgH z`+b@lii>G|QXgG5_+#IIcZkc(u}Pr1beyY_1U!6sF5L{i5WbF7R0Ko$Yb&i-8< z?_HMCxfNb+t%1DU+}k9sJ~1RW`CH$MKAh^|myt2(U`05RBpJ_gZm;aXL++|!2+|eIbXP8@~Hgkzq2!sA9u&enC{hx=Txfv;r;uyCQxVt%Z=+KeBnyhzQuv=#2# z`krbOELJ;f;<^!X>!eN=vaco*wGk_+hULG~M>oe(Donzing9`RSP2^{Tex0jchhj! zgK;wEs27zbx!xkD}zyd@}C1H-`URZoa$UU zb?Kyd#pfS=HjGensN^O1MDOjg2y)1E|F*-!_Z)1FXN+%*f3U(>*a9vE+=w}-rf;h} z?*5*boZQ@-ntvcoN<=ihaPYxEwrJzvL?PBfVyqmmhyP*9>Y`~=%j;!Sl1#A)TOa;T zN~uh&4f;*a?C6PU?$eA>tA(YdC2*S3FYe-Ek~t5Liu(R^z=#apkhLTA&AODNtZY&uezw8<<*=X+_h27HMPN#u{usB*B6AcL& zCA*OOp?d#%Q|i%FTveE}3%CFC^Al#XBMBrNghyMV#Q5IY#0YOER24UpW}B5Pbea24 zMBl9bHUE*TosXx_Og4k|p^d5;5g%7Bv`dXuU>VJxvFUlLPpQOnoElkP{AE}ov7vWv0>x`ai^?xrEJ^mv=*`1ttxJQ_pfHF#@Gd``KAdW1X?;o)Rv zZ(HBx8D|G7Iga6oRc_lH(s4eEVJ%jVU0NjHRgCO;YMLIs-#qI!it~G@vW#?w#^!}6 zp~%8$o_hN8KwOQuInlBhl+pN!LnramKe}Fd5#NOldl2aLUhD_hwwnvxI8>?3eb3?Q zLBi;XM$yRLVL2(A{`5VSyzNWrWUzX_Qz`LA6?;ze)s(8ExuK1vTZ9Zm76*WW`N}qZ zh~Aa;L2~8UpFa@KD~T-4z`I2s$3J3&)LxYdzvp^THes;~`0S7W}U1;VklZE5ASfQ+m#XH1epn>PrPedA~giZxXwEMs0hAS5VYqVA{Pj2?h`lebuI~- z*#};sY{F|ukX%5<)W|}Io{r^XIm@qh3Nwj>4Sgw5k1ENd>AqL$Qj;~7meDwPjdgWE zAS2Dkpc}gqfV7#DwyF~BV81VdAyH1ue5&4*H(>LCYV^xk*GXmN8~^iYi%?@@NX!aW zVQaG=YpV!e1-u~im!2|v0z{C%qQkD#j+#@5(jwAx!Ikkpe|gw6uo1fx`rrvHCWz9E zl@7BYu(5yc+z)y)kFTqX+!WEqDR|iapC8hsy=D-n7d$yTg9N|1cXUc6)n>RUf1*bv zQEg~6Vg12;sjQ)q@cYnAK>;}ekT{0QvGH+%u8PuzOur9(Tl+G>5gFHnivx(O023m$ zPw(z>d>3;7+Dkjx(%3ZDqg9@b%%);4^(gTy%%1JZyr{3Mz9+v?Yy49uzPN+m2s z^85Px!}1~Z$!d0*J2Rv#vavPJ{4Ub+q_LUQ@4K_i_Zq*jU}QRz z397Ozv7Bb3oJj?ex#@>I?frkH8JX-I-i*weg98%t0|ZQ0b%h(I@8v_yR7&aA6uv7N$S;_xk#s}^r7_;@bK__p@~gjv`I0{i5*tV z{q}h#P)!19SHNzHQKMFWOKFCSzvGi|LK~_l)5ZMTzskB`G!HQwt}k8y|3awOII1}x z8rq7#N`I)Z{|MTXy8k@0C?qz?PDAP-6Kseq;A8IZ;H5>|{_*3E8+dQ_hbAg<6)LZoGPQrJE^^T7I}~ml#rN+HXtf2DM>k! z;dQ4P-IwTTJWDEH%fOTkzo4MLVQ%rbC6i5&BXr6DI}!*uj83eMS6oFhXJH?VXt4FgCO4YgpgI)#K?$cb|?`@EEXiM zU62U*Rp?<4cTdc10~kHvM11Q(8xS(nW`o5H$sHgqnOaGx80FM7P zAHa^7P_h2MUTn8JO^h=>X=NUy^r=1!66)K)CIaV4up|Npbzm0Xnp6sL9t)W~VxyzG zorDZuA@p-GvRzT(p^ZJB&?!>}YaCZBwNShZ4eAfSj*gOt`(Y7iQ-Z9UhQe;+29!U@ zh19==RJi=<+8P=(NWLJ2+X{0L5?bUibVLvl5-K>HkpVh-hxnr{iY8#S3Vb;J^am9yByXfUNdr#J`JtX7!LHbCiotFK1p; z!mL0Y_ep!JI={=WJp+ZX%zMR=FBE>a35@Kf3a$I-=@BkQo{p_+MSghd%J~*w32eEV zSw5HjB}7~v+dw!_aN?>#e`t~w;Tj8pbfdYB?Nt*>%a)3ha#$H7c<3XPEePEA8|*CByQ;xhzROGtco8)D?A zQ_U&I?_C0+23HP+zN{z<3;Gaa8ZIs_ip-aHOiqbSh<(78siFV@K7@2KjT3r_^}d*- zvXlkY!r;pLvNDEdZr8X7ubfxO`>U&Rb8p}w#0_HqdBLeZu(rKTiiamFVd^M$_x2Em zPebD6hQnqoc)J`N9xP;qqLh>X%O8hQRGXR*(2qXBS4pgKE0b{e%s;Vn*4wb|x44*@ z-Q$e+>jt+2H~_}f!m1P2qOX`6e(vqn{_n&{r;2gnEGsE1gLo!`3^Q=@^IS@~c)XXhj>7%fBdGbNq4(z9}M zz?52kBzNV$;hTe&JEKylwYYelyL_~xw?jvfygJvL>1K(=3JU=flZdYO8+rV)<2$lX<9y*h50f2Ao-;!&n zv8@eGj<~4#!10=tGz%!dMN)pR$IqEhg{Wi#uYiA(59(HUl*qq(vK;T2<^p0b33hUA zxOc}=qlsn-iU!Gfo>r2l7$j}dSz0$m=CI|+qnM(H^UcD$Yx2~A=q1ExNJ$k86$n4i zO-i~u;4nBG9I^lgq3}&}T6CRDzvEH=xd>`6$ZJbWOXK_n5vm!GIagCYm&dp$&A<@m zS{TwdJ`Ty(wQrlI{u)4vAXpLt3&>Zt?3N{VYv2i(KQH#ho!<~>XS@O53Vf8FZ%odC zVh=(MdbKZlNx3d&TE4UTYs@%PHhGS3(FCL>%x|)_-&9T{E&(3F$O#dzu1C z&%XeLv0II|XuAy)t^X2THhMX}MYFVOGe1Bu@CKwFt+yRgh%|>AD|sVWBFD$)^I4<) zLKs|5;`E2(1bF1Y(2y>cLyX0JPakjZb`G{*%#%ejMelKvfQ4QFxsJxhWGP)ar1%Pm z%00GAE@lbu3=l{M29lAoacHEkK%?Zh@|xOrrv!{aZheS~O~8?V0P>}Y2?*AH{CEc} zp|`z#2s2QLWS?Mx8Q$sX_}G|ck@WKtEt7}YY&q$Fq6aBPgfT#!dkj5eOM>l)k(a3q zRm;mRV~xuzwfn$Lsn3~=J$eh=H;7bHmpf%3YR77%!pCn0ZyR$728Mok*CFK1 z@bkmTqr(_C*CkN$6N-UDz43`lE)-YYpXp+p6Um8rw^2sxk-p(wN0p#&<_5QLc;sNq z$B?*ow72K5^Q%~SIFV|0ir8NLHt(9uPHX1`P6G;o3!(lA2njh%i&@C&EY3WxYWH3J0w;du5d_0UTy6Z9cLE9t4}0@W@E3ui=#CDxo$R4jtadrI(i`)QJbuv zD}?_@ATx*t5C@g~(B-7l2eZm^_xNzM-)l3R>^79o{RLERb9eXuSo`XzF4yl%Kxq&J zq(r*ArIC;l=?>{m0TGZE3_$5dO1fKGKtWQvB}I^ukj^=udw<_qGqcvrnl)=af86WU zEAQuh-{(2cIeVY8cY|p=N|`1azZ?NyIrXdCXbCXE$@v$mLD-NC$2#B(9xOdY9RGGs z41_=ME&?w16F(#T13>RR)L3Ko&!0bA$>5i5rvD+-j>I?Y>z=JLmKe<6h>lHve1K^} zm;EL@{No1osOP&`Shu6mu)@D|bex3W^*pgIvs#6R)(T zv@+k)d{Efh3AjF|x;~NTCKS}KvV4mWuU~zq62ZvEhV957&3H>sO)c;oyn(8j45@#^ zB*+CcS$`>Abl@e1)5;7}`sBQm#01GUFN31XsM`{3h+uClf_A1H101ufAmZ_Q8sZhm z67v}K(33p@{gd@$-z)=9&`S&r$Wm~{d7j6}atMwt<`xz*^W8aP^qnR^UPGFM*_v>k zDx0N8gFN{8>X2(Ar~pUv&+Mn2g{!0cMjESa^szZ)q@)U4>Yyh1OTU5Bc0w~90x)oc z_hCMHwV%vllkwP+*!i>H-C(`A??y$#{tUVEOg<8cOaWP@ugLipP8Rpgl2Aj)dT$n- zZjKsu>Vd7Xh9m07_D+9L+?OhbdU1j`RwCRHUb>FdJ=)QywQLGoipAY2F5)S_D3z4vlNK~GH!A<#5_JP@@s3nn&- z^Z`r9*s_NdKBOZRFsEB!*#%+cY zjkgr$b8G}4-1>Vsqky%#r_mN;ngPRfAif#%ahLap&XbPLz8`aQin9KjAdLFw;`Hy^ z_pmn~byLa_OFAV7=M~sordpecr6>o-f)!qlT#`Cy+-rbI?sHdH z>R2Gq_92s0Ccpx>?8LDMOyazaqT*u3_Tk~%jo)e^;D?0!fgl)!CPo)}P(EHqcNRXmm`F*rLz9-M->r@L-;i0y zi3kc3ms5#)vddj;e_9y1bk#daYz^r_ser>PiR)GFxm$wpMpWpYsF4dyhC;MH(Ee_& zQY|Mdi{HOXBI>_gJ1KJ0Eak9aE&b!;Z}8q>*crCe4{_qv?2kvguxoT^nGF((Ba>dA z&~S2=6&9|ml$!%hk^6+xU5~WHqWiIm3fcjfWCnlgr5^mA#4Zo+a4;zKYjrh-D8s4` z@+8rF32#4wi72KNKw_<6D6ZClRq1#O`ir9ZPt$U5ufB9VA2xwdylj)^Yf+CS7^P<(BbRnmGK3T@*4J0%xLCPeG6~dp4)u3aQU&aL~WD_tJ zu^9DYLx0lho9x)<{_3L7gn-^K za~E@ZY)0r~$LOD8Fm2D^qHJU|GKf*Hghxcs|KXjWy>2Z>WE1P}4_pSCZ{o~YSy_Pu z4ht*m%xrk)30f1+6pB8T@2(W|cOAT02gf+Xq~*~~J*j~GR2x_`U_f<8pH%bH%iX(*#z9#$DvuTWhu> ztFd#YW`XxiqHM}$1deE8HDXo+*54c~N%7jH7zTNC+!@JP^mU%R;07f_?c@wiuGqBT z3y}2cyIJv<x{>asM-71KAF-GZ7~+d&lw~5&NAtqM-2;NgiCiyOo2fTnd9`H+MYTaAcNHgsA(| z)6yco7+BF5C+F~hUAKS$Lc*`D@RuFI{ope20Ezn`l+}mF8g7d^gF^Qm+GUg513miq z?3699pq-B(qr|u=VKmvRRt*89Xp|FBB5+G+{=?uoTpwM7X{TrI?k|JG!@@E@LnlyB zP{kAQkE(M>2_=&T8w02hz&~J#dYcxbUIQRE{_T8qHMJo~`mD}aUfFWv>mZChW&1rN zQmempcJ}zx6N|hTrj5$1z%PT+BQjFe)YKHPGb^jy^uAAE5g8W;&!zj3h8D_KbZq&D z4>7SVe&aLG2c0g9Lg>38xEH7LbZWzaH5#RJxUBF1^wJ_oc{*li?_zaLVhFC37J%gG z%nW$Gux?^oB!*xSg`6RKNjaYSy&SAT%xfT{xMxGEY#-UEO^pES$54|8JE-v%Up}=^ zK5+w=w?rG7iLk6ID88l^;NUb}p2$xoVEr1uGH!uQa&gCu^F)A0&d*O=N+ppgb>z-7 z74OgE1@M43!xqC{(TQY_k!JteHkV#W3uF}%@&d_eKtf<*hiB>YKWKqZBa1=;;-~znqZ)PTj@e`>XMDD=u2PT~H zj)E0H%s-+g(;4hCLDkz^54zr=aEE#pay^)LMbdJfstA%gDZA1OBMW+W^Ugj2zLpTU zle4yukKb{7?fVqJ^p_dc3OX?w-&3c$8_j{-)=z<0@EkB7fC4!40Hh*L!Y(wE-nHw_PmniKF8T=I?v_I%xu z3q`u(h?OXk^^1~dc1GKH{$JvB4h+n82KQq%?2Gm&n=xJ;5+>lk1z`_TPNq_(&JOrd zE%MM;YvC250SfWLAUkE7D76Ebnol)$)m>858=k!#t`7G0MV~%>`XSr`ny-Ly)3JiZ z)o%=Hi-jp3rr_@@X2waCpq(Fqa(79}TfYz}UtwV~-mdpXT?2z6zx?kqc$t_ubu=|!YO?EB-SlD-%GzKK1)FwetYOV$KtD3~ zpt@5YM6xy}&bu`_G6G_!h$ij|eioLuThWY*<)4@;c9xfU!B>e-U+)P|rsR-JfyfLe zs1ft>60Fu%SEquA4BQe6ifl79o|lxcV||x62!gL!^yqOcoCrCV4gEGto&zpp5pAG& z{s5md&p&J{w46hb4>iJP|ZV3ks%y>jLSDpcJswzD1>g<~xPk z%Qw&wwF6bbqI@?--Li>FJ2Mt}0OV6h7T}SZfIF+BtlEfWUo@tCvz#JYKiJp1# z2{ioOU*`dON?k}5aIyDC8)fKm7bG=n>+6_JA0z`P*+U1~J-r*^*?X#gY?F5(lqXzW zUA460l=J*RdPYA3`>3R(1ROr28L;sv#V`by8MVm0FxCk}lG@BRtGG#3B#=Fe;?BOF zM|>dH5y%LYS_e~fke(O8c?*5pV$96l;AB|zvK|yq6;`KJW`~2lILA>1uEBE-D+mG5 zl@y4Yn??WVuU+=j$URq>Nu61}Ajn3f1BHnS#7C%cAeeQdFj=pMgdFvcay9o$rniAw z;Bd@+Pl#m}uu-e+B2ap;y-c|Y#%!p9OY&2tg@twyjw&lF_sGE)!$9c!fizA+coh_* zoCY-*N#hbivi{vT-iT(B1xjHz**eXKNhsbgoveE0^&2E;X=yn*IfaFjKa--L;9%A{ zEb<5l0Fv|!+8@D6hLQ59juU&K**muC!RCk77YyuR9F&HDb`M(_u6b`0cL%^wAF~iR zUkV9L4GcKJ^c+lo`@s|p$dypx<3~kDOAV;06QxzTYTR)~7-Y^dtXEakT1#kY5ST4| z75pa@UH$BIJq9JHo-w_=09O9jq2TMZ1{FeEGlUldQpd@X?N;c$YaRI@y#dYL5dA~* z^He^Z!Q^~mZk}q&!WP}z~7`lcbT9~Z?$%%C0?d=WheEjSF9`ffAO8#dg2mK_SAw#nzNVSGMoSn-`2vDzqa(fQVlKXvV#KMkK6%g8=!nq1Csf{Y@ zYrZ1%tbd@{x{%PPhRVWhY@5y{Z}P`d$AA2Q4frq0tq3WT#Q?Mm0*h&t5fekOEm{ZC zcSszk#SiHvC`3IYkYT*FatnND+ql{A9B<^{^K4<7qNKjs`D9?67W_8`d5$a>X&z8s zn2~`xpM!-(@x|vPKjul0-l@Q3))NF(l-Lq*1?f1qq>LCRCQe5s0I|fMD=S3!_^yB} zTBO+?dglzpRdAP9z&yU%CDc`xRY2ha0!(EDa!<-E*5$X^agMBQY;K(RI@s78gG6b| z#eN^yOhMswO#KNFPnEXG*HD7YmKYu-aGMwF2F91LiA=%d-uC9^U1DN6*?&4L3KRP% zh+93k$?@;|oq7Dm%n?BIqrh+nNY)uTNC1w9Sf-ZKJkU$y8>Q{h2r#Tl#cJ&C!gF_8y`q#FNCt9%cO-xBx@C5l_D!pIfbOUi}>+xIpTC6n4r}W z%7x_A)N@!cu&SfA(chgs@CQyU$UHfqs;Vl60J5(b#grYzcT=&Z{RbAc=?)G|x{#+x2M>>}b9EY(ffSHMK?Xv35&I zdfeEgS6@>zHaxrsX;1D$IB^p=jg>5af#U&q!+Y(S8>IEeB_@Ktd2BAcRM1(xaSlZt z=+AEhW(<9@XV7SZkmtbnFgE_scs~nbvj<*CJc#6oh>Av%3-q?P)6mneU^CE#y?@`8 z1){f=FohNp5^{2K0;|aOU8AUjxYn4mnp)I{4|8vB)A{=Pz9IaxL6nDhGU6tX82H}A z;_(mGg7WxYS@6v}pp9w`cFt{+pqh$wSNg-iz<~J8Oy&-#Mqoa-6%>&npc7c+VT-}3XDTELG1*YG|(9P$Y|z9`WJ4JIGeA~0%x3aSEH z!-SC52FeYJ{p|;MJ0U*a$|oHxK;cu2@sgQ~3o)(_+Z@n5ghW3NV?eg0Y=%8QXc4QE z?@85pJa6^ME+9T5P;ZA(#mAqq@bExD@VB^^*<%143Ym&EiP82*Ib@`z9i5y$W@qE< zVDm+}Y5Dq!wLuNa6!{GNpXTl^Z)#cIP*GJ>eEP4Gn^0Oh=qVl8Sw!+LL<6bv2Wr)g zjhP$zV3#EftS2L*HKbmU%m72cKMEp}AW82D3kV>p4Xc-)=utONP!M9s=+2wb@{|Di zQ?c+13eLY21451E(2a-4g0LR#RX7*dNVj1jow_8{TvKNty9Y8Y+?L(=00Ef%`?6%& zM?Qdy0X7zvfq{XKLO~uPr!?kWYvsNxd42I0FdI2}`OP^Jw2&pK2c(o9Zf+e-S<+wb z_%=SOa&&fcLo}U$Y;6?)L{a!cwK`{G5&8K{Ry#q13YwlOexO`9Gh@KI1X2x?FBbGw zYa9&>$ZtP{DwT3*SW{J80MwO~HN_PXX@CbF{ixt_DdI%{lDV5mko#WtmzIvsDhQ!H zr}8_v&6$yuq@Vy+-V<~Bn_1ah>UIZgJ|wq;8(IFzgjuj}I0JwAq|!VTkhOWfk&1C( zuYm8!G?kbCmXwqPpe>9#xORVb={uO74jNro(amjo_@dr%c=oYNcvkm3G^jU$k}g0G zNc+HwR25W~;96SWdi=&i>Cq!(Gk|w4I!Wz3Nw7a{YUS0uc`J^53YwkgXJ?3^9RNke zK}8(2NOb@=ck*+oDn&fYJ_gFyr5BMgPkUyf_oasr8lDalB@p}}wrY;2+Nn!^kV8dm zj}}?j9v`jT-Q8jB2$2yH-R!mdZ0`s&cTazU3K~Kx72MQ8D*^WJOMgd6(5HfR0WNC- zgeASYfqbIwaYs`3# z{PX}aY;I{OE-tPeItHEi{tU@$_!otcYi54_8iYlFdL4{d^kE~>)(?^v)H(c_?Z|-> z7K}4kI5^^`lXnGFDuE&e4|n}(Vz&@8f2z<*QW^>FFZ`(r4?qE22+_- z(lBn_^1r@32I_bl6w=J1ExDk~1a9fZDVT_lLL991iABvJASBG%0&*z|*CJ&0i+)m3 z6QG#-`eg6U^Cd487msP)QTm^lUG*mDn)nGYGZ&PVeV2X7ibzdZ=J+n51r%xlSuTY4 z*3mo4V60k|Co!ZJu#JDdQf`L2GEr0L| zo%;6828bD8NhgilbX5^zY@nX#=vb1GK~*w0Gt(=#=mCCZlzsdV~sgcXv0Op&rjC;Cus$84oDt3PWw}J74bu zZ1v_1#!>V(HLEPi>DC~6m4lSU6rx3v7> z`wPBwFHkxGss&{}3=9mR7e|gw)*L^9?}*NTO8|-Xth>W;u)BNlL6v+NoK5Wuzxono zzQ$R>kOdT~o4nKNWm{X0s;a6GU@?w6Lb1D!wwBnH*@o&N8-a~X#cdi`TDlDfKa7^f z!bmMHrI;6NKVZY+13<^!f^`=F*SQZ?i1nU3Uj~_nww4y0eHW*L0SF6#mD_o6#7wle zOFcdUf)6U2ynZvNZ2YGB`}^TYYiekKHlscXK+R0YT4!gPp6?(^Rm03-z;xOT30;z; z%}DhR=^R`RmT8GWtuZiO>pwIi z&-&1wcJMwTLJ6kr%x=SY`A}BGUt|s`sFVopg#T-)Or8*>lJ{;9tK%*9@qOyh;DjMjHp z0nq0IwGX9nPB}EQtb40_deQwcn!SaDWcsFKbiB8>m-F+5n^W~SF8{r77=Z+FRKi<0 zC`d^7k1~nh6I3TE(#9twXqYzUUcbe!MHVuw25=k7x@*?V{_pdaW0eHe+L;y2lPR9jru7QxP}|4trH{!!(%}c>Bh9O59k`k9K-_LxCtxbT9(@K_5G+|Bl?L$S?I7fs05;b_rvMlg!3PTJDB|x|t+Yr7 z==RB6YTec&pncG*J%Y4?HQX_F5MvSX01a^)kGNX+Ax7AmAqAq3WHo<}&K%T#bPTBH zL&a{Snt%uMBeSzFV2J?n?qdhmHl6bK5mpw{RaPJ+$HB%{Tv)g?N~xM5@!0IQ3oJ;9 zb0Z{WSQ+Cji&fK-&{C(Mm?$PaF@j3{Ix2Ol+6GU+A5=XgB+8DV#04iQsx_WDtcJQy z^kjL?6HvfFSdlAY#HK#^xb5C;oLM~S*?_^42gbn??dyoiWm*U;xH6c4Lp zX`lV<`AwDp+<|nMW*Ob4Ia1@J`%2&xH&GLYaw6|?Ii2;Qwj;ld#wl{@o4xeXsngy< zA1=488F#&{y}d!Judp5BWdgcxcrLh5w{Y;FEUS*IZ06%c(0GH_kh3aF#WyjjQvcE` z+U=y#@2H)QjBB4C-eavjvuY$TbQ*b=-l*$9>Z+|Q(P2fIt8lxExa5vEvrZ%#Lm%G| z6|x#q&8JVX#2_1TW&C_j08V+5CtgcH5<{84v%>c5*)uJRW`r(g%kdL{pD$A#!qcL> zEmr^s0gVu`r^{bqvO9{ntKKy)voYm$r5FCYO{c5LgL_(QhBrph$C0C-XNGeQCKYK= zf3p$ac{wDD-yr6|%{!uH$lg;*rfcl6+%@HA|G&Ak}+w!XQAHOGolP=*H^%Nc3m#onCp{(lazo1VA?LEU_J^q)+qN^V^8LU`HE&Kk)19+>Yr3DASJ=B3v$6P>~ zT|p~Vwq3OgU_-Q0RdaJ%$S(9W0mjt`RSb+i`2P7wDQl4A;5M)@{P_#c+xGV_Iqh3A zw?6-7TVEY0A-1Mc`mVbfY;BWsM(wF=z)h*muW5;wYh`5A%x`h_F;v)iINlPIBu*zV z?Y6dmW0hly4TBo?U(LLlu`BO0M=a%H@dGZKp&8yKg_^wgV%Ud1GX_(vAs++lUa#<6 zFs$S;b}GMXWFD^h8KEbCGc&Z^bOoXX$XGLQh{4D$1ao+>x2ja6QoqvnKHLx~%ZYyVliM*|2O$u@|5k2?M^v)1Dhy8bwJLnJ!z-)cG0_-vI+M4 z)jp8~wYecAe9R78I%D1EA43Ot-!^MkYOQO$e)Om-wvjw$L?pRwV@vA`d+ypCVymA!g`s^rq?><+8?n0D$F+>-{kQug)_#E;%&3gWufG6@UzIQmR4 zb)^=ouT<%z^omKF`IUG?zkW6revwz$oA|Nn7WxD1m+cD3wq}E>s_q)e8q8&0-RnsN zJ(_$Ds}Fm~<<1uRJ;up{0Tm_F2<86}QOaw948`35KsZSKHq;x=f`;CP1 z2a!YYr8x%`Z(kVYj%oMULuj;8&NOqkH{S#-a{o{H4CFG*a7|zKEn$u5m^Zv41tD41_i|bI=U1 z`BD}RCi@K-zKn-Eh$Y^u(W<_&!Q1Z{oIkQ!P{aPBYx;}ft+E_rX`%gAyb1Oskx2@& zwUHqm*1ewhMP@+foLx`jRtg`Rz$v+B%Zr}JeuXX*afcYtSB)6vv1*K;gGoR3%6YMIxjYfd4;r54p{|+8EG;2r`yQ8^h!_I8o>~Hcn-Ud4rchr& z+y*N?T*v$c(MMTpnS^m!$Fwk<8-lxygbICxj;Q1AD`|*@$;=}1hG$!n14oex+;>F1AwB<`9>hAMNi#LmFDch zb?>6_8$RuxdSdH=Vhu~tlehPh1#*PARQ#xGDjfX@W(mK)CNIt{?KJsujE`%k_v*XW z@(Eu-=s1x~3L$HdK~ieCk+VJ}$q^Ar4L36>`Q5vPX0A|J&HMfx01+Mf{0hj{boXDv zLyuzLRUQ0M&@eT%*E;1{7abLC_b9^P{=N-c3dyb`=MwLigP6`9i+%GokIqIMci|W| zy3Stx-E>^V5k5L4odycN)TMQD-10E!!l^I0xL3MLzoGXt3tqpBLSFP1AX!@^t-GjY zO`Oo%=1|sP4gDq1gwb333{~321!h=nz=!e|u=7Qj(0|(N;{P{w;T-hL&gXXKqp&+T z@{7`&BW!s*?aK~hIIA*4y)g`D&w7eN!`~4Spqm>d1t_rx>EC*t)+-flI9O`BQz)?J zCDVsbld%!RV!X<{z4Y~a7 z;-~EhjkKyZN%3lu;jxz!B09Szq$3J4*oJt07JF=RMd$a?QkF(KD*dX}4Gik1NJ)sF zGjhD1a$?mKpi}ZWHR}mAz9@Gf?2anZ^St1^C1f-uK+8s-u>F#;>;3*u85 zCtsOz*mgkw_?PoOrk4CN!|UhxqJn^;gxEpzLLHRY0-1{obY&ee(ChYnK7KP4tvso zf9^S;#Z?L28!75j6f=lg9n(dML1P6kP*~>#KT@f3azbVNec+g@+$%u8K4p7yB}i{s zPj;aE%foAM8&>`KO&?Y#iFWSX1etm=bvFeA`#Au7&Hf~hRHE&=?pA2k$?OeA3rQ(opwC$=6iJTvG+B{6aZ`Eh6?T?U!ihPq1E-$47>*$G2p3X+TSeJq^V zg;&SypVXO}y0D1T%}dXoP8xkob6A?f7_U`da?crO)4F9HAaJL)Z|PNr8IifFV>qp4 zrb#VL-PbW)2G1e=Sy}-#Y=_&#$Kp>$ts1Zcct$?zjyF2U+|lKMAlt6+{5hPJe-WCD z&`^K9?Go^UC!?Ue9Ir>3(%!7r8E1##*7R39%V0e|-euk~?Ll>;T#l}gtycqlQw^<; z?OH0@D8FqOUV0~T$eXV{bU@P!pE<-p#JBsfuR!X8W z_40Fq;CPhHYSIR^eapGZYp+vRI)S^=HQY@qs*(*p%$7cIn2J_Vnm-Y&buHnsOXFf^ zw7Z*~vWrb!E)U1`%q+e+$4?eH_Z$4W;ok)!ZyS)}X!yK%(bU)o{gD8&{DoD_zlIBg zLD-d&3pEvWnRG_j=;?(-BgWGKiDu%@?#p7!JO3&`{S!(2KF0XtYC=qUb2a)~CvIE! zNxI|Yh_WffU9fe3+y2o|os+WyEApPT1*}lWB%SQ-d#`W!O36k2dNkpp&DF&hZG`e# zyfyFK=rCYwua%r^vLl^AGTLqb_H}9<@p}rnDV9P6#X_sty^$dM38T-2Pnx9^bxTsOp+S2Yb7oU1SLapP7@k}0-(aDv2 z;#Wb+GZ_YH?{7M(S%?I3ef;RKT1S@i%h&sMWj{3S`n<8+9{zPUNYK_D z&mem|@o~*~=SHI9R)V>@IZ>ciwcz6!k2hC>NDxuHp~-3%Dt{oz{dyP@)qc4SKP2%x zs?KN;>amgdn~cp_A6ORf^X>u=apmRZ--dSarM^4HyECu2GK~K0R{Toz>IMnZ^EA&w zJ52VwjIV{Lkrr?l2LP6P7)(Vqrs^$H)YAKv?KCdaQ#y{w_EW9B!IipFP7=IDPW8^A70J z4LTsn{vmA=P!{CH7|H zVuxhwO&<5xOVXMXtS7|-yhw|c9| zyU2e;M4hXbl2z(N_&TerFKE6k)%T2#6pPMY%$vlo`a{b|GKDPx61A?! zvu6l~+@Q9oq{I;d3>aY*6cn65f0wa_h8vgwJ%+mE`R8+EE3021zyQbP5fIxu;DwNz ziz@R)CaUfGZk)6nW};=w?_>Fgg%pp*s8krcrKOXV#?ofy0$!li6lnSwo+(zs#A3cw z>X8z@>Jv-fn}}V4-y}$nUnF8Q_tsw3*fWzneZ!_m<9E5kH_YpaOM9lABNss$xF>2<@4A_^|klWJjne`lP?{Ah#5>LwZ2&;pquNhKMq<9GX!86$V_= z+1Vdj)WAW+(7-@x7;syfx2Cm@xawp() z8K7rkP9q^G?LdV447d&7Xsy4H=_q21qDNrg00a;zuw9{4T5vR%@p)Mc8j>E3b}{aL z4DCF0r3a&8Ly}(!B$d;9d6$^26imebkNDtF16w-`Ni~pClHmRc<2Y2%O+HBsaOl6E z8;^jIg(gcXpWiei9pFZaAiByp0L}dOgO&hv4pbJ8Yj)xAb#uD?pFiNPc<}G{g5Myu z|Nr>ws0@IwCKvQ@=-!X?^btk7UCwGcKl}H;-Qg&dbd`+7_Qtx3dQDK@8iSYq zEe`FHg<+xtwB(We^kBT?Fkx3$OJ-hg^OLr=Ha0;N^DE+iFOP~@Q-O(zY=2!WnrIH`5>YeIv0w_QQ+}rFD-F^g`-;XmtvyD9C5Nfckds1p zrX0G+8*iCt5NOSc)44cOL_giVir@L|>?sWB>%3eq22R;wl107o`)a7d=dHMymCksF z#yE7%ZUR~!l9_OxiZ;V(n^L>hef9$F+tE@S{n-54q1|A9vdM)%ou0UYR?Os3q4m6}cWYjsz20k(b5P>T#IOuWC9CS}kDmT$@6gUf z)<@!nUW^6#IiYki2K(k_{&5Bb20h0n*fu$FD5DzDb2S%cM&%*e5r(Cx|2+Im?%!Km zC544F9KKMlz9$#3hx>;%dh- z!kp#t{ka2#a%{7!f@lF#Wl`)S_p;Dr=s<|BS(<%)D9)CE&Rks>s&8M|r!t_itQCgS zPoUBxh?`DSn!?Ic{ODD!>4b8wy`r2F3pdBBT#pj`_s!)uA-O|*NbL`fj?zV**+V-i z3^?f7*{wq+`=|B%k0R=~IZQ@KXLNKD}$b(7b{0mMts$vjA>Av#0|wm2{cA6ivB7qf~|)q^*$E&Fq9$%U#H%+sOV^PtRL6 z;eojl%2!q{r{zik`JzVtPi|q488kOT>2ejuJ39%YE5PK~LKIk*rnB*uos$)guY&s%w>b z=>i=6cyHuY1o*t>o1SHC|9dlz1{60sx2SIA{ZLPu3fkd6O8hs@BM}jl(YcUnr+NvB zTYGJ(W-nv5mGLEMXi)fv7Rz-C*54Ghk;=vr-e$J6wiVV2GEF?M*XCJ8oQmH8xl zn!o*$a=eD4K_{k|r(DlG=>X-XFWTg)G3EJx9lD_*CQC)`IUjShDzV5U7Ui@{#G-7( zq47`8c&Rk_1G-FS4g|`Vvcu}% zsc;zP`LD%^B!eC;$m_%G#>>s!gP!QBd83-V>W|gRYg!x3kBq(RDWerupUgN!tsC7; zE8CWgMe$ys5gMaNTE2g(#I#*iRn4k2ZQOeiW>uw+;t@`ir%yz|h@HDKC}1-k`s`28 zt22ocr@;R@s7)1~0%ZUltWl&iR#E|%f7OM#G1+cyrR*1>QDy|9IE=&-9%dRyV-DYW z+nd3O&WPQk682TVhg70lp|WB1nXa~W!^)k9zlX05IOc2_m2y`a!_mJQe@Q7TKjC!v z^E>-lv$mhc4Pzy@a&7Eb1|94h-$Kd!F$=XW%W?m6yVTf87VpXN8D#*pEXy6Z5hmC} za8Vdcgp=V<0(C2M8jVrj*tY~^g@VN1=+ zFTf`ufs6H@AK;bNVyN$Ox97G$Q|2{y5;oc`rq=;7R< zgtjEWw6-(d!*avtSNEMk+BJ=p=87~ANhQ1BxbIYWVibmAo*JzOdnvCRM%pUHC~r2q zEa}}d6!KW|QoEnHwfXah2$n|D(7X2pEBq(v&(Y~@92kh2D$1Q_ZDsBKu?g#&$T=QMIR(C1_Ga4? z=+{Fs&BnPmj<9c(zq&jTM$#^1Rl9i@$=B&#AniLWqIekHbJZMaDDzQb)}pbJ>tZE2Sd*PSC3R!;kN0H;kY72broHeb2iLV)=G5IAA$WRqr-W72;Ok z9F*@U+$kS^e!3OY(fivzRCmo!^SztN;wVku}cu z{n6GIkv}O-d_@?YeBHg+&es(OMf=3c&9d^`zfd?X z1FwgM-8?=W-F6F}y=qIWcYS<{I{33RmD4qfFTz0qNA@>y_*NKS6cE$-iUDegbVdRn zPw^8Yf*0dIpeny8+1`J&6;W_c{?BsOTP@QSllk=Y#b~Z;?d9>USt_=mJ94M>I~rjn zoJO7up+hqgA7v9qC_Bc4$o8!Zb{d@RNzi-r;n28Mxgx#<$ zA=5#U5vW*3qdV}3k<=Gm5;9y#yh9PCeJ-BjgO-FTfVa_V@{zb#|6}=YLbjT3aXL&5 zV2ND#68X*G?g>qRTpX?ISir6MFS)NlY}IUr&DV z_pijVR~(*~Yr&&!jr%B(RDx*w(fc3yCWk3+_9xabB$=`6JEl`Em$F^R+6?O$s7ua2 za3Q_BaNrjrUF>~@W&2Q_ao~n|*#k^;(wCd3)G zX+?!yLa|`Mm=n7mJ8UR_6{QC8*x6wdv(vzUfhcQdr@KO9K*zuqiDyY zm0#)RCB+J>be7Xh$7EmqkC9()%0~=hSk&HnY}rYuIn1Yba`m7)LuqlqLNr;sp`e2C zfz3iEx*4lV#4Un?2`wTsjMYR{T?{)?Hz%yxCNeFDPwjKNp|!&8#KY<3XL-J#d036? z7tSM?-syk3d$)YC!0+cW+73tcP60oLzI&B5Uj2l^(m*@A-8;hcr)eLQP8`!R>PmTH zd9!1pC4=H0pJr^uAusq0s!N>S{k8L1Fgj-C&gSp^*mv@(4y}oOH zs3><~y=?Hs2Ub^sY_d5IG`TUxp&~n~{#B2Sk4N*Z0efU8^r-$KbnPk6@7qoNM)NeA zc}4P@uV8zybmRE~MS0#P!;dBZZiSbA9W}&NHa0KaB?M$1MfScvRaIep)s7v2)0!j-F1(Xy=k;yq?LYkqhg&#Np-z7z(n zmz5H$m1c&{e+nM`jO$WFnZTae{`Szbe=?%tUN^B*l_#&Z{4|%NCDmfY&qBKm$>j>` zqxHTiKZ_NozGV@9*I-_z;3y8}VJQjt zL24*WLpHN!h*~3(gJs(=r~bvBdo}7$LHlk(e8B9z&v;KCpa1SMQT~zpom);~)C==j zvcZxEYB^`Tp)uNPFQWXii?>+Z&2AJ87waYy+2%bh-y;PnTv~708ybk4H9h~Gz0xbW z#R)iGmX=P{( zaT%Yn{pmNf_wDI7+waoIem``M!|>?&k@&i28i z+~LwhWWCEgw39c%rEMW*CcY+RxhnV(9%-BisYFrXQi3&FlOz5KXR67Qr0ue6?ibJ7 zQ!qkqt&mUH+dJ`Qb4_SE6qmD_|tC z=_2dxp|qPl`f~xp!;!i{A=yZ$lyEGUAGM*n&Ehg9@iwC&l;PMxcz)|1Vj_rB8~-8YNGBz2aUZgQQu&8c=Elebp6CmEP= zWcj~K@p%5PQaoWE?*Apj({*}uR|@~i-}Tx|VjlC;+%XA$)(k60oQ`rK^(FquBO*dg zf$YoU57SL!vOA7n#~-+T60QC##@8gu*U-=RUC6KbIf_t{X;RE$t*KOp_6Hlux<%SS zPjv4iXA>FI_72lDo1A{Bz}SdKxZm%`kQLpd5Kc1sXqEIe+C?7S+f#!SEtm8CZj-K# zH1R@T2KPyt4b|;M?m-wYB@$s^VLBqa{&^n)yPC_wU-u7lvmCv@+MORF9CJlkis-ey&u z;SN#!6cjM{Sp3fVM_`=tTO{JFI|}U=Vdi{_%GsuVoo}$dM0RdKYONmowg4m}j8+WA{y&qGm>%njC>xN?B8ba_(v6V#x^PooF-$h3mHw zW>hv2iEU`#)Be2jt9ikKmp}S`{o8Z1&n1>mbyCdTUOrnaD$!DBlB^77V{9ZVVX{f3 z(6?pu`JlQ}P{DqXWtd>xno6xj;lT zTB`v)8XZB#t;E-6$k-!kqs{EAvR)DQs9e6|2)ny$e_4);v#)2&m0Yp$@Khh{Zoi$S ztR6u5E+ZC$`0sDgWRb22&usjrKcK)Su_!ZLQ@fcWu6XqRm72 zK>wi?gTN2E9m@yDH<^uI_g!ba|478caw+;S@gB0@Um4e*rH{XUt-t*#WRfVt^M{IWch1ImOWM0tgC^1YZDvRm<3g5IuuhAN?^F?~6f~EW$lhu+WuWRPVFV2@O zEV(+$oof}ZlRt+Qn#{dM;`{6RRFJ71wG*v1{;}mMPhzCK(jt9*Rt9O+*p_x%fK1-Y zONYY~C5(-LSej?s7=pKx&IP3i-p@EFB}gx;D6N8yu-c4bm2z+`>hWA-+pW^=$!iq% zIREYc-PY#)AGWsUGjk7bS18%+oE^9{tSmeXsRe~O?+Z}h=ey6zcb}SvN01Z#LqL#I z=)N&Em$W8Se7e-I>AB>n`MG4R+~F_q2qJ=o2A7_`0sLiZK7J?t zSM;>Au+os1=90Gaa96W(lW}o!b#b2P2w*Nwt(VJ<(-0>!ESoUpf43umI*#}Z7!5aUKrL&Bx zvWvDb-Q7rccXuNK(nvQ*N{O^INH-{||<;w`)ft0goqTJuTOe^Ko~N{`KWN zf3q9%qv^*piv?3{FkWKilDj(TgSj6}Qugm`>p-6X7y&{Y#Kn849w5JfbBlnYdDiAvrY-by}AN$GF1z}qzh zdW}b6S~=0r$w0LPhz5X8;Gy{J(<^~H6b_h&kAQ2z!!B3`)mqeLcFu?zLO9SI$l(D{ z1)ZuF&JO`#d5$`42jaoD@NVX16?{4XO1p;VTFLK>F@8Xgk52aj4Ej(tK=-N~23}3M zfG0&^5;AD zeNT#>E5MtZl8QFD#P#{P1$)Xs3qb|;gopKAtB^4K?O>)1yVIc&fTO1nr3wNhLmN$a zZb4DQ!p9(dlaA8x#L+@Bhc9bzn*>Pkk*SM~7j!>BSFQZ=Nf&R2iZTxwks6p6q^>Kv zg9Vz+qOD02txVvh9{}F)WHd5?K25Fv|Eym8;dC55w7^lQf)T`nM2yrG!1B~kk(6UV z6|WxqE~)6ytdtFyu$S**Ft06pJ9hOb;(nr)Z*71Z^o#H&P3n~D^)9U4vY&LG8r39>`W>yGy%n8)Dn&@Tt? z084$t3d~Ali;*YDu#ZP%m8XHsEt%jnn>Su@`)FL3`K5`&P}J>Zlb@qa%fwE3$3kav0d%$*78R?`%mo#NHy~aJB*E9?O%{^ zTTqZPbAEPK+LsT>XOEhAPN}F7r$H}W;3gIFfcKNDZfsSd+7Zc1Sg@u;{c&={WgPhkyg!_Pp%xR6vGuv-}oIyNs6a{)WxHbRO@eXTV#AV zR&~U6`sI1?xwCaANTtxfS`4Y z=Li8lJacE*n0Kn>jK_ha8p9Y58_fksC}9KwyHdt?b+aa!4~%47&o+8drI|c?f8E#H zflZ4-maX4}@6j&AN=ksb5z<*xn(++dL@u9`8vn&wqWNns-M2Khe34p;SV3Qnq)H3v}8MSl1&H|D%=lt|o+&_V{5PxTKMkvFi>O*+ zqoV@u{FEhqD!Gj%?*!^#UUvb5q_JO9?(@BknB#!q$9cfg3jF;809!W+kNr$4N))YZ zF0jM(1xb%r4G-;!k-TDp#^*k6mikoAzalohQGi&f=C?V|mgnuH$9sVS_G4NX;6X6; zR*YE#Ga7g{+))^Y12O^n%JGUffz{xX0*mPhY~rwI6@U&D7(P(tnKR~F%4sE8I#eu_ zru8m&g(NxFq^^rIb$D*{g5?odM()FYx7zZ=Y~-ZRmbd>m@bW^BKkemkf~t@F(|Tnd z7e{N%4p1^P#Q>dl{vS)=3V-8H-zw^MdGv0w#cA4hru4z5EU#e^1(Rg-_*x8Zz+}LZ zD+b&uty5scvI`6&*E^ZI+cHR)4F(enFx@zsucaC!7R@ZJ5F8+a#IS1cV+8dmB$tQ+ z?)-Z-2)|?57(OyA6;N>rRdLg-{vZ9=dAXVJrMGWuusy1k-|TOaFNj|gKPqMX4PKu$ zIAyB(cH+OF&~6^P=g80SGfwJ7#`nNR7T(EymK&8KAl%|!OlchadCH1J?L|)zhk18Y z=CLGqC}7DE{x^KIjRZ_%5GZO>Xk~K0D>$^JF5HoQ)dmmPdFcsrKIKage+4Onmi-NA z%D`SrTw<{|OmGthiH&CI-5G#J#M7HkX6wt;xTk++_CzA{z)g$8~Yu%YF+-=Qc*%JAFK!UAx3wzsrm^UQLUV#`- zuyTX_{ME~s_alU~^tVT|u;jKm0jc{jRfK%AvD&t$g18F@@v!go8B`P0RBej@tXRU~ z&1qOYWgDTU%JhP9ioMq=H8Z85nvB{X6qn*;Ck*#&Vge=Aneo#@`fC;LcD(~I=f%kJ~xMTjX8g4E=8LLK30-(##^Nnp z)1}~OYtHsya0}so34dej!#n}|8q6n*%L-Ery%S2oI~bvtzVOtW^HGT^u77EI%WNk& zd=HpCl7w=lOoN;gM*+?Xuo}MS7SUan>4{G#YcArOz)u=+RF;@cF-ot<+*f*r7fOT8 zr)W-rc(wZ`s1DxaI~&?HHFA>g>!0rI)ASFl)CWdXWo>#<>$9@BF`$u-7^I}j?6MVE{Q~|X zmWX1I`G}Y3SZL^){=@N2z@WAGFK|oB5Gf`!Eg36(666+zl#|B252co^_uMHQhp_4y zSJjI+zIOrelAe%{!WPZ(1#9HDIw?_p{!F_9mNvbNeecXbWJ#UTa5#nw83a>tOtmc@D`=MivZa9Y!;!ornGYJ_td`{Bkg`L73ks zK2_!Xi6m!K%xS87gCBb=Gxuin@Os|=K}RLJviJ*u#U9fJ&HZ-2y$bStV)s5da6HYI z63QXThKS`F!4V25A|@Q4X~6H1m1BTu=6*DcgWxWxo#R$ z!}_fAxbq*_R(HZqOw6WLsDs1gKt{xb`=XGBA+^D3n>r-}<81#UEtg@n&+o5(3sw5) zcBujv`?P}93RDeItPMou zJm#%BE)OPv^a|XYVz?yA>CY2wq`Zz+@QihJfm7on{E9^>eHpqzHV>a~G!7z~9AOC> zSPD|*){(0r=G-k$!jC?=v~Le|X~YGY**|8^SlNWxDRruJO$7o85x>LO&AN9g7(5fI zFXwIP-=kK}H?{}+_GWwra+~*Jge9Y2q^pf1CiDSGdeH-+5M#*=f4&2@wl81AS61bz zX|v;v(Q9(4@Etuk9;QxXd24IopD1OIK$kS7aM}<>QY^tHy{Q*a*Vp{X&PK>`_1`F0 zJw07bUruc@y*ULx7>i53xzTNa3&xM7<^)R$qK)LFRuz3g2iIy5j>r%yQMcI8O@kH- zG$AlgT44&JjIIgd5N#;R-1pUCNecoui7}%Fo+%qgsG=T@hJJM4HxtqHE{lj}K#O^oX1sLZk*; zeXV4gE;c&ywDfSSM|6a75Tf~#Ai5(u3P^lMlMi$m3qwS1Kw(&Ia!9Z!er~{&F+h}j z4LtFKzg`$^%qWV_sREu2((l)&6_!KkW0&_u&9V7y=Z1~Y!4((>neDa8yX6~L{)N&2 zeaWI-wF48YC9_sDR~R#>f3f#yb=_W?Osg1P=nC*8z&=s`C?Anu2i9)iSG|SL*^hF%XEU2jex+V}TSYG9#*I8>dbeF=@*vt_{qN{=zYi zD1w`mpP5&L-Hj6JoS7;QE)TI{&8|PMrzeJ>0{6}HlF@j3+5*3f~-s(G_ z0n^97;6CSYYF{s&Lv@#b9X!~Zb;+fi-vYJ%ruN=e2i)5#vi4JM!zivx3rYAj+XWs>DKC8Hw`+H>hI^t-aR#-Qx&Zps?bou}Q!7oT8 zVm(WXx3!m+A)DB2_&=TeiP4CCw-p0to=O{dJ~iLqCSPzTT2Hw}ojIfVi@h&iQFy~x z2Gw%rTR`C`3i?$w8JT?N;P+QKet&sqMl0cMZ~k2*MC2#NJ+Bm}IudUFre}wb+R_m$ z&$4Qx2L7If4{wRvILBs4gn}7@N+Lai7b@436=j`rI{uI=*01BW$ufpJsoA!m7M|tg}}*5vC*nF;(xt7=CYdAO5kmIt)5{qEv;C>(LVQ z5&Bj;+;%B)q8~I(ygkBH|ZbTSKI$IJu}9yLrl`cuHK52YN03475){b z{KE)Lk)Y%f=0(8{s(w^!TBXP0^eh%dR1#^syZA`)vSAMRS;QKyBGNNOPnaX1RC3Q7?*48n#^!wS~W!627M2t0jr}iUn%`sY9 zBZRA{*Tg|R3*X%zw$B+s>AhTk9HUg}&*4;$$Wk-p=XmSDvNWm=hZ1x2oe_p^_9yQk zig1PT_;4nJ7*+i_^B=lSVT~fLX?*PYGa}6aiS>~Akc?6j(&ID#k)WE5G)Z51h{niMbT7U~-csfd0yQ+#686$^``ME26wI4lYL?sS z(zjf?NoL$w*{%F+IV?R5_`Uh#$IuQ^l*t^)dj&~!O&}Y4l{*|xH(FI=P*og~FGF0n zg+l3WKOU8ro1xKxeQ&4Tqw`O>JdO7(U8FjeH$uRZdohx)O5w6}A=8UyUD1VvP}Hg^ z-T9csZ*R3js(6f{_#{GYkr-3R?UA0fYt%N+4w$`YjFeC-&O;YzNGaH8^!FrqCR%wS zIzg){-c|Ax!!n;MIgd^0HP0pppquAwjQCzKQw~8FYCYGtPm#hTq&h-Xc|z|oM)RGw z#>?g_xMp^MY5x7VWO>ch$Nco)Y#9r?Gna8hbYKakJBO zZ$$PwdVNUXhA9psy~5c{S^Dp*n`X`iIjGMmNLI)+rAzuh@)@L_Ga`nf_F2D?ep3~( zrbttbzHpITibo~N{iYkYE>`SMe@E_VEH5p>7x)59xW9v=gEq!BFgE7De0MM^H>pK< zdy#4MRU)_F*dHDOvRGx_<}Lk)iccdYBd3fRkI%bNcrWuS#rzrRt_U~fJak_;($w7G zMi1914&0IBvbmbto+nUhf+5ks?j8(PhcWkZ-^k+)Q!kY!@JlG$6;z&9Z`T7yUj>HW8ev7A zeh^=oz6Qrq7x)OA`c_$M1jVu9!$n1--4~f^9VMQFTf0~xtIDSR7Hem;4c=H9%$F=B z6EPddS+ol>p*PaS1U!X=)g91OPzVW*;^oyC>5Lro8i4*(s;YBqy8lBg(%6@5>${un z7Wp_PtZ791larHtHhekUrTrgSiYC{3mGDlA(u4G)JRNkwMv(&Lp{!s7rf^v=hT zl!Eu-@Le|%AF@HkWdWJsc1MHQ>&`W&kNz%hi?4Bu3oo zH|FZCw|dbek(hO@->KZIe#0Ku=-2o&kITpkK?i3A)}%kK5uPh!Dzp-&UPC`7>(a5l z&Oogj+M`>7==H-aT`ZkC!4Ny(vC`Uj(Jn0g({o%S_{Q0=T%5ghm=%zLX{arr^$R{CSrcK~a4JF5ri zZrI-e-Dw$UDuSH|N{7VtT}uQme&Rwu@A}dEb8FC{!GSg8-ojVK=P{XeLA3T<9NKr# z{KW9uZ;SCCAA=Umzn_N-AD+1$d3DI?5Lrb~fTevC(&v?|zvgX=8o}lvpu301-uc3E zLnHW-2LSiunX&|WW;r=IKUFRx@12U5kkp}-FWv|p1hD<@TICyuxQ{Ei6|Wn7un44; z8qFdyXGVfYeJx<83Uu_Al0n(`d;}8hJkf`jgdSffUr&!_ng7{G4SH+d)&#fGQ`UmR zK%~2J6V{P4^n{?H{_ZT08nGK1I3Z`-58ib?QZ9N;b+tIT-FbMdS9bET-tZsb<#44w z1yIPs*|IzsP}U38;_HITVMpp81fkD#uXf$GCbWCK9=@O9hGoK23Pt3#JdpUq6ano9BqqDEeSYrif0y zmU8qM{Fr+k#BNCa%;Kf?7UPS;go}+oHpv)MnOj6SySLyHWt&@XTxoDw-<&#rfovQwYq1!Eq=-xe z%l%&q@)ywe@;mxsgLCi?ZpjS|&G7QHPBRW(j6DcMC&-v-3W&abW;1`2WS21-C*sCu zuVPycPKK?Iw6z8*RwRA)_?>4;^`2?SNj5}j70-w1S;o{(8qpgt*=`)M}@Z!K16-EA((tgz_U zaQP3rR7%Pur-^zxWLuZ6FKwi-wtAdTd6*D zXE~cx>^w>GPvB zT8$`?_ttLF{S-YL<=xtXv324J05#l5k7nt3`9BZndT_g@SrLrBfvV%v z*cj~QU!jkhclzv|S|bY+tc(SVS962l_C55J5fWMSpi^iWutQqf2&;Bm2>lKpg6WQ1 zV=HCrR!3A2c(B3sT!S~wYzU{(+q)4b_^a(wE~Z;6}HhRVh`dzILTK)=OSuf<__tXv63WLxH$ z=ew9_f5+Dk7`TLBA0e(Z<#vh}b8U=KN@q);p`h0@DUL#aRSErOkFIM`!3Ul+#~7xs zIGva~$Ey68yD48ODmqH5brxQk+b^Mcf+g0JZ;zao3A{5PVZ&}@dbGYkik(Py5$_ztfT&03)c92L+T zfv&hj7Z0(Usk-GgEOsRBmdQf*3CaT0s3wXQk)#D3nB6ID_EFaTcQa^K@m80}Ac|u% zPhFAFza6}|i$YDrSDpJZpf_z&q!q73-E4_DCG}Me zz@;MW7fF|h?u4XZ|0FaAV(6ybwRJ`=b`j^BjHa!k#qZs^Vhx7ss|-aKUr0A244+! zzZrb`IxsG;o*hH3=a-7sd&<=F^D-;2E4$-_)HIv=v*mFfLBpl%YKJdM-nvBp{p1?= zd(!{<^YjPKrjb_JNlM>v)6+hU-7uNOxgMC54i8R&c!q{+uC?t{IJ^uCP^MzXzUg>9 zXC{;37?~MrPU&Y!?|AuS2+7O&-b>oup{)B$qZ?ah;W*e*k2wWOUFCIaO`9);mGXV` z)Y@3A2_~p*88m75tr1M-lE0vh8rXpek7{kNwp~GQ)Ej^PZvboXU_q+UcX{ zX6VgIJf~vS5VkQPKP8g(jTBKM^o_URp>BZ#Kg4&8=Rf0F<{u*1D^3fySChBa11H*j zQ+%#Y3>Kld$YKYK-k$3Y=-Gt%7&V>=eOqp&7Bh}9o974SJL3qI#?p`d8KX9}k>45X zknJ=RWOuHM9o6|Y{>{Gy7oTx3cyV;kY1desdCET%JVfJ1AMZaP^P-fStW*Lmx;CLcWgc~Et zysX4p=QE$FGq~_y5r>RBbA<84(aD!G5(gL*;i!J1IXQi!bdohJF;#1Ahejx!hj$%+ z*wPgACxOSa(d9GeX9_IqMf5rq!L*-LXoD1B8$0+ghh?NrvY0+I!lpH<$2um0&Y!WV zx=J$u)#HGQ=t+{_MPtL;189)_0slM=r(S%o%tjk#=Bwqkn|Wmd@lTTK{mWoDIhIz} z_2q%5dv{TFu0w(UgL(v2tUeC`93B- zQK*i^X)_-*WXAZ6VcuYgb1YZY8*ph8RrTP8s$y=lBkf{gC5K%G>zVjh`)v50rV;Z0E+4t5Sr8Vnst+K`5Y;$cqm=+8xne{jHZ>JHndF+k9bjxRm^QEg1 zTai!=j_RF9n=F|Qn}aDX{TgR0o?ZO0vG+a?X6ZWwRALEr>g^$Z5Ev?Is+jj4_-utUPbx{^Z7^<*>XbXlJB`m_?AO| z9LIZ0R2kRNjfEb%Pi$AdwIRFGzeX^s6m>2At+BLDgVM@wzk%{@{w1O1-{a327;MF| zK6y-%>DZ-KeMyFqgj3lL2}woB)WZ(>lS4uCbE)nfKj*PXIrkW4tw-BchmQ>PqrDh! z2i|)vjeh9=&21cc*n}qQ(;7$7pvoQoL-XO1UahIfd^b^AX+u%R5uf@4$2>+7?*2sr z`s;eoX7@>X&NPXhAi%Fu~K(0l^#+HUZvFlIS5dHk; zlkLWq^I!fQ2M&{R%dX_b4O0kaT!#MM!G0gtG5+u;rONq&bV*bG!ywI`*u*a9hZxpO z4Dt08^!FZoR=xPBYdWQ!Wb(A{+r+wd{#2()2o1!OZO(*F%9S8_N3z5=cO=_JZIh0N z$iM%N!>-H_kw~C6trpl7l2`cS0W&5j@H8l<)>TGpQl`SPyT^>!8`nG2Qwx@ag#@%x+yP$ z=nX4t+m@3*x3Pr8@;xZ3ZzYJ+Os7WlH?%Vpy}vYY`?aoBkZ8fHZg!}DOH+#eRr&g^ zOOu->o|BgyLMXAV3DeEs>sH)%W z{tsH17uunJXTjBZ*gQI4aaX8twB}*9M|%!u2*T^B=?_f2T8yvn#v78qW{{dx6xg+T zg3wTQi~e~KsBb_U|lWz|EQOjiKO@9%FtKZsKi#DOr5|esjW-a2jerW?; z8pIPtI*kP72NC+Ed0Y|iHio93TNLe$c~e!CUl<2kqBE1cj}3;9+Fh}^;;H)92H#WtAfOmMJutW}aHHv-zj=ad} zlWsZp_pvUVIss~>S&wy74+fAMtf1ShA4dLN=jyVZL+v2c_F^&8at=kgXj1j1 zrd)~LdGLi6iAX@ns>Bm>$L%tHmWqG>)^72Xy*3#wLI<898KnDxGOXsYuPHzF7CB~~ zZax9OmDXXU&VO9v?B=goOqS8j}{L<#hbQ)$v?M?%kB2$zSZdzPu zBf)PWk;~1fkUdn2=x9?RY1b#6(;^MCR<_`}{Re<Ub-NLY8cW&r zJsU(zF`ZSM;_K+vKnts6D&g~v8}dw?{Xk#v)?8&&y-~it91D%>#xK+h*&iQo2$`Ob zNAVINx>%*+y=pI2LB(%!l&HcfqhX1`-k(OfgJ$5v%e%M;_Vg*+1J8m3-&^PYH(mJLW~r-kUiF%kvfCae@G_ZKkuP^A$`^JP~0rubAfhyOLj5$4b|hv zQF^KAhBx=Cb%KMWO5^8KBb}7Dym$$nb!>hRvT3#^^`MXEB8{S2eic~y8G>ow(@V8= zg+pyo@$tS-BSb51r1D;M?S`b^HQLpmikszckaOtj$xilBl8LtY z#8jIST8`RWsnB>IaP8NJ3WUNvv5F#e9j)CUFUG4ZZr%5!h~tEIfoAh>Nn3{LSS@*9 zLQyp_VO2*alBv-8r@-Whd$fw*D$#a}=2!;^CzVLlV_Hl`!9xn;_MfPk!%o3% z^n268l*;~YC8=53rshn)#ePht;D3AKbjJn2!8+CJV zY-z}knzfb{dO?%*V&Oxf6UBDjehu*m-;4g;mh%sa6LNXw+?WxUwB2W7c-*wCk}n_L zw#mGx5Dcckmq(}dEVM~QJ5x@4&vCgcy-rtf^{7W#&9i5rYT%D!xR3NY@_=+f@D}40 zJtd$2jmb?7hb_;q7SzuaqO623n9#lahjmucDDY9Ht0IU66juJhmCt6bwFYH$X7*_g zpS6pEn>%uB^bzSoF(OU-%s?EyaC&KXB(We1Kj5ic(Mv><_g5ku z--aJ$%U=9;>70XVS<_tJW;J!`O;v9@q0B*q4w6bfNf~yF{Yo0uu34oh5!pG2Mek2J zOk=OABE89eQ$K^dhK%dTCZvU&2(NK9>V zhp!ip{<1*$HDez~0aM*J}D%TS)OQk|lC z+T&sT>96U1?4-v$wtF6DS80FB?WoBI&hMkhxPM80DWq@z-F}6Ecp>FNF|_{dUtUoc zHPL&@M@!yJUFiGwvY{i;?stzJAqjs7(@@rK;7@7lGiYMiN0=dr+)FuZ31;meydf^A zK!@~D(+q@aGDmTtKp*bx)W_)vb)@)oX;iZLD+@0zH!+_x+qrq^a;Lhdf~PzpFKwk2 zvZ&U>#l!mC*x2*~My1fLKTHz^MVIuHlXTNl8mM)*-X1Ud$MIe6wG1{Zsp5VjvlcLU z_fA)t;q?Q*_2D5M-U_1mFlr$oGph>lbj zN{>@>OOsA68&h2Iw_rBEG-47~0WpJryc-YiZel{OZY!B1t9>vj``mUC%ABMuxZFy1 z9I^astwL6npG3IYkqDu8EKK<28j9*A-UsBGs+XN%Y>O3~n;?apy4tE!o^ z65aOCAEF;`ya|XzD*Re@s!BlL}pE0iffMi>U*%;MAYE&(Z zEAk1E~U6ifex>b7JzWa5Z zjbBVSV`sogFVB>sp_}HECySWnq@yHFoT9`i)=Ah8vNI@VmKsG&{F-&R!=yb-|X)Lk87K=rz~CRJ2Sz>l0q(BFbn43qdCG zsq}BV$!*>#jVd!uT>J{>{x6$zlJ`{tL;9t9YzdRD$Lcf0zJCyFK->bLqP| zPL$u))fkwa4@lPqP5*2az279Tn{Z01ZB0V#e?GB)yp}5AP4zTxH?%0y;S-I$5Az&h z&2rw2Ub(*u(>L0Jw<3xDH{@#3<6)Rz&5fkGF-kRi5(#k0VStkoRJ&DV@wn@I%ktE{ zEn=UoaCHJ9QQ|nGhq*@P*J4B(B@rRb@ z)fe1cqspDHUh%w+-t2tq)Za_E1})4x$?ANp5Jg@CWqjm(;Y_g|5sy@Z(<%ij>AFoH z@qdRqXrXkMBHSd*KTrl=41{jrsHJfhpcsp9CV_+b`<3Ap&T|*V@`wi9KVeEM^4MEW z+1TNp%E!=M+v(06BiY2_Gdfyo=E8VoWd1(d3$G79y5zJvsWlEY=cxq`0+RD^Yee7p zrbQJ&S`Tt6t&wl5Sd5poL2So?BXgWCLi|uf`)S2)7b}-rB@E%tuK14nVsi$m9IgkA zzU6r)Xc68EA!x+F+5DOkGNto~v45&3XOT>zGLm+>5b`#7J`7W9L`9+gxRDETyvJ?$ ztm8U3wo2VLM*W5~#rPE!;a)|&Y`BqW5}F4PDm?D2_=NDQAMKV>B1 zg=rRtMF>94QIID<*&Zd3Cm>0zyuPU}uYUOHaEE61}IxnetM(Tdn=MF0T zXN~FP1>>oGfrlBaCj`enYY$}PpL_ZdDE}T5*+)$$8klB4d4~1xXA2*eS`G=uYa41U zs_SSxl4K^vn+k&AXpT)ZO7ro;!rNyrQVab+y``h7fjy&Xjv{gzM7yYxDZoGR;)ViK z7Ll+*#UM{$hi`m(S=GQ9COOQq?-i#tn!`Wa*iqnIXOyvt|G^AQU$x_=Sn2-vTmnIf zyN2m#PW_b=S2zm?#jlisO#*Sm=Oj-E`CJk*t*-*9VhuDBq?|1u9bGI7Fq=n}1mefq zd|sCSLK|5VO{s!q7+GK)-->sXL)>)l`Nj$c&Yq_|m*;Ix`3B=&l(F=!N}Ko-sPA%b z-;#dEV9qZ;M|{&u9z`mfF1Kk+F$I#9K4mXDIeE4zyH-@9;49HH6cgbgittBvr)O;4&$)^wxn=2D#4%?pd4LS{a0ztE;W#L_b~{co$XS zb><_kByX{hu|H|MC4J_`OMd5kroNJw8qKvSUj=xJ^>M zXo$}IUgQw+Z2Udm<(hvU<``QXRX^)fC9W9W+8pU=zL_MVP~eV#vmm%`jH(q@X}r`= zc%<{Vq!i7n$mrXtVk|aM*w}!r0K)Hb9!-XP)-SWzMZpPl-TwSkIL5xQ-)-u&ZkX+% z9_qKn#30s^93`ow6)8dr)clrA?AA@Ql143F+KoQLd(fx7$9^w*5@&^>!pyodJ8h2Z zQ|5@cBI8nW?yQRGBpK_0EW=Ts<5~TWtg1!~N}oX?0&IrhOI-8tH~22|=wDS(Daer+ zRKwNvkV?XoXFBpZj1ralib>wP(kN0S@ju`?H6Tb*jAir*qP8j`$7x)s%@#B*-=AV(U{88#vTQk);@vw||QtJdeHBG43aM7a)We%t2mk zj1~3Ve3*_#R>=3&d-wn5OP}f~<$ZF|XS?l0BrRMrf8DBUUz&4*Au`S6Rz2QD;Y(jR z`>#FlYP&vntn2+f=kk{)LMZ$bBPTpYX+=Z~=T9puK3|K1x!y5M_wBR-JRtYk_x3F^76rap^?mb04`t zxdFbEV|(&|f(E9jHKS}>j7I%zpE#l*Y9@Pnl1NE}W`q+~M&+;M$Dd<-#nU-lB*bM8 zCsJr-1xJ?@CL3dB#Uo^_x9wwNk+i;qZolZ5X6MmOh~DGFN~U}&!HbCQVBMLj5SocJ z(tXu*FP_OVXfu`M@8|MI{KGux6+C^OCc!Fl!36ikMb}Z#@D_H5%OYP1NUM~lDqgj8 zeaA*sWMMSxpjOT?PtbYcL{~R$guS_LRm-cfd-7D0z+-)-lQoLn^w)nMrdrg46O`8D zO(kb|sA|5Z73SqmcHzA6RXvyCFOayOS5<_RAEwXfTEbwU-Dy$p=k*QvLQD!z)V=--_W$pSRQs|;s_nq<~QrtG_xZt{z}yR7n6Tjigi-TU%XUkfK8I?P9Rof7eN zQl#`kk#5dpnJji+c41rky7|ZT!@eDt3r<^WjG4cPYhR`gjzG3Q>0*s#)DE+xbDi8Rum^X^);E=L%{ znEN?{~ z2mmJxq}Cii%Ueu(LYXR~I=!Q8T1>VJ8jpvfCde+7kQDOk6^aKRT>t(u1gptA7Cm$gPVxu>D zaaoXWd8&pEytqHtiKVr-6b{sb?)*+b4#Y1{O%MTizh)j`7Tr}6)83Cf<|vvF->QhE zDs0qwCvMopM|})`;q)0f+G%42=4l>F@2fmNmff5BgyJ3A@ljWjPnJsf&o&U1ZK20f z`cum`!VJOq(vDLzh!~8B?PinA8uBZUNjwXggP;y`o{c)P7_%Nm6sGY3MdMv&3q%lM z|9&IitiUe>_golP_8x-PVJC!?JF>{LG4%EZG)(CkcyzMT4Rs-d8{g{s9`U{mWblbh z;nQY1h^Lt7hK~Y;)@x9fiF&M3lk!$=FW4+LIiQ?aeDJwbm;Er>U>70DrelA*^o`a( z1zro#8JQ9*yn8Ouy9H`eF;E$Rlnc}-yz@>bPq{&wrF$N9lw11W(_O8Hz4b)`FGAn2 z_&T)&_`eBA3)c++OUV!LVPL8QZL#d~8iwz8%<%YsqFm|(>312NB94gVsMpQO^YFJ^ z^sISBbdL!@j>4S|eVvrEGw=5MAi;qZ`!a7x45lJSAod4rF*ZBlEQCfO+A@}@1+xn$ zR>Z|Zec}OC$oi_6J79v{UE}!@v)^AEC2@KUfXwatT9*}{=KfB^C)pnr!qUj*v#aQr z@$l2??XGR_clh>93!TA znp*<)Vm=+6_=DQzSpddCWw^~s_1W^vHa65eTzhSYBfzAFLkW;XC6?TYJL!BM9o|?G zphy1W*2aR-B+c(ShZ#`#SbX=>*Z}IMeG2e3z`u*zAsW$?m+9S8^5&nMgs*?Kh54BK z0AP0~Sh}v8mk9e69RTk?{A>?H$sDM-kV{eG_JMJ{=J)$y{t=bO8ee%`2Vr0?n?-i7 z3>0eGulQ|%&v*V^qR=*+GHmkx^(gSD?;Qun}Z52P^kHO?66qJxfVP^g>WEGm53p2Rl_b^rj6;aZxN;sqcJc)J?0C13WSRU(p`<5}n8E^@om5CS_6yA&j3J{rq%-Ix21AYW>cN4CU}V}+6Q zFPT^bU7;|RNk^c_)@RV`eG*@+RWr|E3NofYaSkp+9a>R{XoObxGmwCOL?Ln};3v46 z)!XiDrBgGc_4x|f2J=<=Y)F^XT~aBiM0n18*0l)vV>OPXU#Lp^2o-q$bIMc1tBr_d zb}qUB7MDYR-E4+^a1-)Xrh}TBo{Ecuj{rzct|9DX0NNKl=xPiWLnG`o>hXy#F9>Da zV~z-%R?1@dck94B^#&-{2B0oo|Aa=|90Phk7} z>`+%p&n0N~q1ZJ9X3Q<^8^}+pjwBNN-3*$?cYQD6Y%P>agfjhdUY-?!X>077)jc2< zCt*;sAaAD^e zlvM^BVQSa8&1KUCLd?E5&F-8EcPw??hl6SmdXbh>OZztz!wl(>F0j@8f9y7{sN15j zhj-xUyEHl+fEaWt%Wj&=9uL?duBVjnI{+NcJb{d=yV#z)m`XTxDrln3i7$C}OKJ_W z5H~rmUPHbYx~}CFr!c6KnVVS(cMb^DU5n0N%KrdTr~`}uHgZ}2+d{XNOe%}A-F!{P z5DH&YEW^I>hYzo8hYt{?7WAz@HGR`?A(xzz6<-E~9*)*M6%8H*e8eJ&9)24tNwo&7 z*Bi~Hl&hy%E!g4aCod!WH;nJ4@q8-w;7~pkN}&?da`Zi3rFo+$u*XV{!o{K9ul#nT znev`+u0SAo`O`tJuymL2!Zip|d?6iSqdqqEdT1*5*Mq1yjSXRHyx`q<<_3SBiMby_ z)NIUQTcxB1arI8zxLtR%qt`^aX zERj9mSRMFTVLg&P?s;S-wJxRElK_?jXN%%a$?nuWe<43r(KlBj7o-=#$kYj&=6Z>GIwDXvS8|lAxU`_cAA|BwS z^Ai4Me84E0||!zMF4aMhxd;>15n>2J$7q{C+87q2v13vNKIji@WPqY%7Y3){E}`;sBZK zReDxXq4P|uc)fC0E#&cQo)pa17w)q#O#Mn99p7i;&Q1H`X{iG>yI?xjbtB!EC^9?6 z2F!p6DbMU!ya?i^;^(Hud6qjhtPgYL1HN;_Z1ngD`#{%c3RnG%NA!X2g>)u!;(fj5 zAw)Lz_?S|V6)S{J`|*aKS0LHXi$`@|JEptyD&zqA*UJIr0M3HKIHBLe`ri8h*hDbR znNxk#SK17q#vmQ!6LFf7*H7t-J2`yVm`N%rMS#o_+S&&)&ZXZnJ>IK|-cLx48%ozuq^E zsux6PDyjSWikUN~zuD={BO)+4YeQ3LO?O*G>EhGi-U7w@7ZhUArJw>DK%vj~q@H;T z;M1RE!F2g?V-G5N2wLxtPq&$&)SW$Kguc(+Uku%f{0`Ux&U zLddrp_Ers?zp>;SxVP>c6XD8#d=B6B%EOB-Q1weR9Zt6m2FJ*GbPFXEg-{c-Sq^Ri zVX(Nx9+*D|vbhwFGgz`5F&ueulmOzRWUOqE6W zcw#c@@ti%gDtenYQP!MD{{#=?488Kpv?tF~WfEoL_^o{83H!_6g#EiQZ?r!rc5{&M z#=L(J!$6Xs&~KnH7*{b#4O(Ts zE`kj);NFtS@mOpuuIi@oV`C4g)iUpga4myZFWcO+A zJ0TjKByW#uU^f*>dlGp;Xv(`}bH&oeNUjx)w#Q4VP#-G`7^2B=gl*itVP?^SPT{i# z>~JNOq85-S!nJM`VhO&AKCUVr@2^!A(prdBNQLwhj)07?hSLU_!l+dm-IrU#v zs&Yd1bF*y%*iP}ZRhteRC(8?MQfv}6Tch$v83$fg@u%hc6!WdT=VTIkG z3L#yrvyzcsm%{9+B+#!$HAmY_63mHQ-sJR_Vh()N{+_7U#+D3N9ZS+=5OB`Xw=GL& zFnnK3spxzAQt>Fp!IdM#Tedxm=|Xt_7}WovA5sNL?c%Wg%ypsYXjq*|#GV|X!BNSd zKdx;9Hu9^+z1wY!=ZPZh7x!5sKzt@oTQ>Mi^#U?0G-Bm!Iu1&4o9b-BKgRl|d`A&| zk>6s=|JcyVgye0fisl8s22Ww0D%ZWbg6~NyFt*m2dWA<4)cbgy*#o1}6cLpk<;htFPlQ%Blvd9 z0B5T&XEA1oEjIGZBfim?M}VN|zg0@g4Dk{fZ~3Y2s+Gmjo${IMX+@kfpSRKbqeL2Q zbyb?U9Pqxac6fmmxySy7>);6tLf`gIsINDa5f9g28Wnx+kr(g0QemvNO=Lp=k1ToM zgw!>CRIt!nsE=rPv~AuSxkqG!X>nw?uD#)Clzu?UhR+}bA8pbqT+>@;oEeRE69#N} zk?_{yBTOz3#-IpapM^?}2f$U1%m_}ou>?{Wr#L?=*abfnw~YWnAK=anh%I&@u|eDN zAw3b3&rqG&Z-3^Ay%tUP2Bh!m3bFpy0J34FKS`WDd9h8O$OM@u2dE0WQK|Y*+uoa) zLvFFO(Z{{DHvt<}O?m$}xD%l%;CN7Cri@~V9IU3doVE(l zemXW$#5Vm-ru(q=o9jaD7}g%I5)tFmyTMLb{SGbADq1gObfv~GPDUl9>e&J2iONN7 z-KZE0B)-;1?D5s>*f(NHI0OpLz1@-IZBII|k3Wd}K7APBK2?Z}Hu4m>3~mRE{ZF(sQd=M2I)z;4B)OCk00N?DS97h>-$sv7TyDYfQ%GmqesI35)2y$9_Eb zl0D50d)r=0MxG=tAXm^ueioZ$-%{$Oe6!a(RR*VXGgq{?!FKeYnclO|7CxE9DClvD zW!hlnFfyy;W)LA;7c_`F4Sj@=4C!C2l#F_wVJti%le5oK|i+&eH4&6{&v0^koKtn$F?z0$1{tPNYMLly&xI9un(NDJN zKgFtij*qtb+`clEOr|90;~N&Uha)+cIW)In@2nemp;dAJ{{ER-yTKszBIR%s(#9UG z5|4L1bs@jvrcgbUKD1$fDxWgk()L-hDdou$HSxRa!KcgsFE)n3YR#mUC*fKKLQVTm^Tx!S3Bn!6+&v1x*cURJ{OZ z*Muzk55;c)u~4yO>g`j7OP@=GUV?S*#^Co!#;5P(xenkeJf1EbD*o&|C+cAa>F< zsgCCvnN##`p3>@d1cWhr_DOa>hWYJFJv&T>j8gLb|1}hm8YNc{eY>tXA zUxK_giHdAYiaO-HX@+ObjVRT1Q`P~6%0}$=C|m$@Pa)mQ0d63rNY2Ta7&V|;#J+Ey z_uH!nqu7DHBv%qQDtWLM%T`>mgqkO8Ep#AeYdv_0ZFimB6ZsUMYH;J!tSNNMJSo97 z?xTsMw(N>pq>bB6IlD}+kRImcQoT*Y$p_oBR^v8QD?Nn#+Kmeh*&q9G&#$~bF_?hM z++yF0mSt#2Wg^XhEk6ke>fphhz2sfHzDrM!jq$d_Yz_lc(~M@R{8^BR=qTg*K5%Z9 zbIJJVWBn`RYs0M)wNRxU*+Dv^nVJP2d-=6)lYphom{A|mMx*Bw#mg7bvBTY{yJwEr z;0YEnfCc0x6163;gE8^*QAs&TA-0mtq>2L>4>l|?SuSIVTj(zlgUeqg!Rl-u31vc! zXPZ(sqsdoT1#*DwR7oAhnR7tV%I(yALxAKtKCv3QcB?%OOPKuY<}Z|5?4MlNq$#;J z3B8pE@t<=Q=1lZgZj%BQB^&ZABh_9MExDpaGcr1DoF!-zH~lVo3>s}fsxBdjmMa9e zP6<8i&iAKm20b9tDlFfDCMcIhdlMm@ydl;LEC~1|$ltD@st59uLa)o$Gdl*ifRtn% z(0tR8zAgQDhj?@jIKQWpqZT4Y2!=lYbss$Y5hWOu-Jpl+gFJ6Sn1hdmLIw%~q2Sax z(BrlId698M??~SN09xLxJS;dNz&#l7N|SVeB;pcyUczCQtR_BfN6>OXwe6+y25)7FVT=M33$mv`t#ciyH<9S( zi+UmdauobG-{7`eSLsH?(uCyo8(){pQehJ{?*X9KBLy{c1km)HX;gp~q+NfvzEPWV4zWpepyTnq~TJy2#J<6F_T9fC7{QFj* z@{%kKbq1YQHmwg$UR%nFUkj;frH-7J0g1j@giz~n=}Uzp5wB@uDdF=V1?kY5yZ~R& zeE|1J1s@JHS6dSzwyzOS7vKEcrEBnw--~*04mLLcx+mzMk;YXJ&9d`@1Xx1A=^Hm7K(XluBR7P zV;y6{1V{QR=cD=vQ0=e#Np$FyvtI#`yi~ULSp}p!$4NkL6>zeH0JR=;jKx2G0TY8r zYQcgQgpklMztki!l;0a|Wfme~)ugm~gK%EI3HSv*KmwrEzz(-2qs|favYj+ufCKWJWftJe6yWW)hX&2yy`(!j+VgSk|Kp;o#b-FHR5CAGW)MKpaj@;s`+fTkUH?0jJhSU+vx7SbJK|9^D{yFcy3!s79jZXbh+EJD81= zh4qReI`>|T3Ks~rein~Kyd+vn>QiZi=qTnHXxR+gVtzcUt~}lHB2;R1|3wa$Htg$> zZ^|HsdIQ?yZ{G!rZGY-n$1}YJ9^}e76D3gO6PhCn%L~;+;RBJ{TcBw6?kICu=z)nYU) zqW>67dQ8BU2L)*Jsp_oY2#qsWo>D9z-#!!%AhsiT3i$c}s!$+c6K(}2i_A7ZhNmNn z&HIScFpsC=?ut1{2b3(nVe$ddnJ3zB&`iOcNhMqTBhchHF)}cqmJX&|YToA$M3z5H zXVU@NhdzkOAFy$5a(NyYD29|qPCHcpcx0N{S15E$=6dC|eHZ2#kgW{)jwd1rR8g5< zAw-DmXPY@Ghku_|+P8th4?<*^XazI`oIsWWw0halrgpvA**MyODX_)p#Con;Y!hP|itZ0zKm)Yj!Navp)en3?RyM0=nSwj;tNM)|JGFHn``y ztT|F#ednSB-}WQs4xk_yez#xqYbBL@1JX3;;jBOW3S2+8Av0%;D zuL;46&inuF$1Mcs8}NDZ;U7i47!RI2#*N0bhPv@>Qz8_gK@5Hb8f;)F%xl*2&PVjW zuY*k;4Ts%rVYBLd3m5;I!P4bZ-#SX$n9Slz{MxRY|fR})IW70sx>#hN+Y&t$lfhbU@9RVd= zkXh=FfOzoV+YeRg-!jnFrYkH@~34Gatf z=M9MZoBMwgC(F$mmdNWYm;)uXHNCpbvi1n^5|EL>L^%lzF1N31fdU*zo$dZ7(%@VG zlA0+v;4DFX^J2w~kCYR$-WV|9f^SYi5&>3&*qexfGH5md>9k$~Tri)>4hCYOV9M!x zJ%Wp_fakS0S%jEb`~7kUuI9Z3@QVsg5Nd!_c6<_Y{cYLH9865W#Pp+x$H&xhYj)dU zFzEz~1vol8Wm%SQmK?G+FnHSciov|}6iA75gE?8v$ z>_Ru(H}$W?+U+>#Y6?4zQ|Dg2_~(Du)bjIO|`ZWXd#^JN??R029abpTlP%4$bG zQf}c_D~mvz8t`W+HdjGRu2tw10JU&s3*Ly{6bYdGX}wr)V{Gmfb>AbloA(;X)ACw& zEIL|0!Cm@(wl!3tmFqVqv>@AF_x9Kg?}?xZ5GVZ|gvTzO%Cf zUL#~d>>591TtBdlzDIMd1Fi0Rpy~M=@&H4GATnZn0LJA&sF(Ma*9y^FI>07pn60Mt z!_s!U1%csp4kV-aXGS<>uMpffPzwQldT*ep3BTW!0o_(FP?j(cfSzn~?+7O!^-=(P zD5{TSA~={@L9BrYW|v=byiP64-H5u9vd2Th^i|t%G_%-Wzy|uN!wm=MbR` z8VkbClZBu*)iWORL@(3S#+!yoUw{vFzSFJ2yq|-!GSJ8QadBM zLN6k@52ME$Lb7ko7Xt_s9}g0ZfPK<<&7+T_;O<=w6019W_bK<*z zcAfFkSFi7)wO>!cm=B;XNYnD?$sXOyett%Dh5HAoIBMU+F>J#o#-s$EVTx|R`*@ud zJx@IB@|MMVm-_uD4G4)PJ~3L?G*QTAxS_F`F-DT7wy9}ON$Kz&2_ZI{B-;_4I(B(C zOVWonWSFFqprxAe`j=lcUc0#%vDD?=k0Wb%JWrI+M~z(|AB`Y(6?QNDu}q~Qm%Il0 zr~4)+g`rDRR`*&aoH9+(&ux;6sw?rsz&u~0FW{SxHPmdRvWPRbY-Y_w+_=X$MdXwO zt4TT+V;CbF?nnsWmYS4nwxdZD4Wc4$Lt*aU%mh72%;r|NW;?cGcy4g(H9IEbHN&jp zaBAP=rE`gs6)BEJwtnq5Z{TH+T4i}kcT7R23DJ0*;e%FhN&4>ux=EsFkAKcEV6j44 zOJ8)Q$7y9UhN6f+vxY10M};r(_Vn}LxgIW-(#@>Oq8P=EAx>#?QX0%qyNXMSaEwvQ z_&N_?W?!G4)QTmU*P{A0ICq%gSo^C-+o&Sz^oG*BXHr%nfW;^q7`6N@>Oa>bDG zH0+_j#eZO4;^vCj_lRR^=8TOJn3rwIzJh;A871rA>>6O1LaMW+rPr~B=6YHGO6ePW z+7Hj4iaxnjO)bmFSg@L6TY8;iV(tkmG-znJ6F!c**EG!_r9+ecnPbq^$AURyrGRZr z?~9)|LBv*)F}r48+hd`W)79qS--T%$%`EY<;vd*-Qt+>JCRGcYN*Oms&9VJ73w39Jd75wi} zATn5D#wCrj5AI|VF!Wc)gjJ>8ANFF$?!FL4^^jPW&GAswPXDtq6y5m3HuiFM;`#gM zn>rB0y>qb$OWUmH^z<*~Ea~Pjmy;Jkrpu4v|L=m*=S`unM^5Z!Mc8RMTx4a>Kwgq& z*X(fCq+77{hj~2j$lvYTx8L^W*3#3Cn+OpEx2e<@4U0t6N_OVJ1KT*IFTKrn3}qWd z6Y?z9Uc^0*CK|7?Y64;y{xF{=bJ=HX(uuUO7JJn_*QESl7%R)Ujh&`7=-2O06tEr{ z`kGxX8=fgJ-5!35(^ssyQ}9skhlX{cddEwi^FJYsWhFi-MW@H%iTnWd@_qrs)73ov zvB_DJo4vt9k^P(jj{b+|fX3cmml@Aok09+{%^}Qtw)REQB?e;8mAz}KrS}t$@hO(zt&VMTXx&HXR@D^{h!U36BV>CyFKj$dD;jGmlo~yjsIc%gO-*hLCIILupS$I5oOZdVk2#|l{b6Borw(Alp3t*$6{zL{i$PdmwI+_xi4D7O}@=FaRVne;cu|5 z$;-Q!9u0oNO6*UxVVR?rCk*kxPna{tO3-RymcZsrLuZvNg)bN?*P*l3=wyt0&4{{7 z+mC`&r{Zc%n2;{M)C#qcAF1#~3;)N)Vd6qdFP{yP=2GZ>U9Yt&9wO0F@xg>BaX;CB zn$jKxq~-_)Aw(tp668y3zS3y$#uPln-$c*y!4grIZAYzw1%TP_i9AsUrmiQ>QVu+m zzA3>uvUJAP;Ky(6rve~Hc1}u}c^!IaDLc)%%$|~+&*D_F26Y#z>q?D^l{b zmn-RH8RSFT&#Om9@|MOFWtjE3rMEYm_UW!23O`k0d(&`f; z{4O-s8k6`P-l~3o28KhsxL7N-k)PdBx5Sn%Y#Qs+FSgli-V*cReKw4q4GP0oYq2-# z8)!02x)T{#HyV_{g3~6*Tfa|VHQC#AzyC0a=@zA^7^@E1d9+VL@zNPm`=TP>>?X1b zz4q1C&(Kx_E4k`O7B?Fa^gft6I-SWcNnQT393(j`F5bt^A=&gi?=BKPXRQ3Xb6a8g zX7uVpg#1OKuwOeHZ0-sfN@Xc5Z0Ziv#(W-X3o9n|_nIWisk47kyG^>fDS_dX^CGoQ zo!sODl!`S=p4BPm(~|LH(HL^kztN(PT5nZ*p01?Ylg*U3ZYZVsij+Tr=6cE?=M;Nb zaI}ZF%${#PmKwy)%6SHx8x}thE52>U^LfA0NIg)M0&k}we#?CEaxp{V+3|Y$rS{*a zwcM{Nlw_tg{!DxeF#FanH~Rxg0+$}_W|I%y_WpQL-<3?8<*VzH+c{wyH9Qz zzRmOVoOx3b%BwY>*96UNSw`Bt(D>XPwv|_d+OiBa zyBi(DUOkxnt7^j0_thq&J@ux7btbQ=qXSvhw~_8V>e9Gtz@G-o?eLZKor<|26=j{h zWPJYqCrwCoqU)Z==;7QG^$4oJ^`9!{)=vN7Yh|fh{Oj>z5uIy$sG;I895WxXt$b7^GB9wv_y}3#7?z41Yi$CVYX}pLRuT66Cw9C(sQ;!SlK)-AiM}R; z6N}BV{q|&Sx8_|h8&v`+nMOc|`UK?da0^Pu=`I6vhq>rtWw6LWjtia>-47X5Z+(WE zZky!y^)1cgD}yY5R@ty3XByOxp7I!jio{*9vb(DQSK?t7@LbK}3)_8 z?M|P5oed?h05iMTe)V@hnRAGRgDcNJoL!^GvsV5yhL<`wk7i?DRnJQ&M*fg@xGkH8&F;!BGY6Wic`7x5{B9ZYIT^XdXpw zLQl?cqGBbcLiD%>E`D8E2HX0!>8c_8QVy0T{ZJ)_aCUXF!=$4%slTFM%wE^M64`yA z)xI(vGk-lMG<4%6^ZHM-*qrRp%PFCgn3po_(UyB0x5oyOuwNKOS}2G69`$wu1WN=( z(QtKEl@Sc9r>e_l#TT2cc0)eoJUsI)1?&X`qt2b9S#uXf@cD9m2+g~0bDHT!pRvHJ`&n+k1!`AQ6A+VW&!55RR?#zn1OPea0nTG^O^Xj4)ty}PX@A1t%$>jrnl`@4cWM=m8VXT`T{Ye8&NlVo4rf)bQ z(y@MX9_1&a7y{(ag%#W=3k1*(TUCS^Z$mwhs_X=p+aKaWB3UE)NJ z!+xay`Ow~rJf+C+x+8*ME%TSAk}y1SqT@54Kku4h-h+M>HLD&yJ;|CLg>_x|VB32E|K=Y>M)Wh-?>g%s_};M)5( zvELjUzCvSXo%bQ_jI&Bz$d@Ag-fD*f`=fEVC&5}5tUaUO=GPQ)sUVs!4Db>{LC}|k*c_dJ;TA;UvH|CTRN88YkRiGWAdXI{-V6NJB~*WX7A!3 zLs%1j7@oc#>s!@b8Mwr?%Uio6NFwIJZ{Brd2DMmb5q-dPjJlC<#d*o!25#tCy|@&u z=Iuzb*?vADiNtsdb?bzL$YyLOt_}fD{I}@~k+Tu;#A<=p2l~aBc-?cpLyRqgme2cW z^9&$agI{Wir(PGwkH)Dwj%T{kbT>cl5!<7WZ-QqsJ)7EEzOy@9NS&c_e^cIVecH$< zy_f-Y%ZY~%K515ej#KU9KWrgvj5}&O&=5P&ezoihp-qbMFMn24{Dq0+@i#gc_t-rX z6`k33QZvhe;JrF5YHiRf*3mOodvohgK*coI$4?A5Ol^ctyN!$jEcTgTwL3#Paq5Ru zQYM1`Jc}b^CM-196+6(8Zjxrn?zG#GF!TsCs^=zsOz>Uw8A@`Pw%NlJqrS#ga{^-J z(+&=Y(Z)p=FD~VV8bcx>6kS;m<}0*ghYx{IUym!+Xu>`V*7_L5d4UGNAo8pZ?_(zZ zOS6Jn8*z#u#%StI>UYP%BGd$Sv8LunL?4V_llM%urhE&I`66g3(j}1BGC81-cO%ML zr#kXIJ|FGc)=KxYbf=Ni5x5pMs#fZl6cgToWWVsh6$l|yV#MfAo(2uap!_FYQWz4D zuQ6A<^Db=yJ+r1c&|j#l-{zexTLR}sj+)-RvTpG_c-XgK@-_-;x_T*)4AmGuQh>2U zmKMQ@r_pAu@G@A){vW^csIYDcsIXJ@nN=SjCoL7J>{ircI(9ZF;vp7NvC~!i zDsbUH6T7&y$Tv{NuSF{*n9zRL@PYjv%sPJ#z!R+h$a~I>)y93!SbZ(%y(eQdyJl*~ z(G|q;j?+)l*`FlLw86F_gG65-HFk-8e>V5;pXdFtWuv(GrvAk4W^fk88TVO}&| zc6qZgac1Q$I~X?G&~lDMFNb`HCVHyFXiVzujkp=L!Hcq5Qli|CGDmKModTH0QU_dy zsn1YqnWBFh6Jl$Zosb@QNC{S(Z8d(yRMIN&(KdVH5%5GZVe}=733c;Z!oR+>8$GAC!=q&l%{0MIa~vj?J;`xfNwy?m-v)DT6Y3lRdpXbvWjU%sDn_XvC#Q#?AnhX>(>3%d=qUU_~h}i3od?xle?7xTW*5J zd^)Uqh4LbxT6UrwK2HCvwp%z|WNK|4daUwpvLp3(z=GqAiRS$(T`;WqPMuR&)@dlc zFo)uI2p!2z6Cge<{PG%AeiSkq7nk_C7HyjgLjjnyK06A1mam2F%h{?uOfsP3A~hl`@?blArVv3(qMv zdN)z_4h45+A5E5|c)2D-G>&$J&xG;|^{rHPH#OE@hffT@_#}?y%ov~vM+Xby$FG=r zJ9|i1yBKIl*dB&P`@;u$g0jA7H_}zhtllPOi5Y92P9h$_2sin#_FQ@k>K1dk8LoEs zcEszWqL(TGW2#2Fot>6=&wLUI^e|6-k2ji&wcT4VvJfubx()u7C{%!CL-U?H^S$Bm zL~QBIPhmkSo*VsFbt+W(>R-29kLEkOJZ2MUrdn&i8#{I9r4wAX(sqUST=)!82Qv*} z8MN#=XQp_*>?C;A>zK{hwV>~UU4R9{bL@_+Q0MGI&Wh?-R)p9@<8gK;{SnVhdj;|9 zNO8}Lgo_LB;eKwIbH8tivUgk87QC>6RQs6OdrPalyel=z=74bz(lkFBpgkNt&bDE3 zx}WoG%28_Q;H6zN_^0du6W<*Ph7G*$EOs4gSpVX>$1C8+hZMo=GsIC%@w0VGbFc1X zsfI&LIleG&u%OR}Tow28!T?WCfR8*RKhpaO+h2cXJk&0Sx2*uZE)unZy2n9FOws(WyOe{Brj%}rk0xmd+y zHZ5NA!?>kV=gv{2V@g`IMmAg=wIDI*P9oLnZ{O){C6RW_rtSz}1RX#CjeX86Lktf#-Gd7tn4vlI{A&QJ$b5wtT}(6{K05zmxfd&onYWfm$v!k1}US&&!^ zUtds&Fj9%mnRO)4NgdQVJcV_uzlL$EED^669QNhRTl~3H)R}!Sv-iO&$_qt`e>8B; z;&J6r24AL}&$P}D*&`&8rm7BG7{|)vdBJjgNS}pCD1~zc1w~}Jw8JXzQ;v`eBY9Y> z8_aO2nWXnE=Prl4&oD9gL$E#d7&rdIoWgGQ7~aI_huic(8oO5OcSwWG{kF)T zeVB#HyW^tkde3iFG=5G&>tv=xpF?s9HRz;1PFg|UWYHLlrNYq>A1Cj6rX>Vu<7XjZ zRoq4&;0McTy{-$>0jpHGQj?B{d$BMItr2GwukvctZ!VtSEI-XGULv!p;EmO96pm!Q z-LJD+Mt#AneaNeh?^j%l=g9~g@NaSv<+4H5 zbkA{lg?#6pL7^b7z%3NtPdqYkewdbh{e|s-+>rhiC}VG@z-R7x?2E~Mv;Cn6Jq*$g zZqtNuYh|TN?Oh}@jV2jQn7Kd`o+Qq&yLQvhwoqQ{l9r5XbsHV}L;A@_&$e(gT9YeZ zVotN88Fn2hK}g&kcG@h)&+M{`CHS_4HwsTT_X$$xt+GFADIDXI*Evk|)xzqIAoJdV z{=^}(f0;_)^Cfh!IkFeFz4Gqv=>It1Mh($7nk#TI?JS0*a2$B_5x51VZY9lnxy*#w zIaIw$Z;`2vd7SOi=ek_^%Qb3%fV%Y#MY5)Ql|f9VjNwa3x5>xKK{OLv7G*I37PA+x z$x#Su@sf~y=}oZbRug2o#`)6Mh0=BiL;j2kn4dV2^)tUFbs%BRCt*t6=C(Eu`E1h` z{EI@%YLa-RX;T7qFbeTn%77f)<1&^TrU;nBc0$T-) z$LZ{Thd4I?Um^X*B2#rqoBH5`Xa3v2*knh=TVFW&H2IKfV}{w5xTAApp=qiz-?kw+ z?IuZU7%LNM_QSj_Tv0biXW`u{pUBldHtQR9DOvY7^9U8GR+DkSs^2w#-A|;lw?yN& z*!$H{lzN0n#{SmT+spBf`4`7T61+g?9j4H{^MXd8{v9YT9p7(chrj)KCXRksflVFb zM{z`N50+vQa08Pje;rtBzE1t#^J3rIh!%m;d$1H^ugLqAs!OgG({Fa8_Bm{8N_c=4 zxJ&rNyY#6GZd*PK%&awF^-8YG!nD%2un(485^5jG4dl7=QJEOiJGl==qb->USjdT| zS+s=<&Myf~CjA(hurT z*;|>$Cpoax-O0Uch~EW_9CAG-3?IwP*{3(vMoCrK`jEY3Mm|Fs+m=Y}a4l>(bL0 zER(Gx{}_bo>po6=UCueI8RKUmm$WmDB1ljr0#n);;oV$jB=P>hef22V`Tmu&&&_-Y znGR$55ZkoXO67?|Nfo`UeTIqTv5?j@gGr+yVdFBgzCxmb##C=>3wapw*9UT9r#rcb z9h+>i5DBGMO7ipG-aA=J`l>5=-e5W%)B4*66c~P(Vzq^F`7L|ctkYIQWU`8R$w`Xsl@W;m;baxF5;Q#4=o-CpnGs0ED;#u*?JV_>n+Mi1Uo?&eWNqrYrnrS_y zjgO;lwV%tDQbC`hc?dN1w*nbCw#^6_QFGT|&fsW5P4h#;J8n`kPWjX=SFPeA#;PCJ za~bg6$9WTbI#YvyFBzI(i*jDdT=T4o;Y-bQ{~lr3NUyIL6ej`aNtR!Rx@gxi>Q)>P zsctLlekidumkcML$gf;nLX6q@W3O9dd{hkE&{4{VCaK3eupzOdvD0g+++l;(Z~qfo;vfr*?ZRSvyT8s1_4lTd4Vh zN2*8ifLC@)fu9zyGR`AcghY_PJ(`$6x9PiR*`jgJO^ofkdm|=!NgRSefAjPL{18!o zne|ZfgYFXPAM7{m z@lMjk`VdZwu*DC~E7p>Y^0fv(t9;OI_b1yqAMyVz!x%n$B&O*F!}}3)uIIx=?d`H- zq4iF?tn@e2qb`YHr8kiZVzf1}W|Pa&0V6+`H_59;*=w}l<7+qI?dN3sKWchOSo5%n zEM$3{G4o}g{Mz8GP$-fV2YtT}ip`fzvE&V*7VD$r!Uxyx||FbSnFGbeK z-ZMRZlFbp4QEOf7HlJMiE^e$kghJ<(W-1AF zjW{6!HSPJg8qF9+!R*Omrl&EE*(|zI&Czw}wz&gOgCG|U5p{*FY_?`4*BH)QCX6gF ztc;K%aiq)EB6zuloPvb=6xsGHW&x&Iw1{!lX)uDD+G;a~fVc!R_S zJR17_cK`vLEAhEocqcVx4%cq!)AZg&5#EdqAswITn=dGNwpH#z;YTkR{Kf~<^kfwK zTm>_To1@iKWR{tTxvI#-$_>E5N&*|38e{caF6OM9U5n(5^<{Qx;Z@7NdC*)?5{DVu-G9Mkv*_T>GA1OINO&sTIVB$RWIo1L!7;NETzVY*Pg^*(#Bnc~ zW@-11w{hqi+#;jV_TOs~$Xq{}0U{)W_dUemJXh%q83t~A=krNMPlpo8T56&UnhS6j z43bK|Ox3qCJ<+av`_fyHZ%62-4PR;c&e5{8m~vJ+zL;_h|JA`BH3^E}L0G48%&S54 z;oBeVVb<@Xq^BPA+l@YI;`@W|3C!PP% zIc~c6fwg3CKCn5@?Vj{Ym%QEPv)JqGl6y^Ywx#u4pfA)2q@0%_OiTQ0}| zJ`rU?CB-f8)E+*f>bHSCf(!PKGp+W+R>9ONf$dwtG!L&Z9+6bcp|6gVLq;w$Q}q&G z*xRIpb#-GD*8RTXhTZ+97yfPSY^3&C6N4sieaQU#5VeTZj!qM58fom;@xAM{;fDoj z7s)?6I6L%HcBT$inB)W&>MLWpDW{k5lQ5+{4QQQiqQaq3YY z+2XekX4fMZkHols!@Kt;38tqk4I*!JAr<%*NI72QIuNWJG*C&U2!d{2dni-`Qw=ou zN^$-#zalq;)B$XyPVgoPc<+b*yp7xhveW6e>{8*P5}EQf22qG{Rl(uVg>D3Lt>C(2 zS4)C1a#ZT`;mPZd|MKjxOwT&*R!=NdVVygfX42PRCyv{;l4i86<^Oz~2)X(qankWR z;ZNWQM}_k`Fg@8N5Z@~VIL6&XkKnD`-xuXTLi;s9i!Z19^yIGH|B<>{+-k0S>#j$N zfZF;0au>P1=Jp80A?fhFdcyF7^Xm)v+moQRpkaoX8q3aQ5gv}D7H89EeL#?f2Y@I6 zx}8cnaW?x(gyBKqJ~TLK)qf3v(%RwqcGL*?bzK{H9))z-9H-p22a>oeLC#{i_4 z6)}^vfb6}4Y5xb|gad%qK`*P5qPDvqU;t+J`wqxX7^NY{$(DSi2@%`O187bFwI3j( zem%-hVHvOiJmi4#7>&@mOuuD)^C1F2`s>xVObVcGH-lFk}`@<;%* zJkWlb1>!ew#9}ttHQlHV{$2KSBry@p(E?^gG5~$2Y#uiI`7dCyxv0cMnl}8l)BCy^ z&l&xFqqXaj`_sqa>wG^SOor#{^JX4WTkoFrlbQQWDIq+3%4uli$qRseZZH7y4T0|n zR4#&nh=$D7qTNgq5raLpD(rgpxel3eJrHKWpbfBIo?@^+1Fd6ubbxQhkfQ3a?Sy#>Hz=m{BsmiA$>sZL!38y_%% zqz(k^nbzcNOKL2JRb-h$M+BO_0BoR5Y=D#uN5p|>n|q{aedkxn9*Va~rn93*mqtgMFCO z^67F2crk?l_bqGRW?+$}c_)$Lp!yNPSQxkm=h6fs;6qXHMZwQI8d?&T_K!EE`u+NY zRsyyXSKZ$;F(l-F^z6ezxWrupzS)C<_!dYQm5a*~VCnvYwGQ5*T7&)dKLOd;+jIHs zg~=7w*$1F4KZn{b%)s&OAKRYO-7O9w!Uz{VVihnA zz~+Tc#z-@(^owaqxNbi%jhl8Q7-V$;r!@_i790t(JAfar+Lp)Gy8 zT^Dj$tuT$GznRgLK?(;vg0oAo;Q=-5ek9gq$PGzOpU!{93uKbE#VK%e9&?P<<~=>d z6It<`)l-R!dAI;8T*mu$znZBWrZxN!ItMv+v$}&~-ZEIl)LNH^cE-|!L%x6vqp_)J zHYz{O?lk;10HEJK0lYWfxDKGg1sF}qYO6$P_4*hc z|HdVNRGTZuE;YE*fUKAC-ipC+9dXJ5DJrrv7>IbDIM0(<7YIosF8>1tU9hfsFW|}1 zTVafcjbd&}ZI`@UZrWFofuzUWQCQ$iw<_qQI9OZ1N(WkMhF?i$HV) z+*UxJaH0XN3J|jd1BFJztUrOC;%Ac!5V#&+cQRduqEZ5P&W7l^&qp{BB?|z|S0$V( zK|I>`%bx)=K42R3@cX)};+HH*<0I9pE^svf?tT(a3;^KTBTbx&kTN(Y`P&t*AvL6s zWiJMMOK=Tvrjek%q8Lj6im`}HzS{MG-2Jls3>XmpCJ!tL{eX4$svC9%Ov3MgJy`MH z38IZtd~ppjS;q7wcA$g&i(SN_06k%dq4qv*UhpI8IykY3q466R+kLs(-keZDC_siN zQV=x+;7+{Afd-B84(LvOzm z2lL{56&TsC_nJ5o8FWdXTB5|M*U)!V&C0XrlKPn)E4=`oBl80Ji-~-AiAB^RjT%Kh zhOeF1AeN;?A)S|{A&v0EP!(Ne_v?yo#)Kph!foq6$7y1m8oAzK517?`=N{k-JjUnZ z{3E_joXd-}u~=8CKw_3@?DkV-jEhqaVuNNx+E2dy)A5N#nUDGtd3TsfC8~}FgayC1 z4@J8!3Qbp#KvK65L&aC%8KVPjDi*OK^7y z1c%@nT!Xti7k76H?(PAC>|x&DZf(`>AG=jsJ5^INsldI@bEHpqpYHF6?_Fr`&qCq& zn0k-{Tdh)B{u;!=^oUFCKKho8C)L~-iPi#w&Bs7K>z%^k^eB09;gDt&He96+zJRv_ zSt4<|EI${5#q;d`5fx`hb8Kbg+NCY?Y4q1qCv}=1#`dsvnoMytvM-HJf#)}hq}%mw z?tin%g{voYmGPU}iB{r|+DMEoPn``9MP*y49!lo6i=T`}5bgu=erE>sUXkwQe1DA3 z$1(IYtTC|)Ez8KInOD=~U+H048oEa86IPWZRIG_t;$z-7%rT0!Ud7z*Zybn^A-b4L zuv)u%;CAz8G>PtK^*%(J8k?COFAqe7i_NAgfL!K6Og(Rkn_`%(0_*Q&9H{OS$A2=2 z_?B{ten%+4-<-J1LzqV9TNyy21E6#@Z$DqeYLF56R*l8G@`qo_BPWkwkoXLq+dpwt zydMjjT9Qx3_ReSehj#+Pg1@~EoZ=VhH_s|J#0qHvbF22L9)?)z5yH%iKezu;qFV$i z%f^v+U`!eda?wb8pO+hbamzNs%8w@?{3s^n8MUpaGP*fAV-81Iei}zY;mrMP@w#7t zUy-p-sHK9j5%ZCKai_#C%Hvv_(*v*eH@x`hSTUgHS4#Bv(YyUK!?_?wDUhze$z};wQ2q>Ha-)w{lWKK zl0qutnvaHa>(j`9SxSse+GV^IMTG&Rn&3XdzKV3y=bfN^?ab;Rx7G5ioLN6CVKLjd z(YFkX{EUtx3HHZ1$2NUYk1lqOkTdh{wkPxOIdy}%1nw*EH-Zztv5gG;T+3=l=kh}WDt`DTOMJBf08aW>=4tY*gA}HTpQALvX zcU{cbrz30rke#qBhc}fi3YfDrUaIoUh%JP4tn4~Us|_clnY{Y^_O?MG>uEIY38rSI zfM?ti&+@&(?!bIJ(uKSY#(}o|!9@Jaqe-lB)5hS;T265jldMG-Ld+n$K}UP#*bE-C z`)89N@mHM#!P88hjEU)){XHr3i?6qRLKniI&eHEjVxY$7C1<6t$6t;-HFYpoh?~-C zacDEne6(qPXu}$RPkt);nD+VctHcf~FCje4^?7Rt#q`!8cARVmZQiaw2Z7T^y1-1h zE(tfX@G;}S1T#TUNzi%9HLaOo#Sa{s4W}bo@08l8h+C zzCML;8w2+Q1I~6N!|-uNyynTm_0D%}7mZg}Jo?qB*F5Wg3n1G5B2JItcSet%x9eei z%bYNfsZ}=awkDE#%YU00Q;jtGow;V-v#TKsRreHDzB*i;e8h5;j<8&Fy3g8{Q+CbA zV}9rT11tZTnO@6|7O8Fxpss-C&&fE%$JOj zd_2+2{iZ(qyfRvp#n#e_*&)eg`Tjz)wO0I@U!++nlrxO zA$2qeBkq&HZh6|q(hB%DO{Q{0qYwKRCF zPepxX`lUDmA7NNu-5I)lJV398_jNPvh^i)3WsLuIap?F#&*<-#4%a*gHn|8nyrzL@ zrRVhz(gc>$BjWP!*+O3nA>8vFu2;!KC6~y*Ad^wd&cdyYvFp^lIajZWQZRC{4t4`CAMT z|IF2X9--YVKH5~oH_xl=*41VV&XhkKozZ$f2|t!}Upqq!{gCub#xzC(L(3YLmGEBsJ>#*%&v=}8{q^{-(Twa>4%zI@aGxrIj>4qt1?%IwXc5GYjp z&HaCIVL(Qq5cT>O2;8kemSGxPC%*g`av{W7O~Fz#>P3M=y_(ip-cmC3qsI-65h>Oh z0xmIGdIOxzdkFhyU?nGBa~eGldnQo;k2*G848Sg1s&=>2o*r8%OSJo z1}QjKYp9cvrhkaLH}C|l{Vtd)d2FC;`1XHiEu6!1sR=$_=jZZ%?ixI|wB99p^pwrw z+o9lY{UdEMvLroU5fTkXFHRUl1X!GxBe3<z=!W4+($g{;lI`F2k8=bpn%O-j~UDBbl{7S-Wa-e3B-Di;6x3*5u2%}Ltb@sn0)5vZf{q-@icD7fDq zJ^iUnba{R4l5mZmG&puJ8|QuoA0J6Ca0V}FgjNz>eX^+6gT|f9bF+zhhC|KH%`>L; z!6dIm6cyB0`=ua=#zoJp-}rqkrEJ5lX{Du`>lq9h&4ceKEpw_>`#w%lmEByuysS6O z+V+j=!pN3}*$MOMla1F_N{)ccyh~w8?!8@g9#N>93s}DD-hSUqtL@NND0VT85muml z@K+MNZ<6O#ES!-8y;^)?V`dHM61i-Y{6LMgURjjOG)c<*AC}fWy#C6D?ud3)DQy8# zMaOBSY8UEh@PdP zf8VbA$AHRNbkRt| z%aLlrY%OIc?vpw z_iY+mq;=RsRv)k!F5Zq@^O)Ge_{Pj@R7yR^eOJnUC|Nf(!%)o*`ruGPHrGC7svAag zJm`4snxXeB%St<|!#TP)HL)Y`e0hh*bJb6>@D=vpl=0BU?1oDm5~e$Fut>Zm__Fx+ znC6lCBddSQ@zam{@gVkg&l)UV!*kSfZg1?fM5TuL#{SV|)Q0}T&vefJD~nH(IE{LA z+!~cyJ0(KGzaa@ZqVjt2Pw7@Ph&GttWTX;oK_fl6y`Ba@X%hcjgo<`#{Dj{+BbZmrMM)dScZE-F=X-Vwxs+q^RIvM0BR-BQCg-{LBAM&4` zcnjBwCLL^M!GGhGnEPMrtGyTX+LY9pec04n1x$KJB5$@J{z@i1=;-KJ*v6n@ z`q*nOo~=^A!CliJK^zT~5WA0gFcwtQJ-DMokH%lo^$i~1&eh50BiK+F8{9dsg_k(% z@O`MLA(Ye4m;R`UW|b13@x%=>`K@gD_r$|x{j3$fWG$kjRD) zHGoh-Z*1|6H=dE~cYIxMHv9oHY3Ozr8RrA{&J8Rp-Pnzq-wWHkj_Od)q>okMOh5|U z!|>+1K5SaZ>aiZdCh9JDw$(?st%sG)LvS|P%Bh9iX6<){{1V>@e>GY54m!E>_T!2Uh(}I-?oRHn8iiyNS8#5Te{v4j{Ka2s^Q<= zvCrdUhuVkSdQkjzXKC#os#f%z7~N@>UL56^9_JrRSvO?#ZMT*T=~Meqgi1;7(`eNb zr6KxAa&KGi-~GPJC~3y`_vi2i)$d9Qep_@DX7QLZ1d>*Q zrlbhrZM~K~hH=cu5Q_(U_OiDqNjvacA>+ctsQCqXulQ=(=Wj^*JS+S^RHjP&D}_mN zaCGC<30JAyzEo?I{L|QTz3iB$rmx~D`Dy;PeSNQZ|7UGGH_AbkmoO9BT+M$ra7anz zuY(x+O6CP-sfQosm;JzDKGnrcC6#*a8E_PQ3MxhBJcplNwRhjla6;3_-pGm1k>KsH z7`Mjm9=HrK<(2fkg7DeRzT$k?1Tagucvtr`SL_GgTg7wTtc$K|*lU;GYy9cDt5l1N zR?)T|uD|e=n!bHb8JTMfD=pC3>w;w=Jc)&cZKB|ENx*m#`o>5ZxcmW6&aYdcU(V#H zMI~==Gw^aIzZ}lsHo*Ml-s%5(hL>P~GGWZ90BT;V-@wp06hZc9@CP0~HA+s)RbpMu zDV#7<6?YoM;ymbZP!ddocK^UE(vp{ag&&!r-^v(B3J+RX^4`fxQJ-jtP;#UO!u=)f zO1Z%ZAHEJ=47eAFytkP1dP^1B`zt*saoQ{87Wy{nBZ4yB$-xx*o+T|pH)|!S1H*j$ z)wc`~wmIv+PE6NTwx2Z!ce7_iGerG-flK?tuveFDWpj9?mQw?5sIgZa;XPf)&95$;$QPaAl;0`y&uR!g&XCS; z$WcCQ(AW6BY~=w;rTjG|(#lve!M=9(x1RxXy3NZB@5w4?6d5s0>YWn2{lb%aE?zA= z8p`a3C|K8r{)R58FA3n(-kgTezM64bQ=)m`m5K(6c<3r;}W%K?4B` zLzRykRchZViVJ|G(~;LlVLq2q`bVbfdQB_jmw?m8)`LA?%94qp&ui%dwo(}9OR6EE zz;0Rkb^Xc$-ah1Q2^n%Zq(enZbHholoCH78PwMAGEH{0ofPT--UCeu1R#JuG6ZTX4 zBeddAIeh)n7Z^~5dP z7WJ@h_0h!<(EG&0Mg)p6op=bt2BXmRQ`XKTZB>~5eEi54Q5`VHY{CO|4E^88Fy>Hh z>JKSM?>uRnS0N_>aO(Ahu>b58?sbw})Hg4J zXy(OgPn}a^q}&^wtdd+1rMfDWJZ2+%^=j-;(of>@fP#ZN^WRV2yUN~%wUSmE=kd(? z5UV@rYgX)NH&q5NsQVPM_7Wz4v;s`E%PRpG%XPkEgolF`()Q0PxU%1Zz&5BXhtfQ= z=<9Ly(8^!H{#M*rStZ+pi9C!(;F=S6=rm%qvi%|DvTBwjRh^*XFg3YNxPSotsOI+1 z_~;kJW^u}*UWIsa!!IR&7LfCnP9$D-11fiL{AMcNA*8?xlH`@CGduD!Hc?dO1xfc_ zP_#DWTVs-wF>bl!?eP(7i=l-OJ-jO(wda`|R7g_iyOJG@89SjAvTd@%6KL`3?e8Ma zL6q4x_}sr_EYClkp=j_9Os`6q^O|gjW(AJTt;rA zm6@O9rmEf(GZDU5w$ri2CLE7H>Znbjb@ZQE5}TgT#5UoN6HUBtGIn@gVt-0kZk4K; zx;VAK>7zJAgkD_1c(-3uCtDs+{WT)8O;`8ce&vOr9!!^Vvbw{WZW>e1ML`)({?pC9 zBPR&11YGWk8MEF{nLUk};f+?V+GB|*1@a5U2j**>!yX@i9D1g5cBozw*>6^gTF*6{foMtIKq9IleQe}=F>M&4A?maJ(ZdDLAnTe$p- zK-AecQRT#}DT9b&*ZsZUrm-SH#=9nMM!rut0Xd4ar~59i)NOiM4!ow}>eadjsG`ZX zcD^3iXUlEv(pw+r>p7O7rEKL+^ti0Isu9WmHiT0^O7tF(;6=iPOgZ2|oU44p$iCY? ztS1>6XgJDm(W|q`SosRJlHnkeK_)UYYc1@=y*l1Pc(_sdm~I^SSht4|_{|t;tvq~x$?(2R z<>7tveX+%yUx#Y|xmMH-Y%ZbqHbl(!x^n>-K^Eauugv+Mc=yI>$dk{DOHL_~1o3lw zvu`@`slP4soh%Ac5`;_eJ~36+*Zu9q`;IC_MWD|st~vdS6=B6dpu?y}p%%1+fpf-r zP?L~{L4>8&U~{BAI=F|(&A_F08)4FJc5gcaRf-p!tbrC!F^k`w#kll=_2qjvJa4L8J{wj7%@_FrNZXJ0rDo%#HO7K$*AB+cE&L59n9yZ`p z6h6f)m_$6h|38QL|Km>N{?BE`%dG~eJ@|j+b^PCF4*oy**nwxm(_z1&rm^RYt6$tB za#P&e1_C2BA2s-`V)Ldx(uRjG=pj|!c0nfYfR;w--D-Ig4dpryUbeaovpwAwLI%F@23Cb%h+}kIo-WW9lIlu zOv$=0zAsj)AuNKt{{R0EI>ittCnqYYusu6c&z<07vkdR2Mmq&p*M_@Ppu2f>I5%cJ z(CYEGPBU4XQL7>9avKZBb*&xRLHQF;vq;>%CUe}cU(#6si2_$f?){btk~8gD*0^grb!{99{Upy zzM{(GG07uWN)nYXZ$7N9zH%L;=`Dl37gGJyZXV<6hMHxP=@84V@OarjcA4sHkA%^V zyLmj*xvv@#OYTfQo`+2yj>se-!Od%F_o2P7YJSvBU4}(GSj-By@aI^jcIooC`y50T=!E!9W)6!)hXif_U5P2ul2_}{h~`gifUxxIGPupNlo za(0YT%J8}kc&exRzSDgne9CBa^SOf8l~#p~&(O~rgTJ0CIVE+G-@x>puK(lQ}|G{hy9wLvQT6nMs(4Tmbz2Q*yO3dWfN# zHNHJ`9~}=W1B}Hx|aqX+e;)PG{)HRdwjegmzSL=W!3wnZjdyVpLPo z;oCuDlIY-x2ZmA1=9p-6$dinqSqM~*81*ds)!b|t5-j6A!-Z8NbD+^Vih*7oBR4TY zz*%`u%yy=7oOY17P+<(!B+_a)8fO=xPZ-swHn*_k^3Y$HBSepeS%Ged8l-52xE20a z)^ob=Y?kD9l^%~xA91&<5}OkJ*Zr5|g1-GK?T?pjNE2q(5Uyq4C56#%0w3JI1L zDpYInnB;EIly|1?`W@%Ur#9ux+?ky$J5D)xExSl-=vH$$SZl^vnA2(9=Crrmj2V)I zR^IgNOq^!jQYw%?rR2g;iA}!13J#)GosTCEBhSq$05C(Ba9?c>MEs@#o<-L51Yp%9N z4s41~CYUfnkSdZg99ddEd!zbr_}TGX4Mh)d)o+cmw;h8wal+`AH_4$_HXq_6!L%L> z7}l!LG^u9$?68To1Jl!v=s3~=Id(OoJNws<+tm(Mvt0TbM{-PM}hCZeULkONWEznxK@oD zs+u485el=Y@aRO7$^Nry_&HcUnPp32gy}0+*;3B-x1UzuwIb(_H6r{s6pG%Qm)`M` z{A&KPBg6mGd-xZ(`};x8rUKOaCC~3toi`0kTPvyBHBIwU*K@dzJCC{_#Z#9#&Cp4; z?ixdX*$RBus(es+HPnz@%7gvcWxDbc`>Mtu51Ph1GkiR9dXX$1Mi(p_74H<%X6a@T zJAW0S7ViU_%j+J0dq_Lh)4~Xk)dbliE>>;Pgp{%v+a_-YpFvyHWo4a59!&*jgg~-K z{reV`+i;9aXPj$7q1nye+BC|v-g=O;y_2h^Svs&mCniAQiljmqap}{-mzm4DKYY#a z_E=q+E}bpyP;$smx>y2X*6BRHvg^6f#>C_sQM-8?)xGeM*ZeAR0hw?j;QUzrZC>hI z7o_k3xzH#0dCi|@>35DNWPgw$GrG`sEQ1!mISgiY_)zIgBcBG3HRi5W!#a4$#@Rb2 z6&|g)M{-jlQ|OA*+Jkk5Sy=`u0wxSo4KY6NgeH>~6kXagnh;T$7DKA?rctOi*due2 z9E%`i{?h5s##iAc7%2Z7)6lGDb@5r&o$nhA?#d3!wRv@)E$K@{rOp9weQI@w*l9$? zyUR*X&cE&eJd?2jl3FH#Z86WqVh&`abOdt&57+j)*UY%V&~j+3fbdRmr{#wo zvn42(VUZy}*oZ~zI7{B}Z?PPe6)Q*%sNTl==7t;DR+nQih8Ke$MG`Z_Ycgl@@4k3z z`(EBSDim)p@0Tt)Nu%X1o6ZSi;XM)LMPY1gN#uF7a(thR?UpQW5?msgw4$`U4&|uWI4z}nR)%J z4r=|2tTq~rM83PbeDrNGG52mEh>Yi?+}f+N^^QM34BIk;)240ot;2UljxFdLYb#mh zgc+C%ob*O|2NcWZv9NZMSlKZG+zP=NW=3vr3;oty2g^eJeR7A95g}}^#}0br-%oX8 zi^Qn=h>u)jgmtfHR!7ynXWm&PYv%a2>6oB|I?K^yad5z}SnmWmA)>f%EYf=Ms zycn7twZoJe{Czj17!iUoeA_|aBNAi@4@^Xq`}?7~7bpuqkjU(WmZr`~22)LBzM1D2wtw3cMn8KTk>&~okV z>x(26;1&}4<9&ti!sfU)4g_aazkG51?;|!YwufFM`=3FHu7z|Q%bksu)-tHPBf!P| zs;=$^@Mat)qp2#6D0@qRfq|j;ER$nE$#|;lgkroBF82ERx~HdSVqzj#+1&iyeQ1XZ zTx1JsLnwHiDf8^VKv*~3$|t{1!VWf5L;*_G_UXZml+>mR)B=Z~7Zw((w|Ty3CL_Oo z&F`DvkW*Cjj(ruBR2BmvXX?(Rq$D#?j`b6*3m_KoSajt0dxL85T$`k{F|OYINl8q+ zT`Y3+44dcU2b zs{FYKE9V2y=CxK+n+N5?V*n)Ki18JvRi#`<2mnFxfev*zz?!}2vxCJK}gV^HB7aUY?P@%pLrx@wC z0sr1j3tHR{+Z9wkgJSL;f@?4&#d){n1jwlzD3nZ(x0{heLqh_=Me_3U62-QC^eU7$4kA80LBGj%8$|q-bhtE{URCh^2~n zdjUGr|2}#~GaGpaQ(^zCIHZ6PLYMQPpxC z8m|d0V0_*xB@T#<6(Dw@O5|TPchh`+GN2t#pSI^;HQ?6ul{xhAcNNx1Snxc z!<=KJm+16Y(B3HkGDnb$fYxThW{ncC+Z#wt#?t=)X?yUk*4E5N)t)cW>@BR3#rGN~ z0Eq^Oj5?3u-IW+~QWeM=YZfimR2A~}zDXM`2Zcu>!1(=MoaIh952sw5@ zQTY>)VrFM&SJJ<{3ra?)yJiL z4m&vb__6prTwK}!l?w`sfjoX>M8wOhH?itH0`YV*&$A8>Q11%%OB$!yC-BS@x2n3| z1Afg{n<#2&r5==*zqh9Bmp!!I(S6r~j?b)RYHF(11(Z?Yj}DHj^fnleFY%yZ+s|qD1(y0oH~Lh0IK75c6M*xya7t=24MUd@Baa5^aId? zBn$Wopr2YB-VTVICqLiQmFcu`?UwCUhm>6Z~F`5P zOyMrAslgcnr263eW7Tys5&>rv{1FUqfRO>69Kro3pu3{Ek&8!I664uV!gYjoT+WMXW z^6FkwOG_?W;vTkeEJNgUpXlu}I#w>2?H@m&)S)jxefibts`pBZ8;TH&{B1b2e?Wk- zkP2M9))n~n#}cF%Ea_^TzdKwPy~NzEd$7IlW9QR_@tupO^$1KXXoHj+6!&K zTLiRcjgKpRuyQHp3@FbjC@=;3?%qHfoXmC22b>;;fU9hJ0$_JrOOOBl8bUR|Xg?oe zx5r|?#r#~`R9(>NcMJNoK7aZIX!U;UT|xP|x$y}JKF}vvG^@qlEwadt8f-S-9sbx^x$%GaV-dm0^Pfp zA8VL}p`$B!dYAmw8@CA320ydP3^k)GSq&`l_1s+M{nD$<`{EaqT<~;H^u@awYJBzR z=qM^Gs=vSA*B53+_u2oT9Of|%fa1!aV3i)Py$YV3q1RkNaW^&|9v(J!HL#t)=n&}g zLF`KQWWY~|t0A@laz{f0ua@YyZ+ULdAFp9okY;qrB|m?Ty=#QDw6qMw(gAQob4|^c z2HMjs@z8j8FYr|&a9-^XYTbaw0JQb-2?>*8V+{qNBCy+W_t0c@5Wy$(fXRFJ?j53w zg}M2`;UO4-kK+PP2h*TTYe;wn(GF}9a7tW^DuP!J`mr`nQl+Y*vVj1gC!$XR`kinn zYk<}ZoB?3_8CY0E+%A5*yRv{6jD%T`bO5^%Nd6}zClg^}P5{3R_)C4=-PCk+RNbDn zW>d6(;0C;3K36XeYz(8iL2HVk8K9aHiehLaz^wR(^ z7|Ots5Ir7G<&VASDc*CsI zq6Rz`5)zbi&>>+K{0x|en5uxf^B1&6L{NeMD#*wH4n*9jpWB-+-rsR5%z)1Z6x1JH zT1K8V&cYAZE&<+_01ppP1w>o#4n>LkH6O6>(l1I(#*F5CIs|;2we_y0Q=H>b^@O09Q1j#0jztP+YJwR z5~dde`{~m~2Lid{;jH{a`%6y|Xn_FC%OO{;icVyZSUIF;VL?YjYXk0@zYDt1)i`h* z!Qv6rW|1@zzn;tR83ph9cW*-c0ZL2|$ms(lg2lz*2pBAMC&uJ?7^e)zdYL4uedlkjsPnW z`=F36sQydymJXcCB={^k)UUj@qNQzLf%9KSUq6c$qJ#FbF~wFFs!d*8iFj3=yNytm z;eR3w4+uq&pUcb3FI`PZCh184;SLOepf;%_1IU5Gv`^8}(G5MR?wx{P6$17M0gUi2 z#q-O_4c59YfL#Jx3z|dSnxuZJfWOOm@f5)}0>H7@*w|{o73lnf$%KfGt|%v`1+YVs zl0CpC32Mtr?gATveh%JyW_A`27q?WakzH8WYcTFDh+m|9?_TyDhykK8fBpJ}9a|jq zR}8#29zkAjuM|N&*#9%ds$+?4RP^+w!2bvSMWTSq$#4>fxVU%_3L*Qq;Y0w45f}P$ z3nm0WnkEHAwaJPgUNEUpVYCmzfGY;tfjBrgqBRU&;xKW~)c2o0eR6bk)YDr7Lyd!l z<;ws(7F43|S9{7WL2bwb9^mD~DKdm?*Px1$qoRJSWw(r@X6NSRiFFMQ%1m>Mh=}k3 zruNnV;9|T+LyHIw{va#6RAnsd{lE0+8|Tmp!}i1JePwg^zYmG6%3bkWSaud|x4BSXb=;bGqLa zr;P=IS6tI=5EUX-AR{BImZ-5=%rZ8N(RW$b#3QESxPtAT@DKO_^0l?KuU@@6KR@4i z{a#H?O->HQ%Mdi>y26qmyVWQ}xV;9CgW?K(s;6XNKp7_P5QIib1kSIleg||?e*fvk z-pUWGuRS)pkz%%ihRAx@(f4tEPspUi6sHhVgF!&!ci@t#n0dyFk5{5x3`Aw$NiadiT(rk^OG+{|i`e zeZ*^Oot>RZ&Sk#cV@VtcKD}>J`JH}tt4n6(0MkYD0|(HMq)ZdR@ET zn$+%XiRErg2=FTY7t{+daAPz-utp$bLdBBe!TgfPbxb8c@7t|1;kUQsGcxWhg>0B)( z>FXKYF__D;;$o_0ZLr}e3CPG4I%UU0FT4Om2w0he;P{_7h`%tGGT z)5&qI35d0TknH#G-+;6X2M>=%${&Xg&Lo{C$F~tMZ-m`0sG(b!iR@Uucnbx9_-2SH zoklhRW82{DECPd*kB?781h^Plvno#GlM;cNv!>B#IgMa@T&&qDDPeSv4Gz9zX5P`% zCfFATRvx!qxN!t-YCtGUWcqLwB4Tz581pJ6YCf90j(bY^LXFyM$d2TPh-hdLxa;hRhdvA50ivw~0N4;;4N6 zx}ZHcZ}&q9grP69mH(;`<*V=akdP4n?%dp5L_3pN=?U(FCwD%AOkeQE`6fw}a!%x~kRz1-UfVse#ppVXKeS5Y(&BVya z$jpq^i}dG1k`v6J1z0%&QD48Z0+{A!|0j;aGgyaE)tJ_;!UsP1Y%IZps z4k+{#sA0Z%fa&}A@goQlh}jJMOF9yq-V$?LQhWqr-YDtvx~_}h)tq5i6}E73sk&*# zX=40c;9}LdqAREqb=apoKng=_6@+Y!WazF*e-{24g*Rn~v_OK==Wxh)AcLMH~FIDqj?FUt|vLkFvGnq;BJ2ID>kb0|Wy z8|C)^*mbJCZn$5(Tj8o|U?XsFaEy$M`mw!@nuA%EBO@cnMn|Qb0WoTCKY4|-A19a) zBo){=*bxnoJc-h+Ktv;P6c7*q+(T-rn{>vNp{)BQ%yw)LP9$`5)6f`$sEU2U|7TWb zv1&Q3iXb?dz=7DhEW;KO7zpo(rz;vP+s%AAN!bl@Zk+xqt{->GzsePVcHqwJ;27v%e}2{Z-4*P+uMs( z0~mb;m6a1ik10IB_=UGarvr=AfFDgmkqRDR9#1c$f<;7xyrZd*$)W|J1{pU&BE3O% zHVim)G_b+WKLH=e@P$kxpX0QUk|X`|k9 z@8>6wU_eAgjUN$rK3O)itjZtlK$%%}h6$xC9vK>nm7dF5DNxQqeBMH1Sr!Bka{Ok+ zcXllAy-VNiH8A|pO=S+gM)E!cte_oKC`L-%SU>H-obXex3>pup&Q#EaB;vt z+meR1-97S)&G5l&qu>Mr7~tsyPPno6V^L@b@bDME}-%xrI+_TrVuORZw zD%z_eWlc@l3T-vD2FLwRaFX1Xa8+2mR8+9avw!h9>s{eHBLO{cAatp>=Ep7EF00Lo zpdDH;T4P8?IhpcftGb>B*wR$JRPC`Ynvn!-zL5XYOL=t4I?oH7Uwv0VIxBV`&_?;W zxf3Y56XDux&OTg8sit{ez5`nwgVmm17wk5pff&HQQ%Gcmz6`v+s&0Y9*rc0wyuy0_ z3>OD+J*ON!0}wB8gmhBm13D}q?t!3t=#cu`Si1bhr>|cngHP(8z}exnHVzg-byXGO zfrJ~h6v$_$#>Rfu&^Xx5VwqrktTyO*EvDtB{1)vUEp6bFXmW___j4a)a^Hh;4%YI3r|oc{qBxb(8ZdcSl*@a@3kv_re17LJ9Y<>lpN zWJGb0|M*cf7-_nB{+?46I4>mrZ3Xay_5d)qysYIay)2vG(cRF{05U-^FoUEz+K)@i zliCv1z^GL1zISxwlpq##W%U^Z{6df-KqD9Syg5?$j&Kz~1B*WB9P9nX0!U@#;Lo$Z zU5a`mUSZ^>{|F==K!A&ZY}|U7X=p573gWfw?CjxTxeHylyPf);SAzJ8s# zR{7%0-fw!A`r#NPmiNrD2p_~hd_Zg^B_euPF3iZnLZ@_k@mO+i5ek5SBqCl~Iid-1t5is;a87cY}CURRrv`w6si2Oe`#lD^3L#HOnwFtK2`r zP()ZNDXqyFdU%zg-QLm-HiHG+wgCrZC?=Ak{=SdiBsdi#752RC4#5WQXmolyvm)0< zc=LgnHbqW1OxvOZo?JiBj!>pzdYg+oWe<% z1NZ;)Z~deB%8O)lG_0)s#W(e9U~LpIB@2M-9FTsG6T2rwXaI8u;`;;HkwuglT~)mn zsJLMFiEPQLBJ<%yHn8#Nwjv@UfzNaY(6QegGx&88G(17{J)N+nx}w&xw-z3r)_q$9 zVwIt=2$4e;IYI9y!E+`zLMj0Ossx!GV3T0+!$nKT2TUbM7+e?TrUV?6V@Guv*n{N_jve|VVAcA$1p{C+r}vYmfzy|Uwzjsctd9Is z%zxESWPJ8U*9S9I9`Gb_GooU13DT8J8>)?EFHEXf+ z@)9Pr`|>3&jI@&fEtZ0x((5-jfBMQE<-G|Do0^&?Cno`j6RgLzpWQ_-O6`?_Y}&4KHN(G(tZ7N1Z8fNm5OK*ABl(H*!aS;b<1p3q&O_5^ujuwb_u z!$`e!oX7gXwG)V84G{>NJw;sU2+}}6ZI5A~J^7L=3=9ZhlLFCQmu8tpZBbR#@(tnsRu*FzObG9<$cf;vF0-+*H6RY_?Bs%Ln{a+G0>rsD7k8+kPy9a#%W5+n zif5S#?&4a)RWAxb)_SP8V+(bnyB%C@0*a-O=Pj$rphf4_?u8fI+KQQ?y6XGNE9c_J z0Y2T@_M4u7i&2)gY0nGyOqeV?vp9C7uKMt(@#^UteEveStwjLV}M^ zN1S2r%lNwn;0Y9UzpAQY=Zcef`re`8K-jf>h?|G#>t^1Pz99sQ*6G~%L_v!r zJc=qp;Ss22UHq|&B;Y3*eVaMnLhcqeM$a)G{)jZ^B<8J}+W5{7%(N$d|9if)s zn>59_J2?G9TVvyGpqtuKMi29+*e(Raa4|^Srb7rRk)DBP!|? ztwe=KZVs4coeSrH)ru?YeWS-ZddmJ8)wdqpp6zUJFSLCLI5_^({L3f`5*Ervk1_?a zg=&NoG6sDY_;x0?; z>onsz7$RS*DSA3#{~@b|s#kd9M$MNfaPu>|ZdH>PXpW!^&O-35`B)?KRO-KKB-WCwV8!k|T10dV>Vnm>guf)!k$-TrCs#x~1bHqFjf#d>;Dnx()e6Njf=-Do>orvRDu`~>M>j77{VZ-O1YERb ze5Y?}clb7&KSMsD7KIA|wOt)D24cVlKcEd=BK#~Ul0LpPsXw7>u0Xsmb(B!V{%I%O z$cXR}WHmV`R|MtdAASHGytn#@8=ITwGa%B+epc3LaR+<)C#}gOhLno4b1p()&5sH~ z^vJ>?O~#PiENcCD;uJZ@@CGHGcblGA@$2vF`WFB+9>Fho7&Sgim=&gwh}V`vANJ<0 zuKqJ{_tS<@h`+O|T-d zh}Q#fPqqUVqk^${$@3^iwn`(Kg5L+<`d~GX&@5ey5+L$tSPb}mc*w;~9Wy1GU?E>S z1?eIJoTh*&0xcr33ZLhWSPZ6rWpNF!zCHFRV&U6i^nnD#>puN@HaP;=XRKD%!M1Dq zT-#Ks4m3;jxu5bIX5e~F`~({$@lh{JLj{W7SY1KEb!>e|u9%F}WHlbdA&`-Ht!o>I z;S7pBJZM_zRNGdY5~dSrirNmEsl!cm7D&z%inI!=UY7 zl&#Cl(?$f0{jsl~1pYWe??;9;;qVPOF|<9yVeiS-+p(G&PE!RRSJ;Dr+_!MezV5DH z+==rgw!~lYk{?pmu6wfaHUCJb4?S8%)@NEkz~9)t#g@U#pz?ys=n{1&3)*!5i#5qw z($6y&^EVqt>nF9k7UE|9-AYBGfA2;R((##g;xfpRUIBVC>}tdg(eAjXAT?Iti0f|Y zr{TaXI+Dx${lvribqXNJua6VR%r+0 zB_}ng9OG2`N@3@CWHoyS1aW6DvD`IdC!7@UAs%k)0r=pMxdAd*m{vs00SPfPPk_q& zJYwS|&hWu!9nBn#bZrsbbg-C5^ig=V3v`r&gnv-zMpC7l6k+*4aOt)RzS#!y$W2&- z0b+&4#NyNtC9woRKfXHolfoX|0=zVRT=ra8&3$o3xA9ET2RMX{{&&HLwjscSeg?{L zhz`+~4zi8(2g7=HZ@S}(*W~sH1>Y$nv`RqPjG~#+TJ@=fMDLNfy~2f+_bB9+Cg4qt zT+QqH_DG|N`77Wn=m|NDA~Gi!bI|wr4LdLRl<~VOr`8#%lPZiP!jPKxIVuW6ihMhu zb3T!=rDI@-GmQbX;+orBt-6Grqr!0eka2Yt6o|IjyVB&s$h~R1)pDTI;oIxa=E0yb*P?40Bt!J5c)h z2kV#R$mxyb-pD;Aj!KZVyk7f4^z6a8>pt%8pcF1roVnEZF^eOL>bJtFS<$Y+x(Vkn zw)5I=<#a?h49hhy{XN-F#zONN4O$-dR`h}tZ@J9w$5CD5@7z>EUs%wuUHAJGh2;{f zFR%U;SUuqe&DqDiA-BUVA!9g*_Qy%3Jkxd97@7}at4sAki?q!@%M&5 zS@mB(kZdn`m_qL&yN`)N&*gT<)sCeoZmk2rTu)&GG@$b=HU{hmIkbLMGYzM-unQi| zyr?EjAebKQZU`NWBQY~GhFQh!bCRPL-$}5?YDWW}21E>0bi$tJ6d5e9rvPDu z^DV$HvB7+dbzwS?m`5MRy>3Q8+3}+eIiBy2+>claR>c6>2D-jSb;`>2)CQ=5z3sK-iP8BwrvQvQ!c#bSv8^8Cr|!L%z&IFXLd+g)c-8%yJ^Ju0ZdhBhdj~X zk8V;tFZjKF#1Rl|oj|T-{(X?6gM=m}IeDsMFo72`lpw(H{R0N*+x{oIaX6g^ z&w-Aojy3MeCsX_cS&q&MI*Cjg!8K2a6?>$mh?(9mNNd@P^M6@KfKERVYU7V8?)3F; zPzNJZtJSGIXN!uUR##X(9=_ozeHZ>A-#n`|s1t9vc&K@*v3@_; zU68o@vuRfA@T>h-yW577hKu`#lO1>Hkg!GZen?PcoVQ5-Gm2QyR2Lq1{h;!JChm1N zmRcQdrQ+H`CDF}C+Kjis%PX+I9H~i}W|JQIK2EPb?eUM<;~2Bed#{qok;PgLl+fSz z{Vb684HkA`Q0RKAO@y*-m;I=tOys}bb3b~K=(+_4hF<|;;dB&bYplVpQCBzHoj1|Q zR&LZpR*t?xaq@!f5*l$TcefSudB3geRW0eoB@B*TpO%}-ZBOsVEsVbvCyc!cN$ZQo zLQ<&xw8@)$iJQM7vcdM$H%bGU&&;itc_5N#s?0XhC!I{4D_*14spbP|fJ}k*{bwQ7 zT#Z=XVH8hFHMjU%Rna*1@|kb)O|S>h@P&t;#1lLHnL4SMLh{-DQZ3K>aG~(>aT1=6 zM0yRY@VN}>pQeW5k3DBO=~#EDM(o1+Gu}%s;FVhp36t=A#im}Dj7cRA;qw$HzLVi6 z;c%J8bGU=RDq;j3U{uJip~UjOR9vSNcwO>9O%3(n{@L9#C9eaN+A_9>)kbF|9*~-;Uk|rE20Vgsx-11adr%NK4ZPu z+`WB4octg8Z{LNSAC-dlM2E$l19g(`)0dffBKKP@%%t4?6p&z(|5We;OM9D^&a173 z&g=fp{VRC ztwdpEF0VPru_3|xWz9N=Z7%iL8y!P|n~lWyz}3lCC^rAYd*=VND>^-xyGa{X^sw`E zsPn|1JR6_Jz(0C)pN!nIA-cayfkKDfm;|l)G=d_eifCQ=-4oh9YaPS*^jF+BJ9!Lf zmqUo|E|80NjTW!S9DcAOl8aDuqf(YICev0!l zt=6bSt~fQ%uZ8fm@LPV&t>7A(4s9sxa*=*Zm)L7cyQjk=ZJvtQhD4-JIL* zr`I7bmod|iD|XA6gjQn$Ql~e_%QSS_jd9gU-_TBrp8RgPhpAJd{QH$t<^d*I)lgUE zz|5$Zl7=)*p5FlKh<)P)l^~Ob)v!i}UYVlF%`a6-jAJ`>G(3k5vr08A2Ps(;PY3oe z2?KJ5HIF9)Vg}m&P4jnfeD~F#lPt3dG)1;X=K4}43GyyxdQ@s(q~Y}h`{+KcW57*J zyGa+oD?!)W(aqgb{rAYuV#V>-dBq!7>|eqs3zf%-mrq$Gy1EQ}`d;&Ea_bDfr4r|i z+FlvpZmy4osR0`A-yMC`TS!s0>INCZV(C{|lnxT5V{GmwN z#SW<4B`QnI4?Nfp+hqQ|k^BAI%#_W0NLWPn*A-WgN1M;z{AkWAn4Rn9PO@=JfVMmI zWPWvwTOR})GI`v{o+!HYuiD|{|HLNis-IKKb&)VK&&J=Xwz{i_+1%5aprJpMa#8B| zE;<-RFd@>3jekip>Cm=HK786q<=j<^rf&dAjq^bDb%bHmCC%%&6dv)I+YKA=gLz#HqYCG%^#R~DrgCe1yoJi2Kn!}4WtkFxj_s@9+__%-@;JhF)$ zwe>2D9BswGJd934_qz_AdiZzJ6vi85nYkz_9@e|fAD7bq{SY7i%&3iBdQd%=FsZ?K zz0%*1ts_(ss`waH_buC$Z5Ia_PSh)Df0h0oV^gas)E1=SUwjTINd{~g<{XCaC*0-_+#S}bkc)}>@nGqX zW$l@bpNa@?^Kq%87N=7Txt}h*9cATLSauE6`E+G_O)wsM^24i|l0BMYy&2O1iD*g15UU;WY>O@33@T`OgDkr4j$^@FO%CkH;h{ zxXZPTEB<~E{<}G|W&K1;JJo{q-_X!|7q#3K=2zYCA5dv6x5dtuY_whLW0|K(jhC-kjPj%Vdx2J-EL z(ru&1kGRI7p7Bz=#u8!+_#Q)P7q@?rM!Z?to@joc5*;#<6X!zynR{(7TAxQM(^^It zhZ=Kzgp%>A(i>@;?tQ@zoaGhz`-Kq_f;bohuhk3sDfm?pL#q-2$$zTaTUS#UbOg$d zJ8%DXDks=$IZ^V4w?e3zgx{sY+Eg(f7*rKO@EuKL8V@qX?oDS?CkG;Tb?GzNSU-O(xoKX z7c<`C!-y<3&!?y$S)6g!FVYyngE7gbV=RejoH1Gi7!|rK>cPJZRFVlzB>D=~pQlD} z%2CE>&|}tKCk5I%GceSqzEApW8AP zj?RNoosa#j6Q>9g`Tp(A{_PU&4gI(03!W{nYa^9QwR?>-Lytw`CvZOTFq$OjrX;`S z)=`-&*KF)p6ch;>x2B5fSHMpxB1T^!QAird%zo}Nti`fsiBE&Jl=?D(**NH3F zn#*|M-p;n97U~|+&?~pQzs3HWCnr1(RlB9HzxGmFulq|c1q-cz`S4e>Nt%UO`Up4% zXJLIzaE`K+eXX$1%ipVz#u#6ABp$K;b0;fy6^Z0mHy#bPmW{tE-@&xhUH=<50<-)E2!mRiqM{MQQCTiO$a<#qQLYA&g@DX`sw?WYL ze?ix!0FZ{^vb9%4!>u}@{6cs-)xMni6PaDlN6?&#niVaT=P@4kETwa5_0DVf*n6bA^_{q??{njCNWaL|2ppOBe)B@e1D1r^lDw_`XZNCg)o})%|#6z)J5+ zZhujZm2>n9sM6K9SJk0!G~P@;BEGrk(5IEW#MC1yGfBppMvHX|e|a~vR5|_yS5K7O ziKESxW~j#Fn%sY6tp|CeH>wRq-lCxkpBzlJZ27ZqLKjp*o6D>`dj7M|NvCHtai6iH z)GWFVo#u6$dW535UKiEQANu&yX2W^Dq@2g2IifC2Pv7oGcY3QHXN$;1)BY~R|J^u&p5N0ZmsZ0_!o9-`rk}rx5?5e3K?r34VWY;>G%l3@x zmi`ga*WEpJv~@-a6&c6q3)#OuVObO7)-2U6ex>}J6hmaps*7)uBYOT2K)HCu=&3f7TZW};k;MwDONLW zV&h;hs7F+_LjCUxf3S9d3@;z{a~m4}2ef)iX7leT`6$T&|9fx@x%|_AV^24&hf|y^ z2(SywK{@6@#e2qFvR@bl4cdzT+`G^h$Lk*xyE*XrPoQT@{u$S0HmHtJ%O$I){&s)a zB}N)`e9zS&EyEy)-2xhh4$KVs%q$q3R$hXWwh?*tpB@lfE2n!Gvd;9sR!ME>XBGPy z3&ZB?g`z!Xe1~%txDO|vy)tLavP$3U+3dSMf)xsYo|lzBpf(&O+?gg8lU4X4?HQ~@ zHXCErNZ9{VznM|Droi5J?45gdXq^@ntlSu-4A3tu@eD+ovYFB|nYUX-ZxxqxB|qR)wIB6GbGAmT`I5~(k3yQ@+j%Iv z#F*WamDuKqMM~Dj;6u>VSA}WGOBT)Up&HiVZzHXF5oTmT&Fn(Zp%Js>Lb-5Q>HI3% z-zIoTeWp-fi{sDprvbT5#~mrs%>Pz!Hni?vGWsXQ+F(9Pr@kseW<`Ev&Vp0ub&m|` z3)*L0fzXwj3zG6T#;0!|ZUrE!j3%l+Wd3&&amd(zH*I}6Wx{hP@sp*0SR~z2=q3up z=Pk^~A5B{BsC*>@BFWQ#_Eq6?c?4u+XZ2{o#wMy=7#n#vRn@Cz^w=_k<9tzrGt3W< z>@G^NriCzOGz$73D&K5s>|@TQ8m4IJh+al z@mr;rggGaE$cUW`-|P&-_6`)R0=1RSYi1EW7fOMbiyhf{t%UU?FUEwK4E}y#q~J)j ztlAOE{Kq4tVJsqc(ZIZY1Koy-wx8iXa+g}7oL|0kc3vN;ba&r#l-4al*`dGge;d#% zRaf*nsSf))I}0*h;A#xj+iZzW6+i6?IZ2;%HjWl?_dMShO@YgkhFgn${zkW5a%_dg ztY-Qs{I_e)H(`sHG^tmKU7@z383NXKO;9{dhj;jtDvcTaRwNy7d@`+`BH!e{741)J zy#a4K; z2+X+D+glCmvT>D~SD4}uW!)~APaGs}V92~fhm-MEYSmN6jzq1F^R)Nj1MRNI4axq8Xeutv(1~A4pk+3ILUu)kadg$ktJ2;d^3w1tcF$KCyGXPDmE^*d1tY z4zS&>7>RApCX5Z*m;M%^jRbM>ZW$m2a0)avQ)=? z8OBzB(qQOZr(9q@G|!f|9evkS62yI5=0jy$=taHD<+n_T^|+yVug^O^BrAhGVztKa zZl3KMvL0;0f0_2bR@=U$WfVqxB3F(g)fGwO4dq`oMs^%f7TMd&bCij_31Ky()y%#b zY!J5laHHL~{nhYI6=#q~v(o&b?N{96)D6-C27~Y;*wb-|2msR=>Mi_t5=u8*z4}j2 z{B5+izK$sf*FB?L`)Fa4^-qmdVfe{FLxR*9k`>YCkLx>)5luen3L=?YrG+Jlgl=9X ztZkA(JL&xw304^OD+$yF(h5}nTGIYmjp-SpCyJ_V^Dr9L<|KAX`3*gCdi&TsI82CT z4ryo4I)SdX{SH~XttZilM$vr}7AXa#ACexF#eWPao!n!~wnSL&A_vZ~2v2tU&L`B9 z@!&N(g5Fmk2J7^Bh3 zDfONYB|Z|_OOb6SZxk5YUZZfI>KVE;k{2vzo#Z77Y2J&G=FrDj;xo9bna5Sn4wDMG zJdpRW%)4Q)9>g6fv~f-_auy8Z4*9>ewPt*`H_{Ngb&qf<&c*|}FKS1O#`TBj;JQ0++lM=!p>R{bRDfz4eK#}&cARqv=b&MNsn z{kY0v3M22?jc99$@3LVJ{|rP zBP!Uz*GTllD-Ol%Z?1Zr5}nG~CIo2S5{{pp@kjDl6K6FTWpi>Fj6ZX#uk;uy(nh`; zoaNz37OSjxmaFnR3ezK&h7I4*f#)QQ#`n+nEDBzX;cc1#N&G$R$c9c7nzUz26qOHn z0(1B(R6QQu<$a8AX>R)i$9t{#a_ZrW%NCW0Ujm`tRto8zHB*B3W(Mss?a@1JhR4zW zlL$_DFLwOx8|nC-7bh0R6saKwn*jl`^S@+_7D3)1LB8y?%d`+5V^fi%XQW{XEs3sM z*2ui60jnu`*m0=3Wf+F8t#X=yEDER}lPi||qP%T?X)Rd?{!&?O6Krd7pqAHApEsPO z6jK(;@zl#i!+y+yqm73-$oSCPnpa|^*nWCi-KYT*vtH%%7tHz%7Wu~>ZibrVN_7-E zqh^$8VfTfu5}I@b+p@S{pIxY32uv(_S^w!$Ta=USvWA!AP>PU1jo{};ZBJ9SiCvK=Z4QL26)XEJR5TrT z*~~9X1?AauGpV>Xc+@0OsSNhr8G<~koIhz5jf^j(C}{cjXAbx%o$*-vI}9yE4YvAA z)S0DU**cLs_r1T9IS}1$*+0k|VB9*;Y1t`*$4($vf8gdg@bF1g{qt_)RAfb;h)`Z0 z_UjkJ#?g*i-^Se^3eOFx)ue0Zj=!#dz$eJ7E~`HEMV*gw_R3hTm)J3lnqJ<$%^ zWVBL3pY=-NoXQp3t)WbR%Aecoel7><2N>vNag_fJ$4(1(eEE1J{jdC)+f0W1I(y`d zWr!?kXkqWylB2_D&qi{UKQ%OY(WvMi?|Ii6O3os>rFLnX6!Ug>Dkx02DP9HR4NX~; zRM6R+xrB7aPzmuIFQbVXm?el|l!Ut78yv^JWoalVp|j;Uk=3;3(;{&nZD|-EqN5~< zC`ja8@EB8lua^x|GudKJelL>p^_^{%?C|zWNzWrAK9v+AdzO z@hL_?(dg}mE(xf>c928wqou`;Ep^%b6D5cEn;BTuc32i ziIzrUd+dzb-EP~#7d9zOe40&!;kV!;6=yr|aDLL0zVpJmm-Ql-NGAd?^V0 z14r&boGqGH5!L9Qdlqg?I6dB@KKYvFh_X~R3t4>2LwucDPUHEJ#8i*SgIDQ-o$p0` z-BQ`_0w@6Hf-PsL*5{3$NoL@@C69tW5!OEr!-kT-b5VhGcSw6bFwY=8=#TjJ@Y?W> zs;BXds3=MS^Lh)Wyb$^jX#-I`WV*&z1S%=%D|B$( zF<105XT@f_)h7Gtd8ZM^)YCF>@AI?QH!%%?#A0Z4##G~(vf-$PhZp0Up%|s@aB{)N9M7(w++6=~Gz3LgH zZx9ONRjM;igL~77sadgaPTkmfnqI*ku(~x5g-l#pabq9$?Ev5yNCgP}<*~I}81sCy z_b>ag#hdV(l?P8ZC#x4>+}OMe*06c+%}wW|^>EntrqlT_g^a@3`;R;9lg93Y_<;wg zkqgjr7xvpRX~q_xZ+tNcdGbl6PKlKZf}SwCgWM0}^Y(HBop5TZ zW|%seIfO7|+WmkD5O5BXT7+y7R9(}$)P9(WzJnk+>Zv+d0gD%XV; z%6w7KYQA`6cG(B}(4qr)53BiR<`V1G(8?`m0BIl|UH250I+{S4>dinOSIf-aNQpRQXK-w={vuJ!{dHIA3LAS8rAY++v#O=UwjJY}2h&mfbAArdNH zn*ncFlhpYamL?mFxKp(oEl<`{pz zJDaAOVqJvzf)3Vdx#HP(>Wnr)9iOdo(znv9_EKds@tKnMfYR0x4{!C~7(cGDHU?oa zn0zA<40kn`?&x*}7KU+qpcp7k+e{u}qZvSt)$FrhV>0q(9F@?~*{rkt)%jx3Exa>R z=oWwge{%jRabw$QbYl{d(sji8HIVqf54v^EwDJpz`^8z``>48o*)qW>J@$4sNsF!B zD}5NWc>ksoFPfW_PM&oX!R$JWc>IL-RWOX`Ro)>ZFV3NH?SZ|6zN;xI`F*GCdd@Ju zf>`i%b5IxnCu6bkg`O+2r|-)l-m(PyC%+|E&rf0E@-#N;W5dp%j#?;-YR1YW&^9!s z#o4iV4_;jog0#`O@8Q;1aXelbYw_@Y)J&N~<-IngaRcFWr)iC0n5LdS0O>yBQtAA{ zEwY{H^He~2ebVdr7Q@{><5yH{vC~1Z3TxDEU{D0c!p;W_7W`+3iihqW zv>vBM%SwbIKY8=U@^r*r{wFfADRJ^0%>0U@sCgFUw_3w9#gnO}{SLz~N=zm^mYI&m z`HK~qal|g_aM8sD46{AXup6!XX(ggI>u6_SoG655`oIf>D;w2q#Hm?(XlNjJtheeV zDv5q}b+#M*Zyy#OnpT8S&u|n<6D7Yqc@Y}q?#igldU4+HB5^ionq_HPY5w8lMZ$|H zzR4TU=kl2bsRUV_cKwWYbodOk`3E>8}xXa7WausapKW?rB-2jAf*`(<^UPX*ZWc)5xS=ByNm`} zKIGhsGto6C$tbaMps!w59?&Y9!DQ)DLdWn-3=-4CYLw3od()rWKcKJhE;aSOPXDV8 zu1VhO?aUdgrfZU(iNrwdoa{1@&$FqMCec^Vd@WvB-&vjAOn$f;&B)+fw7%D zQc}UOJ6ZmEun+Jvm{IJ)^?`JE7(ciRfCw{C(CW(t05w7EawX}$z{?89ikaiYyh;}G z@fn>Yi`Xo@iS#R0dTuY*ndg;0G6gTL$2aB%SkNa@%yN=j)t%k+Ozu8;Sm`^z6=+sc zl2o?GE!hT2#jrpIFZvRRlOld(kBdFn)oKb7`X;XJ9wnA~iEmq_x&}DxwTXD3Pn90{ zqO(eEBKroN+qxn~+pqou7Ik*?WVPAik0w&B_eym(A3v^Ow`do3@r9C9Xs3OM4ktVJ zN({O`pEJ&*uCCem#AKzoCxqwP=v;F3Y|Xu-^ODc=*B+s-CF70L$*b_ffR$AkR%7y@ zD1`eO;||UaBsp>nQWMKx8dH;*s~uSn;98r2R$`?$sosE_Ar1v`t6^gAd|4=dkeZ3! za;Xbvwy@W~Ru0+0pGhz&BH7BW#PYKSb9L}Nld&JC_m)&ldJhZ_BLwX)31bP^%yoX+ zuJ#!0{%vG!p>TB6vRzHnNk%Kg#j{=|(ITI2HLB|s5(Wtj-7*r1kg@;oFTp)u9bl7O z1)kX0+IP%Mu!jGY$P7(;r*$K=cg;Mggq~@RtwuG9pBQPec^^r8$0WdhNA%d%y19Pt z8n<3mDp54O{-3gsN0=g`c_(x~G^NMp&|snRW3xn)m$E6nddRpd(4crox0)m%3etn8r z1ndT|KQKA=fG+Aq{5?J;Iar9emSa}?Yff_Ny;<~#Fu6ebkV)+dp5p6#mn;|6>GMA# ztC4qm_(I)-6ksM%DB1O$e`c;tQN!84-sgsnVrG4XH3*(pUl3Lt%C=dLekL_;m6YW8Rq5BF zT$NtKDpibspmYW~O}lN5FH88>>@$+IndWrvlo1W|R&}8poa^Hty>SwM?UH~0doHu! zXe}r=0L~K?9}j>UAd4g)N16Y8JF~a&xot1dmBT(hLZ6_v&>SRi)A)tXiyg-PAT=A= zEG^ENfeA$*k^JOs3&{QMU$XaJS5M$hRr`PTXy_?<#X|?qJc~0+MRkuFZ^g9lY&cNY zqeS+^q+u(kZf8vukS!nG;}qcU3QiuI5BVj5O3UpycLL6H5$?6nmy@gQ>T?SKY;0V> z`cc~{+uscXa)~V&pf*lCiwg3vQyU2hhvk^~K6Ajgv6esaQmQB!Wee5uNDO-9=&uC( zheCDq1}d`uJjh;Jw4`fpA(8dfC46IZ&~m-aCiZzR<%zDj^5LsH7;5QXit4Yft7MX> zGf+$hDif!aoMru{l`H}FF;=AdN8E@0zo(644PIJx|EP8LAu52MA{O_8)(4d5Zi9T2 z#-NJV`UB(iFQ;n0R_{+x>5nvO$)p^#fF6HBKrQREU zUsR*OH0@H;J2siPS}8$WxVNeQ6=hs&U+BqV$r6}qe^6Y|ta9Jdz2B^Nkf-@CI=9u6 zs^Xnhu}2Nk6E`OAmsd;pK~umRvIzhnKtCSI;$~i9L9n|*jIFO| zR3^InGh)Y;3Q#h?pkX5KSfsphB0(kTOl9kExt6L!$}9Q87P4+>S6*Tyg!xpH7jME2 zcRD0vxO<1?MlA690;c&%+W>7f{qoG+ra_#XL-@fPY^N;6cb1w~wNl)jyqq()Ub27di+*|(h!M^@#;s?(s zms?g#F1{)L;=?+PF4N7qzb>Zv$6K{4Ui9O;plqIMTV(_GBvKcx$HVu)?>3O5AJ7%j zmAv@*av1YHiY|2YjXDB^(8dVcjrhN|h0Z~lc?zOLm>=OJC=;vMY+xoaXsSKh3TYJ_ zu{tT}>P*o=dX>?k9Ay-0=XT`wafM~axEaOT9{<*BLrk0dKt~}zx|LN^FUqHY$eG;U z6CFd1QhBlm+1iUFFf*dcHeaYqM=amO3(B=jN! z!GVvRYHIvoAo&I{efa=E3t<~}ESqKX{pXsGaoPNnpH5aa3coRNQo4t!c5H1ic`SlE zI`Preky0j!XZiIEt0b#>N!97OxKngM|Dr%}g5roA>3gb+1@LswrsbwJ7<;XA zD+$rPdi%}nkUCO1O&(54Kd}=yPKT-N5Dza$2B zY&3>9jf%?#RqmDHEH<3sRFuT!+v2gpxDLHT<1WV&*#X7LB!&E+ed#aaFDhO}a5 zl@rOav(s+_fMp?f0nzd5zX&@5ORLj8#8P@#zp8YOP!G1!u5yiEvsfpK!eum?7-c@s93! zrew0{ctcYra)CIfJsg8AZORL`{GCNp%O8AXeA#4>HQ->V7(Si-4L8PRe&}4IIN)}6 z=#s-4%NXezkan*C1e3&~+IR8(I6WaXF=L=j&^3{SM5j$b)NSV4Kfl3_6WJ(7r=`P9^-Z{vhTcx! z#v4oYSAT7(lg*xz{$gDpU)5bvRZX9JKyn$|MNiTdzaiRwqN(yVlDf z>hKaUU3P%rfSf!*4*fHDxw4Y1IK2p%8KmqM{7yfotqu(2y zv}ht8-OjKcvUeVr^#l(bum>&X&^O}6hVT&${DrtWa+LWcM3pp80|R{d>2wPI02hNU zV{Z!YkSf7Z|E+@nC{VojjQegk)q`fh^C0kXusz+dGw|QSXaCSKo>ia{ovI0!4y@BmiV8|YA1QhUUH_rQu>|Gd z1M~^6hFL>JkBLa*5HV%3rkC@8x6^ue2Gp^tmbDDXXkG&E&6oHb>II0p0AS2+*wkk? z#|}LsR1>qo(#c)xCny)7*E|SJ%aj^x#PNAl>ydONFfCs06`2%WEzZ{HoBgK&7du?fxfL;3_)wW4EaH`QH5es|gEbKeQ?|8VA8jk^6}rnu^Po|vZadihV62{`(ZL}{ya%hn@o)uk~_|LUA*H~aW@ zgYb3E&)lRRR+iLQtxncB6)}^epIy$2m2V$CCz|a$?`bP`(?pLKFuOI1Lw2(f&;pq^ zMrJx#a)3B~a|He>rKnGh%Amk>R*UzrjG!%(yR(~k>B>1oSf4sno(@X1@b2RZ^EHn<|$PWL?2cfW1F0|d7GLEDpw$C4`|v_aS0IS8Cg zImOe!c7@DrfB-(N=k{$iOULeb$t<#-6HyIfL6+lrc=BaBH2l)39q9Jp%0OTlAT2q%8f?ULs7ih7ziG zH9$qIeO5kcs1>2H3(nP=6!Oev01Va78<3?a1ieo7I%f&VcFwRrPtH~!NAV8#Nm zD*#Z7(Ze_&4)(#fy)~_FKZ;Uw_9*mM_S3Rzt|2!?BQPjJ5QlADY} zep-l(dIyTbe4`c}SZ6)T7E2RUl{87X^lLs`n;hY9QV|-M>(Jcgk6AItaF84F3sM{8 zc~IA(f+zY4LM|0MNZ%0GwxG{)IBVqyM((T(WN=D`U62V4hyuYr02IrXQWFn(XSR7C zZW|yXG8y+#Ns5h$77`ayc91&ZB4l~~Ur!117>j!SJWq9n9X^;~(#a%oeAl?#IwIE|n`|30l4r)^Yg8EQxMteIa#n0FOE zY?^2{@i=nc8HOxzT87q6L`*kWC$?iETUK zQNL{6EP`N=ZWRLEm6r9KB-6w536)Ayax8YVi__|$Sl?49Mc2bbXcA`#(QheMW(Sn{ z${b;gm4D}p@{QB-$|}sCKox23Iq>2N%#f2nN|=H@#zM2A{402JYE zLgnjX=6NRl3pk7rV{+M&|3y9n&;TCAVld;sK zlS+nH90E1h2P%G$D4O{!p|k#+nctgB!WX|lLWgXZ7~x~S2+HRj_JI;GS#NKqaT^Mw zpH2R&*Lqt@WZF77SEgAY=gKOy558`wAENM9=nqWHji;Uzg0pxKp+Ei~9jNw6g2?ak zs4beWLy6y1ZZ1P?7B$gT0jUjY>A*6(6Zo-Jh-jwscx`a@lk4+sk`#B-o4+vA3a1KM zD?q_HhD!wa-fw_=I)OSn_Z(D7px{a5=h{2L62AM+QC`~Q^~=NM9-@VcK`H<{Kpo6o z21TdsgKP)Krf+YU;dE*cR7wHfM-Vhzx@{Z?iN5>Vz%(Ggz$5Yet3FjLe1X0j^#%+^ zszk(5sKlhHf93CMu=DSEtoxqFi#2&}>k=$wK+IP1@%C8$sL2kFdo&pb5smG>Mn|N~ zUfaI-!W9ItO>#<^m_HK5tx7Hd=}0B)u>rA2;sK{olM3hDOh6;EZ5-V){Bi+<eT zAq-Fh2{k=v$1g!%_St06llqG+h;{)Udd-Ul2|WQXrhlq z?y?IwA6xuc0QcdrAf7@+{9hQ-n4Wo7p6zyh;o9&Q%p)LhXl(!#j=A17oTb(5@T&}= z8kbm~IFM4#!2E9|1wbNMk1eEXDV%_N(RtCsh2{)~wr*#?-B0}xLKY^oA2jWwZ{ZBs zEOz?uwb=GVkydx!`1KbXx}9OCJ%!Ra%(4K?SlDev;by4o_~90SH-YlZvFEefIH{rH zJb5xeB;+_jU_FLwA5CEcKH_oGnOrOmUC!@ahD2`IR)cQOgLubde3xF+8IQd2+I%?H zAAPQYz>R~9GeCU1j5(Vy?hNXFm`jD-mVdH*dT94sx2vFhV+WZMm|oEbmC-@2RnQAv zGk>v(G_z_AS*w1N<20Be!$;_bZdKR}WJXm+&2f{6xK`~!9$;z?fSt(=sXY|M09|<{ zDth(!jTVA7#0$r~7jp@AQgwt>bnMj!ccv?52_*HZEUWNDKH%-O`kqAjAMt;+jzUdJ zG0*S!Hd*zeV1;mFS`g4hG5Hzj_i@%FhkoSP8O_|tKd(EnY-lja*uW&v`H^qfp4;+Z zKReSzzxO={`}*bc^}WyQ-hssdx$BoXW_PP)DL_}Q$b>hZX!CunjS!!pS3rDybv4i8 zNc3I8b2&h7w1Ly)`!sQ$q%MAW(2Z{&t(|*ZHxK`&+X6sTRNp_g0JMnPj6=G!j7)Nv z>>>CTibF6j@Q~&zjRY#3=UW?Po@B+sJx`Enm|3i{>mBw2*f=H4QchA*w>w)%kAj@s zcWB7MRe%2VelGKU%|MCTgQ{*g4v_+q6g1_SK<)rG5)?IuQy%HjjN-7I0$a_U$A~f% zjZw6euASHgT5uqQcboZ99U*_WPSs*KwEGl)ireZ3!OaWV7&@@}X$ib`bs8MGe=I2P z=VTIAh5y_J=BbgP+Tm0c7l7Z15)*v-rDZ}T`9DEn45IW{3hsQUTBLSEDR^*bC=mL| zK+6Im#g|grsJ}CiNqqN;j*jkjFTaCFMUm50CXzMz<{bL)hjmF25h9TV(o1}%OW!{p zP{kkQhXZv9V~@800esF2oD9*XTCMc*-kp(MhElfqF4ve{ngpb}-A*#ek~T*PoB|9q zUT+@}K%4Zl`?{L%@7KtvpIo5V28b)D-!Q&p9x&E_toIeQNuRO)mnhCGc!@rS9|}I4 zgOD(gaTt>1Jq7RdEus>;GNL=h5Xh}B0A(aUG(2q9?!&G6+ic?* z-)4{=nkuIHaH;~>fo`Q-I)qqziTO1=@}?PJfz*)JYBN2QmeG;$IXQ$1cNd=_SWL18 zvYw^y&49Gr1v;A2XVGmi25vs1-1ehP(-jjCNOJ>qfs2bvkSkD(J)e+JGt&Iq6m^{P z`pU}5)-Pq6Xbl+&q8o4xFJ~GeEp+~^*6@j_Vpg}?w#~y%59 zL0{@2i(bc%9Y4Jo8TL?PBE|A9;lNGl{tg5z>V@7X*G-7~ro7>9m$`qOfa(_z{#}4% zgmdXaz}_xw(Esl)K2iWoKP*eGMTBD-t9-wo+RdX#MKZi22vDQtZ;_5_L&k@dQcoVa z3ifpTwa)OVfWfQA z_BsQD3oX?Dy1XDbUfP>KP?`X=>A@#N$XB~|A=nlXP7-s00O7*vpEmaM^Ygjy<1=X? zDJiL`sp)H`7PF>1r{4s?CAMcp3JCw(@5{@>6VVNHrfZUF!hQv(vGb9Z(kjA}vc6jiq46aHwv=reo0v0Io;6R4j-ZwB1^66s)t{K{Vx>n^3 z41HKjCgETmW*h8@S^7Av-!FBvK(L~i_>Uxq_7n;KI!syS(=8Zs=-E3KFLgaOzAql| zNvGvHi|apc6gin>+V|Nj{OwmR5YxaJ!^3a3`Uc}DHLOikrk#Oe=)b!#jRK=BIcj6> zmI`h+qtRi)u`Q4SjvN@AE~pp3cl-4268z^OleP>WDoc)_YnPjTST7prpnn4T)Rg@O z+PPsl@L+@J!u?!?F4evqe6aDy+D9`6#wAMN!B>pKt{!+TXjcd#r!f~|zeq(z1r#B} z7QQdqk>i>;o_*X#6)?_DDMZ`>Zu`km!2J@dnDlQiGI~l%qQ^mjQSu zTd0DF6{*jZG_CTPC?E6>({cyI3-b0#~2Nv1q@>2&L{$8Cg zCOMlpKhyG9ML6Dq_`jwAOmQu&sa&eo60nw$(Wa=-0w9l#5EZA$H$1sH0K{cwWx-no zle&A{X^Eu><=0k#Jc}cM>M!H0>$ZWZ4(HS8;Cu6-oQyWxZ}w=QwPDg4L(dcv0}h47 zfMeBx-Jb>j!9{`Qa=q&xIikbAhBcy8t7#lY{E!(zAkzF|GOi|pJld?O4Iq$gexItJ z(pbesAUIzgZ(q;@yV2lrot3pU_cJT3ec|@)$UGplhGUE?xI(GQ_Urv7fO4)Q3>dpU zU3A=k$qWo-f(gll;TR6CD(Soo-hiP2+YERvvs%j@h(z&dM1NH8>vTiTG@K>Wtq*3L z$9X~Y#C91$$GyYUvn)V`9E~3z8^L<_q{VHu4{{lfaO+AyUet!&JxBRrNX}shyF&5~ z=(0s_Z?j)RPnrwBr88N=bAkj_<|nF0Gi5#vLJZ4!NDe_jnTH}dVh#(=9Y=UKZ@__Y zyEWp#!COvoR-R0%7RTj6UMZ_PfzJsKw_(~BfprKYa9IJ>ta{hSo6m<&MMkCtC6nDy z;N@I|VB!=oN~liAQ@Z+fS9-C{88X+JzWVx~Zl$E9g}<&dyN-Ra5D^hh&VvYP7)9iG zmjP1u$Uyi@sL&3~B0+v6jRr1)V+;>}4oA;D6rHQGW~R|0b>I;(K99W2bw|xi&sTV@ zjOJh^piTfQHee@7_bk3q^UK}-j#qz|pG!)9g%tjI;C({P?AJfZ+7q_`rTo~y*QXqf zJ$6&VXO4N;>1Tc@6Qs>Ihy@nyKDFr10meEq-)J#kEd7s<3ss2JZb-eXzan-`K%IoO ze{gUR`t6Y)`tlgG}HLn7aXxsdF2-M@UGBj}6wyraB@wElm&p8Y0F9 zd_JPW2OzaSNzWM>`bbA-pri!hRV%z6D3?_yKTwc|yTJ)RLF^+rIXQtSW(`s#}+Phxto zh#<0qz5m}|yn#ro3%=VaRB5i9Wxg@u+`s^Vxfa1c0fv0bge?S+@OLN7YwdFam=1Ee zQB$fMPJh~cSAdU~EVJ%*3P9B+L1NSU!7E5DVf>|-2%cZG76GAakiwNS5S9>m@+1Gd z_#3RQLJhfI`wL>g7YAPfwFa(4PKJD8`pwSXW5nK--#yR2$K>Ibo-@1)JxFC9bp=~? zVbvZH@mIR+7QSRkFEC9Vp!g2|ydxMDsLCdt&y$mrgJ*vNm2fdJM75*$UX40lz~t;P z$H!`Bf_-bm_f$AFQ~cx%H#cGjKD_tGkAoMiVViFmI5>7;MuQrw&0S? zK&MBveJgGKp~@qOFFr~{&Qb{NLlp6gD;$8DmZBbHgoGN)*Uml{DLdYf4<5~e$5n;o zGd5obAZy&NkmK+y%wm~msptp8?-i`WvB9SjB+xR}76WSoEoe7)cSt&W+q`$C2%R0^ zvDCrqiyEa>d}3$DiE8;Qu2OBkgf5(3Ch1> z+=u%wR`LyDWA^4Y`+dfR8S=lK4lmu9O|vHr_V&V*nqI5dt}vjxAOsB$uXUbjU?T{> ztO9QUj2}n`hg9gq#7vslG2}Q&{#V{aPV z2x6#iiBtg^lP~65`GjFRP?iRqg6HsmLnzTmpK%VcQ~SO5s%A>Bm2jy96Jo5GMm{Q& z^AA@4sojW(@ShL*#tP?jzzELG6#U{NGaEw zP<&x-g>cOIS6PZ@gBf`9;jcRSzSOZ`PjJp#Z!uRZokV~k4YwS|-WnXI6VdkpC7rd7 zXy}3ZXGgE;#cEr?4WKUD=kNxSg^5Y#$ghJd}TB#kK za)v1FD{X=A{bu{y^yU#M?7did&;1s15D*^z$j7}+S#%qK6a9ZYU1d~O zUAG0KyGy#Hq+7bXL!^-|>5}g5?v|Di1VkF7rAxX&q(LMe?tb1o#`niD1Qa-XpA~b> zHK#D>>QV6t2^l^+JOW-w@^3k@dkqjnS%b^lK%jD(t(XA((WOowHy*=gt9U=+GY$=m zg<;JN;3uG~$qw|LMPFD72TFm;`be|t4myspP@}&DdUO_RpuwYSGT2SQ(KV>ieSP#7 zEF9Fj_3t=3{wk3{%c0`_Is)2V=8lgzI67FCV$Y+v-rxcewpZ@DU4FaJ>m+5F9}ZBcRee0E~rh=7InV{Eyh+e~hv9 zJf5optrr;QsicR1UJn8WWp`lhVX(Udv7=}Av$4g9)*M+mAfs6kfM2AJ@D0U;a&#%1t>1C42* zcsg7PevUTFF~Wbhp#J&Gu&EcjAsbJsPyY94R&FHbkBPK&y3{hno+LLpSp)d2IVeu( z)tR+Jfw3I^4+-|5X>GHLcetq81;V(No$c-I;4{8pBK$fM-0O32#QZi>ra-9gqI~_o zr}S_JV5#@nyV zOvNoLE#g+6?Zg{_-aSxsH|p~90rQTyHeitl+M&S#aKFGggR7)Mf1#iD|Ig-wi_1kE zJkXfV_C-Ac4-~`;lLk}R902XbBDra8S#D*@gqi4#p*9I2ShNpmqRSiDjk$fv-|q z0$<7~RtxOvg!_HKy{IDM56BUx=VPUzDS1>6Sp$_BFczai06FWM>z<-rW918Ikp{YJ zA#m{EHd(fdf}XkoR98SM+d?6S8Y0E$x920-ATsWdN3`Ab}yZ-IEe( zg=)ScC6qW1sC6K@q<3xc)6i^pxqwIuiaab3RsdE3-e+=QZ)fnn8?@M^r>1g)*ApBq z_>RD&p=`eg{QC3gnd~O}?H~eDa9JQr0PR7HBMPzt{#%3MYW`I>1!~ z(c&3sPuv0XwzvmChl6JVD8mpK4oYMAjf4QVHa{TWKkDQlEzR2ta{FSPfgZ?QQ0mt+ ze=xh>7Fyu_r$AECz(4jTN=iz=4lyCIDmtoiNcU$^@@bm#X-5nK&FfJ7av1|u@Ri7K;h=O# zG02YClo%6&Zm-zG@=s7x5~@kcNT(rz^uz-oAAq1fLRT7I9CZOa8BG45YSqgA@si+m z;YDl$4cvF|yaTWJ1M%E9)gZfSkQxhc7iv>}>)nd&hlY9mg z#LuAXOkb-9moTOu{8FHHVj{p2I?n{6BPZSff4pcdjf%Pqr9TH;HgLIYQ69s=;{=*n zF=eq)-gD>QHl9?O*FhGBu1dT@fL1YXZf-lYjG#|=3En1oHMOsoPYYkWctOSetm_H2 zpI|0sg?8{zR-<0mWjd540|xc!Yb8;Nq4Y~2iHHG2`5cB&fIKdC z^1;;xZa(<}E+tLBbTC{_p8L<==>u5mCRu2NV*Mw8Q+%j1$znzeQlp-Ovv}CS^{vsV zSpf6Rc>phftAXSaRY@b?v=0*9TWhE6D+Zt2;=2pv*Fr-5V%kj)Hnofpi0U6Ktd8X&npz@3Hv6J42WGIuzm$W8C0si zv?YF1pne8-pbKyki2nkWNq&c=27uxtu4bmMeX&C>W$yO@^^~*+Xg|Qebz1M#SEZ}A zOX>mSVrKV^jnRUbsONBTA2WTB9hFS`>=Qx+v^{5OAV~XA_tOPMFxt=s&mEd8RJBEb zf;>RffM>?lD9dLHE311}{+EOrPQ#$xR0@(LwHtA^{8~xc*Yi$&*c8amgCQo>&uma{ zg5w&Cme#=l<3KvFFZ1swa8|Ow?hDTR?+7G{9>E2R77qvr@P_lVEeI=4=i?b|ZwowIje>4M-%0rFDDXhzNRJIgDReSF7-j*o<<r>9|dMN#4#E)X<{2AuFrmgON z3+D?Q2DtuZZvy2-EaM!BALmR`9m+K<<1{xR0Ak=h<8G%+D~r3ElW19HqOVFj*cFR| z*b7UAm5H{FA#u9`-zU<;hu!fAIgUi2)=*9Xb%NtN7 zO~;s_D6Y(z0sxdkk&mre!hjZKbo3bmq+FvmXXPwVDwJV4GkCHxcX$cnA@Kh7 z2g2dszI7*Lf@e;!(`_EQTfy9nC3y&j%fN+J^>WRmxU!+}l#~Fr_-)i%da>^F6?Nt6 z?tvFCLhqvBlcUM$-Nm20U4Z!#^OoMs#mB$haK%-i*z^TW9LR953eG;K?CvPcn=WVu z;=b;p#tcwN=$w84+_v|hC_zlmTrvl9p$8~Q{G!`pHxT^P5HCc*SFhwS zM!tE-(~}eeSOf|vgFYXe9T*a=_<`9}wW8|}>0BJYBS1&j-0uj-2Q;Oqm| z0t0~KuNHMKHgTU+F69Yrb;+}C+;a>|BV8g_pMsqd6BzP4orCv~B8IxcFODl!tJwAP zN{H8(%-)AI>APfePSzMjx{Rs@6T}WW*qjVyOd0&60#Gu5l`;6%TWm5HiU5LmPrBBA zTE)xD%ez>=HoGB|D_(byv7)$vWuxHv)4UYRxXhtIU&UofWhL_8k#MXY7EqFQ`3E&a4JkNVs+SIb!U~~0$kUwhvfO)GP)M~>$ zMyqVWY8}AvvNUtEoWY>FRL3<_r-;7)ex7vC9&;fYQF75sgYyYg1OWA^)cS-;k6vSB zqL|U)b>`$s?=iv<{$8T0BNzv~=j8lOWSYMe@1YbN5^k%k3f!32N#g>{fI24jFr64M z2Z|StF=&%3&@#CTTffWy6_@eP^j6!cQ6Q?pzZ+v_qSn_t;5vT2YylA!SWU_<{XROV z;v*AfF2=P`q^pU%7~$`8Fi#5lG-OV(gPf4;FjqOf*mcY6R_xb=O9-YLh`qN!Cr$5P z5<@UnNH^%JfZ;YNO_px=f4R>>w$fa{KWI>TNllSYWfN%5lpB2k9+v6nzg0tmX!HYl zdpau>L&}0y08$ZVf_3}aVLFgyD6mmr^)#%&Y$UYo@iZGAz_U^*4BfcY?__!qbe42? z(~xg=@s9Mz!OTsY{`ZiVU~Zk_Pg8Yt29{X760pGZ)zK!R5C2z0&Z}{{k28`p(nZ+8 zlS&Bm2OvFbiaVeM@JJ-#%9?Dis@Pl^C2laNrLNrsJswQQdUs5mNh7usDa z)OXZm(ae=5+@-b<8&;h{-G1M+?!AMtz~q|N>qMrQ zq_h+inBxXPWY4j8wDO723n0MP>99|F-ZO#hfe{!_>sI-d?pt}gBzD_8tvcN_CW{H+3dKP_pu#Y?--AnqsJ5Ot=^oP9FFqwNjxjt?;Y!2R+U+TyeNCD=}fNrKZ^97S=OVP3U|^h~V-ws0&L7n71mJuwx>ibB8S@ z{UkGu*H!5KleKyb;tpV1I;|TZb0`@&k`IaDPpu5UWLxHqi6$Jm3iZb^xZa4lFu}3B zSN>v(ycMIne+`uXB6!qHk<2dkfVveyDNM;&z%10e^23!hC)`m&W@IM6_rdqI$+_x7AnxkIZ+CG|bsaQ@hq()G&F z{0*^)Yd9MB7em@;HGzx-T|`}ycgZ==&GHu!E~uGzN2=yGcAwx13erS5YE&&2?RnEq z-^Vo{7g2qcGhBEp}(FU(j{Z&64_k<0Qh8K+e2S=Vam8@4Y?NDTp3dc}}mu!%KX z5Nh4oatM}3Q!-GnVHMCccanQ~*2;c0RL;U*U>aO7-P$c&Pn)cil%d8u4tvg3p!a^F zlb{*LZ?53e?cIM@%qM~x;F5(bVKc+!wj;Y1nVh0}0sOGSCig_qI%)qN@zGj})%}A-tFKeqVWa(k40u|`ffOF4ugo;)} z7neoqE*Bw-;V@a3axrc%O5B6g^*JHjPnp_-e zCb#AJcN5+$_~N$$Ef#d3!m0D>Fm>=)O^8!CzL>`@T=@rxl}1)Hd&rqF)Gb21Kh>9X zt#t_4GI7gU)zHU+=f?*X#b&cEkv}YB7Hng>lBlJj#L)Fsk!ilo^80PDF~bo%l~g%v zcXb0*vjS2I)5LbR*nXwB0FBlBYmV1k5$>v&+V{6Ao+R+J{os+;DLB{Dml@W5mbas9 zua#NDplzXVeElyyy_d{?vFrs4*B~=wTNFzMkCRp!hnXy*gAT?_4d`{0VQwmu2iT8u6=CGjwp5%xC3 z3Sw-h8K&S#ltXq(l(a8SEecvQ4ACtw#f0&a?*T4Ng~pRHNx&!Sw4e3UbZluoW812K)*cc402OHvi> zC=lSy1zT7ywL*2nrSGXh!x0s9q%Kx|nJvtvE2wE@Rk4DuB!E(x(x5ma`DYrpf2>K# zFvyXkAGh{8dC0X->bRRB3V~Rv(T;dpMLSpj!l8;$-XgIgbS;WUGtuSCJEy9wAyC*O z(x%~y!D*Vh*^M!_XUkq9tm5cB${4?+A(C`^a}OB4#=wUdmL!Ub51sa`-`?&Vls12X z{d400vGr3;1NI>Dz#Q>M9B$#ktXMnMy58+6eq_tUK5?=bMiRKDC^x}e$A6ea3-7iD z6sOeRDyp;y3x|ws%sKS##=K4UJNvjX`z{Z4>^aGsF)kEQ`ePhjD=Fu*D4@)OQm+Wb zfj*C%#lK=CGvZxi;9X>(H_)AH^W(~F)rzi{&e6DZiKBIK7e9X)xQ2CLxyxat!j7Kr zlJPZjQqUhSqvu@$IYcL2T$0j}4``>?PYa$HAv*cpeMXQ!-ZO*U8dwhHjs35e+0W$I zCN+}Li6+^;8`nh_Eh&DTFJXrmRv=8eF>Tn1Lj8^!#lm<%e+#@>$OH>XH&e<8+P{#+RHiAu?Pt(t01xHzGY^z36}F>dGk(L*c2 z3MfigCb>;{fdLkP?xEDqhfH^5E5eh{$cwZp82aC3Q_!9x`b7i!1U4d5TM6epgQ-YO zJs)Fuc~JKpqagVG1Jp$pR5vMf@n7a=)x_dE$7Ahl3VD;re=ADQGW%1vXKdYB3KL{3 z{o-wu4Ewg;&;yTg_7yad&}=?rD3m>lBiKxW{z0Y1E8Wc?JLr4l#Q;-@bOL$>4vU5$ z{hZ3|F}uqwqRRZf^9{0NW4g$_C@XAblB#V4Rr~LJD$By7fK6}tr$y=aNrwdT+Y=|; zw0yftOzKu}vACPvoy4EUD^OB8i*p6jF_}it#vP`d5f7S|trr6Nm^{p#qKh;M-e5<1 z2GeFm?fCw%c2VRCDv+mJsS2yU)6R#V`&oq0o?IPPKU@F42jUPi9rLfpB&)*j zO`iTOh9jreZ9NAwO2awKy0byjin8VLG`GuS^LZNmG6MI!N_KVFY#dd^GQYWZm`h0B zm=Z2>@^@mqoYFHd3>gRB*0LRd7N*Fqe7Mw36N(NB)_Emi1F-Ch_x8ii9Mfqeu>B<> z`;z^0MIz*!GN&W;YdM;iun+=VDq+kN#FVP6oU4Z+?k=7q$KrvwGeGTd*g_AN$0@H7~F@)B31V+yJ;laiZ=je?AprRsxHvB$udz0 zwqm5r=pf{>;-UlYg#q+Lb!oPxY^``cEvalaJ=UJ^ z%G4^nL~zhDL>x6N=?;a6wo16O)KIsUnG1KphGyBiI7FR#1Ydp&RXH`pm-srA@{x+{ z*YrDo4aX?5LFd=30jYm^BhdsE4TV4N$UCz9>D6U6J0rFrlY||E-!2X3LiK5qkO~Gc z2(2s0P9k!O8Otgd&niQxMwIW#3usuG8|mKgp4rWk+oojWzUZ2xHD)E??CcGUmpw8N z`!c~WY$=&KQcX=Sx}U@A=H~ly0hwpicy9dS?6`YtQXSqeh>+yzYu{sL; zwE;(tO4whuf4HI2A-jUR;}x>WPUG@10rs;F&@=0orI}MsqWp_ry2$6h2`KB#(?8R; zx4H?5o{d)z%KAmbG|LOS`FZHAF5QRL4wku65#3SfezFN*5A05@DNMccqcXG_u*j}x z$ZI4D?SC`=rb=NQRinhUi7JsM&NDgh&3A5gLd$)T)f$`i{%M#!jHdbELPbgo%)eeQ zGf=-F98uqFyg|u-)whLi)n>0OAIXx_S4GoKV@cRkGUrX|SVct7uhnJ2!&jwKLr7v4 zLTYOaVAB4hu+%af`*9=YI=FGng)!>X;u=?!b|zi!)Ry$yL)Pa((hI@_i4oiul4faM zwcgnCA{gpA&X57t%^VKASF4Ks1^&1Cujgc_B;=3y#4rGPvjN*rvOTtRjB;q5Ld z1|@L`RyA+K(6aSwtluuzC`boNs}43xIE92b3Mn){r|I@m4@z4MmAvbVwO)L}^h&bL z5@BFJmrs!Lt`52g4Dwo*d#>Ynrk^$+7buO!CKxi$@&g_;88faJ)I_S-+~1sAa3zuw z|0xK+ee*zY=GQ>&Z>8gnqpDpcF(HuBncl`TUR&oaQT>eiYMx7FpLj^Zc?K_(wt+y( z&mNWwmzkRUEp~l3?l~)ZRWh6p3Q?C$9ouj~zav+g#1paInQV}5jcztSZjn`3$lUP3 zKlZvQE$!{I))_AhDjWH-31)@c!Ba&s46MKwm9fU$*`rzsT^;6h9+>Vq)*n-<Kpjw+0&uBp+aOXy^5M@SAV}c>ITECe=3vF@Z zo`Q&YnZN4-VCTpL5b(9(XE~GB3hN+*59--TeiJHAamM(W- z7gY&A8rzWYpJZ%%6w*hOpV6AHz3}F#k9lbCK67gHbj2mgC%v;zOxc=pZ@V0|b}hzu zR}(Zqp)=pJ*P1POY#vq5?>WEE57ub|UQm?-G)x69d~dc;@%$v%W$=aDzg&PZzxK?NDkvTo#V-RtTr*OlcaqQkKTf+g%z!o9i}K?RPTs&z8?bW znEmcY#?_yfV8dmhQX^FFQl*rWPXrC^aGk&Q%Yw|qcC2n|IBTU%n@x9HqT#X< zbZeK9;O8t;%M;DMlelWYPv6abg45*tF2z5Qbc&njY^&vJRE1I9!aj(OKV$}!p;c1Jm}5Z^9j7i=Fq76N z<==}JQyfao^XKyIDamPN<#60xG`px##Fk{I$uwlCQXAjAC(xTH z6f5lgSMv0apq3QDj4srT&*C2|c~NWYz5|7Qf3*2CulQHR*0smnoWY$>qBm9Ko=Xx= zuVwKV)UQX>0$jmX&M(I=i$I( z7nh{(OeT83aJT(kt4^Q@2HyxAaR(+1{4fiZz2dr;$+_6_q63U8nn9MBBG>8>n&aVf z83_atQgQ>LbDWv`?mw{20aYtucW0F?G*%sBi$^5oKAw_G3E>PVlpG2qB4fW$)zx7= za|g(~Tcqq`l5=GCTxd3r0C7*WaeYFxIRC;%|~4*@z>tIu6I-KizL zv<312ucA?n~9 z2z22FSxl)Zlph${`O!#(y++>@%JPaf#rdQe{m*Fq?{H*{(Z(jxmj0ASx*v08D!VtC zOw_=pN~NZ_K$OccPyd7~b|1$1E{^)h?;OMuus1#M@&~yW*={99pBaTT0iW;`0yUPN zq}1gMHht=>glb1|#A#SmnZvFu|EYT2VG%)$xO?9KkN#)wl%I>q0cf&owg)EUnY~9+ z3NB>@wK259SH`FahZ#3KVXt#sbv1wAOE%A*I~wcD6?2hqr?6b5GrsE~u)*M_!uGvP zHm;Fl882BxmR47K(w_L6!i7BOO@hLhA!pmlh@ui}Z?fRNq zQJe=lF38bAKZta`tS&eSa&Fq}F@^iL(e!73L-k4?nGaYe5nUPjwECUd!XulS+|OhR zyjvLS^=lzDK{LjbyaS^nuP%Z;FO8nuG@jBhyz`9K9+=Hv+zf*F^+ob*9CzbLn5b?d zUw*U~!ClRz#&JFCh>O%ttZ63F!f`XDTNaV-%fKTJmrx484x%7kqY@YLonH;!sndq>D5&Gt`&LF4Ltk`m>fbX**O56KzKJL3};^!7n3G{>7YnR zq2F=Mek~T&1BKo zc+wcjqvwQ+D&z-&suKgo-()OC`$jrHr}WgSEO4E{6cCT=fAy4n3*g|w%oo8(W#!x= zil@JqeNV+oF%|G$SU_s%lF$m_@yWPi38$6TKcq35=}2WsucXrlbDPMae(fBj{#1!^ zFa}8r3oNd-nkZ&{Oe)u+k2S=c@u6R0!eCo8{ZIB3_}k^GhaK6**3Vn4vsT1G)>fNzeO6WX~wP_qHhd`1K-M+ zhyj<3F?SZ@iCa#wlL0i#E30a z*STge@TkRiwE4tWgrFqxYE7vNy`DhIJf{*qMj9zjFn2zVw>rfS_q0~}h3ljhtTivx zkxx=N2nMSm8m+pOsQH-Zt1-DnAg7aZ?k9P!vB zzSSE0j@{pj?C+6>fRerZ1Z3hjXZ}7(wiavEn9oy6bQ6u&dg_*3a43pLlCI>qiRes5 zu#?a8Di*I6mco9sVxJtF#qQ-j;aTp(?rThhrFfSmz7OtLCv2~HpD`^V>8z#{9MbST zY3&9JMkCBTB!T-A&-6+eyZ#TIF-Pq6!z=hP(Qw1blvgJ@iWAO4vEQ#9MAW#O%Tl;T zrtef35KjCWbG;tu6}8oVb!t1T(0|%QjZVDuLCyZAP{PG7S*ZeJ{vwrkn)+$4#`vP1 zZ?&X3hMGswoPr!jedu=c)lhS72kk$hEKFrO`R1uFQ5+adl7_N?+? z*GU>swkupZezv#BqF&@ST?oWfq7oTmZ#+X{=9bk=ypVOM?-mLdiXp8ekl74;LfvAl z4Mp@IB_A~+hTag5Im;ygp0@kbB4~t?`I@x5S}NeZg{y3OrAm=-{-%(5M?mfYZCrm- zgoPvoySehyL9XlZfej}MF>I{^-linoX9|QSK;9^>&snO@Z!kS&a}1P~Bqh*e zDHrOEew9m&$3Eg@nxk?jw03mijJ=s5j<%d4DoJ9v|F>#dQ~qBF(f=82P2KSQLvpYe zA8|^<3U!hULpis4W*h(v$3r}Ndi47m{61UvFqI%sk=>5=EI*I6U{~IFehyEh3gK@^ zD{40PX^$bjV=xGZvXp^J)#i-C3O+{t*~5IF@U1xSEgYBXtF=}6 z`HKA(e-k>?gwD;XpX4p}HC6_o*xg&tmJ}3Aa~`e3$Z~R14Gsk8$<2IO!BKSTzHF~21CI8W?Q89I501hUkJqLJyh_bmv?{Jw+?k(1@cCn&?Y~*KsGwz> zJ$&2r2X5J-xD!2_9ck=`i+*DH_XQzx>B#dDhG-EnuhEckeaw2L$XZ3%jOEGCdJp&r zE8dgZ+3^E27KRYftN#>8vWMCVTgJ|^u}j4^Cs z($A$*z04bJsuX7}?i^;i+4Z#I#eAwuYBdv#Jre(4cO9iD)74)7j?aJkG?nGq`94SU;p=UO-tzg`rpU@|BLJ)b>QQcA1AvhEy*J~6-9V{nNeKEKQE$@gjXeQe2Nh3uumWsm*iMOk2ihj5`$+TR(m$4mhrrhNO{<-6W; zdQ%f`mig!Pb42!4w(>K*;3?qxj0!o3eBCE;9`R~|uG)z518g|o^Fk2m`_t_&FyvkV zD!EOA;juTEq&IAZDC!@<5;&NVYMQVgW3}O5-QwYw?*hEj1jvX%sZ}DGZ-y&scou)( z#Mc5%P(WS|IE)Rgo%=mBfFs2CGwTml50aD}WX<#HgDA(z%IC6AyT6P5h<`24q`hF# z4lzj1Mew82kK28d15~GIH_$#brlh!C;be&8VX{${VO{v5hRk;ie3gl1ir8@1cmK;8 zr(hiTbORK1r#Jli%Q@s45XS`A4)0g$84De(e-l!W1v7bn8|~KryaT4ok6_d?@5WNY zHWI?!Z391o9_-}$WaPEx0d{gwfz|Ct2e9jCNQ)!_HpsnJU4DS)bL{<`ZXuHZojBtY zx(9iIN`D=!D3pA#A@t}ahHm6AQioukMysZA;~)fZukzhz{&qk6pPriN%y4ta1n)Q^tXPc@n*I^Z*`R-JS^}Gj-Yd4B zEG=b{-aJ2EHTSwJ?vJ_joZ2m7^M9nY{;Z7R5461WRfOs+#Ip)o<@(y6Qw6w*xvf=&7KhGOjaB2#*k(Z4X3c% zTZAI|=>G$&(TaXw5D@v?>$(FD0<>lI-A#pL4+8zT{Ij4z_2Zjm{G zNW9M(*rzm0;MMvuf)`WYA|Q!S-eAtGp_2{kasgyE&@}*gZ`3YHu;4}rK1yj@k$9}S z5A0X-ApZXCspy&M>G)CSt9*%0{&zMO3A~tIi=$G?k?v(e3?CqppmX~JeOdjbSNd3N z-yctUa*e|DEly)yi}b)`1vAs{vATcI#_BeOJ8&`mUmH)~hNo2Pw5i9K@~hqfWy`1p z^k${cQlyiuDrV9c^5CknUZLJP#J!#5!rX(3Et4FB9q7eUz#>goIS+bdaf!WFmy2Sd z&U=_|pr&iCF6a%K%#izta$Ao-dmGj4P+q029e)c;L|3G=3scpP)v`pcSSJp~jkKX3!aMDZE@$LTAjVBqTR zzHi5{ObYCv`&kP60DIXTyW+I5bfkB@N)tU;ThRg@5GX?msJokuZ!*IkM%AN~ucnt! z)E(};Bg)ugJPOs0&tvN8L_xBC=#VKA+&iMm82q50uesVtOp_z*)5O44gVFdv3>0UR5pykk%+j)?gZMmlpLt45R$o0{A!GGc zW7`%n32f>9$R_&G&^h|co~SvIw%aM};wJy*zB~P|i-q)_$@;FZI?wn6z)&t{3nBQ; z8j0cAzro%Q0+OIp1TToEz~7k4u_*o~D@yI6nKq7r10$ZTeHS-+6VQ!+#0NSIIRCXb zc~}8jG{`e%4-SORKzOxUHx_mCfH%JK&ztx(m9!XfOdT_Yz4}qOvpzyxDt*JK<(E!v z)Yebs=*Ydep}V5o$n8*VmlReLYhduA!+t0T);EqK*5+v2nrb_cXY`4!Vt`B6aLckA z$DeAl1}wqdBcq+j%5&wO2gQw0U6>B?yKLQ|Eg`YaR8bXa<`Z4#re6h3$Kca2J?+FN*!@mRK zP~-coex#1!91qrzk5HwUY_9OJLo8J|IZm;=gyOlX0My*FtcU(MW4HPuyofD49asXb zt3d=J!%bY>3AXpXbW8KXrODaxP&MA1^=&>2a7#5IGWfz-Ns$=Ka-Feg6w^Fn<_@QX zR0-_wz91{%TNkTLA>bZP=(ZdF+?g8G(lP{p zMpf#+<2m86w;?>E`6=i56VBo~(4Eo_H!Gu~uhOmeQas!em&m-Rp8h7_DjmDbDRDbp z((Fn(Sxj(M(TqQ<;wi9~rWNLS%JC{Ma0ZzLB^A9|A7^m>Zr?*epN>l%E%vXrh}N5VSmW^G^9=W@OLU}e1= zE^R}1nw2cF75^qd=(rNPQ3@8+`P<)%P#nlD4WFjkWUNh5JvwJJ1BO5a@}A2L|Y^2p;R)%K6{0iSQY-3v7%ZGcQL%u~BC0q+%7%NWHONd;<2j-q5xr z9?o{-JEc^3<1ld-#Xy3ONvV>YbzGvZzkL(VCC<7 zCc!eNYUUv8PA##FS6{hhBf4}h8v+c1Jgxq`Q#?na`0CRIR13VuJ3o?Ge1ERT@c$;3FG z9(K<8gRFn>5Wm2!n?5s^y%Uz$G=j3JT$Nagei>Cxbh`;4vu0q2?&rQ`gu(GNEl

    7 zEg*1Ys%kLDEqtpbjym)UPCd(w(d67aON+nx;ww`MTLZ^E?%YhUmJOLCM{d-RAQ}D+ zV+FIeRSHn{R*d7Wz#OHDY2O7L_a7R}8UzznTg=`Zyt>w&VRJYPAU>Nx%9-%Bb#_n{|5rnRuqtTCYPfWrREBNyNn)WLeyqOGrjgH@TG==r_c-6Zb4Nx z?xJ!Sv=@oavn3mOXaVl2#b1z#u~V|o^y6(*A1}YDt3U{7xBHxNNT*{j+hj61Qx2^- zBQ)DvZ9F!EktA`NXBF=_VsX50-yrh+sn#;k&gZek<&JTgXpG*9XPZ)lMa(3V;CWM( z-j$md(?Xjd5RyJu#j%SfrGiF3-ot?SeJH-&W2e^mkXLt_k-VgCcpK;Hdd>5f3XwaV z8*KMr)nx}0met}3VRuF0GPztyy*=seGF+hpDQg4|o-!<4C}<{lWaj#2_-GrVL~ z3}a8i*Bl6G$C$@3)h8@5e;y*eCc0k~1WKK7k&}+U#pg$*WP)KvXKE~mhkbv?_gDU( zl9`KjN0@98@{s915X8ck4pLErMLP&FQg`bxJ>V6N%jTt)7$#-IXTptN!dcwTgqtcS z=%oR2dfjaB%r;>eap%0%9MW54n?ER!O>Tc!-C6K^Q$LQLy@ zk@20tUTY2H%3MzxhSfd23wWW9&C? zq+_aJEm!Q|7g+t5M5Ubgj?Ho?zo|u{<6OL_92oiE`biV>2D^Y&pdbqBh7l z<6+m|qmF7D+Fh=EhX_j$FGrauD^ER1#DK0g*Oje`(gDyVXc}O_U zd_S!Wz9BAKA{;hC4v>t{{W8dLpWfWTI)Q>+JQqNu#5nD!NxM4g3m43#AcERVjF6R( zn?&t+#S>qAC;65WhfY1+GD~s>KA_nlv$X4^sSd@3PBOgpZ4Y_?@@?k~y3%&YkFg)q z%COXI%1GI8vkd9Y++SyOt@Q=YP%0yf4?pKNHE5aZ|IXj9ry_-Y?Z2@dTLJR9H-z^e zuf&c$<8~BTn9vqeSa0-B&yXRP3H^D6bOi_cOO_He1=JH6As%LjL;6~nneIL^;mZ!p z$Iz0#PQ)#?ffwhSkzCQp?ik!lQf}Y(O*}?7w^H*YRf^CwG%2wA>e_>RwG+0cS$m2k zI0!cnG4aQKQ*OZuqy@?pa#*9rH_484kJrDhV~l3JYzz&c_hO8u&tmbJ?e>Xcdcz&k zfO`^Pzu5EUr(d$>dU_v6Q;HHg@zQIvOZ7%fT)A$^`8|7*O zbSCDjg0gNnzLgTRwceWZ7xMc!IUMh>LpxV(_stp8o-VRzx6>{e$Rl{#8=1E6WZZL2 z9*ihN?vkmcBd$A&j1*uIxin_jcQ{+7i)V$~{SkGZAQ7%ZoB3+bdD{4qQ74H<)2m8L^ zu(Z?6m$YOgHFUPr5M3vY7{hj4ZbqikU=t_#K8pf_DsJ`-RF-ost~V}9--sbU;-grd zC0AT4cWatQy2;kk1F7M^Nb5h?3#`J;vyJ@07o#FMfyF((w4&WSqIzLocB@-f{N@kC zGwQ$HjoYV#T@za+LwUxm;P$z~Ts~Yvr*ZtA?rh9l(%oj{A7*cU?#xsc;bC^vic{c5 z+ZQLFoUEK^sR5_v{6POXs#U`f>MQCOi-#Y(v{WIM#ulmup{Oe{aw}X!qb0AcbVC!) z^EF}$G7=e_;V6n)2g=Uyi~RH;mP?=OKeI6`<Px6#X^lro%=H+{_&+L zvTRaPo%a>kDMr$(vtdD_w0!Wuv~<>;7ZN4HRR6K^4)!Cje3RY2;ozM{L5g}9b6Vf) zp1;1&`jBvVrk<9)330I^$)QZ4=0rXY0k|dR;sT*^VT>y5kN9O8{eBqP;`nP}Wj-|B znGZkRRUwiH9qfn%q00Lq)~hLT3_?j}446fea~;mcv|L5*3h}#9Ut)!*mR%H&k+b#$ zT_-i?!zEknA!v=JBTipR#0#QbpwKDWL;7s)qzf#R~atC>Mg!wZTejU6vQfnDoNvZ0Z!q&=0cF#$ExmS!p zCrubLgs)2k<=`flIel{prbbDT407S}0z`g{WOKaXRI z9jxq7FFoJhl>6VTm`vQ}YX{#_0*z>vF8qxDh_B2@Q+(D+_qzVl={QK<&fOp~9%yC- za4|!y@w`hX+Q-99phiKmFc2sRVK1fm0>m4!;aSuWh*%ld=^EO zBDOL+$xkQA;#d2%7otqe3s0<_ZqD<%ZFJ(ebvh4@xVnRmU1*e-k$=u~Xt89W(?ZfQY|k@*sPPuYPEuOlF8f7@)4v%KnKs>j_5au*F4FFY@dyHXzrN;+d^Oh&f3K?K*F(Vwl9kn=5-GnyT;0rPjMr z#c#C#w!kU*G?XWj70a~V&=%(H&bteTZVd3!I@hl^DxY$=eMvTu?NnvT_FB3`WjwcG z18DC-xBp1ddZ3l3b2yDCg0|><3^ks0G(yy#{=af%!7CcAX$ zvFW$^C=k-7h1gJSqfAf`u3)m9VP3v?N3;)ShS+6-^ZQbQ@yrp2hNqHi_=fn1AB*W0 zcl(8?${MCNNd?LRkrgon)RXoh&Gy{y2S=9P+Hk*LH!4mX2(x~R0=qv+v2!T@^B?;2`Ted9eIb8!_mx#ry=lFv`G^_M6<5KLnVZ-V~Q?y8I~B~OavkUi3|AyDl(5D< zM0qIbv{*g^oWlpopULh8I`u(8n4t4fDB5cQ8ca?SB}hwqzjP}zy5i?X43@d*JZ@O2n0Who|n1#w;*q9F((QP^GcFfhGygj)^84Dcc05 z*pg)^?&L8c!x|(aWBw|no{r6XBz{8$L$xT(x@`cXw#UG__sc|mlOoj;S7b-~&Mzl= z3Ds=6J@MuevbW~<7O{u-Rh3Uwzf8X?B}#C{N-8mX;8o%ktuKMY^bwdmnH(Y7PyYswH(u+7r z|$}J^zspW)Z=I^bXc5W$s^dL+BuRm4hR2_Nx9;fOb?GY**n~-I!>FV&? zpemB>Ba+`aoo6&j3-mi@7xC+o(?{NAa@OpBu5P9kCLsa8MsxL|)4Q+wAn zkc64%g!SnMMH&B^E`XLZm&t@8r%sEO2(TgHp+}J7s5iY;=Knw)w@LBEph^b!tV$fk z&nB<^trLN;o#M+&e}$JhqML8*4rl5j)qFQp%q8w|ap%yn8yzScMKJnD%4T)z_*3la zQ8nzNetFh#^k9ZNWeUxDBKe5h|nvp$kspHHm;m4G3CA5V|INUgYZl9M+ z9%P6*)ivuUHQfy~)wRG?UJ;55X|Z)Dq+!GTx~4Gu`12*pLpPk#B$I%9q%nFVQjVvC zG;LwjjT1inQ%>qs@6(=~Io$cfFv|MK6YcPI*4 zk=dO|-U&E1v${j{G{drpL&brvIxQ%9zd4veISy-(Ks8VX*?wSd^fCmKmMv3(;L&@F zpW5LGc#Z}&%+XwtvIELxmYRYa4<9F2`!t-+ut+&10EA(f(2c3F2S*)Kv5NUqS@Qt~ zQEfKOB|*A->ln~fPG`h56{@G&Q;_5bTv5U~U;I~frc*E^VR1V(E(E-VG;OM6QQvzE z;_4IPM;LgoO9k|GBp81V_AGwyR9B4T=8`2}Oxj|Sc6d^VRV7`KJ9LR5{Iq$CL%FQn z{srX?dFr$L=LMHUTHj{srcE8u#I@vQ z-%uDi3`Pa!#^Eoi2!3aNQ>)!fi{cfldCvLg)fo+gyDfDu>aK*;GNY;Ool8gQ$^K5< zll$UpgB%!=650`I-#60Y6%y~Q@F)qe`t0zl1TvtlZf5qMiprvSt5`9FK#QuvSr>cK z^g1dsNrwCb9lj*bI*uc@@3GDGsZ1AE!UR5!uENKlM+NCs9M^_{eQ!yn^$54A_#a6# zSQ0rB>}rM%%y-wL?{U9#Hv5ERPc4}kE8WPqHJ4c;fcICrDSbfe(VQO1+v%6&e${N1 z7P;N6dR3l8tP$*tfy|}#0<2x+{Dr%iu8-f=b_|M^Fm#>h_W4)ExV9I3WNPDx`ND-d zN47=7Z^Ot+ROL;%*{;SJZ_>((F}gUpq1R&+CGcfJO7hTaZ7B@jQ7ZxYi5$I9myJWH zVJZ2q{k|cQxvMv(eMLe9k4l>B6Kv8V%g%vLrtMwbp`aA7n|!ZlP(|Rw|4tX>zr-EV z>ideao^*~;#q)$)dCJ3eAFxN!WPdQ1crwpfrHY$TYOm)nAk1Su2|~%1ibxScCHFPW z)Kl|}vKy$>?a~lm^p~+7OkAr!-sLXVUO&5R&Udbs9GumO&@_x$Q=@0eM<%Y(H}%&$ ziqdnRDIK&ZK!5z2C;Ff=#p!{Tt=X>jL+Wf+i^hfDORTGUPKkl0a=~*sF@bm^PD>bs z+q7D}0tvH2ly0*YWNClXXkWdb?-$WkNL}Q}S9#VEHBE=s;_wdt`{2gXupu*bm7QAO zmTT{)gq7Cprap(43X|+PndHR3bi>o)V@_2b8a5inmwWKrb1(dN70YO?W^kL#`9d3Q zDS`CN2v4PjN}$;Un_rDo8Y7&;F0jyy+g3|Mc<#1S%b$}_@GL16^xh464N@h<114cS z?O5`r7nu&odwto~(?Ur^iHEjjpQZmxQ2gTXg*V%zue73HrC68pQAInO#CV$o_s+-q zevK5e5l=_p2e6@9yowY3L~FGoX6 zk)=20>g-B{Y}Dw*)50Yelry^YpKtA z>DPZVd;c@eHnW5X%W6+6!=0GPHvTu7LhraTO+H}|<~jW-QX`6xjMfdd;^%v1hS!-i z016+sqB;C4JK=HLISChF{s0Q&oHeoCU$h~{>f0pA+D`F$0j=}h?OWpi*7$3Gqdhi? zf7D|Ov+JgT3GH6-F$y)uUQ+|VjUF}=@Q_EdGe@l>#!^3IGRj*Gd`l=s6i<6t(MgANu%j2f9&*x46N`Wj`!>61daL zCq3k-ze$??lviKvcKhRyl!{dz5+SSjA7x25=W8zkGN`A^p1j$BG22?)7@@A`juYYHDzNQoqe0N?U-BKj~xBC6CV2qm3V-;zDOf z6wyzXw_#W&vzu$r-*;h0Cgt$g%!pc>7R=5Bk>d=22|l z;OeW=sp_2XUjd4o0W<{cE}3zUyT3mCYlq+Maf`s(Dig{^iLtLEFu1GnH+6WO4j;OD z`dH2$UA7j{&g0d)m0cQV<`uvNO^&%M%<@_8)rJWswSJDFp?B8(@+`^!T}ywz6xZ~b z=;7cRuG7fUWonJ*q*w%DvqiW6ley2~m_{87*X9*d>S>@$k_BB->yN3l9qWZ>09opg zr>@p>G|%}?EDuxDAHx4Yao~4(y0fa0Q)fW!xeSa9gii=yWCmyZO@-W#861pjgw9!h z^#HsHBimHn6I3!)Fa2Q-`k^%?I1AKHN(j>h81_2=r6e*Z^c7e@0P$w;*5~Cvc)%Ig zuD8#BFF#)Qp5|Mf`zxO+e|rHIs4lnWzt`*aDyBktPLB|#0v-10B2-vl* z?F`LUO2fXAMj@&URpBqr1xTbrgK`9A)Ap4GX=vQh<{)CT(r-C4R4Z>Y^{5LLTI(9$YxLhx;${25)CNtQ7RG{B`(*u|^ zN=x4bU~b~c%u0Q$2lS}Wgy-M#DS1a1)@3SUiR8>#$-P2e9>KL*!^luD6R>W9Iu;nY4K@k+ybd+j+^GDZ{rLqt{>Glu`_#4o$^-J8^j4Cv$#Y=e062=l z{fAn?j5`}o@83T_v@-=dc5z6pe5nIG@G)9=kjX!B4^8Y5Kl~r=y$$5Xbe~j-O)P`7 zCweDH2~_SsYOnQ4?t&CX2wjZ+HV*gJE!v?f>0_NS0+=@^b{fka$qL4O0WfOZStETQ z@ikNb0RqGYXM8Qg81p7wo&mRwE4Xm%^<$-d^&?KT8qK%=n#8vO@e`Q5pUx4m0iHnJ z;ORHhpfiZD%>qL|&Yk+NMh#Q_JAaZ9_5>b%myecTK2BrPjD9Sf|ECr&MI9LwbRv|( z=kI3ULb(WhHbTTl@%OqDx64id`Qd3At^1krLG~Zoz|HtOTF>Xe(6GS03wv@a{IH&w z)9*50mjl#MZ#N=v;lWKIEZdr64Gn7zsPj&*&*p&2`WNDi1t4**uD(9b;*Pva@)PWc z z_I^GIu+1KVoa!+@I9&M@WG#c)f$-X)L5lOL1)^TX z{i^@{!Q%g)KimRVk=Lr{0v62)p#82^foKPlX)5>RT6kD^rwFtM>}CaMS@D_xp3JjO zzhY`X=YN(Pu?+cOlU8y>`cTGJ$|6Pc-Ef^b#J3o&+v8Yy3y4eA8}L#1p zquau5sP+O-c3-oMtzdIh=>A0T^DsfN1nZ#xd+Rj#xV6Cbt6Ml8`~5~J+dx0)vSwH# zWTqMh1_~I20LwfC#h(?J!a%s!3BPP&McDMzHT5OnY;OV%jqpvYVQmr#u|6E!k6^31 z_g(ISr_aCZJ^5GQspMSsQVdK1lo1q1YtT17l>M~`Jmmk$NncMPkZWMQ#S{*>;4k3z z1nN;~U<1STFBzcQgA*Jrd2t*>$lmk!nmZjP@LT07dw#FDLWklr*xJG7dd?7X@fBb_ zpTTq6AKc+V(f9`;5cKH3c_4LA9?KZgN$?Ev#^@=a-Ez;0Ky`<(6aoMofz*C+(~bP^ zpTZ_}S1z=jlUf{%ZWO1IHnu;jP>(gz((WzK3kb>hTQ`BCIE=R8~&g-qXV%fLVX(gyY1D@d6hhf4eUeD z%NSpJPjLa|6RgfuZJ!FaFY57_fN6z3Fiht_HMIn>c`G!(<<<4}o!qY@qz`)_IRU^o znjfdTpr*peTT6ttQyR#Bp~sPobUrhJ@)Jvf)TDS|Ms*9hl=r(kd=VFP*H>UAoyn!| z(2XX(@#=U0bf!V@Ncn&yt{tMU2{!R_^8bS1-m~~;-xt?^a+~naK__Aj>&G3`oY9{L z7xgrMI4!|z1LXS#B9)ChEF*Bru8nVFM2?o*s+y&9&0N^$5tb?d9fTc_sxk5$Vwh2* z1%hyVkhKoKO!hY@qW-Hj`~v_K7KNt} zn!$m_4_IW;pW(S^{@7oJWDgby{XTQD;$RiBl~QL=^Bz*t@1u3=B}+}db`n=labP=x z;}YS12zZPFw%QNa>IZEY@T_XT16aqp!_5?|u~KAzn;3Y4*i-iUco>2*@AnY+3K|{2 z1GR%FskZ^d$=j#>e=PyJ4xn6%rI+{l+80wg5afC=`M4Nnyk#P|Yu$GZ-d+lsxZV0S z3?)TKU(;2H$YJI z0v;YfHU$26AH)LB$749pMfLtNB1p%e+dPMX3{+Q_Ny4*;3IWLS6##M`wGHpKe)N2Spo-)`}>zH5m!Ki_x|~^TCVW|mf3T_g~C_nl+9T|m}c0G zV0rG^b5$qie+>sLllLqbakj%YxQz$}NNk`R;v3lU*;D~86w#I&w0xQRh81pGbVC{T zT0!psuuSP_ z00TQ?%4r(c@6MB80F0RviC4uOX?RUXYdr}!zpF7KNa|43yo2Jm4 zI^V-1IJ=yK#r z*Gs>vqHQK7(Z7E2JEW-PTFPzU`aZ)ltV3jEqED=)CReffqNiox#?p94x#Ar@OQ&W zc&~^sv|{x>>+mSOpao#5_E0?oo>v8S-QPb)@=)~yrBFIBd=fyC*>|nor(t^=hy+T+ z9D_fE>A7K@(T~sF;?M8ySwnRIsBbI48vsJ@PLGRphH10SAfz@7d>Rpmz+j02t5fJQ z?Tw=)VDwuX(VX@%fLIhJl z2N#PJk4afwR43TH7Rv#e4)s5)Nc89R$buac$c0T-y>R&H0A_QT zUdOR5IgYEZKeq7Z6Ou7ibHx2+Kp%aEiU7jUleNwkMsS4!sHhlrr36xR1f8;jkI2is zHwZsO;B^8ElMr)Y$wmUoB?!(u>T!u&u~k>*E9d`RW$@W427|v>AN>BZ2#6?tkZ~Yo zXJT175k+qv?+*<|f8L3tEi_Y6$9!Cmp1Rzqsi3+78%^OeBAMHjExgMWgh$DMk%Ihl zW(k#0ChUYxdA^2Q5@6mF0Jt2vBgqFx8iY|~CHi&=hZxRxD1z!~ubA$pnKHioN=dBw z>kn3PoaaOu14)wiPCo{E7~p=$l)WDyOfHAvoxLv``gt_?&fCO2eVD7N(0i2J7>`j- zCf;%xB1!Q*4}Db&l_AM{K#}uYcU&py z?;}E-#0!#ed2gZ`gh8_EZ&XeN%q>{9Q2FUMb^q*wt?wAS=}RF4=N1btHBPQx3InRL zfXFwgbdn_G1MQ)-B2EG>i=6jeyb<6Fyk(%^K{dSn+KHPe#ROe9?QhRa9kF4A(gLoL zqUh+k%+k{igV6p3yznNJmxV3B0Ul%dI{F&&HCtzzlT!%NXQ-DIv(RD-1EGyj?F^+t zOd(O`KL70863?IV1B8CRv(Vu~`l2VK9l9@8uW=n?4s4VuKCLhu=?QyM%{cqQMBMi`ppF@&d^!DvPX{qZKfGR;wp?TUCY78CW=2h32n^{ThB$ELdsu(xqm*>L2BcAjuDegcXMqi5L zC+Y~_giAiJJcqCm>fYBP&Pt3MU0QiU5LJ&5WjG7mh=nB~cKU69o~B^?neW32!=+nq0;g&yV93%`{s$aNnW zg_8?^tL(S>HYU|Enr;OvABk;Hwo`o2)046Jfj3eta(|7L)hJ#9d2hdA!BqbV5LGc& zuRsH_t0;To_QF*aVNL~Uj)L;- zsSsuS?(9pj!LeR7{5B2_vO4!HJlJic+(zPvmrx(2W19`|UFz-z^r9nj*d^Q#Ph80` zCi+$s0W9inINwK6houhsYS0mkP8wGAG&MznVH!m?I+X<5sVSF$>7=vn4Ts`<%9R1a zqq({PvVRO0F6WcSMZl^=V zy*gB960|*@24>358^p%SbZaMi7YX{E$Erg%pUjr1BBD3L%y!bfrv%BvP36&0uY(q= zbt?_nGz{Zg^S88nLI|maR1A$Olw5@(zYL8^9kXOMEvxw933bb82Dw)#M~oJ_c~iR-0PMa zRU+&RaaS$J(myXx(6Rze^pX#;8RRCz!`WY->Ri(F?^tF=_Z zu+>_0Qy5%4(Js-m$txV3o!)PXDYa}y7YuJqVt9^Ku!YM-oIP9_rxaCBsA7#t;NyxQ z!*2O7V18VO@^v#0>eruuM5J(@?oRdvN0re(uYK%lZnGI~+FK(W0@?Q$rY0N+UEpZl zF&qUJjGxGv3+kZA7-l_CY%4_TR4~MnvLC|>h?1~#5SlD(jrs)#xjX)LTVO$>Cn8za z>ZItU#G8Wt1I)T+fQ!xKf+bwMtd9KMa2V?OOheSo=%;+Uo=uU6)VBhG^eeI#3Jb~J zYD&Hia!%!JZj}FB^A6sU*3Rgy;>ykwhWnw|Z+bUzTL1pnfBS1L^!5xIDz!uJ{pWl- zDz5$}KT6=_xY;>_?x{@X?Gz>)(zyRY9pF{yLveHx>ceA|JYd41&S*Vl!W2z%G5~;l zA_=PUct`^Jo)C*Zp>yuj5F?K37kTw%(%mZM39jmNvwQLFxrOCYNvrzGOchT%keq*; zI>EHqK3uvMrz46Mb$mLg+_gL>H1P-G%Q1hETB!)@v-X zlF*nzry}PzP(Y92*q)drzMqA7rfMdV+`h+8z7)0nX`7QnMbqG15Yf`D+xCJBvg!wb z?>(n6kq~RzwO=!z(U6MH2W#W{>QN*`cB*SxDvW%x#egdEW zd)V@H_Oh;%5swO72N?HWrH2iluK(k06ML@r7Yy|9XL8fWEL}zy7+Yb(y)Wyp)}q1c zIJHij37nnnnx@;bq(hknOV&02)h7d4L9bA@zou~8;syMPEE?)NUKPQ~vdt!GuG^6BA7zT@ulT7vRGupL`@zF&AZf+QFGCBZq_SI097jzRkxt)2fm#9X?9@ zNzy{@GdjZ8_h0B{3vy3@C(KXYf1+rtLwsuG#+P?#u~D1TuoWX8sS#ePh14d|-t{|T z{C5$Xk00AtCQ4%Mz(~dA1oa+ma$lT5d=s-y*Y|>Rg~)O^e6(fix=&A{-aDrhme$kb z%Gh3Iv2u6Az+$AjFEIHkN|yo0qNLv_oLhIMlU$J)o$GF)x3OJqmXqv*=w;5L?T=Ce zb?iM3{04>|hNCUWce;nG^^lP0o5%j`{IavOe6QhJ9%B1;g|pl8!U0N?!$AzGyqEV9 z*;Pg#q1qtl4M8PIksq~l`AgiLy1}x$BgGc`OaSbOC;K{Y1olW1qARYdJs&Z?xoQtB2{dd?nr7LsEsr&SeD z7=&IuN)iDhDE)u7M(+d?waVXj<)3+@e(hz&UlWwty0?GVX>X<|V%t2XRCT0UeqRYw zC;XG_SM1z)TWjI) zzb3}Lbfw*Dl6BIW_d0TG&9=&EQQC3(l5A7TUo&|lsMN9T6C!!*Y3cH7F; zmHTj>;*FqZjqEJ50>{0Fr>{cA?A2Vpui*HJd0Z=&9XBp){#{f2UpW$Zmyj|=kL4IU zcxJjMHzGT{Lx*BJ{N-&77LLT3PzVWQ4VfkG?%<$RsYWaGZK9Qr!&*;vIho&FEhxqD z&Vp}!^>xl`McI>6b6F|Y|BMQvb)x(uOV(ioG7f85?RLoHG^O}^%dsH?ZWeb^qLEP> z_|OeBI?D>;T)AQ)?%BqG!_lG5jf%x2ms5o6-(G6cM;~-F-jsZ8x!!x_m6(su*XXtxnO-8pP;P2xk~XG zkIEJ~&b{YE?YSiPcEZhmu}#(l4n2CcoedF2-n_N@+~sKF`QljTKb(a4%8?hbb=oZ0 zfAdils?qREP*ii_y3Kgy(1_q);M~3DaYrv{OJN&_o=XqRb~Kp7klOh|l%Mrb3=>7I zo#YOHH83)!0P~6)mSaN=!9;6&N{+~3u0%4%P>eH)3WpS9CP}8Fw~h4)G&3uq^)nQ2 zH}w^gBlnFXT|w7Zizy$c zIv9JO8+Y2y89B7H-=?uXVv%CR=9SRq6O&;EI3uy|Q%#DWDhC+nj=Bv=YMi;UKRjg_zE8;LZhYyRU`ww`c=i@#T+D0aCcyMFw z!@=x?`0(-Le9#0P8oE@K6pdcrp2*|9e(jZQDS89c@HkVNF49= z*yZC862e<>?jn*LSw0}TpP{k!f&9}GeeFPRR%p_;<}uU<$>{1}g~`<3WKff#&eZ7hWT{!v(1ocu zFfTVxjfq+{0?7-7q`1Q+E~s$ydBXMr$Gunh%T`;WBEiZf5^PjLUE$x@C{OB1jkGRG zGEESzj~?TEjzBh^`9V}|n)MK9!AZDSJu2TNBG<1ASV{46Qw4+2b}&&_Qd_xT>%3g; ze(JG;!?DH?i;FcnjFy-)=KKY$yH2!J!ya(s3hst+-qA&{`4}|4@#9c^pf7?_BPhhW|{Zz5D+zmaSWy#?a64HTEHRiePw?jwPHDk z1!Yi@E(1gx< z+8oRRqSiGYzD~KKLsguVR=6KuarJzA><(*Zg?5Ii)jM5De{an6-XQX;3)`S2o<1G5 zAm^4)dL)%?HT-6b`|n*s2r^Ezl^tK?EP`Xa_0E(Mj=_CnW^^&@pcB;<91E6*vy4}D z-k5b3?+Ps@x`O}upXOT=Y&v7*GaNONeVU4Bugkg!DgAM{_$tbn&n}1%{TogDtt;o> z&$(T-lC0iH_smY)O`j=?Nnw&c3(5#4ZU33a|B<`E$Gg507E6Ur=viOLIBNs3-sVI3 zO;wSW9ATdTa|NNFPvZU?KJ_8leZ%?|zgmyqjQ3vUz3|{;yk?}3jp=i4*Ro!lx;U&? zQPC14o6uCz`#Qhgqor2ky@FGf;h6A9^R}CgnRv`kuRC1MpqM_PEsvjD-!paV(oC+{tqRJv!!xY|yAnAH>84Jn`8@Ns?Pe>W=xSM*=6 zv;TDujE+J*{PK!+%z5yu^f12OvBIoyNBoZ2cgKTGp`)jYE6MmQOfHM@ zau|KxAL~&3_i*O>^U${M>V<4_MAr>nx@%DSm3jo}Wl&iofsJHEKXkX9!MM%WWd5Sz zxM1avE5z?dH?mh850j*2&0aeZd$g*eM`99Y|DaeV#IN$Ff`+Kc67<%fwJz`aiC6Im zT5X(7zgECkSy1+gxg9KegV5B<(QauiL@R^TVaOl-cs&td^s?4kh9~gV4nE+w6@BuK5yrZ1sNO?0)M6e*Y-z({oDN z9&q-7-i#@9P@&14x5#i~u%T;wl zPZ+Wb=8M&$SIY){5N*of!u@|RoIALHF%!d=oxZD;lptP$PhBw?u_djsZ3tJUZhvZm zUxGNUht~Ad&O_NS-C7!~WG`UMY(=zkp-C)^6Ddl2iLK)G!LIy7sRU-m-}G*EN1}Rw z%R?Z{th=f^Y*X8>Y7xqZDF)qXK1!7Avfq^-z`xq_ziYPv+X(iIA~ea7-VuTr39FG+ zQn9$b+z5VeGhM`F5Q()3Bo3guZG9Ckl^Kj#^13g~jphthCzkZ$4(fmAg@m7xS0js< zjjQxNsm?KrGh|Gq(zh$V8IC|pbC4Ox`qymY7@HRxz*cL5<%Rvhnx_+<4|FK~U`z$S z4&nT_5ZLfkhGu4Gckb1z8zu!eYe;Tzc?Cv{j9;n8ilm=5I)4@0=m0epG@KUyeWcL$@Wheqk(^P6BZ7sxAM zYqvprvQxQKea2*C^lM#4ndm|WX1k!{nY$c#Q#m+D1l86RGz>Z1?7Q>%=3#o9G+^H0 zCTTMe2D7&svA+&xJ^DQEmnp+A1aK&qY$EnF5n?8E;O!d-sK&nhg4h!E{cBmnGN2{{ zTdAM(CBtAAnmS7xa~T$+U=NekW$U`sJAqqYm$y|(Q5Imf#T?uD#swUxS?{HcV1T#V zI0kh0M=_ZEb@nLzBN+Bl|9r8Dgqi=|KcxF6aoq$&A3WL}aLjh#m_Ls-abIT5pT3#? z?WlfQSJbDC7I?l0Y!rw&F$n0Y4Q5yUh@!umr+_@G96pNx^fQbk1BJ_M#Ubs?;h_DZ zW*XBk2%ISKjYn#n=e&CcQGGVlCPSL%%K@(*^ql~}`Cd=7m6{hBoLPl%ZTVm1gF(_(`EHN`qRvH~}SoQ4K| z6*PUIs~sAo{}-AeU=1#~8w%2}8i(7ZqSbNA*wLu<-{`3;$#1@ZT`{-S@hFl5G!#y_ zvbCur<6spcz!4Z(TB1?jA!VPGH%f{6})l)DXmL*CqA`r)9(Ru6KF8PlzqV?P$j5*&RUlz zJY{8{p8XkuErT6LN7NLp@(kMVbVie*Umgx5(W+LF{WDioexq$4q|GHA({kRa8O4hc zhqX>orlt+n)tIZ`_jK_!Y+o-^>DdWM1Ni>!H@k01ssHE09Lpv?6yUli?x6VRopnK; zAR%HZe>Njan2IG!(BRRvL3`O{_Sy)+%$!uZVGiloq=>Q@Qsu%3x zdSgjO>>D+)X3M3pmkK1_IlQJBIbSh@+;PiatIv19g&wkvfMDn-+&fK5vOI z?MaP$MXhnugEG;E;mQexPWBKU5Tax{>#j|AXX+6?{pDd1Aj(hNY9AoIJmqeDXRA93 z;At(jzIUxu%E-4+LYdli4KXWT#(k_f6G(aV>rUSen)U{hG==7dRpD{L`t|Oj{zH83 zlvXJ*XubA+e7zIbGd#b!>JU@>E5K<1Z-8}4Pb)yAw?jQdu@jVPBgP(EWKzpN@&x5K zHP?^Tr$)^#FwLGAWb==RKUD3PTo2*Kf^6<|*yOi|cgz)wyE|Ni^8kuE*PI%$H{Orcn{>-! zLL1_oMYL3j$jtQL8ZACD6}6>#p>H0T=c+bVedl_)6UPi+|Aw#i%Jmn!KFk4@52{}A zKR^w%QpA;T36Mi4HbZ67Qp`(MW6OhsqSV-1yL)z77w?hjTRxEq%VA*=pb_`)^xs=h z^P4)|{Dv`z(SykmAupa}mBjmGh~v908vCmff%)QJz1aUBV-Oh`AC9Is{M^xef4 z?Jsr($_o|hO5nuFu{;*Ww?S(973X-&gAJ+U8C*H`GEOoj*X3mRlT%7CLcXq-w6cl* z*K3_iHOA|PxOZPojOWm0#Nd(iyUY?hAB|u6eKIXnX=XdyF&1#550889 zBcnN&r+Y7m`o&*c@8T_HrMpT#J6XmVnhLCandzBl;<}8ABD(X)(vms)*gQI(RL`oM z2aJWAn0R_JAFfpU9i|1}N**f>MFkRkz;tC^P$RPtECqidRew)&xGLMM01r>XCD?X$?uH3cl-!%P_HUMzu0 zXpZR*ODdr?k|y?G`s3Te>lEC0)E^nbU!X)9>X|UN&C4kjLLXLjHk!Rr?Rk*)kfjO6 zU{7?3IwPHamC-0VgYGyhA@ar9oF3A387j-%#fnYyAb8+vWY{M~youB+q}%eo?DtAV z+dPSK6PssTe*G!=28KLhCQc}N;g}o-e2)^Jnwja`O!htIT@`t9m%kUaP)q4|D)d$~UKItqr!mUK_ zL&a81czmlmwtY*WW*4#@ddTK3rrGq5f&S)WTHFH8ltN(V$Gtfvp<9KAj@=^>)7ZRk z`7Jk|M+n+xXuUg*C%)X0huKo^VQrm_wvASsN^_2dH#Vk#Jeg4dm{0r7hB>#r{d|b~ zJ`8v1z%M8`Rd|)eIxp5Dcq?}Ks&4pdLx6aQ+}J`+D&}VyS($sytPT#=Z zJ1r@Wz13K*>Cu^U_knlVuvX6357y?_1!cL92qW;;@CJC<#*(d0lJ795{a8xk{N|5# zePNx*p(0=r=fSN0>&?XB-TITz2hqq5Ioa{shBH(my&(IZpkq~3u@F$DWJ={E>O&Y}|XA zpN|N$Ep&@>1SRY zER>x8DUL7VSA0>Tfu>+S^5Bzm5n*B|zq2y_m&+nf$n zz)E*2if6mtS3B@;|Sz*YQjqOR{HGPuAe^SlXSYR9C1}}A z4K$kXp~MNb3?$=VSaq`4oVxJiOz2G7zYUhr%zaJEsHGdP!u0c9++55My2O5@+Sy+J zHU_!=0BEv~V04OUsKp*y<+~s8bkzK@ABmbozfJBfgUH29sBl*30IJb<4R+SZ zk9jIQb~Al9CJZ@F-8!^wRWhKMW-y?1@``pd|C;a@L|U@LnB@9YdLiVV@1DaE#m*_! zzox@tkmM32V#F->h3x6Xe(Jf!o^~IOcKx&YZmwjH8fc$w6rcaP>HAv6RL^$cVWs9Z zq8WoKEr5b9LM(nN{yd%|eaFVv2#reB>2)$iPUi)3h74xi_(_Ozl)41L?qxHP_<^Hv zLr2s&9qa6aqqVnZH%YodN=cPrQg3eyeEzldrZeelq{QNHG=ikcg5RA%AhuKv(+1Tb z?WN3Em9QW-&CEYHdy7}95=uH`i_q~bLD;63?bTM;Z6?Ezuf|#+EmY2L;AH!u0n*cx z(XZvk1Dtd0?PyqBd9uM2byQexL&eO0Z83cH=J=v^Kp3Z%qJBx)OJ(Ezt+M5K=E6=0 zsa8?ME_r4KjL)_E&=Wj@3Vs)oG4&aK4J#D=UpdG5?Fe*j8iI;pWkdNB_`NTtC8CF# zHYFu+b8PN3W*|k2qC1@8FLJWnjXdeBWid*M43wyCSwLQXkQ;nMX^-`k80Fz_{3)tNp8x~5o4rhzdNFpwB-!K#>u=F|r`B1d#v5ne^!XEqB5cZHGH)5*TX_) z%>7sH@#g^yPSx=X^I5kMzQmZyOd_RQ+yiJQBq#nyg&Lxr6QhzV`NnHZ8ZC~i+&&|K zT)|>3elOmaJdWk;<3qzdSryw3zBf1}%4rYJK_%e!S@Fwj+9B|1ZuPq_jwMxu%(E|c z=E-f%G*X(w79^?{+)S~*=wfEdL$0X#n=d8i(XDo6WO#4!Lv4`uW&X-}HDbCRAeGu} z7eegUGodthspn+vJxV%R<}0LhE`Et4l0y^ocgePU&s z@}Hijbqu5inu?N^h17uG0zdl`rXQjhXXTpYV`*Ou`mfL*a(v#p&ZId!(2O8nRCEAQ z@hG$7xn!HIlS|58vEReQ0j$NzUdH0b+U&UoqI;H%&rlrgJd$e}_t92cby2k*uyLng zs+WYbaVn5Od#KK`Tg-Sc%0E1GfTEYJ$i6vu{=C#Z)Aj2BPHZ*bUjaO4-LxdX_~(1q z0?&_&=mj^-}U4sJT$H+Py{oGK-y@L#mb@u={|B z{HSR2&ZkW8Q4O{$k`oiFF>G+`eh`Ue`oNt$H9WF`j_+?JmZ|~!b{oBX?Cn^jaBV{8 z11|dC9vMrug)b5Xbi<2-|J~knpfu`&vb5?Vhso&te?^4$#i#=;W7+ z$Bcg6sM(W)_F;++bomn0hs;B^SP8>h{3Um>aK%RIVv-!xd-zA+-taVbD)AFmy`Jmh zar*A1krQ4uJM!aYfw)HsCZTS4i6vYoIUz|6I1ejyHa;#yqisd~!2i30QDlc zLPL~wp7{sI_nY6511c{)kWE|WtvB8)-;g1Xec%atSWfApsdM$`i0~QGgyoq~9d%V^ zd}8F*4Edw5vYw=_(e`q6OM1F+5!rW5{T2qMvw02uid@C`z9dmgRF6Xh9?FE09i?Fp z#j~8m*+EC}HA7p&9p4u(A|p#i%lZl#gEmQo_vV_UspjUD)((pOlcU$w8cRBzmXS}k zAI%*mWQ7P(WU@TM7LJ7|5iIvVBQ>KfsY91wGM@GF_u?1HW``fOc-BFUeU-f>!fnJ z>WBN~x22ACkNnj2EPn3sJ+pIGF+IV->Joq}IXvVVd3_6H6A?6tJO*2r*EnW@nsM!X z8`K^N6HWam*TgT`%_sdcXvDE`d)m&dv)JYsxzL5Y57~$eh40+)QK+hS_tgCr#{6*C zEW(GH1&vFyQWQu3^5`|C8!hiYgO6?0`Vnb>3<}HS+ zEN}f*P0{`-+3{fPZ{Q4Dao!oQgb!P%`Cp8^*Vad)XCiVXzELz%pHv82BqB<=91ky! zJx6gGs5VQ$oBo&D`<$?&Rq6<{$oJVRj+bKE|0YDlwnkDu9F%|XALAfh%~hji6(q(E z5Vx9FvsXbEO~7HvEiMhisxF}*M!y@DFrodn2CEt?Aua(2M^T4}Jkx2d|KT6~_4U~= zdvqy#A%T6Nh0A-Nzgd1Wb(LM;`&<9V@~9ghcL)dTt5T(q1OLzUmUm$jjCfcpS5s^B zUL(X^^$TJbS!0Xq1daXI;b-Hs><;6;a-?%(ry3=x^5f)ub(*!08Hl5%y+%9jOBME; zj#IRA&G$Xl)LU;Gy8h8#)j2ov`;u*u5{{3e2LEaZZGV8aWhtwxFrpJ9ut0CiZJ^ev z78}5Yc^h-4$u_TuNEBnW-2aix! z#>g!rrq#1q@8*xUC66K6o29NN{`5EArAEAQyrIXsMDu92j{Pf{wtTcv`8sF0^rLod zbsRyotIodGuf6QNKARH}RgTOBto$d@JS9lj)hSH9n&86EOa53NY@uTk7peHOv>tb>x`!%uX>uXh`)t z1{!*EOxi;J%FDD|meYNkZM^M_(6M*!&}?UFez##z*?sb@bS>8~|HCscSCa`nWtn!i zmOaVxdrgvr8Qjzb>q?$mN?l(LJknIxqg~@;b1Rpe9U(E+sEpAH-pOv+op{r7hmYZ$ zNR}u;YMfQwMR<|;-2=HxhiKw;%pwXx-#3GO`-|%-_5F4dw#hlNrvq?o#3G=RK9*S@j`x()7|}KGrK!?UA?=;R=6? z+wX0;I+wF(B{O^Rq<2c&Jhnb|M}(awEj%M5?QMAu;w&8Xs^I@4?yLf$+~RgG-AYM! zNp}b$-QC^YEdl~VOCunlgmi;+BZD*u2uP=ZNDC+pGn_U1`>xN;xj1*5y=P{>vEKEr z_5VN5@A)RPllKbbPLZ9ml8C@__o@R3-u~@lbY;X8^nnDtDNNX}b5pcZGdqzM&}q?x+v+qyM40)j1=WOUT*pcY=x z+jVwN)RHsyIB|hc17%;(3R&=$squ-b3XJb}4wnt`6p z=_>&mkNAy%J{6&P!Q&6EL#jJYO~7yv(9v0MaN)0lJL4CXyj5*QlIqjF_`s%fV_002)W=ye zGHAMiawLuKHrN%gAzzvMq~O!(;0;z#2}w;Y55+)T8(o6U8I||yv!pDX{Vq9~$7YmE ziInN>ZJr#;6e*&nIZb09ydtmJP!%h@rbxO%I0&l7Pq){CkkO>G-e4l5>Z#Ca=?zKc zGh)W-x!9I)zFha!zo-^03p=whK}GVh&1T#wM~CC&sm6&2nGl_WWK|U4=(8M5$@U<;p`2Dt_InmH)_Rm4e-Q<}AW#$Pt zV`wlhCfUm*Nb&Y#1Ai`;-p9gw(Su5Pl7(cFeymu54Y6BIs-1%#_nrIe#lCZlhzw=m*&f95o!@+?SmYQVJAe@5b& zQE8_2LZ(Gja(jmo&+=c~Uot~{%PzQl|MM@G4I6uy@pCtnF4>G*F1G&G`t>iI5}?TP zd2QjjTc-V=Kj=OC^tz%cbg)lmaMr+)kHiFxn0o)`w{>K5HHhTXEoSy(cllzi@;`#R zzsr(E3W(kRO_}uz6FXfGUT3tlz?woUq149UlN9?&$H`*(&amd`0)y4V!#9kyg|%Gs z0n4pc_)ZRbZg2b?Vd_lTakCrT#n3pp6~+sZR40BNh%SUEj^+RMM;lh`?paPGsFl3b zj(KvCu>^$NA@;%cTL;x0?rs$WMEM1&>aWR5;AQYk69ziL7-=+Xjt!}mdZeTj^oh1Y zDaJ^JqW8CV`(NuM{(by@ezepyT22dqWiE?#xU~1CJO8MRV0R%A%lz=#A7LOki)}+# z7Pi^got!THe2&c@&8Z%*z!_oIf2>!xiPK zbdTQKSx_$GFS<@uxMOM{9Kg7GS#k#_ErK>3^PAB3rOmb`a*pfarox%PMe*q8o< zv$*xI!hvVPqSkGcIz^J*;zq1IT45iJi)qRH8Ku{lA0CzlOdYl}S~1<+VsTn(rL~hf zb{nZHPZXi4^&E}|tMy%5CWE;XP^u*1P!zUm>d_HiixnHcmOAh>Wyozkn8D-OS&1%K zXlt#VUH!f1sk(&!zUICmt_Z#r5OP`3?aIr2A#%|sTH$*^sycE_Rb)_I_`cexR9F{c zIuN6?9ifnSp@)|55k0%X5|;Dyo5-JM&(1xa;-PqUeW^!tG(6m+^K8lCV|CfZP%bCg z5Yl99g#|}V#)W-q+Dd38)O)(+r)q#iCYmy3PrJ!(#`^QNidu;>VoM=QNRFp0xH%!0 zf`7#V7i14SiL~~)yw8~aV7?#=v<)Go`e=vp-Yvk|NN|}7afW{NlY^dN$s*lwb=dKd z5{yfW4RR*R-C0B?S(j23K2?X_Dn7@Sj6{`~GdVH4LDEo|8qRUihFu9&m&$+}n2T4Q zh^f&ve)V$BujU{6!ZI%3@O$;ay$8>GzcT#gJG@2NY)UJ?U6}*V1J7Ex!Pv(~ha2er zj?qSnmlhlmJ{+(Kp`T;a zI7z#zzUOT>!h(&3iz5viCq+Jy29ApV`^(2%s~m+Dre-2Ijl|KE`17~86*Aik857Ng zsd&eRPdbM@##!ht+OR5SHrR((s^JC^E5kd7?;U{P=Z0(0_A!qv@c74+=N78rdd$m@!ggEG$Mw|fwVsl#&2cmbk zE2x6T5RokHH{H)mt&cq_@1Wpe*{r!<=clMI|F-%^84Nr3Wcd7O0Z5!A&JgtSP+fZf zcY%Vu{h)^3=y)x zsa5sqT|$)vhKsD+(_mBHiXM9_t+F$Fc2iCxvxK55aEE5CrYd-5pMN^sn6H4U9(ZCX zp8e@E@k=t-q{cuzz0|ZumX=aZf4>Veo1j*Lc{@GzHs>}+Ns#>i`HwYqNc1q!%^v|n zDBzAK{UnFDCh)CzGe14;_{%Z85qftFmiJL1oj1WMoC)p1YNveBZodPWE0p{f$d4efg5?#gpWTo~}?IFJNNN{aB3c zkpVZ8i@Y^s=&5DSX6zbsigeO)9Jj9Dj<6$G4etE|s1lKHi`_$x3^HuSVv~T2Szp#2 zmn~i%pVtX*IKHBmy<`Bt5~_P=QJJ&P;}86o(gT2eL46ef`bMPOX6;sABMdD9OZoeJ zC4ay`(-uVBLV*7%qwaYqKwW@7t3Oz^CQ=9;0D~-GQ5X^%tFyyNW z7ivv}IB(A7OQXhkNC|GMe)jYh@ZMsqEPhWMi^2T{O#crEa00MXC)%Z0BOoup>{(q= zSPtyI&2O8-HWEm< z`o6NKRf)Q63#<50wvgw>+WMdflX2J72)uStErI)6z;=|JCVyzn45Xr1Owf0*mJtuC zvFae)_rMMeSGkX`lS8TL0FIn?7^r#P2y9h6>(S>g!eel%u6kv5im-!KYS`#em3zy? z2OT8Rb769tcKO%V*FiJz;&79B%sXejsb3GY0eQ*qbs*g7{+;a#Ryd|R2e;KtfMC}J zdJq}iH@|bhP2^}cxG;Bj5q3h3UamJqXKj^X9=_)hsYfPqe2p4F2Q(06AT>ERY~#WYEd$>>a#t+<%xg`_u1v4>dMF|e@<2qk$s42sayKhxrlJZz2RyDp@B_7wN+}Q_Y$N0?_ zi1Qc#8ucGW5I8Ko1txR&7AchXF>;@=V;(adF4gmQlm#(OQ|eI!=b)YXRK@^{AS~bY zuyw@)M?`^{HkZ4kAZ{G0b7)E>)9|Ob_LsD&JUa))spIfy5x)}$m$m{1u+uNvCX4- zfiN3`7iu^n_=W&=woF^PcU4)NMI-k)@M-O<=T#qRg#RgqvN9T+;;-9xv;nCqw;!;Q zh1`6zEE7U6JeAU5Zp{zaihHy*Q+ESE z0Q`6Ze(d>h>4`=aZ+zXlz6N=K4IPA`JU-R;)3TC|0xXFNBx$vC>es9E_ClDfk<$FT zI>zOv$yHk{3<)O0tGrwMKH4r!>={{1Pb97j#t?Lk!bI!ed%!RvQHeW;emBl&$^YT+ z>7_Yx`F{`IvEAhAD7!Or#?e~Wm0{1{3|fHC*|Q{OjA-=e{HOVFuDPelqOzlBAo#QM zS*{ndOyL9YktRzca3zBn`5UZ}SAbJ>b1&R(@TlDBoU3iM?*TvzNC*^uD{EbMsQfyW zk*mHHE=xBjNW?4BD)~{w0B5M&&Lm{@^upJgoq1h$oA`m#PwvsLQ*X)GU`*uOA< zM>m6I79l(|_@Xscwa0S%4fivHaVMC=I`9Ny3FZ;>VaVTSkiQe2p+uw%iuyV}gOE_b zfC&cJmQpmkRPK>KT5$$GGKV~k(Ux1#+>bBLO53YA%Li3dn7H+qdRHJ|An1_vFl`L+ zh+ovj=rO=Pf4SytjyR6ATsz@k?N`Qw{!y9mH+3|O!4cd{&nQxJe2sVM46`%k~gQc?m+s({$6 zTJDh0M9ei>)s*_HYlA%8t%l61#&6@Dj&(5w&xpJxq?8-H9^YS%e|l-E=2b-1d%D&2 z;U}>#{SpZw0?ndk80acCX#rMp2N*XkE(!Aaf3}~0`5nctU{*{m!|L(_vymbH7w)|4eWruHdBs;1HwI<^B`REI zNHo9{^Y?;p_P_miv#c%eh-aK{kVYMG6i^t}&O~A4!%l=2?9g=US{L4A%xgz~%gCvj z8L*ed$Z5C>Fj`!kRIg^W#RkR0m7wS57F=Pm=vzh=>@f&tTe}-sMruAQXAfJMFiPyV z$MIbA6zqaW$XRqOf3PrR-bwpLrMhezBD9jr1FRTei%+-}hxA&dG8n9K(`e17Y|4H6 zq(r7S@U=YpS9T|I6oUu7me?K?Ic~o}t_fXlcrmQlD`E3(J@%y}FQWI9e%a2*q(${% z-xctZ=79unjBUd6tZ&|FW2#2s?PU|um?UV1^qq*=GFu^2?23KBMDr8f#CHCy3or^w zj2Mpo7~&6pXQhawDudQ+BjhfVB)eD3UbU7E~XnIfY81Ro3C2yy} z;^hj&;2DN1CEkoOrie2@ta!1KH+9IQD?}dvz9Res31{pJ*MM*XnOshJ>!f(YXnVaI;a7+D@-K0X8r|<8SZ@mT$ z$t#TVM-+YDv%TsM1{4Ln3L`YkpBd7=GC`c2GLnpyv~%KL$jgGz$*0-)xymD<=H$tA zFZj1!uw%8dPdlx?z4*9TsJvtTJIvzn@t<_1Mh@Egph1bVs4^mhm-;INid6Jx1wpK% zywaK?(*|<-QZ0$3W533SrcxI}s(cvfJ`{BSe3+7bqHPzKMC*v||*|JG`qcsy$!&5y74oiDJ>FClG2S+Q!BG z{>Q%pa45t?4ZWP{CjTbCaBX06(-%X_)(Px3XY`@ZXRn3;&FXqP1HGia@W90` zz?pH5S(i}_I7-aThuORZYLfC`2d_V!m8D&(# z*X}`{oFt}3o}mFy+R4%0DV+pYYit^dxSmL7Ni4y?%210R)%P>4Pp0J#B$=bw8_CHi z#OvwcoJ}MWjV%_|lEH^$*5kZMD+v$hYi%D*JiQkh&~R>|`sA^NgCz99CgHQQs(Ytr z^HVZGC#{`NxnX;90|}}YY1q2GPey}|AAi;e_b7rcw>Z3CCeY%hUmkWj1cWGYKwl^| zC5im?!P1-lP(6_GiFK3E0sy*9DpN@_zjwAu;2(a6Wb!ay3Dr@Vtw$g5=J*4F??M#B zzI84i%Ale8)|#5A+ymC40#e46bVQQYX50K^ryucuXCP?4q=>yczv>zGJz0`qj|VMif)(f0sbBa)?-8 zc7g@OjHTF&R5ZhrWGyLFN3KRq4q0>$5*hrE(>i2iNoqSiPoecOJO*Ay(LXd+|4SbHswen?+Ql) z*lKVms*+x?{jNK;2`s$_`-TAR5$_Pq>Wr~2{*r`?eIE7HTG$=*;ZE|tUsB6Ltz0hk z$t>yDEoD}0dw(GIX5YIxYx9*afh_y0(LQhRdq!n~KwYz3^RdjO%s zR<{ruH3L0bFqXr2OhgISZTcl$Mr~rtzK})Vw^tY0vee>Dv{6IxK4oC>NFdFQ(yNBx zD}K^|DaB!ex7JM1>d)$qO;I7vG<;QOk^`UqXwxVEO`_Ve%Pe^cyX^uAihe34WVb#* z2(P*jB2OBOPfqndFxy6vb1T4{RbxjtKtEQs>tg=|jH+PQ(VLdKkYfY_>eoA20GzPb z=YY@d%Xqsc?f`@ZQ-q=*<~(4cnc>I24J1$()avzS>$Cy5O7DTV3ofb}v07O`jH3-b zOR%)Vx?PY+tYq&%sv1+{)!RstvimLbHknM!HGP@xr%h+vH}XcFxa#t z_klu_nPb*kWn2`99f<0kDmE#X2@@ur#C(EzgU%1jB8BAAWFxcc=fP4@1bENU`O|zv z{hM{Lw))(E^+xez(=q6&ozkzq>LH5!zRe~g>$Q_geHb#N`{OGi+F}{w%L;_#_l^0{X-wSgh(>7%7a;V0e?dJ)>0@u5E8%!cO8S2R+s()I}oS7lDyKr<&GyQ-;4^we8(iWTBfj8~6o zeEvty=r9AcjkMAdv^B9u9=yUXb(xTr-nTkU| zb^es^uZS2!`OdMvkCm8Gp)@|p2WxlN3-%Yxff0oKL-scSDrjg>u)kO-AHCEYfD$by zL!_}^SBQ|uvz7T*y4z!i*Ms7>*F7Nd)khe$xMVD&3SJCzjMO}L`r=m#5LQe^*w)EK zqHA$oKG72tI+WSf^nYm?xKXrcv`XNuFU4BmV-?e@w{1F*K)MLq&$wnm&N9x;y&81a z>!7s5L-EdmlVnP%%8EftZ|!WLy&aFqW}q0hO4;GE{fTIhWVZisM8kU%?6OGlbM?EO ziljKn9ln1`O2ka=9*MpHdklIKrD-%;v@*?n?t(n9kttM(9~4?gqzGIpBfa9^2Afj> zVijy}Y|5yBsT5CJ@*YO>)h3kYInqO7^}}p&O9uMeY0DVnC;KaWN~ITrkuIA`P*3Ymeq(-FoLvhdlB+bM!`kT)phYXQtbR+sNTS7e=3o~^YJC|soZf&0m zGRXy92BTd#M^p=q9>i_M3hVpL4vA=9Xtsx`aXc@ktN1L@pbOQR3Bl0S&zWo3KcAl-11ZBsE* z+3@7MfJeG?3`l{nj^pXdi>z{E3-4#Y@I)9^c5pn>a?yN zs53l{z*styN$`F!Bqc8J_A^7W)CQrX18k_x{%^fjBl|5?(W76be~NeL{M~Le!Al!l zy`7O&l87!rsJ`D8jS`_7{4D<8;3VEGJ|Pz>DpGU?L8_mqu!*4rO`n5)oD3N;&qlFg z=6J&>)7Zc_H6w<6Qdr+k&DBS)z>0sNmgQ2fr#5tqU#p23pJg!)%A~w>F#h%HQE>nA zW!DCbdq$MzNyE%zW%K9jz(f=G*pkryx Fl*-=%l685xKT*VfEm3T!l$yxZ!ecM_ zYozIdrMtSp#C&dYgQzt8EK-{7o!D{clOwxdj7qfRzcGscYZ@ZH70bvpUh|Z7Yufyl zLy+M0*c*V9!x8%M89gt}ijtgwrPX_gC4Divq8pjPh!bB>j!&LYzwpzdO>Z>gWK4Pd zjoTx89wkRX;)E~nhfv84Ym5q?9&hDEesB$l3?jj7^qWl|XZ@iP*=h(%bPBMYo9SEkrK-9NRuY_w35|i>PABG# zJwWw)X&(mJjx&ELMAx_zpk2#P=7N;mde-MiuZrC`*_KU z!3PlVg6+|))tZYOgsnu%u_;Hsq-s-Q2~!W5^+noD+Sg|nFw&GmYthA2%Us9kvFNb0dqGvW4LT#twN zY%o%t#^pOE3g6PG9L}j_oh5Y5WHYN~V$P1RK1(#uD*gLvwmqnr{jzzzSdhx}{S@^+ z_to#22Ej~$dh)d?MW)STe#h}_@SL-T3oV9+1cmF0ISDI&;@0{SpxG7fv(+1pV!O!` zw%4VtV@KDdQOz0CjD`^KCp#S!~?iQEEa4nH!Nv$d4C0l7m0$_#xZ z&_K1|fLW6vk12ha;rUyh4A_tJGr>4}Gr1i%P+oY>Cy%lE8vm+Rvoy<_Jk#rRH^3~< zKkA+L8=-+8Qqu19@}YlBjoUWkza%JVMhkKj$u&46Vi0Y`>vrSUU7#bS0&E}kR;li0 zTUnZWy8|C(R@Hz4n>VnwdE;A`j)^6FYT&X171c8iLO|<|r3m{$LQWZk7GNQ;))AnLi+cVFH%pB@Ramb2 zw7AmycA?g^Jcnw?a3HJwR3QiyvAf%0Usbic{(A7-)Ekv0+Q=NE_;E!CyzxC+`&;BdwLdd{-_!61Km zq?>^s#g9H^DX=BS$!(Wl?Sn!@@TQ&%)y7rqO}skive5769#J0`l2g_}xlI8!7$V2g zW2Pinfa9PZ>cltrFEXcS9>6Ky$zU{ut@zFY03-?YmY|N`AdJXIZ#t}4K`^!)ORxHA z$N5N(w!)`VBYSImA`{Y`c)ZVJ!{_=7UwXW0c6=?N_?!RV^Z1vpuoFm4d z!$%0IEUy^pzaVI_eWTpHTQ##%Yl@}@6RPT@lBN?LBIcRVqx8o?MpysX^evXo$|@np zzClqBbRralh72YQ_y*10)Dui21U>5$fwMT70lA@sFIJKB%;5N< z=ZWV%uF9(QFO54|O=o44NNsO#<%7CWr_$i9_m?d@?U%)UWE-miZ; zXgDd;ylD4SBsf7A+iBs{X1+K@BA(w{RY|sGFp;pqV4N<&MS9hAm?lL+?~u&H_)kg7o>pMXU3RD9=OZ55jdDZ0EsrXD}*I0?4RhU$ls6TfsF zjIM3v^{T)W$An;J%mS{zHm~P+nlr};6QP+8*cIw|5(_<*Jbx7AYqZqxtu)X6FrrtB z8m#(!h~2Ff(y5ID``c2@&=9Fcra;Ezi8J@)wywn62>1KkKNwFdVAPu?_pOO6rgOZ_ zG@c%A1#0(jXJ3=Je7xEd!w`;y!Ee44tVB=54d9s0blmoUWgQC0bA$eSm!MDWIJ+_P zOcK)vbdUg5GVO)XvlF51lPv9x+2NRn011)Mn1V^#h0ikGKN?33j-S4%g$h%l(Oz{b zmYzu$oVDnqAvM}-3jhZ#0$m-kd%`pOHn|vD`nM+mgC;;~o=MhqHu9hfTKYev5#Gqi$?2{V^yue6ymfo=*f1`CU98SvCduMc{HZkealBax6n*nr%wOgD*C@9H7i=T<(Ji?;LwPb+}s4X&hy$Z$-f4m{b3 zMZq(>JRx>ch2`;D%N*m3Eu+h7;9EQJYm9o6wm+LA;{+Sqnb2{*VWld(h{6w|z7B7` zD{n!(Ez#~@d`a92cw?G%E%`Hn43P2PgD1WbV76VViM$<1kD>en){40%f{f2_O5taMW_u&lUgi7 zA&?)lL6QszrUMw2tDsPO=8F#MFA2!JKNV0KnOU)QM5U zV|R1XDD9o^-Px*utG^9eJqIN`UtN)F&UeQ@+M-6x+KxG$U@718s11O5AF!)AM4RgY z6muA41NhJB8?FJ*JTSz`BCZYf&q-j(N!a-6#tej{fcCA92)C%>|D@df7}0bAhmVa^ z3;8a(OC@jz`n7=4_4&*3LADWqU4Y8vM1ImK08DOiZLOi6W!td5_2$KlDf;5bc=piX ze{7;kqrJ8~cAIt04FVXzNe6(6#>z_bz=QQG@}glduv&qbYCbeWtD8{NBIiD|=v6%+ zuOosDz=PWb!cBl6zQ=2`58#%EyBvwQlKVbV{_{EEj!TiaWwrR8)BQ(_mkrJh?k12_ z0@vCo*y4+LtbXt=kS(-Q7g=gp3gLyo??JCD8L;O7V~Bc_8CZadj;*o8f%p+ftPq%B z#_j;#)V;s;B#6~5=#p|?gn**O`iTaqJW-rnI6!l$_C-g44qw;x@ez2=g04Za1t8r6 zm+Z65L8&3{Hmid$PR{of>;Wxbuf56wG*$NV0J^UXe9!k zOeLzr3N$E8%jgL00+58|C^d`f0Qs35P?MxO`If+02;+1Pz+?Lx@i9Um#*-_W0D1={ zCKbdtau6ytrT2($2m`?lLn>=2_=bBj_!xt>3gU;U{{Q{(^3CdGlmYYZ4fMnKd} zA&`o{rScg8;Xw1{nzRp>U?&Hv7XYR?j+nrs&wxiw3A(es)eEwUUV#}86B*!Gyg;~& zrs&Rl+6-%XPP1RPVEC{dt#;^|y!n-?2;Z@U@8mz+LOMD3`fE6SgbGnf~Uqr=pGK{i%sCl z+W^*Fj%|=5ynfXK{_*MyDE%C}TQN+dHQ0a4)Gk&yhCaa2_9ejdd$l)h3plDBYYeG- zEZ3*~PY|eSmpcr|DTX}jHP|~Mn6kB&P&mku@bE+-isQx{H);3U1XuI|anedxuOU~j zfjcS$@TS2;1s-<)f+jGrD0_<>AR5(AUL4hfi2zL5Ysc;;4Ab@vU`+wEDO6s)NQjH@ zaQfr{F@=F#l{XKttCf|{h@U{{dVtvT?tGsSbIMXi94Kza`-$QV20ejG8bHDBKiDSU ztnNx&Wz+@lAkMhm+x87*h7!{<1)_;t*S;0c6SA)gO4m@xUg6uxY#|O$nOBbBCmn&B z1n7H0K*mHXiwP1~_q+k4Z~;UC0X_}l^ADG8P{e=j0qUj4w^d(i53?~He=mYTZT&`| zrj~xGX)*}B4brEnu}6Arhab~=bncgd#ttP=@@RrB7cI!E`A~D&%6;~tm-=6XO6~9u z(D45tDGmfB;NC$Bz|O5U3>*j;7ySU_@&K5c{b%|0zhQmmWlIK&h5yv2#W&ucPz~I{}2f-#_ zv2y|D6~KuXaje?zHN&I>0xASv#^i*169}v#%K;`w5^$#{0Z%}6jO>GxGua$s2J#0K zWPdQ5e*y)4U^7mJfXO5cWqpgc1Tjmafiy1gO1e7PfB+pjAmkiKK!q=E59<&d@xAfk zv{`Cw0t7oK7%}AlTsLT_rf7)n5Dx>k?U?P#O6R}>{co8^1o^-vo{i8M^5!X8jV4V~PN8B@@?E^rBbI{29 zb$3q`SGEZTUdZ+4+xm$B*xB?;aLkH2q}#T%EG}M#(HtDOZL0i804c zl;JLYrOF_Eg890;n^*5*SVKd@88SMhb*};;T?0-->;!rfRs$mm$f)Ne!6H|tvr`?Z zLC3PjPe3I>raujadTMw>{jbKI97?7W6?PwZg?@zGt^a8I{&4^OOGuX@fx47?S0j9A zaIg~2PAn~Zegzf|+Aw`qWVAoEx7D}RTBsOvmeCAO74Ry=2N;nr4B>KCX_Ud6<-;~N zH#g~j`V1UEzw_u7jnDa>Hv9QT0ImA<=lH+1t&^I%fi5mpwY(DT93s^3%EIIl8w5R#Q%jeR221sh3MU-%~=bf>uGX<)>l=Ve)aE9n))g zHy-KFY(SuNW*~S;t067@smb+HQHG3rv|!Qd7m`kzH%=SL>;Hu^&EFHdSLW~W1c4n2rI z8bB{`!VsZ}a`u7dVpJKlcDEnGbUM%FZAkSDM& z20>L&Jt;KRPM%*i3k3^~2wu zt$fMT_Iek~q;UHQ1BZg36iRcaCAVb8__QnBP|T@;R|<{7$>XoC6k_MA65sveE8Ux(72&9OBb zrF68aD_WMHgwAg}l8!b^p7`|isiQOuWa~9Am(tWe9hu7#efewymcMdNG=rbN$QHiv zJ(r74?max7NAw@JhUKLB=j1lMl9jcObFF)I`RdnoPz~D}eiu6DLeCcym%<=r?W>C- zOlW0gz0{z|-p-wxrL7JwHaGv)x(Zr2WtoDNa>1@j_L^Jooz~ye|z3p!`-u-#q!xsMHCE%YU~hsPe#a*`eNJMMpo z9h(&1I3h`2wB>Aj)F3@(>$;z{EWhsjqhT!?85wt4dr+1S!xz0>x#WIj%*~-;V@|fu zNN1Wa=-szXukqx3ZAF@K=G;)~(fa}}27A1_!g+8Ro%A6)*taAvK9>>Wj|#{zGVIA! zjdRPUw0vz>l&(lreP6aL9XsW#Y6f*rjzI6U)@eoB}^1<;cXmhtMX`3&!eP8GQ`%W_q-RgHg(K*~7d2Ou4MV^pCQx?|Ny2~X4XEq_J(czf!C#Hr97t4!J*2G_u(_@-I@}6evbsU?!P_%qX zE`&aTJ*5=yThaZZkvR$rN+C};@T|yx$RO?WYZH$>?!MY30Av26n_Cy0V(X5~1?~K@4Hsh#+Iz`kHC>RF`mh#{RAn;V^0q@Kjg7Z(>;#zL%v*R6C9I_wjvh3JJZEVe^8|*6 ztg*}YZ9P{Dc3gku`5};Uqw4h}p5dEu$ve!}o-C3a3b*P!)1>wJQ5VmQyAHk4bJ^;P z0Kwufe;!QgWLCOnciL-)&}pY-|3W-Z17e5Y5nkMKQ19ecG<0HfuT{0GI5>61{(g#I zyL_ZcgtT8LIzQZBADRq7Il!R3J)B6DDTIcaP)5PW?k(;P>U;}}pR{Si++V{k&O&k1 zMQ)Yo)RUJLU>AHgLN_3M&~=UZDrNkAU0dn5S_2RLmyp}9`9W?@iZCu1yr0hfNGB_6 z_}@;*phS^kC22qx-Y!+;O=v8?6PWut|42aWG}4-%iH@S0`TJsXc@ip?B%Txr5_ndKiNTv<=x?e2Jq1gckbcV-)tA{^SWWp^bDE1JuLIrM%<-LXUEgFa zKk0$;F81D{3$SgEtGS){Wz@GIoj5c{ZJ822kpZq=_G@pp3g-C>!nGhj}u7 zVa_#JjwgqUM;)3%^1?OvLB=!AXVtEo?l_jhvXF~~?kba?jQjd9ytRLdt%1C*48P9g zTmBAMiYP|7)G9vT+m2p0F#5q;O)lwl{FEFhg2$+`uIo!MCNn~Rci&H||99ZOu&Ara z!7ITq2aE(}De}=%e?9y?k3jv{2jB8=1~4C4(X5;|bV?NP&vS53&oR1fuI00c_n8K+ z`2X9Lqj_i>vBlH)es0awCH}e7u9!`Yyj;JQ!joe=i3iDeg}pm3xnpjw2meJ#miB)~ zN_ZCA#^1bu36pM6%3xzWSY7+FykVRoj?1AfR=~8N93{6G z47w0mY>mLQ*HJ5C@{SP)o_q6_qm7P8;ma!$?WtuFQUeh&gM^aa)v)LDC5?cM(pYQ zsQ#@^Ej*G?_i~v^@YmpWn%2#=<%iY+oP#=SXtuD zZA?~!h2CP}|NLe7zbj3|LiED$!mtpN;=gsE7EB+kNF!nn>Yk#02QC&tK|#Px+5zmX;P!4HD{;d$~6WM8e;`edDp{CNo>@ z3H-;xmrnx+%5*KU6$`O^fg({2b}eUFL6E-~*KP$`Rc+(`%^4a6eL!MrEr6a2WX`~( z@|O{PX{NU)Nxsj>KwynG`=UYb3FHR8(bw0H&Afe! zTm{vxgyO$NZS3sKPDp6$FZkhcO09ER`uX!?2Gr~yCP;jRD4!p@j%Zl_09EtF2t_nU zUbQH7GKD;iXC;qTHu>|BJ|?~NLlgSvoP;9ym1LYnOG!8xiQi`vhx@Aq1B&_U1MU)m zO(k2~;t83cFcwy?y{W>qG{W3?+x?=}bFcC+aDzU)H3Dq4=wANN`|D|s)0Yww5`26F zNsDcs`MSUFF=(R8%FD|c#isCf%zJ`wfS8k=oxP^#Sdupe=dF*nvb}w&JI||6po|WJ z-$2qM=6MJyZ#0TworSrX8Sdb?KWjHAcz_xjjd*|-t7Zzo+hYrymV!z^69)^614!2c zEYc4ET?z`Zh>8Gk2v`9F>qvw5BeHl<@pCU8M^x)TR^Ece8$|vg8ynj%(O=J`&lQGc zFGSccNVj^YKyob+s+X;|m4v8eC<`<5W?O*g)U)LD-Vk>B%OWjI7^pY{#-&shtyz>D zbR-;HK?iSXDcL~h*+(qLW>A+# zCb(xlE34aUTU<0^U>7XE!Yub=v&ZBqW5*^XCic3u1;@;9hw1%uLS#ctNvS~CH9;F| z&XVJuKsTx8hZnE8LuWt&2?)b|{0fnJ&>2Pq)ZtpaI7R0`YF|JZ5nEj39l&VY|8q+i zDWBpU7u8B2n)Bm~f%GNSUfc=?^xunE_N^Xk@xQZ=y|_e0$pa@wM#5%scY$h5&dCN> z^@JLTsj{h~5d`r;@$BLHWPY$`9n-^s!1z+#sD+>KECQ@RV3pbMrj4)}Nk<7fLruzk)Rt zHf^WCvxdWqGZ8&qfg37M6iezXoUO5%nNx*_hgTA;mq3pB#amo3!JMC7VJqp-hF!>) zj$Ogd>K@eh(XqXryEl-&nX=?Qtk5oQ@6j?%Obzrrpg&XK`*P#&?98SoLrc$D`{5X5 zkFrpPkMPC{6v?v+xGnOIOO@@5J*Ic2Z=GsZTa{Mh{|ATNNrJLh0P>KntcJ#8=YG&o zLSA!9m@Ih)5^>Q?L7@S4NmTeRO4os>-T}`ly$C5jU*P%s%9@dV!YTeb&Y9n1<_zl#y z2X?MyZe6IEOv1PA?d?;Ux3oc{?%mQ;x#JQ_rkQ{|CHlfdZKiYUUrNfvwN+K|B+e8Y z7C=nlR@zYlkirt^bav;@-oDER^;?wTq21B%OE{JCrUb89dyPk~vjoXD`_l#n=7q7x1;j9CelO}KSfcaxRf9H(67ES+Loq6jp?aquR7{Pj7BV`PXP^XM}wyVdxWdRH))?LDrM24r7yfkx7ZpXO+2 zXpNyL+71gqO2QpQ(?_F6QkwFx4VBQOd0#4j~EaU-fg+Fzj(iL9=3!Z(A!nT)*b5*Cg137tG zTr)f%xU0n1DItnPXxDFV1vouH(}zh!WPzlnKFSTlUsfy+l58aR1x`VSy+?fnh*t@$ zQvMmD+A=^(Z(5UdXqnN zS@GE8EAFY?s=qHlk5wyvQW||8k+%z4 zHy@qP$&XTAp`@j?OPuzi zfJlOv5xJ+aoVN+LUf!EL<^KTaH-`#E*``;cNCR0)oStGzJIb|#BZ=H?Ghui#OYx(O zpZy9!71UfBLXVjksr7E!O_5^Ceosm;EDrO>SOy0@MetjSUqxk1FvfokO6-Y?$LNUx zpsM>k^OIc0Pl`8K$bo@@?}!y-@k3>FV#1xo4$z^o&-QpSy@;)m&SW5LnoK}p%#(!z zV@`aRo=#biQ$c@}u5WQK*c6DG^kmJ7PRguEJVT3Y|Ji1n&JzMN5O;vG3j%(Lt51Em z9!1AdA{tsiO&zsUAz8vQ8w)2asH(2s&n?dn?F6eHKWguo=Tcz|VW;U7WV7JgNn`sY zX~FHXyx#tyj&Uepp}atePnU~F-!D3`%KtbrfuYCg?f70AI!;c(6V&F2t1V-P;q+e!Qg@iY*s{{;c2FwW5{o zqmkR_n3?rglUd8sr;?*zQ`rI&P&t8#IL$UPqJ?#`not}Waztn>UdVpyt~IA^LUOXw zY$-mCf;E@Qh#GcMH95X*Y>dP;ym=k1PR-n0Bq`I$sG`6qQOmM>IQDiLkCm7X78fh! z)77NC-&nSh6J|2)=;f@%R)kq1?hq*AbWo6<&1?g%xdkx$6>+dSBI zHg`HX41Y=o#{OV{L=_6GFz%(xj43Zd%!Exo(}?TgtbKlnoqR&jWlQ_mJBeOPWU0oV zY$Vp}xhXa8Uk$Ahb5@e9^s%(W#Kah+lr@^G)|C3!<*^<{;q>^W>>+JI#bEO3swNrh zfOw9)72$aJ&J$(?Km6vd3=C2;!FOZJ{FE6?V}a< zx=G)3ED`IUkeYzB3-=1SY^tWT;$D!TSN{(RT<-f9G>55&UvY0NsUetXt*$rq>{~Np zD|^cRX3A~TGiz@wlxyoHce0)1`p2Z07`1G8vj35eUsgo$hdI>in_yt2=r7}qhPtKv zCBLQqEb3V&X_lNEJ&lfRR$ZNt@orasS|BNVsp@3LXI><6^Mdr-K#CMw>M_M}u}pP3 zopd_I`%#oNxHar5$Y;pSHNK~WFYh-?yGy$`qJN?kU<+c(aL){@6{bL@MsjQB1#0&~ zwfL34Lw@b|JUs;?h-De?AY2kJZ1C*(N!~@B9$-(?tlzZF*GZ7CViODFlV^&)nIP(X zEF~4&Sf^=t>irny9)T9dJNGCe#Tg;aFDX~Ft6BIV$z&Y?WJ9xp0c}om#m6&tNF$?NARKXPY8_j zsd-&W9^m4#etnzNer9a_=#gZx^r#gM-N4b+Vb%aei&(lJ(FETG7sJH~@bQtN;+*m6 zOcV{`GpIW3@3`N}h+Z@2r{ru0J#I}Q_Eih+Lb>a^T9>t_4B*jXx;!R3h z|B)3h6!1Vi`bp}H{LD^F$`+#uDfQZoYkx?^MM;UG2JhdVDZaj8T|eL(9N2tGz*mjN zk$R|Kj3Y1MZI+qtK_Pe!elPhq=S%d2-BCG2aZxjmOCmbTIw&&14)iCuxL2GRMCfCs zAJeqtCeM%+(%tJ(0h2>b44qPMyv3T6(G?GC@3c>|AlA~*=yEK}%6OIs(%7Hdw1>&@ zs%m=~Krh-61^UwET;OA zXu3y8LFLIS&rcg}Hk6)QfXAKsa;kVJC5IvX)eg)A%Riy3Srb3$6IR%=J-<1Vk@&U_ zV|@7yB*pF8dVG``bai!+ETgq^T+z7Nf+aj0~fbVfR!mu^0B!>Bbl^S5FyMsC_ZPz+g( zH97NzIhYQdZ`p2+?EMzyuB~L2)>gjl!2~ao>`T-emNJN3L>i1HPuIq?r^rg=U4#*P zUoAsUsj5(@{z@OAzZNr;jz5B*bPic`UAzi6Nc#d54p4Q7=L$Iqj0JQ-pZ2Xe9Xar> zKau{LolQUDXl8!@y|v^7mcUD%pM993B6sfO1};Pi3rDd;qC02OeH{N8q7YJZr8YIV z)*&%o^9oH%Xt!evL!e-cf&IQ071hV_Teto52Y>O3-$A`lR21@~ zB}RK=`?!USZ|%mVGqaKl~^Ip7oAZ%(8 zfOhRSX*0pCj-sQar8ZpCBQ`HNj};Gur;Y@@M_9DrihRUMi|&_}-G=Hh;j)RHfoL$4Z8^C4{5$Ck_^ z$vD-8q!%2LWY7}iPOT(Wgqgxm@UEhOU}NOy3V{**sq!qlOxoDbMwmecZ6WnQCD(8|bl4l5b@EH^-n^G2!>vRnG<& zJ+_o}(ak@qx$2VjY=LniyIA6KjS&9Rxfy%o!V=WM*Nn`}wC~J{o|Y;M1uhN_$k%$U z676!i3Au&Z+Rr)>45_~D+^^ZFWpAC(bSOvfnwmVuRc6Y%dEY9xnV9w_^0pXHrWdnv z!8cEebVae0&bE_zBEmKui$b(<5n*A0o-V>bJiT=`@kBXMf`F4r`mvcAbtj%3%(ibm z4_C>83(*?;15!J#q*muWC)?Fz`p7#r&zs-Mngz1Ap3P&#H<{dGh=iX^0|0X6&3&`W z1er|rGy81B30@e?H^D+#*^c3oA!iG>BxH!CKHxDcNoR^lp&%zyi!I!eB~>^$#yc;2 z{E5ps&2IvOnAL_q|Bmn0v*vITW7|#>UEL+m&um+)q`jNy{IL!loRa~sD5?5dmLd9D zyEN+K$4=^)`B&EKODEOG4s^5d1w|tyG3kv-S4wS784EUf8@>T+y5Wd#9AZqk&46Hi z)>)?0x}AtW3@8S-wokur*~YLA93w|o2;!YJ1)pti%X;O8G zC!`$vFh8QX(ZJwN8*TSgJC`VdiZ$mVmiUYB&V-`DXQE9jNtDlTTz+QVj9jf6B4&_K z&St`RH3_IVR+@a1XPVagR)Tj^TL=!T8O2Jl?K#`16N>SOKMZ2%G11?e_IP+lK+YnT z>$0z#hU|v(8&QX+f(IB6HKO*aoT8$lvRsE02vwLvj+LhW9kEAkH;UOC0`&ic=TR|p zA$=5v0zUtDO#gqdBM{U7C!+tKnEwALu|C*z;b-8UA0Dx%mtvmKUAdB;k|KRV=4wh2 zH;7N}pWz1}OQx}TKztE0-Z8TrlQ=? zz9hM3JbfAhm?Hqu(@6%_oh9>JBmecF@JLFT9-*S50ugiSTdrm{HcQ!JUP8q36p@0c z=o!N1g1T|mV|z+ia0R+lb#-jm0Ztt>QVYQ0_uQ0%qNf`j zFw9kr;u90E2|G~`riHk|yV)-7gmeQG956C6CSS-p`Be9yP1uYStBC#tBqPi<-2u00 zyeTVr5ug5wBU?l=}233ifJz$$Dc0aB)P(^OKNs z8NmpOKGo&CVEq35dsbFfZ*MQm9%Q9RpcfP5;c)>-qx0wuN-=4oMPgQFAHR!tO1GC# z=<7$PBH3(;0`wOKWavU7A`nvD2Xhk28mzPxPDqd>ILh6QwhP_*# zy1KefTrr~xAB5|S!A*KPpsvfz(vs2Diz04KNli^nQ&Tf2=nNv-fE=7RzUZ+i(5~bA z($@$0`A)*r*9UF_9rjKGi8J?NRe)5F!>eZ(i8Tv*>4(EN&QL@86?qDH85EDEDQDRhZEe9qi$jCs3Saw*L>EwpneLdiCaRhK~RQpR{Y;RHEa{MSY z;OI4o_g$yJe~S98Kn(tuY=JupYj%woz9ze< zi&~ie|G$OneKrkzeSL$1f`G5Bt*!O+^nmR-DXbAEx9tb%Wk11OJ=D%g7nA6I*t@#^ zf?2ZA*z2yD+04kuQcZ$vL5!gEZ|KYSB9D@i60%7UjaJvvYV}-=b3TKV31_$cGiQCy zLVWGAf`YKKadqLJKYwztvi5`cDlb=$F|f3>G&J-(+_?M1DvH@;cyiMJ;_MjAf!?oB ziot7C9jaXoTdd#loX>6yIu;ymD_+HqD&hWHrp&Kv|#_5&8$!k{*@844F5Z3b=O zT&%4ZK|rd6(h$f=qOz(cpO8?ACd9(R!ol&Xv=n#z^XJc3@Rhq@0dsBbP|_WU=(?k* z(4Fp$wmhDblheq^2n>`Qg-txQ3_eEHPAtW8a08O=IG$)b)tX#_z@Qu2L(N67k^t@;i;hghou@RBIvSf1zmD z7ZPB3Ar}Gl8hV@**KoXj57vf5&XyV3h*2%|0ssvQna6LFlhm%?DB?;LKve+y@3dY9 zFV3RCuyu8H(8KTXG%xVGhqV0%m+5h6NfC?jch=7m$TyWmsomwNEZKkqJSjK#7GQdz z^2Q4~^nC<*4)5HqJ`vu=wZy5ZsiUJKODKJg4FAmVkWlQS$Fd^ovqE|wAD`IR*pQ2T z0Qr9n;Weo%Ehu1`q2i*5d9ne;!BCtKxYx@8IjNkqG~ms4Mc=6DwwUfu5jg9GuU}t3 z*?=B6AN_X=KO#$KcL7y9HZfsnXb44t*_D;0rEQKKk5-GWV5&Fz0j*$Q6I%Vg4a)fJ zEQr;pu(WVi=Ag8^oHY<>G#|9D@#6&ObmZRo0lZegl`s5+Y-YQQVX>SU!L6buRFD%a)bKG-_HVRQ-(NK`F##UZ4ueef zAT%wbuD~UOyUTE*Y65VG=_hOLzrurXu(OYB*Zb9L#B0XzFOK0F1O|%7uEI6q<_GnG zhu0fAp|%YQ0F#_LySiGSJx{5Mx;o*<&ad!3M5Fu?;9-|KV}k$(oy4&06;8MK{zjpU z-=ZPZK0Jc=7)^Xl9F9IRG7>D5(NX=#X9b-wjJIPTL6gwd599}6DOhWm)DsybX%*9H zf*}V1@Zyo$w8cg_#KLE+L(s=@yL{x4s?=+{MOXJcH1ZNFZE4b8nLN4&O>eN^=62DwTAHks>#FQ#eKrq5ll#r{@Cq zu$khqm3^%@qQ8`70JMKo{%;Z}>up}b zwmb>|Y3y!FFQDV1)xQ#M_VTFMhnxAvnn#Ql+o=ULV0q#9+cWPmstF z^ZEhBaJU94DtJo@(XlCA-B3Jto9gE!fZh8qWfh5^zjz_=;w&uN8cgApUPnjA$q)!v zxV4^(%#3q2a;A`UyH=Ow=Yxw#wUoW`81UJc0_SuD^FT~a0RhL5@K7P1W+ZQG$LAuc&tOIaC8?tF}m zX&U79^wOh66()y4MNCfi)YpSPq3l+Gs-s4zhXE@nCPx+jc8@6lWPp=M%5>)7%|jRe zS{+NN$~E13ub;%S(b=i-U*v~Cnd<84LBTFL-i9x*9u!f)xj!R20YTPFM2-8vNKYO~ z{qCA%AjK+MtcJQe>nk?51Wa-T+8B{0-)&V*P4-c4)A!}|_4Rt(cXT*q@w?l>O$F|X zz6u?NWRBWz<0}S15{hgd$`e2%KJ_>Os=OOSNk)*p561yHH<|`!mL~XTI`3;tQCIb{P=(-*n9 zNk@WvT@2=F1#9vS4i3`cub_ItaikbH#hh);Q_Egv1tccRN6^l10L$}10be1qu)LhG z1o)q!#QV%UDqx1zipT!J6akM^dZ;6cbP zMGghLWmOFgR21(Hl#V@r{@ne=6-@wSwb?0tgy+YkqO^=^zXkIR<Vuhbr5g+&V_8_OuDk>$_+BYaW-{|wEuu#@QI01hGWx6s)F^`}< zfWD=>aO%l0dYOkO(c1BIxg_uP>(50!Y`_bFZdfH%tBU@T&qdSTb12!}*z zMFm?r2cGrshtRSRg*So43a>0csU7^m8mOKleh39Agol@G@9OGMrKk>)ajmw!yr9ch3)|;9dozL_=H!rU%7-=#0 zl&9NGeRt-F?tV^YY=z3FM>LxG`JVxb_8Ur)DH?V3_2t)YaB?o30-_8htJkh0S0c#h zaZv3B_2(BL{bq z`0w8)CV_(b`e!MFbJBd6HLEbVR~bQ*q4Eu_gpgKshGmi8>)^4@wihK6# z8N9Y9Po6|o7C2xnJ($ZE^!lNW{_$+gO;>jWe4hyaVN$st@FoFT^&~TM<8l)Vhf|JO zUKKAkpS*x?hRTN?cTe6q`Vt&y>!Yf^N^xxP=U1k|zReN!SOOIb1Ci6Svn4u+-sVG8 zK1~PbM42G?A=tA({UZ-^I@kC~C6%Y}7R*$!Ullwgei{vGbtM@KTFV6Nt@M%#Q*<3c zVw-FpYORO>69#T2*x)gImY}*D;hpNYm9+ZJ{5GI#;%tREy3T8uYD#l1?RUwookq6@uFc z=WB4z_UGi;-HSn@@O>ymmdjw{Tzyce z<6y4g-m(U|#LrXaE3)H1v*-N+a%;;gD$WNCQuR7R0ECA(`03Lpe@DwfncFrCJ%$Db zg)*FE488M~pZ)T`3JD7@&R0hrNQZ=6dnujpLh{jx(39z*A?c@d*RD}Y@Cypc))h2% z5+3|zS^`i^tr++s$HwEfg1>d?@TIMy`l?m6> zx&VTLC&>vD6EnYkge-vYsN&_zRXB8iHA~Ia{oBZm=4OdtpMZddS=YaRCv@;ZA`YqU zRVfz9#CJu6TtxX*>Y3L60#Bix8VHbI8uXZpywLma&P#i|AHd}$#Y*1CoFa1tYc}lG zO4{p>n7Wg*vpG3Ak2c47c5xgCCce`0}CG+4;Fo5Y8I_qaKtKRUpcFj)P@k1SFh>c@7y9k=PRNJ$ zz)_Ilx^su2ZzVA~Uu|fnQ-D_d=1slDc&vZ~AcgvR(J!o`qSSaLy4CL>+DAVb78V8& z1Q%D=_wV25N(AhI%s+u)#@BD$5cm+o$5-&H^ZpRTPBIEqUlw-le&x{2J_19T}!KkPzqt9UYw``c>}xnxq|l!=Dlqi?*chbex?@tcE~43InzFmm>j@alh8q+(qea z%-CAU7@+2!ug5?e^L+nJ)yH-=**)kS$a-AZP>uP{5|DY%pW{i*ivD@9sm z-oJkzERNqb7AA6t^HmmHW7zS_hF!08(drni0PA{&=mJ(;wVYieun)fj=LW7Yir zy~t|$^?UD3s_KX4=d0QpzFxG0rBUjteh9{e=urm0uegK+y~xlyOodCY!_w%;18fss zxY}G8PVn*S)m)4)?Ndx%RfczLM6tU}MMXvYD%#rGE-n@HA**Uz+uPPz;5LvdQP0sa zhFy%@0Irdfm0e>>+mOUyir+iFINjkAZ|2oC)zj<9IXMXo-~RJQ+pt`u4UkZQ@J=L?vp}nmL{m^H?DRZUmf9d~QRhA;i`tC+nr#*%`Ntj4%}&{e1HP zwBvoTXdCpaP6T6oe01PkW?q68Az;S=E$^7U zy5k@0;803`dB!9i;vzz)9&@o2Wnf@HQP{e?yo_R~ko9}IA&;VgO;o8HHC0swUkvC= z5+X>n+igz7d=goJCH;MznFh0Q?C;-K{sIzz&F$gC+a}!On^Zfo;`iFGkdyPL?49H% z*L?gq_x(Fm6HxxlD+r8~wwV7*I6FIw31B{V`73>_(ULosf=L@iK{2er91=>+aia+p ztJTYiT;dlBM4IaA&0ZC=`uh5j@g>SHx%Q}U-@a||=58kru7;SQY@S3wI2|D#Uck}s z0%QOlqm`4>bq)@k>Ga%O*ALUP63EhpoCnGzNik=q5F#Gp=~__Nf3c?&uEBmq^8#$w zI&(lr^J_M9T(yR`RnDdGdq5 z6T81AuXGyz1}x=T}$r-@0{Gv!}1GgFxqpJJ#5vAN@2L zCWN>vpGGR928FC~@yK*8c`&TWopK}98{dyehx?VNdk{`l6g-hQV-qieZz*T_adAWV?; zxp9R7E44zmtGQno6%%gX?i&WVnoT!uZf@xv?*c#^DeyF}TY@4dd&qU!*474AJ}yH( zTW>g!=ZtX^froY8jy= zx@L)R8B2NUU#gl#z2D|G`z!(PzuasNKBtY4AFtn(%_4BP5f1p2sO3kyOHmz*`3IcR ze--|WjooF)dhx=m>fudD#`nD(&({d2)9T*+(zP$y4Y8A5g@S?tPzzM?T_{_^$k;e+ zWYML<;7w`Nt#blZe-DqErluDbO5QxD1iuk=h$h`FeW9=kXAv*U43RDC+V7>0aAnNc z=jP_Rd1aB{!h*tHY8GnV)%u{5enh&EW+OZUXfKoqv*)I!mMlF97D&P-L&Db3 zXs*A$C$|6u2n3JGxzaXosl=79U*>xKDdFiYh6Uey_vA99?2^(vH!U(eC!)?xZ%SX- z5MxEQJ(5AD;Rk`vU1iH09~%oeWJc14Ny>$+UPO>9VW&KK_OwE`tg*3?!p8=O-O|M5 z3s5jFZm8tSk5+Q>OWf+uOG|}{MPbrbBvrqf)ulJr*FD_ASp5PrMbX>dLax>vOnpd5 z`1%?+zS_mpfI<%$85u}`5I>evt&Z$G#n1>kSWBIh>9?uzKkUaCjWlIP$HBqb^cH{h zjZ4d0ncivWB?kvbZEY|O zJKkw~b8~q)W|}=+W$^n`(NSsFgTP1n`WcEvGz<&|C3&oWJV}|EnO)~Uy3~F@JNIE_ zCB^~0lBjsk+goVP$J=}K)=lj#-zM!dKyftKmv$ClFB+{Oe&1DOlyoxP2aqE)6oltEC~@&Z^1PJPUX+U|?brhk0-P=Z_zMv^-N& zQYMq3m&COfsy7mEA}~RPfSm7Ht!5=A>n!bp*i_lrMp9DJ;h~S6 z#qlhO`n&JW-8y=s;0zK!?wFrfmR$;Mx2akA@uU0mXRxw$xjyCzp;^Yhizyoi+rOqp zAc_Mwwj&(aD!n&yh?SjPL`bM-z-DH0a&m2Zd(1(IKC8RC`{hfvtZ+8gKNwjoZX4d> zw;UTcJIiE^CJw1KDmgXku)vHSR4i6sGPH%hu zj_yS3L0|$+W95^t8d2(pD;Xv^Xuxo{r!zxd3Xxk!HnVI=B7?m ztT2cd=HcRE!eYdH*+D+({2l_kHE1}g^pC5Xn+a!CesVARk-B-rLN*KRVK7;}hf$?n zw{D#V_jEF$E0wplO0lp+6l!W{xVX7}e&g)w`o)@oJPw^aI;Enr(xhU1W5bEQto}#* z$~AhQ4(tUYFrJjDAcX^FXU{GebgLp6nx zFNmH+{`&Rn;NYONloQ{eXxNH+p)oa;tWbQOW&{-H^wbo2Q5UjJ5@@X>k9Vu#eKb@4 zFy8w8Na6S{*+nGH%a<<;eG7|QUc6695#=V$G00|<%k4=J{R88$2}wx){`phbjGHy-e9dulYfGZ% z=K!Z%c=ac%o-bd(qhNFW{SI#nS`0CfT}e4sc(wsXQZUQcIyL1W>L2C{#KX>DS^*D% zWl&ze1)Qj_0sk<%@q}J!{vm`u(S#~-Xhyr+;@0@7`rHay^fWjqUB}S=fcVW{2k(^t@*0;!IJwjxa=|I!w#&( zMa@gN@o||7JRX?Ud6GkxCn8H@z$Wwa$IHH*R0Dejp@g;9Wt?Y91b=dN#-B^ zZo1u9hOthxv+pv{6WQWcf;{hw%B}Anuv+6?)QQrI{11>F+a(epIc5%yS3j%A8UN{da_fG3S2XfCmlo3?`QkIX&^ z=W*7L`U(yPrHkhs46wZ5cYM64gCmO8_;kY_~)hEvj#N}7Td%R1@k0K^y zqlK5;^ri`%=6*X?OT5gMgz@XfUC(Y3|Wj@^$XJ+OVH!m7d|8Q46xm)nQ z%SjTtBhO70(iM;K0;~izR({N_LVwysA2VduW-eO9UXdwn_!kVOq@n)0PegP>-W~MZ z`RnL^xzRLkSe< zUb2Sm@TnHFI3?$4q}0`kPCnMiW8SCA8$|CZMs1c>Pq1+)R4DQoYM!VV|XjA~r=Wmk%?fZH0$FQ4p_J33Xj>o&Mz1pSV>;V&pnAGtxWZ^MKr# zKHCYo$QGWJxh3I4AnkB%mgVfMkGKdIGe0{6+h`?o7h#}hOKOEJ9xCV;v~>;b9_P|p zXMTvMMmLgpJNmsv+N{yHk@<3}Vah2{=2L?8(?H|zNL$?JeD$qe_5^jTn;Sa6%C968 z+}RA$P>VU#NXE1zcoAy9lsmCFs>(iP`r${RYKyh`91})K#F6!+BDVXw5K*Y^w+A%U z8MZAty7Lb|58-=Hpb?(h$7l#_;fJ#LHI(9UbQWkXt7zW5>r%DuOitunkF~Is+ZV+! z=HMWolpdjSm}(kZc^572wNDJ?lQ{IW>7Xc+hn7V}gU{yl_{hVR7-XF_sEd={9P6#4 zs}o;jDcv|a7dxkke%R!WD7x+f; z6w$A|JS)bBWWs0k&sNcj@dsV0pLfWP(M*P1WXokQ(N&mvB7gRUb zQH{y1CGDTvjMJrw{DxOqQ+Zm&t?H76=lS99mqPVbwC+Dux7n@RBio2fj58KkYD3i@ zi-u(=vqvP%{vl4{kV!mTZ^NR&tK(bb9e{;p=~GlE^KG}SS?oJyzf<=EWt z37PLW-ODDM`#-JfkD5kQN|!EfR2elCTco}jVJ^uCDNm1;H zjJ<*}vGIhOZpOj`%7;~@d-Y~F_t0AMo?YqhA(e`@X?=@7@byl;U%c?1&AT41eFbhT z#mS>9C0c_E;x1H~cjI2(S9wsv)igUxa2naSm^X>?jO@xYfTUf*D-?WXB&?mfx7zRTd58hv= z*JF#@aLIQy^sklQ_P&N~%EGm?m(YhZVpMMaFmG{7+57I)MMK}-Q~Mi%TI$+79HFyT z{n~{OFb*sI+!xfHNzKf^?T@G(bzHw{@2%d=7|DD?f%j7FTzl~TyHdsx4HKbcVn4wK<9h0QfZ?7icS#yXI*0|!n9P^o%{xvo|^ii@S z@#zYzue4D!Eg`Sp>E{x;ZN&e|%p_IB;XBJ7NWnX8@)O{1JQ962vX>D1K5Mpbq|_vG z>Fr2yyu!}hlX${;T|BE*-wwUEj$&Ew9p%-Q3&=4QGVAtt-|xf~#)>ss?9>F#XL5EG z<1I>LEZAl)Zpxi544SXtmE?=(=p5`6T627D5^(qtu72t08+Ag43{F|TZ;U&o=R@^+ z>X^_|{U###MaWBGQLij*zk|#@h{F37>a=1wNYvMM2Q$7aNK98Frbx~Y%MdPLshnuK zIm%1EJ{#>7R`&bn2oc`vXT7ANd+%~;boYN7TxyOwNMQZ#FjLmMuZQ)zgl3ZecbjUs zLQ%R?qF-llsbwrBuIxMATX;x&qPQOGC)Gj`QdvvGP9G=SJqX{+aO-C-iCMLF9KA3) z`z3~7svNWOa=<7YBjcqMUP^3ffAGmLuX4)H64%sDaf9_+k;LA&f7GQ$d+)6rDd95x zE#BTh%0~$fKwF>;n{eLSuW57W+bORfIFr0Ezlu{<%*FpTOjA;Ss;xQnzI)kjg~BTd z;im)_R9n+d-gT|p=tOm4W#4hkL-IC7wWhK3==6(48n^?KhbhTh*ls45xQyNQ=cne{ zK}h{#BiZJvE`y7GnT8=hKaN7#?lKN%qdDZ;}|A$%Z zJNlz>Xiv&90@vfabWg2(EZEV5jI9;45+B|=XR$lEc({v}YnjG)9y+`G9c%l^nf_{} zao04*ht*dxq^5gBU)@NEUNmH`bNf&@E}fUge<8CM z-!xn}{#&&vS<^j=G5Z;HvKH1SIsGiUH|3|lFcZ>ADl@gB+t#uA zt15J@2g@R3o0)Z7Svw3onFkJ`Ma&DsChARYEAePS#*RqSAD$xmj%|@f@AF9dGOE7% zG+PmVegDC(u~}UZBlOMeWUl2Qp{Yh+)?JMvIFTL+L4m>!=y==*OzUY19ih;iF3mWcd7gNH)QwulrKcfa8{3n^X zCzbKHeLbsPRM#R?LNl+C&MRB0hT$ZlHMzYEJ>+Z6+zY9$ABy{b^1Ouqm*=JDW8)p* z4(^tt>jOSryL;ZIND(pKTf)ejqGG(lVn_i25nf@W5Wg5NznD3aPgW1SHA5u-ojZJr zNFhFXJ1@9EKtvE$)a5fWHi3(g0zz;Db0o@Z1JCb2?w-j1x~V?ujz(HGPH;cI|Lj1; z#oFGE&(P5pyuMrfB7Dkrj`j}TsD1FsxjDOe>bYCrvx7VOI^MI>Rg&eCb@cYqw)2#8 zb8&ZbwR81Git?$rf*0xThVmkj_pP11?EYUIO96hdo1*_$&vJWK%HhWM<4ccJXODEE zJ`H7$Tdbs+!Z8%acVh`2$6%7DQrwVMk?Dlo`dO{3M`;FC1=KOd3z{$~Zx# zKvw}@7`x_);h3*MnQWBmqr5zZpx~c_Qffss19|(wl=mgXX|HWs3>>fZXL*UxA^z`v z7PLDSe{XH|*X{@1*y5@%*xcLG6=7gxY?^g#Jj6gC0@zd*R#t59TueXX5*`{qXQz4pDSRf$tsVxgp8t%m#0sY&~se!V;MlV!nN-o!;9iaSmY< z=$zxL$~~Nx+wsL?>0DIoNu7& z7Z2OhKs6C{(OvpXBwXR4qE~qhH!w`j&*_`n7l)_q>}zq+ortD98DCY|p^mxP*{mW* zYb}S=j*VdiLYhoPVrVgAB>#oSlRtmDzYvBkGqcjIRmsYRtC0Ozf2&0B;IiZUm8N8L z1cD-IJ)A#>{Dq6xywf}NtQd-dS%Qv5?T(1qtJaE+Q(UqH)MPATu!Rd1tKTz+W#8Kf zO@`24dz=z; zC$zjA-}D)$gzaE`a~8SrsxI7`Uz)lYMOMC>NLqkJvqZ6!1%_^lF*V9M^ zzxpeaArMFgT};^EV+y$W5|aX~|Nr6N_0FR7xkkTscI>_aUjNnPai%>77dk>)yTYJv zzEWl1@6jV3jm6&S#@R|PuYiE{0R5?f<#9=+70HZSKQCgpAV8Y&7e%>UXAeb+aS_ti zDkdo<19LaC)V-5Sa38i76I>BvRhXQAcPP5I&-rffTEGnIWKY%z^ypPygjw-o_4EOb38 z)F#c+Xtv^M**T8%BJaG`D3DTsH@w0Bwfrb31YT^bp;{IG5D zw~c}+Sv7bP^JUDy`{4t>ttLfX<2Pf1)6a)iw)c{ybfDMNA*$DPV9Kt)a~y;-b)p3y zKTRcBha!d3yJYRirg2L{eca><&gIO1zqws0soShq|rA_L|ROp?#3xQGnN zK72}pY1T!D5-#*IT0(4jTm5r5!S);wC`lP&kYS>CLPxnCM2u=>Rb5@bcCpgE+%mS znT^N`J99FGG`2EXjK;X`ZnmCL)DK7t`k1z=J>^g?>8?ci34ZmK1@Apa?UcnVVCMG^(2T zXCaniT!{xlv}Ewb;9^|)blhRr54EW0OosdOQxnFJB0~9#aU<9NojnE9HirL`+ruU=4zLz{hk2lE=teUE(~WPt*cC?`QO9HCsZc;MVm)Z zCo5@qp5n(8ItJ&#t>4yd%?S|FS*gr0^WX{UOKeJUoO!-YsE4LU<0`cM?`gQBa}^4W zZwkZa-PMa@Jpa3ad&~+Mp^7oP{@Fet`&^k6C}uqy$|p8qr)|5b%=jArIS<6uROUFf z|C|Rx2|iQ3Saka5JW`l+<;i+mP5wh03qrc`i~aX+0@)x*l*zheVLvN4T!qp?vfNMm zP?XkoDHq2Xx9hKt`UIb=kd#$c=!FLA`-aM7$A16oL=bbbaXk&g4)UmPUg2W?$|_qo zy^VUq()_yeu0+@vsH?uhRipo979>6w^Wzg!;4nm}eG2nl$v@13h?0)$p^POh8sn{vYq6Fzv9Ao=#+68vdMphhy zsS*jvuz${qg-L-G2oc2#l}rlsn#}UG-&ujN&E(=l;i>;kBp@X^nKJwAS}Zu=vl;og znExRX+XxD>WW|{8&Y7t5=^^A|#t!)QFCtkG)$RPaa_!B;=H5-zais|8c3LnnFaUca zICVRJ-LL(=zRm>%icpA~OqGubZ29;vNrDKFiJR>5mtcpx6oGdKpUbE#l}BAD%-<7I*|j$ef{V6xMiPFKEo*kRN`2qo99VU>Ui)p;Kg7Q8yYmCd{|QTqYw zk0C(WD-8A=tm1~enLhuOaB9sgYdx#8l--L}L5k?gQ^%5S``$(oaU3hR!sI!kV{u4m zD9lg@eR}g@UW|C;OWXi+BBr;G{QQ1$70#e)XbY#t-v47u zi_fcmK4_8yby7l7hXsUooN`&@86LI?fktsdkBi0r5c2;v8%{B}Q{6Id!$9T}Q(tI( z>C|{tNZx*M8DkIoM#P<2o@FXx}+36e8eH2bIKouD*!f%@^63?uotlxQPa zS--xmgW{MmrUt=2_}YR z;cAx7?(JKch|m_%X$RHF(uvIrGM2%Qs3rOa6!lmlS#I%RAX3P2^dirC-H zsgdpd1w;8b3&mWV60dYSJ326cV?vAT)0zL_pY>X@_8eF@C1Zcz>vHMpTfM7mLYp3s zHHaO*`q0#uys{5g%Xud59@x(hTw{I1X+1JCT3-eKl;dlL)Q|<5yx7RUw$oJ3`LhSM zd`!_K_NRYkD+_B%v{{UJ#nNHgqVtPIsqCiXl!LiaN4a$Y!M*Px6Hf2a+H)f|ovuCA z%B{$)%qKI@{XdMo1ymhDvo4CeZ6vsR2=2ihLVy6l-Q5Yn-915rJ0ZBcySsaEcL;3c z4atArI_Ir>?s~9D_ROB?neOWPs=BPvsC}AwQ(AIV*L2UsUXZx$tcha!`6NT52<;Fc zwpEXJ)l-CYf<8za6*88$(y364I>3x$YC5Vbb1TR>3&`1g>sH;Wl6@#9t{f=H)KFw_ zA9Q??=NsA2(RtoWY@3XKm7!nt=G(4co6~ODRaXx5BGSPdW^&VaB9c>ks*ABsF@0%T zPSNEOq3`l8qat0c7~WUjJ_7=k3$o|aNgiTdw#0j=oH&ZUANa(w7`0~_kms#L6-b5x z%LuUY&{Ht5jOw+DexmQP&H8E=ZB*S}>e1E+F1E2GOs3c-SBSGonjAyAFQz8hr_Rv% zg_}t6vF&@V#Sj~4too#}Bm2XOd9-A%WNxKe;6nTZDx|My=sXcvSzQ0VJ9P_z=G^tQ zv1~Pbu1cjIQ;&pxZ|P?Yf%uT8_|P_l=Db$A#xN(x#|og@xcO_sTLPKs4_QDricpc{ z5(FxTjvPT_OV|nxd&0>YvEW&|yvgN_{zkz?KI&kt1aIRnu)YG_{OS4(sW}IvWAl>D zf9L)OKH`+;yxW^lE-+5O;OrxPyZi8q3U7Xo$0@npfMKOMS89~x*5)Sp8pziu++na> z_0?o&{AC3=Yhp0*srX5o z*zi}J#KQR0A#8Ngl`q>RQzb~D&OqUOl#j_XL^cVM^=YTxU&!QLgU`B7RoFBgm9zX_a+XBS` zrj(HivFW6Z9eud7C`{8-0SNKCvvbeb*Xwx%#IIdbBpv;-M{PhxGmniU9av$axARHi z?(CgmxbzLw&!=D@Q(Uqx#`6e17Apt=6VStM#cMnK@5hpV&%)TZ)O6Pi{qq7v+e0c-)a}nP95P- za2vl*&&bHo)z-F0gk~Cciyd&g`Q+{wr*<@>VtOif6)WFQT*p&H1=-v}WZeI~YBMu) zS}bW{;=UG&w05sJ{`>nc!Dd6uPZ5vP3OEcB(l$po?!Ue|0_9=VfK&X@=ofk4c(a(Bkxvdd_I0DshpJ|qL zi&om?H#Lw}d^#yg@}^v~0!XbhkTE9dyWTNco^iBNTs9dDyMG9G1@;JhD{>4j-;s5v zAAWq8Zy!_f0+a>*qQUM7~0*<4*k9xt?iE((WOEgc)Wb|bU59QCWxA{mUdG&@Rg6vA6Ay8zPSAGs$78n1{fVvwY%Sro2xabejPNgDbRXqiO7-OxxG*T4dqBW2Ha(0g1^5d+(KC zFtnCL3@g=LX~M}RIyCOBGaOZljpujcgi0%M1ik?)d>(Ok5z*)aJ^3VTzZTXwS%w#3 z@z$ehAKG4Hwu_IP2l&XWnd7y^o$-%AHMcKf==tEUC1%%9SxvV;i?ZXd*YC*ro_ut? z2}UC#BJwB#(>%97&02DvV+M!pNnBk8Lr8p6ij6IYc#f$E3{pbzx!&u zak{EON#u8L&9Apk?o4!d3UteXfg67U@|FV%^Hx{Rc$h?S5m6(Eno-%L(6T`}4o|;7B9bG(IkqU6*_n3K$*?gg z?`J$|XuR*zo2rNin(PkFM@VPxDmk#2n>=6Hz~@4A*`*hi=aWfidJ_?p*1rvw{l(w{ zi4d}3!zXE^l4dr%fuZPiFnQ=66E8j-G) z{5GJ8XMsm-v7uVVCZ{FhJs~0?-{t`*aTzi(i)c*ujjVG39y>x_#)c++4jC);oVV!X zAwo-z!S{f4;YT%&kMo^~}W8~Jf40QiUM1T%@pQ35fvy5+cM zE4^wYWFqizVP=_Q4G7y&9Gw{^0=4&RtP=lQ3)W!j5tgxv zF$@_Hkg5Mn73yWx-Q6u#W%3LL^!4Ak?SFu54s_nJ*P;tRwE@ZKE5O#)BN#0&4aC;0 zv;axrv+e1C-R&#B4Ag5afTZD_w*LFO_7}!=gzaq%#a&=ax74zOALGDPAd{i@s*Khx zA`8M$)0_I->)(-%P3j)$DSn3s*MT3f(S2B7rt{fuJ5Rp!jf&CyXVvg@`t?ZUSl1tr zr!d3PrMhjN^o0>((N~@Dq|&24x7C@%>ZCHD+gu=O5XjY)KC>%CG0~>G0uqCU%&uNH zC_U}}7L$?oX4~xAN~Kt3@ZRltnI213a;mo=lH%0sT!_l1(qctmQQwfUdPjx~KsDPt zN?=VjZslg%Gl7f`8V(|Q2@m!Z`}QKA;n8tNVONzVB!=m|BHuP$ zDKaN+UNCI|cwU^}hiP}6lSx2?RnvNgqG7h`o&mA0cfd<+poPJY-%iR*Rh-T9v~6*u zR4olmF?Bnd=+mBo1xRAR zII#}$#gt~2=t)NS%0Zw~Je&9C4klKt>5xfw7jh?7DLj^c!koh;2IKu>)vE3C^iLA_ zBXbDYt_B1$wJB+k2WpNkQ&PHop=zzrZOgj$vfX>rQht@!H1(^!K zL<$TSp-sNCF)-GogIp<3-ah@!?O!l|ePl9l1!O`G>{{+O1mH^sM6MLR3~s>00sy*d zF`s;DCSaJ2MBC81mt251%8aa%H%+~m>)5n6a@N)`m+NUa;L&Xn{W zql+RC28GuTP&YkwUrAB%g##k~VOR4y~5O@^{$V3 zr8-Al_ziKOw_27k9xmkekS@I_5@1I2c{LFOaOjvuq1&}Vf|@1VAO$^;uu3RLuTeh# z^n~)Ah)NTX)Bd_$uT#;87_|e$$Cc7_97HSn?o9-+AU5aNo~$`c5`69BZUh8TrED&5 z>ZrVef&l3E;o&dM(!>oYYI-PXB6=wMp6aNA|5%+yGX{+*?z88Q7WpC~upy}c`!Nqi z(kA(yTP}5A2AfPIv;WiL_!dZxe z0mDR*YBBxlHELFmx)ij1Agu%n9CR4Q^Z^jyeGTMi#3!);&MZIKz;ud-r)QuF3N9i# zCOMU~u~ubn_Bsw7Mjzk`xJ+oAJ^%)#gh9!gMnc-?0Z3XOz6BCcs>)^*9b(`i zH$c50FWSKuQtUB1IMuoGs3YH_}UG0)FSt}1cl`$b2y95Y?{p@4le6<0e-s?z1ME{*5 zZ3uZbf|;4H-=2WC?%rfMLh8c#$3R4#Pjf&@1dtc>gtf>FGGzNs0B@8TJp{1Op!+F-(6o6!w_wTSEAR0De zu`mAo`I*bKLxxFf{Nixb#_a@_k=NC9S!*BlzFzAW^ygOt`y5x&DRsP*ROB9rWfZ#F zcE~I{HWH!0^?OP{gy?I-@aKV8FNA;GQyhm;cGcxiPI_?j!w=H(A;V6&+?z8q@=*u> zpl_G2t07K;YgH<8-GyQAmcN$Azj`-KnU|~l$R4&B7r7WROZU5|8%R1KI1?s&QU4&R z2IbZ#Kw!UEP5*llYOt-wr*KWS8S#*kE$<*HN}5;8ydeQOvCPkzwJVRcShPNxZ^HfbSXDayeG7)9$J=m$n%u_= zMPP}BO=4f{b{5r5;_2ob8+6eGI8z`j^xF`~Pzz=gGZQ{YO#9#8GNM+FrBf4L&aQcO zCP5XX&svkomGWb|Vh}UrD9i-xHw0B+lQWz3QSDoAr%2Xtq7!l&Fc}XhAAdt3K+Nga z1U#l_wu+a|W#$kP01iy)7b1X>8!XgMmKBbRcud;ipyMzo&d>&+H>f$#>158TC4xs+DfOu@kJU`&i;11iM{_Mk@)r;>N zG``T&`GUoGpWE=@ThrvU3UC7K=-c-xzt0&6-VgmYUj+ek3ib8RBOd|G(Aa2sZXG^R zj7fuXoI%00jE3RV5sJO}qoBKNaFd>*@VG#iDVzDwjh+Lf0&Mv>JtBd3Kk^&eB{0QR#%pD%&0D`!D6|RPWaD})B zxNWNAJ;AI068PW=`u71iAOhTvK&|(6Go6SLYzhf}e*PIhQ8yqTlN6|W#UY5s`w9$$4^Th@#v(-y)+oysVjF+aTyy7AK#Z9N4tL3Qg1v zAK3H+NYgNKfvt#;hkz~b4tS=dLH79c6fe>sy0r1SsWDkUa{|0!FbgJVgb4d|CR>%QUcEa6stTxKuAmRWKbaa0Z%h{m7#f| zkCYNw_OHSQ=HGh~0VE*WbPd-hMkPZ9ZsO^>!0rSPmf+tte?juKnSYG~@C@Ae4>|wm z>+n!X@CypoX_jIi-Xs!baQT_v%YZHs)5rHLW_rjZI!y9H#gqcmvViPurJwU!uOpO} z7YgiF^&#KU$0t)#4h87@u4_1tF)=EyNP1@GGQFsRs-s|ITicw#Z5=&35!&5@qjNG{Y0Ha|Jr!169AnPeaSG7`suq7>seXU;Wz3$oyoibrvaLxMYF;!N07j zE|~oqi=m7e$mUsVu5+FSe8pJ(;<)G63&vj z(qVINK1};LKMET%i8q7dcWG|68q?=|rOD{&Q8aqdfkhdQPhZcNsR2S(=}2vj zok$o^*(&Pbv>hM5LM>H*<~kDLwt)`h530vJT&=IG#> z=UuF~Zo)aux~Z;Q64G7V@Ri8olz&MDZI-@t6dsJ07;AIi2xiQAo!{PblMAos>f$dk z`M0r}jJW=h6 zb#hLmm5iAaw)IDdaDbi*_*c)V|1k|MyZ)VfD^U8%`8}~uA{o%R%Q%YfY$P!Z2_LE# zugwtu^2UHncx?42li^I@F1obKe88U1m?`%Z>s|$@69A#MsU!xDRNuM$ZD1&gLcCV9 z7TDWD_Aeg>3P}tQDh-AtG3yOkguikir-Ubv7p~#M@gh_N1ZXfh@kcmh{g11F%bfGYqF zyqKHhVN-%ZqFw&N5gqxDmX}V2W-$N#+_%3xds!Xb2m1SpuS$_Ny;(Yv*#FD;uM2>@ z|0qry!2kc$rvJu<0LOj<^e2k#^BP2PhMo5W2Ed5^*aBSdf5)ga7X-+hBmmTa_>eC8 z_4fpz{{~Z+|M?o|p`2f=k?LQCxs}lSNlYoW6KTP_Ed;PD?{NKPZ z3u8Ml^9v4A%zt1~m>e)$0EUv5)f?q^|22e22Ccekbpx1{GU0#b>f1Q&XN7?Jfu_|K zXZNpGkO0z0vf>ArhwV58Z}9TEyzlQnul1$?rwkxsfC4YC0Zs%`(I?y6;@+lDiIfFE z9;Zr1EVUu9OnNn{$f~aa6#Z^d0JR+=%~3i$`6JDxcDlA94-p#^5Dic`vUde6tcHLkG>W;Vr0cP4;K%3y`#CL`$`|RALyPv$W#JglhN&uSlD`+m>jq60IAS zL96GBN2%v$_@8JLQoywQFI}VOaQTtzjpQHS1kbu#h=|7CT6k=bNo>An)_)JCs=+5( zvN*6_muW{u-^*th!{R%|){fO!KhUL5!1=)I^X(9dHjzfYXuge_Ag>6e|4+p&;IcjC z@Qy$R|DuLpn7t6?+$Lf}DvtZd!}bBlUb~*URjJ-*KcF%dg6M}5#+#Srv*0671h3hN zRQdQz&jNBkF|xY1sAscWo|gR{AW%onJr5oociN}DRK_WUviuOnQ|JU`BEfXZOFwk- zc5K;s>B3G-2IpW_jYd(mgs`A@o9PF)V{O=KmF@5jBwVj$eG=gv`&T*9(>nI9U(qHB z@q6=Wmr`9vDwwmOGjd_pC0|C2sgB4FQxT?2U!DgVoPT|EhH_}E6?U2r)2SxIg`EX2 zPDJ79l4b8-5gw5a6BDpyq$5}V%`vd`kIxkkrYdUD1w&Pl5jgDY?8Gl(I5kayzRGHBj;rezFxb7x{k>508*pvhLc zk0M`Zb?QPzZX1nj(Fvy>M*+{ua;+|%*+?sW3f0ItEEUG3iuc?{3B_t%+PA$|* zJuPXKD?#;fZ(+287R^sz3$;PjQ4vGUCZlxVzPa^BLz2H&&_+(@7yIsGu#(4&_9S`R z^jatTxRS@&!$)^6bWH{3xxJSwDG&Fcd)5XY$o#IwoBs`rc>e!^5j)5K2aI~vZC=6X z*|y`wk2D`@_m86|G!pa|E_mW5RN1LhvG*vtyRL9be3h#%;Jao@daXL@njbri!c^h> zYffiUS4Hbs+7p>~@@$%0VLGmq;8eWpBtXN#SOTFU1jGph}|( z3P`7barJ8D33hHuCP{)66_<$Nas7R`WD+U0n3!gdU4+T&{Us&GbACQjS`8gf8XJ85 z-ZKkrU@2Zz_KC32CC4mLd3ItRyQd1)ulZL-!F2pY^6F=lzN@$;S*)LPE5no%%Z93G zpD(_V6f5CQPcKlE<`(aqCs?4<8WpPs{C{cRKAg%~d~P6y#;Gi=Z9^V?V_~r6fSpN?*j{^xJ88 z=Gf~B#z#Zxh8z0hpV3Xib77|2Ec;i~UUtNakx(Y0^lyTr^mx44+M=+?K|HQ{R-{bqG9I@f)Ue)4UZ z)2byJu?Vz;NG_MU#`Qn1LbdCoS3j!3l!f2Hmg+u=#|cGSJT4O&aIPVs1u1c&MYiS)OW&dfQ;FJA<(kk5; z(pc;H(MuxSGDzGjZNln}^5^d!u6y;k4UonG=Vt72-D5jGi5!a{ zZ0IzLe`97P^MCgu85E40O+ks@-HWG)I8|1nJCzGS!t$TB8Judw^A)th%=HMmNcNMe zFv@dHzj%}GPw#`{CB|NvtsVDp;m{k$ef#jymxgw|kSePQbA<^Aj`Vnf;a;~vUNfxL z?9;^4nj}?u+vvoJf3E&%=H>Lsb9K7*j0Y^D~zYk3WH-Ty7Yv zd?2}wpk;v5FA6r%4dw$^@K2qlut3E&$Lo95sXW$}p(|XmD>fbNzWQYybEI@P(g_U0 z4%R`qtp;pf(WWi&Fz2)(2qx&}nv$u#&}6LiyjsO2F{2{NRfMU}E5D}Xeib=Si%B)b z348~=U46Sxb?kCHz@(#BZ}|?RkBINoQ-YX3zfVKJfdzOdO{|%6N{~I9x z9}}`9?Hp}@lrSf|FOEhgPC(w5gsZcZvNMoe1$>rL<{^89bfwo!Fgsgk;FKgQH;^vI z%JT0la9&i@&Q%LIVj<(@;9}zCB4cG?;bh|C1oFt_44fS;UcY2w;pSptf6X5Qet`p4 zPTtq_G$4QM|CYPO%FD|8KXuj=6gb)3bdL)1mq-c%37^aq(O3n-%I`!1vSb&%;UX;C`R` zM~lX%ojnEaui&rs&+9^~f;%vnwi+v~tGYt$#Agm+H@83mb>>W=#$_Sz=lGS@Jgtc5 zjOkHaGSAk+Wucd+J%yL^@}@P9i;0OMeYM*?p)W?>M?*G^&1)0DH}}fr4c9(TF;{n= zFH7@X?>29z8IqTmp8*@*aiNbtO(Le2qt0#Ny7Q@B;PM-vu}9=my==wZ=R%!Rg@LDt z%musoR`brgu_BOX*eH*ecGD%tp7vZ@-Hb;TnBcVZ_n>t(!5~!+lYeY!NPxp=SubiViZKEo{N|;Ufcwa$ch#$HcF7~k`Q@;{1h(!f&N?b_*}f-hzudil>wcSfSS4smy)(`q*vHs+N^+P)}9}g`{|p=#6XbGx8*a*aH`#!B>3HZTe4NEeM$8CJSv{e!uhV(8e6TF%STZ! zqYobvL+>qr%~s|0M}2nDp!~If<>-uNp`Dkk0J9r6KEZ6a?8auU46cAZhl{?N1^97{ z`T{TT2BJ|?Gl83-{hf*Bsr`Ofc861Cj+B@}qyR~Bt@rW*7M5tJ3QJ#xLfcn8R?6VB zhV4C(-o0?64@QXIeBz?v-5ks`1zvv;Q%`9V6B?#O;Y^@gd1<6| z$C`|v8q~VKdr<0$zsY~b-JCOQ09U>2ZNR>5&3r)5fsIs;CVn~!c&P2?S(VcAS@a5s z@m8Ph^|+1mw2Z3j@Hd? zzef)7^2X8i_P5gy$NbY!MhOpW%T~Ws1FO!By?5^O_gSJ$-owq$Pb)Q&7pF_pPu(qn0mXnTPbk>Z8u+ zoa$NE>-vN{;!B48e&O+aXevtRHct*-QN~IyH4-i{KSbW`dc+8lNyU1^r){G5#6Hoc zdp7l#<-q+ZILOTJpzG03^*FC804oGoL3H!|`N=T4J{%R+um%I@{2c1%E zXoN)^HvkQd$&Bock&Tt`{))@ZoDG_E>IxS{KB~0b(!=6%_q>=BuPq~JjA^Ft8I z(!So!tUWEZ?Dcq?rB?)~Z6aBOWH$e6M;~7{A5;*b+SapK>F&K;B>PYs%lIx%3rep+ zvMyiE1dkF$0bbZ?LAY?DUpQJD8o%hFk_kdb7ygBIk;|lS7U9;{F~;46_Y&P zlzHoXDeT9)mu51xTqexYTp!v#d=t`rr-xfZQ{wx6G!0G{2Lh7)yGRG)i`MTl#mG@b z7gIvV>2Wo@`}+w}s;$Cop7C&hayfr|pQ&e~kf>IV`@Wl_=5#pq4!2t3^JI!u=0Wq< zE%)#m$rzyp+xg7cmjDzCyo7ev`+2>M4XKk={#Bpn!^kz}Of+p;Wze;yj(*ObmwQd$ z5y48}T!(L_r!Kz&n>%_p-Xt5kg_{`7Y}Ge%5{{Yn^b+9{5tjHZXDY&+9VO|5yiBzz z)2R-LNWog10*{)wI5hRk$(`&l3Q*cvyUI^Zs=)5w&uz^`$#|bWDx=X4TSp*l-^UF> zpR)-mqb+trjHh_s#>&eS#XPVWtvnryH}E}PP^QQFDfCFyc<1D*3QI}_%q19={XjIY zI0A;f>CIyWhDo}}m&VGlH*RTYmos8sp8^8Q`pIhU)ck7dZl+L*Navq$e^KnVou&$D z2>-^yFM)*(b~cbs&G)f5Rws)jQ4Y3``!%DS!o_eL~Ej71j7+B%vZ*i z4MxmeC-n_KV*dO^^{)IGoJ}V`uQj>qyvF1tB=$AohjzL7P;48^32E8*L1x{TH&4tV zIrzpU`;JsgiV&Hr7*BRw^^%Gu5wSQyxM8}}tcNd;oEJ)`v$_3&lG!5%12+A2<{{7M z5=);rH2#ctabUHTYx1G&LYoGEZQJ=VsWW@-9pS`S<}fBEnf(GIBkRj+h!GnkuAXKh z*BP5`wuSCv)5&=E99&?#J0-7sRc(CqAn=mNf7z~xDqD7=eVa7u@iN(VOjCfEp!Wq$ zCN?p&ub)@<;!)u3cw@hZldyPXdMM3C`M9vVnu@>Z`;U8Ee$KAdX+hUx$)CEW6dCZO z@9x)(bh6#W;fFMMXjlgH-Q&5{Q&RDjy0hF-&c7r6x+6KF96H{Y|8zy}q2I%4esR0U?iKQw!;26u{!yafAIi&RrHeW-J5GY=*1CP4tfI${%wG;(MD49lNt0 zP^9#lJUi0j{Vwg8z%OZ?= z`_|q)-F_jc%olCWo|!txS@h8H*5SQ8C|0~|BO7)ob|ZafYd0v@oO%S*$9NP$zk(dn zRnVnc^aZ^tIs+I^5Hk12rY$hD@cv+@Huqo5w(@*t(y!}a?%arr!}zpmZzzo#pdjmW zm-}1!tSW0g=y?B4wvZ`0ooHNdIhbUGi)CBRxq=G;gOQ6#o#LI=`T|*`=GiE6u*26% z)9Gcbt;i+eYvqTpfs>VnJ$E#CHqLqmH8@@{lFPTuEKA|K`ZYKLEB9h}HWD$c?vWQp zJ(-H`k-r(sq#OcQB^<{iC*MNkR|bhx?8AzRC2WxRPJqj|(IY2VlMX0( zrae?2j66f|4BiGdGOz=?{Dc9e&9L`r$CcEvuiqH!_O%Q>^K{*{sk!ebX^MN};UwxtJoZ+shsW_F9n8*fHhf<~LhI@lwNz!8_)-tPSjn z6oe4CvtY==u?pomcE(G%6w$`LUlTC0?N2r?&hV*1#^@;aE-7NmSNc_cZ$BDWaIrvv z#57SM=R|F+F(l89sTo`q&BAsk|I*C=iv8g$!Wi0}TstZc`fAdKwKyr%Siuv6Jy(tz zaIgi-LpW(Kccyk;lFQRwjo%A$^4e+LiyTvu57MnFeG3dg>xYl%!h2pFkA9oH zHT>P|?06ZQH4w<-;^?kuwQ}bluis?&Wu`;Ye#%?G{@};R5eN$z-E0Au>dT7i%uZ>U z;3t{$?+I>>$ z8xL5wYd~j2mBZ~{*=}Gv2^OBA;DK4(OuO~S&Y7zNCX&9e*-$zPQjVle$zLDKS$+1H z65QCki@penG-iB$)COIRO4ptfQ0s>gia+(%I(@0q55G)h0c%*Lv0lCU;P2GzxTk+w zhBU)tY5oKk`8>9fb|fHG42DT_P-(+?%I{oMG(&|(o5Xim`9KoD{&YS6zLQQZ{B(6_ zFVqHyh~gIS8VAy}g$tSw@|L3l8U>s`+IUJX(rb{jq-NYKaE!gxdkw~`^MM&W4f_P1 zaCKI)^zF7aMZWd$S==G9u|au)hhArtJVA$iD2u=MNW+H$zmFmBeXrGd7Q!%$&56+( z6dzpwZzZ`QKSAOy8* zKmCLwdnBDYMaoVglHC&daeRNB{Csamx0?|1Wx@;bQSzF#!w#hZ!kf|!@{uszDwZC% z!w#DWsvZ9iJj%#~P_T=rH(w2OVz*dD=AmYEP!rV$YGz?l%e-spiTXFkcaMU1P}ikP zq|nz}X(qIqWO}=jd7o&6w@VQ$a99I5*3uC#Jw$3{%=ZY1Bq)6MpuHI}J4W;xjgW#v z#=<}{Yr;AmwF07FK*^z|GCc8pyP1BI?`Gs`1_*y1X~Itp;vmm;6PLWGm!Zef8tI{z zWPZ#-0%SO1q;XHIsu9b9Pfe#5#_`#&>6sdKz3Qv4XWA^oC7+(?iQWe~#E5Jzcl-f5 zxdn8R#TKQ(*8%9{7SPFspSUcx*hJk8XglxA%7r~q<|BW*G)UT`r7hv$sX@NI!RobG zS0)SDVc*FXwtcs7=J7%c*{BGnBMa%zKqgV!IG92+Fy?8*kvz_KL1HGh2bDJ)93ms! zk!s`jI%j2lHbLUdWnq6@ZBA9y(HE59qzvj>VZp6d)Sg|k?prW(*fminIUGue#_Yps z*feMmjdzd;A5<;+fNjB57HF-5IN9{~)Z&n}j^gOi-sM_4>o{yx>}XTf1`b0`CHiTt zWq>%oT3)hs!mp3nrduESv3uM~2nfsEjYdDBs?{V%{r)w#oBnzu{y6NN2=>;8k%kpv zj;U6aDKf=w97aj4+PBI<_11Khoww3ODHk19lOJDSVv(-Q(q>IC>FZgf8x!&218$&0 z{>!eRs@j9ySQM@w-BvhuT&Yq5{dl3wTO&Uk0;O817V2N7Igt!XBa#j(R##(AZf9eW zdr($yJz2^ERX2N7=kOkwE8Hw%i#EgG19hyxYcr> zr!`x=-kz-_Tzu4DDp|;E{R(P}(jYIX4ST3Iv*gL?H7`;Hh811PGo~Wq`PhKXW-?Pi zxf_eoH22L_73yel;pORTXTPVA6R2rhR2+tJHXUxP2-*J62QMa&W$?Tg_=t_g$vq1)74IM(KB!bQ6rU-EI}p^EmjUyjP17rl zC)5zj_}hJMBVFpUOu$W}FfgeqWnU+p*9k^-iLovjpOSHffF#?JDuq{hwjju1gNcTF zNuRV}S8~&Q?k!=6e(Sm+F6|bSaH@AIzG87CiUnz>)f~%Q;NZ8eUEj4k!w!oI|NfX5h-F=lU2#&jcCVP$Ozqz~hV$*M7tcyrU7Nu!XSHnC!Y{N88Ru~-~I&tPc7B-WF#r&YsMi_n$xq`PALtbc8LUlvL(K)aH0>r?WJy+RBzWR2hE! z)#Z2A@Q`ll7*c6Cz4fYwZM-2>=SKk12GTE5K3uJmU_RCks7>m1js z>9PJ%z%@9DJZ*s;i9T(>(wgqBg8fKsKdn~xd1B@Q|BuH)-BZWe%c6fZ{JG7BU9F%i zD*m>mSRFeaGEp!MJK_`XJEIIgI(9$uUJkKN&L6_9rq709_H%JF+8aj_I9Mj8EP2Ut z06_gtA56YG8A>J%D85Na-)FEtlEhN@VE1#MivE?cUolEC&#mG6vH*?FuUL<3)&jjB z1ZC!|1o?05}F6}M6>#zxDQxv)fSkV&Gp3~Oo8_g8GJ-u@NSq*&Oi z+>5*|&i;yhrnwEjYTdxvYpv|e_AxxCp71V`YVnijw&~AC5r{S??Nu{Ap_Pa?uz|Sh z(7llh=R<;Jzdmp3RkLC4Qe{~RYiTKuihE^)N3#v+|F*Trp9Dbq&V$S1GtSi8wHb{k zzE-SSBZ1@cZmS7EAf?Gkq$RiE42Xa(?eBf6uM_E}Z zQXzia{#?DKlDza^l0vr?Xtq~dUFvQ+o7thQRDPPIAa7U&$(eR?OsrkqpJE-*NxXBm z=d4b(P-{2MdJ-xli~^)%rO|2`t)y!zzL~e|P3<)$#+trqY^HtFq~!U{sXFTOi~U}~ z1D!~ZW=G{&NiaS`5k-hltk=hgQRJKAuTyXEx6?0%FQX$(CGt(bj3VA#MWa^__JtUi zF}=wTJL6hQsMJMe)>(5O9Dycp7@teE4Z-~+x7JdasZYmshmEyZ8alkIBJWugxAr*= zT;2nOxBcd~a?mP60nH)00+bC3F3T_kSKt6ltB6U5#_ zLvPz?EvhkQV|H74O3|DuHlUr_TESVtM%{!m{a`05l2Q~vJ~$VI`x%junYPY|o+F^g z57L5b;b;<~wF5dvN5z9L&c`(JF*LgU6Kbo@{msDbBt?WmUAIA*y0-+@7>x4O97)F9;}`@VULuu2MI0>X%ARyB~FsT%{6lo=mR3 zo{KSCL$Rb?`PrHB173kQ8DS2ERvCI z9ua~4V$W286+dvyP7|c((;;t#cg-LU4IGMDH$oq$p?Nc^OOpnJe~@_00bJ1sFF=zN zdIc5u%gm|n(Rb-to-Z{+c0re7chp0Kll@sOtQ$x>QTsT+K`uV8h#RH5|0P?M0_79Z zR{tM4GP`~|NSoH~Bt=~labdfQbSv!+&vG0Rl&ikLGY9#&juu65cgG_p4UrxX%hqoP zT$Y5GV&8j-Nm;5!PcJMMbZJHOQ_~V?2^ArmO_CLgPw+|KRG+G58B{E`SICQ>s)88o zr~g#x5bf5xVd!o=KR`OW<1>i-%0_TdT!C2iKZ3*C*Nd1*fw?a#-?L+ zzYXdu!vltWJ)E|0GfpeSUHR094OugJyF6PJ-US#!lch)XJg!#9_} zrrAUbMN^1nKDaRD$=)0`Udy;{!Ob#hr7yW;wQKl;(hPfg9~@GqueOx*-3}M!4%`a9 zRuDwrrLizbd^}(?mEb8?WI?8xgEt+7E#_w`lZod{PB`~|ll>q&1ygqrtw7>WcL({A7mKbl4b3M{}LIB z^jq|Ixfz(FxiNtCu{K~<0az!f((XT-3hjGntT!9mX`5EHh0$>A-my2RW`IXiq3>RHq)Vzo`(4--51O zoSPS0u9ZowcXpYB@uxPGE?!KArg`yH#{WzmE3)v3er1XNAvfg>PPiwwg_&hJz1g} z)*=WlHh_Z00Z=c~Aj85afQ{%>bMx1XLXO+@>j1crJt98w^_=GqMOm$rc88qGqHyQL zblMwr-~ikQc$G8lGUEO_x2NEi#pdkU@i%+!y#HRg|-G))uw5 zdhnZKmr$J{8#un`gLaQlW{)H{KG=V5>E$3st|9D63z?lM;C;dBjBQwrKuPgJ>rW`x z*b=Oy=TF9e&dD#yMa}nEEf9mr`=v667 z1#DKoUu{;MVEm_Le{ONAa{^UY<#ujYcnIQLFkTDa7+B*P7w#;+s5%(3e${xhbMh;=o3Cvw6bNschK3{#0e(OmQ1Nj&6(CtkOA z-6MCGO~lu|vt_x4Nt4X+b$@vMQau_vi7B#D-K&uu_mFCSQtoj*_SS&9Rx+N5_c|($ z;qM~?ru*GmzSY*#o zV46t()>7DFGjYWek1cu$wU!?GM{ZO$Y&jG>;b2%4I$(X=jB3*lXW$&`d++pXh`2Z9 z2Z4HxRtrei-~=#lh1SaYPUo(}Spg(3;RHyo36Ok_V3GkiFyo#gHY!!L_pfCeQpkNq zvgntV|70cQ*ePFlWQb_3lPr|9`92!aEB&}vP)v)*-0WdVr(Fs>ej)I?wAcdba{>JC zCN{fHLw7PJ-9I+N{ag?c^&RLgi8$E#WF1><>7e0`xUKZ)aEa~7FL3;A{|{|%84yR; ztqX<(*T&r?KyYo`9Reg+aF-CEaR?Tmao6B(NpL5)ySvj62<|}x+~WPtId{H0_srZM zGySWpc2(`QWyxN9t^FKL43ZxDU(6YAzj=>O02`bLm8141mMSD=(p3We_2ho51wQx$ z=cEcC)K4+QQlF3+hEnAnq;3v*yvt+>Qz$*(`IqS+%e%vOi-Q2C7wa2 z;#X6qSFf{9XPChVEL9Ri`$_3tzb)oL6qVE4?G%qwU=vOt_m*vjK z42Z|!wW8<`Arnr zT@y`kKMwa~h)rRci+k+F%ly1ax{~)A1II#`I(R`*Gf8r^FNs1Tq@=tf`hky!)dxQnmQIwu0pWny1D~A<`%M9$%ux5TJ`^_HHc=k4}TH*RueWNC1Y6h?77f# z`k$VQ%?)8VD}6`aEq{W7$=PtELbX7^qmt5D?*w%01*BIqrk_Dcvjt9vcNn4bKTY)( zAGVXV)UTPPcAuQ*`06$1_(qhHQ}{kC?-rNZUEY7t+gMJjE0O1~`T2zq!Ep&RW-}R7 zC!R_kFJxXn{Lz3h5s99eASKa!5xOsT%tfEj%mYs%(Z%4NN<-lx-88(Wx+M?qX8+pi z-lT<(SkLGPalUSQiAqV2WJ<03#aksDsU@hFjvEU|HV1dOk@-)&HN}Gv=U7Q5^k~}C zJ*5JJ!VRZgrOj=(ZDgKgvj3ND6rA?0F74-}3f{c?+ocFrNv%$WHm&|^&kmz)TUYdA z?=s?UdN|VQ#0q>ACQQ2`v!7%0U2%@)PwS3I;Q2|Vzw1WXwb{(8ekf06mTvdrKPvis zKVGX;tbAw>5z}(>#Kmp&%x9lwV9+Tl)RayOHBP<4#%+p5MqS-Ez$1OL&qUl`LSpYD zJen1qj!wZA$*#~@h0s(N7QBj2E|YPF&4w7bFt`eJqPU=;JNz6ul1mb^SG`Z-=mGLb z$3Q_7@3IiYXxqT1`c`pwy?3}N$adq=X6B;SJ2(GoStW3SKCol@v&zAXFPcPCSxS}a zZmVGKjj0=tt?(78(s`E?KqwS7ZtVRP3`f@OJiuC~qv7~jLG$v>U2JFv2?QHkXEGwR zBm7tn{*N$CCF)z6m*lIzVZQj-yF^*Z664hVdWYxcNgG6_aY5(_H#YL(b@5{9-4B6R z%AXXP?!gac-7H4-xxksy&GH9Ap$f+O93xmL_PzBll^t>k7&v|SAk&&>`xsy!EQY7inG)~fDyq1#lwQq4-MKumU`e3z5* z(TN{$g*-2GbYVvqO>Xc_m6}X`Cumm0PJq!fP?v}0uMZeKzjP-ota_B$YT^@(<6_*}Gw zxDLf5$9R~fE391`+6$uW#fPvHD^%fH#9#Ns9tvyXGY@S;h6W%zxf)R(HtE*UPC@BB zK+p<35VUeKBHiL{HG-}lBl^|mU9UwWhdhsDp8m2_7dW7nNbyf%xc5pN7ul$#lgdv< z@k_RrOC7y!)B1r_UVN#GyWAFARb#sEovR;VL8;>6WVGt-!4)2MZXdnh7;V1t_i%?N zkQE)%Mx~{kI}jQR__UE&poRG(&;DxrGa`}@UeU_WHi+%W)Ghy-56 zNSrA%74*y4qb=CCm+>6}anP7m*ZZ@e{XTzRg5U%i4np?*>cgj4#MiQl?+}PqO+yZp zGTL+5lRiftH)^Yn3jN9T+6ZDsr!+&h9Kjp`erHrqqtFKtthi{aDSVJ zpgi7d1}GfA^JYK*5k%d5bFr0XhVUn&$gTR}#sK;^1^B%O$?F1sLwaKSceq)8n!SL7 z#A_3iKmWZ5`uh~d}yLFM4V2}(Gj3=W$ip29BDftGrz-fCob?8IVQT5SH0 zJ!4kt{s2-c_;uLbrKwc3j9Wg+=g~+SP33_}sdozqqj<_b(ij4gPoqnr-AajrqaR45 zsA4H$h`-pvgGnb09{D_*Fd9X(t{E0+oQ+}*8&sU4B%H~p806*Qc=%DZJM37EKX+~o z6_B_lFp@tAKg&q@gT)LX)S6wq=mwOU;NxMA;ZRYA5iUgipbxT_%p+WRa^ECSY9e

    z0;8Yr@U@pL#oBv9t{&K&e}z@V&=g=|jA#5QCp3U~(7xu45bWb&hj_%hv(XBW-$}@8B>BdlRm`Nv%1jn3aJ{+C%8DkEX?mA}liv6vd z<836%oEez>stFSPUn;wKoOW8PP2Kc=g4x4DCNAuCM4p{f3ER}-kTl=x8!6`i7 zg3xx=-7KHC-0@};*dNit$r_?Dk(w%vR_#P& z))99MouOhL;%VeUwcp+NjIC;hohhBl0qpI7%Rj2DhBdBz!wSjO>X=riApS^8<_? z24XK24i(xGdQnh((WFz6kg{WxzYSb8r(%FjF6^i=z((Y8dtsbd^ANghGWFw);S~v12uQIkBiFR=RXu4sVol3{Z zKdXDKFOTNF$=D zYT+SbvaXH4iFWk*hdL2NoLe?Hj_)t$_H8wy1&d24k7m#h-+V@f=khl;iPZ`bWMU#L ze6$D7jpuo8-6sxCbhRJJ_eL@0LOG&AfvnqUirb6W;A!63JxEjeDxhc=Y#x5DRJdEu)OHX*eGW81LWAkWW9C$0HY< z-eB#;OGbRf&n&h$4`VBY&MHJz$WY5}wO(S2=9?s$7TMiE@IOvhoFgQhjeH^h z`%OVJSN?)0^jUp9%yn$kZzu{uu6rYasD2Uh37LZ>AsF;7UAD14~@P00N}q4EFVp#ahH z|AgE>N6!Bj0E&i_tHnQe-?Q1-ZT{=}`B?yx#mvbD;^N@+9E{Hi#L~Y5BI%u+U8Jl{oT#|D*p*HG<3A@C z$G_lKoT<2Yxu2u%fnffBNdFJamVe&1=n-ix&Jq9e@;pG&$j>f$+LU{T>qYj(5kg=yUYRd<-hT3lxyBd_sw{V zli2aI+``PuI^7P-0xP$Gy3&Q`XyNg1<|nJhvdp?3l@7N8p}qU#w|520!tYC_*x@TA z{39^S{KZM~{r?D$w~=?KWRa^}9FC$$^bBycj@A4s6%XVuLHEasvY@vwCZr&6=i+AB z*Rs|t_H_FIhQ^1Zd9EcT@%4T^7g846S@ePf57#VbqS(k-H+z31VHJ#wvdoin^9rP; zH*oZWEaj3Y@8~h*&E}iw1|EiRsLF-V2JyT=N>VQO`LZ`_kvqCO@isp{SNcPK;B*ZS#mC<-~B0jG~D1MTZU z;t2Dr`cBW|)GV2Y8d2oQzVdrgw#l z>Hn>%EKvhGZ>HBL&M(Tf!&-z&`x{p?iaA|co=n~c?{{Bv$@{7nN$ z7Zn{pvC_3&o-0A?ijqNEhXE4j-x7s*xd8AfPC#<;v+?u%Tb>XnH?P2d zrU~(Ja`HY)w*R**AujHJXU;lpg_sN=(yU?Tsk0v{)ysB*4f$e1Lrn*7v;9&aXOND1 zA7vQ+=Dy`l>)y7Rdvq$qzUl6^iBCI;S4PY4$Xzu{M0I}@02`RCd3a0wr><*Ws17Zz z*36=omT-2}mq175Q@-G_`tn=ByvWO~3g@<=DoW!0_30h%f^G`oc8V@! z<-FqeBO-Thn}_OyaSuYUPOFCQH|>aZ;l#?k#0Vg9Y%V{c&5KHo2mYFnE@6W!`Ow5+ zkPC$%nH^I;(6*STC1K;d+g3VKA`jnJ|E+mofHRq-$BWVY?yYVife)|0enLEiAnc9T z%>FcE&`f}2gJBr%WT-M(?}Hz9d;&8kgrM?RX8%J`EfM|s7$nBIb(D{Dvm z_hkn`5)z8G32%b!HD2DDGh6es?DDi(^0qdDhxBX8{o@3o-(7UWRFHex%6mv*Y9}0S7O5^#H6G*H0 zYkzu?F8T4jJ3{GeZLMm2GZVo8UJo3DG99dR#l_Xg4<4__XMbzJbyC>AT2z7ZNlN%D zo>QbFS+1}(?+ufh6nhbt>4~P#*>Mib;|_BMtI#7e>`W_yGX3q+*9thJ1VoR_1cMSp zwagsSi&-;Aww#v+nGZvDZ0yJld5L{(6xk;aeUb`P%Q3E3GUMtCo5g1T7CyDCtw!PZ zmE!#{HZb*G9!W2fu-l_l310s?ZR(uDIvWQddzUdjr^NBf(sep$$m5eRa?VvDdM0Jal&1ZPLp#6ArKq8EEo z;3YH=!IRLQbC5;6xL{D!>|uM;oDYHbB$fydLkEk(}KYH6`d*osu%4 zbb4AY%z^KRwX`o!KC~+uq&FC-J+|b`kb7;B)$sh85>Or2|3)A|_ui|4pN4qEY@!Bw z^|MJrwqIYuEB}pQ5?4;*L|!J=cvWd+23cQy1mR5!#q!ERm{MpQOV9)&HjgLPHr=oUb(IIJo&c9qpt?%j&ZLzs1LzwWIz5bFZ%<`Ku zv8ZYgWMB~yNxW^_15j?0E-h)5_TLgb8b$ZLlezZE z=T~&s>oMA?C!7k{;@mng_!VBVFxT%aUevnj# zKlg(}Ty(l}hT?vlGgPrCS=c(B58e}1>y%xw&eX2t@ZL_E^P8@e*{4REv{2$G*Pg9} zx5}>y>xA($8C5SZG0fl51{w_be%Q`;BrD_oyDCbkRL{uZ*^bAEP~3shef*{=noHO& z@809jS#(t2oOHzGf=n;`ifObexFqj)To_+|6-tF3B!wnz`vv?fwxV15fUWK;V(OY( zMvRTxsfxjkm?$T*uj*$|b`zxqy9`W_llb_YOO=QOLE8%pCiTZqXjah<9}dpobZrFm zQQ)ny#Ot4{k(aUTt+ncxw!$N~!M+M2D=}vE2X#B^4#yR0%Qm%)pm6i+z5~As5hyLw zSeC@YkD-7UVLX$=ICC@TgXvNhX3H29;P&4%q&5Sn*Oj7*M%{?lf#jIP`7t8Ni>Lzh zOd|NURA?!>qVbJixDsTA@*;P$JLOIDM^wLnzGUu|UeE9q*d6_fzLzVWOcb1m6I7TM z7_!;?@R~LykRvZZ`p&M8}f`^;3PEnYP|e^U;%$}K7J`OsmbJLxEu^%uQN<$x`FS`BA) z(vek$rqFg?*N5%?-EAC48?#!6_>6VwP*q3;@0Sn3FD`s0bl(0@k&4~ZD*wfl$mLL|kd+<(I2owE~HqmxbnHCzLoB46fr+kF;=9K4uRx9B(h zri}e&20QymWdRPaSoU5P<5BXq8YAy=VPcgQE1mXq#zfHTsm37Mzs+lCCQcUj95sz| zqJLt>Z%0gh$+Xm!3jd47AED}xn0((f);dp2DfG5u2o4&@l(y>|I3sZ13i1!9;z;`* zcJQLh>CLsv)Z2BWO?)XTe10VHtd($rG+~-zD3f{;OP&2C^Mv~~gYNzgkzU=BAVs<{ zdtD={1U}~NXBQ_mJE_cqm7j?FORGI$uv!eO{YGoEuQf)oF#Jbj3bfS6D^CRra{4+k zsWs(6!*#qp>C;-KplMPQ1JA^_WZ|vx?jru2WK&UX*h0#L>7hu*>LfC(%ZNqkgpu+} z@8}7FIA!@~+xe0}3*E=*v&W@6`J{rC=|bj_OI*2d)7PyuSDeeB`2q4Y+`@#( z`W%#l;i>P?Z$E<+2X@a%u9}MJ_j8Z~wX*}2CCA|OlG8gT3nZg#9z=;29bS z&BA*_I4^uZri+dVW%5eayt-Z~O*7j5Qs?vv{=}mDSHCdI&Os* zKJ{rLcEfA@i-`FvBh8 zq;Fa0t7W7EgRtb=UGEfcz(-yI+FkwFn{eH7uW+vzf6rX$$NeA%2kVq8pZs;pX5U_k zJ0r{RBy3pE-q5Igw5$5g``1LGiiOnI=!XR45aKjZBXm;s)i+`z$d)?d7$N#+5>3&u zy(~qzemw`09ml|8^u0069@Wi@hHR$ZtF-*vzD32G)}CRKh?Rm(y2i-beVZH8&z((Jda<_#7UsT9TQ#KFc)`kDrI^;^YVgmYBd6}4mt9!wa&IszFvA}m$}oO)*hsxV3eLoUXlHHk6RA`= zTshJ6OS64cLRstaYu-44>K=Cwp`Dt|%W}8Nfls-Qy-+X*rQ2h?*Fg3ioo1{Lk0Dj6 z$?gYrKiowlulr(Y>>}iS56E!~N{CuWQmR=ILOu+<%#sVky7Oofm}r{5G|-AdG(sHH z@r>Qwyv`N*XpwmHez7*c+;i}S!Hah)LWQROcr634l-nuOhLfu4kXK9t;OMnA*vcq< zzInbP#V!}QI(N0dPxe~Bd^X=LbG~8<0a(*#WZG}T8FV&9aE4LDXmgf6M9s;RYW(I@ zk3+#`!lmk4Ij_cS+8Yk5s5;l60j%ly2;Y5(<{ymw#OlA{XHTT7VhaDALG^zGVa&(J z$;J!*4+vvEFee+wv*+i34`Iv?tS0{_gfX`OaJI$&3Ss=u;`RS=Mf`t-Fy`gv{4WS& zKED5dAdGo=IR6(2nUqZ@Q=QrCee)$8maky1`++yrn?~nOd5yxw%=pT>pcf zUW7Z|^w}9F^wl{W`I!L$HPo7G)!w~IE_Jd77AS55)JlWQbooyK2}XSt#(ODh=;_$r zo(*ZW;7Gd!nb}?iwqz*B;_`BOW~QC07*`MWdcz_P6BLy2>N6)l|2!WS8TOoFjByA7 z8nyHnaTY3K5av3<8DapYFx;Qd04>Crn3%@gb*C&@-CbRh7l^NbdvzWC!5_&eDeu|j zK>+9x8!M|#84fjc7pcXzB-rVm?PR^($k0&By$K{~?*Fl)*?w^Mo5_a{AL87Akw)Xz zco+4ufLs#UM4Xp^fFLoKWB@p${cb1-wE64Tuc4$jcIl;@B%uR5vf9Yh$;ruCB3?Vq zc#{waA#p7}}?tX8%!NIZ1 z8_PSqLD(07WoW2pdTqGDI`(V1riQzD))G;O)obNAyU&Z*o^_2^W+CHTF;TFP(O>`vvT zxlh|RHX9ufzo6ad`|vaK(~|)xwT%-Pzh=Luwv%_r*r=61El*8qZJ;Jz3C@9^;9h!r zEZMb2`CUVXtjE>}PEUy($u&-}uUe5)}Ab9{i4Rr34;7x;u&+4BM+&|e1~1Ct5$fB2H!-;KR@cW_gB>15 zvg@m00|VdgvKQ~flJo*L;Vn)bAAybJMUU*-DOiot`g#8R!YNBOVl>)`bVh?gv$xHG zpj**mvDlxUb9-rVG51OVjIJV80uoWCmj52p9Yl~KG8SgG#>&QVF-C>Z&&s*IR-jCY zbfJEAb$S{r8BF*}Y10?sYEmAI&N`<}J*0&qfeH=8hCgbePh1J3P^Pgc?9mMW1O#hF zA7l-x(a&!Xex>8(P{s}!zPzkm_elen1y@lU75kD{(%z=w2As~1DZ^lB`oE?Mm2@fJ zAvf-RV~Ise;}EU8CEU4KE-nYri7>(7MT7!Wf3t6nJys494&E9YzsTp*P^&h-2v{*Bgqo=xjThP@f&t8Cne#>$S9se1M}Nhm59GW#Cdbb z+mryAIeWS@O4>vCeDJD@s3Z|WB0lEs%l`JJ{BNF4H(l5P08a}$xwy>O5oCLx4@zPU z^#jN<%K&TK`wTR7#bu1&2?z^EDuDqGtFNv7)r=>J?BETcP9BVIF0Vgc6=(Y@9yPih zEdf9_#HA1_hH97_Hi{hbsw^NH@lSw+pFxki%e@dRN=f;FYuxBx-d#mPXRp0sYTp$@ zO<){egzna+opYoL4vnHAJ8O-Ofzx+5R>po;SxwzHyg1cgevfCTo1e-fYn6+G<1bLs zu~GWx<_Ktno;i@=Q(rbLdT_klDrL2@yZ$K$e&J^1kU8|Yz0z4S0NCikr zKpwXZNi9Tlq6m2({lv{^G5Z7ca&3COAxXxm+AY-Jb;A|};*@}KT#sAYoY}R{%Dih9 zb#%pUBX5kiJ5JlrqeDVMs;Q}IQ<`K<{`xgr*mD!;i5_W1aQukd+a`jn;V&a#uPYd) zexY<1r%kQ2Y@LTumrUqx4y5rQ1h4qJGInE1nZ*wl!npMBLu^xTU_`0Cy}iH@@#}@w z$N={O=OkYlpiYo%vS=;o^WoyFZKZYXPL>5|I)z_0r(*S9YPyu`<1e4fXYQzjb%V zfLB$0U0q%L>)u<(YsbxMlE^S5`M&-AeMzifQd?Ww{S!#1nSYkk!J87qZ2Wv~wgCnx zh!r;bLmN0v9SswN?dx)VybACh{YAps8vSv;xLPpM9{?ihJWRs5kX$lU3jnJCs&oes zI{;Jz*h}y++5MY)Naky}}(;8vE zLgPj=Lrc&z$Q1?@c4cjCZDnO;Lj(W03aGiPyj=HD5t%v-Ntq0N^(NJmNH0YnvpM`n z%+167H5R3)zP`TnuEwAtokv0DTRB`oC@2cP4}+mx^15;PsJyJqpv{}O{668e>dTWy z@shgg>Sv4XZy8_v<@xroUW2`+2Vnjn!xJ^2sOSYAw6(OLBlxRevIe;Vl(e-)S5ps( zmvU0Ol9nyYBU4Kj1yhRyut*ttA^@a}9!ABQHUS#Fzwc_Bk5@e)hvo{D&H)=QDhgv1 z6;F}}G5tUrtG~a$a`yBT_S^43Z~YEH`7-G?8GJ2>3xD12b1&SBO|ffv z+WGNn^X#mq`!-;;h2pg%9;y1>O#_&~N(&Gjrv+zt+RCHKQ})l1-pj46BKnw~x*t9? zJ)68Uc*lMhJELNcXE9HA6;HYbXCe8P{sfYt3LJ;}?L!Jtk1`y9EsU$}uv3xw_JdXIK>;91k%^)?#V9vno`pxrAYJ#mzO(d2$&*!lU7Br-Op1jgaF#WOw_GTaFec@4@# z2TWWuGun*9&*?$5dr4NVu9`|zsMG=U9fQNHgqWxMvp-fcJ%d0r@N@I?QhIC(U2qgv zr+|co%5V9U8?oVF;^Y*eQR}`%c7AY*gMgmL4_;;lg5CqM%u!CWF$wCW9y6#z?I}l(b++e2j5}uw2)FuzxO=d=-ld$@%fsEhZF7V zeRFC8Gz#?(p=8fn{STNZa&U0vtbp}OF^wHeQtAfJOBoUxP-l>$^u1> zEm>7Zk@NiMt0ftVh&!y`u1`lM5)Gfey^!3E|i8yEqx**m!B7 zB~ic+u%~a?WRm2;eZ`#QhCp*{eue*S6-C{#x%GujdXbrJ_ywf-}3Gf=3RlQ^%Iw)X5Iwe*(1MPU9y zmO7wl!=`T3Jtade$N%XE8=g06p_bEKd~C239@a})s5REn2(vu+V@{`SCd#Cx?s5zh ztRzG$su7fjQEUDWSxeUAL=MzT;_1IXDuDM3JcZ;@C*SI}2|}UyZf5>t=?v1`6Q~Pe&~79lAt6ACT>!k%Ghyu_5(n{s8z)m;d+x06$*zA!t>r~K z!elwX<1{o`Vt&5A$AB4v{1PRE{h82E>!W`r4~6IL`8LoW-d`n=IbReazmo_5%}e|S zf=%!I>mxO7ZEHtvK0tAt>hM2(bQ&ETgoe!m*#|m#rY=sh3;X5w3`zLVjGw;p;Fl7x zN{|Gc9OiMx)BrGbx+KUUlF&fJay%*+atL6Iy)DkC_LKCGliH4mgTMzd5Tn4zd88U4 zX&HK7ptL+oO+i&4|C}aYi&zMJX#5Y!o6Y}X-wEJ_&}4gpE;N!A9UK(76l)aeN%^%l zpXT=VnD#cb_&~l7Z(^XdIy9?UPVFHl??M&)Dcu>m^W|c0_I7qGuinpv0CW1$?K6@lx7nx-}VQKbAg&fK9L*e$)CDoBW9jZjJ$CLo7?t9YoQ4(-Uq8(L8s z>8ZTJRiw8WY|I53G}hPG_d~m4|8LAUt;h!JE$cmnKI>Qj=U{{OZ?7EqRvn8OS!~}* z`GwJR!Gc{<4jS{BK)Js(*CwcsXS0+2*R(JbbC1onSSSWHVGdtu>WhrhQwfQ+Qfgg}bv&Tp9 z*)=HT669y$RicyeCN#TFA~_6wq3> zk3?|sIGDQu0wGO4WCSr2UlRAWcS>ZFnk$T2KIuEiY<4n`YO9YI=C9$iL8}90%Yq$KLcZT76<7G{n!S| zhgt9j?@+@+vCDqY_8?oA8)?deX%Mjc`}%@EUqczgcJ4Gop|plPS!$v29liT5f^u?l zZD>;^lp25u7x$QTHIZ9yAb52Nq_HXUA|5i3*q_l(XS`K!1YrAM^%{rm0&Yh z{U~wmtq)(P+P!0syxUIW+3qB8z&DjZ1xG0&!thuMB*i`MoF|^sGTOF$9SVFQ5Y-Cd zO3yJGv%m*BVK%hb!@9f>hSERM8x*$U%;PLf<3x)&{kb9dG=!p2HKZ)W(ltjCR^mrl zn@k0>Mhxx2j-h3ye9}T_-fwI|F3+?b#;~Bp%&PZm!%F-X38nq$^fj|eEns*IB7;5u zX!SKSwW*PfM!VXSt(N(jj|Qn>*65lT@7WhL`$uI8md+zx+dvpx z*9c@kG@Y`;w${jg=YZmO53$!U+{H19EOM90v^V+>K+T8=>Gz9fp1`6}YORt;I8(eD zMj76c5m`-5cNn-m+;fB1KSg{DH6IW#E#;t=KW~&k_DV92XnLeaGw2}?Jej}v>l~PiONCuQ$4-4t6 zNln8DVLp0V^CoA)BnlG!7mgT>*za62mG&#CYw@4?5^4A~w;%hHk>&E>j`9#RxO~15 ze-fNN#kO8R9%Ql-__~74>z&1^6LkFNwburYzHvh1Cz^MIn}B zcYU^CHcJjAXEX9XhdS{fj`~@9s{hQ$M1ar=b~) zghc@?a2EjMqJ(JlkCI8zc#(C=0>Iek4jx2Ok|1gST7wGr#mY$x;9z7KQ7P08eO9=_VAIBREgZ)R4q(u zS?6(Tw4D_ag@d3{Gl-ja^@D}h6ln*)0h51uLYN6#=zI5C9HbUQ`ucPZw0F-I3d+wZ z5rC&7W0k%&!DdQ3AVkKAc02!jdm-e0{OpF3%8B!b0b+xdo1klD;KLj-qGrMax|lY(2SdDb{^5rua5L6M%L<;J2A1ann+L@g2&f- z80@7RDEoJA=ZwFFY&|wUWZ|@b`gqEEGM)7R9?JR9Ktsa-`+aD2#hc~sMv>v@CRN{L z$@jRfHMr#+Lg#S(E49TAB2dL9B;q-pT7Sq^{Z*Gi#F6i}tIFrW0LLHUZPVx#z& zw9UH4ir~gZB$cRUJ`G|RL}@^%k;H_6h4;bExU;cPsIs{#){Rn+Z_dDs&m5LAs8<dNeoORH zfaUBd-S&;}7M{QTc8`b0a&2@$+0t>Q9O+-C$oi0vL z8I01Ab?3x3BdoD3CxXJ*+yKsM+rOeSV`cfo4s3&9eeysS_f{#=+iHVf2nf#ey1(U)`DDLU42m-u!YVsam>yVZ$?7kELi5pei*?~8 zMVue*P~?I}!8alE(%7cRgUKce~iQ|=~i@&$dz>={r782@feg8_GbZMTQ4S41S;CbN9`A9&H zgQ*^=FTtsfx6l^~l|q+Rq6#0(Ew3etgM|89H%6p@NLmP)fQNzy?TTo1BZ|;^BcM`= z=90_q;cj^dsClwo)I<3)P-%L`TVf&LIf~kA0Eib@LjWQtl$5oL47kaO2e^F!w^`up z-T~_`?ymr1Oo08YP$6K=CJh*JysuC~i2OrDo-c#z>mAU6S4h(E8G~h{g&^p7nZP|P~?seufh1eyQ2F!?TMYpKrmTs}Q&Zq%NY#AqI8 zpD=oR+rl-Kmx9%QL9%vS+Y4x`LQboM-T<(IaC375-kb4Nk}R!ah{&MWDzJ+r2gMgzu3 zA^(FvhOG0$QW&5H#2c1nR-Wgs>19nl0YG{PFf+tFPCMM0q%Csr-37@J;*Wry_$W1a z+Lu%QBbgQks>_A(M@a;3>qY|~2t)kK|6=qHF3?hLE+O@N1UP9L7)Vq+NvaoEsB`it z@}UCPfAY&`%gi8<^C6MA_4 z+1G3X5bt`HN%`#;Zqw7#!A=i%uGb90qe=2BozWY=ZX*^RA{+wHjz(rwPOpxZ8)k(A ze#S!ZUidAPX_umR_-_9YIrN@e{v^|d-QbkBzhw8J;r#|>;jx-N)_Y)sGO-~3HHS^D zu?zr#V~tL-Gctmn_F{dr7w}j{S9a#5Zj>#Dp2m5-TwGu8m#_}^!nJMp7E%Mh4y(h0>!O~nn~5Oz%7#)^dzQ#&9F+QZ^P`ac+Z>!`TiAWAT}yF=pyclQLh;O-DK5Zps>_uw8Z!QEYhdvK?5r*VgU z`OWUm?wm8{%s;$7ZM=H@s_w0-`+Y@KInH+g41|Dr#2;IHBAmGFd?GP zy+#oU(@)!-BF?m%y@q*JAP2|2c3OPvkJ|dCQ(HjexYeK$L$luEJBHULb~n}^uduh5 zUN&$$dYkP0_v;SQMoRrdAwR8jB~-j)^hej;9@+VZmA%Te3SQ+P_S))^y#d;5zjEp1 z`iK6TYNFowKj|c2XjHAxS>82K^FAj#sAm&L%ycG*elZnF7~yOYHAQ|U5VAVj!Zb!2 ztd^t$rCf0%&}wqkWfKp3!I=dMxj1Fv+2Z18EivQ3(d$PCep@62%W^ZZzXJ+=0|V0S zmuPs7ZDa{Qn?kAWpT>DPvf_fQL{<||osD{D)UNg41sLXEb?Anjp?M|Bcb2mOWm?&} zdW~_QC)2YsTP)mESy;-!X5~{Sc=)%^cX5I_vr@Mj}7`gxr9KrmvD_^jDMo45X+1M|->2iFTP=J5}$%kh!M%P`(Ik^Am$VTK%8vqxa z^RI#7Q>etMKY?#{I|wy#!JgA=aDI$ht=%z5ukPDM_p zH^1qOO;4*9%|v+U1$ZbaD;r-gE-$zGO!NwTxbMNRw6whc_T|g4k|(fY+#762WQiax7h>!1Bl>XLo<*UQwdMO$toAyW&B5N$9z zFb5lpTu3SWQFZbzz1A-32gJ@sqBwm_8tG4PfeB0ec=0ViJ;tZ>5Rz-TDLo(zTv%KX zG*~z}O&|RQ8ehgc0o(5AS@;HSyCZn3V4#(CZR$7y$dn$8iD^OoOh0!!Pqi@;UBK14 zM@tOgn36EZpu@!6z@A#LLeEIvUhX#?!@%&J<)$`|^FrZ$K>V``)quW--hf5C^Yk=_ zOpHrTO9mG$tjGEjX4zSD5-KJ&j&z(TPO!;PrswWKeW}u&*L8;CFjNlbJLD5>3>+&c zcSeQ2;67m-Lh^7pA8Z}raM|~FGFd3fBp2twM=sWzUio6v30J1`X2a^I#DXFFpni#; z=Utib{k;uu^Sh>JSv~O9WqKFi3*j;tLDs*l4IBw+!Rfet?k{X_mu&NuV30Ja5ALi4 z-@Tg@&7m=HMHIoc8U|Ce?qER57Fv{1yL0nPXcZCoZoxP|($uglluz7b)PJBSanMG* z&k%QeTz;MgY%F_wA*TWVH?%GG;FINO(X8et#5Bjnk<%Dlbbcgj$zlggl=j>8KuA3p z^jBB><<96Q7JYHpu$PYu!MbbQKC6-xc+=j3s{;*=3J?9B4uYIZ010zgZ$A|A2)=B- zAvcZPxQu@HKJAt$RPbXQ3Uc5EaTmW&Zz=RJGQ$uH-TrZn)xreJ+4iEVJ6+CEUBA^m z8ZXK+^NGt48G#dp9G#5!^WOMz!-x_wbof0owfp5?uX5|4)}C z_PGxsOQ3q|*;aZjJ*LVqeA`ckt3wUZKuYb)t%`_nb$Wj)UWfPc5ylCJ*_0Qv9uXH~ zobs<}&DUvmv4A8$-zj_IuNC$`8J%teoaH!$Jksj+jYz%*jR(>?KHb{MN^d?4_~uGU z94;o3nFyb8R+CNapGt02kN0+>|uX<5;10;@y(F%>r)-KoOJXS^@|;& z75U+ym6fnB$XL-<6@%!0Nw-+B)zDKnAc?yd#LQ|@^oY|T_hKpG5E2nmaa(;)H5s4o z{x)Q@JpudaaiNc<&IQ5aacG_W$2UY>V`F0(pD3z7^zd$|mjUO{bW09$pTc22UB|#M zA`KeDouM_xNWZfF_<)Gu4dat4_3W4N*w)<GpA>m2xfE<(eL*v zlLJ(CLDcy9gr{1Rh)`1_v;?CCcufLHoj`Zlpr^1)iukUN){Ciq!TP7x^IeQC~4UD^TNa#hNR(o^v{ju@eH$#m- z+QvRN+2`NGyjcQ_UyigrPksKFP;}!x7x_IF{lk!dY0z+y*_lkJ#~3V;XR)Msw7}ev^5^kAkUc?8+(43 z^t*kbMu2UzrL6icsWO-Ia$?o#S04%iCQFmKo%_Ar`T4vJLG{3i56+@jz8Go7XTbja zv?6Fn_XlX3r1?I?z1_#feRypyJMjrkOvDk+e|w&MYj-=w-<4?VkC_A%CCuh!0}n~T z%9iG*A9J069+^$lEX^;{GA#hcUM=~2Wwz^@I)9Mw8^^N zY-_maa?&5D7R8Z0>iuIlY$gtC8U!Yrm zd3hN;GDBl>kii(@R+vSTyMI*Y{vAc{GYvqtZw&h`q$} zH_BCNbg{qZr)bXx^qvo2SFtwL(l6CQ;h>0r>h}NzygygVzTGPx-jvxjUkGy zkN?t{_~~A^;?jKnAx%VKbmA~x+#h<)<3}XKMCmFT&Q2UQMe(_s3-A566U#MGZ#AP`)@5CG!7@Yftq0 zFA#i$Q@11a_0mvIf_DUPv5^~`!DDHYR&P{iG9{5orF10<&XZ&B9m@{f%e(-6CouJ! zhlghdhV4Gall|t@bE=#A)C1FR&3mg#E>I1P+iy%1GSu`{w2*0bFcFb6{5&_znCb1D0;!<-%qQvi z-3MsEW(e`$8``fn_cUSZMw<0d_olj8aNkilj1Gpx-IpId8%e6iAVb#G54Es0gqsqQ zu+V>SlCFoC+1V+!Ros|mDTWk77r*1?ECeP}*h!DYP^+cttX12D&Quz8M7lIKHL1U` z;+I*Mc&@r)L$3x z^$`;*gJPM(C=kbUN~60$>IIexzijABQD@;R*jQhB!mj0oYcPNBbG}1(1b{xskuRb8*p+y>jNf*w~ zEC<`+y^NgUS3+5Fa+Xi5$*>mRC9T)Uw9SAzH8m#qhv@bs?z+QYa;RgJm^uGZPJX$ zPV0SwldMTuFvZyTO&^uw$UxB=hSRB8@8!3AU!_-X=?MjR#M?nZ-1pRcRqH)ZsP%m` z9#oIVQ1LaJcuWFn32n;tR7WI`8^2Z*(;xW?jVP@_7TVSmu_4L$$18;w1x6miri+m; zC72a_oR}6EjFN|e0oD!;$a23?>Xq~~59CtBluUpFx}=z{rg_e<=N);K6D{nA;pE)K z3YsIWvf$N4#Yy+?-|ZssNF5V^K=`}bEZ>yyy=osQ$Jb74eKgJb_%=_4;317(L4~Yg z{DQ%{di&EP!KF>8xY%G?aRYh?z9muE);uG*rTNa^X#c?2cvW8$*rXWp^Z-Roi3Mp1 zUPo9Uv6)VhF}i7O=vL@)5#r~E82%WVdb}#AX=)1F*UAEQ7XdN{n^B28WC6}^uGG1d z8`NAA_&cY++Edk}jf0(>odXfdXy%5jt%tU)02M^Wq^`2u$FG}bv=x4 zysT~H-9EmS1a11g?)#~k;^oz{?C>)Aj{-n2-c0Vg+>YmgRO!HwNk*Pb z^t+B7Zev432uY(sh5%U*Z;T-cE&0DLx55Ibcp}mTkOK#n-Yj&zHs}qut#odN{1Zsi z64?j!!-%!nKRmKnyH3r^m5)FN0VH5$c@TwVf>qb#GN9|{$ea%5ett}o%K?M>AIBE` zvrtAp29=W*pwSd0U?!ML( zdd>(CQd$qDQSn2_h7NrX=YoM@D%cMIsCFT3gNjFlkN!~j&mmk)J zxzWV7Sh(z^))V82&S?zI^k}^59O4WPMmBJj1X~RC)aNMg^WJ&31MC+K0-xtU)ssRa z{W*A8Vz$Y$JS?a&h&DQO)xU8@HX}(-Y^BBL$=%v+A6OYfkDc-H*E9m-z{m)4XP^fq zK1|+1FgZ#Ct8`)%ZS+CJbZh=Hq-Itgc+U~xlMN*ZO8S@{JIFp#50?d+bFdo?k9y$x z(l^f60Rsc$;r8U@>l@Ym5Nl5n4?!C?WQB5~n{G4uB-;=KOTD^UL=|qL{pu3!~8feY%*N4+{v!d9J z-W)GH;Ilze@R$$#PdvT12V#C{U#Q*0xQ#KIw|8eyPVm@*ECbBTJl4Hb%G;dib+6!=tiJ1 z$kajP3;we$zry{w){5#C%orqE`6zSCVfVCk<#g*%jAC;y@4~rMD8nUjxhg6I?|V&0 zhhmJQ$j8pm@UK55FskEw!GTp6Y;Z~_U-_4L7$txm+HXFw-gToqqkz8pccsEugiZhRVh(vfE-JRsw`2wFZL|<#rsyOJNZlLgD?oqs)67VF zm*>lYNRK|bv-5c!_FI4_+U0BlWkU*nF6K#x-WF!PbXZG|Q?K1`fFG5Vce<7r0DIWm8fo#x?ZSy9g5Qi;GWjK*1wY_KNHLdGr zMM%@I*PzVpvhQFBCa~Nt81t>FqfW>fFEkIrD?xBb+wd!hTO5x2BTQ33eUA;l%Org* z`v6j%aMro0RZwjxPr~~*Kp_sS3;6&3f$gDGmDYJ8<|Md3{<0S+L6w4kX&)?J>F-hvsc383G5#U0xoUD#1PdFgL&Y-OkNIlWsjIeAS7n?C8mh+*PY6IW#a zlAk3V%!=XDk_5**ZF2`94i=u$rk02IntjylVb8as-|s&n?S!5L3el!U$W%e+eG=58RRFY@9Xew*9pgD!RfxR{I7ku`;@$d zT>0zEz3H0M{lcGs+{hts1XnxpxW0|Rqv@g*qkvO+$JpF{Cmrq(8PQN;(n)l=q3JYP_N}+6vWSM%xTCe3rd>$!Km28Z4fKTMtf%tp6_$} z^cFw*VWVF(MEQne$SHiZuX@n;LLI{jRa19Zr*pTBS3lN_Nt6cfDW4qbkT^MyLbwGq zNOYgSx8tPxrVA$rkb3j=RI=@?N0TuBe2dn})qoe$&3Lan-0fJWF zA}UsHT#e7-txlb9SSO4(rowauy;K_%uj^cI25bVo+&Uay8`S|OReqort&Qs{% zRB0(j&uWS$tr7nE&5qg_!me(l=lUH5W4_^F0GrtlcTe)4BBd*F@Pkf>0_aXYpB@nS zdcX_yMcK#Kf-sG()}v0qxccGy3DJSR8Bt#^A4h#*QC$UP{wl%iGgvIB0r)(vAYzDJ z;liPMqhvA-u~@+gwfCw|>&v1nXlA^rUOT+5$9=iWH!>*z zS)|XEh{PN(Uax&2(x`JUYN{d=y?^v5${`oM-#G&Z#fg$A*#dgwwsSI3c3=sglFLH< znqvlm{$*l{kFQWp=bNU!s882RLvxp?-~Fb8wwX&SS*88Wn{uUthR03n@tf${%YGk1 zjtzpJ@BL=Z98`(B^&|gJ7S#P0*Nyd7H~Yd1J{P|F$KN+iE=2={7Ksl!#(#{~+9o?~ z3)8P29y;1cmMu+Iv+e7hc-V^CQvKT0);ikAG`Y|X6yNS%*A&N_zo#{Fk+tY&{w!M? zv|C}b6Hgy&ilp5zjX-{i#D=V?A=+#oe9X9 zE$~U)Wv-c2-p!U|gl=@Ntk%!?c-JkPf->BN-3({SZn(cJyp*VqV*au`BRF50|CHO+9E z+H*gZ5}J3IlBkzy8cr2oa?f4)C`zom7OEQb%WNRc#SS# zpj+Q6>S2eYhtG^*$Nk=5sr0wa26gp(0`)53vRw5?t(fq75-NLPFTrcW2ED z=~}rH%e57*E^o>6@^dcQ4_q$E8=2%EGRfICI(%JxxE4PcJzJ}st=%YgHNZ%?R8>06 zm(7qE3L9k`b^1NcKX1=Xo;P;+Ew4XTcpaBLRZ4YLlJI~QwwS-z)uER${4BJbHUyWq zN_*%ja4GNII+FowyzmKU7mLFKZWcLm2KrZXN_j4fEeEvi{Z^F6TM4&YBV4}}d`-3^ zoa~fW(>qaXC^+-R5FxwaE?w{_cj02wXG~xgkBN~wj9OD%KF#kFRdnHM(kC-Kr|0{l zAs@$AHX&VA<*Qc0R_Rb!Mo{>^>vic_Sj5k-BFTPlUx3AhXAeggEB_9-K5aMHC~hpSkay7xvtUSaWgm9DACKhR%A8B!Exr6WCM@5h zHAh-s>g?C*F~iT`plQ3|T2lbdP3@&xsGR?O=y6&qbWli>63aBtBogVUeSQ1FSLrR^ zR*0NhAgy4!AvuQ;Q!I3lX;a!|uYjIQdLwgMyb8`mXj5JP@u)j#^!Q1lmx@H`gYt?V zy1gv6!l(Xgkd~8nGI7FLMzQwi*22qo*6(HhmeUh8>uS>UmCt}Jc|hD{kt4?~!L7Nv zXxp^G1!S{}CZSG2y!=N@BYc%jyZ9#_&~q!e zF7Tj{rO$)TLIFg{O#+l={?c|1Vs2J}4J+_9Cb@QVEq`todj=fwLs}jlv551Y68Sh$ zzg0F%O7FRel%W~=H1Za7W)4`84>{HZvmVb6H72~EDQU+r6-D)?0E5e%6DvA`Igx3S z*b=@TE-MsQ#wQ0+{?PkUCg4bBp4HooFadaQ&XUf(B_{!G1t%=Z}%8ZgvSDB=-8nMa3%Ogg;AZ zBX>n;vhRUOD2LvOlT=8cvhKJeFb50~k8&M^x_RWyl$lPhyvX;?)-|v@a&Vc$Ka7PT zUS8_t@vE_IKhT}eOYO6nL5%+b#wNm<;9T<9V=@FwVqk_T{S}lkoAbx~lL7+^Mh%|( z1x1Z$MWM$}ND&AN!yE`p0t$RbJ&hZ{fsIxo0WY?=ENOQ31B|l5-k3kdx@FsoOuygW zy~~aGN${3fp6IWNbi3u~kdXvW>?x{M279&g=+{D8fiZv1aH7O?MT`r>t0nSr-2IDF za{fhn$HME5mW$yQu6HC8is-!6sJ+s}=m;bhN{uKi<#lc6LDYyOD)6S%Xkj5SRCF^n zIItw~^J38@B;crBWsHFpl+ywq4OreA!wbv z_6KWl&Zs1nrjY6$l>gg8okf4*k?;jbbxj?Ni5Z#e=~Z=rIU%oQ_WFHci_hyl3O*OB zM;+^mSoI&YeAMIj3PdWQ4BNuQ_?(g77g3l?Io8hBF)UGO`l6l4(BcT+ixx_d|6mU! z`9!D^hD7a`Y@5a3jj5R-IAiczN^p$e0mX3p4>+Xw@9cxsa-(GEA(iniGC4ZyCC}~l z?Ch8X;nFz0Q!IfNHiT;aema^@0w-4yOH8`_!i>yeV3J`d_DBsaCVCw;px0=Ze+?Lt z68`)SS<&lOs_>a#p-|ZCL_;zu*VmUjHcZ& zKNitH{`lhUP7y^R8;WIq)R$6dcz(b2XxZ|u_w&$hrPgmlP>VQ-vn7m{69jF6N*%o- z*1(;`Ry9~=Jl1&Iwy`|JU;3HyvqT2-P6FxfizNrnC zCla;XUdhi|Sso-=#_38A0#Q^1LR7eNAWGb&_F&4__IYT$^)k6YQ$Aby?~9{MW$bJF zJA>}-`*`@pp3CepMk-)r@;a{!6+P1h1~0xo@cWb@h*He2kzJ)#rI_-G*)Yo^=J<<` z)1yZQV9C*MPT3`+gJ}A>TmGL{A9clIMtu&jOq1OVr#F91QDW+&`v-Di(tbcDhX$ic zCL+OM1OS0b1qf6s@2Ih+Ii&&dw*`7YbwdQaZn6MuZ3D_y0BZ-0fq+F8iwDxpE;@cI z_dio9kS-)s=Xq*5v*hxz3=Y>@M{(d1On)6L*TF3NajUn!eS3|6d5N}&8Z}&w`z9+w z)mUv*>j-7xnMaLO^fj-52D<4lr2?WG(mGZs294QJZcc1pi|dv#SLBnOHvlihgcuII+W|%N{c{Z6zYBQA2SFfheB;Zdo$h&rHno(R(d9 zqFdo-gkP)C^T&%3^cx2F#!hi=&E(~un}f@e*pY=X_xw88@pGPiG*L|F1>k&i!7hHJ?I z{aVXFTu3|72V+%mu~L2>rFy>=ty;2~4<4zDAi+Z(UdCls^rr_(o&G^?Y&=!k;vDi4 z&F>l8o2}^z$HYGnqFBXnavcd^8NOCh!_~;7zC*@ud4`RbNn#C1%Ki;Dz%>o9j4+)z z6BZ*1#l8Bt7JHQAwkM&q7}Nk)nKJB)B(;U?6zP_@;@r#GjF=dzUXQGf8(J*?^%#<>G%FnysX=1Ngq#$j zy{2>;4%Lr;w`~tj>lgkLq%~~1+wjU?6TW}4P*dtg*($S)eNEA(%I%ooN7>4uKD<$) zj9n_2`|JBgd}SYH8wxLSo)0IV7)ES+?p+v&yH%wa1U_U6k+JcF+RY+p&Vq;XE`_(D zr#9-#DO*|sW6Vc?Qxe*XI}#@vAh|-FA>82cYw>wDxYPDv-Y_^*XfWg=YZmu3>oC87 zA7Njo0VjbK3*5frfO)}uwOLaKi7ievoXzJN+3}Z%s}qSdl)fO%J^lAn;y)6*LnzEc_k2ct z*_iYY#Vwz|Mw~!U#NvsnF>!Ub2m(0^g)^zuf5Hsm>LCW+T-9U3^|Qe)-S(ZS)a<%) zEIOy|s!W`!P$F6MD=dWqq=_y{!xVZ!@hX_D_1>5xK=4_j8l^bfE!_=&NSd#laf z6T17Sv!iG*A_#SV;`UHugc0gN4a_z{>PF|qix+fYB%ZHeKolzWojy1Z+Ar?)zqV^s;De= z&-l$`Y5((J>1oq4T`bm<4x7NO7~`0z9J|GdI;OuFxh`*U9mJR7=^dVB&VSY|$^h1Z z;rM>|{6MW!b`u?;c08d50`N+Du&jBS5AfTPLDj@DQ*z&LK1t>Fo+QHd)lKe-f}Ri= zL1;f*e+3QXxZKuYPl^gDa>qJb_G++YsR}Ph_OV#eW>7x_sYK95k4!@)YV2AOfa#Z7 z=!rW5O2S9I)Timmv#sScpgflzrPwh^y#<=>R{*{gW<_3^#R{)~Rtp-@y@kRaiA;r@ z;OK*wWNs9h__u@1(|nW2Any8u9wSrAZ9e4eqrzT(X5T25xC{Pl4B9>%-dU@MND*#fp$|SGRq^il--tx>7bgCp%gHOE-ef+U=PfA zR2(xqaYib~A^K0@By@q~?Lmlcgv4d)YFL2<(z;64-J`pbzxq~nG7}>)5kVFLdGSIn z+p^|_q=}B6uFQ^Gi>fO7i0qG8bp^>L?y$hMXd!nwK^&8G8S@>hHe(N3!lzU0DkkRNuEPUh`jct7KchM;KXGLIhj6jEe1>yZwv1y0TDu_IV>=%c+aVX30 zU}BH3i)Vx#xeGx&vZZ801Cb3_>eg6489*UB+dJb1TciahI06-3dT1H3+Ti9&D*ixR zSm4S3yt9D9RQzik!9xIUuv=PS>3Wo;%i0#OOh0Nd zNygKB#N{JF4&hcKzUqrGi|a)Xm8I=;1G&3b42uUr5L=UO>`2Ql4~>&961KaSomGgT z5lM>g6QWjoL%7C|X!t9djjV+D&%=O=qDQMKBSx2}ju}yDh%w6t{pX;}ct~|()!>)h z4#{7EpER;#F5fX@%hiGTshh>TBUIo6ibctHv2@6=X@e=K|M}-%6*s^?9ocC9f(!&T1Mdt{OgB^)rgyM9vj${ zuCcc-);?F_7KEo}x;PC~OkST2<5B}yZzZb}J;kfdI(AF|K5#NYgH93}$pI~SZDN|21%-GawWz|I-T>|A!Y=172uqbuyla zy=2Hn)7NZ9HoIcS;P;Z`BU;%VGjYTZY7r|pTQm1)&qbY4!d4)F@;`R>+2YxPRX#{^6HX_Q zp)%`DzxGtk`Jn)vHc>4b7cLlm%k2Nb$IHW~&cNrJi1Cf)*~IO_?5wMd2%WO&%xXDx zAv;qKF8tWkFBf%ldepRwI-Xh>dge4STzqCIJD+G|(5y91gH2%O3)V?&YAC664FE_@Xgl(&6 zJvv+PJ!x)fgInI@S8bHr7v6P9poV ztBH|53E# z%?HD}#fCEDO)P*&!`-nxDTvdN62Dl0lEa!KWPHKtC`LJ5ew!LhR- z^^1{}4qM1e@E@7aixhW6Q3W`8(T)iCoUli*9al3mF#~f|FNvM5(i<%nLh!>p7$jJ+ z=e5QC%ay;vxu9)Cg6~ImEl(9Um9Ot_L*)S-1m2+aY*j(7T*icbLPnXk1q@|K43edc=gkY!p3IBXI-)Y!pkn(8eD*>&pgWPXefNdzpW? zTCS1)UFn3p4$mBekFKvP$o__wy>Vt$phGG| z^+bktY^ENCzoA&i5VnNXAawiBjbZ+|F&p7(H}J;Lrq$9bRkDB;r^NTckC&gsX*kJXH-G{-mRY!VytCJp>sf$e7Zy1(J@;$ew6Fy;rC$|wL&1C|3pLr>7ap>{mX*kNnr@N@Mp z>nM=AI6(ggsmF>nc%hm;qZJ`WL{e3MqWKhlOczMq%m;7rBeL`#_v@$nBL39_Ko=4#9fY1@(f4?i zI-J;he{NQmfD*%xm{J!2L4&AGl~&&+wE^TWT;^Xo)_oBVa6e4LPotsV&EKcR0gRr> zJ`$B2ipw`a%KaTV99MW8u+5RoViJP4`AFis^uX`INBaSk$dS9$3%>U=V(s0A=oHlv zv9KqH6va*@NW`zMQxoWZg2g!tg_GoSp`6ijdl${3oh`4B6M;5GtxcIGr8q$-!GNLPsyHGtCC8WE0G<|gIL^Lx%_Hrf)`5S%s^+LAi*(?`pn;KsDX zZaWV1P)pBDXoo0DE?*-__j|jVA=E|uDCUcm&qV4!dx7|?m&j1h0)Mjd`m^%tdNtE_oOy=)ajd^n zql|70p%SxKhb~=&t+@AHBCI+*I~)|hZ@fiNE5bR#sTU<)Vd{UBZ&M1OeEo2I){`hB zkiSa*aXuyhN>pUB<-#RH7u^0MeJsa7-J}ogA7r){t7pb;y|Y%7`GNMqi1if_F-%-5 zP!%yPZ+I1()N@A#G3`P<_dO_UONBm7j2@R63b(gVxD>$4DdR01b`UZUX?b2A$Nv6{q*u*%^duesKNf?+^ zFfpaFLfS0BZ{D%vh*-jo{=O#(tOCGh7yz5By-xxBfWL+P=Wl(KfWPqpun7|9Y)?pB zCt|+9<#$36s1;QA%POgp3{7={b*psT(sD9)D-3{X`WAp(1^%xH!~*`uXzg7og0}IQ zdRC#wf&~o9oPnccVvd$Y^ZPWhRcvM``<_Co%{@gaYS;SgekYVtW9}a^VcPum0A}vv z|4+=c{~wsSimeZBky*a_>zTE zg2p6q$dd(9aMGa$ov9R_Yq9{`m;rDjx2kr~+2npz%Q`DQ_OfnCt&Fj&CC3 zeUb=8Ub$z4RGyCbTucS3cf|WRIldD6!_$3Hw>@fD>A5jOXbCUUfjoObed3py)P<|L zwW6jjKE5lkc0)?5Mk$YRZboanHru1Tz09vU^YvDt_%-E~h_7?2WnFIG$1Ppnm3X_X z7oQ)&8#!YQkF#!FkAr-BgK>K`?b@w;uW=RkRa!UKHw|jqT^XV)a@uM~UFF=@r_yaA z>-&#)b8hF4c(!L3heB7!9lmZZZ+{7u)*BmWUX~TkdD|QNTLnJ&lb<<@U+Dypu%5X4%}S_AI|xvq%gEb#d0?~ zdeJWIl{U=3L%-IHk)h*mi!%sejVNx zYJecy+iVW@`fO+8LHg~%*IV{(x53-s?s{OTOX%V0CCpJGqZNB+Txh@r=+f-5y`tT! zZl0c#({D8ywM#ixRk)vUmw_6JFr3)jkFAw*L2FSjVa)`SFFtAB95>E)60R#9knzvQ zZGO!Qh|wn${+Yyd`y1|61K^?ILE^SOnX*?N_c;4+SNmp9ZKpT3jn!WTs4VhK8BeHv+4&jpOC@S28-Q!4R&W55$E&sMn%zkDJ%5vC6di zZC;7X#Ia|0=}6}`-?(M^1wV*VEwf#f3Svw)oWej#($I3aY5EyyyZH| z2aVRrT~{5LpVEvbqLtZRtSExl@2~9_NR9$b+FBAiMad8w&s;6wp|uyklHSr~UU`uJ zGSe9-Tr+)a+bB09#CMsbg<3rhaqm#x`h(h_U)qI{dfwoBS_FY2;26aYYhU|FV{*!i zO>9yKUtO^J^fADj)aB8>V40irnN#6ITHG2qMHd#ktr?xhWd_5DlVuOW)uaP(>)xBf zA?EpaT)zFOC;t5zCh#otunHzM@R^ro(L+hbDHQ6CHSlwjRYsCxzh7MyW<|mu9CXJ# z{IaEI(%p+JRK~w8;%2%D>SZ15orc%h#Z>6N*=D+_6ahQ?cR;dw3cQgesDmFqb-h)w z3yRFm@>v)Xz9EoRc)I=Xga*KV>;DHr17Ix&`~M;|$S`zR;l&L-N4x(3QDZep*~s^& z{FqrN)%gAtn_xxdgi0}+Lg%PHHpuPGcmGHJZyl=NBl6l5B~+bYZ%;{4;iM}!LDMAg z2U`~|shneApj)Hd{W@J*}F@;G!vlTo6 zO%9=6daFQY)(_?e(RO3$zoq{SJlMGku~JrX2-T)$+t+bv^h@92nEmA}9Pe4}Zs@fg zUsTvWM`|9VF{le_>34@)c>dV)yAk0kzx}rnd2}}QXmP!AVgbGol*{A+xn&Wul9#LL z7kLwboW2F{*9oax->=>*=HI1J$xi9>k~e2QlJQuYN84OtyjpP&PS6Szz;Q%!s$*79 zi>s9M#jG6etls3HK(}l;j(#joKvc4;F!RHq_CQO1#E$TJe%SiPfac{U^SvI~GMxvu z9CkW-FBB5UsCitSO8;t*9tw#FHg0Hp&XOqgv?mo)oR-}IvMd5hJwuYXlc)V~3WIw4 z7G-76ylTz0*bY?}{_9wlr^P#q-MLz}<;BV1?rGu#L@$r$zseJ?EM$ycSe(E6N za&@&HMa~6@Nn?9vtwG!%?GvMB(sqMbt!oeSD7?fAZy?nm3`g z_@e)4=4<8V!-T+Stcj7&3bWz+nxDg8;j{(Yn$dU$#(CCaZ$x|5Lh4Pqj)!8s8|I5&N$$zM!Fy zFT*l=JJeh31d>>i1LNUhr0*ezq|0G@kOw*%g4_hF@%l3hmRw)WRzIx}tl6VY+Gy!B zzS`-$OAaKnJkGVq`oc(z6UG3A^J&p`Z5jW5m$4)8(uGj75x*o^SAXPYef+_Tcs#b! zcCBBbE)wFGi;~_$XkKoMm!=;%XO>Ny1}-h@WJvs-2Uyb^Y*s0@EOhe)?w`WP%8VzY zdU^5EIE(1HZe?>relO2cnSAo8qB8IKK(KJ_NgQG-4QEQBA3!o}rWjsu1Pc>^HQ${u z&oOY5Bx&jgpLK;(dZw}LpB=0^+KC)Jv~0&vsT>tWhHaND zMep+m4(0LsW3G8D?grm!VM$&m^>65U74uL#7M7mY*8ygIGLc zKd%Bu@b7R7+SU6*)`G9&-PB64;z_#v7D#&L*d=;gWWszI5K-2>HJN<*5IS75|JeM& zrJM&72i&mnuC~obt)!2KmyNE*D0p-ZbfDkd@A`VVG!3{g?-LnhHS65zPWE|%iBQ8qHGq!Z`4n}-Rsqen;5DWM4ekI^cZTk zu=tn4_qG;G=W*tD_h$FBTs%o4tS5h|#9(Gbq;!A_2kh69OizUS zKKmhCKy@FEXw1qHhsnt?Q!|~9#o}r(C^J!t70G3Z0he`|)!XA{GKL<*z7^k#r6l9k z$!?VdCS4GBcWd0;-K}Zd-QC?O+?@s*ZJfs4-5nZtcXxNTe&4ru zXYbCPIXiz<)v3rg^2x}E%#%;P5mVS(MBGwtS_gH2IwW&R1sFB5oF45=?7w-HRuvUy z`tw>^hrf>Np=33*Xl^G#PmyQP-Hcvi>B!@v71lEK7EF)c&aW)HCjEwqnx#exrq14wBT9tVhWnA%OA}|~vaI&O zpWxN|{%mHoU2OL;*wP)7O%^duz)@p%}vY8=f}W0+@Kar4qipj>i;o=PjJ2}-UV z-}rkmYSsD@^8i>E00`FHR0U?f*jbN7G}K9!ySPjt+gFX_udb^Px_Vqo2cab)+C5zJ z){jA&UA*Eo%mkMemtC|SqSxuOEFu$XD=l~}CFFJT@z`-KDNg?#wd!saD6L(aU$$fY zb0cJ@Q1*0gzFiGd$Whue>* zXum*=u1>EHg`s{fyn*z0t*;XamN2+#*1ZsPB{D;$?t|2J2fM!#zMAKGm!CEn5H`g> z4-c@+Q-Ng>k~ zZ7#tm%vdff<@@|Q9x&ELR-SUgr#?v__%(Z&Z*plL$%QTJAENY(zpi^M$-PC=K9g{) z%~cMceu`*oC<4juPj&~Bhr(PTr1?9lxWFS=4kq6ptmUl}e(jI_w-b{6s;t8076Yh&C#7K@WCuTH52Mkiq*#Z zmj-n?Sn;Fieq+jm zs0^Lnm3HutNbC?8={MD0-EZbN^8DrQMoOj+Q24#40$Zn_x$IAmuXsAur^qEUf^R2* zzq;7*3LLM_34e`i zzC2%%B|F{q&*|y|`4%O0Ya5~}8}x!#nduRQd8J6!rk~%sfZ^AqK24-o4!u;lL)CW? zM-R1JEEXEtg$@}EJ*0_%GQBX*NMX4@B~T(nAgQUpFx2wv#M0l?q+QcR%EkMkK6ca_ z8c*q0wGsbvV@v|A6EeSq6enZ*CKGM^+Ej~Lv8k}Es0Ob7rBZi41AonnJeEH^!@|>J zwz6N>yy#e4dKcUm-033ikNsETclfcq^nN^;#WQCMZy|G|d4z4E>!Zg# z?Cy^$r+lnfLK(E+vq?$(^$JziwuIIy98qB2C>D`z6slvebC{CqOjO4*m^=mSBs9Q` zWm{+1vAqzaaDAnAaZJ+{IEw8`5oMd10*a>#?INMSMH%}G_ffScvgkLNN|{EocEL=U`fZ;1?`(?|8I}G8V&~==^j8-d6N>#kxDPoizr`T@U%rvaAR`OiXq% zwz;x=WU3h_OQ)Vjq*2W`-Ap`BU&2rfoQs5?1TNu>KYrCt%N7r%H+W}?j5ag`+IL13 zZ(F9uu%@+#_!}pd|<CpO}<#S+kxwhZ{t?9xkNNYuXh5&N>wZr5 zk25E?k?#fimo)S>NiL^h4!ZwPN)kr;cM3$DB>ygrs`oSMm*)Ah8UM&y=8q*D{5$eH zMe-eExap@z)qrS38rU>#FM;c2Nv=cIj#Vm96F5@Ej}3oEL}wI-Jr$~zGp1!7!H+1u zz4?BV1=I5=ND0cwo% zEho9Ma8dmvK|8i?>3O!hXOjbpH6a3koPC>6!{gNy;rhlZaJj_>Q5g?_gUUQ5E5%Zrdo&hznQuU@E z!!#-1Gd}M8?M8M}dc+-G*K!<#Eo}wasI-Il{t0*Ret^tf)ZrbrdymNTq<0vZARsyE z5<;PAM=M`L&&Yf80B~k+CteazjzOE+T^J3l@zq?5Vr77ip7gdLG;4uwJG&<l#YD~`Em>Bf>y(oP&+H{cq^`yF?OZaw`Lf(!Mjr#zX`rL*oy&0&gxF@jQ&i`K zZyz;WEItKnFB-PDCVJjxoON~qXkGu6`&{R$S<38&H$+9?;uqKbvx zbRGwNS_+`bGD#0fTriXtK;XxIfFt;%D0u>IJ&mI>F_Pa#Ue3awtkkU8EMg)nOt!)* z$diGhsBR)lj6{YE`9f0TA-?6QZIZBLhwvSwk_8H(lBLDiHdYs*faO}aG5MZ9I@&s> zEc0NTGWx`!aRjCD74d5Z&m^5l-Ne(c22X4)$^uJMSJlK*uoZ7FW*!GtG8nI52ps|) z+=#ES3G*+#V@=6WnpbieOUep69vKUqxiG67Q-+qIlTz%?i)qqJ{3|AWkJ1|x=U^_U z>LpC26VHk~jdsdWDo^Z`@HU>0Ht~gLh25YtECTJ=ooTwq560evOpy#^o^GEeQmV`}wO}f9^1vaQ?8dS#*i}-&`1)Y5B4aN@#3aQrz5)}; zztMJvFdH6IKvd!AkYV@JlO&t1qJc$6-vVg*Wnii?r@Gr}z!xZzBs32L6F9ok`kn&J zJniTvujv`buCo;;Emaedd5dt&>R_w_Yc{li{=*L)&H6r3pc-aiKF16&#+Xnv&CpNY z0j_&H0y+BKZ8+4w_uV-xG{PhFOPjIRcg;Q^25andmp>aKr%qGVgt5>RDt;i8ef!l| ziL=I5LcQklLFap57zyouKuki4)I6Y$#2#YdQ-os?hGM{jGrqH|U*Oq9ByGI1IUj50 zvq|+mYfv3&J;<_Yi7PvMA?aTx6(}cSzOTrp8(JJ0WUlwNWwmFAGVu z(gyHRKH-4KxC2i9>xxRoZRqirRdv8Yz@P-r*4^jDsz+k~>iR&JkPb?HS>_zq9!+cqV#7=X7cr z?M*0c7t@!p^}1if)RrEZP+5IfxmOsmoyCsmSD|I!r&C?ua@0OFx2mjq&BoGCOpdU> z{?$Wx$T1aCkr86XwF@AKJ9pr+ER(uqU3knX{RhzOQB%kW6typ)DqNgGJ#YIXJM`N$TL9x~l4~6nDa)MNocz;U5Y5 z@S2ue9m})LQ_`?@bc_^0HS^}Qk_w=UYVEv)Y#6`!OA95OcTAfakZf{!c{_?t`-r){IakZ?`g^?n$U1q> zMcNAYg1nShW$J#*$clu|N&>qcjqP>rZo-_SMxZTIYH=drd8i!$FIPe%-DNg5MeG;W zjP1kRlg-Pw!I9^Qkz}D(FNmRV!W&$Soufw0A&|q>>QBQEx54n;8=Z&t-57F&NGOd* z6)O^4{#SHLdJV{-vBBYIEK@QhC|Y8cjCGc`Nj7_|l)O2w$CFr#s?xh|DUrMZI1lAV ztyd=)C;#v$gzR%Sx1(!v@9oRSGdol&lhiVwvrGnt@4yjY_~wO*kG95`D#nPdn=YK8 z&i%j5ZWhiADuHAAbHwhTlk(2y}p#v4u*JXs8_NLkI`nB(WEV zAz0`dGezblH$_Eet5E!WhF#)#ta$$c(<;{V^A{1gk%nK3@oy^w*Mni2aIF^>YWD*R z`beFZTDLuQKT<&+XO6mCHkt_C7Z$GU6d~Y-x*t_|JO@;4oao;%Y9yykR_DdYsn#WF zK2}PQi)IkZ*FMoAI+b@-o&Q_^uJI8i#o`y8?aV))TaOxcbQz&LhCpdFn;iQnpnT)4 zc?1h0Lk7UeNy%vMCE444RLKtSwwj)>VObO^&I#MxMzt3O8+^WOd!;PWglu5}WSFcNJt4$1j8vU78YlhlPtX+4^ zS1qa~itoVxR}|b9<9mp=TQ7ns7<<4y9ZC_gidz%04g)s%5%G6mQgX__l^7s6c{)J% zZi?{ZB%Z+jKG|q5@2zClx5cF@AnoXq{rl8Eg8(2G!k^wQ}m(m>2<=6{gfju+%Ma zm~I}ENKw9INqaM3-STynz%##aXfhkVl(A7ek=@sUxqyf{4z_dCO|>&BWddqFc`*fDx3Q zGIZ&kYn1U$lhuIf--UF0ZeuZGHhZ?eXA29y(FFkpIaYUF%O!8x+-f*SSPj|`7d7o{ zj7O|>s_e-^N;BAqmtWv0{PlF4&BuFz`8jAJMS7V-UG<3znz^6neX}&o7IzAo&7$mC zwChgGJM5^63ExBd^E2GOwqs)rOxT(EcWcFGxiYfaR`9RU??JN@xEnu`Zu$DN|KJ$8?tj55 zu>MmF{eQjoui|Fx>g8z0Bx_}F!=!9x;;KW;#>2+QP0Yo@!N|cy%+AKe$jVC0#m2$N z%=KT_SO16LS7y@G(kABQ`KRfBuCLC@^51J^=U`{#;rxHp@^CY<0Xy+OQOn5%q)Yz4 zW!-^&{|~VLb&dm04i3)${pvxdo}I%cXWfTA@s|kE1!P4O=>rr!7`wdAzWr;Rd{cYR zrNj-nyK+HX*6QTNi)F)$g5%eIB+#!jI4W5?Z?F>MK4aRS7os zKNZpXk?)HyY&Zxc{_?AcI5v=Y$v{QWtse)5-b<^D{A4|=kiDPl<>(X9c>YK)dZtbXU66;b5+}Vafx%8vj zLW6>W&WBd-QBhfTd+LN4Yq)Uy{wPK&)l4|J{|8yLR zi3RWT#=iyWD#6r{ytNs1({nGsKEKZQRp*Dlme&9(G63<4%L~S;*3jx1y7<+(`ZC)n zq3!A>)ahjUGr|U)~@4^1Y}uYT@mzxYd%e`!bl~p{U4*H{9Wt5k=LdXnj1M_ z4?Tkwdb-Dr$CLMS_m6%aXNfgkK9-@jh7~4xojg1jaZIjaR|@jsd*w-zPfrazek~nz z6VC5Dj->HImy^Qzv~N2z#)>wGvuVLnVmCoK_BcA)mc{DE_ zAC&fd$Z*w_(4X3Y!Vu<`-;)Hl1-sUHMPHhld) zcc^BvaZS7MtNw#w7K&8azj1I0^`NO9&z16ra2)L0xOw_3CRXK|&?6C#o<`KLPJvmm zf9N9y)3kDqnCIxG?dQ9L?29ADAo*v6MjrXda}Gl9F4Ki~_P zrp;#=qI^87mMU5AJJ%%Yv}*`R!P!J5z1%Y4K{$nENgS1V1;otMXG!y=6fnCq*>yTA z7^|H#l65{)NbaP^H~Qa*TseKp&cy>re2FaT@qMj)3X+}0^jdG|e0=>+p~kYc!&`&^ zA*@xIGe*_zGc01l#mO)_CA6qwxmzk^ZCh;O1r}y=Q}{|bh&NfaHyo*ld%bVGpgl`G zLu;@s1Ig78EOyRLwm-_0=LEQ#*NUd?^$wDR>PdxkvyRu1hYsb8y*3U@j`4{1muF1# zCXpjX)s0E_cO5q_C>{1y9t>cT%3N*B;JDAQ^MoEza6i~MZ8Ugi#_BHWz|ax492xsi z^yI(8!xovqz)8LsOEN0ivt%fYp8+d2;b9#?|!G=dKpdijDk*! z0g*e500})zDVGQ!2}%oJ6`6fRw4H6}q?O<%?9NTYgkwMG+x64>F;-{}>lBv2CBtnN0r<*V z$*C??a;t;~7|sm7tHz+(t~wH9QXTk{st=g{xqZV!WHMEv{AGLR+{FS6cV-t8oh7@{ z;c^Zr9|~UrR5X*1(mw$LZtydA9ab6~!5-s{IhQF~lwa?_Jcn|WnNZ2ne&lOy=e{rl z#L^4o#==3m^ltKbD}zSfN^q@JBpo)BqHQ))OjlcdyYO0b3&YSQ{`wT|RGePKXRGu@ zt-Wfow0uHTyjhR~sCmST_zRXo@Ape+ij~%oR4_|`Hie^9`!+GiA@X9OGa}@roL!~% zUg+hV@J2GODkH(w(>zMd?0DrRMBL@GI7D+8%~^=e0E3%zx90u7^=bk?%eCO0M9&V} zLYGGcp^ri7+H+&=anMk3=BlXN6{k=f9mr3@yM3^X?G0!xvN?Xrm(xUaQ<=x8-YB}G z4l}-a#x2DX=ZNXH@DGUFAUX9CQ372N-CFA`z4Ol>GtQD*NM#j*vsZE!WBS|B%Dqli44YWLryw=GKAMJ{0LV%o zt)q9y+Q_(LNA}1awy##;9d@OxKD*$7_V0pPKtEg8_%&%!FLt^F%zgc%){ENsY$giS z-2KFICBA4fls1rqkUbxCjt3QXM0^3FckK)U_~I}GO>EhZpd4*s*iBKGAfmM%*v$tB zD$l-n;q2aC?d0u#N|Z;O|~_TEQP zh3w-sP*qEnW9P*2HI(z()Y~jVz$iy9d1*_4wkYA(+yhaCYy0g8p*v^`#v_%BEIKW2 zrO74&+=2&6r(J-^O?mwmU?!8_{{6QztjkydLhFK;HI?2*3{TGm10G!Y?U)*=EV~w6;49 zm{qAgbrw5KH8qK%1K%c2IT-c&r3b0G6Tk#!8bW4>SvTfTjV=LF5lFmZSoW?0Z;9F? z8bR8#45bg@D9{@X5<(PsPg?$Ngf4~Jv##C|60i5zi&woz0YL7Rc@`(@q5=(}-huzA z(MRP(9~yl>!xz=J$Aq?g7`1mjf}Yr4Ns~SBgJ693r^1dkx&`W5%%XQ_X+8K(adqS1 zEjOe|Bw$C0@n?1YNg6*JoIR*BS^OVov|(p2=Q;o?WBk!_6QL*TRCJj^VkJY|Usw#8 zqkV|+qB{;kPr<1ODPbm4r7eA`X962y#V=VUe{0YK7kH9qfGzlu%M$wUDOe$}SP85L z;9M5O2BTSR_sn1NZ3*cqzY!!LgHjkTH3xVMyhqR{rf>mk{1%ib>}jf3)3%jtt%AEg zS~L`O&%)->xA|Vv2ii|pH=NYpRxr1Wp2<3K_@WKfy{zZS zvKm;;{`AsEIN#m-{!QZ_`BWyoDC<|DzF`GqqQDSAfgTTd$ic^-yU{Lp-1BecNl42& zuByGhf5xTXRz1>{T*s@~1~JxYFvd3qOvj2Y)sQ?-5BE?}U^SeYQQCIp+0mu^yLK6m zsn{rACe+u5Q%0iN&+xUJihbddu{4~p_t6P2<67S0l==KN2AM%}=LL-vt*J7d1Tu_Z ztgk30W{KbI=5YDIO}qEY9d>>g#B6kbp~fM61Q2!T*yvN=efZRRB`#Ig5@YH^Q6P`7 z_?)XrT^zfAXqU&Wv6<}9%;RO=?wC>6ITCa-`Hmet{gPzpJ%Z|+$;A&;LBf|Hg&%PO zoU4i7Qma%q^0S+zMh!nTJ2GxVnf3)Mp*DMH97b%tftgBR+wZ*P2V^WjJi4nzA~oHx zIbv@8xgj4i`@QyFLoyw5XfLxFLcJ_QxH>m&u=%Ea$o((6){)x(t^#-1B#yJz$v_|`I7sERk|CX<>oh=;~#|U{y1Bq>^~>uU-0!6xY=QFk~kf# z9nR?Cw~9k)U<323Td)1&{v?#Q9D_Lj-IaM zSe}UQZQB|!P^(OOdqQ=$fUePVO5~5&71oCVIjaM*1=6`i!2XP=h^^ZN(L`ii$CU8W zRLNGxxwV*pYuIh7qoYd^C|nCHPTUCSGuR6}dW(sGxF>_IOW7tiWeBvUl#<`?nMVt9 zkI)Vh``H*$N>P@w{U*79hLZypNC^~Uwt=apSjLZELYqDl3_}O0rij7mNcnd-iqIA< zn2R70gG=C6hhsNaar}iLj0{@gIw})5LZJ+&sndZ01d0I!`UgZ`N7G_nCa^>J`Nhtz zRyiQ3R@rbzsR~2WV(v%a1a(W}D58M+zaAtmC=dpG4n)OyOe&Zc=%Y+inb%jV2i!LR4`mr*og0(Wa0S6n$n=~>4iNA>^JC(vI**zBjk|gxdB6_=(F}A&a=7& zk~GZ2vZfX~x5~t#Jg9KK_@ZJQ6{5mLvScW^jnqO4)zGf?JE#=_ z9T;g&Q0R}HhX6OpPM8t+kero8EDwx?kXq7zpuzy5Mg{<(ssW*1QWM9TNC@xG!J%G{ z0Z4fH*Zr;hY`CBT-Y(|8_Q<|8s5+Ct54i^Pd)nk_o)>4H4_S?SR*mJMm8sPc6kQm* z8Eb~XnV?un(G=q`T=jZHkJ~_IWD_){dKVyz+)LU5Bxc+KYboj&w_ha}AuV&jZlJbY z6c!-+!mir_I&by_g&PzC^q*LMgB+uSqC@$T2iOihQ4ee*L$bT2HcJ4(vVb7t-|0Xc zRm&Ipbc1)X)J&q1@VP7!JBMI^0jDq`(6T81OyNIR*kETd-XsawFhb>(3{7~zm4~F} znpy* zFtLf2d4a_kznu>mvv>hU=M-Rc?xE3r*b=o&p~`?|h3eegpx_))lSSvfImcIL%I`v>_#g#q> z7qXeOH4pfZNE0o=TPv=VVfeQ*{zv*8Y>93>9UX#kbn29YQrKTpw>JFO^f{BwvfE={>GQncZceUtz2<^&@cT}50BN#h!ZpIL)c-)WX1`~ z0crn(>0ffR%*l>UO=)s4aD#Bz)`6OEsZi*Q<^0!u6lA2Ja?Y73SfZ&^5#~X%;aeeT zt9fJSSQ4pJLn$M-A;8rpJJ%O3gt8eHl`|xTYCTrLmK6ddKR+4tW?%v{%Tc4~Ojc=3 zf#Uv1S_K8CAsB+e`YlVLB=Sl#Y~NBhK@^@Lo6fjE9*Efd$gQGx z`s(whF%{>I-RQn_W6mEWdvnW^mCKaZIyr6Lz8M_Sz@f~!s}va&lJwsp zD!e=rQBci$c-@3D-?`9y8UJi&r4wsyddIz?0w+t)fLYwO0riOQ zX6@VP|CP~(@web!fi9hd1NyvO-RQBimgeBI$Vb3=S3YApYEqqHpr(C6q=>J>|0@hj z{%G&Mu~~#ddl_u_@&Lcann-zhqb`xAh_UGDh%&or!@8a&@?oOr(~{hIX=L~EyI=Dd0`#~775?KB(jc=!1syXJ;qq+=_BY_^M3GZ3Khx~y97MOj348hszWxZY`VxX={o59Hvm|i__GAW^-!j+y)j3&3ncCQJ>h}CSLx(}<`^(^E zQ)AgrxxUBGtALbOqI*`OC@YP|_A$X5KKK zu^S$X^}vr!YsQlPa%rb`+%lg003l|}X{PUro+*8W);7bB>%zvnN;v_BwoSwiGel+2fqS<5rkk6rTbvQto=C+=1Ofr$r+9<|AtD-WHkH#6wgv}|w=lpY7 zWCmtsMurygreD=v_lnBmeHP=AQ0Z4(%V5CO=cNesq*|^H1`=fWy8(hVwdo8pXXIU&x9)0NrPP^T3zc5YGL zZ(AQ#$c#&h{X+Al|^)XzhKCN>jWc*{32T*OYNPc zUtKc#WN`m6*rCmp9F)T+vsS+tV8kX9`qj@h2Nie+cfWu%2|NZ$E8d!WXVo*NV!TRk z38m}V3x2dJJ@j)b{>E{`T;)tSbX7K_UJ1(~WG8x{|WXu?e{NCW=cEZA5 z#q+5n*7PacV6!_k6hCpLJRbSF=Xt@d!=mOdBn?LLU>zC4!JOZ3!sz~GMr!h**8*)& zHBIQ5C{Et?%q67N>KWlW1K^3mqZrQ=22udSKL-jo?Sqk$uI>z@2=)ghGS)wfXd$5~ z=IS`$!RU90!zl7y1Zs5VlPX&Vr$yF{aU!(^`bA#RE}j`2;cKIXL#)VuYmsNxifxFj zE5;+QuwPT$i}0Nka6cvlNRWJY3d_A)2F>SBZa1;VP`h#T-~xwkp+*P67b4gOLkCeF zUJeiVDBHJs77n(QC{)3y7Ri5wi|>o3lgDurt7|ciujzh&DHQNCI&?VYyW&d2=55D< zJv$LQlV{ZwOEQf>syy0`a2T!MrM$KBqr{wJql?wv97hN_^0u+re=R{s0wtSl(ZsF0 zju2?*8l^m@N&g1ekVinj=#icp;F< zd`JJ^07FXrxBXRbt`g zh*@%=G>DaehUd@s%%hCnJ;wQdt4Kd}#(DTFE8^&4k*oL4W&$48Y$3!e@wk$rkTW6LBvSB}M%M=|KF@*eG(wOG z#ScnH=cG^&eF*IXxHZo=u$V*j1@3FWOyx+@Js9ICUPq|Hj;BcaIb7|Q-+F6&h&pVb z{#?8Hjp--TVzzfM)vlT9M0VNMUu7CxR5HBxdSDHXK|8})jE8d&a=#>?CIr+?+ttw| zC4OTdick-d6?gv)AYO$n>^R4H5$0fnRbO$sHL?y*M8=XB3$G z16)0ajTLh`e^H&zr{ltY|H3oYTOE(PRF(UqpmX8*vv1|a-@TL!<-ek}*#2Kk&;NS zH}|MfbRC<`AWpM0$zf;OZ?M0S=s~zUC*eq)54q3XZ!v|lCA%W=u<<8W!E-lOXY;WE z#D9uKc^@7XE36iUe#P!hXRRjX08IW`x$3c{&t--eD||k26>BKu0G2gg0lu$GJNF-JhA;QN?@Ll&ulFZO)stO38?AQ+{W(JG z8y<)6&Fx=&zBk3i3W7*TpSSm;Q|;iV+DprB{45B!e!Q!h>0w1rSAVl?`s0DSrK&r* z@x+%mM|3-n76qFOzioh@cMIPVXPlEq8}d-Q5x?)vUyY+lg3DEpSPxwpe}PTCt>+u3 z*EpuuOuX^NOAkAn;iiWV=%)>w&z)_eE<2&~n{dkaipHI*ov+_-+kP-#k9yB+e%pTG zLK)j%LND8fi5<1EY*!bc1v6}l3++$ac9yH3mJShan;exwxO2<)ybryN0_jz_8p7`+ z;%H2|pF>>CPJqX`yDK7F|JUP(6Q=FWoKMKFr8gu|0Bgg}((AR(hbx>Tk>A4eeK1ju z;EPQRxuW%VXu%+gBRsb3wo)g1%pc#Pik;x5e)6p#UH3(>?2`=&w&TeueCjA61lpov)$up$>Ztp{cw`d zpKii1(+K5NuO{Z(6>1t$utU?wFqhjoK9|#Fz()9$!4Ut;MSD2ccb{gXI=1+EO`}r7 zq3f@6GWVJ}E8Ev>w>Iw-WP|WD!I+2NdqPB)lWj#N8=)+x*J~@GumJYo!(1Lud3SxD zsJz#Xem)*oQ+e=2M1vTKk#W(aZ67;sE#qI_@Fov5>t~#s4>;O5qkY0yL2X1IF9%sd z{%;^zI}7cp9(ynC*XxZp#ajWtj;_FHKkwY$7p`SKFup!c`C4vY|0;l_t0x>D53MGE zJ*3#2@2szq+|#72F&TnAlQeR(#@_E%ehDh_8F*s1hOGGuEpvQcFX%?J5L`HwgsaT`zEav{}N+u{<0jgD%BV(EX4f`OaNW9hIIp z9A={;LJhgujJ3%o>?Dk+?RICPzM6xv_Gj}=xqCw#A~JA}?7R`5L`(i84}8J_Z1>UgorElpumq2xKB z=iBklHErOTic&6w^T0eiK)IaivfXQN1r1L$qmw{2g~Pb`a&eH+b1<94Ubn~LhBLh5 z4DA4V+lC!9IU5A_nq3u!NY z{lp(R?~_HzCiwYpp_BJFWAv*LHAc@wH_pk36Y9?_mqWjxxjdPUGJxRr*lUVf&Iw@lo+O z!VJo`XODC*o$#@^`#r%^!I5f2waG;yeu&om_-P;HFfIH|hGOOvH3imor*3&o7{sKN;Pj6IRx*@?KpO2tU(_-|s5%jquGx z)#q4CM=8=@vPT8GZ{$ol(u?XHhs4NL1`PSxLMZC{V792a%ak~@58-q4{9^5j@Qa+# z7pp!B6M$$YAa+LQV_{-5GU851QceFQV)v}%0iIqJ+^l9?$7l=`F-m%X1CG6@{v3f9>kmv>PJ z2`hTYReBq0ml8>9t(pLv!OQtAU(?OLG)Fp#i{%l%hCGYJKagw_wT#3qRj165zH&qV2g8)L13YWrjHXu@Xs9|AC2CD$@~YEwXgw|DvL6%m1SleB||?0*lwPmz6y z0|vo^iH}s*{mOw2T(_%OlYKGaji@}Z4?Pe@F8Od|@0qVnW<(d&lP}H|IZRtE_};l^ z+T1i0Xw=5c*|>dZnnocGs>1H{fiGwlIn_5s4a2zIw`zpQ5(ubi#OI!xM&kT*eN$;K zb>^u|Hux_6A-m@xCLAvrtD=;Q!4Z8SKQJT{S{D$um@PumSq&!7T{(s6PPOi_Zj`x_ znFox5t~V69`nbmWJ@|DCZXd=O4L;4#)#QyMaCVtQmeX5+ldLGuW_gswpyu4g zZ7mR#%RM6XH3yj38ZG3?{~yB_I3TQj-AarJ8gP9>bG*)$J@ZEkdzL}z`Z|$(=9EMK zGG;eOId?i(TbqP@b{7TQn`O?*X#UIj_mThY{Ji1Cc~P-rsibd!*mL+Tw7{Fw03UH* zPx;r+c~1M7uU2kZEzrEZ2v!JvGIWThQr$3IJvN%`K8j{fID{9${t&_FVKpx{&|RHD z*`*b34_I@MT@E($0219gFbmV~gHdPO;^$)sx(s=_@DnMoL0nfmKT~#LBSfN$<8x$f zZXo7c2KF-Ev-_36P4+#n+56UAa*51vxFb#$Ji)B%oX2Hxn%BvpAQG$;CvfHgNhPqg6TAQsD&SbCz2XX)RPswF0&q> zU@H1nLoB?N*S1^IYUgXFElf^dwIytoU{xP-c z)=KS^pQG`~qWtm5E9Xm!Zlx_FJ7BLuOeUxOyOtfjcFD@msCurVSHHM5pi`cV-zRIx z?q>`;<$@C6O1KWB5N`+yy9Ja=){*@*my zm3bc&}i&(VNTa}jU5RW|sT0eNIRn*D-v}dVT!l7r~_ie#4qL#ZO z58wCPpIx^5f-!4Y5Ck~^M@Mi@yNGGGT+OJ(v_e zI_f`gY=RaO0XQ=GLmS%K5_Luu&ODRi+Cqu3ULR=;gpl|&Up>iG`fU?8iLtl=FT0S3 zqy`92E0e8BI^|676@iTP5;%;>wo0sIzr(nkbi=#~soPWJeHy#lCey1os1kTz-!9t-FXDJg1 z647&qMQ?;3WF!HaJkR51oEhDK4C^%n{s-!Pq(y7)ir`dJV}(T{ktVXk*{%RH&V%3Id6!$eDTc-`f#zl_N-)XR+cqJsh!o@?=)smjN=h6@(ZoLye zN1`Q3hV=l7-{?rPX0YkL!0H|rH+11TYtp?mBns(51w=2Ig zjY(BIO4Wn~X%pmbAyc|L&Zda`{f?}t3L8-C<{DY_bo;EhaI$c5a?dAxN1|U#rKG+k z#KU!w)F6-`=h8o(+H?EE-$qvN2svElPv;;Kq+|JiIxe|^VtzheBHeFYe!R5vDXRV! z=9|gR>G!{$4Uz0IWxpkTKcM&l5#*}1l;g5dm&MTFh*a-7eg}Pq!zdHKC@>O&Xo3Cd zdX4-X4eQ@EWYVdHfw5MeA%Qj7(D|R@cHpaUaile z&h}II_v0LOPY|gKzU*0ixwQOdw~TQ+$b3%AUm-DpztcW^bow>6W4fto_>@VcPgosg zzf-@wz9b|J{)B-8>9w|(2?IC&askmf)h`fRvpOaq0IU^4&uCP~|2&EvrsPSo8}D~J zp1-&G>zzU2^TW{(LCzjO-ux&oN%Z`~kp$HT076{Kj0Gkoy-pH9KImI>#{O(p1w5Qa z&K3QP-^x?BW^` z_{Bc3)iVXB_h7bt@>8{62fA9Lsy~>4X!RBV(Rt0ds6PS_tW$3>vVXZ@-62NZhR@dT z34UfwixAtnsoTCDRBo}kDv0c)i+95|-Uh@Az6U-Q1^-ok2-LT6d8--0E7J1!4w#Z* zY>uax??8+tZio7X(PqZi7oK{v1UTB!ODCQE3uEWtc7c#E+5%PWFAHsP>*`m0_J1+< zj?tM0O}l84iEZ1qohQ~zY?~9?wr$%JPi%8y+tx&roG0&l_SxV5vDZ33vR1FVx~s3M z?mJJ{b=T@5Jf8n`t{Kt^2-uK@J-{pahTU4GlVEuyX) zjc3b`a-%|wMTJj9Y_||cBXx_1)r&e*hfT0^l9M?Q9|=VDaHNz;U?YyU7$z?0#Qf?+ zR!5wSLAHIAzA#z^L(%XvLjflkWePiW7MCuQxcxgdlT`Pdg9>@wF&ab%hM+j|Z3Z)c z9)=pb;vKA@rs7>ptYS)Mt^)d{UUo`1YpRHtML#*9aVFZj+M@peBluVUfi}T_j% z1%XuSr75UN69DUD2tRC zwdaDz=}r!U$6I+NO71)Xilf>dOX9$);LPuhdWPa?bYJ$;ZJ%+&PN|{E7;Ui@utJk% zvd|ZBsIm9m!2&ekXjAbCE?$YnFRcsO-%m`xwAujl zjm6OzmthV=zJ!Ng!WHe)|C-Ys1{^u~HFD@n_y`nw;W`Zw(gudl2JZq>dokpDI|CKe zdcBK`Rha9j&>^}H(N!Q(3Sb5(zW|}!0|BAj0|5;I0ljxhlc<1y3DLBGmau+V!U|lu z=T0_zwiZX;R_ZA5SDmP%KyZ?@+DmP5^@5C zA^)dv<4d^VUA15SQ||{gYyh3We=+|BE^THn&mTs$9q%GG74AAJl%d{3Z)r%B2$+E* zS)jEEPNlllqc3Xaiie$A<)-pL|j zM*a2s#z3$a*_K#zuHADul{w2v;e|UZRq9`#i$rdJ$)24ixJ3N0l)o#2STg%<` zX2>Ih=r$F>QQ}|*+(v+yPQEZbco#K(l%l~FBQws-vW9cZVY`ObHWWhVu}v9;%(TA# zzEl8<2&Vg|0FDv{Gw^o+IPUpt+`D&GV-_N5yi(gdk~p$MIb$uapK5!VsZ0idt z#Df*0G(A5L8pSLQvIM(vyr|wc-KN5be6~v?@!3{hyw%pROzdy?OwCo-RB*4^q~J3E zT4Z-|d1OThT~^UZ`?2WaK*kIbUG6z}=>cgoDT42uXb^j1bmb^i+9DZkDL5h!J`IDF z`BAs|dfE6$GQ*a)`Bpl=$jgCGcHH`%W>8dSo81*ezT4nLj7ozh#Ae$-mK=z&l~Yn> ziHPvb!CQ?-aOIdBqI*hden*5JI%JnEXQCPjMs}^C+>OttjL^>af$?%l^<80CJ?zA{H!D70P}#0O4AfD%5;OgoQX~msM>1-swWF zU4{cV4YHF=24YW%0>Ui<4TAp{0tE3GmWExe?so)z9Iyk58p!kGF?%QAHwgC9 zHs1~IDZjr2MF={s!$WRjw;|rEJCjLkm#qVpP8?%(eT z(%aa<2m>(L$uv+Tbq%|&!T6MiH@CSu4EZpubS3%*YQ{q5p=u*za+xGd%vCsnY@$`h zbCXttyOXnL8k}r1oYfd?ZXR3XtW*g&<3y|~c51-ztJUMqXuof<0e^;dNcwi?j9ar% z^+YC%^Y{uH_936rv zE+38{xCh`oh!z=V6tZo+sm5B4U`@%thUrvv2xN6FQaGhTQ;oe>Vum;7Y3q=2C9C!^ zQro*(jlE{@6xGJxOhupF?L6;3-G~+oZ0V>pWp33kp_G)7MCY7ZpvNm z-h>0I!Dry!jG_n}^x^T+p8_1kKOyp^UORg2dl)_H6E!|H#dQT=YU8NnN~rXkilkrp zxBxQ0r04yL%o87&Jk>ga^N97MxFS*>pk|rtI%N|-OpXBaesh?=S9a40ekFd0iJoz{ z7Yl*#&OGeHj`q7AH`fY|^iRAob&umstCr8g#U{Lj4O%4+mizf;4we%*XCn@k+v2s- zVhW`&%Oh-ua1^wo)-9TRaPf*|d|H26YKt;&B6G1f;l)f#p%b9vzVW!FJL*;1X9^35P9RA~ ze-cUO`qkY2NC7ES@RQ!}IiKf1ej%zS?uGGv2m6qq{rvO7KzGyApvdXmRj@#;DB3TOLMY zMel|PQ~DHBpNaeL(4Pg1sVxjpPzrZy^sLRL&JZ3RSlwG|AIfsYXu5k)73kwTtdYn5 zSJ_vtj3t)t1eP7E|E|QD%F5n5?x*g?@PyH;j}EEW)%}dAn+H6c)(YnYzprOgQM_4n ziJq%_)FiS{OvS0t8~!Te)w*fy9mk@cq#)x^Q?93f5y?+a)7TH+(3kulkFpEZ09R#5t(YI_Qu!n0TiW=Y5Xro*tshpf8v?F&k)fei^qKu=4I>CK8yR>G z2c_g#Z|b{@D!mI1d{N~_8(T;5p_h{+LN<2yqD8Jp$0tv-`8hAUP?xs87L&f-Lfj_S zy3RdB_zi4AhJ%7jC_dSKhZfB`f|(*%LcF8ePt-{>rO1Calvxm`GAd(Ib_Uy_Sk^Xz zsLif487>S13lFCkWj{O;=q8<;HbiEBZM1uu3L+hvR6qwDA_4&SvSz8;iY$*x6Dt*e z)5lpj=_esg8_&|gbX>zFLB}CT@!y1;gJHSs^Z_jJZ_v#R{iB?# zf!pdMUbqG#iC(YfC*mLPf8f%c3F~Q(`f6?0``^Yl9(SADh#i_ewj&^Vx4NSqW%Ffb zZhb|!jAVR?svxl~c6DM8*q`zFDABE*R=IIaLHPj~^@P*VN4fQ|*c+qQ1+X(p5b&9? zC6=*>rs+FU`)?M`8t|{3b6EGsx2D-U9HOJ>;&mfw4uMMMw(16tH@K3N2Th!I`n3Db z!kYt$%Ji3`kK4z)xBQ(p=;13**I`QLJ53%}G-`p`mB5R>T3bzfCd=*JJ!t0xy^)Lq zY&+(aan7e)RN(4cRyOYKKv1Ke1UiRGOua`AcWai=ua^gCFz&rDJ~0X1-9Bug{4V{n ztT`_k`7pQt^n~S}?bKUZ5_%-iK6UYRCiQ9#R2cPZEHeiUblO)((Q2f?rel!YN8pLU zTZ>hAW*CUYhh-Xk8x3V|pF-+dNMT$*;9~yCH+{`k?t;|a1B^NeKOU=V6E(K7+yEBz(WAGK2SdmY6ftj9i5*}7Fwfi70QONE$=^_&p-Np=dPWx+q%s5-q^rJ}>PI!g z@cu&Zg8%5NH z)Z}|QtKmB=o_7}H*&A(!4opLguQ5!6C#@vbNHGP+zEwC3bzzdQESOd`Y3UkD=2Byv zt~^cyLGD0*Lyu`>9wqm;O1WFoaBhld<{@>BU7;TTrmzegtFv%hw~e8?lq#4Ib&dVv z=zL&oB7^Myv-38D4_KH#`ISK2M9Ry8W=1DyUIIEs8coR zNZNE5T@D>d58hPQrwJ9q7D8Jri4w)APMn^K( z1;9`RWsRdZBMlZH>oWMnedUGRQBLJ-0b2g>U$2}LV5mL2A`nb_&=!R78g<~uHHbV0 zhi3P$seWfk`3jY&4UZ-*$DwQLNqv_l5llJ0u;%g$dNc=rS5y`IegO=aWUvLB>wwl+ zN7jM6d|AWE7s_k2p)s(CqRnGWi$+ZyO%rewCRit@U@94(y~mcG$!o;NKhRpr#s62q z*m}W?5=Sf>H8d~7sv7zdci#asXU>tJ;WG9TME`OL?aU|#=YK3Bv6g{wVM*ZZe|iXX z3sq4rc*UP7{1+=YfDWi4QZeKed$5kmxha3N0QtXu1xALu>kx^|Hj*@7Dr_R_!q27Q+kE6#jTY``xGh9>7!Y>_{_TyEhNvYita_+$N{Tjw7E?`(A zOkSlH>TXI7r{Fj-X#(^6zK(H-9hMDM!+=VA?24=TQXsmnK*UYvbX|6X%OE)VoD?yM zcHJ+s?30Fz$*C_awicy$dTkf^xn>VQl zv_Iw7+L3U?$btL*za5zNGF2JLTL#pTz&aoCW9=d3_P@fOU=;4^`u1cN3Rw*IxXyn$ItTazqFI!pxf%pdrpqiPt#c$=nQ? z@pkeu>{~;v_D1agu(yM#A0D3P;;Wk?m+Kj;B6l znt_q1mrXwj$kMi|rWz?D0g=f`$iL0T_8b)ovx8@`WKBgJ%5SQMf&nL)CH{9>a@4`K z&4`t57Ayt8ZZ!F!-w9I;dPG{2T`5u(D-2*#W;tZ-fpjjE%!%(jwp&3Ry$!2wQu1tb zKEV7m8&&06{Tmye$~IFHVv%F4%t>ZJgYFcjoK#ZOY3F>K|DB^$ad0g**a9sKb?gsv zkScaYQ?2xmW=9Sd!0qCoyYRS;JIE3JyUVzQI|wDtyNe8wMz4|Iu?+zl5%I`nyODHV* zTTTYIR#j=L?kE%B%g47Bc(-F;!CjXyw=EI}%_0i@ndD7l>{WM&E^QHh3Wz z!}@E}QEeu;5 z5TX8KgULz9?PcR?Y8!~yG zs1M#&Bz&%zT$))_8`+4RCMEH-fB~8%KiO$4)dJ4wO054&5kb0Kj?_wEJVOaXc!|r` zqevj2@nao@7BOvP)I+EFbl@E>$VPHB9mx!Hn8Db}GNOk||7nb?o5}*`iji^pPN$WL zBmteYmi;ge)D5uO%qED6E@Oahu%7m4!bb-J5h)4QMucr8F4kkFI{HPj7Kkh=gVVXe zy%87>$Yk@GQvkvrF7x0Z=8T=E9^Y8&G{Jiu#~`k4k}=)HglFVm`SsK6#$mn~Kbz(p zxK3DvqC}Ebmb*ayAB)g6{B`|_m#&LDdgTLG)pG^cSo>cXhU9w6KUq4&hg8QK>z^14 zhmqgfG1T!333iz!2hTS?UgU9$E0!BL}sB zY*bDOeq;yXE{r%rCuE7_PtKIwtBG=I`vY2A=2}m&NDI(eK^eW@wtdC65T(v|&N}WD zT@Qa7R61fpbOpD4)>jU1W2>sOYz^(|4`;{+n6UY^ofq+Zms^NjiR_VFVkD2gNi4Gv zhLquM)_A^Al;K|7wZ~>p$31eCxwHhEci5IXerwKj_Yw&68gN%5y6gk6Zbx$5f*c&x z6e-E`v$6?&RIxY_wvZMMkQ=%9bk(#+LPCXO)OPgqR8E^S5}j+1XVkjwc$%OfBa+_v zADIh5py&Nlf)M&`X&DVVR|U_~&#CP3TB#RN!3afJyTZgk;ivv8$E7zkGi-Cfnk z#asR`Q@B&duR2%QrQsZvW{u+pxstulsKXRran8NN%vq8 z+c=G-Z?ZoA`&aJ*?`u`TADj@c!0#a2P6Wu*LeB#_Q}qf#rdVOM1UnNY(F1u`zd?;d zo@N<#6YD@o=l;OiZ&WrjA6qkJvkqlMT;c2hv z3I_*CZkeVp5N<^_FxWJag5lcSzcj+3;h@7uMXEvmYWC*CgH_51rnz2YfpjJl7K>aHr5OK^yR}e2{!@Wp%)jBow))hj{qk z7@Kn_PxU{=A{M5j#bi1f5%oZhuUvg)mC(f#k|kb`_a!$AfLlLQ_-xo}<5 zAFlpg?n~-_nz?;G&n02S5&gT$Sd|a6TU_1atMzRDe7hR*TqgA&wXR2FNU5>IL>35`soNY z(6H_TwRpN2waiqP+|6#h7!xMqbP25KaiMbkINq>sYh*5a5gN3s^*5~ce5AHj5v#DCA0&MF3FU6%+? z>c-}jE(#aDzru2eruAR^e*9gQ(f@Zje^GPg5yjonjD>9(j2%%{vvPkr2v-Cgl5M=i`jg4-85F$_xb!TM&! z&*Sge6fh_8tex~*-WAyX7I1IdDnBtmY<8x+l+M`?cwl_ zh;AN@Bb@rReiNhP)b9&tyt(%S3xn?XHA|$eds|$7h^wUA8q+pA7Yqp3ajO&MP+fae zzqgU0jNi9A+_^IXGd)+pad6(AF$wY6>=A{ zsoT1Ks|x$q-0k`8GpI)|0C!7Q51WgCef`M|%bY6~YRL8PCExbZ7T5CMMFPdg*u&YtV)A$c{~`myiElXZ9lx~kF>9UZ7k-vEfD1o5!r2D zU+p9zVWkcE^_A<_ohm!)Qn*Gbq-TGjxyzl6Lj$wGBI8{omn`Z%9VpTFLs^;%cg{Sk<1o(r zwVz*yH3n`N9>b6*NrXuC1eqAAl_A9=P${k0j2qJNlbJgKc;z>jXB;ZJc<5)2A;6i8<707f#6xh= z_=NFqNue=-QRN_)0x;kc&i#`=OQL>4In0U9yN+Qs5>)kD0VNog0={k*lGeK;6j7!E z0k*jv(8}_Ja}M+R06OIE%(V6IED-oH5Qs%F52ffwNk7*F&QcP?V@6p0I`$hRLRs{) zLpUKR%RLI9RuLzJmO?>^#{Xf=Ik<&~nv0#9L*Hy=)B`tPYQ0)@)1$|lZb0wLL7@as z3@R(GmPG&3E5wUDFpTkx%GGtAhVHW((*%U}%YC92HW@ue~ycFjhQyvYiNj%tlq>Y# zV6F*^TVTER8Fk!USl~5EHzIbF4_ZdM)959Nl#BJ(-zvdj7~=Vxg}qEv@sq8MP7*x8 zq*{1W$#YPQ=Tj^8*UQ)x^tVooNTO8@^24nS%Y`vGU&<^1@Ah348YfmEHPrZA0RTf` zmBba-!_^rHv!~e{<}vI);>Yrnz^Z{Y>I&r&UN0!r^W}<%Frea9SI=U&87C6DYErjaNr) zBE76|Q?PS?YiI@Llx#&kW5aw(;+6}J)Wr8YX(SCFSB41;!huv9$b!E+br2hbQ3$&b ztkC@RafjEE-X>ZCp>9S11I6GHxB)vsIU;#_OZ_P*nyUoY)mLdF_o^ zUsDqqjg2`slmm)mjGf=a9x(DSfNd3|q|I-Fet6R;BlPtY{m>txJ`(>!bNT)XY~G!0 z9m@1|OmLV3!Tnm{3bV+zJhT3c`5(3wcOw2jbSu-&7@Tv08EQBqFL&!7$R_q|pBk8h zqU6`c5*Udf5#aRSqKxD?ZBmmh+`~->TCxD})bSvr+*rm0NWE{gGE3A$NGF|atPT~DaO>!|+2dP~2Md0XO$<0G(tWT>Q&DkbGbMNz#?3QFJ8P7lbNH13bPvIJsG6_ZiVXRg~UuzEfYm$>E zZ-{Xx==b7-r_UBD{!}P<%#^B^Uc(g_z((pj1mi)I&e`}gS!4o(>TDQ1%n z5$$J#?(?s!W3NT5hxV9j?ClZjh2uh2w$MM&N&sjd%%~Ok)+}(y;*nqk)uU2fIeXH%@QbG8o5khI#6gQVinx*T5Ud(S zC?y5ZYQ#Y)xC!t>?P8RsJk}~JN3_jRU`83JYPN}w(Gc(uQx%rUT?~p&V{y${Je1;# zg>ou=(ltdFo}h)#+USvI+==7L`HXNOi}(qs;t#A8)u&Q9X#l@=7mZaE(?Wca(%?q| zMM@b;6B&&|lnupkE-`?mI@IbPjeIefh;sYNXDKV0j3|1vB_FW~Ii6U0bTvP*0&1MZ zkVp>VigTrTaR(SGmJH6O?Utj%?fgx3uVNnO8+Ve zGBn6yC+Olo&-Hlvbzrj@-ZiTaAB@Z(Is#dbdA;u%YUUA%B%Cd zC*pWJJN))PKWdi6b`6#6p)%ia1YzlhaX-9EU5R!#?x3E@@&m2Ngg)oOAVTcknF^@D zP6oC-azD~;E&uQz?5dxYb<0|+T(dND6Zgwj2WDq}(r zP#Flpbr)ybzj;)8YcfV}Lhl}FgUE-o+-~f8Q_we^N|pJi_m7!?&b_f*>sTUE{DqaB zvO3bsh>#Cd73lfvIqZxr1nH ztG_$jt@W?hLs_HrxR|M1pR#W$wr@A+}=$iU$r8=H`(=SjJWy58!}eT z1(Ea7v|P!o-_M=_&TGBI|KoerF2x9@UCQ1Y=#oub2 zFCnF@w_p~7tgq=&=u2)UX^g8#*{ z3BK@7imxTzqLht{!kXtPskiJ@{xL4yZ>hNDFU4h4R(*`sMRerrg@nXE*tJUCo1_M+ z6V^o73GT(|5(xv(ec43pywVT4_WgUa)lsGIeTUq+oc4C2zMIKR=U&rDez^!zHqm_Y z6LaEJvzmxj)p`Vjg>59IfD(+rXBmv`e&`kZs*ZRrNPKiIh(VYQ!cumrMBw+vCVlDJ zvM=^i)$UItR-R{lSo6xEUsN^cS}infeg7^YCcddfBq~;5Gyo z@4MJh`8<9N>RT&rx1sgSszo&;FFeYNFY}MxZo}Qgy1u$gl#JiNQ+d+3&HQ@ZFSTLc z1&5g^L@FV;1K!Dx9Tm`BD3-vx{3djkEqdvu2%>R)QDEYlkDw?quP*#j3U6V7|g zQ5ZryXSTXw*WLtaUN-w%_3G&8uK)h-s6UlAL}9mEGL^Sut=DHcCe6yN(A=V^u?SBB zQ0`GRkZKURh|_5yr8HycI-m5;l}ca?(&RYAp|yp?q&ZNI9`PoV8?{BK4se!xG=9qPN0EGw#2I+6oU^r&3r}O^3_)p(h@q?c zk-bMo#r_B2$JtvsK$moKVreY0x5@CVk(cr5IN6hS+QvVYM?ui(T`K#!=X06iO=%E+ zuj#cuWYjml`D!lfcaGt-r6L5w_)z`ScZA8sxw=X3tIAP_!2fWN%~+M}-WA*RROGO?dEvpMsJT~9~ra(>l9b(bT7T}%C1soPE@NFLrktj4Ot{bt1kgnn=Tx=Tg^Vhs}BMEFh#*_Kje6DY*pN(Q-QCO1+1@rwxwh`0v5chgKi$SaVco&%4hg8xuXq z#v%K6kG%fO29^TZ9uM~w_fyx1y31bUV}#G$yKKldH~M2|H@_D(1hQa3o;q9g*)(ns zqC=Tixc2F)IHAxf;tp;}U27peo%0FpA9VvB9qs6KOfxic+&pDa78({t7B6o;L_(fk z4lva)?RRBv0DIlO_*Rae2fZRMzU$%-6t$x6ZtQm3cnZgxVR5ZnWPN+bkIyif{PGIr z?M;p$r}Jxz&eDX0xG#gBA7GgR_dRKtVtwb;zlleOvf#PyU*pM+9|$ETFSF_c;9Fvc zPLy5LTd&>R-UNLeJsgHvCL8D+432p&yQgNrw#M0VqvNZHe=Ko123j*hsqQ>X<@^BS z{9a7qOKTH4`Qj69itP1x-DbYGW`0$7)tB1?%!e0z2(`Talf|072eK;o+7ufn%z!Qbwcgmzd_S<-O|h% zJnk70B3<)8?yXORs0+2+5WLyPDks=g2MQLB(+cLDruiiP79$+Ln+ zlwb$uhrg1;Tjw*V1e@`2cNL4|g$NvEVZW&?<{0Jsa2M4S{!jYI6X6<*ow}($$`Q?& zDAjp#l$v%SeFbzc9P2-90aa|g@*!Ag>kM>zvVi7IsL}0?-P*?mf-J%ZU zYj)!o8H*QOLQ?gDRtMdA%h4WSTE7!*!fj@>%$Gp}d{8OR8tt)k;4pTh;Rif_l?dL; z7PqiGDgLn^508VQo=(S6o-L0Cq@Yq)EL1b)Sr(EtP4y{iBFIeDrK|y&sGYVxvzoKo zAa#wy2Awr2$IygpT7*+Nf!Z5VrNPDs2U_wK+7_Pi*iEetu8CUqy=<%YfWK~D;0RO zG{xNRXy_}el*3U$PBfJNr&o-)HjwtayN$EWNLI;Zur=nVU0O!R;0-;Lgy`*Nh$RD4-16138X%L$ell*l(<^-at>EU9c`A+ zZYf_K4}jV|>RL4gMzT^MBfP%%?@{ss)@@eO6xFSAS#1xh_p_p>v=(=33|AAzi5F-L zH6+&n;ug!`)^Z5AQ};k0z1a(RcK~7#y|4#Yq#?i9xZ&@>jl_oQ&uJ3Q($I*)F(|6ZQY;Xe@djPECtkMxfcl z|7@mU3FeL?|F@S(o8bN#H0XLnHpQ$KYli8n?6FI9qBD(sQA#WB6(O)aRQZ1{n3)K~ zni4XCmJPB}EDYcVvsX2s6HFA6sSTwf_IZVY453XmG*=k&<+yTz?W8kQnpqQ_Vh2y- zoqg(m*sX!~uNSNvjdGs<-|8rRx9wuJm_|+3TdYx&o=5>M%%J4Cyz_Gl&OmK@Pv`ib z+?owa?)hfjq$Pjg;9cW$>Hnzzlbe~5yn#B1Z)|$lawy=uf-WV zsrl>?80e#53Lek+REN!bwA)8qZ~&NaTgluS8v~PF*CMkJ%@WdF&k@XI%Ks42YUa!1 z)<7-}?kVDzm(SgW30Wh}&q7O;y?1uswrXp~Lo5t!;B7LjdW03pdXTESTe1n!k$A*nhW%Y>q$%##hY2#EsMlTS6@y+( zrkZ=ehZ*+QC2|x%ElLr8^-ys-Eq=lP8<8sZeBYF$|LQS{+)B_UAa-w(aKK)5;hPo( z+&6dMi|H8f!zz+SpQ!}$$s5R%!? z8!k0AQ@IJ482W={a3w&yM)(RwF`8?ef?6v|4u|XXEf9|(rAiTOq259_B02VWL{;k4 zeWSLRx@}HrIfRvE4voeG??koc{^U}8jHEv%wbTmIKhugeW-CW^3PeF!zSI&rr5u)m zD2#@u9*dDj|B}Bn4eA6Yasrm?NT22L2hL#$zl$w z@K1qmdc*a*m(-CFq_mwLjesGGFuzy_c%*O?*iZZ*Btm~f2&CTd0El3PWKu9qBKGaZ zCJV}AP;SY4kZd0hkm)LZ5OX;~f3$*Xl+1%zT$sOChcX2`(G-$wXh^* z$Xt3eb5Z8zfuHukHCXjZy;W0)O1t&M>X!t^&>u-U4G+`vSijB*va4&<z_!1f_bsn%V7W^`EY zGke%CWSu!8mKF+?x56898K=70DCh=JqAUs%-+W2M=Ty!mouybEp?Z_ivqe zg##~J3Qn_`A#-u8l5bmT2y0c-S+a7^c+qSlfQ!w0Q#Rx{MT=yAj1Ot9j=|P|6ejVG zrkyU=f zp%nnTXn}@NAVHVVhg(A}H|bAKt5Jl3E^3)524US)NS`hzZDJIoRvd_v_VqwYqH<0~ zrCuUR$h2VT>6t0^d>G8YxJ&X)%fF zxyWQ@4gpy5MT>+)jiMTbeq7sM#K3u#3vn+STmUgG09fm$#6oJ*TA-*#3dYI0{k~j+ zjz>(UpDwvxf^Ix`)w9F}sMoZ=sT%-e-4w`IIH^x5#-TNqTh2~g6=BsRRiQCBSfk7V z_*p8u9T_O55mk=duVtsys&EdRPpfW$b0bWd%;fmqy1`b1vH}@+q6HFVKPs?6mZB-n zOZ#O*P=SqvdH@+(VP-?Vt=4N=ib+cDkF_&}6F*w0v1Df4!Fu*dz6pC=$#r-T25rN4 z=m4)%v1mVT9Gq_~j`{w7Yns`_JecRli0(OU4tH&iL}f7_Cdm9mM(0jNxt>1`Y7uoV z0k(HEi3x!zdHbS61>xk>2-erPHVD0UV3UOh#l57*iGtixgOmQ8UV32G8i11B|EKzw z#}s*XL4}fQOPdC<>f7TaTAhd`tGu%e7EUp0i^Q@@TL-^o-(2?nldX#52#^slvSU04V)*NSq=UqR_-<j z+DFO^#g)wEP>C652|%OT#6#$~X5%}xFNa^atMXIlqHuRBu)D&Y_cYEXpu*sDe-SAu ztNFi+E(<7f=?u>I#`Da5`^ihkiXNCc$}oMG!Du?m;vC?DJ(w*`p=>hHj~1<812@u? z`nb+GYwVZ%3S96!hwhG zgBsuok<$KTPXmk6AF1PnYS#Wlk?xx_I+hw&C0nN9TZLX$=g^ER2thHX6{e#}dW3D- z*EDS188FHdC7n|`24MZmv|@097XHW0e9-b=`cv%7sTuu`dLM4QY_t4D&86TQ6D9iA z^z)*$80hMQ$=sP%>Z#%gmPyayyxmS=k;D-08`?1ChYcr4{eF%q2bm^0v0^5xMY@pr;1?zJcWQzfDijTWMfQpGi-o(a}XKZCLi}R zvenV-HwD*wX_)Gx>V-MTXr)yp-zt%8Ia;DyD=#P8_Zhu`o~)ay4#k_sbGurG_f5%g zk2M#yO=>Q$BWYJx_`HD;Giages2>Ad&hET}O!7B5Ww#Zd?C~zQBY*G~B~h0_I4Qm5 zifxjo*6^NXD}-sJPxwC~KDoBqaENShN1^!xtcWP)7~fBX;K1(@@}pLBNrIj zu)UHNc8vHGmx7s{NV?dqZhfp)+1xQ_2fzgWKyn%`dKNwu_hdvw2<4{ZZ65Bel@%vl z;CG=#S32Waml?KL+?rysZa2qeteP?F<7vOrxA>I+?#a*Gvd{lokj@>9v1_tfvPM0C z%X^wp{yB9;M(7~^zH`k@rGF|5wyLt?)KO2No(l3^Ua~|X8a^BV4A&S{&la*7GRM4 z*hh74OQcs*Ync|j0xB&W%Dy6nYJUoio zIYE~&a_pho`?GYrr;JmmSm@0@OC8*w`1-|qw&j(lYw_N-i~)ynLK}ngv=&#H6j{B@Iy2R@gmc6|&-#vZyMD23A`I2lt??u@cRrNRAe2Z3UgZQN% z-SO7$m-EL!=MC}apXi^u@&_uv2v-Y1Ry4Q|)NWY0YxjFUA)h~g-F(vzwEo0j`@m?6 zx>=8cgFD7YZ>)N9q@iObs8*YN-q;L-6WacGW|%gc1J4a3{G4}F?Q5__DGr;;uO*h+ zMYea$H!jBu4QQ*~i>F5!So8!rM5RD(pRo35Odx7B!++iUrLqlO#Y-U|VjJTi6T&CL zc`H`ew5NG1qaodg$XH$gq24?ySNb{PJ67#I{0-F?j%AQZTz#H1d0Lqyy9ZN7@9}5O zlx4k?j4_Ru0N68;F zsHz|wq6*&d>iQ=&D^IvuExbt+*r2^3r%304(b4U;^n{xM(n_hg*+&I%n{hK=iwMGWUBrqyW)!9+~+z!fl63SBYm!P>!FY3K5Hu z3K2<4xn91gqEB$|Z>Iow4>nRVUSu2Wb786SX%6{e)M_iP&9=LIZ#8?$JZ?Q!!98$% z%|$8$6!jc`whTRQKUo|O1R^0^y$b{G!Bq}~9M!w`r`@ufsnfH|%N`3_gd{Sd#~dpD z&YZ=x;NvnuA-yVP{lNu#uENSxI5!9-u(98Kpel`8?#9li;w$yH{EU5OPK=3D5)vy} zAri}q99~n0JllFCh@D>d0!RE)oL;HVUCK90hEcppRXDTDu$Xu(98odlzlV&R(Wy8P z)-%T?==QAOh~2%89YM)!RjMlwRHXepgE;Q3ZC_sitAFq~sxj+HNmDKyPWwxm5xsNk zEN9Vl2t1C6d@=nvCQ8CJZ-sm*s`arodfF6QMu+7pF8nTo{vAe&5({cOhU@FrSU@Uh zEJBCpmwdF*vuMvu;GB3;D&bMze=Gw`gvWIU;ZoaU#8<|)eZ$2w! z9=%!C&~RuXc8w`PFR!L9nUM8(UWC$Fh52?Ui9aBG-RdB~e&vBv>NK_G(nLx zp<&g1StL0O)Ka<7^C&jf`q(tKK(l|smpW=PL4DpjkKZwUlFmWC`EzWywr=+a!o}Qb z#I_?*mW)r_eIRKS{iCcN&OF5T%Ib!Odvh~96ijiqoB`Ez)6AcL=yce4p>d?8Nv;8< zF(=uMmk~xGRrMzYFoM9S)PDpO)<^v=v#mgAS2mQbVI3&=g=I}f)|T7Vs4DRZRnDs< z|9{J41s1XU|8DmDzZb0IX6Iu6Khs!&1?zxmtO*~C!k>nMSCFgU@0+s%>_EpFV))1% zj3#bD`$*zTn(4)WKjBqUZap6w-lt|nl~S&s=ch^C=es=) z_$viM)J42~NJS<&yJ2rxWj}o1r*@xCla85k1z$Fo?+kd0keGaZU%`9-1rR`PJ?apK z&iy}hy>oD;!L#?hv2EMg*tTukwr$%sH%>OTHulE0?M?Q*v%hnm_dKWS`6E@yOwU~1 zJ)OEMlfJ$meNTJCZ6AKzEuZ=%1p5tr7S8ehWr|uBrlLUmnw`XfrGAdf`P8`R8 z$V*Gz@)dF|tajPk`d*{bWVssTIM?8)pvKB^=LZf-!CypLM~t*>4=|Kb{~rI>Be9b~ zf-87`u*b6-C_jtgz99->``)t4)@DwPwnqI!YQLMS)8y-1vfrgfDFuU4NsasafthmS zLrH9?S7OGai9C?t9>hklOwOn1EoIl>nrhjb-TR#|qvdX#E=jPlRSUUWi<&TNv5)X& zzUM2H6~^N~)r`+@^Z^Ne7+tes0;N$7?Y3oA9cyji;-Tj7< z<7>awvHq~Td*tVi6Ka-=eten=+Idv`@!HniC{h!8nVP}a*_tZ}B+~Qa65sC6)M09S zy}Nf?y{?k3P0uzNi5T~(#Uyn36Gr{s)k65DGR(vy*jc~_GZs0Q>g_Ycvp@G;UB-p) z`DI1Q=NZk*y_tU}_$uRKZEO13-jg}zoBQ)M5iaKYTxw5S=jJ-RVP+vyyc;(tK?jiP z^OzggZ~cu8A>5EoqA2&1qwNKT_SpQu(T&`7er-^?a)#t0&HI(PQ| zFmuKS5y5FW$3K;ulx8=h$Ax@A5jx>LnmV1hf3Ik2X+_W`hNLvV_BoXj zHAXm#pqbrIEAp<%vKHagcfNg&fTyH0#0-FfKYKhY420rs9JjZM+PYQ1+a`j}*NRfE zuBSPkcmc39mOX#puFTwj(!4b+m~I{$sK>ZM-@jev-qRgqu*o$MK`n;oUlVU`Zj;w= zDdStfT=#D1HP64vK=Ms@T7#SpU9XSYwD>EiB zJG91}MBp>`0u$rC$oF<&bD2kMwHW91>*N;R5%5Ragoz@Y5kzXMUqd^3XO_vtE`t`) zogc0(>3MNMP!)c;=~soYbEAj75ft7%nA5W7?jVD;IJeE{@ol?z1zP_M*Dv7Mllt}< zEW`uxaCt7B8Ro>U90i+6NY9gQfb|N&QO~y<2k5XQByIeJiYvG zJ}ZSx1OxL!;UNu!z@5UNY8g*_@XuAOdiUvU!tLpInWAf>C%2!LJ}L=ywJm=S)G+h} zH@=~4tay6%{tfA0frPn>KGRyy_0K}(UEU}X8z2D z`NQ(T0%fK?q^JYQc8_KBPd>@edlT`l#+-4>QW8UC$M5M3wt#DXQzuj27`D5^YR+v3 zl;eZDe8R9!gR8Viycx&dRYNj*ztJ7_k4{JTBce-09DLgds9{4#Y#bv-P5%{ND8J1p><}W5!C*86y)?bThz4bsra(snWwZ-}B@F%*|Gy5*X+H_p#)#!ip41`6)puK0N(wJ@*iQMqtOv}kqniJ|9c=SI zH48ifTg{oG^jzIv7HGSy#%LLL^lYdm>+?}tk3Xx4%1ZSyNzV>(mzCG16PjsX*i0*f zE~EDw=HvY6ww9JRFy3l>-pjCr^?*DV!)H7vQa}lMGfhaN8E_YEC#NFjrrLT=UW#*I zAGeZj9b}^XEnlUhHM!`Tqs}8~090NK@@lLDu}dv+T{E>GYyQL~}G_|Na^@=UCCH80#36#W*v499Wa0mfKkVQO@o05C+_-316 zHGV^Y(gX2DME)M*HMpJwNhmnPxaoUXV*VjOFDQ3Nq8OO$xJoP z_MnGUus;)tnG;3ej|QViC%6s1Zin*0)kewkiCoIQ)L4GkwQguI_Acp2HnZR?0dX2lHH!Cxz{nzUTa_$e~=o}ZBKI{3x%qULEK1nCGyA)m}7a6 zN;~GtUZe)oNUL5=b(ow}@%qmm zUFyF>cWi;Rl&|om)sM!e$y-RyIjr4FO<_JQfpka0kP$SS!AOSeuc=gC?P?pamSd^bi!%ab$u zDzMxUoDf7?r*PQw+JEyc+X>5OQWKaeHUD)8-hiOC$^!eZK00XbQ_PdKIIvwr>TrcE zO8-ZG5N)A>>K4m*rdCVF9cXDWHqFHl5NHcIoRu?ndLScdDf3&;g>HC(d9PuAm+`c$ zmRa2h7EJlhM_q$m@*(d}WfE4rV}~HF^SO*NJRhD;lB?d7l5C8Ii>P|uBz)2?g)utMoiNOF;V%-3}`!#B{;6 z*C^i^+8ejlb)eqUfr&tI0to=e%*h+1V-}8 z)~&3NL~df#K9(Fa3_mNGMs1=U)FJe519LNekM|@O|JAqgee_!4me2`L-FC>0jH9oM zuVPMm`K`Sjav!Y!&0V;D1R zVz3J8hGj?p+ar6MSz=p{I7NlU)@n*(7}8y9EPlD_SmPxeYNZc)@w=Cvt*cXG%OUc5Z` zCq~!Zg-&iDnWS$WOn=A|9R>YZ;lV3|VD8-TO}H++60RHHj1Dl6Dgn5OE)I#h*&yzP6V7h9YOT-CIkFHlhwI_2)rm@ zHvUS-fI5udKTGhM>wiA#`c-&VTkYZ_>#M*~R2_oxRPTOnUopbn@Kkj_(gd^RYV1Ou z?I6{5IXKf)w2d1Y2r>q&j5#&_)D0c%xxofoAEI&7@Bs$%!Es+CERe6sh8@+h-vCjr zs^u?t?Qj>3Oe^w7SS!ZhZJ_pO1lC6VEZ5%K-gGFcFV==Pm$z)+*T)9#9WIZ(}2=>(7T072cqCsC_1BfE9O?Op?y%0>A7ogFYxx?)=r@G zm^QRyW|_e(kA*1kaFuE{e2YaR)!;6a?@n=c^+*a`!5Jo*Ca0DW{`CvP(bv0FxTV<+ zV%dbWr)R+ho8u8~wiPiD*r~Ni3GRiWlMUb1eh$Lf@%$pPz9IMvKK+bPE)X{GY7C@A%VyGF5U{ztn_a286MxdfH7Yj`6C?R-Mg5f`GBf<_gT(Vo&2FcU@; zrT39=4zE0h4SGR)nn)z{1e=PuOqE_Rx}eO?Lh+g6d3(t zL4A&JROY!+il7%4aiDY-jYUdHeKQJaWzlBY7XpNYRiWWGLZAw)_ zDk;+q>20>;&MqN^8cK4^Z90zvMhIq7XOff$KRuL^pUhMqupSpWNy<*@>6=n)awSY3 zL1-U$x)xRPfEJ4Bd{<2zYRMmA>LN+7;G;b{GRhx1is-VPOOynfvkYZ?)Gno!IWkbt z=LRW)_uvdl3E#w|J1po7l!FGUuubQhN25}hUp`VpqK}d|i70F5kif~f(<8F$Fy%WU zKBS1;D8Rggln8qR!#c5+8Yx~Z(8Q5Jef;4Xh210s&s<%M+Lz+Bq3PQAU@-|3T z?;!UtDcE!rd&iG*$G}CRIm#AYl*4G|whJbA?<-h!>}y!`iS}rE#o zOWCl*LG7Y?CHr-;Wm?piHfdlRzzpb=Cl2!W7a_wTeviADy)Ua!_zR| zk%2fDwD+a~#!6a-q0L^ZR#ISz7x4g8GOQebCqA0s(@IPkN5vnonIR=4iA!~_`eFwh zAw?dr{lo@>u33P0?-fy;6uz%IE2{c^Z4dub1R@D2Q;9=ZHtI3TvGE#iNyR~6V_=m83 z!tOe0+}hZ1ja>f@5@~hW0L{6^(V7`e+`9bHkJ(t9KSI=~Jcr7KliH*f?dh}~8<%9< zqO+0jzg`la>krMQ3FdfiV2}EQ#CvSwj>D6oeEY3Y&Dw)uuWwwj%CGRb$L^1r%UAD- zNV4tyh!zzxhRYZ?Ze5Wm>@c~)R zg&GHyGlpv!{w=tI{Lg}=OUBY1@{x^mRFmlhaXF7}y1kTcHHBTS;q&9BvZeEAQ0=(- z)LAl+v`O;t5@ja(&15i=Ufu3@O5y_sirwh00Tj-7NErXI#|Illqu81B->afQ%Kb^9 zoXBj>^`{+S=!V~_e}SYOfp4LFyV1SyS_NLYVAaHI#}7(C!O=N`g#FfH*rWFoC~uj4 zlP#ag=otphG*WvH1kPH?93l}S?79x%$V&{b4O0vKy#NM^|pO_;1kx#&Ah^Dv|<(RfzL zLY))E`rxDq+!FFKu}J99K1tM>P0*!IuXCYD`=3-2iRf4!4KuWqX(eie zc+Pl}#48+3XITXr4lqG`dbMQa52uysFMPRANuWYh)ZAtl^f=jiO5wVAb~HGnMOQqjCt{bSGn#uoc)k zwouKDR9tP4I4<=tD;Z?yEtcRtq6_TZ-z2Pj(Y=~}`k zM`h}EFwA)F94x!EH}&buXZX2J6gjhIlTa60?XUrn9-Zq3^o`kxw_c=rxgyBP@f2=;)p4=6RumA0a53eNn6WwoH=Lhz| z_eYaUyL*bscxMUWdyiBYf=r>XhK1IoA|ECPsX_)$affA8fH-j$ ztxaoR72Z5{1MXtzGMDW zuW#wjbzLpQdojkcx(@VvHe48d!AeW#=OL>z0Rn#AOG^j=wGLRp$Ll1Nd`ZZ8vADMB z+TSr5;>q1tOt8~bM9wyQaiU#m#RyoT%VRUK&})V?3x|Or1A0Dpj_Gu(l)p#ma=+(s zl<$KZhxS&=>IBIbO>!P5!XT`XdnfH&Vl(P^TI0+IJ{Om5EZgsk_6hxG2J|pnt;R1!-a!COcnpY}79l`y zObpJLnNaktpk#>7JG3MEZ=XqziRlv(6C}tKN9C*{E7O*1IoTz-d8+W9ujG=WdzJCH zdl{YqlaoGU&SnDvV^EVmY~_Teac&hUhz2|*aup?cSg_OAP%16bn%U|7XvfbKl~6X+ z%EFCQb(Q1SR2r^k6SQl|+xcdiJI`=ESz?9WL|AR*WYPN+ZD zh=%K-;W&EvW#vy2Gw~b6y;KwXQM0y!s_))gWc%#D6QnW=rjsL1NpOHfrog=M$>Az} z=X<2}HM?{=T1DzIx;Vq^@oOphb>%d^f;O;>HvXG2n&;g1@B}9O_N76y{vMR`_O5w} z_B~6-uO>x_bgyjED%Jgax7m_Nonc{VVK|x>Z^=z4yjTc&<*^k!+XBGJ1%Q(a!SPP`zxYco>AR|YvWxo4m_QxLuWRv5jNk|R+=;S8;0bVE`S60lF? zN(W-Uhv-Tt++XDzZMl-VB~mNDfYK&Y7Jk5_r-mZL^lFpKqZ>xB_=2H9~BT z7IevIQvQ(ORh~nR$FxnNutc|duIkZu`Y3576hFC`z&meUb>s~=6$0jHt-U{WPpybY zzPzOxLXOf!SNkJ&w)|&hiZ^@d+0xS=*ZhG!;cYu_Pr=&iaNGXw_e_g=-3bb7P|^*P zsBXy3+_ecQ61!W=*_>B4&7OoBP3GgT9RdmhH;2hwa5gGH1B^)HDbTR6stKh`D3iH@#fJUdr>yxs;ElOu+)mBI%liJi;_j&MRpka zM|@}%^9zllh;_8rt9g|HWGIU-;wz0VfEVeBX!}Y3j)YP;-|k($vvlN@WBM8`7-U1@ zirYB2C!sp28BXF0GnpY&GMEX;nM@{gau}DDge2?}sX7r~{HjPsfmXdVDb@ivv=+j$23(CU+nOR5e69 zG#sTipW^?i>Lt*)k)^1)Oj(64-=ncy9kn21!BW5YRN`(9Xj5*G|SN+R1HEGROWhZdY3GW6OE5WY_cjf%*pdsuaTwJX+PgE(ewNp z#s_6%!|I@ySrF_`W5|f7LyIHeKu7_4hg9Bu35LIf&qQ<@O>;e1d7JyHM06&s=6hu2 z3HLJblOnuTC0RW-AJBDDBh1-8=H%S`gExHrxcFp8lHlPf`BV_3>I{*#h%??JkQIdir!&fqA z`MrfM1}M{92=eEjNe_`;z{O(^2{9wpRm|AP>ZYrivfhmsD6%jto&CCF3O}ap@rB)_ z5GvirErp1h_<&U}la!j%O5~NlJ|V&YpAgEd=fws~pBb7d5O*3^Q^1^RZgG$=^VQ95 zA8VJhtj<%O5>nF(nRzNV0qQ9@Yr4N^L!M^Tv|7FUqj}+CW!jqSN;{g2hvSZ8m*HH` zkOkQi-|5^7QO6vKiYuJ=lf4u@^0cIT^N6{s%}?-!!{8Arm5p)0w5=9Ve@MlG)lHkP zKY&{0oDx+wsH@f~Cbvek%gGLX_gB|y`YABgDjWCq_GNl8VJ;476AcG(vaf>y0La@f=H5BmzB<_<&upR8b{a_7))_wGjLkftGpN?P zDE_LPy{Asn5iEi)f^B{;4{h(QmA)q^S7zH7wq4RPG9LR`uTAIl`gyrz$JV}`{DF#^ z!Ww&XaJSvCz+t}GqX~8*a`)(|y>+#w#m-irYUK&qswtD4C#=S(p1T`KzPwMpO{aFO zkSJb@pm_Q9S8DxZP@0UeEKgjL1e4}NHr?GJOFe8NU)wHCzUXy9NsiQ)$b{)(8 z(7x2@eBTyt#fg4i`B_z?2B}Xl{)0n10Y7b_pIG9)3GCExTQUN2-dYxP4)9tJ`piA0 z^TE=rxn;9t-nW5O3^y z*d(%ywcf3o&RI!GrC2aHg2T)dvz|P^!YhGF#C~zfCnydoD4<^Xy_y_RKPyIGlRo=D z8=UCHG(VpZ6&0E(e3xbUz-FuTgFftg-(w?dg0zR4wz#Jz)+ISbNvs-u&~FbQ_U_p8G8_ zho>uxcKUbe&fxQJSl`>;IS!iRa)n&zfG%I?p$j=W6ZMX6ehR5vpkCS<=h~01io7Xv zxr{Iz*d7D>o|u22|NprqgiCr-LG z?dc`;e6Yt)@RL=bl_Thjy?+(O@C6&01}30PoFsFCQ58N3NJ6zhAZRXrH`VgyI2tRh z?<{}VP@>xqE*f+2O~HR2Xn5>MvXxbx`wOyaNe}=3=(Aw{Lc7`{Idh=QmnjflOAtF5qwDZQwjvAv0f8XB{(Jm?nf?1- z`)d)?*CJiQ|I6ZQ?7yA;e>(Nwz6(o=e0lH{0@MEp4KS=?FD@w}Yv}NQ+@=>%CuC$` zV4w#~DtkJ+nA%F(nc07N@kP^B`j?ZhxST8lkofh z?gQdv|KG^lVcqk1+|k&-fIgr>pAERi+*);a^#n|Z90;Fq(unW+4i4~Pv~?xJV@i!m zMil-ZiK;*RWY9F17K(EMQ{Bt`g&S9B(Z{?#F2CA;Hmip~}^#Jl|?*JXii}gO8 z55E2w%nyW9?rN^{wllRrp%4C6%okXT>cbLHaa5wpUIRIbpm=vb7r>p^^$qqxQpQl)M-*-^zX>t<9_Zs zzr7{9TTIXQzPILNamjUwRSIHjEi?N%Q&$UQt748;9=P~$-UK$xJ=oy;_v*UOqs>z! zwYLo-H-Brt?%Dq48G`_SYjbNgyR{X7FW!@T{KmrmT!+8qAJBU-^|9YF%t``F^&_p8 zFQ}BSq8xs((LY`@Z4VSi-{7##t10y{H;cm!q4f&y7UjFyHl2lGva@v{`#P$2~UpSuc#6^M@ zS2g8I^q=~!#Sw=G%E2|s)*=!z61~Zt_NZGUax5+&j(;C_L5caPp}T_329xP6E@Bb$ zNOI6+uOddohE2&N>|#0> zc@e{k6&4C+x?ejd>OmZQlnJM#URbN}sZ5u~R5A;?>F$yfu~-ZMD+M=&Z)b|XaW$+e z(9J}AiD#WiVJHD`YQHzhh1f678m|@zX1;>{V(dZuc|A+k?3cw0{l^Zb17I`(8rczp z*_{kvkgPQgr1beD*0 z2Dg3u30&2O%Oq2&e|byOq!?g_{^d0y^mBZ&sX*9=B2>Biay&=p;Zmg-TWb7Y@+8UH zh?LE8BW5e8;(n=`9%;@J^aj;ci-G@dc+ZK4q-25S|C zP6=V05OLT;CgBC;q5uGHJ0u>)m|Vkl&vSyQFEO7vS63lZ?bz|Zl0cA2zA(7}@Scco zo*TE^5oEe5O1R@+3b;$yzf!P^ss<NW{|&vbqnPcmO%6(D?`OJ?b$ceN7+lg+c+o zde``;!cYP*O2}h4+*f&%#bkJDImcj>h;W0WTB|-R4NW|UO6Y(mLZgsZG6X#6G5HMI zXf!C>sT(R!FzWd&Z`8Qfa&1x?NrXZTXd8Y7aqQ^*ZJ~n4mvhLPVKdGHM?GZ83{w>L z6u{UGYzzFVYZn`#v}2l4jo936WB=qxkFihEuW66>YLs0Z)>y+^?RG`aIH!p8Wp6W6 zM~IxdRRDzdgACH%-<5bENpzTgdaNnQ_y@RKrnscP-02c->6w&q#8<^9l=RwwS`re| z_P972sx&Bik~_tun`1bnqGIO7HHfenC^~aI=H?FYXOpGEA!=n%3Ok@@5{~aspE^&n zxcG*`3T%YM;4sCUd0#{TqlxS^7Gwf6&I0xx36m8ar-CSB@)$xADo)yvP0Ex4G`f>o zWrnjDHtEOr!s>qu67P?Qy2GL|OcxeqFkZ0Bo7f!RrPu5UC&GRgCS;lmVoHc_l0n9s ztQC88wSK`Y2L)w@@L5quCPu^u!?2!i3N?)5@Y?m^9gB7Wn$Q>Ohf2#X&`1CL0_N{P4HSz-$ zf;+9T${l!2)zXxrYQ$Tx=dM_z!Mr*Ed{SWr)fqer3E@3M2W4wT za$g@WLZAgSga~g*Ltb$TxZ@LN?DT~*w)5&SOGe|2Wr|7u!U}~IF`RW1`K%7~xI{3z zIBl#5z(RlJn8m7o#*)?`KRMhyKY1HHHPOdON$f-z%#<{K0!i90#aC`R(N~Tx-d8R! z##in$(mQV>t|I0@(P~`c6cZj)IU^$M2A;c8_qYXZ(Tyf2P=d#B+pzxpc*`HCOTXg4+N#i>+KPTfPQ^4h`&ZyE7Q`GuUPz%;%5KTZD^?>Cps8 zirs8--!O(zqH}%F?+bh`)q=I~!k8>qfmnhlTbRl4B^kjKI zxN!1kzyPnxjr;O1=^S4xf2vCGM{Ub5{eFEP&7 zJThzo?9r9;k62uLL}IEa7^jk~i=A{8PwKpaT!IRmDO#hHs-|EC{P=>#yrvp_RY2oW zi!W= zOW`N_8V#F&G53vs0y_iQ9}nU`BHLHKA#()o@wWbEg|Jbd(326+pu&NeaZ+|F)t#0I zU$|X!1@{FOANcj_2*%n&pehBIR6!Sa{YTRT)^KXW6jzfk<|_(G>Lo1-V&zZA3=vyi zo2E5*hNx)6?8l=$BKJTPSbMs2JzB!=!MqXzI_i-mhMQApz8k|ncqW^?gRNRpeb~22 zA1P^GV4$xX&XZO{EEhw^Rb|#~r@N*qXeYF#l1)%*KMOD!D9n5VrXA(+$%C^(@wO43IP0+Xff{2%l&sRq1bmXQ;bh49s?i>n8cTXC4@)xldz2o_+9Q zIUt7#7s)5)l-q(R;wdy%$mtySLsmmkGgKgluRsPc;(|_YXC2u?yA4&k7w6cxFy)^^c-fyy6Yj8zTYl#|7O{ z*H5X;{Xrj4nJXPKnwZ*p#RRxf^Qx-VtCTsjO7iO}l87BnBg!&vR3ZxVCu$0LaoTF3 zWkRPQOs;g9(cA8T-3=p{)g}0Oz_e$4zX9gTV7KIO;cECo-vWoL+mn;fw@l89^}OyJ zTnA7^S8Eu}x>HS)q6iZhRda;|+KA@FiK4*w2i(53#sEDO!sP56wV{wYn1n{$8@Ekj z@s-yBATQZ~7${d=$v7~jv_Y%MISk*2Od)g;6$$-$$kjU1F<>g`J#ZQClUD|pFbIPz z;}QzsO>V?%Ab_EqOP5br8~B!#BPDJrIWwb&7%_{H@A4^Mv&@?6cpt-I1( zsA0Ic-cK-U1qobfW$_jQaDidR)~+wC6xn?!&A@B112ur5*nW*y|QEL0#m;R zEl+p30_M^yr_t>viS!2F)>x6 zjWwljXYWdo@55#tf3ov@8_fPH$~c;3NqB*?9z*?T+_ktkKn2t!V!J40M&Ci|Y! z{cWyxwT}bxr-Fqeh|CFQ&&HX4@El*rI!U^noG%H#&|LtjSolJxmP^wa zDT5dWaWts}s6#ME(d=17OcPplG0}`vT>7xeCA@sW`oro#!$>+a(clE&(^A}L&zqLD z15BN@TL$pnvbNMESekn#U^AO`QEunu{GjqZS$bfrGI0})1rqvLadLxr=sC4~&j4^0 z)(7R!AM0qfZX5$)LcKAusBcK+s9I>)fdB1=`DMy1rCyD`Sm8~R+AfMVa-d1cpduA0 zm!fhw3t~CMV~m>&>>N&YV%#37L`C`iy}JCa$50^?W4@)R^KkQoRyC-!xIoH3tEbqj8q_0CPB6hUJ{iRS znPW&a#`TL5S0|Slno8|#XSYggJX0?~T=00$7&vTt=lw*RZ)e-Ow@CHRIEdc7jT$^y z;}7O|;J;luou$ey2LeD!O$`bLoWCzr&Z*gPLL=NogA3@3h-)YPAzO)lV!mE*j!Hcs z1F<3qnONbIg2Ad`|NL2ihd=aoI+@%Qcn`)?1Ty9+0Z-bXd6)lV3fCbVWXzO0ws@s9 zXsH_tdZu{%zjpANnitj2BYnlT8qb6GL5 z8R;T&sL$zo#xS*M=?B<$YYrYU?1$t_t(}k6ZLf8OwBPru;(96&8fbl~1~t;Au^LTJ zqp?C8U8YaGOivA{$Xczbo|Qh>SYXDMwPVs&CeH_cYjyo>pZa;EG^kK5haw`;4M|iE z5GIo9MmKy}v?d~fLyuHqbs&ycn{>c2T+(BWlQjelg|N3=c(ug8(l28m`KDi&-wKBC z$;09>CW6LCoP3QkhroP%Qyw^jhUH9kmM z35v+)Q|`NCn18%4*p^jmLrWCegPaua?oV^Cjb;g4Wr9MRUwdaseDcbeD*K&B1oQ>D z{z`E9zr>AD``mqR!5l4FR{X2ZM%1Xmo(JYwCs8wIz1!)TcL6HiZg^`GMBptA*H`EN z_s>*K(M&$d=`}KgJ3Fhf=-)K%JmWJ4t{+?DOa1Xp#no|5NW`&CNQzkIU`&=dWQHr6 zJHa&e&5uMKO(a`oMC1(b9HEJY^&_KMf__NcoR)bgTbUi$HUn!4q2l6zi(2sJwZrr% zT$32U72kgRtjM9uuSF&~eIfm~i)#D6Wy%=ck!=1!2z?(k0Cg+cfWi6pOcD+K|ruyPLEHFuj=T(Wo6Jq-atn6AebZh3i#Xa zLDb>zxclCJ4g#(u$rwj=?|xfn<8J%krhs04+LEgyiV!(bLpnW@2cM7drgD~#GQ@Nb zjPPxQS>a=De(awT<%pn(by`R7d2jgn(v6RlXZ~>u3)Z=Xzt%%TO*sAx=j7z+BRhV$ z9JKwFrQ+{fYQ<_;HkO-0( z)s=mPqpo%>-nv18gP7ZnBbI!KcK}}U9H#Xij|Yk|4l3~d`)|mN4TGJzojoec)lh0; zvnJMM0hwd*pcJ+vDHe_Hm=~d&PV`=yE~MlcRQz3-NaVa{4&F}DxJcyA$yICwD@1xyhSng7MkVBNc#0rx$6y=ENou-U{1Vckubhynm4s964s zlVCakrwj-E-3F0s2y>t-?-an!Q0gFl_COMWmc{HD{fExjsci%gMNiwrH@d)8lU+kQ z8=$H{17HwJ0BrZ~0L?lENhD|hOK{45hv(PIKGE~kTS$PB)<*P|)Dz%FCL0R51&h#& z0e~g+&X-K#fK(ND=~4T<;Is$S6uh{V43EDAZl($1DZuGO zfd1b$T|}Ewgo&H8{}>RtHG1Px4WU&5L<1vGv;K?860~Az(KySa%QfOL{|_3n2d(@+ z(*NS-c^5qFc1SfZXy%rVY%A}_hSu^Hg#7Q2O9piPYlqOO{|JR`?O}P!blx?e5Hb^d z2vsBBW^9<2z@%p!NTCXr424Lh9x(Qr6v>HdlrTYkX%!kzHk;u&ziu2lFeh*10#1t2 zaOG+Pz|lyb^AH1DsO9|(-0U{-&cLTB9~^)3E|m3eUYKiS{&s;HI^t? zG8kf*oP++QNoa}9CT|1Jbnkt%baC*LMk)$`%8&?$8eBI709iDX8Sb;nnMOT4QP5f0 z&SytO6B+@ejZ+3g4*+QcNlg;FD=F4eJ+BAXgzZYx_TZ+racDezU38k2Qm}{t3Tg3p zrk2vOh>-RHcZCe1)EFwRetx%V*=(ss-f?ueL@lyhJsMH#8#%jbs2uz45^)38vC_t*P4hg+-C_4lo zRcJZ@kSfftmm$-mqE(7)g{EK{X6|=f3fhEJ=K7WWn{D#$Wq?kwO91nHI!FL}fsUlt zAyzZA3Mz#1+!SuNr@Dt25uZyF1#+t+4GxP)SQfi$+4;NdYYLD+Y?%-hzN6v-Vu3@g zWC_da(z^lGZqfZPb~rLwjthfvjjN?q5ak>hlsTqLw`wM=)_qyHOuOh_%b>BUqFDI7 zv54sI;h?fEB?CyYiS+AEka+htZho@f0aU5vomu?9CX3R^YA}OJguF-d#(_Emf*j2jUu=tTx`Rx{l5I z$Sz`(TFacO5b$1X+VSgYIr1S1G%4kX7?DJW@7lVg1Q>qO#GDRD+5MG1mmqSZH91m- zDaF}D)FDa{XoEd`2*!gwO{n;yDl5UxVNrnye{+Pe@eza3J~@YpcmjxF@df%pU?3GO zqu|heccN)4NZ~$c+gU2^zhPH_XhzQK_Lj3Ds^YV#oy#M4ugx?EMM#;}?WlHw164mdr(d5Yi*#Kl1*fEWXf(T_<< z%9U58q_kRwTGKbfw2q=nRv@Yr225P5LVSU6^%HO<`KV0O9f z@b4b?uI75ad+uZJ2s(DXg7GCL2R(=QITTAnHR^7lq)JBIo#E$2)LRUMb5w1RZrpkk zJRL4Hu>Wn7Jw|hc@uf>NbP0m}gNoj8<_v>|xIRXsWRAl=nM&ud3))J9 zNu?YqG*g%$Eh^9bn`4ybFhJy`Y9XSLRuNU2{I{hDwRFX>QXv;yqNHoqceBXh#iM~1 z*hBR@gGnOI&>5yMZgoYXN8MOS;hw- zJ=GHW2p6m>!v7_)82qn9k~7N`VTr#|GLNY@;w44^mMtWvNCX2YJzc7A#EO}}VA1J+ zB_97nifEn)10~7ln!Z$lF509vMTRW7m88%0MT;iKC}U?)^EYXvA(R~W#-^!FAZMDW zRQt^+s|Amc$OQ1cgSAw|795iK5$A4#lGSu|5Wi$tt6DQj8dMA zqdzGajK;fW3{*TZnYF4zBH8fh>^>VSz1o;Na$p`K5XA*Xs)13drEiM2a7D2C^f=`*)`LfkSaV}WkZ-i*z&*<+|#pI^{n`}u@8mJVO! z%is5Zlnjcp;u5D@3D{sx59N^FUK)S@R_7oZP~+tO?u^_{!0392I6(c47{lV}3@3n% zK3R`rP2GD>(;;pIb{3ay`M?CYm%l->*4YN{`cHk7=NUl}%O>dP|!V zO~6_e2YPd4fMn}zQ;HWpYL>DCW>*A4+!7;pccHWC&XrWVS6Fy-$&PdmBz0wWh7MgW zk7wMuIcL&cuR7T3U~`VD)Ha0oP2F-MmCQ>zZS)(YBVb;yxvuw^tiaxJB31jL%-+dD zMkW1}Ilf743c`JUOWQ};Ydlt%Mbr{D&z-{!9x(YvBD<Vy2t)`K~fe_ z<;`z3KNu$%8ZoO=KmN#d3Bq7>?VZwi2t;ijdDfm20jWL*VUGA^yHa+D7pU&eX-@9U zN@bpe0cGp}(`KnOYsD~_1S3)uot~*GhH9Mp<4^R3;GqSvz_0-^A$0?&JXr`bFfbfF zk|ez#>%-_OX)C+li4r%@#`@aD7Fk(;xs>-Ht=-BY%FNMf=og4p<;3Y1kQm_!V4ymn zIT6sMXKHBse{>CPmm5WoR9n=uZ^Gl1%97^ZiMz=~F>mCHIVF2si$NZih%yzo~Nk{CuB3 zkB=%A?2{eV$Ac37Y8C(DoPp)Yu^JwsO+N3>6?+)Fe4h8+{#nv&uMPZx<0U-3ujd=+ zz(@hl!%{AwG5WCinP@#S(c^`cQ>${P@^ zo@~0Pt}>MZ48i|iaigQS1oyWJ1Fp_@3rtlap$%BCgl$Jy6;y$T<9z-=qD z3au(+2pYiX7{N&Ws=t`}8ye4y-=v<)@uG}(hL^zAZ=BsK9x8gy z;$k>iTF0(AuAP>{pO9APn{TdgkX%e*YOYvZ==5GEcZiIrwl4U)R>Aap+Pf?1KF|5M znncmu#n!$*1YMTa;=O3cxj_f`JcYwQJ@J^<9= z>-Rs7RDB#@R^Yn5!H!We-1xWq&8J7UKC&jOp7w7YJeKq~d%L}!@#zudMw0HnLIqg|z%*(ryQ~Oug>lD?D#rx_me`frqY>gnc|%b+UQSsm74PY)jzO z%@O8coXXleZ*J(`qn=M@p$YBqRZ3!YNk9GWLhg_KxbqSE2GaO*cQRcaIHfi-vk2-@ zK6Yh1!svTEc8WObc1Ny&d4c(z(DBcqqd%&L5xQnWe`IMNNa*-6K~!kJ43u+0vSDg} ze!9DkY&qaAy4H5*57)K7yQTkdrSkzAl8$WfeTxCbqz=AJU@WFQ27KiaF%bry$;LAZ zwJ!_TRSi)dY2#+)>AS{1x?%XaT>zd zAP({sxz^X0w-DND5$7Mh?vLUz-?NxCJAGZ1>qHPDTS?7Gy--hf);4Up6{q>OAY)1vyH-J ztwHOA$I1+qLB|q|nM*~RuzW*zZh8Ba+)rg37P`BG27wAF!@WxoSq3K-;onpxf;|6cV zVVQ*FDh!=rW)kxoOK1!YhluN;!Pm+>_>(9vfRa*rD8_g^iL(}_nKI#UX^;xV#9US| zurhj@?D!FW4<V7knx#N`>oQiW2q85~Oy=~=Zfmm5_mZei^` z)CgEsq0EB|jtII(^!mz=;_|CXLLDnqaZO}wx`HcZ*iUcd0z~O#pUD65YrYm={TyI3 zO0iEQk)G8k3S@{zp_FI&KTe@!5{cTY>+W~zgBKWvHp@GL3@lUOLpO-zJp7vky0+>p z@@80OfCTMy82LMeaI(zTr6{m`ws0u4Xl#GL>8`Wlhv6d74xw7+?ZXGwDD$9xgJxgN z!nX=cR~R_O|3?ji6WU)xOC{b>hw9!?5?`!NE$S&uWjBRo5mBfyiJK$bEkaR?bc?vy zX`tYg0ouj_Mx$heE((ilO+3osh@*JK9_MhWth%fqvnpwX{wgzq%$iV!9Px5LkXh3Z zA$|vqq(X~VI2Ty@=@i{67@fl!8`|+pZW@tWl>)Yybr?;wE@oXQ6v{{rtY}7tQ|ZA~ z@N&^i_R+AjS)OrN?h#7aXKS&Ygk}EbACr1^%mJ<)xk{NJVwXz4qY7WDMtTB>Xh z>*t14%FErd=bM2NZsXNSVc}T>WrPh@;U8Lsg7>^;zE@s*AC}&+vceZH3msir z;CL0(RG^n$T}X>Avi#ui&PBgC{ev0euXj5*puqVqRbaBK;(0iKfl4-C{rOR(ulL7i zSypC--(HaPku$$`T<1GSklWK>iSGUy>~Lj`dg}D&4+Ke7rwQpE^P+-;;t|O z{aQ(dZO>h@Uqm7<<6adJ>5Ev2a>vH7D)yaCcF`g&Fi$c zGc?h54qb|x6^~tOV~V82PR0jkG+{yAmRR8l!8gG~e(4{zk?#7?{>wB8I)1qsq*jM> z9iS=0H9DxP!Jq|<(1#O;eHo)ou%i_(@vI3p?Tj9{J!AQ}IbgD{z15oUHaei8#kBv( z-fHqE_9n9>LLj6iEw@A3u0ho4=$Zyz2OS(Jqv~MvKnP|hRyzre;i!aHnJTl^>0}fY zQFk*WmbtDUZ`E!PH4K{&5^}aevr6!Mo)?WYF|1IBxVjo;B;msir_dZQD)8yfJ!&0@ zJM;=Lk9ZXj#?(&{p1S~bI-!WE)=G{HSr;Jr6fXZVA-sO&9Qa)i8Hig_(!%74d;%$4EqKo#1BR#7&ydWn?Ff-_Vr6l72uFc_PPxCm>6M`R`ia zChEg$7?4Ua+a`(zRJaJACh+ApiQfJ47fnX^1K2EJ;E@!EXe-$*W3WzIP%O(ykDksZ zIb|umPX@9;4kdTBd^Z?CkVb5`3!o;D&4S!U%y zu!%Mj9aScaw7nJVt})Q%${W>Ax^M(+fiz;-R1j zk5cak$p;f9TG;~-g;@lWD!N&M$?gzWOb*sC;pBr+8X`%X#TINN^X^-C_DE{?K872r z{KKE*=?S8eeo5BS%?T=rloV(Y#7P^YFi4UV%!UIKg*CC(d>i$RuA=!yO`Ss|+mLhb zaTHRd2VOnZaN<;$@L$RzfxQpXh*rwZ0dw(0p~&>j0+_DqU$jT>hWe_&QL$Q2=@AlU zI-_qIu#K1HXc{)p%DUjXCJY>J!vuUHKvx0ayQ-nq9}fLxd*bPq{B*T%CnHTKvw=a{ zKbFMvCarZe*ez!-DvBfT_nDTbiNj6hU7WS>R8tHt*$Qb8w3O?#4?L|vA|Rd?`M(se z%5a*WVk%kJ186kUgUr((YrOT*d-NBg2yv%*Yfft560dt$EC%8kATg>BfkAbwe4A5%xM1`5 zrKzzH&vf9Ka2Sk<>e@o4XyX!yrZ1R=jD%!`t!YRbn?0f{Xc54A4w^yU2T{6T6q=3F zJ0+^!{K@$si?6h0#PcHfIy6up1XAg}w*>lb^p!C1xZvL5r^?G3%2a)3HZb!SV zNGZ+}`BHhp4S&1rBOL}BHwQ1kNxr2y;O0Ja7MJz{A1?=*i@B*A9Oiv(EdqCDfMhLl zNQlM9UGDJynk`Q+B-=au#|IN7?ZaQX^?_ARcSH)PO$5y!aFcZmnV~`#Y>AmG6n(|$ z90`MG^JnS&cl-Rr6(5JVX1dUT<9&?oa@uZ4PqlWe!XJQG-*{af6(_A3aV?%gz#QC}j0NPauUF1lS|^-k^&9}}WV z&K;@Ow{Ma=qBZS zNAi4T)24eoe%fzO)gHxQ3OzUBykc3rppg}b*0%YE=6%UtS!RWgj={fGf9J=+m~=e1 zpcB)3W*wY*)AB#>F0SaJ72spBzBSa!7)?clQo|J?FGK^SU>1`}TKk22#@UIP`%7fT zy_(qQkjb4 zl%-F#Cw@VxM@n$tz(rqozu?;|N%D%mG#3fm$Q zNXh%md7KsYU9MC483=l_d(;+Y} z6pcYIG)5ouI<5fixKQfCvmxzh)%smAm%GmyFp9Rc(>9H>ExCheb{MiV@LEYBv_K@81-&}c4OGnj@!Dtg7Mpt1wCNQt_ zQiL6AMaYZ=F=n5ec+$nBxKWiV!wvDy>v3B=I(;+Dhl0EbjCw_enfqf+-8-0u`AXlx zFgyM1K2i)nsUdF%%*>*@n0HNZD=KWNgXnov+4+a3qhH$1Q1{Q9!$J1g+K)H_W!1DX zY;p&`5#rR>yP&B20X}`DWzTkxTK(ci?7>!}LiMc+F%EO>N3s3AJie@xI zeKdNtP_(}F)rV`-h*xYUxmngCFtoz7Qg0+(bpG)oF-c^{y0@8wTFN&y4pMW1U^M}J zLllk5vzHp@p;}_9Mgb%zqxm|`-ftYebRV#hWuI~ z_Dm-QIT+EjlI(N>k}Xb0Y!1x1K=h1IL2XgM?k~mApH|IEok~zE=oXYnH zFlg;f1$^w^Uv+GT%)7P;^1CiNV1NPtaT*ErBlSd!mZ&5)>)38M+9Qxfh<)E<5Vrus zzr-vc8Do7eZqfaM%5+0#8Nfy$wWIlFiHWPODXu( zTPcHYL(mrSo(gkG@JBJ`w9{;-$!$d{@n(6t6w)z@l<6CC@IQ$BU*JlSY7kav=`Xi3 z)u6Xfg^YgTSk_;_?in{5Z`3@QLOeyNLMz&?$Ou?bBu_k$@hpNe76i`o{w)j8t%oHD zmIF?z%4qW{XO<%697R{B(q6PI#E=b4$fmLck`~J|sT|c1Jk5$~(AHBIpo4l#__wmj zsOg)U4#18iMr1Fm5=dJru_hgWDO@c|!P2$ zR0W)eicAUQJyZ#k50ub9Nc1m`6zlrS{(pM%?*$AxYSHw6EG;qutmG+E&H$e#|KRUn zvwvW0S_#zBzsq(7vI+vg^KxK|SODz4en|fh;e-Feuv@~+#iv(*7+?hhyk(~@1;ij2 z5Cg^KVcvfZis)}_O8J|2=j!=o_Tmify>cPpJH`n@pn za8A_d>o7n?!VGRSN4*7gPYhrRL!L18TH5X$C}UXQJm*xrkzIVX3jg2#TGi#e#*J{P z=LTx3tu~Xnz<9<$hX;U0TBuRFS-CBz{50at(nvtqmD%}g>Mg&+f>#$k$UxfrHxp?j z#Sp91XNc0 z_&~LbXF^aiCAE_Q@`xzBQX)Y6nXJwsAsK*ql}#}r2=&PMDbyAPjZ;GMuP9lSL&7C4 zYA1#mX#iueY$NFZmKE?51p~0l>IDjRlC@)8P%8Pmlfec+tx_OBs>fA3fRqRzW&JnF z5*e_c0~n1n9UQG^Tu>-JSOfJ5%@C8ju40^$s+>(+akt2CmM8{3-$3*$_W%*EA1M@m zcUKT>%9?uSMWB^9d3>=hGD%Vw;#Q{y#2tMZn5XX?D3{FzXf~9MifO?^saR<{6E$NT z0G@fzfO1`&f#k`#{7)e9`i1-8cagTpMa3=v;2E=O-z0hon8yhKo{?OD5S+REAsEmI z4-#b~VYwugIP9y!kl%q;F!}`?G)(5GR)62|@EG^gmBk~PT!NOk*E^soEMr}txuCIc z2!^1caWB3zRL9!A1=CO{EaarOKIU6hW#`+f|H*b%+`YaVQuWaA{sr`SEwhfjkm{23 zp*&c7x_@&^w9jwhW{W>k3m&x}t?Bl|lZ&sZn8|rgJ`*zxD!sa(E&VGCgG74nXU(-1 z4|f;-R&;$42~VX5C6AGw4N)`qGV@qCvZbL3R&$WUR5!LIQCTb6%J;h`*AR1=+N{Xg z$uHpdk-R-H%MB=J)y~y53D~SYE75Whk(U##` z>Pkyk3i41?JyF*1yR|5y5OqaaZPGEu;W_F`Dp6!2Vd%QTM$8{*F385!g`Qs3swzW- znxZXq?egt1K_+NRM&ssYp@Q9!R@kJkSwx`#Zfnv>6vkr!x8yIuEFyqhGRbWV>3vm| zRFm4mbpUSfmQ3u3wAvu8Dp$UUR$UCH)Dvw9|NUA`8=O@s# zfn2f#0O2F8)=4?rwz%{}9}f~60PKhCP6?zx(3V;y)f6?AoLv;yc*sCw?2wk;-EkNt zQ=tL)AJ_V=U0Hn74dv!L*?3|@;XY+>0ZFj}<&E;sPj4hPgA8nwJ9C`Ps1O(lfbQNc_{rTHz{ ziHcJ@m5!E8kxGjyQRg;0*oM{HF7e=wjW*hry9#QqM zakd?1NXFJErOX`H;c|@Y`Dku;)ams?_+q66(~Ch_OKKynxizZ6RdY21^t780Zk7() z?6u*&-F0?m*#Sus@IVaXrzi&3W>!0$Su(r0(t&o7Cu}KR)N;9BJ))AteQ)~X3OXym z|^BLlet?H6x~aGiXoHp25m#SpDZqLxV7|( zo>UuzG?8J`1OH%WS)$Mpv*`-u45O8jI)eP>IsrEv**?=Qf$V_S0Hxa%T*!`PCoMD# z3)BjwmyNp^H+wOfD40dQRg8`lBC#T}WtoQ1 zS(J$bshI~kWau&S|&B1?$ z#da|H{sWsu=f{#W`YWeW>!ztw%LRM=SNhZ8ufFirPWZHuEfw88ElKZ~{*=0d9$tCYIFRip+Ko%(UIyIN6 z-P4Afvv3ldu~yF9;-_9Oe%jS=8HoA8NCDVCgJ7k}B{mc1@!t3tf2mRXV>(*bOOx@{ z%w44m7P`*8ZJLO&TI?jDrR>x2Y3OiF4u*}4RWv2U(SwI$E& zHik^;rZW$r{`iWc+hL~ultG}|ar@Y`vVHBf0Ruz1(-2vn5P!}#mqIn?-!5llf#xkL zS4!k)xC6FNMK}xk3o$VrcC&1OeT@a`AKT(Zn*2Yo7S+86! zG8+Y>pAQV`+n30_MRiR!4np^&;rZOMe%`>T$v=xOHB4}QCK2?OaXFK8{KPqihH2>= zWD;ocf^xDJ$Ze5qr&&4jKz!EWafcZcI`6mu!*MkqwV0F$$L=n!4Q5X`KiZ_xRsj<> z(}bzS_Us;O1fQ0mZrH`gM!>#%M11yvNqz9yRBFIXCZXuN{IHc&sr>v;%U}J z=En-pIL6a1)l2H~52vc!2@msp^Yc_5yqzA`5j$OoYUs0!n+k&)s2-=H9G@8OT&GP@ zF0x~0mqKM^@+B&$5;v)cB|*wVO_l>=vnGz8#29H(_>~PBG17)(n-$@WVG0Quyzz9g zQ$;ni-%5Xn%~c*5L+pC)B|&hj-UobU1do?b) zXWmlty~J2ZGXvY_Y=s|1#I7R(31^YTQS{c$kD3CFl}lTWwo50Xift=+e=I{Uz`wjT zQEfw>vriYceY6%=)UO`Udx!aLXY<%#;=MQ3R*pEn9Nm1D=1;CyitA~ijzaFnu3Wg^ zZSO+7v{_fw|7?uJi4=Ef9`n(`BtLFiUXkN8cuc3QsIwO4CGqAPXJ?!c2ATWAA$jr; zAUzsgVi<^P4((ibX0r>~VAdVLEx&osu*SI?EiAduGMq)cvqrcH#@(DmUULeh#qWw4 z1$Vu(Gr)d6A9cV1|0)VSJ;04Ft8mU_tZT+nf9W4)k@@bl%bZEu^bOo`;El?Ts3vp?SjB$*2s2Q zaLT+aiajT!;&;h!&U))ua#jXOaaQ&gX`7TbXR9#ehg(dKmC8(vwHio_m|8C+Ot8%I zNF^eWf*x#Wlh@=>z2o%XMgJ)Q7(Xz1;gP8Pd^?Q+zc?%WmFj!{r?WckO?*aKYeL!V zr&3;CboG60woS2*n6pmT|H&&TQD z4y@Z_k=qzbB_k=|H3@P=DJ)d)=B@o9?598yLnWBlU@01o^+Jh-S1k{p#HKM{CLs0sdWty1j& zU#(Istc?HBD%Asc#cz`>@srR0D~QjPo&kDCl<7P-vI47|8TYUl z^r1Mh*OvM7ZElp~JnxQ{RHeB+#aiaMtUm9TB-I&G4OLKzzhF*X!`}1!Z^Ejq*| zH-@ermqHvfEh1T?{fb{!^lN7sLA9hF0sUQL+CJ_eB2hzKVdB}aejMACLmy-B90c%j z5}z0BcWDR&1o(BfIiZFWS2xTP87BI#NXna6itv}0xDGYZ(%RX;kl$;sn-{50?5ey( z2nh5D>?uwmo^JQttr6zthHwZ8Kbb6|Z&vC+cVYMx)UI#>WL*qZQk9-RvJRYI+&^^G zE9c{-NV>*fzxKm?h-yo8g!Ssa>h(&S@xj(|pKlt1J{){%5cz4&4nMwMZq^a^5ZoTf zygrX@IJm zS)kKaYD$CR!bs^}Nk_Gc#Zj`X0$rLu2cz!6W$9R`SJqqCE2S2)<53drkjw5m8YY+( zj0i9=1`O21KIk4=Rhsu(!S2W53>OPX=9uW9#S}NwvA2ee6j4V8th>K{Y_5Z>l6piJ zs+SFlkYkl%H$e#pRZ_zXA4M_*S(KR!F^l11iIPR15Pg9Q!A=Rs%Oqq91MVSu0S~1n zi?M`|S8=?rBrx8j8)Bu9=qcYwI&dcpWQtv~ZM6U!#o^A|i= zCYd^NjGW&m7FW+RamjyZ5=KlxDq>9J`vjUux;k1~(LJAn*$m5qfkj2ngXkRd6 zE|93K7@w+HKmGx_83ectE>P0DGCW6snWi7%`N9!0Sa`CAzkO6H=5?RA!yv`GhX?VYBC{eT+9^!A} zh2B}u6rT{L3yC(cM$d)o$;R$Wz&2-66RN&ntT8)yFrKpp*w9Sq0a4MzE{?H`_ z6W3jb480w_Ix5R(3v$!x+`0_ctcPf>)@A^&7XpdQOaFSU_05_$din8}+z7C}-xErt zN60pDGMK3lOelG?F!UA1v79cmvM$|~a&*T6887O6rGLD+GM{?|*0TJ>pn>sQMcfm{8bN zTI3i+D1G!6ksuNLWSUGy(=)m3q*aoT?P)@pP3Y~XD!M3F>iYxdfjKsJ<~Npw3P&rG zJ08j;4F*$Q53bpLuVRJ`C8uudx209TUg-=a69c=%@;?@>q09&qr0B4m*9C@<}Bct64s`>rqRcvCA1OCT{!T9z$rb3A8bP%3xp))m6gqNqlfq z%5|{QVXeYODocP%vN;|sn=NJ-t{W|khJ1}#m^cKgkS=+^=CRqB5ULs`MYj_b!^Qe@ zUsXrt$&$a~0R(dV>-(p@-fR{@#zj&_U6I?xg@Fyj)N_yY#_REob{n`r987iqGP2!f zuNZW^0K+Js5|B>Y=Cfm1ySA@Bq^2;Maq+usF=+cW=|NE9*(Wp^P+ga)apw>J%-aYQ zEg+reYB2Je0A|_%#93@ZT0SHp9AH^04H8_HCmb;WYv@|-@8Mx`znSs}?tHCN_ePny zSz&nzG?0!`1<{cxqFI52Lv_c~g)9Wfrysw=)tIr^LkbKgD*vDZ!CC&zB%@_YjH6~e z^gElF6tq;ArS&QlPg%=2|H{8Lhwak8HKiKQ9mJ-{FpwZcPbB(&bc9Rqw`;Nz|73W{ zCw6|mR#G({WX89lFh=6k!E9Ln;3fAvbG+f*R>>ilfKjwa=HXYzA$SYlpR!=1RqYE2 z2a9E-L2M-L2?TBK-~6AVAz_R?jMYTOjntCe!-uf_Pe|NTV-s_Wy{YuHPWy2x`T@JUU_coOcie1n*rXCFDKxn~0CZe!nm7Yq%`p02q3a*-pMm z2&x?Yo1v(6oeXw^XvQGDI;egAgk1(GHK_R9t7`^4=adgER+#oe%ffwA+pke^ZGK+r z48M=&20ybnGSiQ~q(jxm8!|cUA0+_|yWRcr@e4A2!&CR)X-gOHn$ok|Xc+HlWTL2+ zcqq(rtFRa=O3h1>p?p%6kkfx8Z#h&={fXx6=mpUJ__tB0zg8C~N-%&QY4Jy8Sbw0E znqWt#|27e7C{;20uJBV7le6Rcq&t%tT}!6ov!j;hgf%+78@yjjxsjl?WkL$bT0t@i zGw8SH-$ki=(C? zSw4>@y-A@4lI=xL?Ye=n=P#v=PNa5e)rr*g%2TJRawDr^bQ4{RE95~HO+Gmi-kg$# zoTsx$IQ%dfmW?;eB+sfH{FaGQ5Hs#92i2)P52GlVhRVZVkDDcG;hf;p$k^;QPBE3} za;)mM=0p#bwnpT%O3Mki&aAbvONI{M#VOdt;8Jn&*=b0q;ilN%T4ICUFX;&&H5n^9 zT;6}WZj$Bi^FJ1BJ5Dd@{-hr)52RhQ_}Naa&7t!0{J_$@y*p0SzVCQ4SU19 z2--&)GQ+8G9C8^G41K-V^i|K53>V<0YJ!HmaQJ@$NL)ZMdE)I#V5k0G40rG^Dpiv*ZO5+m-bAwE+u0^&!WKO6cTP(xCo9 zv&pAji>$zYvaHM_58%%cB#ce_>AhM#*PSx%JjJfHLH$Ex@Naa2TGa}Ilc@ES;TwO{ zoFDovqUxLNA3049@O^!`wmU}+d)|}f&<{Lnn)#4i%ka~oOoV6ac30_dnRj2?-RxtP z_8fQTp6(FbZ@_fF!uxi^?l74i#=?q}&h8Hwb-fYz>+WS6-1nx#h#}($Kj2=ub0j@g zzoO&Yhn3$~I8;pY@WaAfo<%P1H-+ib1OVF_-(^!qFz?KykHiS)b>Y;j6j(iLeV*~gL^=ihWG7@{v8 z#^;tN5aSoE!P4-=b0U(4pcCM@2p;$iyu93W^~KvR7u9jDQ|K(!J$}5tWq#W>t6-f! z*sI9w9^MddDeV)Ih%DM4a{Sh^cPo#JfEl;_`G$BP-@nkewI}|95{nD#Vef}|t8#mD zVv)j#z_@yZnKqU=)wg|6_R{upu@UCg{T;85&F^SyHqHa^RLj&GqddXQWPZx+KqJv@ z1S%HJ?Dra~x4sMOL5jE;G&shQ0qDZ?c;@u7n1X9^58lQxT3QMHw z8DiC5y)-sXxuc6H0T=udbmVi}ekw6E5;?*1vk}nO(z2g>aICKGTo^qJQu|PvqmnwD z!yt@hoj&=5aOPu#7T%+4>rj277a98XVYP5SIVnO~i>=Z1{gd>*NbgdOFikoULf+Y` zrRf9SX2as1Z_V>|zO^hiPSP@44vVT%S@Qc)?A{C&1qh)~Yh{Xu#c2t3?f1D}=QrTe z=9D1>mYPYhJ>r@;R)y+MAPw>$rPxkv7PCU2|^h zMJQ7jW1FGh{J16!dgrln8{5m`Ao>cfL+|*+DJ0Yybf{a>`#Mr!V1Lb2sh-H^^&9wt zbF<$bd4AwA%4PI^dOA_(hoj%O&f4DW^BrzW+3f3j>&gfmn1*lBeeXn@axGxxJ)A`2Lv<4;vyKXAVECQaN0SWyU; zdl&;H=z>lHoJ>dP&$I7R+K;!XMJ(4qY~jIQJ8J6cD~}gJiSyxc8`C{uX_DRUZCjLg z4qZrE-t@OC-y0kysc%B=n8d#)rcIa`M#C~jwykVTAGzL7!@m=76ckU)W74{^Z@?{5 z{~3roEm=@ojV2Jg)LX!!Z_VQ7-`eUK>}!x5Ga%~f0(z@1QWR7Mal?C9D_xzp=bWdw zc)z)+tZB03leo&R*QzvI&&j)~{z-mTs6T%GlctQkYH;Ecu^7s;;j4;up45j8W?sLe z$oD3Hp6?{KH*Zd21BV)L=kk6zZ&QYc#-$_yM?7yt-x({#o9oC@XYp?) zkZU>_Ra11oTf0ZoJDfFCtr4~+Gc6-Snd2cZY$+H03QgQV%4WBgneOY+OI zJ;n;niw7^@$y1a$a$4j&s!dydn>7^PtmxFt={^oJ>gSf5$_XZVH00ITFH@p>|9F2p z7H&7^UhFdhA^^OH`lr>GkJF_{H+eK7co{L!pP&T>SroMby?Pa8*K~?yz5E+^zW}jX zzK!7bSvB>1N;p06JZS$+p$jZv*fp7;!3=N>%Wg=;!3wlFr6?d~r6tRJ{F34lgeAI4 zn`j*tjNKp+f010(VbTS65hW%rUd)8%DZ~24SEJrB*lrq9gQQ}(MasfvNa*b2>c!r= zXVLP62tn}F1qGM!IpRZDF#;f1GZjUBLwuoLC~mSI_Wg4OUDl&x7-)mS>vc((Vds5` z$+@J)#9_s579Ut|HeQe6(lZdXC&sh{{U)-<+g90aqha@+)6Jor>fhpS(44PRI#Ndm zzhJ23hAei8=;YaR#Gg3Gx0|qQHP_k};)hW0%H|QOL)j^8C`;@Vpvq}cMf<};<6tLd zGvO~OfFx19HCOQNv0Dx%*FANCNwI;FBO=foPNBcy5m!_qvtS=!fFZAApW##W*&BpvNh3!3bF-l-H+(uC%BLLCM_i{2O_7a%Zj z7O&!Fc1#u#fgO8y@tb!=DYx=t359g!r_4+jg;`xPjJUM2Ksg&EHc}_QsN_p&!a*lu z2$?<%4rrngt%n#Wf)dWFp%RAGs~83&97es(DQ#_r@redU4OO92W z7V@5MYLW7wEArR|0F}`}xzR7bgG@I)v-U7q)(6YZzN?#(h!73jS z{Bq&(P99j$7!E(jCm3&|$TJVVdi7*V(P+(BH|luX*5^^HfU~xxQlmKKdH?3!DrCCX z0K3g(^t!sh8M4E#v5^B@Pm5 z1R_K6q3=(4|1nnG-PM_qw%^CTOsh)XlK&~zut}2?({H$7<}E`A)_a!5l#nwh>;-$O2kS&wgF7c@Utq} zovb_gowR{pxu417k`1&&IYf}s(PDrc5VpQB$N))%Md0Kx4t9MWP+URIpJYm|Um)=I zwEv5-Zw#_@Y1VDq*0gP7+O}=mHl}TJ+IIJ}ZQHhOW4iC!`&^vw$BDQkNtXz9m0Bbvc)#^{*qONgWp(*~ zx!_m-032dYUjTX%L%!)vQ_sJ0Zo9OEXT%ed(Y^I>B)2~h>N~X<3ep-#NACZ=t31Jm znYg2Shm!b8f>pVhzy7IsKRd6fFiZM8`r@5~{^Wq`+KkA4YF@D7z*_Tc@^*Lr-hT04 z?P~MC*=zWC`FdQv^f}qOUYq!ML85hYTB($yY`6&XIArVX{%qda>V_Qa*toTn$nEa* zzX|KQa)WI6#_+@cQB$<;@BVsmz1FkU^HQ6)oB8qLw`LQMRp!G6rs{xb$vbep~iiX>| zEY+{G^XJOi-ok5ab@@YfiPlR9k{42c`vnbGFJurw6%wK@pdOIB(7?{^MEXS~KA$!; z|3K3lvER|%%fSU~l1a*S^t%lx?Np9H3QMk__71eWr7f~`)miWs)sp3zJA%qZcH$Nz z@G!$%qJx3oFf1INewUx0cj4CcjJ^9#o>#{QygwJs+@N(#hMX$9|K0gl zmwgbKKsi3#IgsSAi`A;+4mL|&5xnTasUcoQc51k}S+pM|Fy*d%5^v^l1sYs;gBn6$rtC#5I5X9z%v~_o#gg?i-Z+p zuYNnfKgT;KTKtu^iH}2^e{; za&X}K1U!tYa7Vrvo9+!px2*9nG73?C53vas-?B-3=Oe^=*h#3GO1toZ3DB0JX6|MI zCQgu~ma2UPk@g#{_!9XL)rBp&%Dw~^`M7|r zASGfXMohX4rO{%!(x{tcR*4E|{y1i^oiZGisXs{Wd_Kyypr^t zPwK-B`_Q=SUn+zO@S1va(~5rb7zq_Kfg&Y3PaW=DyQolwS>|z7{X~y$wb3ImCbSAT z98^G3WFj~jK1-JvCXXk{V4?TCk_TzJqeH=n*=Qo=X$*kf?=>rQ!KrL>>_hRy zT%I8L@lvO%h^GGt698u*fvxa2WvPq+5!nU#=0& z1ft|)3nRWkP`Pvvx}pRZPD0bs6xl}ZRrTcxoW>t-mQ5}~|7%A?@NbxWc%^i=H%=RM zXu2qX(BIiJR1BfUct=V{{PCayQIgQdSqv(Z4%Q;sk}=^4AnQbAOU#LH%F&a9!Z&uTn6x=0*i zmxkaifrCYNQEVGY@Oi?5X`y@4itZ34)*-(+n+&!?qC{>{`F#x9Y+v1Hw8u_f@Y3Z7tH z)~jG4z5j5pOGoD^=^3SIM5AH`SA+{bnlos;UO^UugLA=dcG9+OziIxPTT!wH%&&`MqN#74zTzJ1cn3;T{Xg7%*kSOI@( zpSnGf>(N&NDm>V-Q$INBOVC6BEmr1?2BJh@3n#4-hS;s_aywN}S7z9@caqm0sB%5} zwYwM9X9o$AJ~QWaXB$8HxY3X{WrNmVCbq)=es6s%Okmrj;}WA+(7NMBK&c)J7tj_d zTOeiZ;QGPxBjsoeLzVgx$*zPR@5(s3|3@L?5sV7f*F?2GZ%#8OGa#Ix-vtk+bO|p& zy^0IiVRITxg9B1RqL9p&4__<{XU1I`2&oE>L|~W~p%K{Xl+~FJ@46I%HV&7K>5miN zAzHs9{2x0b15u!0Wf#GA;I4Fy@UY-`>F}(0(t)cYXMx9`>*0+ohO8j&2mjZy|iO4VEFEddxC=UlG7lZ*BY>SG;HR;cm)4+o)!bQKZ@Z3;8K%-yDUjJ zGNJmU1sbFKWLj$`fWiqjU>Y`+AoM^Ob5as&QAn|gx~G9Tbv(FPAI}q#tG7JrAafikQ!gJyNnhNxN0D}7? zN82Q2QbjU8Lo)w31tKf%A*6s)lOsLeB@@mDqyx>4C_iN6+ITU`sVXn=S;l6aut%Vqj1DeaW$fDQc>=T}6!H zP9;Nm4vz+wg}?;JsT(a8UOQ8{ymw#gEG#p7w@&PS4^B@_ct3x}4|Dw=HJe{EkS*Wt zuWt{ zw!SVX4!hGIT#S3IPgp7B1=Em;%&9n!1mCPgv(yUaQerKUl^V~fw_;;vRs)B1$F?qU z3&Z}v3LZpm)z|G!ACy9B7rrXv9BA47{UV?CW=8yh*_d49nBIv~!Nij9sgLRCB;6&Z zi9^7n%KFIUU{{gl&n&FtKWwy2=veMk)x&hmuu@5Eq7#!LG#s3enuu8}Mnx5oW8|bI zDLp2wT!^OLcX*hDoP<%PVXPE6E+ILg0>@;GKOrF*rD~Jp)I1R`DXj+R$nivu6;I=l zQGEWutT9W^;$VR|!nLj!^n9~|7|&p%qhK&{{EU9%AR(tS1#~oj0y-9T%ZEBS$VsV9 z8rDw3xkJ%Mn<#9n=#p)$1|Pf(j&+Gn@O{!!u)30om6A9YaBB0dRP0hXX&q+iI^nm8 z^93t)uEJ-r#$)|TIceRT1;gv19Mp6HfN98s(oz!!S^BX{C_C+qSi|ed6V!T2S}4Z0 z<4>4?5k_`7Nngtajlw$im`weGB1cb~&@oXw1}eHlN1m7^{EhZb6?Un93U)L?>-}PC zJ(Y24H5tg{Ou4C)3{P4XPhU&dn2Y^7{3Eg82>D7o>B^}BBwcz!p*=E+16{*1Z!xR4?VBWXnA1ML?(7) zaYXXuIiX&>>r8W6IFn)F;Y(=F{^R__`D5DJ6xN;zJJ!plE+GSqw7VI`fSqYr)_N*B zyO#1=)B4yZ98r8ca-1%9@UD}(^~}Z0xd;{!#rpU{hnJ6jjCJA2cJ)ol8wz@KgO~%x zz>Q|V5_BD#TVHNdxIi*o?7 zLvj%%))RPgkP~u*WS%!Q&==^&YVe0uIYIno#XQ^&?>tsnnSx9>rs6%Cd?3a`8RIQI z?d~u{G9Xg}QB|%Ie?n)XpDzO{j5=3^4g^`qV+&O+`1&ohQnhm_pkHPP;TQ>a$w zR%7Q9)Lv9%B=VL^qsmEMb=dZ&&vVl%qRRS6ExnpoF6?;%1-I9Owp0v=GsjmKT6Gn{_lM(H zZH)w$sOq10gqOSM1`(2TBgUNpzAoHEXx-`z<<;4jx}?fTGF^+Plr?qJ(>VNP zkq?QY2saPTIMj(!KwrKB5gI>1i6s zyz2p&ZI{A`3Y!Xt7bgyydNq^6SN!eKS>xY}S&YBbQT%o1p>mV@?z|;)#*bfn@$$+H z-Trp4XLaF>E=9?YUvoZuVHbPx7dmhIqv3D)1C=3rd5I*4?1STchy$g}uU_-p3*#8= zw)PPrB^X?yB&pVV5+frxeBIR~L2=W`X24ffw5b%>V{zYv+_r8woF_;1B~<4~3Xl+9{#qel(XL-47ty*GAh-4x0f8 zsWYZs!Hr85d6H;W@%BGL296jH;?B$4({-}u_6Ca#syP$ARtq|15$Cd%JTtc_vf5>& zdY>6mdu5}8;`2-IEx=;9!7Y30t$KDwX$AFBzDZ_28g&U5TX?EeJ{z>xO1GSeO{aI3 zzI$v+Ec99ijiT`m<-bKGh5^5ZcYFne`akY&d1d!ZW%>I`GjAcJ&+e)t1H2;2I)WBI zFke?54iZ}I117inK=ak_8h0j6OzkWKLj$OAhNn5i`L72aEDH!uENv%)jUmM)5Hy?z z35Cwkh+6q2GeD9v2S*jDdD55#8y#L%Hpndc)>d)eap>DqJb3OK|VzzxT}Obg+buw8|<+WAPw{6{u}?)oeTYbXHZZJ zKKUVxSTV4nyKq+Kjk$vaNv+p4g84U;UvfclZ|mb0^8J$T-6l2IYVKeHv)7gcuQnP- zm8kQ6a+8M-0&Y-JaY(t|-x&QU$^XK!x;Z=+&xS1+OJ00r995^~ESkt9KQSsc^LNQ# zVincx$%bk!5ZFc~L`;;z5;RAv>~$b**a+}G&h2tsV|J=Rb?n#QV(cit^Qlmr{w%%K z{wc$NF3;HInQ~B5eJEsw?fUwlN$(E*dy&cUIzPp^5(A8W%=WV&#}r9SMVTc$wu}+H zMsyL%ki;suN<>9WF18H09$&HWhTIK}-fQ_sB*0f_a1Tr26=0Z3QH92oS)yaNvZFl( zD|kg4J?)-9d?25EunG^q2T7+c_5XUI7UGvd*)!s!e?j`ZV>s_36@1r2ZY6CTenEZn zBeYNq&-ioUCF2Y@prr0I`UqX<84l(^+*?)-TjU%t9nEgE3x$;G$hGg&lR^@G=3+h0 zunuB(MwPGzBfNWDSPIXMSogK&)S*0Tu3DKS4-gxv>a{dW%11_r;4{zLTgO4wmgb%k zp{ChhQ9Uvy@L6g_J@VMl&0~T&xAfX6g}}g2$l0I=yYZ|Wm1m2M4bk%bDWFI^}uzJdnbM^Q?BUGlWP&UIR(Xi!bt)O-8NHx!K zk7?dnxy3F;ec(DPrLFkDibO6UJ4G)=aRZM?CMG5sy9VPKKRMYlMM!O@o!vbY52@)C z&CTNzg}!xuh9I?L_VWbi?zM--E$iyHG4zXr_5^o#*T2q%#vRW!Ery>TtOQ(_Qlcs> z>up3r`CkP=0r7Np4?R>17Vg6%@4vqCirsllF6XM z@;s)oV~`EpyuV{&@3M%ze!P=cT$<~Wm!i~P`;n{bwfD@Ua=_qZz`@ziHzmQ@aETtF z32q$Rw-&N6A~dJgrRVFLprmjZ+RdY~q$(k1|FX4`-(j$typL^+YAl@{Ff~wA9(S?g zT?5h{>y-)|D>by4FL97A)xVi}o9!P#eXN9~qqU)Xt3Yy4yMKDUo|@{LR-&WS>d=T# zQXbI^J?Kd7v@LK_VwIRE(Sq(T2DDPGtgK`!vQe)JbY8kT<1tfY{1%)M0}%|z%WviC zZ`^XVpp)5hwLPv$ft}-mn%vsWrXsER5373g9(~Cc6l(6-!_L#q7|m3a`qSDmgT#d@ zy8_{+Gakx4Mt_wLwX~;S^3L3UPfQnXBZizwj((;NtM65>d50)sl-$q+u6A54A@?c zH#wC5R#H+D>L*lwt#?knJSsVY{zh>GVn993iWz-@c=~kp$kei2DZK z8y2po(KcSL9`4@X+i5*qodD#aF9`q_yo}<#m$-*fFkJ5l|KS`JuEfco%)ja%wGQxo z7WMXc!>1(Bd+%v~T`_;T@pb)tG>O&9>E`2kJNWDUjiB=F1Hdsdi-E4Er;2VTNoHoA z%~o1s@>hR|kc9l?c{{EwRPkm>EwIiic>MHqcT)<|rE&|Cy|jtQwuUR-q7XWjgSxPr zM)2c!?LgoE1)EFtDr51gyP3s}$8p=y$#h$JWjF2nIm*+%wjq{n;qu~Wqhe6n`;zrH ztd6D2hP3UEjdQ@!C-|qg$4_b3&x!5J>iI@-o$4$4f}vh>qWg4SGSnE}&tU$n6p2ec zn%`f$G9)_wKEowb|;H7DLCfGR!-j+JC0ArKTC`WcA$2O)nvtjOzc zTe-jf-4Kpn4oGvo2C7=@i!5=4T;mv)fvN$Sd!VKb2jo{Q*^eK)qSO0~o+nOYk7V~APb=zX_${79bT<4L=^T{yFe zmFM?)fO%{lIVo-U**)~#HTZqSAYF?~My1JjIWx`HT)eu~)$I$(;_vb4;Lgi7{md^X zZ%at{g4W?q0pEUIOLEB)d235;h_lW+Bq^xn z3V(cs8Co-Nwh8kG*yptR?*4iae~8M01M9^Lw)3Z9Yp8>ok=`W&eXyU( z1G^N*ua}sgGz$02uUqm{Ut?4n0#f5h@;>pt=*PzP)4Q3Z2Y;V}c}Cn!-4?Dcx30dj zymf+t3mE3WXN41cZfZRt^Rsm_K`(aAy2b`8d zxnd_YM)XBz43RJIg%mEhE>u@X_!lbu*Y(eT1q@UF?_ z+f1>xFhPQtv7Twf56SqWq)>-=%_S)CCmd={f-E>I5KZOR^b69TjFlfneZM`g4_VDL zsDTS`Y*EbGl&nM~HX4UH>~*bSHgM%4V*f4*?RdcM$bI|)a3%dtLa2vlx=oZ-XC#k; zzK4r9m<&+FM9EJ%w=6y$o>X7L=tjDZZtqP;QR}I~N*V(5gn+V~L>G}LE}BjESc)mX z=UXE0j)xLhZ`w}(<2+ttB!hq&lR?|bo*wa_N&&yV_LAp#@-T#pQ>}Z#Uxn1x@$I6b#hRaaSWZU-Gr=7M@i2h zli-J4I!Aa7nYL591%SBRdc$}zM8u+4tASbQ_h!2Gs}Z@&FFJ1AK%vPtuTc&s{ zsbERVV#spoF-9-f7(L_Z&+`fPZr`Ywmn8_*cxtFIaaj!1Lu^8mMIFIl6)8b_6DWoZ zZ5I0hn|;{nst8S598@K6!?&_AqXH(>;OO5p;fzvEF`7*oCuB1KKf#_og8*_j@~m>k z4F3^$2GEylQAtE^QqN3E=g9gHan+}JkRKvc9B1H>1^5p- zp?i>*NI$;5ewj;#)huw6T)C?D~&; zk=CSnP?u^w$-^RsWsufcE&zwkNThX?;knfi!9Xqo@a-`I+kf+kbC=$31cy+0=knU{ zgpP>-iAeZK9d&8Tc(~~riNfJpAw(7D zYTPf82QrcKzw}$Ra)D|RZj;j)D=gX?R6~=>eQp(qutAz#wB%Y0f}=|vfq?s|CM&(p zz+m2dXZ3e1X9!`gFOWS95MnU z8p?^gCdnXHMRGA%fs#R+y{;bf2O?uNoX4~W-aq;+9+o0zrJC4v_8F*CFsU~@o(@Br z3xK&dRB&4GNPjaBTU@A0&cf^wiAm*l(y#TGuY4_TTP-~KJ`uA=MGQ+Lt$Vo=Pb!MU zyf~mp9TktV>)Ey{bj$}}I29(FKM!3j!x4`r0&Tnb`c1V<1#ronS@cX)#==J@w~k(Y zQi_B(4?O(FFqbA2{@l3?IE0blF&Y)tVi6FKOc69F-$)<>MdHrihe7K6E;uMJa~KXHGww8&8{M^lUMhznyAaEe6k;#ps`6dmwaYTA z&Pqp$E{4IvTI5Obm^MIy$R-8tt7>U4NkiFFA~z=lCvfMbN$8(1IGQRSLVtWtBinsdc?o|lsPi1Kv#uYsm`h|<7n{Pt5{adrp zMED{!lPAW`pmIGQchMveI@LD?B~M3|yfay?1vRf-kvtO^?pl@fSS(&H`L( zz%1Jmk||B)bO3Dld4+It6M7HpF6g{U2uO0}CHe4^?3Nsm6-`IP*<&O;f?@-FjYzq@ zZ-G`m8h%1Aby!Q^YDLCvY~vU?#T`U4T#$U?sa3L4!I3k6!b#m%cTF;>XME3wDT34* zB3sTpjuQ_#?V-n{$mm_Xe*6HFb>6VA5{@&-GJ@qmDO9m-7GB&33l~0zo)eE<>7mCa z>DXQCQ(dS;$GQQuOIje)e2yrxG%hk1ehVf*0tX-gGkS-$J9dZFtZ7`7r^6x!(}q@u zCIJ}uF?NUaFuI2|1`x>+x`sG$U_*Vh{Wm@%3frFvf1>ZUnS7{Vnxvq0|9{ zBjSfsQYK(}?%-VsV74S)Dx9;g-Uqs(+SQcg?=jgX|Mx_mIA^jKb{!85>(yj6a662z zQ<%`|JR@lt?OY`BzJr6Z8se04_gHtA1K|aMi3XlYKBnQDCpj+GWhLv*+PATTBs$HH z!pNW>Pv;G-UwDCd5a||NHY?vaUxBi-f@VKd3e1!Hc&YE`n%S5NXPED(IdaiNLsz{Arhb z!C-sQFnh(($XJ`{ZDRZBtru4Z#@2HTeQ1g6W64Dcn^+)0>T;+|A4EaQ6C_d6i#|+2 z@qt-yZO=`mWV#hThm{~lIQjwdx5NNgU^a8G^;UlS)~Y@|-}mAd~p zm{Jf7SS*_SnH-H#&MS#yq_l`uED<9)50_L?DkA_-aSjbVTpzwj%uZrSG&~bOkg#-V48Qpj*$!zn@i6!6T=Z+V7lORVm(w@l+-R&*#{>Ujv}&V zO%%&c{#8U+HzcuuB`um5`eQmIg~CipF0p;EnV4=w!zq!~NH`(Ym{wX+*NBE`{?Egl zr@ky89BGhTQ&8PHApp5$N=jo{%t zp74{b6^iq8UxBo-fLA_uj>x0{C)WsbZb`w(hZZ}XF-<@b@<(z}oZLEia#^`B7Z_n% zyz#tjo<)%g<)Mk6MUGI304In-8W%YoBnB#vNq~nUMm*;)yo@3gar0#&;5)XRWlF;1 z>;!ny^co3HX=0U}mT3gWs9dH6GH>EEXSp$n2$mJZyR21mF<_>fAREFyyvh~7g>szx zlTYM3+}8G6((@)UnGV6-qRdM(e;h{sU*2rM&!4u^6^Xc4USi|?8m?Va1}_hRqGD@7 zEfxYl-8&M1ypPqAtji18|iAcm58X(nKqPzv-Hc7T9z=An4TrW>bjbf1*Rk=OHfb} z-sefF6MbG{vo-0-B7yk^ty&j>sUXIMOBhIin|W}KANJTfLi_86V}!$Qg{+SW%LRANM` zEQO_g`Ml|?6#KKC=p7tI5L=gQ#$6gIX5ltNWTJn~{n0tnCmKxt2GQP7*L0(?9?j4W zWLp3Yzog~6`D3z%W3!AHo*GkTB%TKdWa5RlUwdr49XV7CtJK8x@!0bk2!-RDDwG$8 zbvsgQs3+y3)lIuK2{ijnD>q)@OFRgg(FI^H33W-R-%cfJh}*oSvad0b#%H5ZFQ5Z0 z)2O{QN!RM<$9nq-xiyHr6XV#|pG%(Ed{YOy|045E-@IS5{PDtEq|xK?=nG(6CnC${ zf9C^l21?vp@5f{24gHxwbqMENrX!%pjAluW$%iq%#!AnGekK71gN`JvN9C|c8pkz= zGe$96a47Z;n;CTIv789v(T5yA_QUC#m2OW84w`BL*m-Rt0#7X=fG}*zoR9np5O7dL z8#c#h5$A*@Ok6MPBqNR zNx`z^q!N?Rgn?rBu!_ep2Ag$y6$(N(7Dz7cRsX?hCnW~NMUgMJSA>NuN_E>_ic^gA zTJZxWK*>XZDSA-J7>=ZSIeq8|tz|$CdH>mmKZ~%4^c{+Z(D*llY4HjpGK4thy^Mg8 zoRM)I39_P(bl?dGFw-YF6;Ym%lm_)(N=4#*OaN&cLPJMY`&|ey+t2*bI7$fseFU&5 zBsLrpo{3!mG^GR0j(j5wc-xq%ee$?IRg#?W3nvW`Hx(KA4q_Nk^&N$x34yXS_fZ3i zSR_f4e_VLeSDk1OXH(jS&4J}7w@4~aEt{Y!$3mfXnkx;Df(zyr22VuVtJFbUhKSm5 zt|HCUEesZ2!V=%Q*|OgD29fCAajb3CT~Thiyo<@w(-#D!1g-&-_13`})wfu48o za>$^ZffYY0o__xzmcUA_sj*w&`@2haBG;fdR}oOe*}tT zqNQq3e&FRcY=}Xw3;?&=gdG|z>58~NB4~P~-?Qg9Vrt$Vx{b=V??E4}*{OQWEV1)_ zb>(vrxT(Wc_2(%6UPAwKUX!kgmB!;5waOlw?O$%Wz~`BE3_W&DhQw*B0BQ1aUJozP8{Aw{6%>9M@NB zj*wYNM&pa2wsj%eu^I%+V7fU>aruNz!0f(O6xWks%nl#IF?*FKKOHuqOI#UGoNVR< zUiG`f1moDwerFijzMRU@#2M4@POr}Dy1%c2i7@GOZoz(k;#AqT2#l2Uq_qjop2h#t zxHIu#@z^r@$HV)@ScghLmtEd#!NHnpg~i_O5|wo{H|gH8zKz*JW`N;BCJ>;Bgh<FWnS&3SnD-ynKH4u~b3g(Ys(=E+~%GV~E1btoEYP>CVfM`Bg-D8dKCndi%eDe%y zEk7Xg1_|9knutO&Lb<18mobYcz>kdGKh^Ge|c>0n}~`b*#Dft_HE%Hp<>*c z!QNw!)IOhRE#6;#e`m8Recfp28u~NO-$aUfu%W%$Z+x=2BH)Mn;$)zhi4s5%#{N}+ z01tlG-ES08B;9{KMH%vYnNEs%@v=jb=#5o1ZSP$ab$r@4L^1x4@K!SH!{O4EWVyjT z>15_9moNfG#}aqc;`8hNuuf4|8cBEg+$cyP{aH&Z-tC6ly8RnaPv>tfJbQWiL`BgM-(Rmj4dI7 z9=O_Mcb4p28$AbjU%*?JD~y?ULd#(ewlKnS?aiX>rZp+h3}NemGA_yxk!+Ugtfse$ za&Gf%j1x7NI66%+hFb;i6FV_=DT=4YCef$elk73k+N?77ncOdPl*WT@W+1h7z=^Ua zI6BoFN!l4cm+NAF*?Mf14{T;rzo+3~D?|G?LDXH%@VC0!ctfPY^Wh(GJ|0uRj;Q<4 zRs7O(s~C@F689~uY5K)<)_+)MyC4;ZVS2C!3t$tZw@^dqN&u}wY z^Muc%gd+NY&ybr_>wkw9;EF==+bU=@qUc+YVN*G ztz`9K%w*knskB6XcuYZ-V2a&06h)~0K>hVsAb*eCtwpt;%}eY2+1#(s&I4sW&ikIt z$2ANNzHaZ*RwBCX0scKSkd`|`$L*+uFM-H!@W=0uFWBiGS)#O4#9jEFSol7-^(3aR zpuYpr4X-|1IvDk2L9V!4iP*ovaV|3RPw!vOXrpQZBt=(1P$Fx908hL`v^W)`Iku6( z0vdzi0&9r(ulbD-pK7gET_}CS8tUmzM2c^h?PQmzVZ*sKVKna-r@Ov`IFitQVNJI} ztZz^p#EsJb37=v5|HNk)nf?=>0TjQo-xN#yvg`dPH-uJB=NUJ%YZO|8+bwbHHECo#{QMly$lopw#9dVndYYSz)Ni6$ zQW87VqQ=LzMjA%qy1y5$&+iH;Y6@);{rx_n`kv%2tE#NZ-J9Xf`G78? z)ZN_uK$G21zSMF3eeYi95WSVJ5WK!&_~a2OgsywIKXvmN)Xp5Y+0g&9-Gs3whn(zq0$WIm|WThR_ZL`|)owaF?AepPSU`pCi3yPRuHc6a!}H_k-_np2tB{(2#5I`n4y?fW3$$sY%AxHHt6}S+ znwg!Pf?~G)O)uS#&Kd^vF7X7^?|XLx#fRb$pj+mV`AM} z^{W!61+?{-bg?8PJpsSh5gBkwg&GNBUXd%=Mz%xBGN@!~z6IM)EOD<=uzl>fx#bM0 zl|Qbormh*b%ibfIQANTX%_-9(f#o>2-zl6~jow}3O0ZSgmFuANQ;PI|OL4}mP2o~1Gosxe|A4;V2sSV3Zc0LiVy4pfYF8;2CU1emp zE0I35q^1@K^pfZo(O!)s+2s82DBUYyjT5jB=!HQZ+xxUsLZcW7A3b7W`xQ}f5&dCUr()mkq2`8UWp&`aIH^Zu+s zufvD4{DL^DA!hBiwGEZ77p3Rd*#3q}ptBrD5w_rT0FV*Yn$ zzx~WI_-TPUM~V#;a$75H3<6_p^*#7<{j&rX&j zXa>#F(FO-9d4dRwxN>QM9hp`WFNc9K zK}AoYuw@oUJypjFXW6#?t6-C>n$`z2WuM1`+s{$>GdH_y(ga!QdQbv*`U}16MiF3q znGT`$ag?biy8)=Zt>K~P@;~=H1XaZ_T2icyxzgoVCrS__uuGFkS8HcdQjuHor^rI% zzKFo1MpHSvmm{zUS^U>S3sD&Kc^>xHXQHsk_Gg6X9@L)J63|upTM7vKW=o;ew=@1y zWC{>yCg+SAmRADz8qDR9pj^N4%}3`|@{)&PCea_z-oVr;G67jIZ*#S3!=SHY*8UeF zzu1N5g~IQ5*c?8=h%H_hgAgOhb{E`6*i)$KgOHSthK>iTmUvk-BT6pnfb(t?ZzY_< z z2L+1!Ag4lO0*IJ&h*UfHvDPY_F^AL`(~^?oM?F#bgv4_w;G;cdFc$ry&+liQc<(gR z%eAeUQH>@NZXUrZtC*{src4GG4IziCo&{Gqb)$lUl@{x4Y!gyv3hgW<7ZX#=*9t>0 z5a)r7gptMa8f3`pZ>+r2T<;Xh)XA^J!VR)6nLxMF%00cs7!NEELJe*HR}EWJuJ$C& z;vtOg$g1i-l&_G`-1D`l8rjDoqhcmBO5+*Py#$U+QvdrXi0&~rl-k`;@EMe9f9E(n zGYe$7V2v~eS_(E3)ypH6CoOu3rfoQ7!?{Y^Pjx1@W+kgOA+jQrrgZEJ9!iNt!_m6# zV3;*}=(9v}IMb@xPNVu*rqu^Um=GPIRj!cwyt3KgeDwAJm<4)mwqR6T8rEFGYGb&! zL^p9tG$&{-Ye4P=HLIaT2z{PVi!}76ey2eG8=U12WB9RUCJdOi;NMLO7-;Cf85@N# z6%5x+9hyw^@`-ba06Oq8jcEZYcrUw@KmaKxfX&MYqyc1(D%>JMB7GtoV;w>NRRSI2 z{#^CHO`&rEMsL@}IKBvmT4r$ z0~+~nXaIv&ApGkT@+&Y5DIGEXJ^9eaSu&MTH!6wDL*kHQpx1OKTzTvi{jP8HDOH!74xBmY5v`PJU3eu?Y{zWZKy}qkuV{hLQ`6l z|6VbzeAu$tE2lX`jExle1U2RbaVo`?xtWpzHC+W_L>Ls;bd}c1QYjsw5WR!irX4#4 zJ9R!ft+7e)oK zC*k3vxu!E7lA8bHU$qbTk|Vbx)XbDgomJv`m55eD$7Li<1r?+I+0fPin5~*rO|PjF z<_iz5Blmdv<$UJ>Thd}cctyc83Zd9>`T228X$$mnj%?fYh=F#i`NKTLZfYa)?U6ul|5Lo;BK*h>tKS2-B+vkrdl$T$upS~ip%?gTm}WHaF8Py0 zKsyH4AiPPhF0^p~Y^8KE5=yC5KDtrM$P4fbk655BKQu=)m`Qe+{WC_R@9F{mI3YD8HC%(OTcfHh(v z0H@Tcq=a>zynj`emyBjD;Y1ZX8-PV>ArKA3O4#m>gXGHrA0C^bF6GqKASL;gLYkIE z0T@Vt5NQzyEs!q+o-r}2STy4jhQH_PJl2Zyf=f&k&}Cg{$;v#@z$QxyST zw&;iwJ(H2rE!*l2VoGO%rA&jT{4N6``_R24sp*PzdnGy!ID|YWeCrfSP3pG$*E)BY zWs*M6-}f8H4u4mqr!Va7L_KOG{ z7+2qle3unvHnc>6s{C1yLAc0vzGVeUa2IF{S6{%$r!t5bG87xy`+IV(;>rk)6sf?9 zf)|Jid~wpRs(b~ZA{>~t7~N%Y*aR!eBJ%dZcogDg1q&jjeF@}nHZ-lkWDRl<7x<8p z1Sfeh)Vffi1&K2%VUlG9V)*vZ{>I`B3v7#7HZ-wV72&fDp(O=QVt@>Fk#rn34xpnY zo+ik`Y6KsWNTYtsdrd}|&?+=dGhGMi#ryvz>xm!#8(Y_%(N1hsEYY9wB#-dN9 zLD-LT0+o~P){PY@`r%$F$;iD6wvZLLujXLYpCXMO7B_(9mM~>!zDH=8wgn-QPUi-3 zaOZSXZD8t<)1l)jcF@>xVp311%SwDzr7at18RP#j?liQOtz7uebWHrP$)?|8B16>_ zeKz*W>E`t6n=o?hI&CVB)o_Vqa&ONZF!AiO)ug?b*#nT1bSrDwZ=Cwnnl;QPx#5#< zt~d$LQ`O{sHWmXf8?@>hFk*f|r$${F>i{N57I=w}ofNQiS?6_ivova3@WRwHT)c$;i?DMHvMhMod~4da zZQHhO+n%;4wT~n|2*f}pl)YlXoaR+GJqUdsJsbPDu?@c*Rvo=z7jf-o?GoM$ zlBkA9@}lmfu5#p#8Ra1Xlom#rBf{9P{_+Day6k)1Q?1rbMt3Qu_4N~SkdKRJe$hrM zn0F_zgswpb8iEKzC@@~H?4<~rg5d5&`8E9fpt%4QjI!Fl|?6o z{~4|eL?po<*E!^ zE8$rm<`K;3j*|xXpDi2AgRT@yhIHrs$u36&9JvaIRyp-;72pP$1R7gN3bv|S?RJ`U zRs)GnVa-O=iw=JUBkvzQ$Q~&DMt=GgEg zmG7cO5Q6Et=iImGSt`g>{LsCqEy&{f^^|7$g)HDbU|yfeQKJ0=r`Ca>m+QzxwcK`| z+#7?w`CI;iIyyMR_IyLNx7pV>WMfY4BjGaIj}D_jem?E$5p%Df4n=@%xU2|9Ibue`;_g8yQ0{f&cei6YF*~S0>29UXs9+CMxt- zUJafdEH$@=FN)O3ltup^Onn=1IU=&EyXv+8Sk#}5xmy-%lJIU2rfzoMocx=a7d^<% zp8k^-fwwzU>kn6KK=XTEf}w&Ig4IyBXt3>F`!#}V6R({8YAHH;z1zUkeZ-w)uUAm9 zC7WcfkKcN3lFt#xR;hry^($|l7T)(kJbOu)jm=fm z%Y5Uu;R3MQsK;EiworIQToTECd6LLsmfB(v#Hi(o93nC67B|E^Vw~NzwH#!AP)Ekj zd9EhIbzi7$~AZ(}M4jEs$4;K0y2VQ}L_5;n|wSC#sgZ<{bA_F}* z|4iw=jR70XNog8Nw(Pu}>n{Jfw>;#mq5zK=pL#y@5Un1DPGV77m)lA!qcc0H^1LJY z`xkGuZ?|%?SM3v6X#ow4;CZK$P-h8esISR%i58PJ5ItH#^j_saxbQjS$sUJREUzXa zkyB5kkg9c_A*b5DR6}lw)v1({d(8u9Vz-o(+6-IekU62cwpN2JNrL&J*qU5TfBjyG zQUm*-92q;ldlx{gR(}44jb7;d9!fV_;0+F61ryGvx;HwMs|+YdkSaqYiz!U?cOI9a zsi12TU0hKQpU7o<9&5k&jSKl z=)mW?+7P1MO}Ip5wy(J2&aGZ>h%)4(xxtdVV$Z5q{f{6&#&Msz#qg)^6}mk{5AZD+ zXZHKe{B7*kxwbGw1||d&1wtZ8O6xtvyazg)-Xs}?wz&p!RNyqV*f&kA?dd&HpF>~% zi=9rNkk6M0>JAzM$;7ro3i{89znNZL1Si5N)Hma0EUgM43yG=X6fu5kCY6tKeRm^|Zra$GEzvZg%Da z)Lj*NFz4I_d#qhhbPP0qpLXiBJkD1IMV0%XGS^IVeY5LwpS^19hFV$Q24xN2ECfiB z$!NoKgfmsSLf9jzz6hHBcK=JOqUs|k+Os3IBdj&+(=*ga8&Q;Xv-@yB@bSswH8Rff zkrKg2mylXk>BMU}LvC)vYfU^!I16P4)5_M8OZ=8suNC)vj7qA#(p*EoBU?;=1oB|> z`uI55+v2jj4Uvb6?_ZoLXPSHE|7CMRqL)(3 z#8Jx4wax9`GCdcr*BB5gy2sk~LMhEg7s8%7cbeMrGUur%s#Y`K8aJ{&5H)0`m)9p- z$VQ~A8GPlov%~u^o!hF!h;MKE3av~b?HptE=ef9c=H}+u!9aqrgrg%4{E37?iJ`%Q zS&z%4wR_ZsAHq@%aLfeACw9iV0p6z&3Na_8wFDs|p%miCWdsOhbt9SLz~uat z@tW4H!n7e(^TEN53I;}(IelBU$>TS<9go5PYdhtiq~rg;+=ZQuo#X%7PKm`HiT-b? zEsz#(9lB4{Luld{oD=MkFya9YkWhZr<@x^l<>X~FvYxL|O4S~fc$F=l3jnN|<{SbI zYSb~p3J)Kzx58@654|1NbLE`$ys{|XCsma|-mV_+x5Ep)tZg3e`yZ{boR5=|zs$-) zo*s8s3uNHca_5U@<;#B;pT5SP0p155t{>lk<$1eYzR%C}eBIs-?M`-dFV{*ZzO!{d zo)^e+0G4{Vz3&eUDEvG(XbbHp!%y(4r)*CtC+fJClFFaXhAMiplDb)qRGw8T+8n;T zoL$EKbU9o~gf7#OJDTe^PkoeDifb;4KTnH;cWA!07oTo4zu91XD_}l!eVrB#ena(C z#8Q8(KjLjcKa#zliLERv=&2R^V&jmkTGVzmzYeXg38+NqsLMD99DQK>@OE#Kdw-p; zUt-y~MXvI!SA>bi)Bw&H)lg{Yu$1`j`>u-;)RF1vz?3YjI&)?E$rL!7q~C_N$mMXm zK5}^j=}^2VLFK0>sH*T0U4DoC=>S7|L-Dxe^ZCAisEUy?pi$S@$@WX)WpQ3= zB4bfro(4zte{rwEg8w$&E%;pb-S#V2Ug3gUABo=wSG%RtBx@5@YTgoM#>1u=jvPF< zFgQ{^cU;KH5TYXM^FZg9qP{TlA?%Z7!6ZvGIN=^t^ZkC2+a*bVIGLe4{+Q&5ip|l{ zg0Jc*KbsHWH?OW@n6CHnc1AG=?sME#zCFMCq(XP}{Nn2JB(J&N(XqXJ-E%bBEbtucDb{&2OVbiU4YttY;MxeVQY z!Q7$7KHYNa&!C(7;HrBC&xXbK>zS|5xN}4d*5e(}m!x-~>s=&AuRx)@<4GL6jSeqh z1#A)L6bbv=-lx_3`HFgxD@#)aX5v~sInfyGBJ1Gq9a9Pewd^E9<=C2F)(z(rA1vd$+9 zV3V86-Mi=2k}cCJBw+G7Ia+$oTXZZO#?e=^hKE`Wp8k@)zuBfomzY?=Ik=xIHhAjK zG+b&gdm-_hw=9tT4tzg@OG3+yLb+fc-@IUMk5U` zsF&$4yZee>cLl$R4hwabYCW8j14$om#2nhnL*eW@YpxwtcGSw9bSS-$H%&JJiZ(=5 zOxgQb%btgZ?R-Us#32q%Fd2YJc>xPs{y?aJhBdmR^)3k&88Em&68U8IZt2gp^Z5Sa z^X+-r`F`Y(uJF8v{tEt)D`F>{Nr~X)M!23uI$jSb)DOlrR1}OJt0uGx<^4G8< zGi_JADxJg7pLf%la)Jfl0s_KaL+#X93QXvG`|GIaHp$+En_p2)HtJ#cn_0cdX*o$% z(;m*jAI@qdq#P%_d>Wy<3$Ud3Rz?P_lDdja7t)MJu^9RuF&e!_CU#D%?Dhat@<~JN zjeF4Tw1q->2@}f(iHZ8N28A-PQ<#X99GSs`3dKdc9JFKp7vz#q;jB?q((q-0dQSHS zB~~dkp*JYBRW6BgkU?9BoImfodQK?1(EPd$gS=9~kHUwOU17LB+u3w=&d}R&YWdQ0SgPs8a9)O9qJvs)pz!_b|JKR;McU z9GGNxMk?+8I97=Mnj3ByA8DH_7Y28eVV-#-FR+##@?{gV+5wL0fbrr)iU9EpKq0G5 zgGW`$k5*2tQ`!TsCv>CHIM%j_?x$%2Vk2N<;5tzg#hyN<0QlTSi)CQ1@_A=nFxq(%OUkVkv*o1Hoa2Vg2Q)5N3&ky!cF{B!R+N zKZ7lE2owWtoh6iCMQ(adQ4EC=RqMI(;$+s#f+Fw~%=&InG`an;6MjFLH_Y4UI7Zf1 zm4PW#$cm5|P4WoBkTB@mg8y;)7G6^~kMds+XzX_y)E^bF|GmTQLBL`eZJj*IO%yC+ zNT*d~u*>0Z5h#>@ChXDta8CGWN3$%4<&zF7Y@&sk7Iz^;V|1;qsO|yuUm#xr+GR@+7ceZEf zM&cQdsKMpSbVa?^rATYupdyqoxw(p9^BHI0MuFHF4rWqC!&=3GnFOwkSoNO3?!lPs zH8_a`0pcZq1ER%Lp=jf(_gU-y8=aoNSNR?fj?u=cbn1pXSDp22r0%*Yz#w)aoL99pHy*Xj^GG< zMf2WU$bSK@U~-G<;Lp4JIz=~bH6!mr0&qlCskCh!*Y`m0Gl8!&h&3<0nQRnr2dTdX9S33l~k_=<+TwH(F} zC_dU>KJZM{Zl1rRFuW`Wu7_E5lB5Pipe^F?JAodUUkI8YYvSmQB3v0q+?u)_l*@Z$ z_xu|s1yKbG`~3FM907ku&!SYU!|wJGx}?)d!_hCfq6HM@2|1mA@p&g-CC5^nef{0P+c0y+ zUU1vlaPV7vDoPiqmWc+T7iKtse}NXT+=Vvsott+!LFnLQg9YHRLdzc@*}F=Z|M}W) zML4%w1scPYcp15pi-ioqZ&2{*4{Ev#sfi&nyhc;9=-$p)~7o`gam-0fXV0?~Y@cAvyAocRyPZ6_Lu zp9S-8h;{?@1px6Kab|teD#R%Wf=_%H5IFM*(lUeo3yGL!%^9-gw=4X4@GF4)J)j{( zzsRC^i`)U`hB~JwP54eDbKxSw!ZbN)X7tXPI0O*V6-=KbtWlX zifJ3N)lmPoCJYV$#xTd-u`>Zx3hI+~ByP~_b*49PEKk`Y)SX6x3{Jri0r}A2G@HY; zCi;daFVhSk6WnHtO;Itz`NpI|#SPe0?=WJ0%M$q{OsSh0Z>R-B&lJ5|L+I;ZU_uCM z>t>*6(bt6ThrCsEMhfYrIr-c3@IOc3ZQ(OXmXsOJ3pPluTI9s&xJR}HmGZp)yE#e$ zy};yvyMVmi4>J^Q4*+il-2jH^IgnJkCeGJ;gi2Nrf!ec3IpWbF^$u9Vak)mQJ6F^%PH zu;j-)EinZ96|8+f0jbXKuEuNV{(kJozEDQ*=&e7T+&=Ja1~+v9?Fwi}w=V$Imgoa@ zOf$tkW&{Q&&;yfR1}Q$acV-Rd6Ku~;sd-fqMLmjUq53jd+J~##%+ZY8ESS%HHSsKe zK7W4eU_MXqwF)uC660p8lBc70&Q_Z$rm_}EVxY+SIGvskTdYZ$J;d3ZU=vS{!biU_ zrN;5?d!O6iEpPRIf_&e|-6e7tyU`OluhoUZe$qi0Y+Y02Nno%%oe?yLF)0fETV)H;6or0*@{jk}gBXU6M&|!#5hbZ+f zEzM!IkW@t8ykjYKO`NJQX!7{(ZxdDFGUwAarF1EMlE)o%F<@X=B%W`~$vy%};Fj8# z2&V5{S<~`bS)4+if9R98JFVoYH7+B|<~eM_v$gOE=>dZXlMdmExHPD>QDW9u++rSh zei?vtN?HUEqgLofFS7m$M$OPrTVyPjo^E2+wnx9rFcIX*I6Vo?5N6=y;dMfqEhq|R zq_eF7^4uD?Vbw?fY%7PXY-k2}>K|Gzl2}TD$?)~{O6zmVMWBQ!@o#QgwAdp4>HMPkbdVAe1HwBL-HV9BCeo1$#I0AJ{5y?5&vn>36$15f|Ra|O2L=c`UC7( z9a;nl(%8x7t&GAEFFO9hT(ly_^r}(FWzglhXMWKw5DxT+K2TrPEy!J*wIU{Tu%prD zMGyxl%!(DM0nEdui3(m%$}B*KS_Xo!RI4X~a786X?BWy06P>S|4vjK#@CS9~)}X?n zIst+?cdJ+BL|qBi$crH>`H>W1lj{$Nmz7kb(upea^uaz*FgH~l$?xV5;4H4>9BJ?2 z59BOHf~hv5M7YCQ$c-%5swlYfw&R~I*)R*276cRYlizSgHomg7sZj%DDBgQUCn~H; zh}*RHdT>D=tTZz<|I|s)TX*HGB8>(6%DW; zK!WHFriKn?l$5mDDo_cLjW-GDt*aDRMPcB8FBEZ30rrQhg3jld@%xAxWu#fR?h zZ{_y+McDs&g?VF_LUjX971`oH(FDe7bMn9}%$DE|a(2xQu@}-hRPp;LovU|@B=#tx z0hT;ET`Y)dFH{1&rQZ{6ECQt^N2x#ut5V$o-Y6QAqiw$L4Tvog+_e`j0sh)RNOb^~ zEUy(fQ*#B5MitvwkZGe?<--RG7r|rnX9%<|Ew2QZw*9}q&vgpwv>ntV|4apo1#xYK zN*vNUrBGc1khx}bXgdUU*mH6OlVY;O;t%1F5$NtOYdQV_&h+>m6C4WWrRB2(tZVJ^ z4hnK}_nc7V>6N&UkwSsO(wrm#5pHetP7SgTUthW$M{)I2x;Sts+plyK10|K6>yRN4 zZ!P!!iGj>jv7uPv>=vMG^v)?NRgHs~1#!Y2!d}c@1H^v&&G2-`sjHL4_G7iS{dK^Z zK8b>3gu>PX;vmnpYn_2fdqh1ZXq@Fl)-h zQ;41bkqWyTKf9+xoS!|#dX^NOUeP-Sgb%Z~a(>#esM-a00GKowF)RW+t*%zzq#|04 zD-&k3`q~Gv5L4@>nf12em=m>3=0@|G@IU$GA3(_Ed-d{r;7w8YQ?ua)f?&!zqwxJ|4Ni=C^0_Gx!3o0{i5I|fm)3%E zjS^F(oevg!;$;>_&{yk>jDVKtjEuowfzlM%cPJzyJ}1l!wfS$UJFDaHuhC*^zi@(_ z*o7eEb%-wTi9^%o0L!zmkbOR#LGrCBgvOr*Nj9)MI2Z{yRVgcaUAapvFc8O~y$RA3 z+1b-XoH1PT28fX$#ehw404$3q>uk73qNXV0cigUJ4p+!o&b%x`Xz}x|NDv_z`oQqf zkWBOF-QLd1I+{xgk_LeSkcoQr95MhRhqVf7EBFn-;F_dm7VsKMP2OQ#&`sku><+>pZ2#2=>R6ArXf=L zXqyhGFetT|RyWix+50N3^eEvu(6o1=YuQ%DqvLRH-yd(01G}^v29K4__W#zSXE@*5 z0$3BXY`Axhyjk8T{BY!8HRl^uDEB^3o`bxge;dI>5}4Qs2$({XUvtN(@#n6Va(Sk4 zGjgJx={Cg*jwO&g;S@j0qMuKvIvj~W63D|_K3UY`H)&XE={9N~I*%M$&3(cbEt^x4 zxcKTnAgw}+tb5%yX z^aM>R=CrOhhOnZ#wWDA(;S7;6y-OLR7@;Y=!*gdPF1K7{W<3CtrZm~Qps2JOe{_XF ztH2l}n`BYaBtSF5DlnAIg)Ci9rKS%f#cfo5epE-@6YeLDR&zh`#mfGcg^_G@f%^xY1-XIIPDgc3(?8egZH_wuzSSPO-G7hlk^~UO+VE54APqWidW7xo?NElQYh^yIxdn# zQCQk~d)KK8WY}e!qVCNjXDqpF**RMD-gMKRHWL5A)wFRmF8`Er=P=K1yZhx>)YO3r zA0SjCu0RTk*~|%@e5S;~JMl$vA9N^C+t#Tz_QyCswfNhKWP_YSVpFd2WMN)w3$!D^ z40eSL)Z4f>m&9)Mt@AlHz%P405-7^kXvW6NhsT#s*EtzPC+=RU*(whCgp4G8kL=`L zR@;OTDSAM3h|&BFPU?IMR(NSXYT2I)D|iA4M5TWqCn%u8W6=N77%Z3A&Q8PzfnqvZ(p&gdSBS5 zd{eDPdocZh-M*&A9fAr&ko5m@Qvhz)UX#Ksx~Yc%=Yllx$uzjY?CtiQVs7>YifAIu zO?RZit5g{amDUWZ)tppzJ(+HzOL?j zt^|=>?iwNIRaoiJGz~${nkK?&H5e*cDTO0c%71VykS`;$bq*KLN)HdZ5tr2W@O@@3 za8UolNi;v(@!*6Z=mcw<**+*h6k+@)D+)b+O??%1^3TY|M{qYZNtn%(b^@iq*mAcK z*)&8xDGNc-;u+6JH9;21(yHZ;4tM*C(21y6=&rD2mnX1}EHUQ}sUpyGlr0qz5{?em ziLE#`TLsw1S7ns+o;;?4ByI|HwNoRB|;LUQxX-Rx=^2Bw#qSnLg2 zgq+yMOR2J$om3MhPsGlz64u^ciKcGJ5>V~4b%uEs(WY=Yf+!TRrmxZ>hno_NA&t(O zzCl;`n7d2sC1L7kOMEWosuCfKi@dUzNWL0|MwAI<=Yx^ECY!;sVCTT9ltGY`UAK79 z?}$+Gt&5bc3eLOcccV@g%6$ED9=a`auV30mmcG)ZLyW_ICq`)JtOTcsb3O>|BCNcsQNo1l*ZcMTq82x+(s$}o2p3j(HpLp~W;h_b0i{>bN2RS~G z&9l8bRxf9_GqAhV$3CxeGZ!({_&rs`ER*f6{V?7KT*;LC;&u7?nxdy|CXWY$Oz2Y4;CitQdMg&+bHYdw}8XUyI zmURn2l3_?{ONxg>d-?$$ zZD+f?y)xglf6f8ce8o@t;yLgaW%iN(+UgmD;pJnT^d<6X(5l4#p&?m!0{lmVg9-_+ zQ$%g0#^O_dB%R(p>JB;2Fp{!aMi^NO`z=g~jDAto5Zin4qI|%C$vK#pP<1I-#_Rw? z@e4zO{2*G+Cze{WmT-;dJMT8$4{COg{7jn&(mCa|SSn*Xb0koPrKQbyPkL1DA zl7J?FckEZ+Z{w}}pz*XG97|rT(gU6cg5>SD6>6sC8;-e4QYU$UiM8%hkg1I?-t6s1 zBbrNwPaVX#qm;>k$qft=EnWl0WVzDLBUhdHixhp0jS*DvlY8Wwx92rDubK(C8GnF+RJ}9Ijj?1@jXtkf-lmBVwEjcXG_fPJG`YLq zdm{WMDd?<0Yg43nx-NBvD9wgx8U~He6TxT@$vS%R!Z23W?=R5J^FjeL*>Uw!Kt|eR zxx&v(bm=e@xCsp|vHMj#)(ty*75PlM4;e(&j6Yev_2g{_78um2tgwS-89S&SFvYcO!c%js(^i+S19&XCK< z9u3_U*xomEk$T@Kecj*sdms5ZOdIc_YXq)?=STqQW3hJv$5F^`#} zz1yOH^am<-tV%L=yhakQyZ&9}C(0?sS{xF*{@Wv=sHTHr+Np^n#?PgMv>nVuY1+^G zC-4=vV}1;+9Phk3oOs{c+eZFh9rCR^2G@P1cI&fy*rWFxOgn7K720h2Jd5*^m$JoQ z*DH`!>-`1Y0!*6gvjR#t+9Q;s_Ku+}*?7fAJ?k6d>OusXB2hDEdF={o?I9E?epgUOY5~Nl6^OIS_a0_o@N-GoJh#ZWQ61>PX z=uU(9&^A%8V_zN!Nr(@MNegmUcp(z**Gq^KBhk)sxk_6!;i^@nKo!0Z_SKwQ zDDL0JD{Eo*qDySG?KaVPOirQ_A+P6)$uzeDOp)%qpKh|M@SD)o*{a3(%Z0ku5eP-C zhJ}dVakj`L>1!}W5%1><=Am384)^L-OF;}^GVDf9h8!Hf9vl2Sxkw3sqQC2RT5I8sT+l_e%LmZrj54CVSX4&{4mR- zD_dWV<5`-P@TE;F1WGSe1F>CgD+v1QUBbvJJMuy7$nY2k!(z?DkOzNj!C<7Y42hG{ z>LY9J^IAkXpeai+Gkbt!ZCSvR9>7B+$=z3KCXddikP54_j#=5b)Hp=Jk+>t^F^oM_ znmX4$Is;*($lMdAl^T9wS5@PWY>ePUI;$pG6074?o{E%(hsPjNUnJMR^&-nm-ryEz z$b|*TbwH_lksWw)bJ#1S*E6}g;csqvUPL(12}O{ZS#*HfCiUBeP^3ky$%?@_lEly} zlEmOUlE~%@Nni>TN^V9)AmdtSuidVe=HHU{)w`^w&P#5}@*?9B@fx~4R^IO^44MoW z5hG=k4PEij3STFLb+dH{OC1m@zy3VkZ-YNmc;yLn?Q7!+^c%-4U@01E^|l^GC1TB| zQ6!(f!XQ>(MkESnpV6}o>p1N5$i#?XW`2NN(+M0o+{_m<*{CSSjW~Beq|PYcQ&^1B z;vNoFYzm7_{dxZI>X8{XQEVA6J$;(l=KcC{Aoqf8gQo?vrp=RBPMC`0Ray#5wqFk` zp&BezENNwrg~*bU@hN5QR9^f6pw6xTTkY&HXN!gf*^7Y10N^RR20-0c56J#U zT=rKNSZ@MU8hNJ_`FTWAsYNqrgTktjqrz&zeFoCaWkUuML^6w**KY%ZD_cCg`jMYi z5sQ5La~L6|X;hq)frOfM)LU?m%kN?_=oYmsWatZIa#R%cj6o{0FTTR z7jh+fupaU+x(!cPerzdgOZa4F>@-(R2#iyBr^p7ak;vO4$hJ@h(M&!M^9*B6&KPFY z964?Q3)(1zHi>Q?la+qv1%nGhsKMe`B-ub&ac&-?mGBsz%i$4+8W!4>W?O$l#8S42 zw{J^30M8=3k0dkoyDPhK$xLL0{=_=eV0Gchz|7VJdR>Ed;osU}5*8ul*VLkITu_CV)$}8##`$?h=hmXA?g_h<-`KYRf zA~u)rM`yOJHaoGut$qkmnPpSMK+9ue@~3h>P~oOBu;>&*nd1SrF*?wGWf`?@I9*^hP%$w1 zYnQ)?mz+ZyO8*0q1_Er^jJwbOU;(ek+ofh}Zy+UfMxl%61M5FHIIx2M!odOlHx6!p zFOcpZ4lesYI5=oaPH{f;hT1~79~@jBURvM}4vuhGSu~2rvI|{QNli<;>tRb|PN)L| zOzH10F7~kRK<;f>HrtdERb@{;=@{j%8lxY1D<~P~#P+yKuO~QhHRF$uy%GZFS1PYB zLa%i;a8+o<-Hlm}a!?%p<~h#RRlI81V8sP|v51J39)S$K9)Hn{jCZ`6n$13l!h15h z*%e(}yQQfF-vFG@k{Mt3FXnYeH|NeKw&$7b0*CWs+%Kn6EV*ROq}#k!N9!=d-3_#bjd;lJ3OzjAZy7n+hNs=_hFma2?(RL_j-5+vazFz$cL$Siu5`Qo zJ9zf`?lD5ca$qpWWzV-z;SkfFeH6u38x;fxafi-r?ax+L1LFPe-}cT$wwR<_XP$^~ zMF^t?3PZuKb${VY0_tykQ<%*E5#9z;;iZB&<-~Cl`kWdl!cPHD(Fr`x7-7Ee-6Df& zTpv(AK8TRp=h5)`XG%_;eTVG2OQ)b|St064N8!K|h}G}(fEvFc@t{A#hTzh}{3t$k z-dB$AD>sn}Mk+mBwdrK^wiM8fxP4$YWTB_pn+qN(U{HZP%aIB}f1h zi8PfqW-?rC`{6>p>hg;8ozM>XaK_4q^B7pd|ATrlg%CH2{SMXE58QJdPfvt z4l}(Z!X_E(lneeK+N4eSjTLPytg~jAO@za7u#*{FLsgILAs1$HQPq)-(F+m6`=IgB zt+V7>M1(GH&Xz5yF*>!W3fTf~KPdz0EudG@t`?}t?@}3@a<1e08z*4eLnrH#Tjc1B zvmH4DZBQqdBhrcL1RCczz&u6ylMYHwKN=$yZ9yy56XHseGfN z&`1AaxEcNYfEMe-5v}10PK3|$4yBSJ6c{A^q-RJk2x-U@G8>u0UB&&Bx&n7k2u-B@ zbP&~lt;&%Xr_lc2&i^>R$ElqmuQ46;m!cU|ZQ>oG3F%tsXBPg$XhT{j2)0Ell*u0v zGU1?EFLT5E;K1c9N59k%{I6RGauv>A1uRPZCH`BVN%CVP4B|bsUOSyhoOj}Nj(5o6sQD*u#MV|mgMt6ZbtZ{Bz?lUrmYgc`=MGfhEdZE%^Pp}x1*-;Q| zK=3w{Cp;Za6wa#V%;O_<;ZV`s}vUp8XyM#h}{}!fi@&SINCejHD!)nE*$N* zE718CXjU^J@cxk50x^W%_5Uq;qQc$704omHwGwN@Ku)8k&E0;*NFmq+a%uSVzGmoR zne6Q#MOiJEvVf?N^?mt*nf_5aEv|Yu`9|~MxUE(($gT%Db4Q*Qmz+Ua6QrhwyzxBd z0q%*+b7;o6K|R4BQ(2V1>4>MtyZxwRIvjLs1(M;vMdR$9K;UxredIZCK#sHbdNyB< z?;8E%IbvMfiVos3ESf;2X*UPOA^i?qNP62@`12d)P7Vwl2I+*YNm6U&VvqpRf-~7sE49=$EPg>(Njg-a4~-3uzwUy+8S}R;Il!d$ zv+8N`uLsg&`FX;tf|KlPA_@TuUXIS@8f)|BRrVgpGBWReI+hy*K|Yhxi}oHZW+K>o z8Akg>S|p1`ZL8sDvjEw#E_6b-77IEuk~ZXa$bxFSXe-DtY7+=Swdr?K64gKwV;Hom z1JCM+Hq&6zR@O~4OJhCHyX^qUfeTWLg@sU&xe3A>(rm4;XtUe6BLJi^n}KR;O>t2O z7RTQ)M6Wa(j2NRuEnW8FEOh2r-k?Pp+-#2aj&;me;<)?BDOfJHwpu$Q0NiEg4WMHj z_hdpNUEdjKPpWtPa2DWOn=9Bmj~3bS?!A93+gJ!wv6>9pOG*Ju*{OBuMc}JjS>A;t zGYd_!M0hB`DGq8)z{#%#zTu4T#NiMN=SU#G2-q_%%rqBPZiO=mj0gwu<|ct;#wP({ z#*cY;ykibq@M9NG7!i_85i`t=;a>k!e=jcyJpWU^I{$-jn`ajTp2rsjo(CUd`ZJP? zM6`-WS$OClf}8?|fEgzWjv2Sl2P58>1zI>N1FWciEHUQaA|VM|^TT)JGV5*BF`My^ zM~%hFEhi}oqfFrXr3)aKln&ROF2J*RmuF#8N^>UNkE_X>ouq})(RaqOUb;EP= zcg+(+IlRx+#s{q!35q{E<4ysm)O5+XE*>gD69Be5GI)xvivtz(9FVEl0QH3d@%>9c zpLLB-6M1Jhg@3}C&2#5|8Mg)mj$AB?1w2-yfM6RXeyK;ee`#6_S=_vu;nMoP!DN%j zvS2x+f^%Cp5WG<*1;ioU4xY+^aZT80lDVNwHEgdG$$*J?iZgp6#cJ44z1P<_IKX|Yb(*HAN+$x2kB@-HdHW6D*?gatcM>25qStOP3q zsoBijhR4GQbg`Mtu?5tl_J56=2hgH(bSwF6bb8PtOpKofuQ9{JqJ88&P2fFuU`pb- zNo657!JdSqN(1rO67f_5s_J}a0jp%Xl^Kl9@k!%h zY}~IW3KZw;n#&NGrwTnZQ|>E%AV4VlTp(#8xcu53+%zZdl1akVa#Ih_!7@j`rk@6h zB^IsIPhKizIKH7Q%+U*$(|%w7dUb=Z_a`RX!$12or8yhKLYy%nuAxHQFT}RWDfp|d zTI3Rbt}_i`rG?D4q56g8_{#X#KG2pe^ns@go0>0pdOnx!^Ra?oXNO%0fN4$E&$r29 zhT@-Qq4-F$zmA{^niC265;k`62Jmf~4O$=#DKo*E!fbXaR`rA+4YvFG(*2{nR+KKg z2qO=$-8v;qQ?~}tr=*vPJhbGeB~T$!b+ZR8EgiFd!mGM~le%3mAK|v+v}(-31bG=u z=qC2a#Tn8-_pn`AK&e;mdlF8cnL3rDRMdG+O2;^;z^4GRI5R3OKf`t$5F=?*I_Xr7 zz#ft-Z3LrL$SKIZGGpAkZTq%dIGH|VaZI$)t{lwVRjGI@U`!&M5Q-~kM~x`8H|YA# zV3;S%Yvj*RK>!L~diEN`Bmly9Lf9t*B6tRh=j9;%A=PbwulseVofaQ2dCz2UR2{;c zB&mtK9JAt=Y0Jdu_?i|P71?av%v{Vn0^z3-pKT7Y28Y2|nP_mCnnBsZc;D!s9Ir#) z>dB6HWQ{|O7i*PACBEFxpPYbn@k{nOfsKk?ULVLbJ=s$CNNHqTFUN#H9l(y}>)g>CM3aPjt5INi#PINpq)3qmgm!3bCU7 z^75T0?dK5G~vt9Q9#L#T%h_Hl@OfsxNHBF0K=lU9)RRm zi$CQ{4tzY|{OL0WAJ(G9_9zuWq+g_;tpE>79iv9m>+#pkkbl<$`WHWxuy*a5%Q%OO zmh-quqPPO_P!+n!U~Vu4{EIM&cY2+2#4$DTkvKwq>WXr5s_d|^=gME>*~%!bP|4Cn zmc``XkK@;Gk}|0U#K?7%tOh^&Y(wtitdfh#Yx67z9HaQUI(U$vH4nN73cQefSG5_$ zv}4126|9c_q+YwUgRoTEqdfSi`xPGc{Vwwh5JXe)w3k2)1-%fL{h8xJ@vdim-nP~G zC-tUm8^DaDU5iTYQP(W%N~dMr$+9tf-Y61D!&Nj-Qfq+)HW4*!lpL@b6nmj-3e9p2 z0Sk%NdRsFKfzkubFi=JnQ8P~gzr6)S;i8(sck@jVy3-DaCTiHDptGyK>X_ zMzZq)n$Q1IQGj?CHct5M0!((;Rk|~RCSo#A1V_ijjP3n(sV8yb{nh;!WDCa$9S=7_ zZ(cz(Im^RPj{5QA%FRZ^U`If%WGc@Q+SA;ybW-S$+eiX|*w9f|iNA9Ue$)3-lA}!~ z3fqg*>6Ba{20Au(GXV8*H?Z%rZ*aZ4x80Iu*1py>7ceCG;MJq8yI!$Jt_-!6%f2Ep zqJ=&BULy%}V1UAk`*GJiqZj>ziuLm-a0cJwi>({v{5w}~91Bf%g4mlz|B6un%HQt( zGSB3iLur)u_mc0G=~!x5nJ3}$vVkFBMkb&_8&}Rx6-ByM5+y2sW9B2*g1vsb-NIqe_##<%##(P?*dLoxv#!$aH z94Mj?=Rt4_F2ZEeWCSvq1}M4B{b>i`O$#~EfpI2b*E4CK*15IYfl>{yaSafEQ|>7AWf-Eus}MIU0Wt1FeHpgF5*EcfdB(9l6UhWT z+eFq6`f|$=A=H(}f&Sw2R_ggx?KF+6dO;|xV;G#tN&ns^Bg4Vk=^tOrqK;Brr2m;A z%3#}0I9(`|bP(z_W9Ej$dX$KE7Gozt>)gPL!QwnP4CEFghsv5|>)++fgx7P(H~y~w ztg&}svyU@LoiE75|5G85fFSs8oc?%VYk6s0$6F3YG5JR5w5R!Nqjt+|iEP5(yd+<} zjs_(jXU9_{tC$BW>VZ}lrFRVg=N+e70NZ)hoStqZ_fFh-qQ#5+>DJDU5J{1ue znhw!mAxzp*UueP~&>V0JQelG!!3O=|y!0%joEbT^$ z;?l;_^wb2A2&km@TI*Gzk^Ge=$DE+OO*(<~Yqs?4F#;$n&fU}2Bw)^B-C}0zpU0R0m&91)i3>gWzLllXCMk!mpA~2Lcz-FX3SX+%o@IM$k=O9a)F2R>= z+qR7^+qUg4+qP}Hs*7E=ZL_;<-tyM_eX|=gu{#^{N5*~PMm{G`W}b|DBYy{Wt4$rW zPfiVG_kmJV!oc`%3@TY&4#my;zDjDmPmS$mU+5fa7%)^{or=ys`w%#e80t408I3g| zV-i|H4@_b=8sml7w`Z|-b*SPT%~V>tBS6lAuEtu; zBpn^jMVGv-DQ$zyFTYW{{I*0GJ(n_n20Uxe+NUvGfo!`hygq$;cW7YU*ny0TjF@R5Kv_)1!yz$| z2_$}ricPoMmD$oZ<;pg<_7b|akf>Abp$F+KxKrmlRbqT^XwUu4WZdbwggb=4+`fju z$(8-~sm{F zsN=m&d$1PftfpH54@TGS^Xj(cM^5Sb-HxqCSh0Bjc7s}@Kg+@S z`^N^Jyu7pD6C!pP>}6{TxS?P`5ti}e>vP|)OTnBQUXajT z>2mW|R$rwjU7ca-4d?UJ=iTJ1^w4*V`|WaRG-7l~CnRrUkqrqt+r%s_ugxoy(B;@0zV3HvRv7ybReB|6Zv+RYsmtUPw-PrPT9`+w1*w_Ib6+xMg%!_IY!b{l*Jc9V`G>rZR#b#;pH> zV3_a2fw$8GIv(D(U!VB-`sed1`tVx*%JT8F7oq<1@$jp@DPRAgFYfnktHQs8+E{&N) zsm~W+ZqO#YzFT?r6_6MBoD#Zvc55_rj-Y=o0m=9Q05Hw#Tn!)Aa^t93j3N#y3FQLs zo_{cw&B%2uUi95<1BcyP+}zKf3S}SW+t=>kPI?b5*LFu*vE6!Rcy7_ttK6zVB?xAKI+pI&63Dhd7I!)kbM-`~g~M7r*yJ=C z_&tB8v1}FO``SmUb=@;F@dr{n+Ee3vfaG_bp$Fp#1o){DObKcNPTF$?2?J>OV~im1 z?Zp2O)H3yAsVrr=e%G8c1`N;ddnsY@^T*`K=M#(^HrV_@Q&m817^>RgR6tv@a%d32 zb~OqEEE*Wa&D}oUryKPE@bvw0^e=U&VIi;`k^W9X^rO_~wDb@9IXlzDVDs`js&>6W zHot6pTQ>9dyj(p^cmsf{-yhpfYy@)%rfwg1`{D*y6$JTx@$IDwf*}o|JYJ}P$Q=|A7jIAh#9ucE?uZC(@fc$F z33B5)$U}!oFzK} zza3)yD=IFs!RmAt1X@V>;gHd9U$-T!e&GMRb)%BC=GiB*Y4F)#SGV$cdkn?rjpMZu zS2O+N?(wvrz#x3aC!FyZ;qy$#@rV;rcPP)%^Yzov=!&g(gp&yXZ}d_LBKvj!W3ga}`Q6AX0(&54tf`stjO%oHYY~iAs3pP~OYd{!G$JruHVV;# zYOS^>c*X+Gq6LI3wMrUqE@S+6(TBI8Z=~5o&QSlcL%$L>^vvxpC%QYw3kEh4JCGzo zQa0mHtVl2UFQKTpx(3RHALjYVzh#)g3ZFm(K0=&K%v_?04p@x<^V>wB4(jy{XiI(N zvoBla9s_+}C>C*d~?Cm3`3x7kdl<{zv(meIEFR7OZ0+N@X8(j#Eey0VRi(HwQs2+!<#7Kp%4C`F#bCL;;UkBMTu6 zqw)A}WfUxf(R%dMP2lSS=lGa6%GaoCMp{r|jKmE7Q-?x5etX~*=w(miZxGOSeH1>VP>UUo|Y^numR<%6DKc&s!KAncRKyZ!LC2a7%73gVv;2 z<9<=F&HjTWAgFzT3z1Y}j(42R5YdH1EeAw}_4>d2L0x98wHV1+=FwlO@WndySduLr z5ExC1{CmO#-$U7@hc=9JB4?G$yrG$JI6@a9DTfrMq{vkvZEGlsekbsh1|8F-ld!}c zT9doC%wxG+f@@6fG$d(3($Jiq-iU-l7R{l6>ttz>+}{LmT%2oOI(}LBUK-v?jp%LN7M@lwMcZ2>Fs1-C_Nay6GR8hMu5zwe+;5B zJ4=^kHvWk^4)^zEh%piK(#UtT@^^ky!bA9P<27m+s)4c*zJIsOHwG*X#YB@7B1yuj z{d?Ls1RLKbvkE3fdQRmj->jm=1z43WQlU9ev6{o^QvTN|pz+_R)o7L6Z@W)L71zmw zyj10IJwicg(R<1mE+*oR{Fi-$8_dQ|i>pGnOSz2KN8 zBx*d+F!);xW|zU{ut>>PBk%e`m7}3rCs9;l*o)%|8A;RtB;_#g?D(aX3u-hIKZY^R zQ@=?BH8076<36fJ2(KW0bG)=v3j*04dXQn7UO9wSF2Lfs^^VNE{Fi_|PD>J$O>f=h z!vqltvylhNKUfOLFd&O;5Q7Txdh1~9htdgUT;z^p=Y8iWH) zXR!l?s0ElzAGr<9Vpb?zuzw#jkxst(RGUyHA%KbfoT`-I|fM!W* zki>`i$paxmJSrLCwU0b$Z+Na?8rb&ey~~V4pxfx6#DBQ+FirxEk!=8foTjKGAHFl3hL}(BF3wn<)6pTQ60fLShPFA((p`f;4UA&P(U`A z8478%nicEMbuBVPhIHU)`3Webd5C$edO85HY zsXgQ)s$0BxL|Q5x);uDmZ?CCo&bkBAruPJ*59JG3;U@SIjfGf%E^SU$D`X))mkC=^ z5*mh{j)6?@gBA?2;3Xe&?#~RU<1-UFIxU%w*$&HK8nsqQ*&8S5m-A@VZ<{qnQcz`G+NUDQQ z@(|Q0^kF0#XMcE`)qZ*TCjf#_6j%`OHkvOl&^2~Vw_`E1=Rqp1W{l6rcLH(^i@3I) zL>sJJ%SB-T3|gX3PG++Vx@{aCpAN6e_Y;>u-5YqmjdbjKAWt5yIg(T2bf%R zdGAs*_;hTA-{;s2%R4D&x=4Z_j!R&wG~Fn6hy74YcXCp>#lv(9mRRQH`vTp7D3s}M z73P%nt$>z5?W>1@gGo@OkNL~jgIYzxU`L73?8xI<_@l$_n9vD`&c1Pm@b;nb;uN06 z$tclwY5UKN8MrQen`;A9W^xa?X`G-Zb>$kn%&GGfUg@22#UFBMslpnfP#A-v473{< zmUPlpmZB(ga-w*8u91$>PMMBg<3FJz@Wt*wZP^1=eDD0IM6%$jG z5wuWJXGEo_ITLjxz}b?P(#fV@qN%I|EO5!E&6?3E$hnNqm6`4A^=Qg!e^8?*i783h zVW{2Qa9bp0ON6o;#pOEFY9Xbrmh@yNGijlquagnxH?e;U-4M-I)}}<22XFJVUie+Y z{fKIprLa2HlswK%&6z^3bQ?>C?Q7HHXa1x8IFPo>91ZB~pfWWL`Wt-S-2vY?)L zt2&>pqrp-Y-|{PpEQ$@)`fic!Z$%32Bzz3J@Oa@-jy8s9>VT&eFcPrW{S|!<3k}2m>Gy_1z#LsKz+K!R8}%tA~e>xYYMNX{JR* zNL%*t2~Ghn5O(E2`#{=!iYpWA2x2A`UClpRX=<>;LA~lEOx0REaxrcnFa|3YNugPgSm;D;z5Nl)Jf)LC!QJ6CjGtOu<6pei_H~7l(!e6$d}+s6 z44;w*WYc`+`ygelM&mggPhr0+WUU;mMOVyA)()->vLs1W57(v%Zv=(0%lEC`1-D9 zt5JQ$KGS%4F#;96G>qQxqb^1}GcX5Yns}6a!yk@@yS=@tLQr z?5$^ELEB=kfg`}dq}5FIcV0G_qSz1`Z8k|pLb6S}V{vuQ`fzsLJ9W>PDx*^7H<>ICV zG*)ol;8My?th@biF^vOsm0kX*KdYD8dzyh|eEpADo<6cBzbo0d6dJ;JT;LMlQSL5s zZUJYmERv{d`~N@{P{Rz1504vW8aPye?;5$dsVPSu$tr$Ji1yAn3$wD4-xuoceAwgA zF_?4KYpT8k#xB-7qvoT0#<(1RK8xm{+SO9 z02jBea{swxCd*a5|3+OhiiCk6@(z)TPD#(SQv`sT-6DAxNOJIpG6=tKTLgj_faT+R zen9=fbzYYAg4HuHg;w{S|Mh%gf7GxPO0g zhXQcl2_TpDf*}Ny1ODp%o}hx?8Fko$X8ima(zb9r;ZT&)wDLR-_fD$o>-Dxvb}Z+? z>rJprz`?A?h;T44Xz6}>OYA$b^vA@bJd41+(3#(#ds}-R4JAIzDUqU-IEObfSy?;g z2r3`KYN$lg6!g?Y0UXL@ldS_TWrJ)?D54EIXqrO>LVGSae(esDuN3V{P}#(jPNv~Z z6Cx~)_Sg%u^9F6#m#2JYLza@W*faY0BdLmbDvTUQ+p$Y-Pp-psvA1t*)bkRN>CQhM z_2a8Qra&gxIo}T|@nUXhoX>iov1QEn$k_Bdx^iFweqtZ9om}b`0jeKakBbzY{mv#T zpsJ%VTRqtGGVDFXBX{B?6gL%}kxZ`99TtzRuSy_8YY1Ste|z1zlnl#(^b6~gDR`U# zi6B8! zcF!~qDC|}D`(26ecO_!_-#sS^eK_ui=&w*DhVDG&snX7qn9j6Gw&}6?Hl_2oq zW?e52U-*LlUJx*xuez0U^r@>WT@-)2Dw`V6Qnh`taP*aGHYfP?Q{WA8u zW3|fDU`bUP@(8t@7#RQR6hZ<*zIV4-0{l;$K}It|%C0p*frn%FZCD>@ zLEo+{0cnl!zY_FaEd1|X9(a2S_qT_G2UTxWP}hgNLQ%d?73iN=r)~YKN>I}GH*L@7 z@$U?lY$6`32sej>w?U_bvEqBtP_one8#txwmLw6dgdBwPZ>ZQ0PVYLMH_RV7pUp}c zFf^@0Ld65S6anu+qr}#&fHubUCL#mI+FiCV(Q*a;rg+%ulT}vC-6=%9>pPS8JfQq| zeB#Ox?#5Oz1uW`HiijLlnV(eOpDC)0C6cI=(8iQAG6;SBXRN7lz5Da9w6{LA{4kY(b=pWhkp!rD<<7kP`)Nau*mXA_J!)cKh*3yMNB`aBGyDJO@|lC1jpe_3)=%r5 zC*z65eO*C)A$~M^AC^`4s4s&bM-1}0VY+cM;So*^hc!a71~#rYGGBZ=QvNO0&r~+o zcm&9XWMCp1(c!ye;nk{qQFA zb^h9c%s(5PgL}G}j(@%;Zs(5>q0Cl46Ip$u?FG7MlGxsVu_^HJxcWRBG|1oXeFj)& z4N4Ib_V0laJ~9aI~`jd4glJgU!1= zATikaT~2E;Y2sZWLzJ9hMrC}&4Q+OoVMe|!mSPRRE};G7b&ykmkTA51%Z3!ExH9q6 z$6X$JD`r#&gL{N6q&-5c4MZgCA9YL_!&vChbSG=$H zGw^fHpKWhefl&9Kidy26?nj2iUO`ngevn%oWi9DPM~xdNW$cjDxa48M;45cDVvDl@ zpCTzkdYXQR)C_q3FMr4HZOjk}dwG09FQ)h1-;H8_AYZ%E`%DkCT{r@LSBQ{C74&fW z+99Qcu26l%@dP5T#a$;HnzQ6jED`T5=B^p?c0wxwfeYk?!5+sh`8*P9^< z?kd4RMCZ4V%|@3Sf?6jTLZ&g^4Dw3#iEeVLD<5`wfKY^hbSqyl*mDbOrceA??;fFY z^YwOy$9Q->sA>6m`=A%ADuPEW@row#3T7`Fd^iyVugduY`UpM0ue~B`V)A8@L0w!Su4iV)0*LEBJi&+hr<%rh*jQnc>FV;x6HE<0T{K*z2Vasx<0^+Rf6+ zz99V=7CWTbvj?_K71Lmme2r6l`8}bYVHRQKA<+1FaJmv!X6mm=dw{im14In!d#^x- zLDugDxz%n~-ZU5*w4cg)hQ6lD8$7|Vo72a*eYu(UR7DKv?ZsV}+=9-Z5t5czk zAjq#btoO4x^y>pSo$cMm;tPpk&w$Xc^>^Kpc%?{ZN&=6aw3vLbT}e!hDd|PU5Vmm& zxb+XHbK|%~MJ8>>$wI*&YT#dsYm2%wV6oWciz1GLW27s|S|ma=Ws7wott)%(th5C% zYIbE_yMt{SvGZWNTOkkpvO_~MY`)^@d}A_f#yKqfqIohQ1S^WwGfN%r%mlCZ57gQh zH!~To9Lto5-8C4(?4SLxQ`C!Hhi-XCD2P~H8RyRJldQriw1ma+(>s1Y2 z>JlGXLQ9O%DB1`v*7!FS6pTa=e{=?Yrx}AarFF4V(YrdZfy2moJY(}^P1(vG1T%wx zI^8luc}TF0ep^HDV5?+szr|;gsM0Vy_NpsJWaBCSE{BzZ&Aii(|F3zem(z-vb$EBg zGna^4gih?djv+NQ0N(|u7V^|;}KoJCL&=FMlTm8wCtnWH>SU08v7+NzRX)1ti4k=m?lJnS>|~*DjBB)S@Auzxmcr<#@t`09 z(y-=P7?P<5xI8(UbCr_6a{?m}>VwV~s3a^aV5irGf1&KJkl*nK~?N!#M#5ac6AnEu7H3|Ay zGI4#*Jn0qWpS24T#~06&3&Yk9@8m+~k%KMG%^|i@wjg_iVS!;*MCPRl1_w_Shijo) z{zr4E zH%ApPzGZ6j0wWpZxhDAu!Wl*Xxx>obfs}=@rWJW}GPbF*1s#vadtEk#EQcP2{2dYF zd<696j6FWRO~l;hYb}NEyVKFhsJyud>1=~Y>}5}+mK$O2Fc`czRAaopP!Ko9d~)>D zp^5h8#R0k6VqUR8D06AT^G!iPUqsb@hr;IxLc+x$b4yEUGjZqL*_8BS}I-iz>2oiG|pV%8A} zBf=_Yrm^)ktFMpCa$1WFA>sk?tg z4>IZ_LSkf|dmR64N07=)k^#CBat3Q?&_rT#gGMrR4Ehw%AGsGge7?j1f*Ao1Ckyb^ zpGHpT<{f57?gOocHqA)XRGGUn#gq6!>)sx=64b~_&05Tq>ulnMrpFtZ@gjf~lm#~D zVebxo63ZL$0|hD^UL5$Qp&x}At`1jLsc)0l@i_@ENVE}61V~ScNjil|E-3lO>j$+q zNQUIF6ws=-I!J~%dwKzG7(0BxLs&5|YbCgiJk%+qJyEwCuLK@^#*JIjAjkR3&{YqC zCXN#*(VS!Tck;&>V-FnRSr-IAogQouXBV}4B^2|0q!dJO8zmZ8;P`UX(@$_zN^}K~ zZcV29BEth!p>nP^d=o;LBX!I_Kx2Iqf#JoF`lWj_cAU{zw6Vs&>pWHB(fLc2=y^4E zev%m)ml}%~IT?d1fOdQB(ZS`{s}$_#_le9#g;}9ymCyfvLjg)XdRFGxho7lGfCH(Ry zu!kTC6bA{zA7^jX?bS=O-73H!WBi;UHoBUzKCXQrsrdj#8gu|g>bpsPHwn;zJ2B9K zjUgMdI)P;Ixj0toNEtXO1_nYlq8fNMB2hmaqyZjyDCTU4kbo&#@{uhi>X}9s>Bz)X zGR(mvsFT&~Q(}q*G~vEtVB)O#0Hi9(9}%Km^2QC+XJlzSwL;;;Qt65=S{IE|QNtkC zo^RONX|VoZSx)j^FSLfPD*Vqq;UvpQ-mpVRcpzn7vUBq_9SgmG51@7d0 zoB)wB7;|PP4dG7wZlHB>GVCR_b_eu)AxM)>l<;9n?N>dz`9iJwQJ(GQm+{^4+-Tl} zk>)ku+BrThKKs@fl8T%aO~pC>wdMm?1EIec`&B#BTvURKZve)w(5Fd8g4_@;zo1_K zMEQPv7;tArznu{cfwD6ti0uv(ZZ*RAD1P5numkhLu&H&@)|FvpzTgz??LVM|`5lJ_ zH~KGMGq#0Wg}R?w4(zpdryTU+#J_g>f(H2Da?ib(?iGdpZtZ8SaC)1r+>}{)q*(dw z=CbjeXNUHb45R1R^L9*HL9|%M{;_&rmEyS=OVEg zV$-kWA|#&B5;zq-X=;`SXcJMQjS#lL_1}bt$;Ty9RB$pxfBb+05%H8>#7QNJ^UWvo zx3wRUHiNi_hQcM_f>KFbXrwyxqJDlWp`whzT)jaJQz(Po-6WHh@>3f)f}k{}tq?TS zbkuPLlL$Jdv*JZaHWoB0$iWGvj{6ZE3V#Tq{7Ybcw*-3VVf5JOYJtT$#-14jFBY9b zQy?1Jjhk6muZGEJgi96=Wz=Rzj5%1?A6tj;YFyCjEjWb!l`5ThgiU2D$_xVYEMsu^ zl2G8Zw1X-eyn%ivIR`z!su;}8&q=yP`3F6Mhkg*6_nb=NpiIl}DGLJayKsioLTEWIbjXEyG!c%h)KAHyI6z^@Z}_MPP`Z(bk18t6!_s(ZJEFDX+0|PJ zFy*u|K&>+CYI+G4wrtr2l?x_45ev^f74oJ)Js#7N>Ss)Ivf zAK8Y5#Os`D;*1R4(bgjo?rDXt_2&i>N|ms;2P!(p zmg-)1CPQLA1ufBpW~NoE3`Ck(9RiBu z1S9HtyIHT?vpc1H%b5u9nONUnsUz8Z33_=X$Fq9kCZ}@0O|Y%fAImC8G$BNy(bLDO zu5^|Y8<6T>=%TF`%h9nLy}VA=u7V26(|dQEZEi9?>&88>^bJNBm?g`DC;S76*v{+g z!aS|S_`-nN`t`?`$VsWJ1luV`<3MK+ZYhj|y>pM+sMU{FX@b2{=r;yuCzGaG;F4X> zS-oQzt-waYC~9{7PHP#$QVadog#z;1cSh3b7(QkI0k5_q5< z1<8N3%ia=t?MjnfGJ#O>#)Pu=3+ht<4tMf$0BwMkB)-0T_W(Agn3jGLCOQ(e7}It+ zENeVI+!E)2b`yNsB4;9%pF35a%L0(Y0Je#PVZCqE+;;Qh;(v_9B2O8Xi09jQ<;5^DHFk-=9_Wd0V+c?2|9v{LCZod~?9{_~^8@kKG zrWD*~{h-wiWWT|{sKVYZ;>|U9Prb}<0|$AKU4|U*oon;{ROL088YQ!4J<^*CRSKL@ zsmB0YRS8Kb;E_csov za-M(S@vmj^)+I@yMCt?PQ3C63Gvr9-M-3M_>u=?LI!~g57>I^5IHKf!xw-^v%m5y@ zP+exao+EGxmNbj|GI9IwYL&gCf;@m)mL}@l%TARRn!y(zkQ3PDV3gV9s5RtsJu^Pc z3HsSk32LmuTr;Wc5j?DCeT(n! zy#UH4Qyt-l{$-vuLbYtHXolAZDvhwG)C?N(SM>R+fId5UKEqf(j6OW{&|-(NIag|I z9ECzx9s_{p%tD|sHI9-waA}TIMwlxqv+dLx@99x1UMgJFFT@-b#Yk?BSAzwE8aX2~ zRnhQUuB>pBmn4FEpyB3VoPNyZ9^&(vk&qGMYh>C6Q-vvxtKVL~n=jPz4pjQQXaDmZ zU*L;+OY&`@H(~{Ed$U)==D{qyEYv&5F&21Anpl_W)MWv*-DQCk=9El?qAZyJSV?QHYRrBk)5moIcS&8Lct!AhX3-fj#Y0W1zqWvSHt#q{@EN$Iqq^M?ik-`T7 z|KH(9oi7+`CjXiW@m0Wd_aQ;o+28w!+WQRtpNGZujp(X{ikb!d1*XLWYOPAW zXbl|FLed;j3PQRg&@3X`eS@2p6TvO>3E(NVDZ#0>Y^f)+ntc3UkEINV-rsp{<5f>r)1F3#QoHDh-{c9%SNQT(smezGTNfMZbVBdv za)049Q9lZCu@}75+t0Y@zGIxUciTp}{z`iqT;d+9x^H(@Hqq^Nr*zdUI&l0(DtZaX z$s;sz996sudmCwE7oCd+-QxS2t{f8CK7PRImD;%BdQshgwGrf#5-3hx48`8(g+JG| zz0iB`Q4j#iAfX`wkVKK9MpXbAMOR>B+fTy<(@<0-2&JQ_!YIShxM`ZgOybhAKC9YW zKqYEMg%}a*JlaOB(?H&%VDsqc$%KSkErWszrucrl%$8pfwiD(b)ZZWqj7*l@3m*Qx z3dGv8s2&)762j~Oe`NWL)Oe&stfTui7dI^NLu5dqF!`|aF?ceRYx_{>502}sPv$Jx z_uejl@2&MBx(+B>iRH4nX6snGy4>jTJvKzOJ~N$Wi-k(FHG+EcS&QiPMisRU10s#h zG)^{DWfrSL@XqL{x7@HxTwudtHaf849Zo!rckES^4KO&h7d%3qE-Xv^&BhFq$8?lY zzYSk@(W+xZ(P|C3mqAwp zU`?jJ4GSa6*8f+;PEL1x{Bu^+DIRDoeGNOof2h$60K#x6Jm*kAR(ZfZZB@SaBh8pF z0Uh1rqKyL|z4a-Rl4Da0rtO%~E_<#8RAAv7ZHmYrX0~}>o0x9N<*}>qMt8n190HS5 z-c|fU-G?U$GVr33oDUTZzdk6_jnMa+vB2W99B$YX%9C?C-8U5b(vo@Tq2vE6#4Llz zSs%sV*?J2{pvv500>%MokYdmEHSkV=oZ_<#y(9RelnhD#gVn}v8tn!ev4}_v(VD&q zikW=&<=Yv!77K%KtcltS36j=pPP^!NICvu1m@gt*Lnd8t(*pvrDq}+n2qWsA2$9M& zK?0qh$~M%AKvYv#qk}0EBBm4DQnJ3NF$?(P6F%W52*rYM2*raOw?YF7Dr-Ot?$9SYhwR z!wm@r9vs8(LBXkUDrG1im;0D|LJUO-7Wi$As+R#>)+tP083!7BKve5l5~+6Z=9P-@ zNeM-@T5=ljQ?0iUVf`!WaImdM>u7ed!RU7Mi6^`fO&&lO+4Tw@RWZL>FDEmTA?sce$ z`|~TUk<=$EVpTBgF>&;;jnkn27O?mWYx5rg&Bn&KD&_gJ|CfM|b!@GvS!$EbAr&F9 z$_^U(srM{II|4-(j(8f}Y?R?SaiOS@GPE{kq43u4!)l_#Oox?ip~A+2pw8ARO5%QR zvcAE=tX4a5n%l%d4^#NR2fqJHZ)5j;)a{h(d@j`ZU{D^(W2ARCJi^$;Z4A36RL)gZIURofBj!+6he>z6PO;s&yVHF^R31^y&U2d z1lJ~(XilH8$ABbM543$Sll*GeJYjbzzJPNi579!AzJy485X606FjQ>&KSqirZ~4zq zbexNs5{A_KaDkUvd4tdtHer_6NCStHoy1D z{pk;H#QdG!=Tj5khS*C41%sX5@VzG@gl=2yO2m)7`ge#1#{7qHjq8BB{nR%bD1Tqi zuN?(U#JE@C$U{K{g|G9^ZpMJK-K*Ui z#n1$AR*cIzmn7@sUUv&hw3Ae0_qCy!KWnbBW!lf4n^lE&a_+9{{T8wLa+?Ad@9ro) zHt0UzVFQp|b^A^23pev{8tEK9rGy5TwZ(;YOOBj86Aj6R-nlQ97tQFgM38A#2uwNo zeY3@fr3sjV7t=eEVmd?XwcBFNdjL2Og6?utI}?Pj0fSs*$qW5mj;FcPvdatLH7sge zvja@d=WBka2X9XxJ!n7`sM4}flz9g7lR?p+tAP-|ID)t8-MsD&_3i!oHb}-=2wL0@ z(1s+)MGjwgq}F*a@dg;t?;J`$-h+d5!dt$&z|QB1zgD!M{k%(rgyY$~PlQ zC?#U=#mpaEn113ob>T5wlqDt`+0k1@ns!esn65#SHi|&I&4|3;C|r|$hirIIGxqpC zeC)7DGM&o*F$>W8y)4aL{A&BWA_Kj_p?aRbyCv}D>YrzBDXMV$e);5K#jsOQX3#tO z<#5~ECgFPfI!)W<;^7CYu{`Ykj@auSkUhA0Xy)$parVgTzWR8(FtD5}rXjM5<;~eT z9#Zksl8H&D@$GEdyJ61D>^UWt1Eab`*%=A0$#^k zn_AoS54Wmpy4|imM=SRcy-mws-ub_|&wel7@V;|de;nhU0M0=f+Vu}@pNZ|*1Vkud9gD{3xww(7{B5p*8YdOZo ztxooalt4t@EQt0InFD#EM7B!@Itnv_!V@EJ1vXtRy7Z&!!twFAVG8!m3dVolbME@W zs)yw-U>ODzi0+d;E3giQ25+YXHt2_r!xjY8i5;n760&fIgD%5tBzJ}K%o9y-o7D;jP z*55hLd^QLpB#{!Bze+;I$Y_VMLu1L~Omc%nrQ+o@%cmSOnm{IJsWilHNTn}1|DtB> zN8i+JdVnmo5+;7(eT`$pa;f|T^#F1{{o&upYsmr}xlD`SeoXy@RS(Y21dcgLz$h*q zNw(rY6C3-qpo-23fyL!HjuD(mCHXl@FtjSmd^)NVR%6L;CT5gnmQ^?+Al8AmF|uYk z6}Ebg3IfA=-L-X=1o;noYw4&V9$=e17_kc#SR|k47}*YZ#C&|c2=4ta;LQ9H=KlN} z&aFypVwvd2pN!QA5NA2Y?XWI-zL^RMlX0HzqDWu$hAsmU1FPKnTKQ!(0qlN=A(W)W3veP0;99x2+ap0 zoEP*&!7Z{u)mHmhb|`L)VFyvT2BE4=pc~&Lqaec2#9~=1R}l+y!Uj>Ou#cBlm)OM+ zTPjn^-ocQ-FhA;3D2);YQIHa4SJ3Xvuzh2*RHZW5Vt8F*X_j&|a@YBHNNVtHW=Jhppe|hDkOUv-4h9Dy zkcQz*e#&9lek?CZlJ!9T=g*Z5@I zysRVUrq4RVa%30OCOPy)&N(-&)wmw~-qKrsV4$gDF_*x9)7EToAvuXF}NTwRe(=rPWq3!==xNz7z3a1qt^ci8g_>#IvsV{Ff5t!L zOwbwZ2Du*`c#$3$MA%$tH$J`bAf|_KP)r=CD~|P6vOov)Pk@;2pN|F1z?kRydK+$3 zCg)!D8PIunrfu0iIN%zP<3HD%nE@WqHg+0F`%^4)bAYV}6ecXr{UnwUmS80)SlA5v}APs$6UlU~|dYd&3~kJ&2J# z99!l?#`~RwTH1+t*YV&I+p`PJYi z65xK+Tb{_BGD|9_{zaS`lM#7H+7R)lMN(UTG^NSo*&DE6hM>Fnf<~8&I|;%7X27DsSba3)uEe0dV`@TGf%+g5l zfnS(Y4~?}yXl1fa?FSo`?N#BD0|6fo!gq<|lGk1tEjED=&D7E7Mr@lmJc^@RJ(P&1 z50=E_&5aj{_=Z<1-@7+3cjxe5FNfnauZ2wv=PXfk2-x_)3g%FAU7;o0+&#QW&tSd>jvw%w@)kgmV0& zpJ@f;Bh4NCm|-i?W}->s(3#3iAu66QrWuLiA`z7YD0_4L4pk67sXJn>7}M+u%125# zBn_s@6IO&ObErpG9txflkxWWtmZAC;AuO3FQ6p$Fda5=-y%mL+s{VTIb4E=pa+S&t zOqBeOJ;(Ie94>MyH{k*Uu zbUBa7?+Gmd?^<&x5{2mCr2y*O9i+ei6)gjq2rLePhy_eK0@pMG&1SE#w%#PJ608a5 z*nX9ikBjV*61oopl&!kB5}!zLL(dM%!PUP@AjE(l!zneT&I&gb6$q!UFDP%=s6(|O z&cKmmD7?K7tiXUNZ=F+S0WLJnOc=hBrZ9ajmEIU)TLu}16&gl2Q-aypJAJHxzN5k^>19|eM z)0~*Ch#W92WvK&$unhFSftCWj_;r9Y7TDrBa+S{%T>o$s2u!U;LnjGSvCBGL*4blg ze3nJsL%Uu9QZp2v@v;eBgoJF`c9ta5WCJ|c74z7}Q04sBm6q<_FCd4ZNhdDwn^Hjg zW;B}ed==wdx%!c~ZD;q7E{CMTdFp1hr^tlbjzd*!zL~tf^rOCT&;Y8(i&UahW0rwp zVa7IM(UfDNYY5Ai55-N>Pg`}WItIbz49zhVn8Z4NE(=buD7Xq&i+w z&7J2m^W_umS`{4>^`B62gUTx3k(60)#81%lG_oC=Xlb! zs%QL~nQ;UcL9=XK89FF2fsJ+J-U2TB;4^I5-efc|a$lOMw`|Oa6Nv{^$l?xHRW)wx^-a=x)CKE)^Kh%Iwxy~?KV^Oa#9=o@;%sU&?cQmZ3Zm*Nq5+651|rff=f-HHuT3CDl zY~kT49-5pDa<(>(JYX~^KEnR=H4eK}@~+Djvp_C+{24cxa+hiL=t?R7Z#I;T;O_&i zxuVuUS$Du#nhEc5**C&rnVPQ#c}xt$d9~(@isr)YSxv5k9NV;A_1m>Vw$|fxs>jeC zMp>-xCcdGMr$nxHB=G8)DjojrNEA(1+wmg+?nALycy;jihl5W+ACPK> z71aGac(wdFW%C~aP`mv{0L0h?-spV&5&ATCZOu^pT<-iR{ns(G9K+nrqyyZt5`eam zI8%XOJMY3M17@v$8MUP(5b2ftVXO_wi6p#VmH(8Cm1%| z&}8i6c}>(jxC)_x+7yxl7PSu1mn&}F!h;5-#1xfOgM6l#&hu#d*>)DVf)Q>sQP`5s z5C(5xO}9M=eM@U$gSbfOubzA@*B)5%LA#dpVjlD3Byx6VN+m2WDMW7EO9b@{=tTFN zX>#^8O}Reu=)kx{+pJwrIq{a+cq=#fm=r0`bLl@uIpFIf4IH*{s%O1zB1!OZ!xI^2 zwc^X2OZH_UFj#c)m$%W_Wuh7(zK-Wxv#;lM!>N)Pk=Oh^sn@sj*Am{hyOfpR=XQ;H z`Y&gXKm9ydJ~no&c$42>-Y9SQp2F#yq3C;mnSf7sFp+D}KVX1qOgSv~bGuZ8DjRrcpb4Y*huvrYG zf$x40`L?p_jf2;8EIh;*a$Z5x+KX%1(G~pF6 zG)pDW88HbRWdC>V*w)07Z#z4G}Sq%42j_L#*d zftSf^D-MMKRQJrYG3a4*zH5!#90&esw@BpLUESs8K$?FZ_zzZ7m2Y4s7*FiPQ5WFLM%wqFxl-|6OeKcAYjlXTGK z>+&$a2>W8M-w_mkdbCOdb-E?gxOB0O#EXnZllmLxeVtg3a!4A#UrrpqPe$CQb3b9P zug2A&F33cwwF4NIh8*E0ZDdd-)7|}&ouA(^?uMv=EMsk-S^~2(&E5S1;Zo|=E-kKy z`VUUMw&$N*%3%Ke()4;YIm~z^;9pV%e7I*9;!JyG%|*o4g@L6Aqw#} zBW%Nz2tKYDY**E@-?CjGA|NOCzRg954PvgMqPJf^|CqRA$$b)*LAzYhO(vtU3BZ0E zC%iTg2xE~Lj*|9sV6+A{ju-^Bg#jYlK83H=Bdrz?nlhdBeW%FA9PWTGzv|M`U3WBE zg9}zOxTzHPkzXKuzG_)Ea)T&WakKev~N+qL@}L>gYGs;gRzHEHTcg$?-;GTgPWN>U_B0|K3b=EKocPaXGK;T`a(RHz9X&?F}W4s0TqcuPI zW{)TOM_+~;;o59F$7qayqb;BfgHwgq^+t9O(#dtc`e0Jxw$i() z-%U&T0p+`EJ3fEipQnGd&I#KEQ+RugNiXdKDY_lKw@I9pdVs_&5|5p~KvW{>VYxA* zyGGpbDt$h?JR|ZQT@gUh^2cuUxhC&Wz|aw#{h8%sp-=rA5X^tW#|hw9m0d}7-hQ9D zHuLu7d>W^#@^R3Pqsj`$U6EwcpevD`^&_!FX-D1l%#!P@cjiO@XdR63i~0% z@$tMrJ0XIP^nD~x$|Y{w9fiNDIi1~!U@Lekk^Zn{q#h1Lj)jo*ZDU?J$A=M86kSA-Z+jF}7CvIU5?%`1 zmqJdQQ;GF_neay%ypj`7DiWKdn1uU=JJKvOUX2%)CBcl%rnhQQA($rJi&$Cbm)z}A zs5@2)K6krfOf6jL%p?}d!ysS3pJ6I6zLL!R&!f+5{N4F$tZAy^=!xkw=%0P9MKy)% zLqo@`sO&m&EOs!z`gQuP?24jHsps7WY&}}e#bW~P(`KaUz_d~M?x>5Ixin_c$xyP= z#&l@Y_y_C^DuFzss8ThhLu%f*YouUec^g!vvx`oHvFB4GsSHy(Fd~^3z)VQYrS<8V z65lZfEU5|^Kt-E&oklKnhU3Dw21Ujk@nqodp@VA~AXHgvTr}L(JnEbgG87mS>kTP5 zrpr_q`}-o4wTWk~N0Vc#`u|`m>n{%i+2DE-!N4uV_c$Op3q3n)uy)$HLlcS)JDaiT zID^DqR-Q)KC%KxFc>OtMs{H5qqs0ZD(`)dAQfsyc=>MJ;8FDbIgI>z6->u}cqt@hs zrP1`Ho*k}p>GPvmOQ4!joZCq!wHVJ=8d6O%{~pIo07!JPi-lFVr-h%@fed19JEiSq z)ag(x9LX%QpQmSuQ6XwWp&p()CW{pH;T=+CK*kWOMzU^J+;-8_p>dZ*>&4o^|y<=YlB#>P8ff5=WbxYN6sT))Vv;@JqiP7hUp_ZA35zc~mVr^{V#@a9Kl?Qa&%7Yi1bIsQhLrW!K&Vx8M;>bq~TxiLIKUuoP- zQ_`M2;pUqPF}F}c#9C6}E3_`ps@70&h z*G=g*E&gJW)J4}vflszVH^G1-(c~c29=J&};q0{22JnQ!S|V|iTqSw2tH;{6ubpcU z7y}GMD)aBs^6#$ZBq82k$b(b7t>&;%G|MmThjUH)w!K7oJ?+S3f4yclI%`BKAV`|k z(cwiKA@5Nzk#*%OcH;=}8$tXFjAa6 zutegIHouz?82xvjjNySQSy(0GcwOXx&5wh;iEUC71_q^4i}M>Tzw zvGPLZ*%#tvYou&-Q#q`p(J5ku!fp-H=LmEDuEVjk69FA2+P`51$5H<|W*>(?#YP(cz26SUNgOAay!Ultlee1igzuqzP*? z`glOAyPTNgzJZwIZ_+pUn+*NIw`hsN#jIf!c#T-af)IPV@w@T~V~vXYxcWtC&HV!` zmD#hP{488uHy?~~b7z_q@QVA)2({tf2(?tn2Z0v9m!ozHpX!pRE8c7mJ7uR}3{#Pg zlQZ5BHf}^JoGip^Y4PFQ2yw{s2bQtl(?-9dZ3pWOoU)Z?PdGJZPf)@$XIr7}+9?`m zvxb#j>Gc)!g6)GM>r3vjmQHvJTTGM3_@t|Z1g#Kt5zp&CK)=BWvjXp|_+%zC{!jql zi=0xgxQim6-*_3>k_zUW} zM=Vn?e&Y{LmH_E@yT>w%ohc@qwgQ-HIQSV)Vx(~IgDN0dgy{(8-czlHr@Z|`rGF=% zMxqilZEa%T#<{I}qdCGAR5_Xmzs{0hTQ*h!wsy^bbMk$HTl)}(uKy*tIcw}}$2Dyw zx+nhLuo^+rPNmc%Ex~N!N66z0!0cxQtd6{Me|+Fy_t`r;dczz4`8aD{$E-VXe!iOT zYqP&ceq#VotiYmAm$lbVkxf@ck6)aK@9QwVb-3Yc4UQTiE+$*6KPHH`b=!D!04zRL z4Vc!u9&macx_+casF%xT9)h!qSJP_v1iAzG_tt_Idr;LQ?BsRCt7FJ6U4$I@$CCL| z3)_;G?gZjZclKC}_u2Axlv04(ho*w_yIWnFE~l`Kby+5U=+Rnqb!AyF<|JPm&j{jeKF_@Uxnb`iHn=uBx zZDR478#~-Vtb!fwIRIS-R_-hDPrRe(0>D!l{;p=&xV%rV;`}JXT8xf}v+mp@FGvJrh`=Pas zhuw1Zr}dfjz5q6V4)>y726bBZZL;~oDR*?2OLg&mb4f+RGVu68@=g>Mz*+b1@BvQ!TN-`5e)U0^!|d zdZRCR2wTf*<lsS%h1Q0w@~L+E%25oFa5Y*X1K~ZZNJWW&-=JL{nGS(p8sTV zdflF$h5#F=$J18jJ`bcmdC*Upf3i#_%ESL`L713 zSBctdqXu^k{bArh{p3{x8sUpAXDg$69ti`6YU!DhDLBSdb0I2W{lhhyf`# zR^vaxGsSucXI%AHIm_crX-JhinoNfI30j&|14FY~nB>fQZFkZhj+eTY;CaAau)0r| z8T!IJ9UQKR!yv%{mQ{Sy^vajNPdcTr)*tH3TMFk}thA}G9`8OjA-5>|dqbpPze0 z%uhVCgCt%!K0c8%Q=h@h!(+F41X7Rx3cN9Nd<}xivoF6;N~ccnbu!D87)+&Fy}j8G z9v)`IZq0ICSIDVZe~#oyN60e}hxGEN+yeN|&qH*;y9Gm4tUTkv&ymBYu& zR#R05ew=m-^Z6Y6ej`xdy>|D<-L?PCo$=*l@1}oZ`5Q^Sa#z8~`}Kk3IX7+S^#po~ zM_TZ8#>xcfP-J~O_>*TX?SGmpR30bjwLgHOPpy^Rdvd@0j=H_yc! z*k1nhkRl`l^r_SHe4AE`lx#?wJR@rW;tMJ8q=8c!$Gd!I7*Bj~S z%j>bJyFB12M`Zu)<;m>iN7;EXeMBDnW@hceD&}k0++?`^M6JEJ)t}a1QvUq+3#JL& zJ4a5v#1jB}d^W`Z$l*Uz>J`@QzAp;QTN32lAkP-)tr76P`SX1@1-U6O&~!_!GI#ov zHq=+xHA5Px8T^P>-Kq@cw1j3DiK%mR~{@>)hawY5CzS$37qA;Cr8BLP@wH zFuxmeX*;t^&CILR0!H_CS@vGl^9d#6R-ZEE9e~3?C&YeMPCa-wMW15x@h4ZM5~l;~ z#K50)`2lO_r}z94pVO3CRV3bf|8T6~87@RZP98(|H<6qC_wG9f<11nnozvOOiV;QZ z^k4RqU!d?pC-2Sfq~6RV9x$Bwzy9-qp=3R?C!#&p=-Hf&3XlS@NI*bjbnUJHLg9! z+NZ#fT%Ixz({+S>;L;-6=Vx1ZxFLdtGG3yK!kp-SgdQVi7k8(3v>1MF!v6dLisg6X z)vn1I^-t~Qn=H2J$0eOtiCFLg`;e(n*y&;CoS=$os(s+v`GX*AQJQ@}OhzyRN7noW zO8Euk|51XbX1R@NfX3ZKt6ZOl$iO8a^10&EvNq>;OEjU4&mRKi+_IUZ_|DK6={`S< z==1b7HUB1`%cY;l&mB{G#EtflEx@F5btX;b6`%4Rf>gumeRmLl`!I|4@xlqs16#Sr zaLk7Px-0P_)~ea;AL}c}BDV-34-ZR}$Gttt*zE^@J#2oeADHWdUp34YKM(3mz~d&( zY-)>I+N=wJF;CaYGdl}?vcKlaNM0aijQJOrZug=gG|RlNhfb~` z(O!dHI(Hmi`XWx*_ctD8^XW$|rAw~P{Hcw^KcYj5vaqSn&w zm#*U4n@lT@WM)}8{X186?YZMJmH54Hob8^hE$vUtiDUDcwUAWG*5X=GBn-mo<<;Q9 z@PMghrWz>9&!oF}BfoFzE_G=J9tOj-PY@x$zf(_Fu;AS;`VS?Lp;CHZU3dmv@q*|e zslYa3U%T?OmlJ@nsyPlg&5WU#>W)_?QFBb`$%5)%?T!bd=_k(R!mJY@P+d)`KB5m6 zNuTPDgo>EJ9GC>n{q!Ua3lI7kPDWj%SN&zlgPiBiB2egdqu+!Br7G*_%%WR9BPb=) z2uUVkA7z=QQczuu8CMn>H6h~R&A8-@)AEq8SV@5fs3bv&g_8XAnk0Vq&Zo86 z@h@T|ct)gL#K0&y1UutzIjL)c$;ucYML`azvfum%&K$-JZ>ZGAa_T@Hd&1_Ev?^GX z17DG*>{7HOX^4^x9nc>$u0_gFphqeYYb&a9g!3EW)aH}$pa0kror(~Kt2kM(lnObN z5;Rh$B7|aLms%lNqRrF+@;{#^#rp{{>MR&5$UnTIfhcKVWkVt;RF4i^Bn9(FPWW@{ z=QdtXmm7IMQ{QMPlElfxkW8mWfLL(`lQnMW8ZZ)i2>LUP09T4C$48-ziYX%_F5mjsS4yfl!II;{IuX zf|@K58b%xbq;L?HMO|tf63nMTmj0Qa7}l#$HV%a@S(Z9ZDLIFXlrA3_L)9d!fq{x@ zxo=4+O9?Fdi)XYZyInU+aHXwuHfz&JOSL5;2g|r()){K82II!Y`ujv%rwU-%QRpl^6-1V;Pl4v|bLHnVctpvRCPl2la@67*Z? zo@!obvMpGLnybRY4{S(ETOTkzpF2Qh6gTg~SMXynb$sC2gty?;>oj+dsN)tH)l$|? zgju|cOya*$_MY%N7XBxLz0IOQNAo{Ey)O!zkk0lahV1B)z{rG=mH+;ibR`;N=*g1b z!)UHVzx3ov=j%X5F#bz;S+jzFl1Ho8g^HueZ{hpXIi^8=mos*mRJcD`(@E$nKgF92 zMcI97(5=rfKBLn9s(*E7F^eqgGCyLR5r^xS&OO375?1gtp%EFi^=DkPD$MOzH_2G? z^iSdfQyp+J3seze#xqhtY>3G7g~CM#b^=OtB}D&&*#h2^iRN(Zm>)9vmA#{g3>!UK z#H9KGKTC)V8##!z?LxLqQm)gwIC=nWJZ0J)!Lk8f(FUBnD!O8=w2CHbk(Kf`4C|my zJ9ZJ}r>r0(#cGmha!zoSm(tIaeB%e$yVgm$3K_%uz{*%g#!FMnSZbYfm5RNT9A#41 z@2Z#kX11q8zUF=tVkbd~!i8|G(h!CxdDQ7hH1>L7ILc^lTU!Nsy=)R2rtG zg1o^mJ617MW+i1B&4LJn&&62%qdd}pG;Oe6FAf0JyKRP|2=aJ;q6SqI7v+wR*rKt2 z#R)1|lEC|mNTZS#%U3dWL0Za2-XcLMlQVTrG6oVT(9)S=4Z*Eanw~I6-2ro4I1>&~ zQc7?{+y=TM+#;7;nN#8KUC2aC5t%}L#wCCptDY-H1gFyeD*jgqXwr?C1()FvjM*Rs z$2TQh4id6JJAgrZ?%@0E%f>1)CDhLun{nVRRAzC6F=7oOpi^gDL1Lz=kes`X#xz6{ zBsa0gPc+<9qnjd(wZtPSyX+fB7Eh2^H6$NBu#tQpyFN}BBB95m)PMIdus)NOagB{4Xq(f$gNFCG0dHap~2046I*n4KaFxRbPq;8RJ5H^&Ui*KR@E3Z zjyP82o2T793Cm`{&s^YU&{OYeA*cP#oWNVI$|5DQl`TUsHMcJfnJvu3?!Ql$oa-B8!-&|Y1PjskuCt)u$QrRc1=0hrY@DF!^zMr4L@ z==)vdWT|Zyh_Wk)!N5wQr%8nZB8Df*;06lHkcDnV2$Fe{cyR$y+=L;Bi^oKagEM~Q zV31$sw77HUKz!4(RKRmsI*^5V{}3ceO!0mOBBmqb9+Hda0;5az3RO}ggcfrXMsqp~ zVoRR+vGOkfk6tbVk7mpl=9b_~g%7(h_^p6a!P#;ISwx+BnybWdAxelj<(W+#1>({k zLgR2k;|jeW{+aJIvw$SuaM?5So?b9{4G(5xGMH{!rM-FgmPwphaoiEm=}` z)HfuF1ZzY7&7OsT6)uk3@!J1)WSulj)>bKtO{2+xZD12%#piq-&}3NWkwn)0A|b$#bwk2|Fh!V z!r`}y$hb;ByiI~SXp8IMfD9{?GLh#UG{pFsDX2$Y$R;SUrqr0DJ0M5FhX` zE{HHucO9TEc4Ywyd5d1a4)TxeNSCxxs z^vFltszApoR8-a?UH(P-!(;363Ql^*X>j?=+A;{6%*Gt8K58dxgVOX#JBFD9oaI;* zE1xbZ3RgxXZ{zMiDn-=kwDguD&AoI#DNb!}K>?O-Pa$ozqV(9PW`I({G35w4<(0eVTQHw-!ZAuv zD}GRB(g9bxG7^hvP#kza=`<--QAQQvDPchypO*7&R4gU9GD0erj3CiKp^D-d7B5&> z+#XS4=N3S?D0Y;dm5XXOsUC8AhUg-^hRDK`u{orPqNqygsnIHBJOD#9R9S%q&h$Q) zbmCYmU+Ig+1OC@6~%%?Iu(2}yb|`zG$hq?6;LTEjV%vN^fnBb z6rBsAYAt}2qH6t(bi_BCuOxj%Sw4x=3m_E3WGFgF5H%!6w^5cJ9c-g%{H@zdjc`H^RIpGS%!#1~ z);2q;RV-O*R_T_=rMkzIC8pUzc1QzaT#M-zcaIBl@IX6#MjHib&Fp{*;W!BS^=x!> z^#ZU`7yQZA4i7^<6Xeijsjv$A%r5pzg2D%`e=s%?3441WX33GEmnhXUZxB~*-1~6p z%8)`$CJ*rR_`5Rau*zb<=9bX6yrpaq#oQq#EV5yMJt5Pkyx$zf!3hC#l@ycR!h{X! zJi?`%oeuQGPMm4hA$|c>LhPB465$qj9iWB-1EoeF!E=>D_L(JQKkaIP2Ngqs2mSq; zs9H_6lpIpvDBFa!bRA@)3Qy#*q-LQR6O5Kk1natIa~FPNDOj&J?nY*sEejvu7$@xo z{~{CB@#Yi~{p^Ep37rYiHkSEns&acAm6Poxm`*P*?t{nCZGiz}OZ%|?1%hnD^|R6^ zlKi3>9o1D(8K;^Y85PpeiElY6i$a7jpN=AokbbsycN37*LXvJkk^sJ$m>9GAziAFpMxHmcH@ z(Kt@xvae*wY(Q7eJd}PdhQx)!V^Zr?rHerVls1Zy?G|AvN)kIqjs3)7@3^cTW%2he zf{Cy+mOo703dVPp#q_|Ngo+_yinr8iOUZ2b$kt*gJwhH<8N}GqJA>H*^Uwyg!fmD)8v`N)=sC;bkO}mb!O#mI|DnMGsvUb^V72x%(C!+H z7}-?^%-+5q>FFXoe7&ZO?dC~cP6x-;R<*yD8>m?bA5Cy0-k>i8jmhF2{n79`2Ebxw zWV56#{X-rGVkF^}!3ohnp{aEr*-nIOtigdt`$**7j5DYW3pWigdz=9BH#z?n&~#kV zyRh)U<_Z>xwWDTn6sQf7|9rd|0PAU2G=Mh)1`UI=fn#e~(H%>S6yCB=+(LtK@N*vR zN<9u0(=nP{cJViIM4ag6FX0v|^n(LD1@vo`xCTu5Akr;qWT=hqA8W430hz6z>3e)I z4tr}aNB{IrsDYkw@zHv8a|kJHx`~?)FCDorQPCG`cqxgBFa06K?9|CeEY@qNwo(Zx z=<#j2Ey{Hr6@+ElUlAg9s-@ykoAs4DNQC5cI)3|E{wF!zKgnsw7LwZlmQ`7=-ilq@>ZKc4e-ML&&W{MSHYP_WD!x8Sr*6h7>>w77 zvP`d)LM=jLU<&JpCIfHclo?9;dCx_WgoX(Hj;1KR`{bV#EhKdt=OX_179lVud5_4E zs)zam_09@Iy-hCn}$(1B_3u?WjxP;16 zqg}2r+H$M31j82jTtTr+f~#bYO4iP&;>na^Uf-C37L)wude)i!ZQ4AZ6foY0kR{A!{nV;8`l%k(h)Zu8?Dw6dp9R$P`liN4Duw(qD2}y)hk`CKY07LxLW8-X_KA zql~*13!J0Rbd#j*Z4(_+Tk_rxF;-}*rRNZt;!YvvQQYywaITTYoL#> zPj&`BhhEo6&8XYOi24(fIJ*;GH}Dom+q5Xvmv} zY06jN8&A5DElD9=;h*(03fI!d)u%bnhnn=$RYp#(^ms6fOn7LKNwYVC*Z+KVJ<;T> z^Iwict;yS?B?xSZv`zaViWA|Disz-*R)*UG7)pd%+(((Zbcjt@4sSrL3e=G^>ii%7 zga95JrOX?JoWZ*!k*>Uy3}J-+>*9mx>z4S)5BIjJ} zeR&)Q7E186oyIAITHxun;>F{BU_3`O>RxQm;4^(%Y-3(NOCi~sYO@w)DLrjPH${Ho ztdSH8T;dmK3qbeL+0$EFQJi2(t~!2W(WYPLe}_Cto(^-29vJb$_eDG3CVOW2geO(i z6)Wzph0xU%Z$!aA!;-NS){%E*e~)OJSE~8 zJt=d8o;sK-?BQ?m&D-b^ny_5nGH%K?{@*zr`y?FJ#17I1S+BJSFwp&3aBhS1RA*zT zYdr+9l=#bNY5s|ax|)KiCC6^oPdf8n_-U)G#mu@hk83ZF?Q*98hZnlfCj6FJEWpb@ zsZ*Wm%IF7w*s1(GkOhN$fSu!hK2`F6g7b{rqksEr9-HK|A|5vS&652B2xHfi0 zr)YucE*4V~8xA@QDF4#DD)w92{k>Z8a8~49!dB&Rh)2P|u!Gup*I#j3lrnxWxRV_4 zr#gyw4$xEBRu!(6Ft(^W8EnPC23>T3I}kiKvq;EzuVr&_N6xKlVY6OxnP_zhj!k6{ zJlFaDT=Y;Pn_U23Es6`B?oy4-d@n_8a_k)Ye$t)ygL+;~vF$ODaN`@hCWrJt@w!j% z^Z%dmI&rTlNX`&3&C{=Clj;-xnVyW9l)L(C9+UJo$ghJ*{{^G@x!c72$JYICu)hX% zm4}Eaa=s}L19)@Nq{H(t4k8HQW|^wb6Kz1tK&AcT~O^CB&8t~Yc5wCcwY41aaHLy(ZF|PR!OxTBVyeLSN|1CX9 zcayYE4un?N1(JWN`Y&>z^XnK(NYvapgqyI9|Ap>jeIbQFE|?a0Td6tb7{vo5F^$~J zTAeivr_Q>}N{%0=M`8^@iOQaR6%kY=;s1*;y%t|0%E7R=am~)m&!Hc0TB=HA?ZQ0` zJTWG|LUj#Jp^TN+uJM1kbiReTYJcS_A-Z{(O$MC)WMG>EvL1t6un6M@cjA8ls-w-8 z)VKgZ=mbKjOmUQ6%)W+1*5%aCyE;bOY zdA%uDc9&X%frnlbP{2lZy#a^{EtZakbfwl$S!m6$PfiGH*q4IlgI`MbyOC@B2Y5Yr zZ7D}hu(LV=;ED&8% zD++NnJq@tOM1M2Ykv9lucXF&Fb2>qbm2A!g1YKdKQ97q+&;|?nN5RMmuoyiAS7bbj z%~=tEVzdv(DP^$_=;C**JS-F}o|lnE@bg@5Z;iT3`(uCoA}Q0G!irhl)cz~ilFk-a zgzb=J+$c$I)c) zjD~=s_&8w<(xOOYSTZ9s9lhI9nOiQ3wCtMHsU)zEGQ)0*m5tS{&Dx3uF3(2Bh};XH z=%PuJ4BE4%T7^~)Ud+8#EAF0ToE}j}l@Xe9pa?qeVt6)-)#P@X%UC|!yQ`PNpvw&s z)mRprHWF3n)PgyP8uY-s?`FMxLI;C~Rd881JLvB^dt!J;q|qd{z(1Td~chI*X>dd*8EHQL&R1k|4Y|{@Ki?LM<&$PwmIxI*gw~* zRN$6u86^L5m%Zq^uipszNP891`z63Pz4e%N@yM zv5^*rp5KZ9`W3B(#DzGAg00C^ueOcagXmCe7E3~v^FfYeI#qQT^f3InOx%;n!b29~ ze3kQs29@&@v>v;#^e*Y`Dh2aN@&1$4@l#x~FhksvnQ(RU8zmfElhKzqfT;skuBX^@ zCKI_>?n&LH+rI|xI?92oY zn>f;cAy`{`2dRrJT3pRyWgSTq?Xwo(FIgxtE?Jmjbe4LIWO=N`jU=2h9iREXBjrAt zcwldX2aELZ4_ZLQsnWS5ECjU>`32O82 z)QP29UY^}GNoC{gfLgn!4a*!n7~CZj2JDgr0Cr6hRDSmQ@NL}WLrxAKfQ8}cJs6zV z#hqF8kJCpRx@poBhNc#V;^;|YadaGDbSh}!CSEIN;nv4REmX!i4G|?WP7(e54&y$^ z@+c4~UeDv#G;>O)eII@VFqc4P9r0Tw%qRV@61HG1gHIGSA4NE1A(v1t$FkbbJ*juk z#06}WWy)(*F{h#X|4xF!-Qu=)`K-m*NKaRSRPVi*~;2na!#d3gwcG z%bS)>)uL#iH+I3g4)vc7(*>5-!YE+qn8yqn1j6Nkf4Vz6V*Y_5-1EdX;v$AY+@-^P z@kMF)y9Tw&7TEBj&QY6W|B7ZIE6+88j6(zeN!`sFlx(r$Rz5EEA9Z^4N!RA`;VmSF zU>94;&lpEMIy)8qG>kxC^9sx!Ow@71Cz_B%6`GJ_kt&a29mRJkw7?nh`qOvM9foJ7 z1#c0xS9=mR#5q-o#tbUE&>wP)7KLZJg!VJ7Qp~tcA{4|Z zA_T@H(o0&#jYjIZlGnq2zthExwjgw&V6f=GSY?`ap@iZZZ6YmsP4HN|l@n06<&!;W>@M*;+yxc8s=J1(+HrN%Pj(q$|g?0YA3^9uL&Hr!ownl5Q@A`Pr zTgK$>9qw^>5kQxTqf2P8IeMUw5|iW@ z(bug)F?ruV5S|K^tch7b7Zj2~p6Yltl7UlezCg!l+E&uoK^St<<-PdAJe-?M*{qg_q zY5u9|^Y`ZGr}?rHw;ZzMs`ZzN&RZxm9CxdN;G5TBMvV4u!N=ikl^xI(b!NRUaS z&)sUm9^a**k6&m}k6%`SU7)Tvm-p}Ntx}6fwhpPLRp{1Z$%_7$l!{aCQZPdvqr~V0 zys!j(II%MsBB@_ZYk|)e*C9L2SlkE|_T?HQ!OIRASxfZVJ42jgmcYh6iw#UxL5xgR zU`$Nsq-EF*#XDYO7R_DmGjfYErOuWuxqS-5;2`CcS?W+h&0o28X_Jn%(>^Y7Q6p$Q(U5v6fx6+NY_qfpv?egsBLE=zYTG# zjN)xaX8PXoF&CBo1lfJpTRIUlMV031VZn!!L#9`QL#K2ppJ2*r7w~ov$|W3g9v;Iv z?-)X{WrFNsB&3W*!ZkeD2Q56@2Q50>$0GGl1MAYz*ih2skMS;dnDb!uu>(^qrBt?C zRglwwBI0@2PvM6xLo9yY$g#~L)+~BCS7W!p7t@r+(@9<|{K6#tqZ;u^#D+Hot<1Ot zeXOdb6*FSB1GsC!?X9!dkkyGES;(x#s+-Pj#3C{WH^yDI&$A1d$+H8Q&AX9NqC*q+ zTv2E_2g|d=8+hBU)`vG-?AX@TN&uZ|jKk-oHsWIhH|Dc5RXnNbj;|@XQjWd)Ee_RG zGQfM>O^J2hJr?u_ftC@hJ9GBg^;eX)zThqvx0Ce^I+4r`x{%Bbn^ZZ3{wO~COZ_d_ zF8xLrLTaD-n?QJ^=vf=AdH-es3-PytGcXT27r6SxQN-82Sf1yoYI0k1>@lRa!ed1P zy1PI=Gan{+>aB47aFK_?t!*%$b=Ac0r@a!$3lD+!tEV`Ri}f7eJ0H03fT@=&kWaL) z0Qv2goaX1T4etPXg1Td$j%C>oDDKy7y|4SO*!sNRfgFJ1#F_whwt;R1dh@s5Z!chi zuYG=MulE*(JD(4Xkr#?|f(0KxGl9OGSjt_pHSq1uof3hfzOG?JP<(;p+ubu;>%F6g zbeYd7gyq3kke)T|n!23UDT0p4<#}zTmNBAv{pTDz4ti8xF{qyx5-P83LV2%peF-+N z4OiO6Z!C=egRplFj_eEi#$(&I?MDB9War{&Wa7lb!zk+REUxTq;A{do z7FXsZ`o}?uj}Jg->kK$0#zw^auN)uX6yT(gke$00;2RSW+Yc@VE_NbjW-bP1RvjWn zSp#QBi+^Jb%o-kvHrW72|yucVeM?<$S7tFm`lXO$j;b=QO3m9%-NiX zm6?g{|IQu1)YPiQ6G!&ZJN*d#4JQHT-FuU=8s1JOc*lhtkAzs)0BV3J;wqHnJFB*} zyv5$gdyZ!uCor~9U(wP5c-80>{xMIvzLw+nb;wPr-QLb#y4$;IIyqc{{qpM0YS0pv zkdCQza`@f#6TGtn4R_Dct)us+_X7|@ZkuQ|O{n?)-Obw-*a*z`jh;#+v(LQcBTO&v z0)yUGHlO=vVrcEP93j6oR?tP(7AsRFg5xJ-FRgU=re~MwRSE0DEHyWY*KBuD)jAFQhBfX+uAaw zqo-B*ct$al%ORJi!9@DlIJX>VIUJGvZt z4EV1*j}Pu2gG13e&%3e~VkYYy%nxnc#*O!F7VJhvHSvGhA4v~rR5{WHfRi%d$D<-0 z+Wrm~`FjUT!Zc9EZW>{>{T9JQi$_<5L-9+$Q%wFSfxgzhGTix**vel58zX)=0ql7+ z+a(9u4Y_)iZEabMacF>-t2p@YzPWEr$eEwp5Os+FjXgx>K}gx33%Xy2@bP;6;8R}7 zquBPXO}+9y7IAv4C%6s;?>aL^E~45`AC=a%zHc-3=1J5MaI|aU^`ZtY{j08r-&lW; zo4$~3Yp6I9DX`x?a3~p+A+tk@u02v2`|!x#EFP01LqAlU zXSJ+Ebx7wN52)zRj-na~P+tiK8Yy>AYscNb;<<-WOVhBXy@!etY=JeEW9v~)JXvyIjteqnkw1>3lt_=-%AJrUslffnhIpM#-oPI!KeT1 zPsHG%Xs}*>GTF*4g)>sA1GQ|9qFzoi(X$m?B^L>t=ZUw$HuXR>1o^^j)ysMPcd8sL zhtsfCX-h{3Jbw533SvO9fIrLxZpRpP2xAjn;KB#G{t5b`eZ2uCLPhi@WsVI0EPyhE z;S!Rq*$0Ndu*`p03a~bnv%0pQLGePSqN6{kAUZ{m3pM3qj_4}CuNHB_b zJ%+DFz%eUyiD;i!CI5_sZ`<8T{3>nKi25_tra6e}N9-0l%~VV6JT};3{=n5HuTG)q z@MTMIN0Z7_GthDUR4?ZBYTr2&9NRSwXA? z&hAQ;(G@^Jp0<$_nt|j$h`zFMP{N2jhS0+$Mc~RJg=Gn=9N!D^1j$n{JZxPfu zH*%vm((P1Fc*zNJm2gN_QSM-(IMgl;z?=As7TK)N62yHzceyaKr!iIx!FUtI#u0~Q z%dXwE2=-pS0lyr@$k@4(JEuM$GE2T+5Z!uu(7+y!&)gndL>evl>Bp*P;-02L7M(D& z7w|=pU)JIhdIXD2+A@ge&zh*u>r5r?3{5F)bByp40p!U2P}9lmf4m5*!W- zI})%mUA6x_#R|4|P9cMD0fS1ajHjZbsjADHTTt<34Z5-6X6~|&Y`b{13kBV4M&w#% z#O_u*a{6#I1?tV-JlkMlu`mUYSJ8If z+*ud)Sa>jbYx{qMd4)5S$_5&yKVqFlYjb5{APu*Rd2Kgt$jqW>P$2SXt#X|!a=T-1Kp!e3121k4?ck1JHZ?5QiY-V6L`t6 zEMJqz*4Q_1uW%X49m21qJWwI2kJUE1NY)Q=-&e|bjyX`lHYeNv-WOuKcM-cTJEsZH z523T{E}Om~{oKUX%K-E;B4fMUuG@paG(y;+S9H@f*Z|Py@vX zHADf1e{n!D>9fWDz8~tF?2BFrO5vmA(C7$6@?VvsiO=IVvh9=>NJj!A78xDN`9I0V zaxJVibL~?3pD*nbODQAMUQL}l-vg2pqgduD_W2kFBO(<^Lf(v=cv%VH3S1|@a0AMA zj$ggLh+bSHya&K;9nPNRv0V8KmVWqlf1J<90SyD4X+B`4FW(1QoTEZi-nSnmoqtq0 znRv5x4?mYxr_5(r)Y2F)YWxtX#G`tL&43{dEMJ-cRwwdC`tzb{Zv67(G`(v>Eu4HS zaU0bJ4sEE)A5-?8XDZba*+195!u@&d2&79b|04jVvtS7>tLmcfFpZwDCW$8IzzAvz zMkP!U+FiBx_j+AoHJ_ApH#XqeD2N=LC38rh1?rAN!04t*sm?;&6>46+CQ z40I=iO4veo{NB=(XJk!li2g*o5rdriOT#2%_MQU{ju>m+n2xsd6mXd<+dhIieM2l8D#qBhA27c(pImTgsk;_A+Lhsk@ zsN(}zAV`sL&e2;o)^END`C}}HwfkNf{_LM$QHePNA3a4IQL)FMCyI;Xh#bec4l@?w z_T66`PVzs$W0Z%q!3H)~uS@WH+KC6rQu7e=FeN0pYa_|}+iipS3+%A$9<%k= z4`;Q>@}KN#%O{JID|)%fJ`TrN8nziTX}^;l@X zU*tdbYQ1c+Pv3L+lzf^+-}e5PCGc>!{k%R)f9&n}S{w8uT$|0=$|&q<&-miQGg-3O zdD48AS2lxmQMDd*TuHp1(R<6qOoc3-?d@##D23l4aZP=qD9PV<+P+`a()^>nb!vAb ze~t#_xxl`%yR>e#h(K98V&Tmm!{`3|FrF&tQ!1ZBd&qCuU4B%w+?)#?Ll|(l+aKfu zPw<|))9Z0>zP>oSlUvaIr?sJn_qXcXL59^z&Ocl#MIFr0iq(GCE9HLgdhO?NV5yXg z_f4L6D$;L}%4bGRvt|m_mhNbCANwXIU1JaVbI%!7JwW%-dSvE84=f((sxu=Wl0rEy zc=U-fSb{yb?@R9>?(aX}UmKIcZa%k_lYv~mU({&dKSsqSq6F7h91HItUWq&EM}jb( z7BiLeWqnmc3isqwA-#P*?0=ij+I>H49rkAvG9nJX4i0DOb%O$mE`NDFe?1b)-%Rc! z*7o?@b$Nni$Px1Vy`A3pYt8@l^0Iq0f_r_f_2(sXCE+$(qy4=}iCBI46J4lj{Z~cC z{qfhe{O7D4$m82l3bmP#eroH*;N^1jO8)T@Z;yXMZ+F|hxOrYr_LjCU<7qE%C!fzr zng^uW+>@|9gdP93E<2~;Xju-T%h6FHcy&f4BbM+T;QF;nQ>nMd*UZ+`tB?KhA5@1z zi*LCn@3uBUk9thsO(DhAD}zcOFg!1aJ07hL9xhJP+3g&38@2wMH@%~=OcNnKa8)J5==V6r z{k=~~aH?`VISDHBt;zptHT#VpD*Hj`^;S8{*l{a-3>uYviLJTT%kh=k`>t2@ZDGoP zV{_>1@m)B0@A8O81ujc|Uo27RyQk8gPIGxILt4oi)EH!5!@A8Y^iI@u$zJvgCnNGX zL8r3<8<_2v{Sa2#JCUhQuP8O$kL>OYh#owt1neTXp81Kc_Mb(osp5}Od)mbhTg&W; zEZ}!2LM94L=0hSvq+)i-1F4n0+%?+LLYYBx!V$OA#_ahMol&~8N#!!I$^Y;w!a)@- zu+{McDbnmP+W}~=OTq)k%-wt;cfgvwxkzBmtS0GC03?oV08-}p9}Qw*s>HyxU*PE$ zc=i&tEq^`E+n2T)_8hgPunrQ4=u%Cvh0-CgPHEd@c8u{Cthj6Vjmsa9qfW(D`J69U zwIhhg5}D$s!sfM#Z-yHT;%f35WlwpER$uG%L34e+HqX)-vh$S(h%&*5IUX~n8sPFJ zc+Wdhv^$a}Nj)}@vX-4rNug9NGf*JfMWA+=CRtusUZEtHqmH?wH4sl9hj%xnQzo}X zuOu~Uo#d3oh;zY6gg_RQwh@=0O>an&b&0vLq%E^93=hBB;Z&)sO}< zwros%4%Bbrwcx0lX}0Q%)^mIaIk(0eG?Y)f_8m7f`xB*@<;+LQFvkDub{& zj?;Z~r5DF$+3ZD?Q|ptwJ%h}}2ZtejDS8z!;{2dpE%RWs9_<^B@{-<4XDMfydd9gD zhMmI1=m~8f_5XN~LiV@q5Hsiz4t3ZfGiotCNSpk;M z5*>{G1|zhXKF>Ui^ksK)H!_N}Rq*oxn^IGNxEKtF`f|m#Nh(I6<*UL{cfQ;?!dap5 zs%ARGe)}b_fR;lUIVcMy#IEF@xPk>rs;sh<5~FDEtCBVrC44m}rDv0&_bgD*Gt0B6R>cFt z`#dNmZN?;q`h2-1<-}i@U-{3W7$$NM8A^!6MZ$cs5R6_;emhNz_UXrA9t&=2pp_)v zo0Xbn7zzY6Aco)oG33=J1`AJ`-HErj+&@xi`IQwbyu|}fhiN_e4*+Tqc!@*p)t+RO zI^4@w%9;{55mLD^mpHh{&?6lXq`0G%Q91mL3_5(AwnfEreetsSBhvMC6W6Nh#{0W# z<^I~!2m@&6Omp1N;D((Elc|bMfd){fWG8gAkH&|;h>{MNo1wW8#2I%Th_MeY|F#k2 z_|_$iivzft7fCFlb?~ zUjB@{Ule^aFz$NO(0<3&8R<+X=mO7bl+bey_*OK7XYI=d*sgCr`@6JZ(363MP^}C> zk+jGOa4W&ZZHgf}%;nxDF4=U2k>@>KQQIMyF9~pEcjZFdHzKe=bRZ{Ogj-Ax)W%Bt zIy$`&FH%m98c}Yw(_M77m-taJPGXW_wOYkN5zIY~8v(>Uj>)JvX%4sOU1Wp8S^FDl zx9DaEPrz~8P$YS@7^^26Mnf(K@AAyt?I5Mpo{n z1>knbkeQO60h!C7OX`KvIbVC&#j_7Zom;@f6P(gyfN0pacKnVIg zK;o3?$T+@YVnVbNaYBJ`v@sA!1b&5}hyp9KpmX*2K+YRHK;8{9FkHIfXlFj6dsJ7? z-hD4nM8+w?a!uK@*~Uo4?v-;xq+-{o2~*(&_PHc@miwh*h-Xb7Ma%uCIFK-Vh}M>U>U$HgX5lV(SPz0n*&C!EBJLkhY%u-+lnVKCTQ zkuY3NaaCrT=Ah>VK2KJw8IhS}Td#}OJ=@uIcRph(FJh zKrfi>y}5$THn|k@{a}RU0z;Wdlz~+5)qsq7cgGb1K`m)(XKh&?9+aKMw)Obc7mIRS zPa}8OxCAOdM$L@4UiKV1jj=TYO-TKS(BIT3h&lK^Oz6N{{OEwxF;xp!1cZ64pT>z+ zgD4>h7hY^6(O~E-9H~q4C`4RrgiyfedT6V?xw6UmNC({-%KCZ(MDI3J@_TEHldIZF zJN?Aj$8D$`xi-suW24N0v?_r?`At0>G8nIxQ~-jd9{9D`SGk+;+K>d;j$GO1>^^bk z7TP*SgSgLpXjG4!&xWXK^5E8%>`qb2wp<&)IEU%c!2L3jAyp(3MQ+2g0kVsc<% zx;~K{u6A1NsWcB)*p~_SAihnYtb`T|GIhn&6dc)DQt)bIvI1Itdsf}1nkr<40;C&j zbq9>h6c0IU$0nR0gd#>^&U5ewT)x=c5z0GJU5zkX@I+go!}7Ah<%bO=8Fn$5R2nt9 z5>6Jah&4mGlNuI2j{1T+CRz*!>~U7N%F#l2vi*-quRazP6Q!r!%Zh_UFenqnr(G)a z9*_tUj?{)rVFIao5a)c5D^m>{Z~>4224`SsVXib9^TWzKIwL1ZX0?T&sv2$lE)_-w zUg^(Iy1RtX4AM>;!lP%yb4;S7cI?E|-)E5kUQTre!CLw~@oBx8g3CjQTg&J;-9Y(X zv-VA$0$z$ke;hj~2!xcKe^&f;1x!}F;b5<@W$ZUirzV9Yg=upzJkby~J-kH2pqfIH z7f<`wCq?yFAIVk|Vq}j|0lx8c)G5BRuBo5QYl72M+Gc-b{*WRXD@DuZC1_u+!tEX(bs_%gE<|JG0jKDQNSh&LlC_|=GXBt(Q z{Gd!ln8RFpMdn8|@P2|!G($7;+06Z8yg$)Of7wRBgid)IxYCAc()W)P7adJK{ut~U9n{&fDM95E#)_HD&@3z+hTXeA zzvqi&cJNV8j1!q2(`6>u?RL^b3|ERV{}xK78+gd9p^__7xQL`@X@#tG)tu0rn%ta# zXCz%S^D7)=IyR2_l}Tp;by+Nm_MCd-$2+ICS${uBx zWw6C-{lWknK5#JTYpT&2_R*)%3naPL5 z4#>w944|fk8c_;BgGm6RMzfGW!h0nbqJU+#_Dzz=5{yW~_1v!{6#2a<9n}GS$)U~)zZ3))N8N27 zY(;dzLOr`2pqpGV`Agf1iyH86pB*7%AklNt`StP+iFbWM%skOLz6?vv{2dnMS2kYR zSp6-~bWjJhYns5xwBqP0ph&nKv*HMm`U7%MLD3Nf2C=W2RvaAaN1QXUQu(2EFG+Rq z#JC<;0R`{i+Ze(V7mMq~`G5_f19nwL?Uu&?c>AMszlNm8T{;6b6Rf~M3=EPjipAia zB)dr`I#*kLdkza`OsxPfg5khpG9jKHM-o07k@jYOi(-pj{Dz1|YgNXBDK&;)55?95lIJIcSw-~%> zPp1V2Rd8+8mc<&cIGVz1o717CgU=EC4Fn0Y;(EJ5D9LEt zipYX)rB!h{+7qJFGsY0`bVGzeV(4QE$Q-wC(NiQ$(W>MMbnDDk0gPqZ{2N<20soQM z9&FxPpc|@|xSaKvM9+KZTL z?F*;%TF;a7flmyZRX+{cRbAc|=*j(MXAR5+qE+)G$T@@!z;YtVGU~J%G60OV)v?Nt zs%#8(8bnQjn;4(+fOgNIC?8Vb%-+$5f6`QNom)#F{f(RgF-JWY1RiKc6JCu|pI);S z?MC+!@BytzF^%8>;`&J=&;~ak_N+3w<|FD&)|tSn4tZ$`8Z8$iVg}qK{{Y}x5UzW| zLJiqkCqct6zz_fS0+;yo(I&OKzSLlnA&-6pnjmut_ ze->eMS$ZXBV?3Na$e|F^I8N|Uh&F5V_YG~yO(4-8g|5U6^s-y(HrEvjUexbyvlec& zi^Wd>%`YkY_z5#41w0~wi!bd#R8U_9fZIK>3=v>yfl$~@A*yEPN0JbpHtfIE_}|~& z*z70l^ub?_ZN>B(zxWuQ8h6|-WqcfAh1KS^r<-xt514pIWgRb`9lYJyc4}t7ONQqp zL5h(WiHIdrtVEhPsm2xDC+J2==~EoY{f`r4Hgyn^Sn03?k4GH2tvCBd7kDY~VYyF? zRiIfIE#=_J84{x&m>zC{6a-~4Qy1LXlRoIi@){XT!dL?`nEf*tr`^LyR|Zb9na1tU zkBn3>T?uc0Da5qsgJ&C$VPX&sW-T6MfKA+E7|+Ff2avZ!hwjE_9N5B4pkpr0r8~12 zDN$ zfgHB={s~6D0_!?gFNPu#ni^J!vqj-%u)21Vg(*b&EP?a`cR=+h0ea#N!0VL_#EM{H zX1VM@4t|rGs4Txx-B^MWJ%j@nisWPyIiHDuMZzTi6D9zIM@v`?u3I6+VG@)v5Fzew zBuHoIlFZ~8wN3h8a0N76!y}3#X4{DgNIV%>S+{U3C>9Fzxi7$S6(z0dDLdpY>3!hmk7yO z*5_BUxndg8Sx8>ClNvx^endL&`XPqD(Qs*%6LzQ{;+E~`i}OAf;wLZd^VqSF;9>Wc zRQU~ntwZoc40$D|RZr2bw)TX-z?)jP9f3}l9pIOaCc^X8$wJfSneRjdw{oG}sycF@ z)(Xef2fxC*TI=Urloj)JX{K3YHAICIt$(4!RH1~|A~mMFErz~r4|q0X&%0^a)&5~Q?+pJhJIGWA*e4|{JNsri+=@9_*AN}IN%7GMXx^zdd6DP+Z zP?l^^1yooqNN+8QUye8$e}UeT?wiJJ3v1tk)sPP{7B@lDr#6>}ZWGf462XB@?M_eA z{0Y!e`-;6;>-x|q#7_=wG7;A$CBmIe%#n4Fh$ounn+o-!9Yt zKcjc}_~Esf#DgxZ?>^i;t{gM=>^-S(4d=DYyFilemlP}Ek5R3MquVN?o#%oO3bo+jY*F3mg4OkTSd|)}*5PoTF?YHVd4^3O4;G+xDb5rt}fY`iPx4nO{IwU|z z@44=Z2Nd7RcB4nIwC7v$n>cC(x?=M_z4jIW#sDRyid-i{8=-azX^i!35Ix8HGFqL5Bfb+*!e(5k69t%)nziLA z9rOrI%~TQrpk@4?XRon8sbT5$z~*NZ(8G~l03mVDJ?8zfGn?b_wcj(P1R1BesJmFB z0Lt#@stea%3v*?z;Eg}jofFJQ6i_l<*`0Oyi`4YEJMNw4ug{apeJrkc>1y#LvzmZx zr-3K^AA#g7-XFptUOD)x_VR%h=lb=f7;ppKaJwvwhIYUEg+Uj2z5EfrNtfXCOX+PI zyTSJHfr0aik7q^zh_kxOnp`?RtB|&1eSxkJa|&M`yWDwx&l~_uy4FSXo&PXB?L3G#J5Qe_7#;hZ#$gr!pVkG==W#9u3b(mG#M6qC%XsJp4$%Pux|IO@ zR6d+7S!pABslw|pd2;dl24a7^a2%IyJS7jC%Fcu^!JyRRtjYwrz5u9Rfh|XKnu*;r zr&(~2g8D~e{}UYm%f)c!4#8&D511O5&J$k9DjWE&+J#3k9RPC5Y3&Po5bzI#?z0y- zMs>mfWZhz{au9URXfgp~!Jahf%>WQx+W{@%qX`WdGC(6B+&@$Qy9_9%ojCy<)vw-9 zuJ90mT05pc*$?h51Yd6e`e~D;ZNrrnpY)8s-y?E|-}dP654=^?UQ&N+Z5308O(ehS zUP6QXr*@JRxB&Vy0=Y1x%|D^g8bY6{&`2s>DwB7%QD0_NY)0!pA(rI-69Qyo81;w~ zk^}Srf7LyN;=lC>Rt_>lcKweSMF;;QhL`Xu87u{m5peeP7yuAAtHl%){Hs3zI<8fp zhd#bu|B1)S-)0XQp%DDM%?P4y@#<>X)%XYS-IdX1qqaREtM;}wuboP|gg3;P_}PBE zFP_0a>-3nln3@7_3XBpLK>Mkm^(8)VqK=IIhPB3JgvO;;$7KSZ^K16u$&6X#=bJfy z#_vpj2*pj}0y-I`O*w`+Y5Fna2T&wPS6Z@}J_r-s+^NO?SlvhJXJh~?o(xb3D0ghs z|5!xcvJ~4SCJ;LASf6J*@;`}zH}lueupwh0MG&+z9pLvhz+qSF+-3c1nQwxn0yEe3 zXWasz!g1m8LzCLeRDiBD1Y^c=3xuAc+6Zc95rna}Juy@2h(a^lhvgTHa!MpQ zbX;+(tTC5vZ6NQK!YUmQYQ#kjAXrc3I2+eKul6#@6cs&U;D=BdYbH}nKi@Lg!%->~ zmGpz5z7i5OkGA;qTGNl=rZ$3WNK0o33m?rMH^e(qOH8{knQ|{nryGBEJdc1IcA7Mn z^xni%ucIcA<&d;od>hqRTv{kV)aGbGCab_*%n#0iVI?z>LIbMEUa`$=+|;j0qNB-C zr*P6|eiTnZLnKGlV#uO0?IcmW-DK8KGBc!!vR3BNlu20%rD_LY*v#JU5{L@yWXhf0 z1y;$%O|EQX`&%~8#Nw*trXzpgN4NK-rTzL9hZYN)2|<@>LHoxuDxWbTf-+!Q#Dq*R z#YV3Jljp%I!I;I`RaV9W1QpB)bxjyGEb*;i-q~V15ktPtrx>Q#R?p2Cs*bT z978>}eivnH2QnFsZs=PhBHO-@=8l`aNHs2A+_DzW~}^_+oHrex@LB zY4(fy$d%Pmw)o_U%>**@yQM(|Le*CTX5|l%pk^?RsX>B~p@dl<@83+vn1KHsDHR+R?IC_J zGOaxEH^jD&wAi1?2|~tg%Dtl&hv$wiF$xw(B6J#6pf1qP#1$`BX&`G`c1Qp_2f2*9 zS2gd1#nepB3JRj(g0_1+nMR?Ucak&A6>~;kF@$al+0i4ocuie` zr^y<2ecaaQYbuC=vQ!`YJ+2{9SI|g>gyQk)o72teH``DAak&6NiNe$``~h_Z#M6iS zeebR){PF9Bk2BQnb5_e9t`-`L2hIz#Ysy!bfk6T;cBi|yjN$7|{M!ES{m}Rgf+;3? zOL)GS=;2%#c8w)1XBrd@S6WyCeiU6e9vqIUBNI-?&o$eOD$iYJ^5Z=tT0W3d&f^Ag z!j6>WfdH%U2(M9D7zU6CTk`rn+8sIHE6<5Z%vBe@(5nH@q-qZv)a{z3Ddge9^V>Z z5}v)NeLw*6iWYm^OW25r%w}3Wj{`c6L=^-j7@Grq`9KwSXY&R{OkF&MK%a~`R67cZ@XPQ9v zj9&~somKhaZSe60`^`#+_PhIb{yte~<~}7Onh7l3zWuBUd0zlYc$y)G!j50JE$#kR z%i{qaj!#(uR#+9!*53XVLA>ypQ@#HLWu%~WNB9}eXeE>hXR9vF9+9N0V*)cV;EErN zb^BvD6AmM8&2HygAUH6VaQ>!mBz9-#QS*z@e%z43f86!KwSJ=qMHs{pz2qi^lsCB&@+|!^Y_LIt$#r$fp zOL5-~{xw6g5P<|!XJHB-l{;H?RQYz-$#c>c_Fy^JKlxHVAPl*#n(UFrx zy1*VRnkJZZnhCae^))7lCYX%o;DCu(ax$3goy}08K}iEjs({9!XHYQ0U(yg7jG|d^ z+_icngtYr?LjDrEoI%!!Ox+=B{N5e}5zq98-mYH*7EQp0_isg4Ji-c7x;5qqm)XvU z!!65`Qgjg`&tITbD`;LKV98!0BIA5QDI$Cz(KIhmW9DfkkQM&jqS%zggHpN$78u*E zr{r+^noYT0bKq4erEMhRcz+5LJ(Y!`L0p4K= z-obR?Uf?*Ycj$H7no*P@D*ei<`N(_F)4wACK)pisN;=MnGN}kkb%}A=q7(tbmx66k zYc;%74I1jaoB(S~AsFOecZe+Li=N6av@()~knWy}H12+WKrf_nQr`hFf3=(;ZaiOR z_@UqndOC+Vjh#u(^^KXWw*P9oIv6zjqi1KV=OZ^VjuJ!BykLlg_Y@OBd&6R@-qGvm zp@)XmCAghJ5F>TtIN}W$Mj%ksAEMe*;ReLvS9C{OglOWFnGhci z6NwC=XGh759+(V_E-mhK`vyh=ZrIWS11sA-w*+Iukie5Yoa+|t_3%Yt#VPXh2{<#p z;AW!03wxq}sz28F&gnOAQ(T4n^MChhz@;FmIGQ7q|76oZ;bLQ}IGFnz5bIb+mM?Wx zh5ri_w6(8G4R+H%MUm8_u1pFpj#FaZmpmoNL?K!k@~w3s)~2;@w)iazyZ~2tT{=Di zjF!8eg))&8S1sC1&i{PxJ?icQp*`i*-invEXuiZ_`|E~u8eu1I){~v_x^*9hyYBvJ z(8`?BH=q3hXtLLDovU(Fm3!l%PF;6#l}hB42Ba5Y!|||$&my|;4>Eua6MZ0bP+!99 z5=tMuO}O^#D-S!DMby$ykabL!$RR!HN>1odwJ>4?mw!&;Q{=T)6$WaeE9t?#iD=L3 zrC6*TGrDO8Be2#-xTSfbi^ir?y?q}sKcmNLn~Fwe3Kck-V+)d}VkX;OWJ0~lq;%PRd6*S6Nzh>Lr>Ir%JmPgsmCf7KI0&`>msY*gL zQzsYkRGv>r%8Un3f@lQcLL9)x5c4bToTm@%9K^Bf;aPzOj65EU3B5=oOF`iUj; z__@}}yGO`*WIh6sR2=|2Lxx67r!{3ku2xcb_=&`x02kC?g_0FB7(@^d)tejvJ9k5_ z8UT6takG@k65sBtw^J0ss67DjP_rWD3Ckb9J;;H2J+n|4_0exm@ghjZ=K1OVVzcP$qlGL2&G-yxZO`aA!IO^Dq78&M-yQ7K1+bsU8 zjP#qhY-x>D>gu9_?Cio^rM&TnD_6wvPLD*HlA7Im@}e(>oZq71lNp6 zVsH51#S;~RL((#~3GpPJiOGa9&^*OpMFNBos@OY{iluMQ*1IBYh&^5U`gcmpp({dF z$wD4jYS*u!1dU_6v!CCtpR3R3X?b!1MVKL;$iFULc}N>`b~fvduNzXheZYO$^waO= zV)F*(VyPTX7$pW`=&Q{{#yL0)DT5l(P5=jwk(x0 z%rj2JDr3y_XyUi~86<7yC4w7s5Ip#l!tnV+5|+El!Y^@IN%s4TpBdJA{Y=b~FGwIU{J2%$=8%Er9G zD-#}bv&7*imS?Zy)5m9}?@Kre4UqWF7s?N>DQxV_bjY-+Y{|kPP{U5aM|38J5i<9O50nAP7*wk*d z#DCfLeeZg8nfX^n)Fwn!`H$EHZWY;uN7BVHL@c#CM0GeAm0Gy@emY7ZJPOUkCCspM z^i4nVddy7S%!lD2jL##==RW8len;;UF?;M*J-6UY?7!`_iU0Wgx1%oPQC|=p?3S{c&gf0E%VpoIG{PpR{E9W zvYTF8?(vEz@%5Mc=k;Xdx7XW&np{611@?8fNw8ogTXTJXI&7V>^$$g9e&bPK`C|9G z`J4S&(5XkllwMnA+0e8L&Dxs(!t40-@@davP{(99DnrQa-QJ;^o6xp)GjjI4iuAXO zr%Ma#{{Bl0b$KSYX!BKJkDAdA?)!yq)DtYI^NVKgs zpb*4<6kmhS=u{^AkI|hTpU1q5!&Ey)U!cdMJNXxrXdu*FxbF81mz{>i;r{6N3bvR2 z%u@NDn-or|8XFZI}PgfwtwEb;_3a&>-D); z+uK>u8LC$6UH1Al3dy*46g}^KJyPwC$RAAYhlOiF-ag`YM}RjXv;({#xDAF2|kO z1k0O#2Gfq%&kbIRd~7slZ~vO7eSg|vnxP=>C%_Zgn(G!A^A=(vu`fB7-7C5-a%$2Y zs7phfe~$ZVaPxKM_eC&r{+G|XR;soJId^wCTR{dK6Y{`n9|_)=oeZ`AQC%@)rF zST1OieykLCtdt2>^=f8)=mf-ojpWU?zg<`Y^KnBlz|u|d#I`O|ONe;E1|H~;0*Rom zyZGZ-k`ICssSL0CF<4R3|9PM+$!sXb%4G-3L&)lsA&MaNGvev zvsC^DyK{);O2+hNxjc1FRj%I;)H8|#rqd(nL&5%nAYy2nc%c^QHXrLG_@D`D(uX3$ z=Sx?NkDa^@q>({VHzzpxbW|u2SQ&E= z)H0nP2W|dy#FP(7h9(AJR+K7&9(Gq%KQ%~_z(s|SQA0^$<2{S#E*+77WrnV2%_&F7 z_Rb1NpOt8&2RxX_QNcz4%H<>BFgezumFWC|U!)Jp_(xPtd<`gpkK3W0B9DN28ikZG z-2vAj8weGoGQPx>ZuStv%T}5#z2ZfSIYHEz+c;iGk_#my#4B-?GT1cjtPERBQ~Hp# zCn@Zam#u=Scw#Z%y$Vu{M}ZQ7bRlq=GSdOqAlrbMZEeUp%aXltZW>}J-ba>gtbVxH zX3X551D4-r9oOG9KA@xvn)Jt6dFdi$OhyXuX+4<)E?9DI)s*3ZJ)> zP1vk7m_-XK+FgMRjai~>D@K%FDa}<@N508Q)|`)*yL1&{OTu|WR7eNUa9C(f;f!D7 zKgyH1$2@qY6Ib|(%XrM!hm)*5#S6~^{JELW=1VDgp3rb-60*5dV#iXr5?N)UA}Lco zcJ5*~9AWLbvEs9R+2gE4NbpFM5a$^TYU56oTEI^5b;?Za7_C!6^%5#235%PUW{VOL zV3m*yKCL3AOUIZ6HiE-{f*;P6XJ&fUpUJ~F*9L=0z0P=E2_!k!8w2@fM)?579lw!Z=6HR#YOBXJ@` zM;Hc=>ogVnxW4H~Sy1#}hk=;23i(954x0&A&{LvUucIOkb>nsBCUbnHrLrju(@;8! z1}tKUf~^?@T;n+#7T$K0*JT~PK(110W$JelZ_{Q5@te^A5`WX?Cw0R*L6|K%>Dm}y zm(*DeIU3x-R4qwo1HY`)9TPRp`CY+yks+DZ@6`m}1RvBm`Dkd(0wVS}`7XPL zHDkMAlG-8Uphr>?z83V^(cvI1)q}Th#RPfNJ%}?dz$GoMh8BAXjcw|eI6>ThhQBz~ z&}#*Kf&276chjE*8TteC3A}#?H=LW6;)R77%?Zh&E*>xWGcBfgsNL%1Q!Iw@pAc2F?Us z5NK;7oY4;;w?^k+Nyv0u7^j9umu1FuhKN3Jza_4y%odt_OxFR64~q$+l0{nXix5Qe z&w21)VQ|nK3Cf38>wWk6O_BW&N5rb8H>ead2M6{msmnE{w;&>NP)YT z_Q3Hfc!Y~hOikvHSzc(YrMU1+;h0^>oU@eCeXq1;L4j$9(W>cjOu_rc=AC#zn>yRt zv8-5fL6@H`dP*Wu|A(=!jE!Vjx-^WLnVFfH?J+YmGme?Y%*@bcW{;VfdCbf(W@e9Z z``-7y)oP`c_D4yrI+2+tPGxshWkyHr@NnXrX(5{0+;bqBGog^tfe$7jQ~#Acf0@aH99SjIe~(P&fHj3eMK%S0^~QcX zJ$JGz19kdS3hHD<2`U&fcy*I^2>r8Qpm7`__;k4<=?R^>;uLFpWAw`2w<<# zL>`&p*Yh!XbcP)c-VamwYXX=?vFpa^XgRRNlS*;PGu5%s}`+&KPOz|ZW;kUQ`$W^J% zX&FkH?-z=_t-J5@yU5q?c0urdeIH{sDqplpQukJuheLSi70v8(p-hI~HA0ARdFlfA z#S=89B5jt#z^W}_&yXZPb)$=Jy{`SgALwimQOWGVu>0XKnI=LFr!>56I<^*yk8Lm8 zcpwows|c>|v^+H)$GsYMyovBb$6L?sQrR=mWik5574<42A5A#{yv&o&{c*ovIM9U9 za(TiM&NLla_Qm)?=Dg?k5rIc~fGBJ@dF0a?d(c7o&*-m`G)VZJmivKT89Kj>HVn0- ztlv}xtSid$^x!}<8iy|O%6qC0ZChj-yx@;{rTeol=;&`pIMxsm@v1`$YQr-IK2BOb zC&X;Yrvg{|8kmP^cm!SlrrZk^ihvjYbZ5-zziZD$drUBuvZk%R+9MIQ2uLX?G~Wfo zp6GilqM$siw_<67ABpU@!(xEI8ca$d`GIycgiYfl9n%cKW+@GS#MWAFcAI@}Ai_L2 zvWk{ATA0$r-x6em8<&WRHJ$_*_)zfT?NRq6$I1$oHK#+ixWkU#57JIa#+*t6q+FXa z445~P)3j0@LRkNFyrq}vUy9`*&sF|YJVeHSBESOGJz#{Ggo1{(&ux0+LqsLc6niR5 z2)N6M0koB;U$rqJ$7m~mDh!u&2PCx#wg?XZTDdzl2Roz?!27eM3%uq zZQpW;5Otn9&QvBkgVe~-s~d%dacmZmImIpyk#bsj6+)LkUOB*B_#3%}@iu9*%bf7* zdm{BF@&sHIU1j9mX2yJ})aUlF+tT9iiXd`saFrjr*(gREupbl-?A2rS=O^XJam8Ei zJ{toj_8s;RhCy07>fop`Z7AvyEAz`7%cOd5=JEr>R2rxfJASCUql=G25GJuH06KjS zg3~iz=pEsG?s1X*wqfBqIFX1SzadeHtQ2H?y11ntR3L*qvVy-q;kanh`7=XBYyc)6 z(OvpF@DGeZT?HiYEW{k%HM}J1wP5c7q{jt4VHVoT1>cHp-xQMyFPKpgB1*7kVDqzB zLq{u88waB(wU##fGtN({4>RS!P27eX!2qveu-^9?6AC9VE;AYvMoEaFHDj0yAoTg3 zDj=$Sa|02JGHXr(4bMg1Z00qc!3Ip!^Ce*6=peG>x#)fD=CJrp&hA=?^AFuLSYja` zFy&NqlVadUq!DG`m>-b_af&S_;^<(H<1=~KU@`Q*FyPK*6~;dm{p2|8F65PIos_igj<`)a1~=kr~fW) zs!+NXAu><5~k(RdIQ zHhbUfd{p{bx0CF^3ezVaOa;NL{)G?q=aR|MU2Bf|_)mL=NSWr-t)$9bc&4 z>BWiU9!5PjyO~o!vD+RBxwWhEFV}f!D6&F_QQDfX2FPkHY*in?REt zvH_20!NHL*TxAp|OAfrw;-ALtU5Q?1&cKd?5I}!&N8@pKk#Zd!^V1TpAH zy3JHgr27UA0)N{hCfZeh`z{LHjJu=nx%jP?@|F<>Z+{|mBHz{K8cGkfB?DIj}22dxAJ0bLF1S~8RnQfQnM zBv2cyqHT3Duu7k92B&Y@YqY)7-2Qw_v>1jpm=q@ty6;dcTT*b<9@=65KSmcy8(53X53@!b2EHLOx!5zuQ`{+;k!P$d+5Z2L*o(my3>f< zjN@+j+&c$Av+7b}@M9r4UDsMH8)un?D^-bagauCto?+gxeIFIBy7Mqbh^YJB?3-pb zj`4J4WLHGTnWkw1J+=xOw{XwntTs1}R7E4PJ0B{s>-C#Bw_;|^xq#=WwK)SZpefFDD#jssr^2d&Z&cWQ20DV$x65{>iN>*CvzuhU7ho*;aFqg>>(HY z_Nx)4^1C)f>JzOZ##z>%ixR&ELHcd64@AE83PpEz6{5ip*hdVt%{%^IdZ%^S-HU^8 zJ6qiK;jaYl7t}eS|5&u*XqMOJJDxX%(9lZyCTDLg4ssSdVLkF)tcnP%&&l`#ObSs1 zA!(IHiX7oM`JMP58Jyi!iMC05qhHj~h`M*rzm<61@=~pR|v3hb}C( zA9B_teL8V|(mq14>3BVBBe3`X$YZ=24szsc3lvT(=@yxCSpF60lGQ~)4v1`wAAe%@5~QX9HW-_yOOC zo_W-bKbSmFRojF=rwHfrpQsq@jBB^R2}9rRsJK6dw}XMAKw(K~UgGPmU;cZQxZ8%z zn}>mUGQj3=;ai{2asS!}JvAVy*SI(IjwTSO$Im5bybfj_+KDInV1|T$lhB$lt@wf5 znB_^;e?i`}7DLcfg!8K@+G5`>iOkjxIP5OnHM^tuU7lKF%S%Q+s*lq|KF{% zSZo&Wsrf~Wjbk%Qdc>z4&LrWo*#B+*U!4ila3A?;RfHau;uHU|FgPn1IA~)sZXJvx zI9!^GlQ=tA&YNJ?71{FwW(?-}XU>-r($ZWP`Gx-v#kYc^Z!Rp_`XYM;3D!7u7!^Mf z8#~99q&4ufmIX^ielO@;?lTDR1>JER!2VG;x@8QRuWH5!<}~iqy$a&L=@Dz)XJN4E z#rjm58i+vkz=u?P0ZiaJ1t)CzCiq8>)i9PXMReD)T3`FWJ7vP|;6u*9#1aslvqP_K zsd@S?idg#7+pZIAiI)~i09RIq!~!PK46zzIE0h?XiErc0<@s(uu@~(`oO-E{GG{yy z-mj`KSvr5>tn|vgaT+#f)d#z=7d00Ja!JK-gj|90coaO*P|>V0Th_hl6Wdj|#{+{)b)QE{KjqpNv=~ubft0 zn?aNC!(I%Sbw8L=3rIYP3MPF`IsY0nOjRiMz(loVK3fPMPI;eJnlma+I}qCywGi-MlM~ zkuw%3g->|CA2n$WCzIOs0J(M?&*!a)44j+?*2cc8p(M}twizrl$(7SbzCuZZJ@~wP zR)~z~B>#t3B~l6QT##}yh(KPxflc3j?0-%>Om{W}^ceI&X+bB>3mGzw7J?PR^GvrXP{y2EnZ&f>D%0k@a_`axnK+QIq?h=Yfsr}&r=-rm6cn)jLjl>~x4iDZ zXU;JGbEfGbISFE6ehwD17*{0(BKlk$&XuT&SUI;1Bt?Kl-Dtlf-qi=QGu|`KW5bu; z(cI;5z5;gd6-)_n#dJP{5-4d4NUmISUEeh#DKd*eYt|L`IkPfl@uWFi6T*+Fd`fsY zVXuJzj9BWAQ)g{|A1<6<{*cJ8zA|ykd5r0gqgZ)!ua+PMLdz6|4`q|)A4QiB)jPdt z$zTDWFY{9+K?$V=2>*BuC{_9$Wo87Kf+JND1`UU~5{=mxJq_8tD-4`u+_N3C48>&k zRSw3f6%iRR02P>wm^n)f){uZp4FL^(nlAa+(A~eTNEvX1_S@=q6ig%XKaDEcqG`G) zk>(>avA~mwv{Aq#A-G9KB0$IL(2L-h)>C_4A?PGV*rY||ZpAxALmvU;B`-&YP z=)mtVUDq#9?5d;~9d^P}9f%-1EoWogBa1^DYsJ0TDks`5N8z@P+x~C+u_KKt()y>r zXQu`1hV70CKX0V%d>{j!an8@SK+v-vr17H$CS4Da-4hm;hxTO~0RwOZ1)eJMa^=OvGA4!1yXf#msFqtCAFJOX`Vq9L(=J zy{ju>!Bq560l4XfcG4+lgP0QAv{+i>f&Nd%%kwK6$4VnNiob$Zsx@*Tj;KGB62Dn; zeP5ys&wNBl8fkkBNoQAp^EH=7UV>A#CCIn%H6JnU6X?{(4qS0a7w+P%x67sOc`^vD zTBHc9no62r%30Q77(rD@F^F>*>WnsSwR0PaJk0Wx7VE`Ul#)i|SSD{T7+BQBvJv>5 zB=h4r=VHKNp3?JBj6#L^qp2>dfd(_oWQ%yjw`+w0xwZ!8F&K_}Fms(7QHg6ouBUjZ z9OC;ih;GgF>42{0U=QuV5vGG5PTHO~cDcT1ZBC(P7Lp(n!^{VA5bE*zx(9##@Kpie zVjw~S+qx>CLkY{2g5S1(QIT^=$NZGQ!BP$E%e1tyNf@RQ|7|&+k*hEjyWChx*No}? zC4KE~pxL|ar(d&2p8n%jStwUDfyQYn)M#I4l@nx#Q1M%lhtMa|?(y%9D(ou*-j+}A?$)dO)2rz7?x!8^`2+Zq+ zESpnPgOO zmbe3XtT9OsAdl$S$FeyB=RWY2XFd)A`a;7&u03Klh48l9dURtEu{(6171!aAw2LLyE(K#mDP zf!wxe+5rx0D!1Z}cYB)Xw_(IldSJ99$kCgz0f;*@iLY=&`p{Cz+SyL_hDQfy+S$_$ zFAb+3nr-T*FhMFT%@7|aV zGHCH1EJ;xs*~Af2s1pc4z*iTsl~)!;`z<`q-ae3U%+0ZJR2NCgb4VT=sY}k#^WrHI zH9#JmLi(xrvn^Y?fvVmQP*oWo)MywS|!?RGo>-+JU`=Qwa&QkKj&Hm1(&6p5>$Udtgl*dzR%%^)yp}T-K z=Z>V2)4)}^Rko)yRNN#|y@QLMuL$X1kGkEle=8vgW)F$S1hqbkP*J0WAV?#$m7srC z@zpx2Ya+s9Ed^DBlLe1LlJ%0tPLn8Vt7{^qeo9tWvTs@rzMd4Dq2DvpTx;gmT52AN zRNzN9$na1oYpyFWtx6{9QdY-?l4Wn&wHfqqSYYBLdpZO4KF`hhu}6fJJ>%w%%#_Vg+sIIu&!PbJ*-37IRG@PaVS__hCeD`c!7 zJp>QM6L5!|Ozl|5aZ2*U>ZA<0aZ|?kymoxG4nEK7A)J`ox*G9gi>lV}gA5inW~+t6 zQxdATH6#A}3{B=cO0HgFPdh325{!$uf5*1o0y5$CFG^OQkkG$6FW4-p4k#4ro#K2$ z$l+N-j^#FGR-@|JO;_mSFuz$+>lHW4s~;kjRn0{Q2P$V2E#~T%DoiDYmMSoHFvV0R zvUUZdf002>4WMw9??x!AI1gc1IrWm1_leBPx4qV1MB-PUMO~#Aa!Nyc`v{h@z3xj` z4r3KnI{N=3ROL2c?V$vZm-x*0_Akd!o1dq3t^pCdB+bStXOv2<(J?#JVLEW8Oj1{z zrCTG8xhDX>oT<~_8iLVXp}eHbT_<8EqEsd1n-Pq&(vJ9Aj>VZp zm7C1~pFn6z^-gK3Rkw>pGDsP>&{EqYT0N<%@^uCztDG3glRI9pX13UdEW8mbQhHXs zYm($2se5brD1`u^dBf^&%Ttp9VYOQ`@zw!ZW${(1>TAb1c|I`CmLtbnrn`<8YBunx zcY&|2_Xc71=}Af6VR%%g6I6vN6P8=SdrCtxQ8YyCw52{#1Qy~-7tBTZXR{3NazCc4 zv`CK!_bVAG8M=;tddwf^&vOU-NYQ}2DYwn-wUA>6tqS(ESo%8eQUv`BbYZ1B4ZG)U zKI~7sv%!QC4Aa`n5Zy*BtyuNxe3NAj+&I;et56B1WNI8Mp*eUNJAyP**8yfk`km ziQheLF0NJ8@$aQ(@P<6lTZ50QAVQq z(23Q{TNJfwRvi{pnP=!b#30UM1573p($GGQwIk3LDdB1v^J1KN^D6n}mHn#=Nfq&u z?8CqB&yFG^>MJM}2ouT+ht%8l$ANlRHYJHQbl7vj9ezZgERx?^Lsm+oH7vTZ^wj4s6{-kKHXZSHIru|F9wSet+TM@8r7K;AguR z|2XftRv!wd^M=>-L-7;vr$pELUZ5=Zz5ROZvxpd6@Ji^3Rqdq#7hmbAyJ<$^Wq}_Y z<-;YuzxL$VphMs1q2B2u#JTs94b(q+!1i5%r!1)HcS_^vgG-Ijuh=#eRU9W}74tHZ z6xTXyHheGV@No5{<_Rlq^uDVL!HSV%8y5k)8qO0F#q(K!PN@ctP&5OC@1xx%=r4z9 z7I=tXdJP&ly&1JFO!1-WgTF3vQ&gG@(0uXu)K4~KB(r~bxc<>f&QBxepGI&9vqfTs zjFlVU45EeEh%iBG!H{M#j+Q7<{jCO4Y_7*`Ean8M{)q64^Mh1?hQFB7E@Wn@ZqH*; z4X&3*i{pKoo|lh|-wZ(k8t+A$pQ{iv3`&jxK0c|^*b^5;i^)t$ok`8+o_is=4|r3? zvar!&ipjJw80)Vz0BF13agVUr?mNX``l(nFAGLEIhW_XNauMnxHyhm@*Hi-&1^->d4A5446xVwin#m^vYqfcs$;ZW7ls_?1pg4q z9(93gry>=XStPbK%Ep0eM2ePsgSHWNU`i-^X@6Ebm zAn-AIcuVEScc0~F{-fM9H?E(5`QCSoFra_3xwoFO0(80Luxdwa ze9!G)qlvbA{_>9zJr28+yc;5HFMbv-{WcFeFa%~^91Qw|^}g``n0)&o^e}js=il+& zHujm7ORtO8w|>hWpV6iRCm9Ak@WlJFn(J%+Ew97=>+eN{N)enuc@`|`jMd{u^s@Rd z@zl#_6nUgL$dPE7a~z+^_R}&;k4weo?5H#AJNm@RnMX7Xw+WkaB~kqolFtXr9m(=@ zqK~|9pBGIL&Nu-wexn*e3Lkho^-Vo9w4%5$Ry!gW$#;KC`<^S;Z6#!@%`tqv81HmCeqq#+0MpfcfVyuDV^_ zHi9CY=T?&kG6e0? zy5uK@yTm3@*kRL=nV7LJcKjO{?@`CWTgx;^hHl%oG(~aP%dw-f^ z3;8{nnQ!(2)}fq>!$o2IW87|Rci%eOJI8$QbshEkO5^@qY4F}>=9D>^0(@2$Ly6aD zF@pYF`?r5p&j`nS*ihu)4;_YdDCG!(-G6cAgTFtNdmWL%>S>=y6(r=vuTJoemOX7p zqmy|u7TlOwsncz{;_;Pw4t7T$^C!@6|L8jA_WgM5M4A;D`jQGJeAu5E2)nf(8AMWe%K(!jg(E56B z#&9t2Z5OLz-W2?Z1<} zpD%l;kkb?rQUx**tp1)+x7%Mx-RCO>*6+UkzQ+szJ_DDJ4Se$OhIcA-PM@AFA+776 zgJs!AYE&po3a}^nY%hz9RKnm*m6`>FfnmZb_oB6x@*M6L_e}PS3Fd6Nk_iCG0 zbCesnoy?+ahn>>nv!M~73p`$pC+~V&*Oj2!7VWI3tC#m$I86o1dj_i7;AJ(I-o%Pd zR>_yUi=}EMGh0 z{0GLn)61{UFVV;!CJR*@_1mwM60h$K-<+PM@`_zt-%iwf3X#| zLyv!-x&U+-sS=0Mq!F!>*Hla@?Piy-YK@zCK6&WslF`-p%5sDGt60Ph8?P8M(?QmXO?r zxRP4eTz+bu6lRy>wI6(nk?0M2>!Z@i5p&Ksm)Ryl-aMVbN<@L&sWb~1RENNfmnIgX zkIUi|DgfudadL}ilQ_U&y!Gdv@A4Wjmz}yhmJ@0vaR0SiYC%htDwtgQDT#ujGaWTe z!>O-Tj)rE_^+ynH8n~ir`A>I8Coc4vUBa-cHK3fV8zGg>Hm(Zw9L6NNa^>;jkXbZ% zHuAX;Bn1%}Fa%M+62Jo~wn>i#mD%_?s{C!fz9;2_^Mcm}^w2Qk@COB8G_LJFyWg9; zI0_EoPqR&kcoBX=yVcK#n+x`p%W-)j10zYv*-ah{Xyn={S-rK##-aF?MU z-Tx4@1W~L9DG{PG$N<*Fw$haT5yO}2an?B#$Z7q!pj&4tw?n>pwqS+Is#p37WhjK&0;3j?SCM1As@{N&$xo?&FZOD9z# zO#lnrqRpHDlBg((nB+4mkWN?@>afD0E0DA;Fxp3Q_Z2;NpZt%>9Z$k2(E8wbw|{uFi9-5iAJKh z^GU;_Saq4r+62w4w7no^Vb!mJVwiE^=#~Oj*L&hWC@gI8gYGA3K#j~h@puB#7IKq2 z;M*D04`&sOHvq>85G3Id#@y&Yoa152K%VC}PsP;bx-3^w&*9`-^M@g3FuDYE_ZwY; zNV+w?H5EM-N0}N=^9)qZ@8=tz9sP4;ZDiC4K!%8>Yd9EC<$>A==hS0dPH7&>|8aK`Xl~s z$nr;|0k4|b-Ybp!d}JT~Hc;%N<*!j!d^BcA$Lyiy>alUni}<-X^N36)bA6kdz*)bq30T4{92k!H`Zw>nF zSygXc@vK#71(|&H;#j||1-g;CTzdAZRAL_Fg`e(bqBM5LdZ&;6-entMNK)>Av|tB!mrhEN9^7>El)~Qe_U4;uuq3>6I4;TB&&Td-lNNA$v2~0H zRJA>cZksibh~Y57Kd7~!J*5w0xO*t38aVE{@P_FEKSCs9&@Sb=Yw1@SIai(LP{=kP zJ=*AEeob$C3{qB2{{lIY^uo=jGxuo-ryPGJCk|Wt1|{2Fb?wM%ViGBr>@g9>PE{gn z?A=YOf+YcPE7M~c`19e+Qe3KTWJ9VKgt>;u7#X<$k;HavA7q_yWj9ku`{WKR@tKB{|YC_O=LezvBms zlRc2Tb;nQw>*YmO4rF2F*|I>JjQ}(o@%bDD55^+H??*WC9jw&Z6 zR0te(IZs_bacge3!O=dy4t91=sPxE12zI=I@AbLg(ih)#;SGFW_;f*SWN7iqDOeUq z74=dh{#-CLJmpm0dzbA&O5Ssc`^}wM!#|=<%l4=xNf22s?0(g{Cdn|ooXvAKiY)0! zfHzN2n%jzyT6#pvw=AvH}y z_6_+35t+S3@#JKv_$*b)!N@;L>BP|Hs5)U1ocE@-dZ0`rw%m`4pbLk}(GKI{Q;<&eUTU`t|k(z$WOSfXFr+Q9TG?1aQL)LwI!W|u{B+jg}+MrbInG$%{RhX#mz;+Z*Eb~ZKmEdoqn!N7&RAG*o(F}Ggp-xou z2cEVHQZs{us6SJW4x^M^0lu4g-`mE6<}|9DndoBZ@ca9R+~ z$^eLx7-2Wt2N^J$PFXlEt8m)#u5V~(yLkd1n>RPW6*SoDKkbxlxjURyK+|kdmxEyS z$ds*;oOi7;js9yqb)d~3brAbDZ3ud5bMm-4&57ke;i>KYbw<7CG4+A6SQ*c3^?jW> zM~19vyA#_bpe)5B4jgR+sg0duyqf~U>O(@e7`IZ5Lmc%J(J_&pu1BWt2IDuANm!L& zD$ZoE#Ep0rJ7o_eYapb(iNLKu$LvQ{oVa2TIVWoSvJ1yS5_$kldNJrE1rvFsA7+sYUW>H6_PT6}$gzEr zg;s0LWM;&sVHeIu*Cc&=7(FbdKP6iW?Fd5#1`M4bod!J)znL_1AiJoKVdDq?b_ZmR zQ<Ofdl< zCbM$*?DDyShLq8Tij9}GAT>F#YJEJWP-mC$NMdQkZF@L|w8Blgoz&dOz%Jy$k>BTj zEA*VQ4H)v21D)Xz`h(s}zZiPzm%K*IM)iD+;;2DI(5@)aC9d{9wz-6R$1=QL7^9_R z-cJ_%Hl~oi5)^Qzq3ZChf+}IoewdsyKCTaA+eie(=K8cDj;MCJtr4lUQ*oW46bKq~ zO&cFmCvd|gZuz`ltL_AoIKuPLa(t9o^m1aIkXTNoyt?%QsR=6S?LkIYcXlIBRuFPS zu3{3|RlkufJ8E`BH+ip$T=K{F2rWpvjbZx7VUJ7Kycj1?r%Fl@v>SM6P`EYwwN+o5 zGH#6{#zg4HHGj(v^t6%CJS8JY*TdNcm>?}EVetio6|eGpNv}G4N}nus6{{yTFoh6F9E@rB zUKfN#z(IN-i}Yf#j38vQY|1VP?s@5<;V)lF$)`e*hTSBI&#UpA%n%nc{9`pY8(MZX zJd`tQcAGA`Ukbw@o7u90GZ$brZVfs`hP=n3%VJH1&g1!4ZYo1%?=W3l*=YkYxDWi> zu{d+BK#ZJ|%(jBnJnyH9Og3SmxwNX&AY!oa{&ciau9i|K{#6WR=gfgzx@IE7^{^?j z)TS586docc{Eo@Kf>ox`f$UTk!p37`?*5Y-Za{SoMjwC1Y(HZqpy9yVZnX?q^++9F zb_+0ZK*J6u&BqkvmD)exDme{|6RioRY0hqI6YQ~KHHR}Lmf8{sVV)s&b^KkK-NjZ3 zPwz6h7Cg6MQE7LZ1{EuO1>{4h!@Oq|1!zahEKS&oZPO^ln)!R+TR zXAX^QN@+vOe5a>VVx&;$)GCoy^@8J#{ns5rg_FToU>R1lO#B79MWjjuJ3e3}W5BvK z$`m*ZBfdW>nulKU<)COXfE%v`v)x^SY(Ar5ffVoz?Y&Bngytc-fK8K*%5}yKn7tAu zn`fy5Vo*pDrNz=jKTB(zkBglQLRDhm;=&gV_gN4}ulbc8%b)~gtC#i|mn(38YB z^n*b8z#+*N?$v6-1Z$)#>Vg<36++WnFy(#gKgUE8I(|YM=fd5Hug~czRz#Kk9J&A| z&7?2G!wde81mtzb{vuPGPV%L2;rl~F{jlG!b`Kf$*})=#;6xcdS;o*xN@DvQ|M8zJv_s|PyGYA!Xn}n2Pp>#$rz9JL_jDqYdi?eu9sr?0n z5JsPkB96)}w8WG4mKBAS`Awsnnk+Zd#Sko+{{0=R(Gho+@v6`FnDB1KCZLZ(l@M^~xk&>$_4t<-KMPgWU2hH1^$GPHS%|4Yy<3cV_nnyJT zo9j>JJWCUXS*C;PAoh|QjW$c7sxWg&STa~7XFG#FL@*3xHZ}rNIem*9hAH!gNn%h% zC&a`NdOU_S=a!#v<^f94WN{lTWa}RumLi$aLoyzWh%6=!;*#B`N4uE0l8xdV9f`QB zBUuI-+lFjYm8i@guf-&s=3tWIVi1jg7?VxXHt2cAR=L<2cxH(p72@(j|l4gA2)j$#_| zliDe_VH&!AD(pBHU`01%sE71WgyuE+w0A@;96Q&Xy*4a>#1vm7QI62I1y;5q_)hkN zDa<>A&vj|37&*%48@Efw{#D}3j4D4Q2B(^^8?E8B;qWP0L0SeJ%6$V4;u}^p(LujX z{1AJ83kz(y1Z%leKK}vL)N@!23B)x+8Ye=ZD?EKC3y^?tD5T{QI^l)xLq!AH#B@A! zQbQIgcGa%q(!7K^!^4C^A#;$5Fk#UbbEV~d0=nl?Z)v^dUtB`gS@Fgk(Axn&{Z%P2 z4Jz|jm}P~7m_A8~Qg0}Kf{eIxQmx4X?h%to3Dx1Od@ zaZkSLRN^*n3wCYC;nPyIkkTGKj9Y_LJzelp;w5JfQ%*J3CpPCg|G+Lx5U7s+z1r{1 zDmKB(h*W^E$E+}p1t&ulqh9fu@zU3mNcz}^ep!~0$c^H zq2*)tx){v34#j*vtdI^>=-ioes}oq`CgWV*i|Oj&kYq-)_D!YXkAeM2d)!tZ63!1> zTo?&tkeCy!7+K=UHUHdGKDAq{Yks@k&8%y7)5B@LZ#I1o|lkNoaL2@T&3#l$+lWRl}8&(8*2Ef>1FVaqz;(0C1z#&0# z(BBMTAJH7qG}PPq;Pdi1M|py;!>l_nTCp3{!qN3Yo{x{uV0nNm#`m4LK>k2#l9Ut5 zEi-w;Crm+%9M+t|euYqp>oInZ_=H*~DOjn^V(A6v`=SK+2RBXIKIsq$t#gnJiw2iWMp9`Xuh5+o$D}IC5|5fa&GcpDCM)M zY-+mRQ;C-!%LU2ml|todBuk+Bx>EnPbTfwFnu&rfz^M1cuqXaK#N91TlY7MW@k3{y4%@+4TS5AeRlr`?)oom4ulEM{(;AM1+B*F7!w-OA0M*+i>fP6c=Mu+NyPJ0 z6S%O)QcI8mXWhgFg2^9XY-j!KMU=5h*k)Jt6>Sj>lFqagZ;N5N)Y@;}F0i&*!*pPT z=%ZO!irW;Ap1Yr|h#Yt`eqDA0b!iV1DJpQT5VcqM7EB@Xx!2`gBs37susg$W;FA-~ zPCc_DMRLC&{z*Ix496kU$LkADq(6oy&$)x25n|2e636#>yG1^ZXO)=p;@2N{o)%6k zD)edHMt8cY9~H|Mn!si`zKx)q7&T8oQ)*b3!AwY8aq&6MO9%ajI1l4D7{KGt1 zpe~ptLHy?=IxalIKbuG8Y(aUB=e69fr2%kwQDvGu`b{*BKMk4+EKcc5*rj-}R$&Iw z_>i^uz!o4uex$K>=l5`Pi*ghl7BEM*nBM__R^!Ws|Wp% z1VKB+R25(q7!~hkjypfDHxcxg&p7bRmHS&TX2`SWS%4L&;A%1ve;>Z~Kae?SG45Fm zxR{S3y4@MEd34h2f`?46hmw>;?&F|cBdio;2w7k|@sPiTZ$ z3wErePNjfhs2&qw97CJwW`R!Mh_&lVa1nKwC^hK+p|vX?mDorODh0<(6WT=VTSm{k zC$3(Ki5gH1F*Qm2FY_=!xVTFK2ssoWQ&=aVM-?-M1tanZ*ap$jBlq7njx2cLEySSI zaPtZr8!p8MKV@f7X2=});&CJa=ca*f3ne{Nwyh)kS66cBY~P4+b~(FMYxP#I0SvR~ z#2NXmv^TT7>gM+zQNcaoXfZJmFv2xxV%%n|x=PpyAmag|{(3&-j|Y}Az;dd093NtW80Dw&a8AX3 z?{cR^Z;r@$%XuKhpKa9QXQMMIenJHt)t}#pA4i*URD}FW9|qaRqd%Qnc)`opY;^MT z;Q_s9n5)S1mY8-2UpNW$t0P|ps#2qWS8@hyB1svHhe}e~LiTpYw_t^T-)CTFUIfxZ zoh&ts)Q@oE5%M1oy?9@a_!zzaKW(MMGX@1lAe zL=f%{{}CTk>VJv1)tv|L(^a#G`(@Ke`71jS@~<_2?re-n7%Hor@#}>2ZKG3BBWx>1 zloO`hs{thOBfVsIAz_!L9YRpq+)o2UcI(t}oJkW~4k8w#N)mlZPFd#?PHwuwvQlz_ zT0Bz<9DV9k)8?3UB!%60t7WzYOn+5YVZ}SBaVuhr7CQal#fT zML(7#Go7%0x1%r?Hs3(E^l%pubAoI25Lr&>+KvL)%)2c+QUn+K>zS@A{1#tdE{~YD zmpK1?!UhI_`NJ1{pv%Cwml5bqbZC(bCoT{0c$U);uymmg%l-iY}J-rLI3k{(RYl4Uq9~5&7Wnk)AJ$T$&aQt{bUDGq1U{e`&+c#D- z{u}~UkdLHj z)A4!t!2fNtR#El+q2w$6+MmIT&(vxs-q=k>nBcX1X^#fwVUBb?Dm5bCyX~}-Cc>U< z2(h`ICDj^J6$$mD%Pp}O3SvVbm5^HceBW+8)OLjL#iMzIN2_t9)B3dZQ@AU|LtzH_ z2s<>lqgL_OT2BolkKq@Feo#?Pc{GR4>Vtn5+gA!dp3h&rmqD)E-mZ9m2tk9}6PM)& z-l1Tyu!hni^yTk+W>#`T361dB%Ol!JTx(h*80m4_w&ZVwh)d4jwSsh7Pn;Kd$|zrq zE5hyvi)ogvN;bi?CgEhGP10@d2p@4ewj)ntyInph3*USFt|8uU_PT+4*&rWo&Sy-Z zf4_v8$KY`NVEqKe8T|d{&t3@+{qy-hv;tX*)7APn4`Wt6*y0FT7$ha7i;K!4EU@d6 z;ZR~}y$MljFdX`23A}U-!*!cm7Zhn?_m(xR$u^@ph^uL&txR45FbqkwiqdArDCCMPoDW z%V5T)Ht$r++Qz3#E4g$%6vY~KnJLN7;B1v*QGmAw+w6O4mm81DE-vCS@o2ep(bMx{ zH50H?LfWHxA02VAkFpLmWg}B?l8c=%-?@R@0>lCs06(AXyC|t7*lrhV06j z{Tz-D<#@Yp;A|DZSjr(%Jtyd>s`#~d%_D&JfN5uYd8OCVgGc<>M!#{J@Btveu7}IE z&(&Ig!lemXjSvuhiSWf7l(?`$!K!C^ax8*^wSkl!i3*}XT(+}Iu%Obl6R4zulno{1 z)Dl(yZ4^1o5nM7*nr!?gV$1A+#hlXIZ$XU4!l3zGT3$2T6vF*(EI%`D6={EX+0>?x z2-8MO=)3%{aWW`dZ)4-RxP+X$Aydct@ZA#cWSk0r;88hU&AabvN_AqeZdsM&+T!!9>;gA|0oz`nh$$&+q0=UM;%? z2sYD#u(k#%X$<&8%2CFh31F_m1aV{x=hYMlq(+TsOcmwytbG#Qg1zoOvy13au+USK z6PLpW&?aJY(0gKY+VFT$h2nbD9jk+nRMh1>pM%lTrY`T6O7bg#BWC7-zdDG{ zvbs-oCM`hq`o6U9PbioAJOXdSDaHxY-`w9Pp}_=8ND3-W{DXTLztvY7?QXdq&uj4d z@o60*b|ISLTzEDY!gfiD7mxD`RpFu3%7$%Y^!Sb(s-UZ%ijV1i@cW{bA>5QL;CGs( zUO*6C>LN$jiqX51n>(pAG7eF)nSUjw2(;@81P9_(iK$^MojuXeA=AHmWgIe#Fxw^q zIRCJ)#Q?Bcs-SYv0?*k*laZs=NsMFGm(tj<$e0%?LzOl{Fbp(8j(f0d<_61V;N5JcRtFo2LQsbV#H;7~=}(0HnSt(KD)H;V0u7lRX(2><#n(2n>%3Z$k zOU6%U!wm{Lf%dK3C0gZ$lZm~IF5NNk*}*@@Rs@Y~!M0$J^`~N->?s?_gY<30K{}3F zgZ9$4V=*9g8Jhd#P*yRi!D5sJ%|^re zGZeXIwmv$ZbdhOG>LCdk_n{uGJI6?Pm8T)%lBpXp|Az+HkCEv9iw2gr*ng<+p|!(U z5}!L~I3Bu@In6coRRjU76c@e5mmZu=@a9jWDpzE1zQl-3O?GcfJ zy7Dz*ng4#;RazZ&`3~!^9d^LUgl1*V^7ne7^KNHrVf#tMEF|boIv08SRdFk7OrZ@$ z2H};W4KetZq)a-)6h~IiRsj4rb`fUwaA9U3ADFa%XFMcW_%2c>jD#DJS8hr*yqA%u zZZJP4*(YRWuS%p(WfhpoG=^4<#eDwHTUf#!)7XNx10u#s8Fio~4+)#vuHqFl`z#V1 zdnI9h@zO$4AbVGBt@(A3pW}#;>X7o(T=_V(yPUj4Hp4^bQRh+Ip@k;tO<~)ybA^Ui zQ=KJM>fd26&612{=}=hbMsNBd1^SWARAdjxaOm1AJYa7c6pipXHPNVRM= z5U{X>f1|5pzevT%hT})V`EDc+^TX*LH`55)5JxVJ>^}j+0vQ-Ec25dv=YBqj3ef$n z^$9E2oF^h!{yW%T{6RbSn5fJB>r&oaQ=-6*UL(*LbRi-Tj+{)lGz>rU&GNdfy)mAlpNEn{{7( zKOwpV(tQw+?qPs*-`u;GVGE>t0l9NMUO8UXJ+#t)sK6nEH6hHxrIo6zskb<5tI~8) ziQhw;RrUO$xJ`>jmA4$)s7V+Fvm%dy<9p&Tn^9ALihyv?<0|j9rB|h^XoR{8@lgfp=$iv25~91NHE}1@}bG$G^(j9))dM-d@*mEx1adx(o)ZX=3_(H?G+9GTI(JOr|Ka>;iPQzea^Rk*6u2j1 zXE%kXt2_-37IQ9bK3#Ay{8+X7okb+h$}ft$@2ekn^n>S_1JXi-SDaT>(cRLgGCAq5 zy}4BMsYDRlFU=&SYl{Z;(l#PLB3mI4;){S@AXsDx3}!g6^dBNP)qdul|p_oI78=KReR6eIg+uKqO!NFAdZ);XSDyXIv$ja&=~ra@TvdizHU-sdQPA zj=`Ep&(n_Y&Q7*OXkI#XnaAG+ta#nbUm0zvz!B1@+8a#(qln9J?7&>YnWI(PGYV3ezoa#t(r?UTRMS%)wJ+3aP-)G z5w!@^sQvNZS^V|j;UJhrO*3-)*Fe+`-Fj^ zWjo&SMB+TPxJpROg}(ikhU44kR7hl^l9gQ3_|)H=`FZT}%dZ0bA3u*vC#SBcjpkU2 zr-ov*xB#Dmtga^gpBDyxV*GYR2qk^@o^h|e_n%Mq*F$+NMjPZ0l1r8k zI(%6(-jLPs18cCKQyd_6aJp&!0?P?JoE67HDAH8j;V~sBDy#S7t5~8*;?v}aLp{Zl zBzW>EGUG`rwg)XSua$YM9h^0d4k-dpWpo7Ku8NDd;vni~?Hx=E90V?7<2%3|=iS(g za8GUuYxr3(3G@_LDF#o7PgLC+xXvlkCcKw6m)=?1vsX^nP3a|K1KgabsSN8u zVXDn3oj^a@H_N7gl~aFN^?Exrx5={9`{A*XcZ`?}r2Uq0^?pS#kA|8eq$~tWL8{m< z%JKq3x;Lrd+-~MY@NbVJ+l%!ozG~jE>9%$Ir2IyNDc2Pk*wbAEqm5dLhJUH;jri6O z7^dyMyepGcq3k_dZkK=7}@_kzK+$1;X=N(dD?t9y;K6$*EcW^}KM%!Pd$CFwL&XNvan z#!G~rm>-nq$zUYc$^9OKwD^#tzlyNLeolGW^oE2r5hMC@@PkKoJMIqv$b+%WDA1X$ zT5&91hg0YDqwVx**!a;@Exjy6C-~H~m}Z?93(cH!cD<=V0GC6|_Lm6JAj!G}%F4Rt zvg|1p(d5vK213D@VN&fP0I^l_TfQZ`7YtYajzu;#@>a%k_O|V1442NNo4IUgUc=%3 z-}#m6vCcD(SZsIPnyQy(yR8YgEZM&|R!*J5f<^m0ibPBAVYOQy#to&mXrqhN?p(5; zEOQZ#DmcC=IAr5Adn5rfCPLDtw7!TIKA!)o`>>2;eXYruj81`i)>RQT{!rt~dD1HY zb^NpnBRTGwAvVko6Pf%gxcSGHP2xCfs#%hhcFmYTc_D7LiPzAEE>D(%&O8%dv|eDe zMG`{AI#H4jZc{^=E|9xobn25K>5v11sfYvPCv3D^aWq2ITebfgk`Vg0QkBakby8V? zzHlH76H78#SYHH=49Txbl@9(I6|0Pkokf~C0UFj5STeAgGu#obQP1goA#-+KBdkjE znIvGguasL+-;|r&&&rbiOASOtXERug@^H$wa?kdaUK|1jipo+>C6X)hyd`)c^hixd z?xP$^-QeT!wqMI={*l|+;xq4q_0&<%z4hX$Z{H%)th?|Zs`|Pk3pQJ*3u`L&+`+G) zS)Eb+ImXPvjYI{SCPzz|lLZ(AYOIgwTUwh!?I#nr4G+GiP!)Iare0=^<%d z*U9pOV``|=`tDSKtA4+`$pkWEi#3vV9RfZ(;{!N76cRgx(dZ&v9z+dFtAh2uuPg^ zvh%G&`*Ypc#sTrDQdqEHNYX%$f#~kdahI>2(G82JgAub}^lHLDWY7^MwAYi^2)&C5 zi3T<({7klmB4q~dJ4PcWWWFM!C}2o8ZLnb`plOhWt2Sce!j4(hRs~eaQpKTgj%8;C zvtLX;!sS~fnb2h`BPhFTWpW9o!FXV}eeRmxyzv=(YXb6o8iCa~!yl`0oDy6cjVW?0 z6^XroExmA)tSpV4%ce@UUWPJcqT&k-U7R{jkQi%a&g$Ypvl$i1NV$>?dH z!Px5f=Ii2+&$P37${O59?$97)eoXtC9>5e78UDfk$(WoXc1!5DAk6gNg?`rmS?Fix zWM=w*WB-)5UJZU*^l=BMZ|J@IpWJIn$)eX5U?IU%>i{~INR1ZHSK zQcjP!TvM-1c|1Kn9+8lS`Pq%;r&?wmFW+M+&CGt)sKsm^qIKjA+IDhRyZCOqd~CQ3 zJU{H8_`2TQ&$#s9>|a-Y=`6qHbkq=>b=H0l_kD8zw_^Pj zhxRAr7d905psviOOJv{tM%-~tp*LbIf<&l@ZY#i=yOA~ud zgZ2EJ@@OmReZrwE7yavyBjcJkHG=Oc>?P7%)&^9}bOeD)Vx-tCA;gr9fE=leG|SFf#nUUxLU_bBjJ zr?~vwLa6%hS7s-VZaF{L?ZB^VVjp({uRpJLkN;fr|7hduy1zf_AG<%mSnjyxsQ>sp zIA@unbM5wT@Nn+*z_{)s;CFv}#;zQA>P_U0RdObnAmMKlpG3&W2A;zPq?u(Vba(BgVMTYMX@M)Dp%E{SUXTLI4FU}LmGyBrZ+WDQ)=7z>| zKLo$>c*Rlo@pAMNYXF#4Lk7ypX&}{Vf5$dOG@Gw>z;^X3 zc%-m9I*uT}33~OZQao@m(v4mAd3`2A_ z0;Peww<=&alYMnvk=_4j>zsSIp>67?>CCE_(D#PALIvz#8ghW*Y1(OHNX$%g?Yvsv z0>9cB_jDwugDDuJ<)SBA_NselBt4Z1M4lXs2>%cL3k4eM4(sE<#BT9|7u_}P;oU|mSDaMTc;6RT3_OC0^sTH!p8H0PK)m)Z*D8UXKRyI36nI|!B>-YSn> zImngXzEU}FhEI-Xq&3Ixs(0KtdlfPCZk#L-kQZd2R*XaA)uFA&c_2$bnQg?kVkF{& zWxJV6tqhST3^PF67!Wa2U1mF)$*0OQ;*J-K@ZzwF2qm6J0*x+(rJIMY{Ol@Q{7<(g zu=^?o!J`JGL2iZgK=c3>U8oe$FB&W!^+QU`d>Q(95FK`^pvWmI6;$r10)5RboB!Zn zV^D|tccITK97m65=YUA@aPEH0^xz_rU+W6xNx=+yKB+hdHAg28@FS(mIX3@3^iM8p zxt}UEr3nC(1no{W2EcAJthg8+HF!oJ-Ofo4!)1Xl(k`rK&Z<)UtB0BySRlx(pQ`ER z1Pnlsf9+{BYi10BlqkghFOVSDsg^QJlkoxI6h~kjL(kB8TsV0x{sZF%whCxUaKN+i zbZkhe)6BmSc$d-8c{;Ref~8shOBFy>a}HD^c!W8}tnP)r#2hwz|OHjx$mL{#{rOp^z?E;M#hivy!Q@ zT#gsyI!)$+?DQ_2T%ZM$ni>&%3qj_g!iY%WJ;A`U16SZd)n4ju^q8zcGK~XP%9#Q- zRguivfL|Fx5qtZbApI(-r5JA>>@Upi-FQ#O@q$% z%n`jAjc?%L>=cvC>#Tn|O#b^_`mG;`P6EqSuQddAyLxO$X~VGN&i#n20lU8AEcpcY zQrt!g=tiRbH%f-z(7Sc+;fqXVI_|KJ@EV2)#>M=~NgICDHIS17-H61%oNiqv9B467 zebd7b(S{x4i^`N~(JkPJdmwLOr&J#Pg#$9dBoq;eL_&cmhDdWenFp6)Iw|*5hj_GO zTTTxyX>RLo%;^i0saHogdJFFn*Tiq}AGUU`GTU7&zdVt0odsfg`G(QPMD;g7sk)*` z_1hUHi|9aQP7S}d+(5V>-nWeq=cRJbWe~9o#Q|%Gv_W6|lD@GTG#A@%*Y~Z&JKjg0 zAjKxY|Azz@@WBw`wJXGdCZfd&ZVY$++{x=83kq$mLbLrDIGxT-P4^y+qAYn_9)Jvj zO(6-*CzWLG9+tQuSz=}_(rb4{4(6_z1T8aBUjphgKsMV8j#@@cz)}s1pNp|WP-~rz zW-(LOXNkibJcrRbgWpf7g&|c)qvmha~Ve>~Kh1Gf6f+ zV;nM^)=bi-ArXo(DGL#igaMHwA0r~u76`61KNB3Z`vykCPGYX*Zh|op~1KnbhQio46sEGeLPdRB$Okv*AT|A}47a zh{Rd*OS2wIuD;mpHxH^=6WE-qEf847GXZ=FVeb8t&r!ws zGsxkJz?<(*PtGTP`NcbuN7=3uER+U@<)Mazbv-~ZjK%Mp8BY>X(!zn-nB)m$_Ta4R zS{@R2<_GlDX3IV27sz4^AR2NLMQUCb^Dg_hbSVsnpQU5&@D7+{J0?-m7h7;4sO}R@ z_`nq2Kr**cPg41b&zC&F_?7n=6XP?v>C4*iigl==kQq~f=~7euEVflnEYIlvDPqaw3=#4AMZ(3(Agr8;#-^}JQ__CQ`&;wAB#l%8Bwk2urV~EjxsrR3qV&x@QVA}vfc{FB51H==>~E#kH3Px;)LJYF zWGQYgtHvk!a8%rBJE=83rdM{AoLM5P7e3Q3NpkNrznT7m$u_o z(Td+5d^56+n9#`MUiAin0oP#3gS)P;T;GqP>mR=6-26Fi`7$LIm#(|~Ec7>Ol6J`S zsibMHQf|kWE%0p1-8fSyHGp~do7Yfw-e2t?9Uvr-`NA&xKg_)-Psn1L=dr&Z#qeJs zUan&cGkHe0)c5)Z=iPYK_sM1d@?EFUitHRUp)&{cSGH+JvZ2wK14Wj6I~7FV)K9W? z=ie=V>yy*sJmSF2@<0(e)pbDGdlUL+4L1bc`lwNmVf-0_{%!J?LM6smti36@! zhX-#eLuPg{kPNIkuI(tSuH7V`ihJY?f#2Yb6DwM?Y00wN;)uu)VPG04vitJB36MGC zglh31?rQ6tLsU5T-%F})!q_JdR#qaRhM+DV*<3&~GHyXab=|CWwog~y z<;ar|5*?c=jJro3tM7rtF(qANgy7fw${ySeVR$qZopMV!%8||09AdQf>{j9U1tY@k z=uml^0aTj})rQ0sjVVFFB;OxOjmt?^XV&NMl0n@wFeH%k7r9VU#>@lsaX>(j^(6PejYE7DV}$Z~a4osQpc(IEdRb zsQ#m#PHbV}ym9Z8R|*~YR6+#P9NMyIf>^)O1e*-3ck>2kj||mjV%)Hn9Ch+X zdjyB+jA!+NtYQGa+%Zxz`VHYpBjCr zG8y&apt9sUQIJxxChE1|REhv)H(D$FM0Rwatq@wxu#UNY=Qv9Z6XCQE$x_90j81?M znW$D{fKy_^Ut?iq0V!FnyXHPf^_ud8<}qj!$2VD*6xh6%El60UY^Tj^5^Skf9nn%B zDh*Q_O=a`ds^R@54M-gAn;3M(R(}$8&_z%hXTv+X2xD6jp~HP;A!tr?b4e;0m$Fhh zJm;i{$RHlBo-rn;mfLFec&4=&DlJV^#qbQ_(rq3m9Shyy*0~tVeWq8kcvw`0u2f-4 z3Ruc;Sk~(q*%nDs(K>a}hn4`LVpN)Rz$9->ZjzfyEis59C<;vJvpJKeL) z6!N4v(#oBis?vPtzjdO{|NaIaAA3_Sj2M=XMbX<61yU110MOti#jF-AUBb2@uai9j zRY;hJ2vWn;#v*Ux;q5a=+>)r8w%?AjVSdA(5TE{NyQ%Gmzn80O&sgnMDuAMkdW3p; ztOr?G)&T4O4#{x=u3AxY!Bu=Igf+!7dsRI_A}VAq6VwFc>;l-m<%=ul zQSP!dZH@-t{@&Zm0wWR$1wgox1nbWP+qdD*Z!i_#+C7Oqfu9zKECZsjG?Pa~w!P-u z&8^flyNn94Z&a&d?U4$ti;8+YCwR+_(2)l!j;0rRM5C%Z(SE5zqh#~@b^R< zzFHoEmrz29lV!!k;_|Pa>+tzW5d&c`c3mS*zEfgFJ8&(Z!Ow-4B>$^{N|Q)RNocnX zq|j;hKlYl4_}0RxL+#-NEW&Qlrl88k?g@VODqmhFrPbN9D}>zTfrSZUk^_<70FpaE zkW+V$Gj;PY;`@04aVej`t*mqVuGzrx;#R(Cza{5b3+9On%N%9#&MNFZ*uJJ zC7;^n!+5c=mJf@gW+$)iWSV6RqkWpOP1cWR$Zy%@hjJfn_L7}HoPTXioAEij`>5@i z5(iV6Duwtebj%0m?Rxrb(;d<|_{t~SoL;we9B_jv&kv*aCSg2Y7w`o2jJ(GrgOERO z2|<>jB;EAFqR_59_lykqK3>E3Ens-&x7BL*4JJM$g5#?dq!WT&9vhe*C#%cj zGxbN<9HkbL;i8kWu0MaG#?;IedXgWHbC@t)Z3($6^T0VZ9WpMN9C{2;E$g?!r`s5M zL`7*g2E;86kASRhNvCgvA+!VJ;|3p?*E38+R`l4Kcc`@CTa4H}+^6x+K51Fr~C zMT_84!lrztrK#V5LbZPC@9$0Igv<62dQo5N?T9RoNW>8zG*)}ntAQF``UwVHNup?q zwDiTntuzB*0)c&9$Pjs2KU#+Y*bslnbuN3IKu6cyhBuCWAkY-; ztj6i#W}Vy&eKp=5kFD=^&kHAZ2PQosDdzLUuO}t82Ypa=sAl)QjK&|(c2&VJZhS^OiUlr@+k6-`g%xOR^ilFR(=!4c2H80N54#d`yJ zL7B0jbZbPgL^`8}*h&4KKR-Q&x?492JmM#_i+>|3 zZ{-*HK`7ywA9w)X2`Fk|Gu$4>Uz%WgF(p`7r7{CXhj^QwkZFZYt?wK*NT>fy8RjKMY9&t z@sJwcdKQL%1=@;pk+$qB8V9Z;7_i_fL`SNGq4!O!$zhjUa`x5ggsppfg$?{iiQoe( zfKGb;RZv`^O2=JQ@gfk1CmM;n`Js;$R(Tt$Zc_Ff99yi(lekCXpRUm3+~1Zw*5aQG}$`gf@&$tBRTNl9D zjWvH;H%2@CVI5bh`BFD+9p8~M5)@LnG}iVgBq+_Bf7Ue8!sIIueDL0}M@~fcZu^e5 zq8Z73=C6)l*F1u={%a4Y(d%$^s5X>*^C6aq2;R^R(ot2OXgl`*1GZK%Gu{|YC9>b{ zfd3zn#ztC%|B6J0V{dXGDp)#G^3ckUkXc`kw)t`6b+_OqSo*#&><68%xMYwCEKltF zEq&Mxcm3~|*PZe?p|s?(N~1F#V_+$V>lTiZXz0e5E5)I`;wYjneB|y>fx~Vj2A`e+0eSaWp98zq8V0nE z?O8du8`e(F?Z{49%+5@f^9Lh;RvoiHOu7Q8FB!}!`>EJ9deT{!oiCdDT|SKWS4JAF z1D+q6d$P+FPMyowCR+?CqO6_EHRM~)vfLw{AG$|B1{Ez2@GU*L{Inu{oAFNO?BoI- zJT(HwUh{|~Yr(K!PF^pd!@iAXUK=cwfEBnbxM^(ufmBX$8J6a#EfJ>13$@QQY@;V_ zZ^@Wne(jwZUzBp7D{NK1;iEmvWrPLT#_GJdfJsp`)w${2)d zDh4tXnJ)ciT)|cAdGGS5eva)4)U+xEe_5^(k{Qd5>iM~c!Yugnr+RoFY<$Q*drrUl z-Qo#;ZkVNO6PWjvtfIaSP$txw#q=x);6)H3orZp?`rm*pVoCpLQR+D@`p-) zi#mkrq!xL8VFlZCr;^t)e-KORKf!8&Du8vUVQuD){6{UX(HgibG7fYk5&DnaYVC0` zi3T6KDSb#+ym~4ixzL`7&ONx4eXm_~eXSdVwi4Uvy15;5T%YGpEMM5oGvo-BAmZTe zZ&;Lqui8gFQMW}zs-U#FmHy7zn54Z&o(chdzUrZdZtIK%=WaXOn~#y^X;^b&5Nymr znb23w#P*qBPttoFLwGTaEJ6NgRWS^{RGm}hf`+@kerSZrkKO826qu#Y*o2~_G(~2+ z#MN~y=r{R1>97~XLD`JIVFmm7+XROUU-AXNG3W#PFlld5`30|QhaA*NE~yYPn!yn zxg|I2%(O02K4FHQtdyhH6=+t>fJ?(-wW);fzJjOsA4HT4|1-Aa*ykBY&Yr*Hm(h|0 zsYYZ{;*P}tXTod(I7!_~PphC*a!doIRKOWdV(Fhv@53pdRWNFqYbarEqcH zf6&ig^cS$hXu97+(BIn#scyD*fgywajcQ|lNY}}1XLOZD$Egd|jEVz?wLHXzrBUd< zzbqBbFx~-<@F9y2?Ow7RqR2nu->{~kQfzONy3yLUPERQ&d~3>}Q8?6Mqe?xr-Xfab zi_~bjzxPc`baV^$OkB^dsrBT1Q%f5xJX)GVDL7e+A6=q$y*1En!m4Nf>+5lG$<%)HU>52vfRcQnud* z9_oCbk9hby@4mb|y`7P2;9|`=?k@)t^^UU&h^Agza`zTHZK*_L1>FAV40{k>IB$mB1%@ZG zIlc$2E03Y?*2BR3h112>=RWCREd<)z5#@v zXkR&;S1tNS-<mAHl56gdaH_Em#rjfs ze)MIYJl_n+=e*~99=k;Jz+=Esd>Cb93Fw60WX9_CwfMarSxya%xY@ny^{eeX)revg z-+o1nk~_Xr!^0Ooa(;2zy)&L%caw1YLJ4#tW$8R8MzOr#*gfwK#9r4J^+El@d3fAK z+=~9C5H2v_`w5=`1LmLPd;8TXlD8GNCGh@t|GV^(2+ylxTomDhNN7bG(;2Ba?t?@) z{)5A6P$+XqqxCPLj-WPuJDt?#{6ZA){{Zd4 zGxLqozTW5O=VFW6{OzyPik7FnS4&T^@ z@$G5{Q`j`7D(?_(*pRC?rX&md(4H@pXZ|>?jFp{W{I$9a*ur{kBL2Pk)`ZfSj_O* zuyHUd);N3+);m{t-pan5+?2&Z?v9iW$u!T!h`5B!y`A*>T(HZ;R)|x~`QmypI z6ze@sgwrEFbA~r5`BL}n>D`yE+gs;y2hy08egyBy(V?x^c1IxTF(OBO)YZ=Kl08Im z-5pzWpbxUSF{K`Q+S%9Wc|<0*5NWBe-M9n)m}#MS%MV+sxf+0Gu!c86wF?H$(&}^L zyPeDV=!)Rq=20t&!S&sxm`Hl%?fnM)?{EiAY@H8pqr%*Cl7g&2^{kRM_O})kp@{V` zs#zOls=RdxVHn#2ajI!kQ3;)@k}}Jt6#a5?`3YMdv&~^~eut*%m#_-r> zJBdtFzYIyA**ihy&4b!o2*?&wq~{1(`twwnW*AH7u5_(N05o%k7%BOb z%0*~-wg^gbTf_j}1>R#;#mXqE&Je!*kV;hNLAD4+L3>0xT`egj$J=?i$T`NeH&TdZ z**6w`dqkQ?1d}YDzVJ1Pffq`?m{tL(7ig%BgURHWCX4;pPOhy$D=UbUsRgPOlHUs3 zFCuYA{bETM{bNa^!6JENm~zBH4e+Rn%d(tHm6>2t?6i!+huwO(xZ0eo9DD8IS&c4A z0cGc=6tj}oRgzeaTQmy|*nVe#?$Yu?MeUjYfMx+nn7v6W~HGrU0#`1D7&e{~m#)Ye# zJc8W)Tkf#_-aG%qi+2^@?CmmXky1F6ltG6pO zj(nzu=MUxGh4grpAx^e$LG%N5!g5iBwFc?0&%2gUUk>e0k5q)gh~e8ie|GH@TcHX+ zqa;ZL_r5~B$6CL&N+c=`LF#8RWWi8Vv41p(3%w%hLs(oHiH=K1L zAtrV?45^RQ)oUY9v&=E=eDKZ&Umb>0yNZf!btI*AImB={8_yndo(r{349OaWMJO^j z>2(~Gp&g`3R~40lKociXhRp75IB5 zUI4FzH%&0^`)hi4vgF>0uArywnEEYqRC>ewfH|Wjed12~hUwfDTWdvl*R0xgb^Rih zzf^18B*|fojHYyNYZJcb6GdkMx+5P$wbCt1y`1X12~9TNft(=Ax$Uf}U4>)y{YNG|gl>v0W-PV+Cna`OX}H zg8GkP?U9M|lK>5C?2-aw`ox89n%1j1SeYStKa%8eLsr06Jc|j{k66Syt9(CCHIHr?cF;3qiTry z7BpTZQhys^F^W~4E+%?uw;8QM%&PK#-vA`t!+;9XaIA4%$WLso8`h=A%1@bvv~e8Y z?~eR&_IvcvW58CUrRfX^z8olNVJiN#i54eF?_4cI>%HldRw^JecR=SzFvL`+<-LRi zg`lp3%O6}3%L}T5gCQSez#-OFjgLFyKr9}&Nzy+Hf?zCKwn^i>6KY}JCvA@&m2$xq z%R1u#Qa!x>T45e^v9O2*8qVfGB-RvKe1c`fSQ+YoIcO11enS)Pk&QJluyX(dJ0*P- zkOa|9QE&Sq59qQ`idypM_%Vxi=(6^|S9?#qG#&@CK^V&BE8(dc2xPt}ZkmN**(8yW z(<7dzly7>V6b~76ZB8kE#|&+%4|h->{uwnu!szky_fV;WI>m%3UX0IgM|K4naL*{i zGi4#wWj7bzdlI?qDwpJ&PhV0qco3H9P;fu-Pb^U5_ZR5gWCeIo7V$4JVZUZo1$cnL zWMl07=`kksoS|P>f)PkM>JSK35*j#FkiFDT;qrZx4xABb!7>r-rO)yEND7VGagjq9Bc+I@Xwy{0J&9L~yAF^3B{<214*t&d9H!c7q2nF zN?GTq0m}8)|ao)BZTnV)%q^7SF-*RNT?K!+xCd2KugTIYfdqpHPeRIrMhe~2yt-IS9(1j9}{Th@* zWMmC_*F%8`Y7i{0+-?dB`1VDkIQEIi=?w!)_Y7PR_ihY5QemKopKr>CN!wCCbXIXVhQ7zb5H+wUN>Z$Lp%=lZC@K?+_F*?!>ET2w zpiJ7#R4-Jxh+_$h+N5$-I7^%#i`qiugf9p9*=tRth=Q9+u{@1ssVi&CB2N(l_!1q< zOOOc{CP$NkO-2)L)g&Tb6nKEs4ECkTT@W%2)!HP#u+g3o*mOeqP%Ru@`8K0i%+vLNLV{ z`V{f@N@I1<#gcRp`Yd%T^$Du{%t5m}2f*nK7<+YVBhQm@eq%<5>_|`F*QAz#Ln)Aj zlaLHI+#xBR5`x1V8fBu7IL*S4%sD^}O#6XL9U`P^2tQ!7fMv=!?q-nG(>@(BzujNo zVt`m~`6FG!EHIxvM=zkPLP!!SlluDZY}3Wk3(zIYq+Zw&z+E3xQP(Oi9b*My5o0Af z7E>V;j;99PLTCfG5JE)6Q+7;|RX4a~Nv71Mr*8M8=WWe(;XWeM;&-@ViF=%3OjCBF z9w8G(b7ZwD;ka4=!e7AJB{-;^R#NfV;20 zF2RiB_UyizVV^$S!}Yb(&f_J__EdJ;1}t_Kkto9r(K73eiV35t`e7mo4I^aoYGzn+ zZ6gUPgXmf4Jjgg?-p&p!sISapm{%)5X^cyg5Sz*J<-=WJr1MU2Ea9D%j!hfw_Z|#0 zhMcWypWBFVxtOrshX%A@tS-auB>4TI6`kloEYmtx;dfuu>elA}?l3sp|Lib0JIDWb z7JNuk)()2wxeIQ_cV`xsBxS%3%-^33SQHdMR2N7PK#;J&0ZYcdhbHlM&8zKbvPu@3 zxfyNMn~=gg!I|Ze<$?CsqXR{IP~aM$sZWMUTk?qghG=za$n}1m^l{ns{=%!{WjEN= zA8KNnu_e=-4vUGhEiQvQ=gLdCA=NBK$Gb0mbF!|a z%FXq2!u9?;Sm&B8Kcg#j(9zjSPG5A?^pbdDpMw~WlR}a zOLg#zqYD>(t8H)H4>`o?hI=GCdBJK#8$aAl%~OH%0S(*tr`?#czHil<6`mwPcfwen z?NG6pJi1uKzgO9)9Av28qwF+uuJCnndwqS&a|-j2xAn5B@yJNgm+$&G)T@7E(NqwE zOgR`Vi%U1JnKQsACeT7pk~A8kwhFMw1kNVz4sTNO4-Vhnm$<26j@J{`tX4qVtt>yA zB8Xyg%Iz3o!M?=3!P4dDfYvof{V<-d$T;O|mL8hGd@{iKd= zk50mHEhkl+#_s*i8Ka{0ZexOk%Y*>Ku{&z3&)M z=nKMt3A>GM!){WV+&K z%&{!ziZwSUx*rQhFV+q=811N3`FR1Krj-8H;gJA^2od_#WReE>5qnnR^$7<&x26X^ ze&^0(tf5%U>zfDDRGKkDBMlEixUnAQ32RKn_L@+sMBXDcuM>R4LMSyC?ZCbABlnIa zeMxDXdKIe#Pz|d)ya&z1wYrCd&;d~JSgJ)-jpI!Y$=`#G^Pb^N+Gc> z9Sz5kLeFuO74@sDTZJ$1i;bZDUicGtQ&(~}4@6`N*QqULzuGg`bP~!?z(z_ZF~tC| zC=FJ>12y7L;bwZnQ$7DrH45|*?4J@ z-vLR)98}dmy8_KDDk|WKr+%T;VWrsGX$B=GNra0~$D`1wysXWYtUNSIoX)dg^UY_{ z1(k@NnD@+1QDeu!Pdd%O3py(o6tUx*T!|-%x}@-wyvXV9X^)#_<$Xl;J8erDnPq*a zfaLEhz)BudhRB9;-NCRdm+2iut*l^V=+q1zGAB`NV1C)jL#2P-GifWJ&}B4|W3h|> zF(@FVhZd8IulSiAVCRoP!PeWXm_n$wRS{+T#tuo&*$WbE;}2cB0t8)Q2TJ#;N!5A$ z$}(OJUB_+1a{5?oB3REbBh+v`iy-lzARDqREP<}kWJ>b@hm-7#S~MmwT`tnwn`^OR zyc4jf(WE69S_#6#OU9DTqOAv(yyX=S-Yr;0u9H;eBh!rIBTGZ#3raP$qdb_b*`eWoB&m>)T!EgwyIO8j9TLG9GfFPZx!JKkhxoqukW-O0 zg;1u|e9~GBtlZw&?0NYjEO&po;q!6&-ZqbQVP6!{=JRy?CJ4xoQpu{sEvu+@SKkJ? ztQgUKx(Ai0)?454`rc3nEC6(G(#%QX`nG>M6u8;>Kq>tD^5rc+*bLIxa@7x0v3c=% zK7Srky`0ouUM5OfK{=D19n{%qH23IATju81*~*jWuP&wQDv>2wW^FCobp24Q=(~{m z+@}hg!|ul+`%vrpEAh5PtncKwPc?Rh-3J4a&4=wPu%hoGUmNl?W<&uDo?I| z)q3itSPn5CqtH059mL%aPT&`hKD6ii_569X%468-)_P>>F(p4JYixI+sjO}JuYG|k zWU5QOl|_-I^LEQnm_;mVYtw$hZGbmc$8Su)b)a9BVT;S9BHDAH-G2R?xC(~;f-}FY z+0pCiRJ45>vOTI?Vj6#M22rhNk=<%a#EVEwtU>c>(x1su7fe3&`Vojg zLvkOcma1PUV-?+Q^MMurxL@knCE+0to2@+Yp!$m?l$SEk3(KEe>8Z|>Bu@@29AB!m zfpyUR+n-xF)6aiKep>zh5$gK|^OI2T@AEu{BycgDWu!s0U89m)*w@{@j)X&%oTARB zgQF`929eU%($P|1w*T(a+1a&Ek=%+s!%W%rMabeiNK?0;v%9f+-itXn=%*B02_h#` z{q|;VAYX^KuXp!+i96SB`OED~MoJB%ZIO~LJ)G%LXh+5iCZ$+Ytw9_OV>clbYkJUi zTw#vBSI1Vzmamp2TOOR9@Nc;gMaPGGpQS9loT;;cxA9CH%v~bvZ>6t*fdRixPlTO{ zYrDH|w@;$eD|x0&!6c@V4gIRY2|yKH)H&R8-y1tj`qsAA=WSVa%c~T7o1NvV+(pgd zI@q0cJI|N*&8%xbk&&)eVkv~ycoMia-{0>Q9qL@lIyy~rO@F_r)FFzCek;8^<7h_= z_Eazs2+^F{ij?y=bCECg<&5%oHPHKl(pObb)?C_|q(f{UTmm-)>&ao<=J9p)BYS?p zz7zX>cs`N(b@oNxX8rh~#J?m1>#df!P7-L)BHrE6)oPcDoX%18ady_;|NQsy?=xlY ziF)A)&Y6&rUz?B~gI&z52t2URdM0MeO@+C|(ACGw>&z775B2Y$hS!c0!*icditf%= z9f}_vm{K@yZ)vC;Uh7Ef4A*T+*d4#*tU6i`q?R_wnaZxN->2GMr0-!*N(4l1{s#DZ zJs|M&iP(R^f2-^N?JTMZy?!}2x_P{sT^8{v?+sB*)14XetsmeHsDHdy7Bp8t%(F`$ zLZ6mR5pcujiQ6D>p*o1C+ik+ z1f=cfewU`uR8BDJRxS3sS30XS8luE@qrHNSZeQs)=}Om+RG&LYcZacnOj%O1Qdxn3 zLp5gNu#l-dy=*5~-IiWzsQ9vl3R>P>JNccy836;TFNcue)9@`bULIKA_X%UXfIH}A z=8ScOXkwf97YLg-sAh{-OEUqB9RHl{Z?=@C@ll8tqaf8gY%7K33(2%f(I0MGa7?bY z$}>EeNVk}M1 zO}aBXh|{xV!qu84CkSlhX3>l@XEm_kfi0Z93g~U7&1;A}<1JpfrW}7;M0p5JWQoIk z7z^Od0Y-iO8MR3hY?rc}N=6#@Wv;42Y)w_Mu{#{+mvfJG1& zK&VYROhCOc`@jA~4O7aEpuvXuhoLizmZG7|h z`cL@~1&l*zc&zkUK)<67p5LBrAi@V0XQ@VXF5C4~dwWS($$c2aeUzbhE)Zb_3&MwD z*fJS5%u>KqR#2er)$@I4*2uU&27)A>qHMg0r zb+1j(?lZZ7NVj-=al5(|bxLh+3ML>Fmxg4QR<5A09G@-@TLqkj{^vD>1wc2TjwJeY zIs-O@WCR+5+S`gu1^SEPiT}mgWmomX7l0Bnb&4ALYI3EP%zcA3_q?O1I828$`Xs@Y z>iRF_xZcR(|IF3hvRz%Rs4g>g`+q}$v{4Xdi-FgP1}EsoX2w7}rJckl z7I{?g;ZPTNCuJp+8-G2MB-02%j6-a=)ZI~*{8~X6UD_}}N7{z{+N0cRH|3+>$RIg3 zd&y54Us#HxMwxu1gDj^+04KG}x{2nlqlHqBxIATyH{$5;RN$yf(wHtSw!44BUNN@X z(GrebpxEHlfZ|Fq0Ou{nqRh5b^E#o;Rs-cVMxzj=533Etf%tG^zWJU4;VnGA_@%HZ1LeX=K|dB^5YvX)2n4+o4@%j4q9O@g-ylh?Pb@V+lXEo4B=p(fV`Yrk<6gBI>y-C~0>4iHe&0qs3z)QRMU zGI$m0N0&S7PGIHtiZ1oGYH%GK!U2adXTtre92ojh2+kJ^M?ya z+?!$HlFM_Z95?U}TLS9S(7R<3Zk4}B7S=4LhthOt*8|jns@lKm?baRDkk`c;e57Xt zD>-}PK^nXD_NZoF{idfJxH9Hu_3B(Pq%e{V-5GXNYZZT$AyK307YnKD@0Fn!V|n*l zg6otWvQQIV&1~ID=mIM!%D9$+mYxUe4WVN;Ou%7Qn?XSxrVfREc1m@SMz>3wG%($F z0)b`p^37={3<;vQ4@ah-zfv5_Fk%TveP03&MYUm2^_f_G@qoQp@tAqWJb8Z9zkLu` zoMQHV3We!z1UAXusu-|R)F?3Wa;_Z$_7N<4FOyMN?4J%2_)FAo~^7-oNHe6qNiV&oACVpP$#8_@1`)~|WrL1jU0Q+zpQe`{? z2Sy=N$m_q!R!msf{2HV`%;pi>sjSvIFDp2uSUoq)cTvIa6T)zv9SLF*o#p#8ho0#E zGg+l|I;kP_LWxryeThcdU*XC}0Z7@t(gA|T+_onl!T8;2+92NcBqD#2H852w9Wlb- zJnhHkebPg-eqtSNg44bMIyM}qnnbTktB(YbG7nv?SzBh~SA>DHD4paPj_Gf9bD2a* z!BSa%Dt~HeyR3m^r)F)%on6jx?hAM^jDIw)FJ1r*!Y;D`K+})!gV{2gc3Idx=%EyK zG{lR(g-jX|tpy)h-huJ_k#8x8el*xN%V?oA$LGgpplH^q52S1er(Fh5+vg|xTAm0G z`#U7dML->mPcA?xsC|EcWHsN&D@N|tO;Gt_vm=R%HyM>xmd1_)G|eg;5!MhU9G(Cn zDbZapxi0kh=n~s@0XeaJbjjjpDIq^yfaSCxc!lKtGp$uJ{9UBy0Oi|bWzAfe0*j9Z zm~ua@tyxoQD`>h+Kz=6IB#)F^<7}7$^*<7oP3kdOxz3AqfbGnmC&O6{`QK~JO7QUF*cZ+aVm;wwyuUr%K%dwU$8+UAI)1wWSOFBK4^LZ2XVHhR6to7 zyKHifKw(#7DOf~FbIQ8vVv#91u$A09CXP{Td6yL`?cCgA(t37^OfXrDV9;~&@!~%L zh17;^KyL@}QB*R*scogLo_oDSq>{89poHW@a6v>oq)<8i`79J~vn-_03chj)h^?>P z1L}dJQH4kWw+To~)8uI$Xs=)@atS+Ku?Z*?Z_!p_NEFy5*@>@AS5OD;w%C&E+XB3j z{VVOFL_M*49|#X>!ZV`eWN*QI#wr`edFy0l(n#nm5Fd*ZnMbdy z4{(r%DsLQN1FF z0`vz=auf+ri!8+88IGw8Ye8tVp<|LyZkATKnV%?<)ZDEp2R`5MX>owMTX7l*@r#p3 zg_#^J!lH%uM8C2j67eVocq!)C$(3|ER6MJ)YisyRb$B-p07(-n7-J}E`3?)RO6DD?rqm?8EF z6LF6D#0%H(gD?jxg7>N&=|Pd2jRCKQ;&^N9k;X8$%qRrIuw2AlXWUd2;tG&8-H16JrYyeGaM3PUaM6>uQu$X%2>0c`fJi(_Ml>a znRW3f$VjGq7;EK6&BpJ#h&G<-hW<32p>U9@$D1EHo%hX+8(jfz5IHb|Vnaf%rw2AI|M#VT%@~LJO>^Dl;)} zAk-O__R&Aw)&#x(2a_4Lx3m!OU^qH1TxqZ0aZ`mGCA7BtovRV>nclQg)GwBDez^^~ zaUHG%!w!J{7|zy^mh$1mEP<*lj@_kC1~ZsFU)htlelXrd1X#^vzuu5%0*Z0XTqoxB ziA-m%%G~BxMZPH;OOpP^31X>>C38I<2`Q7LZS|!<+c@1j>0lAZ z$CZ;&fa5Jt)`M`lZdL?r5$&?}l9ukkdx?8Ee{^aK3gGs`zKSnR3J;({x(q4zDuup zkgZl6b^G5_K*~Uky(MAlWgC`cC=24FH^;@X*bKG|t;dT+2GQ|ky=m5J0~}zrWQPAl zmDh20L}84w8>04V60HQiQN=VGv}ZR1?c6L*(ex@$obFQTjJzR!C$LqP za)kzSaNEGvb{N>S@pp{e+97OP$76;`Y-S;Ks`PNOH5SlF9O-Witj%dC_Lg>$|ZPDgV`&e(44X)@N8Ij zl4&&ICSUOWOv@}ZOl1~a2P-vXkQ`p`?;nM4M;=(`Bgpt++s*F)9nY|ph)mXjy>}qO z`3K8KP;HwGOKxhD>{}*@9Ex>=XM~(V=lReG4ZQ=6fxdnUC)hrIF70>^KU`C&J1h=8 zC{}Y;Q}T7OPUb*np=|--55-SelYMJRHx;g3Y0=eO$;RtH#CywCz#m{$nHSVS@yB7ytJ_M=udZz7cfHW) zm>q-*YnHqg8fBXkg3Xrm7)m7>e>}E!$N=G1P zhjeOkpsCXbMTikC|GaYW#ow6ePlhECw+o4@?Y=P)`#&$I+{D<#^^#;5u*Xqn#KpXr zJA3(tChdygR6Hbe`$m6zU+3(+Uekpv;Qu{(g8kXW59{g`l2ctm+j8SSea;J1q<(GP zL#_wqlM7vrZIfmKTxCc$a1Q~Sf;)BrJ>^~(NY;`8qA$J<8HUk3&%93dPQmO{*vPp3 zgtXMyq*(UG9_Caq-2j?#Uo4LZhLM5~tyEcThzDIWOz?8Bn=@OsaO?4PP5$}Ues(N8 z@?h1{LBVHG0RFZllnW2d=k#_4!vJ#f>R!DB`|qP6@rC%SL1+fJFPD2RKEH}w0B-v; z=EoN2?a{U`S=9*f`+MM*|G+aj!Uj>ehu9f$_nj##jgb3!@~&Jgo&)i-7s|n4tXdXr=Qs4j`KP=>1|0qy>J&8T#MNq7HvGS;6-XXf(vPdc3(BXgqf!g;_8 z*wbY4{Pp_6!RXtb!rsXgJt;0TzpYxapH@GAJbp*`PAe|ldxWY68iFl*{)im_c{_$6 zUki585h>zYI)rPi*eh?6m!mt2T0>xJvEza2u;Y<1+cG%}a$OLrzbGcS#D#h?*r5|; z$e^S9;niDnvhaF;=MrwdfUg{}*A|haWEV7IOjDXrJg}l#;z89n$KjgAcN<~zj@9y& zN)k4K8YRG|i?3*+6rm&LRCW4L%)Avy`@nr1MriSQG6$NsyCc>nfcV~*ocYDj4r$c; zEBL#sMPJ!J4v{d7fZPvxXUZjvf)~+dVtAA*a@D@I4(lzGb=bJ{0eVexg%g83+INWW zAk8=S;m<;Adh@sfchBONp!nS^$ULL_nTuW9a+;%b$(Xx+KoE$=G_`RgTO|uS13d)) z=hMu0KloU+P>#AeF;>o&X$T5__Ky*>S%!?2#9IyWt2)!dl2PNKeq%`3jg#6Bu7K!L zDaW7hv~qB*F;0KanX!L8m3H>H>CQ=w_tg`?Za{gF%XX4{q$=Ii(-vW;xR&43Qb$hk z*qSJJtXRIRA$M}r2R^TZh(>KxqhC2}ghFn*QA%&y!-~zeHoL63E*m@fT_||=*mfki z{t+_mYQ9QyRhzxIWXRgKROexpyI?b2(M0&;x;C2cS2J{@b4plKIpJye(a_0zU) zG1y78OkNgeP3YZLQ}$Q4H`(fzc(VIefFql>eSJmEz@g4c-hK6jGG5yfU}2WJYem}y zZUzI?nVJl|4-ub7jv48Ex+bPu`Mop~z^9HT3y=L0IUl0Je(*hHEcKz23a@_#ldvC4 z7b$vjxn%5Ac+mK0M4pjzpvf;6qzxb$a6k6@O}tVd=jE}Tp%v-1eU4Y6f_mbi=ep8) zK9t5a7C7a*c?)UNE*pcL>#7CxbQWe8Nt9Heee8%B#?Z5Rx2x)EL{ls_64g1)sU3$B zr_Q8xKKWy)hp4i`o6r!rCoKEz#|kL|?zG)2oM3woO04y%@8{)>ug7=f9W0Y|0mw&B zj>z8ytG+Ts^1lIMeBwvf8v=6mQPR=f^~I5fdFOAX2-du6rnL2%OG&LgYv~k?XY#40 zuD=?Z$TigV{qQMsU9FbS@I-Yxq>^K(l~*?=wi!huQD-Vs%<1QUk6b@)ti7ynX@EU6 z^LS6}Y{o>t3cPA(s`FdWc5geKqBJL@nP%3prkNt{u}l#3oB!eF=5N3|g!{YYIcDjL zG9Y__AS!>6QkJ!(p+3hfW4{O%c^5Yyh=`VuhO-rUdZw)tE|5h)H)JEDH}efzHkU{A z-$9TZ|5p$s2PfD6Uhr5~Gj>xf!4EU{yLY4I-+2xEFRbAe^D4FvtV zA_t)zp}_k{PP%(6A>Y^aT><5cD{FIsZTH8@fjHDP&fH`DRiWK`pZ*)Rfqu_r1}CAA z)5Gu=dr(ie-{)y)qGd({e^*eB`AK9PU>mKCkWy^bv5$c(%%m_@<+B zyV~q+oi4Sl`7?O7`qU3jlqqF4(6ipiRq|0@s+GrPB}bC~8Kp?cz?biK zxvqDzMA78Vr)$z?z2aN3&}^Uud)JeEGT~4knwqZ27jtD0Ssu$C6~$wiTiRSWO-}pk z`vi7Wee%rv^&rE1XS)Tw9#@N23gdI*EnjYG``6v*ya0L5Jh}aaOdKxITFdh(#ao?ISZ*n0@yo;gT0SQ|b``4j@H>>e zXNY6A(<}7p??P8BB3qo}r%f__o;@;Nc@f@;1x9g@oQ|iIa4NmZN zoIF?~#s^pr!S#k(QHWak%rG3dgmNYH4BQIDy7!9BCsla_CJh_!+P@-44I6>y(nXC2;b)Ci>a97SVVm9$v)Gvy|xIjB+CoGaiQ(x)@c<%PwsNKyZhb}2_k|C?mIKV$3#?m|6){ugXa&FL?h zP+tzR^0Rp`TD}e++XhZ(vE(rG5Xz}9yii``HDvM7LhkNt7cs9tKcyK5d{>qPi$t>05u8X2-EfM;jFWtBg|c52T3+v0`P2wrp7>?Wdf24 zx8{RCf02mk(FRjtPVX#oEfym16|RD`)mYy09V$8-1KFE=N*V_IASE-Ck+eQBO`2E~ zNmo9YaB07b(`qg23CReY(8VlEn~qNu19umjP4Yhv%4T;8tB2IKhf5R%pV1tpCv{EY+q{K9JT26IxtQ7#K04hM6w5 zoaYB98bJjOgAkosFG5?GG*JYsY2is58sU=q4-MkiTjW{PAk2{X|GB^@GGL9aJU)`( zQ{XGxcoQ;O;jg>%bHpQ%3-#q8E4x_)12&Y%`P_+W`XC+8L0uZIx=ki8LVJYI1^@-yrGKyAKVJT1F;3%Us70L)ho2yBe zI2VZ;AT#|vY4H6HQ|VqlvT#o9q!vpM*#Wr@*~^MUB-21))hEd_gR@+-GHqYcMD;i& zmx<#z+;PCpFN9Ge99b749pP+rM--hY0Pr~WT`%1(5q3B*uoHlX@o<<;L)9dxQ=~)0U&bC&Ft!A&*L!{ z$8i@&mj6sc^M3mmV^8_@V*iM&q*G!Z3=}z??v6G8sGI8Jm*E zUDzbgW)w^m0(}!pC=oZ*R--aOnF04&jh4>%FW?LTpLkRBkm_kAW%P3-*rE}jma$({ z>b{XfG+-+yHP)+c2dMFn@fJ+ct;$~V@Rv7;o%q<`Vfdp`jSzK7i*%~>kT)g7IUtjt zik6Nm5rv>Ry(NtTAVCZ9P(87aNZ@@v^#yrixC^b&)amce=j@g*oOD?MF&CBUw4y^? z)M;9anys|vR3wrTI4)x;%Y7n3%F`p?cadNl5e#6SZviLp^0V2%GZ^YXX7^If>WH7j8``An{o9dA& z+oF%V_twrGJK%o%BN`Yah%T*eflHnAn;V|G;8*@`jgVUHP?S7hOmz-Y8wF~Nf!15S zZULKds%^xTP*d!rO(1{w02CxQDjASrdi4DWZ^?k5^1-ubBkX+`XGNf6^biyzHI+z^ z+-i2wkk$Z+MKWPHRaD10n}oSoV~BZLDKQPo{L9|J$U@G6c_?zw^F~s99t2pC2bTVP zp)$uoXa`|1;m3L^4z-X&8RJ)el|+-ft+I^lb|%dd^=>L6xR-03P+a6 zdQhT}@)WKV5di+hSXv)c1}6n^Jyd+z8{b)c6&Co#ZD#`_k2k8sQfQ05WOslSldufGZfL~Xp#al~a0eG`=2c6i_CYZohttT9Qs6yl07CVPA_PUmrd`RyZsr`>Zkr-$C;RH`xl*2R4^lwj z(~aXg<*{*}nbcv$ZY=CRvt;3@EDLZ;KZq@VMv@Xbs>_l)x<^n4uqi@*k(ATQ|79RdgcRzYA$t^PsV-nz*5aney)2{ z4cA-*YVu2PgJ{{*W5Ippq3<+D#@N;KrtH{g`=UmU!WTGKcY}mTq{yu@XE2oC+r_zQd#2Y z*eZ4zkqzmNHOdi{a^e>{Rx-ZC)YAL1Wl1O8=Y|JL~ zv!R;&M!FR%B;=ZNke=LeMHxIwc08Nm$U0h8nA37mj7RYZR_QR9j)U4R=9AJ# zz?=~qW90q;n|{Pdy{_!2uH?x$CE+P-MEhvl|hE!q>3f);m^xHBizZU@n1y+_y zOLk*#5{Yl^k5DD$B|;bx<%L)-S0Txm&Xmbth9Z$9E<{#*9wxLV>uw7az zizOco<6&IjZW>@63$^R^kZf;#CQS#zH+bwv%@AfaGv2U>o71*5mCq}B?1ln{O)Wl7 zdyB8wDK4l6&+na)x4l<`&zvt&Q(o>@ORVpP0y_^TJXu{*vLzd|Bz;y=Qtf7(@O_DI zRKNHh{b>i2)@4v4E|v%qv~{A}b&=lbAcYSKJlySgG< zcK#M5Rr7}lE%W8pzWkpyY0^Fl+(=3F*y)sw2w9d2!VRd+^ z6SJibj%cCaivHT=)(62)(48Cq77bAiAM;8QtuS* zGb-Qh$^|If-+!#Qh@6Kx_CX=*!peX^?4#7xdR>|3G-lVfP$Qkt0FD6X^rv!iu9b*+ z_(CGQU)6om*w{iwEf79VHQE>k8x1y%epCa?+%OZ$-O~BnrOV-a*PPS`TGYBZI1^Yy z0`jyTn^Q5YmO14&7`5k*gG$M=%!jA`FO(dsZmN=H(VtYM8AUVjcwt6CTLY*+-M5zY z8ot=HI;b{9YKU+X~&ZXR&u-ty+$v3JCKv4I^1qr!}Rvrm{x-rH8WP+KajY0cC((wgIs#%$e{7C17vAuyTRNS`4Xn95u!(&0Smn!sv9y zO3b9DN~l+pu%!-(OiBW`Xp|BW@8qIv+K_trhf1)olsLC`Q|c%{;t`Pw_Z>oG5&mZ~ z3RSb%9kgKuSE4uCH8Q(hiAFhvyeY5WlDO=igH-V*I#U2&yQ=SSe$Di=%M0?3H#=)f zpSsze^lRbZN>SQ^{VPxRi^mn+X2nyKNDs6)Cf@P&mOK>sClOj$+ZGT#AL?yOPru_)~GtHP*anqZyEFuxl*B1`NT zf8nq)x1xyBnzd1xOrHh~C5EZd?cm|I=$ZF!9kE4c1y^|s19p{zLm@L8W>^7RG+#(T zop`b(o_B&>FT^;xHdusgbJA+#{v<4GOeyr3T7HO3b0-D=8i}J#ee!Cf9Ahh}jRh?zgzSZ90R`896k0V{1+?KrG`!hASAK&e;1@_D8^pBv0xEJ$k*Yx z7fM~eRzBaUrNkfNHQ`r;)L0t=6c}6bomYN$P7oHpX}4gXbg(iE#n6x{b%F;7dp zed<`6hDc_p^LpUpWNP;Qc~!yrW_U!beOKK*?j7lf&Z&D!tio}QEW^PQnOCnEo8K@e ziGnT38*#;bx0mfRBbldh4rhEdcp%4KeIm1@z-K-?_>@T&b-}G1>7en!@8(a~-yrYz zJ4at+<`vnyU%p$Yty&>!_Q!K~=%?#k{-=ntOWVzcdD}cGfpOKH=`MSA<-vQmzabW` z0J#SxZtuEwx%Lv^P9X4x<+hoT6}vb7PmbYG`v@#JcisJ=@U3nvEuqeH>ofW`OT zcZB|W2CR|HFK6c4HR^B6!)PpIjOvjYhZbf|v%gUFE;sx^t=O0eDv0XYJPJLpTDZSv z8gTQL-?P@ur`b9>``SOAoY8`{t2UP^!Ig>M%a|r=a&VZG`bxTxD_DM=Z9Tah5yfv; zEO?hVfeF}}h_U8y7rY1CTCG1CNXwZ~jOf^?TDBPdv?2`pl&vn_g?L z1gV$w+dpy@{`k^#Nh<-tS7G>ZKq8<%biqHoo}OloGVJCV^&|Z?m&{D%8-=;WP7Vn2 zy2h8EHT!zICvdYQ^X8_Wk5{>HIAcL!$*ExmSorV*Sm@*fmicElp@K=bfHVndk@($O zVjcS$>TjbmlXq3|I_{2CPsJ7DBC&lDn`WsropEa;XKI*Xv+rW)iB z@v8X>*>0T+lJ-hg2JaIC>ON)Y66|=PWiEG9rh~sxzMiQG;Q6U5hSB5whHnFwB!2z@(@EJ(}PICsQ>?2#%vsQ)1-{5L=aA_nJ2QDGB8ShkmFG51#Os44UgcQR0lNnY=^$@7n}>0IyeOfi0CqE_5Mb7)^~G|!I}j-rKxvzgI;1Osz31s?D4 z^(60#RDb+l9d?}_X-|HABtg8}Cb2v|q-(q@GX3!j7qv?Jj6c}PiU9qh(Lp{9t@+Rs z6A-V3{{k@+>VY4hW6U*suM&*p85XJac_dY!`|=VM?Qyzpk+;m<5u+9ns0l9uIQJ|? zgKci6mocPphkxj|A9{7z)1HgpW(@NZ{Kx-LK8Hd&n=LdvZV68(UL;?tVDeI)%{C9#T1OWDTYuJ$qMq+&@ipdp^v0 z7v1^Qh;cplgZbB|o>D zbkFA6IE?e_<3n|MTpcdC`e%gQQa3ytxS&ig3)K<g^R~N&L!}%KHWc?jKQ0k!SrnR zey9+TEs$%WHjgbABYY0GqAG;atdQaSO}GTj>^zCyVyox#J@q!9lU`!K)5EVH#QP=x zu#~kE=#9J$S>xAj@15j|2q}NXeQXPb+?EY8oQs7+C z&(A38;Ucc=V(4ND7#COOBI5e5aR5bF*xo}MaK%K#%FWKe!9v8$#?8Rarc1;qYv|%+ z`H#lH%*D*j{hv8Z3~XG?Z2weZ0?ZJzv~e+YVidChF#c(3Y;R)9C}V18?qWg2%*D?A zzaH?b(vYsvVTap}W&7TvgN=+E^sFyv>;eIX3gQ5rpMQrkE?y4!uCxD)s+2te7kUxq&0bbEjBh})pmaeQI3 zsrgHMcJ1MDyJcRo@@h|96;FVfO^|o5smg;IRk3bguI63lvc#Wl^h;uWgz_D{?bDmr z#_Gdu;Ja%Z0VjNo9xJb4I}US{W|NZG%1{=C_3qOc$EU7QYCYg12FI;>G z>WtW~54uVuVmziLwtQI{?kbn8Wn3<kTC8CE^nM-zk#7c8-tpLRVtby*p{ zD70QJT|2N(S75p(>0(4i9MRDjF$h3TPTcAT*UgfJfxmvPO2MZRsvP z^J61EirtNGKi6Zn9 zCNf0K{L%%ykM}V0PS1V0d5q{a9(JyS3c4b<6fd9O@~RXVWU_Ii@DF8fF-9P2*O=1M zV}JV@E(oth*x*#J#L81LZW2xS^hCDbXt}uJ=XSlrRZyuVb``xuAO)dDYY|th^AFXw z*}@u!(=ovHiilGdF)%a%PIN&U1A$HKK`K{O6~u5Tv2%_w^={lYE%Bt?IDZJ8<9~=l zjEZI1iaj)!0u^D4hl*RO9-W?=xE!6TlFV^?4RJqTaf@r}5A`e7b23z&``awG10GJG z5=tV67TBsTxnz_U#$!M$6F(~@=Vsag3Tv@D(K(%r0msF-`z|CA;nmChHHWrQK;AOypl(D@b2uK4_mejrUrl@)e9_TdJ~+<-RJ% zsdkeS4x=2YnMrVvi9Bi!>n+B^7g#JNlej?#^s0i(yg^zr>@Kg`@hUsf1g)m>44?&< zOW%+ToZfB8!iY0cx+wyBic#>TcwB48lkmxC*t4c~GX=?TWL)Ing?wSH@gleq;LKCQ z>omoKf+TQ8Q&Yz&noDt5@Q=$xs-$8#m}^be#gh7RPNO#2l*0awby-Yrd5exKa4}6< z@KBrfU01x8WJMxogH>+Omz~;%e^cv;=8iQr{N#Y(m{N-OTRyq$d!de*Ytho5cL%0=O1^9EJo`J&b(Fe^7ZQ`BI z^AoJlHH|<2brUy;#byD;hC22sdd2XamokZPsJZCH9rTX(t_^)_x1LhDs!ee}KdaNu zJGj03aGQghIoq~t$pRPn^ZhgzdmHPpe03*mv*<@?NVE{gRT*Uf+Z6$C_WG5+mNL(a zld@doN&&{e^AbvF)2=9(qQ7nqfsk1yrv$O`tuZuXdiir~j@=4~*ELbf0<@A{mkqYDlVh5I|()s!B<~IFfs` z$F6?#r5NteUo8rKk8VK^p857mUHdCG&uat?L#1r{w23uo+t#EeIG@}qm%_S#S!VOh z)YP}Oy+ncWmjyPqXny!V^uV@o_vX?C<9IwN^eu7bEJX`lg?0jI2Uu&* z-}3jpx~(nK880BqfvB$xFIRO}Rrijshd1mC?7t_ONB9?wD_v+=%AGIy-tMk`&sUIJ z84nGy`k6VtuW9E#H?lALp%1KJ4KeO&k20mx?H(tT{=R=V-B#QM+d2?-bl#ue-qt3z zcAl*$-~Q@vMfT+6_`<04@CbZ(HlX!xrG}&Z_S}DaD_is2sKDrmdCCmG9=b^R!5}x4 z%DRF(H~-L**l>Vyxo)&#YgA%=DO01h{uRu8hP7}!7yNq$M!2H|K)D4xRLds5S9B!Q^+; znHDd+gc?9agSLeGJ)m4=Xm3%iO<3Jzf*S91i%5>F?DBh}E&QODbWsWV=GS=r@bX;N zGBa8G$ci-DbF#9tAmN(_ssxwD`^O*ew<~}n2P1VW3!yT3Iouv0Y#FRISUSBPk6wBN zs$17B?_N$$o?iq`@Cv(JI*-2bAL*>(uOD`LJUjc~JKLP&@(r)Hb*uzQemb|n?bOy< zTUp&IDtF!KD-*MD2dnf+HW3^2m~L1Z20g~I4?LoWtmM=;O(9)7hIR#wUXID(C*)7i z(lVf2J-&fSmpnVIZbJW@Or4h6HP_GC!rZ(=m&wu$?cNh=Yd@eJh$p}2Y3J??0y2iu zrpoHk)^>I}xh8k;n)g)|JDM}aCRg<7aa+91U#OFO{J=qf$74g|m`11ITXn#A_xrrD zDVS<&!H;Lw=Z6_G`g^Fj_BEm3;i9%=s7sUx`uurIRmDs}NDj2&1)P2C$74~-i}UMY zx-L*SC=BgDMlq+!E#<)*m341>LFxO6@#M1uYa*lIH`xf-;{*21)gkTXA?3pP0kw;t zCTeN9gJU0rKv2?7$j~?^HovpFln#J=IR(_AozPTx%hp)bd+W<4HPG z*N!%@V#Xc8Qv&q&=nlXOlt=154^8^k!1Or*jxHgH2B6!xPfK9nEtK zpiwYe8Yt6dc(R);R0thnN>WU#Tk1o*-l*zso+IJS<*}KBhqZo%kxk4& z?ouBZKSttv;s9f*I`P^7n@6dB=8;@Q=)eNT4Fc>g~1@F+&97O2)1hJxFno0 z^0j!G&12fZEl|1Rs8S0fUQDjeY@i{e5LqeR9elv%Lm}x)ApO*#0Obq70FGcHFzzzQoAlHo?dEr%k<+->?zE5Tb?l}q&tW#OlTDY zl7qgA4Dbv@B!MJl-YrF^D%U>(D7O=YDw^2dt zK4<&6lz-IT4AWrDeGLtv9Ap+j6?qsP0U_Z_8h#~uJTenlmgDqM@c@-%k+df?l*C}4 zoPiy^L-(?{T%GYiLx&eIvHvNG0^x{T2~eei1Ql<<-|ziN296!VeQik&4~TZTA<^ApW>CT4}UX7nL;F;dnH*hs+}^ z95aMOl13|-PI&odD>C2UX*5wNHC)X|V(j(CEH#~@+l6${WB&$P=n*)SEnY-}bbNWW zxxu>o+@vvx*rP-G`}NME_hQTP>7NJn z7UbT4#fc;VFx?3V^7TbxeD_|B9lr~W7T;Q`cfvzJ+rUNwfSQ^~Z?L_1g}`@0d_&54 z8paK}QJim~W_>jouM!=>nJ<(eNNm(l8h^bL|E(ba1aS163Bm_OE~E~gXR6yyw9~b> zff^Y%2bylb-D2$@9H?Sqz|uV8TR>L80?T*jiW$DFNgAL57J&alruvyWI#mF@@O_GrC>=#K+-+M)A#lXJv zaR^G*3eHe}Q&wR(h5}AW;ej_+9Nxu$nDZK(p%x4;Xn6T6I7KNE-tac|;`=luI4DEI z#TCIP?6j7ESZ@|7NjW3LhbcUH)WEby6R8NaUJhCJSH zkrOeF#CDlLq|KmUAp+MCl;9OB_k$o93i}1H5h>TXx2k@t>-B~VkxES1!gLkH_v;ml zVpSG@FJt(k!!KnK3QaWRq%2jbl2)h2%jH|G{0y&>i3Cfq?IviipagTl(^OXa1ppWo zos8*Y7y;3NQkFbgXoCrozt(1;KU$7<+z#4lh?_4sk;8B-8#J9?q?R(LA3e8|Q3>LC zh5y&Z^qR0~^NI8KUEQ=jezKy75mvNEF<|^MA3M4<3%P=>479QG+@J;zdpYs7svRsj zt@Kkk_|0aB7y7&s8eQk5i9fTAtU%03vl)xur;t}x7C z2R8MAPypbF!(7{pb2V^_@ZP9_Yn6<1s6nyJ5qyk{`wzk7_QQL6=Cp@EMh9>~7@UMM z`Lf*~-P#Q8iVIVG zW;~H&$BZF-Zpd8vHlX;HZvq_Aaa+Ct?g(p2mKKM4O}2M2x$Z_;-P35a34^OlYvf+1 z+Gbycu%^P;tR1&Nr3RSEQe`GZ z;BU>IeKbDoahyu8)ukkiihyVY*((irNkzrFbDskP&1qhHOo&MCXh!1&T+TRRokXk! zUHR4>a=Gv&>Wea)vBB7S5~%nWI4=yM(Z&ok1H$6k_5wQ@O8)cj10$LSD&e^S<>cY8 zj_1v>3{F^!padN^Sq$7Hi`))3d{Vh6m~fx2AI-3 zT+C$kkyqBuRMddXCl8m<3864}0b<|n(quk!Lh+z&_TqoTZw+|t5EazB@8}m}?dv$& zP6AML7`h}1rRG>C00GlEl(TD(ie##?t1#>8?nO`L&&x@aw3SZ=Govv&uzfEjP(;k$ zW29eWrOotYOkw3=Gn=qjVwL+KR<{?#&|EO0thSD@XKm<= zizTQ$z5U9DC^zY!Uyrwok+gL$@$G6j^$Pg$svA?!aTI6ZGiY-Jpgb=QR2qvz*hO(7m`5d8v=8(0ItheM62WX$* zbXPS3pM8Or5&OKZs5%KYU_{$uIf8T6&Mr=9s^K0vzjeDGi?-7f;JCgnZIs-5-+M!L zQAzj;V&9!Yd?pML!%X{(<9z}0`W@i=YOe2w$>+fNK}~|njRAnj_g3$NImN#7oV(6@ zee!rh0@O+gOm zWQe2ap_W9~EQ}{21Yi9{~n_{ zQPZdTrcJ8!AA^2Tt~zWO0(XEG2ZnzRbaV)y9p!z%!}RNN=uoeEx626Ift*v|?$`OFCsj@Kiqzo_s_SVI8@tFFE!53g3j(#X z?5IwyRAJvh=FK;~ru~mr#|7)xwJeKn12iH+qA@Tvt}zFOg-?>h@F?o0AL2`?5U=7H z2EAEVPxicDGsN4R)3(_C8VW+`uxyvoDY7Dn(#csHRA}VD*13n%DzJeOzgc9uE%nAL z1bBFZ@i(40Y&M0+CwY0X%>vgBU@ZZCIJ#`la5X{Z@NY{%;BIS1rvf=r%lICt3sXhl ze`4Rg9}7_#9j7AKLrF|Y7dp}ZKJn>V67f8zfr(0)Wn@CXY-gb+;fu_&Zo_99YAYR8 zB%V+NjU;$=_&$n(uPtKYb5fPu@7ZJ4}VX^)9;Z(w+{K zH$Hx++$Q&>m5J}U0)5uw$j=y|_W?D(X9g@PBbGYnBZ%gUYvOt~5Y1~6@>9#czC)hm zzPw^56XiLI6f+08gML&vLHjYL*2@J#T0z9?2f*r6xgwEVic}pnwU*I>8@Y1p{rL)V zV%RpqD!r0ijVz?9ZRdOs-1BDqZ3lIHc1sBgwksD*hu+|;)C?^b7<`%e-9UI^SZ6!P$DV@~$T11`Vr+i>Ei_WOM_#yBo7!kIaOF zdrj+!k>R<%Eb98KIzM_vGNn7*K`}B+gqMiD#6TxKSt$(^cR<{C z_d>w%y)9%=UfReuASQnMkl8w46pEzG^m?&>mNEX5-YO&o*q7U`$28uf_w|4sk8-Yi0HX_@#eT?$>@P-tf*DnW8V?@vZ)a}WwUj$ ztJ!h*(f9)_X*uI{Q~&LSrW7p6(M9$qlm`3bcPwR2S+*BVB{lH`b1W8^!WEuVEaCUE zk?{n|BQK@Pr||^$asSz`RjS-4Vc~eB?x~yA!qgm{Bm!wD&~OXv9`u&B!UY^DDfw}V z%d)o@v{HHZOwH(O2mLkTUL9Wh{eo-l1bEbnS8j7OyOyE29kxR&udCa#5G+O7GOh=`HNU-3kl0~U$9n1r@O`l*AMdSSYUCtC*pP}r8=<&gwW|`^HFSdGcVrtU z&9cG^bL zYG=h_IrBtnTtuYg-i6C!go290A=A5dPijylodkD(8|5oO^6KFrFPQpd_i@2$dw;24 zjja{0N?e`zT|b$&hQUB?&wD~PeNdCU7I@5dFlvLR@VlrutPmZ=$6J5GX9fJ{p&zf? zPxhS8U|Se5Ho7UsdWV52S`lnfhIO#ibQx~fx|0*P%&RYgVh=FKmA!_oITdLcsGSjq zj+X1pLU^TWY`Ara+Qy0e@*yjrBkHp+&IRh^K_so_9&Ix2Wr*|4YEA^DPxIm{VC(q( zGSG`{`D<+n=#kAPZ64?ovC_o-*$YVa_t72Dr`mOkFSQ!?lKTKY;7*J$rxZRdPrkg` zpxpx*|M5@gm_6DQ-1Cnv?(NjxMAyEb1B=mL9g{w5dUxTT#pzDpg5Ht)fOD_YzE!jR zeERn3P~KaA`fmd|d@=Ws`<#7m4=V9q-0byQ-Mszl@T0HH(caAiVG8cWph;n8l(#5O z?U`6=+}c>ZKxZ}2X4UgpC+Z~OEvgFZ^_J6vzT4g_CVqev%ujeD%MVufci#AW;Y#LT zakIAz!fi0wlYd+gp2s5*;8gThF98L}G4nJMbozwrcoq0-R1m^w47!><=cV)OQ^#KX zO>7w%WobNUsD`lCrDpt{bn@=qRsjL+IqzB4Q23Wb5>*(vK*9GUyV`c2SxE{7~M^}9FAh#Frap#cy(DWr zZQbvSVw^u;Or78D9Lp&<;ju zZ>897XwW-8=O#457e)B26Qu$CHyIlg2*FcT~pIcEi*8 zVrN=tXev)!Nm{|`1q*k4cK}z!SqfJ(t$gPArJgL27Q*1wnShJilq)sg)k?6Suu0(A z>KK_U0vpnsHzWIg_%f`}9b~xy%>yV>sU?}VxP6LxlI6oQpeYUQ@q($J3T756Ndkjv z{ue6#a;fl@Phf(CY#X#J9-e-pTx~h4Uy{bYRn*=2vM%D@$1np@;k5X-svR1QMh-zc zB^8^0z{2%1lra@gn(xYuYZxz@5K2opvS3?`q3DPtJ}4PaeD@4vq2!!(5BEU<>f@sx zXWQM$CIKTqWT{60N+nne5IdF7K|iHK&H!dHjF+nbT&e6v2PZM513R~T2;W@ri6`%+ zrMgV=dvD~fo9&af`U^EOuPWj2AU%2=-0Z>{F_H@yBQ@+rhuQj14J98_7ON8u!iqt| zomFFwjva*oYEr4@V>WvA%}Ew_5TbXZd8&M}WMsFA9{pt~8)&M}ioNXvVq{~ks;3b- zO9S?3bG7s^=VPAe?}?L{1+L}U85k7wCCpE+*x$*3I|n!<$9 zySf$jv=+C`Lz$e0-8lMu^)9+Bs9Rv1H;5~R@5p07}Vb%f%Xz$xP1Cnhg zauLdpDj*&{;9~--k5i06)b*iBv6gR>X=u#@jpdo^&^=gztcSFf7T^z}c#U8}qy`s!6dV|eP>eyoW_=j$rdQel+ zL?`xE9x+GT5Vd}62>#Nc2#oLAUA?7(v>52$AD8HQ8|n_C-t5<$Jl#L3h|R>Zsy$y= z%yyRH8P?ZFy^AqYn>yB?qvSm-=~lt_OomnOhL0gjy<==HVB;rYTM}Q#F7~X4O5pqoB5nOHfW1@(wNO~Ip7DyP;`&)K4 zbez*LaPXQ324nQCk1RMBTKd3ib7nh>ZoZ(DiB{2Lz!LKMq^MWKkK5>!B46l|cHgIF zu~GH{eXU+U={6VR8%(w(z1;SfH|FNZ#Q&JtgZYXy@EzN>y|ewRg^c{=)P=O3c#+~g zYQtmVnXR@Lok2bl)dvA&$0G0?R7)>*kSA7E0Ri?RWimCTIZh2h0UJH)x=&m|bA0u1 z$xS1mRGXv6jK=IHAwIqje%B1BG|bBZLCO9EYOndVMzot7{-fS(;WTa;d$D03(ATj; z28(N~$He;(NeQSJyoO)>p_gB44RmYQTXQm>o@aS|vZ8Z5J|Mt3rQS$FhLV%p!qJlB zy^SrzSncK$!za1#cKTI1hZxE}VJ?o=6+NZ={u#UmUCU)5vjDU4f;6{$Po%qNlX+1@ zC+;YlEf>8mQT46w_qL959VWX2Lib^?3u6V-P-nZf`+5&_d9e<(ve?IXRKZQC0OSa4 zl_T(v`i~uq(g=qilDVD9z_`1=#^h8W6xo-8N9I(TXK^O^Lyn;CY7^&LsJ~Sz98?;$ z4fPK~015G?ZG@4yUr8cwE?1cuD7W)%Wz)gp2u&BWhv{%(my0;tJx?ZOJ0?*9{W^V# zB0dziM~Xy}3g`g}>gqo1cGq0fl-o}4$I)9x(tW zDsqL3!QgJIE-EqJq3$~0nG36s_4masx; z$*~H)Yw@c$S&k$th7M;riiC;!!%8IVe417Re)F_iG&E^_9cp>3E%@?>&zT9ho%eS8 z?$)BzMY06WiZ}cpjI6_n=5*N)AY%|d;O@?6y4#;e#Rm)I`{w7uA@=c*c(O9cs%*~{ zyK-~@vtgEk%9QQtZrM?Ym;`Xh6J_fP)+!!rFJb$WLB=c`3A1Q_9r$SC(gcN$PD@>V zqFBGKMwZ=%2PtXop=ozK>W3@w3115{Nw3-tobs&>`pW|g)gt{|_GKcG=G{1fk7Q~y zp;<#;7Kp(0(?`5=*rB7($C7~KgyP$t8AD(x<#TjZRBePO!bt4lko{PV$z~Dx3*40^ zVr9_e*=Z`hkPhwrGJ=X+tT!g1o$O!tizUCV149FwmFhz$$L8FdcaJI3$v}KW?Dfy2 zX}DlhT?sB0hX{9)SB^J!lv35@Zkz7cU}Kt|9zDL%@4q>veHGz*e)H%a5%9Km$LmrX z3l@x8HyKK{&ra=7nlaghDD5xNu!&Z7OnqYvoDlYakWa-3D8dG

    W~J+>ZfQay%Skf3j! zh5AHnpq6fY*0i}Rc(83kR(P@h68#&f0lGkl+KAkC?T!ZQh9S15*kxneeW%y#00db6 zLn)TGawC?wH?=ng31kJr+bAp%Nc{xMa{&n_*}YD28TJ9ZU~1w%*xzRdGft9W3zW24 zMcWMHE3igF_)Lr5n?a)kP|7R#rIF67#xvSC!Wn>hp9Jf)xD^Y!ZtW7u$WiDg@V*^2 zNXaCR5;Vq15JWg*6doa_(pc~L^zA)DPuV@qrf!u4Ss>xFmru^64hWn-R z&Z~D-*w1vtVeY`d9wD;TQ@7^o!L+iR9vq>VheF;g-O{Sw!Q)sL)ziMmS>H2Ct)!m@ zqrzU99{PqQNK+Tn*?fvJC!)5C;t^=QHXb^glza1M@$>~(i_DvaHHNJWzxUFOl=2ZB zY5VoG6{i%JUQR00Mx_8*m8%MGsCQHqREZC1rlkp-%kxcQmr^SGR&k5g7ikC8dX%Pg zGk!J^9mX*-ik}^oLujhhE|#yhDQ|FTLLtQwu2I&$8D0Dis+8EesdYuF``up%ey@x+ z{cw{a019zlN9vQ5n?%0X3#2Zu)Y$e@4xvGt?Z|XY2lG_(EJxqMm0CIoL&vzYu>NAZjc$k%VaS(=#}HL$ZS-AWq2i0~0hG zH(eh89V?iY3w<7ce=vqH^E%t`mL67ci;a7?c-oBOUrq z?JA{Q6V3Z!VmZk~hfn!%%e!r}_7uP)Qo&rt=wG+4N^QA6QGwX?S3eS^F43%u#nejk zZxSq=H^Z|4ZdqCiK^l!-HpC0d={5O<58%~I`s9cwcqmk0R%I|hzw5nnxlGXX9X37r z4z!sNvs#4P2LjHCe)%4Ec@a}Wf961EF^;Oa5O+(JOwqLKY>^GqCxis8>JT!3Ry`dP zL%qyGX;#ju6hnQ);!Oa#9n8AId85ViPK;$#| z9IQO6)3qC)!2pp94g*4|=~o15GnipmwN)sGves$fh%vaUAiGz6E~uG!dNEy-YDG1H zqiqn^y=t{ewiNb!jCdZ|AN$MzSYJh=7L}a?1K=gu#l>FU6UZWZrw=G~e|d~Lu?xC- zw2X;Op0Mm{fpHGXHO(PP(`#wrt!w}ldO8xr3peO{@c>>AmyL@$ZL{>vnPj)?4s}tr zz^mIiQU~95+1S&!ea1Osi-wZUi$NyeuO+1ziH|Xei#<-zjSLV$f7VXf5aI8IMt{|( zB)5~4>_o#5Zt{4>>0vV^gW!GyNhGKw-cm#bw-+W)xR|LYAG2JpGvTx{){}8-Oi`4# z#YqjYOQrvqoGd>gU9h8}hE^g+3ZjajSe^C*WJ1G0AkokC4q9WaFvCcR}_ zT`PZ30g@^7c*Gq=1X79Ade%{X23t2A@YxOcjlsx=NhugHeiekkXic0 z83&$656%#>BOnnH7BwyHC-!E7feEfS`mY%DmwmhU?z)W4bk*K6<2*Rz+;?`6vXQlR?q#{}zlYsj!i_^%%hc z7BDoU+sW7PUIGjB=JA;(yc4Y9)`k+}vk7~C1zK|PD*c(~iT)R!r@!aUNtsz&yyear zIBMY0voq4Ly(Pi1(6BJ#G0@Y|u+if&z5QZmdy6v)D88MpSH*iPjY=zm$3!b+>( z$n;lq%lM0Ke=|HQZlz~pM5}6M_#<17nVDA1$jrpl@eLCTt)PvijlH6+o`KODP-im( zBLz_bS^+ah2RS2qK^rSu8*3wLM?5xKaqGA2KU%MP^UB=>guod)JeDBYR>7p8MAPGhe=#UE%Klex~hPuqy!!hCK`AoO#He~wc90^(m{vwuXX zex0@bi;a@i?@Yz-eCq*BWq%I(&+)0>2mSBR>%TS`uUiuI{~Y6=VpELF^nW*3COU?{ zz+Tz@h)yvv{?nG=w@Kr#R@wjm=l^R7XhS<~|8&^>P4E9Qhy9~r_iwN*`d{D7^grS8 z|9%y8MF5aW{ang_l0g5%xZlwK6~_HX44U;%`E>qOY5Gb6Z8-g0CV!G)|GrHA4I_`~ zpIF#`QEX_~+<%tB`uICZ(x2n~b8MTH>F*Of%U?1C{*Z06{d^Pkn`nQH-&Y4HvhL^T z{~YE1ef0kZWi$S&y3#L+%YTQz-v5qf_vbkO$E^D=(efX%?te1${~FW(bAHD5Kjz)P z0s7ye+`oXIvHg;G|J)bxmIwAL5s!V4wpkW|>p-0JNhL)0J<594&V`d>t<41t!tN7> z6^AJ+028oWl-Fe9{me*oBd*Yt?<9w7XwSZMXY73aO-u(g4JrOr;2aFWvO$0n3`AI| zCKIOavv#+BY?cmeT|Fd_yb$Po4k$XPB;UD&m{C{A!!UMG)Hk6{eNK#S-&V=)M_y#8NibP2^{r zP1(EKwk;{G^0Ku>$UO0P=(#q!i%8@UGh3~3bhNr(l5jgcWEQqim~O;M_RGO+9mva* z#0)mFO#aeO=M?3S#L+Gl#j%cblU>gXJ&s1LZ{tkEt%=kz4Bkwk`Jy48Kk^zloKNQU z0xqH|jDB@tWKN-S@M8S5AwZfpXIe!(#YmneUiSR?X+mv#jDc48y-A=WJlFsQaf251 z3QV2L?29(QEQHxGyfQR|@WN8Fw&Ct=MM-x6kiUX%(K!qq zgh3OFr;6Nbi-hDg|FlMLr(=o|#dRLS*}g^)7jROcbg9hDTpacqA%vV$Yh7(mlSUtM z(Rjbv?uJ|35cOmH@(Wjdg4teu(}(AM4G-@@A$Yr~LahtCPr zkoC_bnla-@B*OO+$H>dFYy1GV6N+fwn?q~?9i`c5_(boOi5EO+I>0g#wpjaI0`kSC zZaGKq?rViUm>MVr)Kn2bl&#=%Pf3mr_YpgzO*ZQn7Xm5;1r5x5wBA~H=!jyTS;DGl zYfw1sj=Z-b7w8JOg2;7AU!3K}oCS;Y+eVF%>jczEXBsEEa|?KlPuM3YZlTMcidoC7 zo5>`SCQM$DipElc#y@^EmmUl?WAEkOuB62%J5l~9AcE0vfSG_D&r(UPKs2EwPQl=e zc7 zq~W~%i~w_&69Cz-BlZ)O%9xnM3&>W>2I45lxFWoh!`4W)J0j{=XV9G1NRO-g>~49% z3r51o@mkMS_CrC@eGVN#GutN{a`>tse1w%T7C%3Na78(ZTxBFV((p%a8dtNI8E6b0W^6i_3iIJ7*U&w1^|0hp9=CZZnrxP#V z?;%&s)N(ufG~C5wzz2euT_S6WF7;+~-#`wGd_K2}=MSVW+PN$r7yAKVZsU_H5Rfax zyCkgM?z-M@kG9oyJUm|1*y!NEW~_RD?yf%j6finlSTk#A38g`Ax3|`5&D+U^a~d78 z_6_I}Rd0DryWYXM?e*66T`A{N7p|&{;;2f59kJzI3|n9M)<(bT=hoL3*ZZezU{iOK zvgvDDo)$3-sbRze+%P1iVS090!@C>+XNwOhE=L%*N5Rs0fipEeCk-rfOEuMP5Gai< zJZP$)Vx=7I0{pTlNm_#0@PnA~t@T)mNkmLh_&i;>JRgs?HoS&g1?6FOX{^c7b08uJ zguWDa5oABOm$Dnmo3YNRH*)zjGwpR^;4(c&tZ5QJ&lPgT@iYuW69dSQ+_llHuTz~b zP_r9osi1&u5;)P^?<5vQu(q@&QWYDfcYATkNlz~QD7kSdz181I6i07o^8tF@hxe8@x4 z9LtTCie_wK3B1A?STOJ3H;V;x<|%I>Xx*eziDY zCZ`$zuO+E}f?^7UtHfnLfJm;}0{yLzK{f`Mbn!%p2<&TLu&=mO!!ckwJPX@a&%Gsn z3yg|iIy~bh22*IiKDwwS5_52WzR{}`ild5EZZ-~#800vblJBP6je2k`5Bj-*1dxu7 z6itv3>{pB79jU|0;r<@7FsDyR$zkvguDO1lCC3DzaoUsH3QDQafyupM$j0>R`I=bL zaC9Qvq=3)ej>3lcHIDAJa|N(bE4tJr>7)>1E{Och{bqnBdKptl<6AW4UmQ2SoMt!z z%U%-RwOVRsKo9_O@0GG^*q}Lrl13$ln0351tbFD@LVkC=&S-DBHWt#|Rg#6q@@btl zkP8G=0$?b8A5%!pXpb*xA~P1FoR3H?6gHQtXPvvUc)8!{&eOZ!#LEySw1Mh^wGxE3Uu-P_64OGGmz%LRItO@?I)Tr7N zMbu-e41cMV542l2$A`}}m@w-pDsIl4M5K2dXwGbBLvFzS(LIHchjBC{Z$8&>Tq$a~ z!jS`saZnCq3ZdlR^bs4{Nhpq5G7t0}4FFp8moLL2#_fDu$QusR2) zvi)lk7o^t&)65RjQLX7@p^sYBXDL$~6^H8wcY$DKN~=OxQhZ#hL^;mle83aDMk4>o zgR?JqWS3Jp$I)#UvtL(4EN&U%v^8V*CyF{7nFa|MJ<4<{O?I&R0SSqgJP4I*Lh&eu zLYweqfb_^Ab40G@;MHLWl_Nmaj}I9F2PfzLWtlh)KvYm@kXQHOO3KH2;?HIA2g3X96AT;HJiBbb2LYICUGBLjqat87{ z_T?gkNh{N}4`~C%PF}4@xRvl|e6#%6Sm$(HlBwO<5sf@JOpWjID-;7tgUBFF1cdl- z0e}|dK7|jCqk!7t5+6n9uRN(ret1^j%Kgh8y(TBK+LDa_v8cW7y zq`HTYz2i}GCLg5)CQ%XmaR$gX*zN+vmtAqi_;H2-RgxoSckVRIOP%!-d9MHmc1lz@ z+()xkoV+WMj#bBIh+higr*d}MmE#Z4#1&29eykq_=$U%B8}{Kz!X99=n#Le9%aP@W@P8<-f(k4i!B$t!rZ!_R=AQT-}AxVApPN! z%#cM}{kDwxv*}LAtlPxssHkLl0==T*?3PxiOpqrdJWb5x9H`=2g;+Ir0Xw1A=kf2J zZUl8mHA?FfpK|y+xj5z1C@4=>gF1j5L>-LU26qR8%GbDUQwVanla;cR@#3ZW{KXvU zS3eN$j?<*&f~o|7B>%_U>f~|GNWWVps!>s6u#94jlaHJKEYZAyqjap%YvpF#!IPsU zvdehSix;9J{UKOIh~&8cqh!&X!u$XY;~YoaiuI9uiPQM^`Aadg(m8j%&i%sKl%u1L z23@b>8!W^sO)^b&A+VSZ>dg=bas2O%x{MqykD<;7_PgJts1r*rfokhSDYrQ^SX12s1OfaM-qUDwKA55vvq3$KYZGQYCMvq2sO!D%gl4uD~IlSPN{Q7~b^M_fP z6~r6l!|%j|T+KFZV1*Wbe$7WhThirYoShEluz2-FFWNYK429V$Ebn=z4d-qH>kp=N zTxCKn;NTTKrg%wPJ>%^#W@dGTrsLHzfD`~F3DII`9YVA$o3x%&BGmxUh2Y^)0V104%+eXI5+8T(18c@#q+SjZf`(#ryj?Z+qdAHmA-j(FMl!*(@GAUSS{ZQe${7#Lsj9+L5@6T1^0huwnuDyNN=U&u zpQcJ8I=+YzvM-6`JcOueUy^?#~per8Zq3ZclG-otxlOB_13Z4Pmep& z2on{??aR$kCm6bt(IK*(T(c*$YcZg0UT$2L){oC1yq#+>olFT^ zb9Hv5ma{~d2PUS=F|;uu(--HY@Jab?8Kp9oB0-E>bb1XGCU6ra@(1H%()qfG~U-BY+-I5IZ5Svf9iUF zJ3rXl7Vjh=kF!f-#hxLD^|sldDBkrC{3z-$lwZd@F8pJ!!LpZ^2FLtkuR$0!-c^!* z-6?BbvDm`XvIY$RdgeQ5wTOwD2mqDSFOdz?PzNs0P~_a_hBtY1LM{;mHibltX=N;~ zD?b%VdT?05JO!S#t`1;iXy5Ml`Td5VFMSgTgKiiw0>GUZ6_|;xrk>MW2F53P4E{Uw z`~E#(`qt_DV}1bMfrCl80C+)3eeAcL#+mYe?ldC03tMw=KxOn-qs8C~!y@1lQcc<4 zI}&PM4-9@^VpOC1(CFvx&PIUUtgi$gF39Xc z+20%sU8pwlw$&J;NeYtvsex8QC;0|W@}5Zn?VI0SbX+@0X=?(PuW0|A0NUz6{g`+e?no%4&i_H_49 zT~%wXs=a$RrKy)lO3By+Zq^Q~O0QN(-x?86Y4n*CJ7j*Flo{X+E-h5?bL_Osm9w2|J zK+&}QbS%VleKIZy55<2&&Rc(S+^w%SrHGcI-BY@$(6KJc0L2?C8bn{BiJ^8of?QOM zA|4ZvgayA>Q4O=b6*V&Pt_1t%1EVZ*$(%cW6h#AgAO8nl>;(MVJB*tg=Zfw1Oz^&g zY1Ny!r6{zq9XrrHCd2Q3fjNao6Z|C2F?65XP-Ws&__m^Ei1{}xFrzbLgGYU;n_vPDK`(Iqdbl+D)a}z1tCC=Uq`(K%IN|oEbHrA|NlKS!u z=d4o%-UN)V-u>HJYX8F301NMS|NYqJXOC(&*Fj=!*IW(4R{?TGwq+xgeT+Us8lNBR z=esra{l)LibKekPi>p^0V)eLFlbURtTdyLB4jbfJ{t-q^DUakL>1@ovRK9&#jXWLYe&X^Cc4Z)H!gff z-l&YCD6L__9E8KJL}Sd5U@(~FEBSb31eR1pqC`QN_1-2R(B=#*Fe0!!AE?OISRUn% za!h7lCRM|d7-h_@(d;TaQo>EgZhth!Vi#u&c`)@YOg=3-$nsS-D0oM61&xaSD33l` zQsdoMS88fQjn__T))Cq0ArQ<5DsEbxxD6w7rv|-7C>1iaI|!$DDMlhMhsLsSGtlExMjvMtLQbfi0qerH$lHx zWB!qLNcM_ahi%Ap&=wsNLN;^_olFx28bCQ2e59NgK~+RTgbtM=90`%iPVmY?nZ^Bs zVULPqnCkDH0)yrU`{8Kntwr=(5lAgkoI6xtY4{ztDO`4%vnAxMbdT!FOaUM`e^=tqOk^ z*F{q*(T{y0%Efb)9OVV-WiJW%5@Vb(OQ+OkGA9{wZ1tGNoKYe`+=Ho!T)cmZqwZUv zP=AaU5kB$7pkacH_)6jll&Od^rN_SE)R&b$Nzw_Txh$)6=24}m6T&vC!h=uhx6vnz zmfwZn0(Ve2gs38(CVVD*i#(?0pk~@}7CuNL#_5|6qiLOCn_T*9??G~@zCbD6j%aSS zl5(bUuQUEktyJO7 z8FPW}&5z=@pfR<2wdwiRsVwe?DzV65JYqeOfsW`C6NlvF_1uBvFqL4(6a5NZ-{6|{ zO?6C^P^~x>sHMVq(~_}7(=j{0u`W){WNsq&=XO>5Y{;nqy3*hUO=D_drgsE1ZrV?Kc^G4tg z?x4r^hQ%WDyz^kbdEJ4xhC{_-bw%>hnhh~T2Qc(pxSXYS`9>E99>05FXv&~}@R4T2 zMx~yfbu?4h?-XMbxh_RO|E~->{IO00-sR|G;@rw&O>jfSdZJwa(6Vu*x~08kXFJ(sAoZ=yRe?n z!=m#J>5!N1X9H(LQwSDSUHRs{BR&;F-6nIJ?HM+7E%`eHzbUJ95@{{o_xO~M8Xh54 zOX5m!SyIQPwLwT!(u0trLPfAC;W6*dc_%#`x?ILvr|C~S$> zetJ=n#yAr(_JrrHcg8U+f(Pi;Ief|64zfPS{>{SS#Jvc#qM$gk&PX;$tp* zQ3QWil0SY&BZ-^2L5er1A`9nCjT~`9jic{z*6IwE540Eu$1JgGD@pLeEg!f$U+kQ3HqK{;tFVUJui`{_^BoFrSyHfbOs9Q-&{#hwBQ8I~b-}4}3f7O@fER ziIEYefp1?itd@y|)K%G$jAW0HSoCI_NKg++XiZ0$(LHmpb@D=>m0ydcql)d*myYpj zwV0H>XRNO7+Jz0rpg+B?$r`I5sm78`?M#_hNCNw#k4&BI&@-x7bpJ=S!22>K@Zal< z{_p8i9(JDpF<0B0rdz&U7ae$lnDji6xCcj6CN5Ln=uCGwoN@p@M_mEmAFl}_l?T7m~7nBp9ihA%Z+b|!)&Q{(;jKflWEM; z6S^x0_w(Z!k2@L#+~XOo_rGqdpWVLk={6tUUi=E(8~1GxLNDlbZcR}fHetH$8y37V z=JmYwtM|A&yQ-IaSVjcS{k`#UvsR$-eEYEYb#*->L+HN$5nr>(eMxP7m%Hguk>XuC zh1dO!p&e#My5QII8$E$!)9Kfw%SU!4}i+tHXR-$=GSt z?e%mszw7zV-T}Yk@JcO-vQzu<{hz7p^IL9~z|iMmyeGEn$L76DinQl?uZK}pv-PD| z|8M7uTcN|_484+NFCz+se&nWGpQgr|lLU?!`K; z@aViZJNY8enAMEebx9$n@ELwt&x72w2ebY5Xn20>_M+ML{Wpx`z3KL@Z)4|J#W&Um zkK!)DK_+`~d1j}MEl>yvNdA`%&HP80Hr922MQem~44S{bTtaC~~?pU!uKmi4^c9PlpU8?uWZF4D^TTn$p6ukI-_$VDG__M#47 zzrR~IyE=q#42@lUINdrtdFO%I-EAhcdiFHlPk7#aI87*sE!6twn+N$5dB|>%ZANOW z)UzMF`k&F*=5sYyADd?}q{^>%wZfWTMg|T_>}J=NHc#||hSx8r@2_KnU`1@{Ft4{K zjvwHB#h!^j=o;-IEVVq}+i03S4L?7h+ob+6c17{H9(}(tzPw|1c(7I$UWw86r1yAp z_|5fhh(!z7gXwN_`J}zEb}4crqs{Xyov#d*9VzeY7uQ4*zb8`k9T57?d67NNNsy| zxW=TYZ<42P3Jf0KJKofQ*$aIR;2)Lq{-YVm;^kbztX#?&&S>9;Q1MP-@A&Au%YNXw zwpO$oOIm+BI`$ne-@_S*vK`)Hx_da1BJF&|DdS*E5iw)sAS`t*_nn&uN$l(Qm#d%R zWtcx&aqzTPMd?Hs)i>}Z67cg>OQ{BwuoY+buejzz1QvNJ+Ldg5~_)NFGpEbB1q zDS#o-WG5Il>f;JotRHTvoH$7%dId(WH2EyC{DezG>&uD57+gyamqnnh0IJO^DAm|x z`+0TQ3OtYe4pdl2*#Cy_Ud43=ukET_ZeH)PT-Q7K%eT9SQ!mW&KqKarb(k+OiI=lj z8q@*Y8fHGBb0A(J=qpFaxRHyU@e`j$jMmnaCwVvC13@FH=W+em$5vJ4GG`E?UP^%3 zv@sH93V90x(NCtdOR@ld-Lbu~aNFqj8PA8AyRjvPf{!qEDdTgqpV{EMQv#KT&08(p z3gm>`+7u+a-z6NXx$$#Xbx2r+=T{#FQl}Z^eEWE`J|OTLQNJM zvo^V8)adxVS0O$L>OII`&JjV9=LPK-RCYOQ;(o_2%gu9@@A7@O2IPh0!{z{Pt+ap&7y2V3;R~tnrPd zI_x2Jh3@Q5Yz5N=e&cO5CK8@Ax-5AzZgvjLGdWuKjAkiI%GAMt(c zj=;sl)W+Xf5)Ui0bhJ9Im{if*cWp?y;}tkGM*EaMvc0d<|HD#0RBE$^vtd%wE!b0VZyy9W>PhDxgLIP|qyz7&C^#+F%1g&UVHIvTKL(FxO^?cMvhG_g4RRY%P zxm9xNDLu^2v1=QO3%vv_@)(2I284x^3%zselHC5fXjBE?t&tU{W+-<3ExC+h8Ul5I zjZ-X)muO6lmFw`zS_t!yah7F5Q)n@|>0A@(MZ+%6^0+P)dfUUpRaS z{3Mh(8mQzcv_t;dDk&a!2wXxDU{oQ!ljLc`({CPu)W6V`08Pc;MGDLLreDq3{XLKA zDXA*=9T_=I|3Y@6NElZWvkGtD-2MkCf1@yie(1!YvJkb1BFk8weEYSF2L25q?Nc_1 zSHQQKl3PUDek3bc5nz8EdE@SYpJ?4X7E};~^<8FEj;ML#Mw66g#sSgFQkiZM$3$8Z z?`FmZg5DmKaN`98$&f)pVupRr+AofYc{R{3T65`s`*3l6SC)yg+BI^tlZ|*2$avDe znZnsD@WG0GZQ6J|A~^91eaq7ydh^tUAa+5KoP9%x60s>H0rHuW@Ufn{JW2FkNQn!E z337tBotnO{SPipqw0pR?neZT&ReT3fk&u(Y??5Q8bYD5bNhg3F3*J|X!KZ7RgZ9}>V&R`4)G>4J z*C11@Ut2J2PVKCTM_xEelUE>EjP=mLMMQt+C=tc|&Z%p;Pv?Y30Ok0z2}Lx*#lSk- zG;6zEi+)id0E%0waT`8fZbpLF5M9U@1I3E+`w&RXjk=&E_*c;W@o8nC=51!Fy<<)V z!c1XlWjU%DdM~B{y7q{}_t!#6oKfN?=r6YUb6P_W2ls%qW(!LVP#5=uDgwc~JpNNj zoZ&+tbzK~*8I22j+b&SufXOzYkUwyq5xTbOatqcRYmc_P${6-S$Bu=}9%(naIVDR} zYEFj_BOWTo9+87FY!t5@QwRhXG{}k;hBy6|O$9375lujr#9QDq*=uUid2dWj@@2Gm zxzJ1p8Milj4B1xRNYT<&!Qu|e()nq*!al4hJn%A^k|r@;2YqKBQ>bD{|5WxhUW-H< zJLj+>6BH>`m7tm7jAHuWs7|mU84D4~W=giQRF@BxbiQN8WD&ajHY1gPVppHq9sLDx zi__%poP=9(gxgfaWBr-_snn_QJa$G~dk}0x9bAt=;%j02qSC5zdC~Yg+4k;~iVy}T z2xAOI*Bhmr&X5B>?k`jo*@&t6wX|sp7F8(Bk#b$Mk?jf}d=QL%YVk&wjPZ@Bm^2dv z=s2{1P%ZVfil);r6xRoVWTsiTVxXJ{!&i;trx5hxYqv6OaFjsHCAx2HCYj^K_f#s> zVc=sZ>7(}Lpcj}R<@J8)U2ygF-ZeM=ha8fSa@BgB;m}eq@NsLzXR7%Kq9SoUizI7t zTO6F225dRqlI5+edb78Jc3zZv6N9(i5#{s8Y}(Vo2Yq$hGK%MhL5Y#H-Gg`TArD=nSmLocTpo@tOJL#K%s=oqb8cJhjGVdoJYi zd;xZ0WE)I61|yaJdh*}bN#7^q9q?weKXc{75^Ok;K}I<626^{TgYVEWO8&9M3T4LKCvUERKzaaoDXn}*bHKgU^2}@UOH-$HX+fT0bs}~%s|Rz-Jpaz-mG}(ReDkR z1^-Xv;RRnpJL!m1X7o9%iUzPe|JgIjH;<$4ZzuNq6zgAYA{x-k32o7fqRe(nP!(|) zITR7>Wpt971Xwjd5X$ewT9b|0v)9=i;fDMc2HR)emGilcTo9_)es1AYVIvC2+3czo ztNHmjzngxtUnO%i5+H@K-YnzlJLLg{(3<=A>Nfl6^t0G)&?acw2>wS%(`Yk= z97d>1`#}?7Os2$5=BkI(@ut?w+GNgzFv|NVaD9NQ{kE8JoZ>NB#<{)0jO>-#mQEdRPJ3A8^_yz-i0O zjb@a-3`_>TTK7cML`oo%8r?UeGtBWvdn&@LN|X>^7#U#(VL0}11GP;!SWYncp_1|nET zg~Mgp>oUW=kVXO8F$m+T1zs-MM^HP9okeW4U=Qrh4n(bK@shPULVASYriyuA0u+Qk z2DpGy&SY5GWcX*KQ6f?1{A#LiZ2FC#N`j0VUNOCHeKk4qA@;S9IbHd9;Mp=1i85G$ zn!h*3j9$>GViNECpyGB!IB)5rE1VTcq5=1R*U-75aLP88AA(fi*v^C=SP>ufjq_-yzISIF=h(m*qg?ff*sbsI!E$^ z#Z@~NDvbdalQOC>m;n~^0v7WE7Eb^c?*JC_{``*nCRvObSVb>oHddbn>+b%zfwEhoW3EdVS;DlGL<6Q$du%7`ZT{Yt4C2@f5I2g8rlT=b7L~}F~BzLQ=j7!v{ST; zN9&JK3O%n)+|!M%P-5eC@`CBj#L`{79{1n^fV7r1)NQ7&)E_I>$&R--%mIDnNGAn% zk}28U?5WPP%J3O!l~|N{*OfPGS{UlqL4N^82V|E>54A|2uwwBb`OrVI?QDIF5d0jR zW@wQliQDsS5p7H8V~($SQc;V4XFf_XRengk$S=O&XIZ(V=Q+jT8_c*q1e-)z_F6gG z`$oJ|ivPZK6KPGXf#!Q1fS}4e{qcP?3%1dtGA- zlQ$g7Sk4CxV-XvHvQQZqm*XKgpSNR_49bN4)Vh!kO*~`%dP3q2oOOu)Q9DN<2e3e1j+|)%y~R3kr6Y$^7MG2i zmU3J^uP7y1)}v)R-RJXqe8MSuOKl|80CZlZ$a3D4{BM;(FJ|~CSojzQ`Y5j-0I3nm=DHG( zZ)M!AhznNk zGWIs~c!9-&;Z6#`6YBg9+(CmUCR}+<*5fZP0 zl_I}LAFX}w!3?hPOc>*Gs+VurqRd;WL@8up&H!Rj&K5}vgx!3&5dZ-+00eLa5Ww4# zD}i;k)Duk^o&GI9oDV8g2FB&Sup+KT@@iQ_B)^N zVm`IE2kAKWl)ZOPS`U{A?q+@O`$nY^poK9`sjdheBUJ7Du>q z3F~Er3;ood9Ah!qBGw=1qpo~mEWR^(0~e5V=;w%uSMeK}k2LR|*Jw#E~IYm3&qm309Q*&`5Oa$kyp{A*fBn!aARvQP}s)2h$@pCMz!Se zxGfdsE}1~cpd9CA175Xise8?qN&vAWTa9FIX$eK*W`4-6mJoBi0(K0bJ7OS1n4i>{ zFlCMW>g4huOL;MmIw;ZzDE}oDgN?g7ox1nL8Wm}iPp?8ocaVfKoEIiR4m-g>h`tRk zIz7({QOZjx{=na4@w2pf+Wr-(HlOjtz}WuZlhTS6o43@0T`<0cYxCC04`dd&prt6$ zW_XtI+>#!li3;`M^>luG?;}&F5(S30-3W_{0@!Ns6;KW!$n%8$bQHt+`0TD$dmIvusB?MAq>gXS~~D(OwBx z!l^=8ZN?y3L0`Wlgqn--gLJGxK#k;w9uk%C$Z&^fmthzbX`mm+Uz9(h-e2Y4M^rZnh)Fa2xtoZ&rGBhY@2Q1Mpre-WQe-TY2$Ssd-xg*<{_y?4RKrT{1i^snBQ^b@%^CEb zt?$+5v4ak!7_TsR7sZ8At%Id$QkuB5q4O4<8$HnGRf%7OwWpKlrUp) z`FqdICNN^n*u(4rr``fiO^Q&!XXgg1Pn-b9u`r4BefN|rCsXrxloBqQ{Y^g*7%1N#g+DB9Bn10N`2>5u#*-rTFz zE>>a!<5UIFp4JRlV}LZi2l{V<>bjrMtH%TpA0)0Sdzt-305gk@V6(X^#<77T!x(~V zI#mHUy#ica{Q{@=3ta^3(h*3&$$f%#NkBnxPb8`sn{l`+k5ktClA5{9n8WLz@i}P@ z`7~6 zCj>36u66#mbC=eT_r~M>b~JO?6x~i>AEX&PFkh^+2dwM^tPBIJ1YE#C)L(f`2~Y^} z3x&`F6vCsF(~8(wjOvM5wTsw}xJXouW>K@~9PQFRLWfxGAosG0Hr<&mj`I`YHYm{V)E@6?t z-;(w8rDT10DOrcu|H8~T05e5^o&kOaH2#+b1zz-wxg6h|uUnw(W{kt280#jnspOoA z+~iQOS#Vm-jMmwNw;NE`2#8t5?SA?!8)m2mv$X|2m43f0{MId$j0%1XJh(5 z*|-U@`=!H65&+nBvlfSWY3q*Ac5xHjJ;2T%gfd?0g_qv>w?lH|_A(wdJ-}XvHy|8M zW{?il?Pgcb8v;2uRDd~JfgCT*l^x>0%@s2sxiUzOX zGoWkzf}elKw>i$j018tA8VhEbk~l>tnu5)R^S2$me_mA%$ZVs3p{4#^X#E`lL)n=w;GdpU)~T8X@m9(dKU34AZ5 z=QqGb_v}FFc?^`EgMjdq1B9p3OX>LyC_S&uJSb9do#Qa42z<$nm+2Z)V{_eBP1gGL z-=RP|sMraYJBuE@M$OC+SiZ7k35Wm&)kf@E=fbNq`uyg#rVn+~0%>*AnubBU%#r&G zX*w7qx>;1mA)Phz%#f+&r_M9c>8;_R{4=8#7R={nodi~w@%cuQl>;1}2F?k+=0kV( z36{gW*pk9E!8^`F0&Cbcp`tk~ z%m2BvFYkYDxAA{3zyLN0X8RxeUbLp^y{y3KT|-PdnTWhbXdo-1t(_6p?{Km1Zay3- zeJ2U?>VDmslty@y@*7t(#kV3;e3|b81(q|_DTrp)=|$btzzbVr=pQdHOOAh*J!>a4 z3ON@XaHRzeTM*x$|8}@r#w^!+yfZ7v_|$&4y>h4_^z0$9GFE?hutM>v{bTP+ktr&r@U&)Z!w4U;A`H&*h``=TFZk ze3w=g8jh}QX+O3an^zS(O`CMax^tg5-k0lnIz|fVDQ1=3oo4*VD(*g*%1ETPdx*3e zJ%QJHd~DM6xZOMPdN626_qt6~E&WY|aO3a}zGT?q*Vy^x%-YzNCY;lEyLK1V!Y2!) zZ_bPFS6H%&?%W&SuhwhNMnyIR76BV^H+|5(dNSIcN=~?&wIiR;D|Ya>esFNVI~IDr z=r+QY?7p4~g2FxXv=*^mQrKgS^IkDZovcC?yP2YCK0gSp)m=mAK|Y@x#^SrmJ4qLO zniiV-cDrFaKCPNmb9Zpi{O#dS#s=o&G-kp0vlY|5#pBnt=dH+Z?q0-O?GKL^%>^gV zRZj;`<=05x9vFfP3MjmuoA)r&78ZgiF2it^!(&+AhSk^Jd_ivy>JZ6N|6IWEFkJP- z)AI*Ys97V-(y%O!Du~}EZ2lb2OUpBfW|cj{v_7@jJ~U{nkwU|7;>~5)t&7bCx1Nw5 z^M-4s?Yxxr{c+PB^zxm?nqJ?%?bR`7gcYpXs0?SN;NyzIYqjf`PU?gAQ&F)O5! zi>a}<>$cn@-JU3(_dDgqR~`edC_~rgV~16W^Gg^8jj8vNVs`Ny2c%x)iLX%N*nVOJ z`Yxg;o@OA#t?sP_E^cYKJ~axq-k;s>++E~PHbu@-GR}&f*sd$?dQ+iQ@LudVAh!xJ z|Djl7jySu=WK8JSTacVU)Jc+oB2%suz$hxCJ6PcY1qiIBnb}q0pY^#Qrv8% zUBan!JeF9d%7qyE7{kDS3}w``s+`ST)IM)}#@ll)Zjxh>vy!iuSk~*;#jVnLeJg~r6SMpsYw0)SM6jXs#jkPI5{5?l5BoR`4v-oK zv5iVro^oDB#2P9q(=Q{eM;UzI#4sLPp6*^fr!eX+BV;CPrcsqL440O;XqJI~?<4t{ z4V|*LE0hvjO?eKSEUo+*Sq_oYkNEk4zUx}S1O;>`B^3Y(YfOTRiTHd!=7REwmQEA{dT6z3U$81 z#an$XIO7~n6HktnuBi+bU(Sx=Fy%9twP-s3W<2}Ubo{A4u|S8fMLRx)xbrEWsBKNl zGlZ*{e0(=N;PcL0>+1%tyn&UKIk{Zy#(Q0oBv6?g`d6c#L457uVh*em=tz^+31#=1 z>39h3620v4Ij2Men6b=V{}7x}0wtJoB@eZB(T&)A_I9E-?U zz`Ak0dSt?YlajZ1StosRI~$MP^;3P9Q1@C!Z>9MBt|sQwNecQ{uJgf&*rCdfwWa>h zknfMUG_LTl{t9XxT~(dRp~xc~1;*Ej=1Sh1*=y1)lO0g*EE%tx=$5mPdg-{v2^8vv zu_>1&kPY|=Xly^75q+*ALsqHy3c^>Ydus$$7fSOMSOfV>Md{7sEc0xed7>r%-V}d? zZn304j149I#F1R5CJGzBj_rKB8-2HacrWYx@}qnB=Ddd9C-iaOM!cu6S<9L_qzGo8?zO{5oPTG5wHY&NAx5yqaLIz3cZN_QiR z%baMl6Jxeb_oD-H7J@KT_o3e3OItF08#zez7F8csD8LZ~* zPqv$~#5v(_*&LvLWKJ#UWvbo5#L4m#=Go|U!dOr;Mjdg(_`e-)V7?{Q$n5Kh-}!RP zo%rq7GErobxK5Eg{SR>pSdEH-o_IjI=@i)t2^hX<*tCLyhXrpo_)5_IP^)b;YiC|a z+^e)-{Ea=_sC^>DM4PUV*87F)6F4{Otj0$E+zwU6&b#GsXj&6hMc?0W zD`wA!AQulCD>oQU3?)2TnJ;pRAh&`NJ~{uBt;i4^f_EH`16HoL%0anH(UnBA+A?n< zjI_^xc@)|=Zo;j;*Bh@8Ol8t0^i%Dj0VH<;ONXuU1{Z~4vfmqzk&P-3=!dI-lCHdq zghR@aop7*dFc>tAMP=1f&~rNq5-_w-a&LePs4(xLg13~H2Bta1LN>%Gdm+{G4gb@& z#9)DZ)?DFmWQMlfG(k8OQr?2gBQF#*F%;&+(aos64Z2*wmGhtwi&A6;z?G$Fy#f>d zaiq|qfGec|SLOu)uA~B7Y0d|@QdE{0R#=vl7fD69=t84e?LJ$#_;;!tG%z{Og@tE+ zl?Np^V|WUA|2yg|HS##6V=jbD;qbxN>bfo-Qw@jCKUGhA z65F31axe>U3w>1Ux(3^re={r}O#5e^b~m{@&Mp2r?)HI`kthFSa#T&lvnj8cKWE-; z0cR;sWS@U@P6fP4PfNp>BZ)PH^1BRmTbL&ooH!{mq1Bu?4;(?k@AV#fxW~(u#AJFu z?{+OHHxH$5sti964{<)j$^hYu)OC+t+iLB z%EVCn@!NNZ^Dvu~s^3i^h#cF2^80tvtyY5G$ihDj`}UE+r16Ln5zXN~q)JBAQtF9h z4jr%tQUdV29=C_%@9yljy+P++r1_uqeyI{%;%6Z;`h6j9IXF~vzTUX-c}3@WWYu-x zw~TdXN5{x+RkLvc%}M9Uqk46Vb$7Z`DklPxPZEsk$;H&`6C@F(i0}_JDS1oItV`(I zw;4cd(LW#mzVkDOJH*f6Y^-Qm0989A1RuMX!G&gJ_xpm18e{s(mDWtFrQ&De_gu*> zBURFn^ybm&%Dh6&XqiG7x#lzCMHSW3m6a>-qDtP%Hwr^v!aanB4u=CZwI68#L$$an z?ysU8VGV=DwZo-rcu@u$V)2N;VY>$urC@i{hR+n*WS2xzQ;a0YtdE zT)vatQ*-uk9{b%UgYcFmR2qFx5G*H~fDIgH}K2Rj5EU1&;G+?()2w!e;+0hrC{oaP;)bZ6y-^f(Sv>_ck{3~ticcGi32i@_9f%| zWm9>FIo=AA$^j6DV>YKq(?#1><7_ZsgyM%hlcc{Xw{##j&=c+Wu{UsZF==j=;7#<( zM#a0H>87Qwu6;VI1+pCrT^lOgPY^)6kvkRd zQP^MMv=7j+NZ@$nfTVpRVZeh}FB3Lk^suiTYLtERaD2SM*g-@9ECG`e7~lkKA0oXa zzGw*=3@~twu)l~&WH!dO6N35os6I&Tbg*z3i;XV%SyC4kEGJ#hO^nMOR6<<*Ky#E%Xk1y zlmCsT9RHxHaID3<0&CKfP7ta>{-yWxZskQ^S|p9F@7xRHtiQrx~>Lh^RCIK>(_W|LmddTp5BIWZq z60l-XL;##(7{YEAQIJ1BQH<6Q#G*c_ zK7*@^$2&CQm#nlHtnU1vQksoJBii+e{WV2zd^j~rEst#9FM&_>F@_?61}I7jH2Nj< zAAaMRoaE|~_G9}JD8130XP#BGNVIg^Q-NYq%Cv!lPxfLEp1?`At;_@%%^VWMi4Vne z>Rcm*2LI6|5TOPz^<%|=e*$2txlefxVCn>5Y8p{buox-QBKR*7wA2mD<x z=q`%;j{g`S!aXu4#ixcj_&`7L4? zcPOXzQ0qt{l>H+#^oj3+2>bfc$b9NHE}5`;#$N~2g!_TK^9jaABx7U~0l)==F992x z49L4hAn*8;jC>(Fulo%$an(tk!la4k5OJN{3SoQ@QJsdQiJUfx#56t&7de?X zt2Gzt7KdlZb;gs>PbB!l*m$c{v^&t~^?>|LNw-5a4__B2{$^RF2^ErjARe%2dEN=* z+%cp4_FWLxeMF@Vrm=oo&nIMni;<#4h3SdJpG^qh2M6? zz^g{Uy)k~a_kep}?E&tk>J5%1CD1bK6v5p$u)C4*6-)TT+E5Mc^eIv@^&qp)xC!Xm zO%n_H9{?DO@=7rEpklz7?=QwW0T^35VuZp@Hj^a3_kyuWV*tj^B+iN>TePhdzZVI4 z<%!~uBU2)T5qq^NmtOfc+zFO#Q4v$B137fh1sFINOLfRg#^381OPn>HT z&LMh%m}A`p!_*Ur@22L3H8h%M`-@gMS9sBuLS)qTq}0c-{{r5hi2n_|6J*Vocz61g zm@`QO)orZ?=XVGmiO0b*;^{;F)bB6AQHY`P+eMbuV&pe48)Ess0sy}ZiiGi;0Zb_H zn@*bLqI<-#hrMlbd=ZAPK!RpWK*@-09B41QqfvATjHQ}f;ZuwWXd1IczNEAPx!zKf z$~HIvf@mqf`-k@T1=YybK%?e_FLG;y1g!;Pa=wuhS!lrEa)UrY)}iJr#Y&PPcG#wf z_^paVP37KlBjRYb`aN*i52HUy@aP56K0l1&qfebndHR5cvdRIlY}f@@HcSFc?*I*D z8wUCb1bX;jvpLv?7XDBUUdLP2I**%F6DqjQ4e&mFkh)?tZ*$r~{FsK2t+vaa}F9F$YwOo;` zRFj3XXo2BwDrw%`weMm>pU>^j^-6K+0&lGY1dd1XUSKxex$BxVSV z0nUbfgBen5E4IUP#HFV8XIV)ycVutJ=jAZ+;>^{}3C(*hHDctozz`#}N_6^o44Xtt z?pnFpKaLFg|9}A0f@zEHsGpIhQRS$cwo5u}2f0?I} z(D*KtX;MB0z)xWZKmk_*S@0J}e^@j>ZLG8>9(4pPbQp73Nptbm8v{JcTfml;3V3!5 zh+9X%v#GFaejWo#JpGAnF#$yOe}}JXH&Ts=vB&=8m9b)n^Xvgd5AScm=RVU^*o3W} zCsKcQ&n%Qb8^D|x-PX;Q6)SO~{=_;53Gz=#_5iMt0-GFAbn!%_hDwa_u=UYe3|o*9 z=NO!=jta2#ZQ`X+oeyYY1M6A~>9;ha*$Psm`ofgpA3sX^iq@4c z>ig8;0U;O%<1|Xgk>&gm#Y#RwOHaAdeTc$~>pV)v7&7EvC4T|d1cEZ5$WpZe&BOkT zO3Z**?~V@~jBQ5DSamElTYD?dqBrqXIf!vfhQSPW--#fcXI41;a>h=b@NTAJ$1ekP zwabWGeq$F0M7>F>7JoR>(9P7G1a%}s7#k4Mf90YGvDBCa6UhMfYpsbSahG^Mca)I8 z$={3m8NP=0Q(k5j??v$K2Y$a+o?L$a;Z^USPCy=%d2uXZ1Jl`U@~s!VrBL=W8WAz%(t3878rHYzJKjK%Xs zMC1UfmmWYSKekh-87esuV!i+0wjts0?`-!?c5z@2q#+*1gzp+2JVO8;vyGLeNy3=` zTAw<)A5W6F5UkwyA~!O_X!Z=5*fE6dWtP-FB4(N=weYiJ3Rm*<()9~KsrMLYm}H0O z#e=C$NVzeEiL+1!YB7Xus5eU30LdQrZ^=&ok7Vx%^z3!Sx{YSZ@f?UOU&`RKvk`X|pHD*nFA>4YM=XyacT`2WWQy!4RpY)QcyA=bu01?}2B;PwzHkJn- zyMMWJ^VGR9LUNW$onKo#vO!E+$iWWc12ooWJwgoUKujM#v#~0;@0dY+jBHtBfAxPF zphK9?le&};Iq7C@U-W;WBcPWr;mLDlSwfoSrcykT*QL60nQjcpF>?%@Seh65yERj5 zXmyUZ3?xVqyp(%8$AfcI5_<*7P5ouHeQ6`f;k-;?y3 z&L{Afd?9if@9n2O#|3&{1rza3x-Ya5=Ef&D$=<57Z(uMy7|soZd`R`)?(0yQ%3?de zz450zQmb9+9DDunYfRY{urFmlmv9u1?H8T>f&A&>{CB^|?$C}%_HU5lUMnA?uGbv@ zA7ft`P)D$&O9&R+9fAacb4YM^3+@o?;O_438rZ1+;G`K zvKJ!(RWKOfr*Oqo0RfJEC$4NsMuNomy5kxfoN{(t`L;FbOMUC`+&ny|cL-V=et-xi ziE^#!8}wFuhi>(?{#j(sdl7Ok7C190ss$ie77JwC=?8*klN()?FhF~Pe}}UlFr2@A z>%(lt2YP<`i=EH@U}ul6;SOM>52aHw(is?h!D^FCe@1E>_I(ocbuDfabQVg3%qyCY zc-dv)i-Mx8dk!L4Qxxr7!|20p#D|hB5Lzr)kOo2xPe7;vM&O@j*oMVK4P};~Xs4}h4e!&lZ zd|h&W&7TpsKflDAXZLQUUW;I-O=g{0@&`+i%_>pGo99M%u&5c>Nh_!IvnY13oSn>| z>1^Zc&{6>*hh2QSPYu8}1%LCk>r|oMPL@_9xx^6B^uH|uxPKOxH^4ld13@AL3STF7dz8nqF0>iYGS(4f2u0lJDTsA#4 z^a2F1Pj19*YlU4VnuT(9xXaCE_|hm_h%(lk24j$Ayl?H_7}!cR?_h~+vhDLsF!%VL zeF-4m3II8n1BmyqY4?7Aq<;PVDajZiljegX?4gv-ZjDK|D~wrr5^?Sp-*jbZtB5rZ z_XY>jp{cp`%O{L*6k`IqPxF6Ph_WW4fe8SdZ?<(FI1ghcRreR?TWt1o&|7txbym#V zgj#R}bF=uHvoc3mEd=S=y`8lHjO-y^m^!59PwI^rbJpD4S&MS=mv{ zL8vobis3pbv)D9;&UoJ)@)L*zS}0EMXss?<*muj#^(M8BnvMg-0DOJ>&H2a38(A=c z@&6~M4QflGFM;w55{skb?1C~~TakcdN&iUpH*jU#0M&)kjI#bgw|R~MOK{3^rzV7? ztHPZY!0^-~_>XdB{g+$;JWG$lQTz9uzPqaO9Bn%%DutCITLhHW5>Q%JH7H|t!!%FJ zh0p1;#qZ=#eNJxJYS1S#>TiAGPpp_I3H)r^!PY{+jLou` zy%&lT|NZ*Ek4*f=kYQN{Mb;5bnv}(-+7?mo>EVvpE2!z~joK{pOt0R>-@T=@ZK;%^ z+5sXj_CSy+9oX%;qb3Sv4^SygDoXgbtdw;cc8ckMuqyJsoHMJRjO}l?>mPFSfx$8;o;&p+>MW&m|?^zdIb7ghs2X9bz74|v8GA1L}0kU9iBCpOn+2xd}# zMv)Q%F??60&;~N$IFm-w9;(d!;mRT^j3#IHrCG{_ zNRxd2ac5HqG+^ohOjO9EHotsT4d?C#O#+69`L7`|1wOrqSpJ9-vkkTQ414K%?79tP*!Hq58D?&F#vgM0Lbf`To@6MLK(%>&7A%30fLX|zW{<> z>WR_&Xg~Zo)0uk4CDh6GdV=>%n1%Cr_)-8V}v>vEQbNuo@MHzgI8S2Yi>EO-jG8>i>M=+lL zEc9aK)dLpfS@*k&da#e7#`b(xwxk+M7ELxzHyzr_7L7L!>2HKpo;A8GmR>%NR_hhB z1Qb&-6<59*aD^5JjYq__C4WYy%i} zL5&3I2O3F@x@;hUEV6!NDC>~pCB!SX<(hd25IS4{LWea#=x_m;kgz^3*wj=-z%ATO zs05$_;R7`TAbgN^aE>3rCT6j@$ucS`7ZD;#XbpT|O)F)1>@x?g5`wT=ZCQO#1Mh79 zD*oTmc?SegK8Xwn(*??&%bOpQd)CK{AMAOKHfl|0NFtPDmOph=-M*;?^ zAM3|F4G+a`QV(*PK{l2A;k~ptdHPl+L9DH>nLwQpO7xvHK%%Svamv>EuPIxI_09@6 zULXtQ>hL0Cw#7Y6Yvf%>&e_Nl?`j_Udw=U6{ab*oWnWbj4X_66&lc$RhMJnJ+De3D z@&WC&~LRHfr} z`uLW>E{kX}t-`OkemC`O4WkB`=4}Y=6<(KEsO>(XcSA<)%L8N@0w; zX7livHNIe6v`5~$=P(@keJa0d7WdWO?w=khblx@xP|ZJ`v(6_ppcT4QQn#`yK2ut)T&xZ+dJCL3v#k zdB2>cF=RczZKr-99e}pysQ99wn+Jt);pi@U(k+hFEk1kn_=;x{o$(EltDu01)AnIZ z1~R-r9i8HHok$2xtH_!Y&4v@_tQc2obhej-@bkn}YAS{`t7Ma{b&_zPmX>`Mjnw^{ zZ?muTL(MXqla`z)Nt5U?4>JqotH3HcqtPt=ZHCR`@*=Y)$2o`H#ks7Po6QqGk7W%M z;>wf4_K;g_Zd~og&quWo}K#R{hMt|C+-$O6TO3 zHsYmCho}~6c}E#iYYyGezT+ilA;F~kMg42k>C5AgYU~kZnObJ>+wKWO*;z2wK&g;S z{m$yHvGnrZYoRHovf{P9&Jb{9i2Ru4_4&@zQBs@I?XEG7>aMZ3`--wX56blP@qG#m zTS9Sfoz+4G{>Q}&fe!6v;<6^$K|4odZRwNg@XMdxmO;m_DypxZUN=&BPeAU^Hk8wL zwcF*~w?VVx)6?5da~}1}p-z|fj-GAHk!khQ;X}t(E6pB`N39{+Q_DWr1)Qu}T+!FR zy+1cr$Xk!BM#)?`k~1|HEn1QHjB^-%MIrCK-`I1|QgnvXs#WamVOLhQeyK$}9tZMH z9*-MddiNC(9C&e#EbZGn*wZtezN|3YnWcKURAa=sjql@lPHH0FjHtBC_J8b)YF|Qq z^J>$wES>f^W~QQZxODY0_x5*v^~r*Dor{*bTo=e;)yJ|tZGFxHd+}Op`AT_lMqzk5 zyV!6#%q`64MtT`z@<;PVWJL%gpXTavf6l{8&O>nFX&K`~-*1HFo}X3nNk|8!;0nxU z)ucL}=JS`QquTvY_>y$aksLZlt!0^nWOL;QL0K0n?uefnd0G^Ky^8b2rr(l@JGoFC zxwc&6)*m14CyWlRJ{+jf2U$&RyN$TiF4v|VuCA;SGE0B1vHU!995D{;tgv&)9mhb+ z;VREH*ne>2Z{aFe+TEK{GKUsbRb{-Q(k}HVHCT};-8&Kf>H6>@-}dYN*D;$ULYSBR z^WBN;b@<$p(iq=ioxA69TAwq@qL#6aN2%9xv+M7+l%(EHu7pzO=bfG7%0+#ynRm5k zVmoxE2MuYCm!PRQ4WYcSLCq39E@{rU9KDNe$x*Smi?lJ93N!v1eWfNS38=NWtrN&D zY}3;9XI2MhIopj#j=tLN&L#dY2U&}C%4If0vqB~!pK%3Zilpr$Ot|7m5tAg1X&@xn zB_fWaO%jk(NYW2<63F6gJ{_%j4b1PArt2i6hS4Iz2$TpeT5PKpJd)jnNyzc(1M$}Q9%6KMR z+dB@#HJU^)dCgM;9*jbFgINjXib?wrhECgEJFYayC}bAOJcLk!$rS;0KR?b8D`&?Sp7gnu1KO9hT-V;n4TCSC1*sR4u`mtnqt)1;tLOZSqs$<= zv6?eN(3sQ*L;L}MxCBo8Dy*2G>ceYRzSO6b6k$CJ=f)hQ>W^&|cq%qUh1$nPB~(rl zm?-OqX}y?aMyNP~0@IXChKIi@z3y-1o_;$Oc`7x1xkCt$F>t#LQuh(wrJIwg=ig&l z8k`fH48i>5hTb%qbSGSd^;zM_m&7?3GWB7?H!b6Z`ayL~ zOkE3L;U7*R_13f66(kJlP*8p%{3O_DAL91YRgRTG%8peU%Ecr6ZJa3j>%V(g*qrK1 z_l#rK4>P9iE%FA}hdo2`aU2Fi(*u0Btc*OH%_-_ll9;IUvKdR}4$IT0s##7XMK_4r znNm6rL+pkIB?56fA?VY z|2^unrscdd8@X`WI`i?fTi4@e-6Gtz_s-fOsudP1)2Fv@T$eA{pX2{w!6* zdfpHspap~1ZZoe88+tIZu^|!o!?$BE6$3*pi?^|kPDbj>)09GCHI3(k^_^;4 zUr9%Erm3n}-;u%lK;%H2@xnMTk@N=%ObbvriQoI_OI{cz7rB{iPA6rS6AJ2GeUTJ= zhubHFfD5UF4l=cl@N+HUs}US4z1>ip6fRLNytR6Mi$)EGlauJoZ+VBm<6Z#HJkT*g z`-$_&J|k$Szdn&nPNs|)MO~7d&zK)UeZKZ7=Q@hXs(BuS@W@U=-uNZLSF}w(K zksNS}j-+JJK64yw(kH?!Pvtb`cV6uQ^-arLnANr_rP(mLvaBL_^t8$#1h3DZMO;Z} zmHnWVO4Z;WfSgjSAqw-3W8k5pF7_-K-Z1JtKs|rMlmpnqyShu*J*5?WaJShq`F7Pi zWg4YDAM9XtXuA~z(d|Q?dkv2)sK~aL;AnkOlJ+GYOSGl+I%1!-|IHWSFBq7L@s!*} zDck|f;D@7bpUs?tcgQr77=^P^WP+(@OE4U$JD3d8a#HfCXX!>4s1pI}_DVgCZ;~j5 zn^vhFj?&^NfBF8HhaaH*C@qIDj!m)Uo6a0cr#sOtU}Gw{00+rAJdw_v6Cvz3740UI zN@qbb(LG8il}hs6s`=tO2>V_o#NI?C|J^?&tUDnLZJh}}0o8+9Fko_G5QeSl#??;x zK_&IWhkWKLZfH|K|FDS<`_eI#SHS|0F?Er@qwSf5ASBw+#wVa)u?hwn*=m@n(aeWGIiWcunw&1uNO=gq9v1- zgMfmA_PJ$(h@*TM9rOFtK_UYF1m`)cR8up-b%eb3qw&K!iDPIEbw4_$q7sFK&RT24 z`{B*c#gy~@wkOv-gHos9^RL7jvDwMFB; z8WNDc%!|TRSPL`5&LG=%EOZVGz4ap7Ky1n(iKcpG=9k$CT}O`tQ;c+ z> z%N;ers#!*&nL+jstnDJXbE4%uh_E6hL1lr2Tn`~xhDWD{oyW@B>tGUjM;^TWytlu) z%@xpH?SlnA0A7VP?w9<;UQVuIxFA4X=hoML>28Lh-g=^1i} zD*7x|ZdCXf^P3KZUJn?LJwHU#C4`D`Gy53MIq@}mfMz1r?}xWY4G@P+snEcj>z`nH zFdY$x3@MRv^aqK>91&e#dS{sq?g?jQ2r~fd3=`qS3tNk7skgUlPlm8}9~y`XOBEkU zQnSBnPemTn%pN3 z$ef-1Rx2fcroMz=C1^!-9PR-yM}DYjPib;{ubCT8U95$!>4Ss8N0XG4QR- z*xv3VT>w$r*VwX;4!~^(vSX*7{MEZJ9q1e|)QhYOhbSIu+U~~0> z(EMu}-;C6Eg0{bs-sNDSF;PK~1wxWQFhO82JqT<~#Bl-Yr+0<%67D|sQK=jFZdK+g zC>Vx2q=?GIUQDGo(~=`16&ixd#9dEH%1)-yy_UUEA~`|eU7njoEpr;i8!$msb_NMy zI*dMU2S{s7*(GmuixgQUnYSt6(8c5(pa$qc`z&!GF(80n_5hB+FSvlk2K}|z0pL5r zY0yOjQS-7j$^NdB+*>fCii;KOPgF~D__1RsguVT+K-41IKO#jKJ4O{HTbJak$5`Z- zQ9T;&2zWPf&`Y}tuODk2$R4aBoSY2-hYAmIst-XX<@P*hyWT)VsEAJ4;-&3vyL%t; zF0MsfDo{p(3cTTB0v7a&=|#v zKnLnz^u>Mz3^sdIuwU)MxuC|f8p(HtQF(LiuLMK!YYN|kT zV_DYBX4jyRK0Fq+J8J1eai6(}8Y*>S5s8A0oP2({yHI#MIvS~hi;QUF}HrABXVBdcv*e|0bXHjDb!JNu;7Gypl)V@wm^+_GCX66VQCk;J4wf z(FC4o=uErTBonLv+-fN54i1AVFpMkyx>dVCz^%?>hE76yPHu-0z?`LtWCg2+$h|?s z0PkXbTR=yj9%zmJ5RV1poeJOE z#E@b)cUp5wTs^L6(79htW6n^0XEuPRmH-`Qq=L``M(#7NPiWHk)4iugGvG~J@Cuxu z(HQqula_;jhbCcx1)2dw;R4WnUVVZ@uPrGY;IiI;Gyr(n4QN=b9^jKT?RY}Z5O07? z^Vw4H2cuAvb3eVX4QSg;Qtg{HhmFAfwl8f_!PtzzFwl1SnfXOH1SfM*j-m6Zvj+jN z6*!rbQpAGIAdi~_=>zNBq7(fbQY&&(k}XfVe7w07JUGwy2!?&uw&g?@yovqDw(rA* zVsESB>3kvT6Z;?4;0v3}uU~R*tLW%}KfgG3w#1(9q@ZHNYg|$9UWhrwR%>F?j7(I? zAbK%OA=OiZ*<~TJxpUx$HiSh&$sj~Ar@7SA2Yjh6o0Z%9FD&Y*-0I>i-Uw9%mNSIY z`sId$ptRy;4Na%JEe<~pl0|f@VxtsHJgIs$T$7DAF(#b^i+bzp$M1Q&6>LxboQ3sF zaH`kCkS;@ESFC&G{3NY&iS3|2Ujj@-u+XJ*73@fQq}4AjprH9nK$?CQP+o2B?B}QUew2v zD6&re5A#~wDc3_c12P9$anJKSY}U?s?qBD!a1Kgk+K4f>9mJm&j3#tQoDRTdB6+XO zhLV?Q#E75Crd2{l2zdBW%s|62%dL})r$>7w-n>?U0qc{hnq|t?K~qmguuGb`!IvoB z^ZJGnrjMuBx_o;{v+x&t?FZW#ZmvtvB#!h1vc&3Ya|+7ayiGqAC+Uu`)=RLR1TU{{ z#>Y~k2N8$gT=s72jC^oW%DZ<@R!_pQ0l^-4XrSu3BXY}r@Cqt7;}uGXkVb}Ntjp6`vC zY#*H+1HUr#MK~4Z@{FdKJlb$%T@AuVmBvJ|^6zXvZr(_|OV&(s>NAuNbRHi0ygBo} zEJY9IHPX#gu`lbO{tz{zUQCwEGyLj=St6gMsYnw&wn&1Ewo{QJHoz!FxQ=VQiJ}6> zDP2M-PGgLdT=>4b+0}L2()oKD`uHcu$d(s^F@!*H`J;rvKFM`^>ex=E^7Ln@*=!>q zDR!I3ZJygMtO=C+I98?i{mVA(v$;7#6h?y1)FGkc*7edsn!{wruV=(ihH^R_^y4l0 z$Lt&3dEO^wF(aS;5!*Fl?^%IH4mDD}I7RXA7}y**J45xdjk#G@tG8dc9GA*zVZf|K z?;=B+@SYfN6YRKXf-Akp`C1?4rR{2KX6!wbiB>z}A8ttFJBhEe*aH;i-x~%|(rBV+ zW0$Rs5F|jvYp8E!S!?}ntYZ^nkf@YZ{EeRzy=)Ktol9EaD_Ul0HU+aPg9Ki~qg1Md z*V3~ETbj@fi~S4e^#|tE^yWT!$y5O^m$V5(R%A{^@PfI{;&VR31SON_Z}XjnHe>W# z0yRN~+G6h)eFVq3)kdFLE+V{{nTG?rw({)d$pGAnzU`9j@JI%t2?Y-t;^Wzx> zw%m^&vTrU+8=c3y}TaBAtw`;aX|>%@An59A6)lQ z@84zQpE+zQ-I9HEd5FWzWLXb|mzBi8A!bSKv=W)3ZNb6o9o81suai`5dhTauG`g|) zcq4DFN-O61knG^)ifbPI^{YD-0kZx`iMazlSJoNGDgL1eT9zmA!90i{Hs_vxi-1Rx zQ|R?%vYwB!MIFhg87m`T0G8%mG}zt}6ays$tklYgyg%4y&HDM->2UOP_vl?p1~tBM zRIFCYGE&^}nE$Fg!J|$EUn-_?rAt$JBWdLdk@qe_xN)+I;SG}px7(L$Uh3b=tZ%{; zJm^TH76xp8f32>GyM0;fI>K1$<#*m4;^La&EVdpA8FTu;`^dj?A1<9t`_}7h`t(=B zkBr8~l+O%=-09ccTfCuDS>2;Q0`Q;59Tmqiig{PQuiWjLHjcxy7(M$g-+8-5V!{1) z(d9qKcKz>X1F*7w`cG#AjQou*Cq6T@zvl2dq2x+diO=YR%-f^q`Wev8EsXs|jH+V3 z*Xc#jb9~E?xS3^S)ahaPcB`OFq5mvk<@o+wQ=4~`v@Gm-(Lb)xYHbyCRc=PZF_>CE zO`^tAH$Af6F)-#`QbC-~?e)C2wP>7+e^^zf-R5osxmKvBygDOITj~YM@=*G*TlsKs zp^~7fb+8KaxOfTsvF(WXxF_o8v$tyH>vP9|bLmtYAN#$fBW763VN~w#t;Eaw83%ox za6+x=sdCe@S!?h4DkpQj8AQj~orC+n9fydr=AFG#Wrt59v(sd%O>Hbl?^8vcP-MBT zHQLSRnWMQbwaZq_f4MDla}%xE=V<>77Ho;{>Y5yHrO4!2;uI57yj=IPi_bP!UOn7W z&BO4U)vt3}zH!jc_^zpyaon^V_e^c>%%{Ao`Z($3EYHKYm=)D@s$)SEX5rfUnL*}? zGH0GcwNygZ0#7~9?v?SbG7b@*Yd_5mI4hKGS&bThzK$j7BoYNhMtlF_u}+~u@8G*k z;9yzew2<5nR}8Y*_fmWHB-pFo+-=-v6>e@?DJFpjYeK5jrFGw=mz}W)%t`$q!});? z*ZJ^m8waw%_fwvw3Ox@UQY+Hs3g#xt+wQUXkqbvB8TsGU(&7zC&GBwyt-J@FYt>i` z$Ogg1kCa~;tc=3?af9J^`n8D}R!?OYm+7Z2FV}aR^e=M!>wxWSWY}d1?VLsK%^XB( z5p>H9qx;}XNzN|`;N=zZ5)P_9C)*sUgUE`aieq4Fzuqp~<4%d8W@mZAm+#Z#X z`;RRFU~=%Bl7<;y=IQ;(?zH{2#sNxvs6tDN+fP0sr}s*Hzk-m@u{pc=>Z88VuE*<*tQ|9mHZy_r$>_1o<0_vx?tE zU7RQiwC$T=GhSOXd8y53Q)|zgg~x3yTeTO4a8(eAHFi2)-w`7AEU>6aaTWKl4~-L- ziVgN=RmJ>IrjaV+m8Ia5g&e1zM*)}lWeJ5P%v_|1SELdGR%2zr?n-O-= zOo>>SuSaMH?rx%yasXkdF%%X*vbVAK3wM7|JY9JDG~a=26TkM&??U+};y@}-qffr} zFU+zP`u45JrUTIy&bG!WBEHe<(h!ZgR{iaPogZ5ptzg6DQlqG9>1-*fbfoKk4{vM` zOr8TyLj3#6C^GdXRhsXoud}${y^mJf!}<8$TfJ6AD>Q!!)1D$*JPIX5$?IK&Q7(f> z6la33##4zPy5(t9R$&{@`yx3wJ0kz#@aD?q5qrmEZ|sz>L?Umor7eo*sT6MQz^|IS zXWsHCei(L}7#~@vsH8{N>sJk?2l8dwv*wt!SXNiEMdR6NT2K$_emJP>1`Z;lB0&J} znH`xaf0q|=k}(?1AO8(Ge#l5Gg0S%`9EoyYY?m$G#wco zK)^Uh3Ro&(+|Hs|EV-L|$DlmU<_&^IN@!z>R$aEh6s67VwQh#=s-ujSO~v=+`MmN* z23^AVO*AI`9kakVY-xi=6S6nZ#ZRn-^4(PFJ1D3^E5+1}rn>MY!`{+ynzdNLF~9hi ztLv=P3Av@6G#!bA zXPscG5(Fe|t6)%>{Gl{HUgyS4=t7Bv{n%o`;6RIF?61gipyAH@pfm@H{S?tbMHB@o zxd)>C4d#Rq4%ro06KpPSlnE_?Q5(@OV9%OO!TbqHt&JTzy;04uYec))Ai*SRn~z^4 zsvU~YXi#gXnf#7xdm&%ZEuuqQAc{?Zk_D6q4eRW!v@zzRgi2MC98C|gh?E3X9heM@z+XO$2s&Qw zsE)sC9~ZSJ6V{jZCij4|rx0J4C0hB&L)$oP8Jp^+TD)8@$&rK2bAD8shBb^Fi;Lw# z64RZuO!=r&YHog(GDE=vQIy6Ba~!`X%D7yaNfKC$`8B?l-{?biz|8wy)!#3bKM_nK z`Dex|0-i4B1ANLeF9o1(#PrN}vjc`F=9yu0dof5Vdx`yvnN*?>*t$u~5N~NY$2a?u znug@^@#}M~ewsZom-T+3%D^9^ddI>l<%At&F9(@7#STxE)7#7+3DD01&|h{A(9iM@ z`Zodk&4v-CT+~0PL=H8kr&U<&*16%_HjojP^p?b`=Jq0}f5*o$>Ktuk-52dd52f;n zH6s*p5MvoVIL$5YhB#xV@+6O(d~(s&?Ad2-%eHJzmT0Sy3TwURu6&qWH8x!3M_s-( z+zMO`@}=TK54n}MTeufD^HviTeUfg%J-;16r!;^x8RzZ%1@9h#_NpK89YrN7oUJbaO){vBJM*C{Bq_-t zU-%oG7m)~I=Jf?s92rO4sh zVg2CIM~E_*MvQ^ks85_2zskf$A5=d6k>4xwgsC5&h_)|~Q18Orfurt0o2Q;>xuAYn z9@n$kr#xkt+L7C}VuMh4IXoF-*6a(5W#Z_hTmbnLCo(nZ?6<_jZzLCPL?XWMH=Xcb zdngIOJh9^zA8J33C)SuSBJ~tIf%|@5CLeB2?p!tRCcL3umqG4;+}4&d&u?PJGoo|~ zz5O~W&md_N@7BjeAAkDm?e}mLV3GWYrtjJ>N3b1{CGS{eUQydvMII8Ay4d5Vi$fCv znCd7|$ahCEt7+mDd0n3-4owz8+Sy#Og5rszRM!oYbT0TjN#`VxMN3)+7?9sIf2xVM zs#B6#lQ;I8O9{`{*<%gX6KNMf#Fr_6%(5ltVR*^V?QZn1c}p)a!L>8dcWGP*jUi8&x}=6R`uECh3WBV_fxCwRNU7f#`Cix$WCU<{`^$_ z1z~u&c+V$A=#@I;54zn9^fa#!#oOYlr_i{B&xAi;Y~AHDSiFK<4WV>RA1&bA$Dku#aR-javuXUp^`Zd>1vc~?7 zeX#JS;%DT`?ACEvCk7gLjyLT#`DLniCcBNOYXR?V=nC+#1=~kZtvE4Rz6_TE?%d!# zO>J`KZ0J#9ybw=qYRUYNKhdKGJ-F&A>kRHLn9&wi8!OOa%3&&Dm(9aTg~k-MrG9mx zc9e({d7K7JB1*A?JHxW@fvo4(z;FH|19sRB5*%`ks5IC@JH3#D!DbXmTTvcCfnYYO z#r0hhcL-YfcykT^Ptsg{?C*J$M;`W|sb|gx+ZqTfMxA^tg$r9!QSO?LCh<;qJ@BsHF?pAyk#;Q;JZy;y+R$=^s$2puw*wx-VC{B9c!y3$b9Xg2G`B&6D6uF#g1lajJ=G| zECzObVkC@%_jXS-{Ye-?>2^&D-;x4amS>9xo(X-M+w(+R^~>Z}fSABLSY;uGcZ(`) z6N*79pam4BABB*=M9I4?BCC+hWrTHFtGHvFGwa_hI6Tb z`nW)8d0U1PBAO{T2-lSR8#!c!fcAc%o8xm&`O@^E?1Le+#?`&-+~Q+C^pa*@fb%tJ zw)M5^&8a|d<#pAv$~xn^OZD2?NqIYCX_N^Cp`lu`E*l;JjpP~{CiU4K2`%;=1)7>K zqj(5Td=#e3+YV@A>Rv|eMo3?=!SgRZK#>kS%^8dc9Jh8nn?4c!nc_6am+gBLoCo%r zLNe^!kqZRb*mJ*+O&&%qF-0Z0CyOHb&?|!$$nAq=n%tq=S|ZxG43!~< zms*HneP~*8s)a~n;{ZQ(Eibjs%xqBWMOuROSS|dkircneLe7V5+Y4K^WQj4i#!V*~ zk_!Qkk_(~Wo17mxmJYR{#<_Ad?$(Lqt2K%htca?HXMwkT?#i(j>^-mAa1*1A*+oL! z)2Ii5Kdk`m^SZyr)JG`+m6us%$a{+i5-z07U4b-uT0AMU?#(HW=fTUWsQ2B4+5& zFx?$zf~Not`i01MMpjW^f8gEqQihoE>wcq)-L1fp5N)pzkVhv@sK}1eV=PimZkbki z(mNqMS4C*^=iTJe^>b$mB2-6Ar~!vUCad><;<*0uAqPsV)S=6W=Kgiiue>ZBH*H>e z1`h!}`q}XbX!$7_x=K@JZu5r0R~{Sc{X+q^fjV5TUp%58|HV=&hp_-6R6U6}Q^$eX zg2aeAYJR$|@5T+eJ$84Jp9ZQw86BLq(i+Zll=7&bl(G4ZQK#4!~?NL5D}*k2?@D8<2K>Az()liv!+<-bkoQ9R(sme+t)NQR{K3JP^1p^C!X z_eu^mu!$IGukqH+Sn`CFc*t#QIT2E+ND}E>Pbud`8`$3wCie*bDs{CTS9)3hFfkhV zvLV7l{i_sqm7Z%J8>w|hr*R>&s%hf=+f+NXLwwoD-Ka@q^FwZ$S$HJus=Sv$o7fk4 zf8bx*+~D(cE^2uj4eo|-CTw&=fmLoT>J_C%d9v<4`?2=!|cVpfrt%BdP$k*JQJ!Y=~` z3)8<1mdI(kOnv=pGV^k5`3qJN30&Zj&MaW@NYvEMRy*9uM_+n;PYe?qwt=38w!W=q zNsm5vmHwo_!AD{lR4S>gG+V>7IYBaa3Xnp7m2bZH(;K{Z-do)s^0?Ke>%UsQb-P@z zd0A%6(^sK7)I-tsGIqKAKue#e?-)F%#nX|osyCp4UZk(0@~97>8vM%0YiVid^u@0f z+(cpLMoHy5CQkehbJ3qy%GVJXCQx0gc9tJR$WVz&~M z+?q#`K`_u8~Q{P5#^n zh|PhA0u2hG#Y|mq;gUK&BXO6QuGB_*dyJl5Ge*P=5nB;NkUOM3n_a(VThJwa4Krj?la-IbE&_Xe;0g3y%Y>xvl~#cfbb-$& zWSc9ptIq69@^gdtC*y|JjyI?G@8s!GVi{IUcDjus;l+9@@aqE^TpCIASt2Pf?pxRf z4Qb6$wGYv~sO4NL$HP`{V8}h83FLInKb%rykNXwiVw4x>fuydG--MAr3j;$Pw)UQj z2K(CrksqMDU~XG57Zh9=GsaIB$&qd{$wLZwMct1iUBaiR+3XV%AH;ex(!0Ofrv)w% z=`QjQc|174A&EJmBq|3)KhOnqZZ%TR+r&1(;zq=~StKWXc?JgS1Rzh^FDT2466O~V zt-fosx3PLp)xts{hmU|*XCCdUXKy{J_pUwt$tq4aMO<|X@Fp!$eg+sM<*@~qMrVspXZ0w$Y3ZUBv@pm4t_%;4QsY{lu;gLD)~@~# z^8GrUxM$Wn-}U{VGdHRk7&^diS~HPyT6!obT*)0#w3LUnd2rfG9!}*L!ER$(yZ!NZvZb{%Qg~K?D;Q#a&P|HoX)At zXv@%rJdot^^{jLL^olrlYq?JENPLmeJ2R;@dJO6__9*l__CmR}pExf`-|&4Ir2>e0 z-rxXUJ`DZNl{*l!En))B!xVn_Nk2v0x6d}dy(n0e*XZBgC+sAhbWxw5!|9{&rImc~XtRx+-3 zcX;cbEFQv_NBF5Sd;y$@rEeq7K*IIz2xB>dv|iO8J+E{*8}`vw`B#5(_)y{5Q2%+l3Z&-$W+csy*c3&)4BPgEY1OUl0ZGLC_%^=E>w zx+nCH^Mz<7wU)CYXv_NWQ>9u8)VR(>Pn0J)t$8mpDaEa~Y+Ch5Z^bgc+nlCOv)VNq zwv5gkE-vWbMA8lY-Ru9He5^tTY_4$f#UjtTIwWkp^Pou4Mo|mTa z7}mK$km6)`JkIILtQd@~o13#h8Z*<8ns~jhZ#F1EQ~%wkA|SKzzdjZHKXNC3X5(P^ z%<|9N$;_XC+{yoI@?_>e`I!HgaOT|KwZA&e8C1sSMZ>_%9|7MnSN>;om9(cBWtpdI<-x zg{i)@5~GNfzO{j=l`*5Lsg;10z3IPx|EVl&Xs>T)YU5ySN5aI!!T$GUh??5jI|!M8 z?MOaOX2SgFuXG|Mt`;77(M9A^6WbA^H57QPIKBQU!SW zZ*@UIYnQJi^ejwlfBPa~`pg1ktnrevR^^bpVhNiPnU^4yzvmLJ|7IN?T5VRj42>j5Ale~{j#yF(?++_=-y9b z*XUjY^TD;y{NSQW99v!Fh7Xu168XOdv?(B5tqmD ze7k<0Ma+9Q_~~h=3-)!cf`8StR<3;jX``{r`J?^QhC^4+IUkDbsSMlm`TcqtaNRtQ zj=q@*G+&|i-?y9lnvVN8x))6;!}K_PciwHg%oG~>c$6RY?UX?=Z1gI5*xCuFNUaFf zHke>2{kCiU{O3n81}7X#E#B?Ix2zrB}Zn48=u*Q!O-6^q{8Ry|6 z`jJVR@0^7wf)3xJVf96Z{MVL2+OA`GM;gimP+gqdB=GN zBpkmL^U#KZ^XTowCf_M0rm?JUzmT0}i(G@WFcMQ`92Y55{I14x{UufxIN&#a)y?2b zEjd4i6L9*6H7#nTA5+#o*^qV?5dZ{HRXG_+m9b7e;qe{XV+Lwy^u{JzW_egN*!YhGt*4k=_P zDA5Did-=q=7@Q%D+4{g7k%9WNjPjjJ)<>Q25nROuA5?1GSrd@T3 zs)KGU=AyY&C!>zaHpiisv*8sm^K^s8yj#cAT9dguA)R43(8NZ*+dE=tXlo7jY4J2& zzMhtNznWpi9MOiEgSd-feWjWrE<^NurNx!v5R4^pe-(U-e0}>klqkfOT^LkY3vYqQ zEcs1()imFCd2rP3=1UXK?P6!tH=8D(9jdLzMa~ebJ$Yj{h$%kzdat)3t8I?Y zLwB;E*dtyoT8iJ4E_O#ZIL6**;~-TtD2|X{mAY##2Rq$x5436?EB7cBEJu6jAHQW; zvGrvNgG~J2Wti?M{es2PZsx=b@jC{c-P~|cIe0u+J>pj|H%Yb$Gg8&eS-3Vf(b^pn z`15Dat;(=C@Q@APGKZfN%xx@tcW<{Gx!`SnTnlJPdKq|$oty(Qr=t>!^bMhAxlX){ zqfah})Sh^-UNa37;J(w$dw+6VZ(TW2rJGWA_liR3gan81Kt>po=I)uA_|aK*wQqhW z%WB`|?xAb>Gh8kYH21I7mdh{tj+5_)SPJmC0^gt;`7ZDBa_o)j3RbdIC6Bi+9BD4; zn~Y_F^KFN^{J(yf-!|KW^=fg8EGX4txh__bxWY1Gp~bOLE*`@he?Uj7P z>IMgv8ck|Rc1_7Ef36J++~TuwzzwUp=e_)+_I2xB4lUUuO&TGhrDBp}>!NqZh{aK` zQylH^1W$Pt6M>e&iQw8-oP)xf=Yid&+C@m*&Te|}5qg&0U7rW%Q5%1~r^SN6TW+#GS!P>ka1jNI@i;>p z^@56Z>+wf2u5 zJ2E>JBS`Xm-21Ya*=BsR`F{xe$LLC*eP17rZQHhOb!>aawmUXE?ATVv>e%SmPRC9< zHvY4JYwx|*KF>Mhc~N7`QC0UBpQ;)Yb=9m|Wij*5y45`5X`z8UrMEZhPnT>V&+N8! zx1$7YPInIi%f}(}=%_mb&7e9WVMgtUe$PX6Nml-reHd72Q;4-BBSWZkiTu{CH+thE z9nRL`e!ZJBV$qV1?1=jmSE`rjIBhAWTC%7lD1K2&Q9|wtQcH+ry6P-WPB)9H(E9oe zHZGF$rguG2#JLSz=|UDh3B0sVSdLv)CK@)u^Pb*#=;95@e#2A)8Z0l$V+8ijpM zSq>s)C32>N;I*L)mwIbVWmbzo2Xs4p<4y?Wjs>n#C>j|IkT?#Wd_;Yij__}-+Zhqf z>-%u{T8P-&w7AuU$<+XwUYS`44saO=bm_q`0jI3ui(d?*80Qhs{VK-#~fA_+XvfE z3^H9Sv%WyV{Lm6XFN0B*3f|5-;#u;KUndK()DWG}lEN>hgYw?-fUw8eK`HB3%%s`I zv7YH|41))xy=%x3A3Zl%Wf}h<=~_oB_i(?R4`c)mz?L>NxH(PHD zYt_xPMzw;V?6rba9!Gu}LiNvxJms(ULbi?%%PNFWO)pEWL#%6`6pGG6q2BhD;P}o- zfX+yVK;#m|vQ-suS=ryCRn+0`N!mvmpS#0|Dq&y7X2Ag2W zn2{ZATIf zK3OGKIZ6Xt0?_5WbO=&0BXGJ=gk(YEp-f^+<3q+PQ!OHuC*>U51}6Oz6!_XdaSQv6 zV_EGugxLo-pWjguRlX`N1*>GzfZ$or7&rZuXgrV;B(pZY1(vHzZj~E+&9zOVXt#hu z1%zX6o9Mr6m?lRY+LqV{L(2t3MIhnPz0ecMqCtv~BVHE#ov-~o+D-IEWcKJ#M?K6L zTO+gc$=7Hy7$UJ8UY!fQkj-+ez|+6fBfdIKDl0SB@B%8%p8H`?iT}(Aj6p1>HUlmp zJQbANk{K?5)5$O;NwhyxwSW*X(?RKwZ}Ip(7B zp&_smszsR;=ueDzy0QEn}=;e28!J$9r9`nj@>Dd0NXS%8otUKz8>0Fk!^v{ zoO54hfVzYUh95mhk4`J#`%O%L7?eB0HZP2EExA^iE2=Pjoq+F=BUh1)<+V-`@sfGi ztDz^VACsRC&gjfbkqyUlk)Ur-br4N+#0&!$)^g&WIU80vwux$Ew?c*}6~v~VhRDQe z#0Z9}pU_KDUOq?yw%l1(U|UCVZ>o%n3oAc%P%%>~q5YVsRO1&Bzcmh?c8Aj;toBhN zZj=GmgZN~AUz_#XZT;-ms6+!iQJ2seC@iJyJIub#W>g6DKEKlVUdfdi?MDjqfLjd{ z)%-e@9TC3lx^EXM)#oHhA(!KLw-PPM0fJ0Sw*iO+y2@aGV zvxDI^SZK*pOXQv0E~ReEY6vZ*!V-7rTmBTAYvHNFg_6)s?oy?LO8d}!qUphxL_kA} z{^M5C7dSeD1aV~dti5OYmJ3lbZOppB*}*WYJZpKrxtn#!{_@@0jUDr#<1b=@@10om=!pjF3w$g zNAVlOsKRxGZd}oa*pl4KPE807DmMDE*eg-UcOZm5`tl-Hps25$;Xec*D0oC8xs<`M z(}$f*`oU-DM9>BxQw$Iwoq|~=_aFdBBe4B%vFM>u*wirWbcnLq%vJe=u&CzP)O^__ z6UIEE>6yTuN81npwm#T?2GJ0-qd+RVnPb@f#)dxb8OP*Mz?k~R+UgI_;meq1=l&I4kxB3U2WSMo zzqT(so7S=&iPXeW=SOuJf9=@jv&??_mIg->m_Wfn9c~0vJl`G5&C!ZR`L1&#C>MMM zm({Lo#aMQ{Lr8fED%{ZLvUJu~2N>>mt8)lG- z=p%vmT13s3XbwQqp*S{kNOTAJ8RY2dfpL}FE$EyeV^(@OiT3)jsq=GO|6DPvYj(5^ z?;i`dtz6iEtAkvhmXgxU%%xYam(3fZIJ#> zE%e`-L$<2aUJ}-P6@|}>VGMtSzDHzK<`H&Q@Waz1Iqy~E6A8=`*CLMzI};pLwBP>i z)s(ba5-xX1Usj2jh>dTcRj`yAIAfPh3y_^jlqkR~4vJ7$vI4l)|8$D%g8b}MJjpz5 zDs+Ub41>pTE~)_gP_7k=mr(F!YH8DAI#RX|?63c3dpf@c77~mh`2!Ld3<{maVTxXU z*mMaN{h?v1wqsB~ZUV5&3K(gIs&x>Y21BSByK6M#%;1qp%#R9jIe3g;RW%h#w(z}> z)c31xQdm_q5#Ms5)__?zdZZbvXXPswxGVVSX7$F-V&XneffGKoA5q;!FGS{ zES+o8gH&Q#{!aTdnia;}wEShqu=?&c{V`X?DNiUG>(~`S{3X>GHF_!u^OPVgeP^ip z3evJ1_4MaE?RX_cPG(pff(WnL| z`1{BfhA1t!ExXt#kI3WI;n>J`+~fx3aA7!oWVofOK}fViqxlwosQH0RkrPhEJ8b6h zn$r=Ic!kQg?_<}eI21-6Ktd!dOGb!hezR0#kP6V|6{DN-9wdVb{zB6)*J9=~$OpZ_ zZvskWBP%c>SAYDzqdLg1$_m*>mhxvObOV#%_i1_wG|5m(=xrZ9V0lZ7IRP` z>N`C|j52j(CfYO+DueMZR-0?_4Nf7z?>ni3G($eAb|{?qLV{Odm zEq4T3gE5w2oimb$;{K6{E|$Cyd9710z^~dR`0@N3rXrxTkhf2|pej4|wgWDaP&lJJ z@|LJ2UqxqcN^Z~xF_{s>(_bV$|H^irPencX^MRhKdYYXKcRLtYadD zNE(_GZOtV1=gHM`qD1azL=+Je|bUJmY z{=%FE6PKZ8oQ`cI1sA2W$gxr^NUE3RP~26Aol5V?WEFGNfJM*7N4B_iAb=?=yF7$R zyq8NM@qv~_Y04Hhl7Ejuz|W3JHuI?#sh_B`HxBB7@pgk0T3e~Vf5Rz@VM)O=2)$nJ zDZp(RFIg+e6~@&}kTqWkrXUm4%-6P9;i@CqT0CPSGl1NMs&E>+rzClCQ%4Ie9=$n= zL0DhQL&xO;cSJXW1}+I3w;o%`sj|W(90p-c5^ai=C3X@tJD;wzA6RS1Y2Jp?qLeaT zF4YnZz%We2%vOqH9yNE`46BX1;9qqUW39_6;1uF9X;N`p5E&v7vI5DLG-wp}d<&$M8QAUcwNY@nF6TO{So9e+STDE) zbwy*>8F|1UhS&yLf*=zCrVjBoh`{+T3v0=~CW=5yL#ACS4NOx(K2qzd7hYy*r%jX& z1C8{IlCCfOn-xzVTVG2iXrggQt93QFQ}aY$S41IUcS0y!aOA#rkV%FSFwN0gna^=f zoSL*>*M#vl{y)-4rY=0-c+j;r?3yHWBS42Y$@tgV;~=adOg4R|*|2=Sf(7I@yb)0J z-i8G_!SD+K2}LOouvp<`?lhFjQdY>hy$0VgzeAp1+;EgvH=Bnq`Xc50!~10;=FeA# z)iT}Tu6;N`p7@?lUiHowuCBQx1jd>v#{CZL&|P*&RE8!MzgUZmL8cS zo`>K<{al}b`Q?yYiA&om!y6yHK5-m=rFvr?cjBaw$73PlLfZVk6)5oERnNwbM%M}WHf8L>S70pcprQjA;di9yD#Qu&sU}WWEw-KcxBp&mVc*o34qQpA`rBEi?^FOjpl!aD zL=l*NYYPbTGMO;Jbg22;2B;R-DjD#M)&U6+Fta73M!jQf!-7;&83Y~QP`BKC1tr`C zNMlHGL<%A36X{=^l1l^u@fcH3{dgdPb-2;Y_rajn`KzIYg}oN%aMreM0Q2fP*aI;c zd>t=>H$)`>#9X_x&heT!#c#jLfuIBsjX=fb? zpx1}#Q7FC;Qzp-h(vK2dWt|KL{O)|I3)f&w!OZ2H z1GfxqGhzmM;nhcEIRXZSPXV`cnP1uBI7%Rk2%HN1FCzOKvgv}u2*Frv`s4==O}{u_ zkZQ0yTXR2_#I8Twihg{1sO=Ew`6<&$n5hf0%Jk)@45#Di>(NrTp6$^N2T>1n(aylx zI{KgZ>HK8=b)(JSIS`L1Z)SNtJ^`okf3~lwA1p7=j4rr)6nN^Goz z;2~qGugKI$-;?5vvNnDimM5? zV`g3^#hH?u5=Zwq(ond~zDT}I_@zLe@b`)^@p&FgZ`_DczgGgFQ3iF)_gxyCryg}* zx|$=HEf>n5F%&PmcdTt48zz2#Pn|Aonhio@kr>kG?zBXBmwb;eaf@(TLUV&v`sRmT zZ+=kqX>5j6yij&OgKIr^jjDu;C$||qMRp@Y0<`vZ{7+%crZ+ z>mBD3`9JZNZ1f*E5*iU-l4?#9GZgbgaqeCOL%CZ?!9x3PtlcKHsF~tFW8?c&jCz;1 z+eIEHq{L`#*c85XPJzH`)wc#^4kr}|Rr_RSQbQ|pDj}VP{;HEz(Rfd1_0>K&u4`8< z_S|DnY{LH{^BwS=qKePCbk;}-2n?X=>pX4sXIae3r6$X&V?)&`;Mo)Z{J59y{=Pz%j~iv z=Ga$~=>snuB?3dcBC?jx2Epeog=>EBD&BJdM$jVgg~1IeH;;ppx2GCu&CkT8_zkL= zY0|f#t3z|I4_{csgiasCy^ZscZ-1sC!<{WJ>{t9;auKgT{Sv@7j5fOjC<7wOPw(Fw zla)t$I+$F)BiTrKt*#(s5P#Dg_zY%xz9{t^GFy{BJ~shy>JHjOKZ&rmdH(Hu$;jG*C)v9>gEaZ}{$1}6dbYPw zAmWlGtN+*JC9+4o;i4q5HKoT4F+dsi0)Q2Kzr|bl_RpUB<(bG%sQ@9U7)$Q=@9t=RVj4}EB#@7Zuku%UU}I?^}vvWwQ&H^C!kTar^$Zb19MaR zNoJ#0W*T7p*7&|0CrN9GQkHTAz`e-4nI(TcVU_QWXrsM5z0C1zcSVjk1xfd0FPiD{ z@LUb9Id6t0v#AwKNcehzkyW=$Bn{-Mix2dF*QLj2&rmLy|FmzlVc2i^PEhLKOOhGt zsjMT)Jl*|7&o<|v4lZkSLFb-@_X!QD6AAWzCo>cYaqs8{ue&@ zdt&&1!w3KB_78lZ_xGW{*Ila`ko*Up@UOc6#uNSrmH6Kw{Wn`7E+g^R|KEhznE#y? zuv*>mi;RQO zZz6xelK(T2|NOH}B^NVu7a*lT^WWZRNtjj5EvaA2`*9s|f|{O$iQ9)ktQgK)6@BQy!n3GgcPzimM5!kq1|j(@qJ z|5L~RWQG3Udw&KQ$kEyP{w2pK`^VJ% zkJ1HB1A4wyfzO@wm;_6Dtj!r+ndj&6lhaTzLt6JqQuos0sg}%}%Xovb@EZ1q)vmBS z&JVlxOLqJZsvXCi;JgmYNAOhJCmn(Lt0LUo&5kGP_vgk&Uc7cq#MYhid_}SK#zStk zvSu5?lN>>#99x;AwZkg@ug|xeT?uKQHiiOQn~!P4Sv#QgrRCmsBqp z^SkM`#xwJlx=WyspD~}7aUuYvmm2rDMSDvFaGThaA8aScwRu9%!{dL3_O1p#@Z^#rGnYSpCDr` z%qNez083u43hQo^Q|oYbYofEccXFCPB5IC$+Rbl3==N38`m4VuGxKL}!K1Gxp!}i& z3%;RQwY%?Q=j{-j7>D5CM$hdT@#FSV(LiWpvr6uErI+~bfc#_eb13Adb`tvSdbt&O z@->3r)f+Kc)6@G26sxEEX%RoYYof$ELPDt1_kL@D*ojJr1#0#O@a7A3?R+KR#xtIB!=(&%&E7 ztRP8EZ;+ZZFqsYPG3S-@RO)kh@_EhjffbJlt)X zmg%v-SxnL@v~7yitor*yx*okYonGBCu;fwerkfgp5`z{vk??-r!9B=p)0)#OIr{W( zQ-!KN3f`cmV#gWDkzjsCYzb%gl(V$uGp##^P)=+=ikZ7};|WnVdFo@nNeTU`aYU3k zf$tG}>su}{LVl8pyMGn*iElg{c&5tez92A1qYD^xSTx$F2K$`$XUp#4ID6wdr}F$B+W3g|0vHwEAz zvSZ0lvJsrU-E}w(OOgk3!m=DAptvMQHhm32^IL4PtMW;(zrx9{yIce=`}2bi{+(e# zOyx`Xj|I^&X0H>lPxs`{?v59Ofq_ zW9l8cdM{;i@WzaX6<1<U76N2}{T;c&s&5N7yk`S;bC!qR9 z4$Ib?L`t$Rg-XHNbzLQ4OR#l<@hw!NvyDN2hG>?*e91j@OEs()Y#2c&?F#y0S^p;A zcsBCd3@UPiF4S$@z1htJo-dx3sO6fLueuXc$XgkBakH}T5)A1k8lfSB#ue>m@U&@k z*r0fik5Jgq-Y2Gzj`BV`Kw5}Cl*Iv&MPFm)r+y^SJUydLb(vb9kA0ynO>8y;RT3^~ z;`olwel?0{N3pR``N?U6k&CwkGlLKR&mh?!Dsq|@?m==`7-RD3qf}!1nxwIMEQx50 zW{O8_{oCm#rlX{#qts8ok!^h&@<%QE6MQvc3N{-I43xO#_~K6pTkhSoCHHGU$UTDO zq0lN~VWx|rNA1C^JbgAZ@$k~B7Rhx1-o-5mI9v=mTD5X~Px zBn@@8wK7+D#TXZyWgTjvhR!6*>k|HDWSz%AbCbbh_F9c1)&V(Aq`^)cb-;d?Q*K z15Hu}i}n3a<3=%<6D-5<)ye|bpR|1CD?6KJoOy7>I`Sjd0_%Dx?ZI$darrB&f>I7x z;vH1S8spjX2S_LR78Sa!fUaV*-w(EX)X32(R0y2@OE7>9mmk%5!fE)$rqO^d3a;2Z zS$G6cy`5x8Ng?#`eW0(4R85>AjlLt705|#ipt#l42W$B=&2?({XkRLXG0QNN;UC?L z8W*lVh51TX)->&{^91o4hyUHY_QSBLz0!~A@yiIt9(^<81^OP&|M9rH8N1FUP+V6R z{D`{fgn5lY<;-QEyexoSF>CFKQ%o`GRyXR5nwAY{LUjvPuCh#VM0Yd!0cNg$t%aD$ ze@2p}VL#LH=Ob^ayvI{|QLec40Lj$7IS~f0JWeI^GH`OL60(OeJqkd7w~l44JyP}r zoo1TTQcfI#Aj3Ye@IbSj74hZxhAgr+0{_6stQW*30E0YECkZHCiv2zI04J-_cZQ2c$RdkHamYlt(cwq z25!x1T{Au2VT>}U>j#~TaWR!kEW#BmRhMR{?RZ=;(B9xi4Yi|NXJ zht@zj*J%x*L=bGD4efqhfufjF4TSxy)ZZwt9hLs--4N8uJ-fOG~W$5mDi{o2*kQG5R=!>V5z9WW0Lk*r6=WLOV zWJKkfn?CidV2m-_tcA%h#E}u%R=BaPGig;mXg;IyY$CfED@~tOw{#?{Jld#(ibCd;CDEkJ0EM=e=moe4E)oenIi zP=mZFP%9^bZm=lSBDo11<8iT1TdE|K3g*Ra91636JkY?>HxtP76<9cdT(iv|}d$l~~sZgTE%-+ugn9%^Ck!?<*PPrq55$&k&`7 zorr_iCsT`2#piDtTp_XbQEUDC&Bp1_B{=vI(debhN}Q@#{+lDzYw7Cf8y(n_=gc@@ zA>?^1Uiq!kUlNHU;XWZ!V0wN=$>6zWEkYbT1#xBt(mz0Y(wFNfPiaGvdhRi)WaXp+ z>V8y4sE$q{+E@O7*_g=up4%JZLK1)RGgq11ys?#T!a{>nIr{o%j!ZKq2+t7}RWI<8 zW*+3yE$ zWw^j3?F)ogPF1cBcz%9^`G+x_imY_CNul%G3ElN0(Z(6tZ|`ulS(_S5#LLwUM$t9x zIlPPK^buvd$yh8H9*Xqk1a2kNKYBW0tI(QPZTFBJHP7-cg-TjiAP_+5? zSJm^?$?A%dt!>G&Z29l)Ggscq(bBir(Fl*g9KX`@2V^wJ`qNrncpNS?2*;R%AJ~lc zaZ0p{2FC8#sEzIqrzIr?FGDMMX?PZ(^@JPBrT5np7f_#hL*LCF&YHDwNhhkCIr#Q^yKM%Yi zCNKo_w9ueVNhT!vDQ2mG1e7R)v8lRWg&5fD(K z%AEIX?c+YEB`sxaLe zG&zu1Q8ji1=uPQQI#&LDikuy&%PN#hEUKj{!XIEY@~XBd)xP+F_AeEM>|z|oUl}RC z!<-rVdP?={Jr%qs9#l?qn{8af6u*5ed|%+PcL4!4ViXkvkv_ zaTMs(n{m}#=^Ngt)ka_2MsV@-W`U0#lrW_G!v4|`K2|Y;XNQI7{f&j{fYHAz823$m zXrKJVzwZ`-jn1XkLtp03{9a$%Oc}d}a3>R$h(k`$Lmwp>62YSpqOk-z8T$KudS+eb z7lKoHO{no1QEV9Pkdmii^f1vHKs@4|F9Q@W-mT{dJJeBWYY&Wt*7 zw6n>mFD1R*^AbM}@T#b9(_0eks&nO6)}!>@;d2Lh^Zr3@MZB?-|RjX51~TOUQK{bvNeawhLKuFFl(eK ztk~?{Y;B9U_)6^xjt;WwZws%EU>fDKtHQ(+A8@B7tg@ekNDD_%gZPB5px?AA?HI4J>DIKcV zi+2Dfh2rk}=gqBMdB*M>$OQ$`_RybkOcGrJ@nS#^pO*yVJ3Q{ImXb(O;SwAo+Dq>=4Nf#mhYA&liXjnDkcq)jrWDMys^gswsw#$w)vZ!&t;7H zVNsggbe3;WQau_J$G%C2yFl&1@=eY8no8M>O^Zk~w#zA<1!FnqP*}N~Nu7=%6G;o= zybSQL8?GnvxflyqfMy%^GLwRjT5m9*Fqu`Ld;e9)Kv!o&j&JkNuD(ZbK#t6 z@ku$0=R(woZD^kb!p1+@=ACDpDg$s3YHjqrr%x?Em}qae=I~wEW7BdM*WFpSAmPJs zvO(-{i^J+RLI|EtM_!T2^aWM5JRXkpD_ZMz1Gr6Xhd;w*qAq`cM2-S3X?3|6@!;RQWnzozUMUypkV1Q+i{Vzj&O8}q$iaKb;}X!&oO&x=08 zAJpfGYaK=;)9F!1f?Yn+quBXN&Sh2#2NnMsV|+i!4$*sUOwSgALN>PozTutAEC(Oa ze{Jh2;9Xo8c?EcE9EskZvm!iWs(O^#u8w>lUR0C{Z&4lfO=CfQU@i#wA@tl=qBS!c zjd#no0mJx?!d&ny)Ej*GY?>|v{ETARpXzt_dnVWBWv`jD<6%d%5rPfj6;te?#BTM= z$Hhd&q0pAgtwJ8;B>O1Kz7|lM26N)qe`&)!#qEd1AxAn;XGCgdG?(hP{~P!j<8q$! zg~#Xta?MM5inNI1i+@~-pp0JZ*2o9rMMX&fmNrP1CU(z*w8>J!F6X$hlV#Q=X)g$%ZJY{_3A5rRq`9JxiOM~#uu!<8-eI&w8@{=kC*jpOUF8Ny0U?|ns zff*?) zFPOjP$&PQ8d4i@~sw1Uf#JB8BUa^jBZ1YBCLUb7 z?YWzXO^N_eGraD%I3qp`lOG<-I+I0W$56(Q;1_Aj#FbXxw4y(pZYAqUSLSAmYVx3F z4(P9CBU?;8s|l}SO-gSd{jSD^gD!?Q{!up9@JV}>I+yc{c5|uu+X-Vu_9=sDHC>7D zceR%Se*U#bb=?QSFb~ysHQo|@C3)W8ro0EQIEXUG#N)8FT?05(Y$Vzxl-06*Bj<_UxObP5p^G04eKcu7aDT_wmcSdhkRwhYy}nXwWN zmB)-XW2y`h1f)yYqSywjx>;fr$KaZ=h^M-$eO4god{8h%(d`!uG>eyM#znJcu9i~{ zJ|W212Akke*(M?WJkrXJNlXRaiXZ@e1UY0ZyF`JF?CveYYUq-pKAM`BShI=+*sZA6n0j$fw1?}542*pojDv(Yj zR_SMB@^#SBNU^zK^`S5?Gs4YAXG`*Ej%$2V6){PHsPdwtoUU#@sai@UK`(+I3%3!e zEBeO212|^=m^9|1Sm7He9AbQ2@WEwR9x?l~2AD_6I-2Xo<$%REVGR3|!86BMDGt|= z$%kPbTelOlm#+cO%6XV3ILu=6#+}Tx$S@73JincsE~UUnfkV%07*b^Kf|l&ZWG~C> zEvaR)lb{&&TY%ZdJE6|{0qbQM(ko%+F-~tr8N7&5^&<-;4eL33(D6zR=Fh|dINRK| zaJ*-KSYG#=m!){0o`_%Qr_jbw;gP49k$+c5Yd1_!Z(UHKqcYjY&C3q-(_+BgV%C?0 zUEw>yl+Jc^I*hliaTjIq_XFgj2d3zo1nv*)CqPEa3kqeWr+ojH@)4lVBk-(FXzaU5{5(>$XIc+$2OlYqq;}A!CAGs8Q*wr?@$c*)g?4C0k zrY|5@n+)dWhhI}vX~figmz^_VLz-Zjz-#QynGuEkMj0y$8^{08PT7B+WR_WQ*m^;;1LIk3PV{p&`I-pr4H#{) zgHNE8BmPq(zJT0Es?=DK{#{geW_uI!cIY>OUbLIhytY0Sf=K(eR>s`$A z-2gxR^Igd6a`5NN-E~pf(aB9u8T04sbjhW!*MdQ~rNgUSWD7x{JtlWXReUhzXfExA(yG{Qb|{sV%?C>4$%S`#4MDsZe)E`xpXz#pPMNaD7dl zPyk?Lar(70EZBkfRCFTcGXApGAv`EddHIMmWdW8Kr_h6%UXT9ZWt8}jL%pgtx^6}E zhp#u;bnVXPmf^zl&W*%o&$RSeI-?ovrNf{q^MG_^N5I7dPj&I8(AVdyH^xDk+Q2V_mOHltif;YUUvCXq0 zz#+*Qxll0MR@YZ6`)uJy(zV#A#*$_X?<1AY;;9D_T>~R%nsPk`!{pQMkCovo7U0oZ zg#D7XO}-IL=7MfV66Q+w0o|pRTcQ2UAJQ~u)5`b0@Zu-8#QA4EZRw2{Yln6b!O3#D zZ+9^lKR>?I*uEltAiDW}YCT>&55W4rAGJCx`Mo#3zr0r1^A*gjVx@kuDulCZ~u9k`3P{z z4uAr`ABcCj@_%Kv%3ax={*%X*slQgPKOyWJ$*?HK^#MJqItJiUhy&qze7P8SkI7#K zP(e@U<*t5vb+pp?t(0@p^YD&QdVlbCs%MGId`|L;C&V`hoz**NHt>6*?Ca}`CBL$E z&4=G3siRv{$~}_jGL;n0TFfsYjFRNIyk!BW5486CcxI-?Kg(sUQ?TO^kJzdA9msuR zKiNz-2eNXO;jS-K~ePsX8>1 zQ>ne>AJda0WtL37PnVZxgj`#>Q5GL4~1^Z@@LAjKmQq*Fi_sjBgpS+tfakeohW0J|O@}0Gca@^ey zeLjz28@uPN_rSg;3&%Y${{fg!d~j2J`uRP1Is_Pmak(@BAXN?pg7DoKedZ~lStZfX zd!-r(N4PUD7ND1&perK2fNt>3A^TZKbU8Oz=5=ETh=GTg!=sZ$_H3qbc^?{U0; zV3ZodziEOOJcDeMX;0N{Z7R~3$yZ_h3Qm{_EMROBg)?9_M>Mx4b(?~{P_^?*46_;| zD@Z^zL^F3C6VpLK!($1W;cc9OqLuHmhz!SvndG*)r{zkxwL3yzx~YN!+L;b4K>Tax zhYSZ&0o75X(zVYf3SX6*mr4jNE)Bex7&uj%JQX|8gj?64HHw0u(C?6$GA~v$IV@O% zYEOpbYoB@_7b$NHRXh=ZrVEL8GeM~XllY2=P4{YnTH}2V)%;Xtlf#e+-Fq(dGnypA z>L0!0g!^FiP>SJ3!6NUe3Z;5ZTUH~{a>QLJm5g++zd`R0E|7&)q`Bw7h_9k&Ld*F@ z_qnTTq1bFj*V_~@z`!Entcl?l&)hfEBs(yv}RolMrPCN=$R3~Y<@_pT}mb@2bnq?BPD=L;2x+* zTZ4ot{t>yc;g#RqfQo@qy-lc4YvgdKFU-)e-b+|hpAJcw4lK(rYinN@J z%+ePD{Zlo8)r^zq3d2cF|Hc2*CFpbBtiZbsm~!I2wi<;StACRvthuPPRqsqFmo(rs zY|psf&^vOW%x+ODvHS~xrKxHaOUEsVQDJ0MMaSO87FpO9A_%p-G}>)lx!NjQx~(*Z zjWTChGBjxvs5oB^BT)M&@0tDL%m^{RU)u=Vm`sVOF~p-kFDF701_MbuTw^fW4VNej zspkaVPSm;jrVc&On(w@p^lI}HhCvFu?x}d)Ht#rKTz06Czw$L_%+{_pvsqJtXig+T zlE#CIXPJfo4a`e3p$iHgIV+a2U0|Q;%4Cnc>{23&p@D}})dMGetqyw!)Xhw(+UhR$ zk*hG7gwbGN00lC331Z8(I#7~J^vISkDL>|zhnthym`-*HMakg*+dx+k%8ght zXho8)x99pg(h!*Ck47(tlrB`w!z{uzWfL8Yws;9S{hdk*yFnOz9M zh9TX7HNoBPC$oCbPRBa(Nl(XnLdTyN!Y#_%*N2Yve(ZqX@?Qh%a@plkQb3-%OTkLX zMV@4WF^u)32Y)a3o_=)b=NQ$!fAB;TG*pbrpsa0P_eIwwd@t&o5I}r*{dEKL)$^H5 z8^TQ(17KXVPE_|aX_t+#krK%ERvJnu_RZfpsxp!wOz#UdJz1EMJV2H-%xIC^UQCzT zYX=U1uU;QkH}$&`2XtoF4%Y5#oHgvBJEI7K9?ZINVSi|td~hOGgN#A-$OCw!Vsvw?ia@9)@68Eegdir2sNp#}dBKZ&Z@2 zhHcnf>Zgl#kCqc+Q7NWFr-`|Ct5V&8cFK5wGh6j?(TYoTV@wvW(0Oq!4|q^xIwme@ z3pJv|_?UTRMmxx$lW%XcVbT#e$?doJ17i}WIAzIj$q9I^Z`QmBhd&YE0VA$mqrbe6 zBEK^Z^YO-~B?I(~oQ7CT2%b_om*6q#ap(!KRs$X_)p=mC60cw_6On!&IMpHeF_Jy; zZe6?N83W&cyW8ev`?9WSJUI>n#T>(+^0~#pXEyUekL71T+%T;IJt0T`OtB&)ze~a3 zdYp>^cMLsXp!F8#q1URT0%YVT1%);2z;L3=((6 z_hU3tQmw0Wtfp)+MmsiGpG7W@@Vn>@+6?h~#L zf|-EoPy|IC*ORN*=?WhM^qHL5F_ZpTTx3zcvVNKPc9g^X2xnAP_?)mJB4Fol9l;6+ z2c2}LU8n~6$&eSGv*dY1>RXc2QGga-IqbbA7G0k6*%Ca<8coHLhmppR21?76kkE@J zBGl)J7HTa?7Cl|9R@08Kae<8AMJrQ1H*i$qBDfl3!R|Nt@5AkJ3KXYMps#0`4zw(sb0Wz3*Ax}%d2Rc?8P&~xz6dVU01<9 z-2X?{Sq9b7bnO}s8r%u)?(XhRaCdit>&D$(g9mpD?(Xgc*FbPPn>_FHp6^tB=f|#^ z+S6;g*I3Wob6?%42t;%|{=khf^RoCig&C#{zAoltNW)RR(=WtTkel~`l`NKI@4Zk& zl8+k2DFFz-Gjq&95si-~7ErA6Wk13S#u~9ysdLG;ql2_~ITQJiCPWJ^L{C#WG(LQ|^GFmP6dtYa8Eo(T5m+<%PPHMSg(= zl{1EZE@R$?1*HR-1CvE|2nG?Grh&+ca2>ovJD#;39keVT!#*Ui7g2i{YX%Z*D@@)qOtBU z9_vNI?4d>qLF~7Qc0plmQjZ&=@b*n(UR9$U^~JQn;J0FHsvtoS|V&@Mtg_Vb*SDD_2USSmnE+}GPd?RNfHUK_8(3+fKfwoKvEs>Dk0^2t! zw5mS*JX4Ik$Td8}X#$z3j1-A9dGD-$H%aGCbeiBD>WN3l3C@C)=CR$xFD-**iH*!y za|E%wyeuMYzDwwKrdWYrNGpUVQmTvZ3h?4sfv^CroyvC$%d0L+*c8F1yIhBXYVnAb z&C{#KsN#hTqAjI6c%E*tfWm?>dwLRM^iUzd+z>Si)#}i%PZs@Sr-ZDNF1*hz6X(<0 zAOoF9?^><4E@={~*CMFI$^z3WIxH$Kx8i0GRZAw#BgQDPknCJ(Z&WLC&dhz^5Z}Lz zY#&hvXTv$nFS1Go7>TXA7@f`xJDd4o)`HrZE~Q~3l__iTN!zkN1@j!O$3$$RCdGQL zd-vQ*eZS*f93|*}9Nq@cBp2Zvr8mnVev+#zd>H@dBAx2_4Sb8d*$u3!oeaFuSb$rE z0dpS9z9(yqd%YHm6=pPRLW_)_upZ;e2u$Y@6Zi?yrWdCX%98i`WzlMWl7eeNAxzVd zdD=wKA*taHT**la?TTZZCRsUbMnYNul}la5K3R{`SEyB^NXIUsvoI|= zwfx@{@T~F-1kJ-BfELpiu=wKbQU4q;3!xt%=HZsG-vEGf6y0lJsvg%s3&cn zTHhCh)n3)y8Kr=8u+C>_W3VptMP56SW|hV-;89lQnBawS8ydUc16Lsf?vVx{f}j@B z?TngKZqD>fY{)Upw?)@f3%*0GL5AET53ZY%8ZzyZJ9(+mfG7I$wDZSFdrDb`Rphz# z?DB~+3GIPdqc=0Hm3W}%)un6v>9hhjL1=;_yd;T&A#J2Mf&vEi{U}l&H^p**bS<~B zMxUoI?FgkLZ_yzNYl~i?pK!qO)LRXg1DCCD`;n6kPQQbGQSfdpGzOR)d)rS&K)QGD z>!S(ALSwpE!X6I9akJXi^Q%qndlLQitqWc5fO=Z(3P}fLU1S!V9EO4aGq)s<;8;-; zf{{^vr9HnDEJ)Fv|My}>WR^3sI3uoYaxGlifxtL@T`K0^hE3&6p-h@^&M*xcMlJVB zWdQE`uet#iXPFV<87budgq-Ft}3ET9KS_ky->1-E_IvXv07G2%CV00-OaC>;D zt7j+>w%-gTjap;~_Y=BvmNk%sd;rY9+!C@hRy30~5mgMaZb&E&klnz&0^_V?$aHV` zAO`QAQ_R*7^ytM&AiD3l&=ohcQ&f8nncW&C1xo>|y%>KUjy<|ErP^h@MiPvS>=GT; z)q*xs=q$ksq%$76^rqa`Z9cV!Ehb~`qB@xviU@=U55P}X)xZp&65TH{HuTyhMnBXc zr3S(=&(~I198y)MUp5;OlN6%C8tO)$=FE-}P~8MGFG}e5B^Hr!fCt`K0-P(MW;c-- z5Uc>|<~xp^a!NEYv`8kBCtLaJzo)^z%M?neXI6+_Bul)TG<%7l=Gf`+@%?6C zAjqTPm6)b^K4f{`3VMCnRll#e7waaOy!$5bxW8b^?{~Tt!gh#Rt*=W?aR?U&j-sc< zNLV3=*b2S>r|UXay)Uu&>1DS^fQ&c$-9c#P@?7C9OhG2|21|{bs=AM1>h1861og|h zB@b(_$;@;{{{1+rjqt!GD%36KQh}+5Vww~p(td{%V(lP>NeGOqQ9p=Dgi+qAvPm8Q zpGRl}d;U0>Nf1h{QDC{oK5VA6qqwT+HG|8!$bTIBnds2ZA zLDE{2cYlJ zoGwB`c*<)qn$}ZU$m7yj$g4-$n9EZe$wN1u=1?QUash93RGhsQ~i?9S8O(ouf}nd24aYiA*6=j$r}3hl5YFi z_3d|ou}CLL^b`3&B0Y^+^hXwKz${$961OOUO1h_JxU%kEN_usap-DgBIfFAe$|~K0 zE*e|i9Vr3$$StL`yQPf=f|mOuLa)Nn#bqTcAec-RIIwjV_#!SMmi9Mvtue1JRu_HJ z)6IZ0lNvFu22wzpOBrkp*vK2H<9!aq{R(a*jeN~bG`>#{`S&}-17t~Zm*k~=!2_bGQB|W zEW3AOqKbDRZ)^Rf90D2#p;wfbdD$N%uSm3nZ0sDWnl2lN+E=m`4(j{GcCiEpMtL@F4e>9Vv>E7>wAFqs+m4Jgyqtsp3))+-5X^r;-n0=v^ElvYTVeLXj zulb!9xom}W0rtfbb;%aI+H3`;9?qb)irqc3Y6Eaq5$yaKI#_xL}1=SDe&Zvox$RS zTD73jnI}ounup_2%1X$%X?00`R^eEdS?jhmc(=i&;ZpOmUHFJQX&22nR6y1lq1Tah#1tFyR= zVhbDy(}%{C=2__=;{yJVnBs(J)qu(kw*=yyYW`PD(d`t1b*>I{@(MixU|j5;DtAb> zShiFG7*wA>YgSA?g<7<=0Y-z&FWJX_V_htX;Pf#BkZI~F^}PvYw?a5ordv|kd()Z9`x*##YF3PWueIt$YV^l!$(dzLQQ znN)Vf&09)?lfj@hunu9ttJh&V86S`~$<30v#ObTN9E^UQtiv|R<5USs6{0beEsjo9 z*fd6Y1;x|Frf=M#eT~XiI4II0w8pA=h9gTi9AS_Rk%LWM?6rlbH1+DW`=-8pq+a*{ z1`3<9-CEw`>{f%;mjq3CI^K-cVZpHgNBYVPNi)*)N?e}s@8*j3x z6hY5dQK@@oJQ=hO#gg*qPo+ghiViTzWjJVhY6Gb-cG5pmE$_+@nq(sdXw>3OqQ&M% z8z$+asu??<2vb=oTSR}*Q~ko@4C*yMp>FI;Jy~Auk#Q*)Ma`2PRdN67_{m9Oae?$v z{dBphFZ9$Z;bqO$`+WV>nN8>ol)F>%4WTWA^d0_-Ht9Qx?f}#k6SrukyVL2FXE&$n zCkhZUn^ZhlKkU^iqj?obbj7RH4vJ&)wx7;tlRA(k@6k5so_M&GSwVD3akjGw zo_L;ESwViHqafK!qO)-a<_bl?UVtMA4*5fEdn{hH&-OowyG$&Lr6X-r{h&2Kq_Ba8tX>N(U7tP)YrebYp+EH{KY}q zZ%8QtVtadtB`|1W(SDFN7?)nxjfjw!8y3^AXD;C?h&1Ma5I9k*!#D~(7EKqMGO}>4 zT)iH7mR#aR>xr>{hd_-K!F{_>t$&e(vSW_cg)&=fYk5WaOEC;7ULZB+Qc|-HWN_v_ zG8)cQ?gsTwOJ(!{yf`HX+~;Vy6)y7S#wPRkxW2l>q6p~>a5zWdd6^pIRD6P2A@$Zg>fHK+bS^4b~=%VeP%JLEza{&rRxD8PE$`LhLjutp5?3^blz+#?gpyn+gL;& zwIWHGJ+w6HU}&vm4tiF@qua;yP-7Xis#qe(6bt*@$ZKJ&fnwjRD#qkh^0kGnC4KAq zM{e_J=mt^ELTU15TvBP&E!(nhqJ(LMJ?fxM*VWpb7UkqU;Vt!jl^e^?H>I9Dxs=N0 zKw&3jz^~D|TlR1x4DN+#&KC@Ja@R8Uy^}=^?eq;guH*C$eonp09YQA8`o8{oNILQg z3Nheis)sM@FsO9)`MCPrAmfr5i53?iEWNNA33A|52en?+tI-> z(v-V!%3Z3Z<)c^=A(e>_A|>z04%xA?L}V-HS7lxcR5|8DD)Q5hGK~vWq|}AC?n=Po z$mlnIwEKpRk@cf#l)uP!b}@{T9jkrBrg!mJ1{sab5-+wu!*U_1==m5rIBVl z`UJ-i?3?_G!WO1frtvgJQ)^6&k(SkgacTw_%#nh^RtJ0i;hyURhxjP#_Oyz9vK?Y< z<5Wi)0*2uj`9QX@IJ|>_Z7p2w`Z%!zvK=klB|D;x@eE}R>%H}axCD9WBvhmh*~{fN z%fs!yAc`UkjGdnlI3RNbvdy?)!(4$54zYylli<)`l4^-)o+LCQ0;~OS!cZ!*7=p!` zK3LQk$j)uS-rg{^W5@Slc?>>@7rHcIxolpXr4PMB=bi&c&8x|Olr`PfesIV=wa6q2 z*{s~kBg=mEX$+XHWOX~rHd|WBwEV;GG0ocAt^xdVj7HSH?v{&0Uc$%K{3uS%gC@fh zFm|{~0-R##fdPZX;?WPtL2xpW9fi@?S*ydB+*P=dPFvlc<1D;7L zIxsSeB$0l{7dM#?*wIh4XUn{6*eDh`c^M&T!vZ4{pNc4^q44b&t;Kzk-|NSoVN(&{ z!t-{yzz~_7p~Coi=t$_>S&;UtLqyq7ZOld(kDghSdm|j;m{Z)ULZOL9sq8NYAr-Z7 z1&cKZ_vnqL7>Q<~jh6Xll~4+2SQNt~mYs%7t6@he5(;_)JF!-4#9~hc@%D*8jKz-1Z4iD2x>WFGZ!c+C|Q z1`yyyu-MFh6+8p)`i$(d{pl0w4hO~XJq8p%!d}9c2mW^o>^t3RwJ4Tf!Vy}nH4Ik7 z#!X;G1b41s26nH(L*w?lH6q6*2CwDWBJkmgsF+pBgwJW!GSH|ubTz$>xba`CY}He& zc@l{zBg%&zD*cxwyuT2qg-vyj4#?5ES!82EXJ$&l?bn# zBdvVLz^_JDXAB3ODUN_$fDQ9$SRx7xzgxelYp!)~UsUVnKQ%)Uq3r*V)(B2rVGND< z&PQ4ThVdIcLy(HHrQkyp(NT-hk)9>1MIca*D{H!4a3eXagZJKVX621=4$E8v`4lEo z8&TEj>W!Lf7;WX3-_r9DkiI3x@^G*fW`F5^rN8y*rHFEaH2{a7N08YDqlPtT3On7d z&xG#Y@A&2AbU{gGJf3xqph90}>xCN(Na$rJmLp!DoBmuohssg_p*?bMguR`xKhw`l z*|Hn})-vP`S~G`)8jh#UG!Elph~;iV+k+kncb^_(Eu4J{3Y-`Mv zF%og82L6|Ni5~+`aSLaiQHMGaO%FO;xuJ3V_8E;3(jB_96olr;y%V+>W~2c!#O=&a zVXZu3Kx1ucbk$GOCVcoHgQKwUxL>ChVe6{kCXuufs6!RTp0GI>JB0=@)``rR^f^}~ z;;qbU63PP@u2GJ9bVXJDrPxW{%c zU&ID&~ALXpCc$$QgGXBj5?_oKUOyN~s++AIj?hUIR zPW*#H=W{oSz@gb?URn$G3#RmS=?`9>d(%t!lNTus9EMdlmj@S`z%gy#&etyT?)n

    bhJ^hm@d_Ky69_zNN_nn$cwupY!pEwfy^3=a8MKjTl2sf5fQpw_gzR}8U zPJSXx1mDV)s&zyza<(Z`spwNCu7OK<_bWB>PEM{*G$LEO`M^$*qlCf>+^yuJr|<96 z{Sl)sz|v4S=IGK5CgCU2js^okjes?p!@T!ouV3qLzi^*ZF%iE4yhNT5G0|Q(-+sk* zdf&aLl+H?deDTHptcCqfr*Q9jRdPgF^<;<6mJU(R{6U|$Td zd~376cY69Mb}Mzjc$n>S;b%}VWm$24&1S9!LeyHZ)iiv$)wI@JXKv$R@3R@7^h_S2 zG!4`_n;Jq!kQxFv%?uxcRua4xBb1MdTA&a|9PTHw_d5dXX?ztHi`a79h6Fki)}V5n z2IX~VU3bQO87iDVLY4!0Ka0zSoNLO3%*onHgvJttWCru>%cU8C>T9A<8Mm;P>_i@4 zx!tsKL)6~}C_9q4>_{ck7g{J|3~hBZGI}e7R$fQ&xDsghW6n^#^YJ?1@Px}2zkw$P4g%k zu`z-7;`~j-PlB=R&Af?g{&6TW2H`P=^&}H*N=B2(dLAesl>!B%(t4C01U$js_W6qM z$bJ&5dvZnoLh_BjQHGKnIl3{7Qz(HC5-@!KqvSniTn~;O=5&rm1U+aNaN*3h!Gx_VYq!C_4ojcYs);ZvLA$8w% z#}Yn1=8IxTh4ZShsdI#5?p+)lb5|7fKNY65`FVI-oS41Z=ae*Al^bGP&8azWEy}3B zKE3Ys>GPNR`g%V+Ozia$HwS zn~(hoq#4KNmR*^llk4phw;q2hf8)mf>B(AdwZh!~ayZ#gX1Hs|k+Z}xbrY|DM~^D=CAt}OJ)jo58f?BG5-Lqnm}O%E#SD_V_r zGT*by%i5Z961y)Vfp3lhrEhk&7z}<-cjxzJ75qNxtt)qTAh|=MTCNMz^K%-FG8^|& zg2~X;)-21%>Vv+g-s^3-Hf5`pZkgX$Op z_cBjazCGUA3;6ok4N*RRb{hiel(Ij2YR8RbHw;3l`f>Q)!GD{YyVT$H{7P`yOVOov z>8l}7b~ZSxqqpUyRopCvXrV>0u{HVBZQAv0h3kdmQgc*IclJ};`sH*%$G5A!c_WA0 zw%m>4G}YA|@0`kJWg;h5hE4W#&VIcGt|Z(XY(s(_2BG+x^DVGi-0#!-*VQmMV0JoX3NCw^wQxai6Y`vGQT7b?;R> z>$|F$JN-56I&SLT?S^q>h5d>%@%gk78=jfd)Lio-UQ=rh!S<2kA6%2xD*4>YRs4;? z!dKM#GiBcv=8U@gSEp;Xlc(P85N+ z(XQs=WSTVuZA1rLdD!pN)NYx+w;KZ%@SpGu@%q*H>*OZ%}X zR?j#eq+(F6grL1G+Lbk!L>U>9f^BWAKIey#ubBIwy~Wu=PbvNGXA~Q2t3xAP#EEKN zd@lmL*sV*%$ZVsH(I*Uvyh?iUqr1RcyvO4Kw8thvsPWp4e{DXJM762^=ijHuRpjCj7l`LaItDF5DEDgzLHg9Z20(md{4}HvD}hCO(L$#Zo+PgZ6Yn`_rmz z^OWBFw2o7M{qEvKkz9!~NINRNU3x73zRPRKu1)C_-VM6gAJlYj=9~#mZ z(85PrO5w)s;YUI-ALEmY=41hseDK2^XL9wTHbd?z|Lx2ZXvj1!-uX%`mg#kaM?DRF zVcjg?T*u<3-&!3s`x!V-$PiCbfD8Ke)l2$=Lxp2f5=sV=QcCt7?!-}EYvX`lW%5+u8Mv+_1S)mu?JH?T zUQ?oT$Jh-on2G_wsFBbV@5=HNAd%4qO@ENW(Q1%@j*V187%lG|Z5r8S5$M?kIeXAo3xtNi9YW>y*Yk8Qv7sp|tEA8xC#jE$Z*JF}jZG zBnvS13#_9Vn=IzsGArVmC=*)9a^*l$y+;(5JsgzCFEd40dw&J8fwfX%>R1NNh$T8% znA}(e+T-sy`i*c7A+$(RFoNj%O^l3U97BjfFEKi=e~xfL0T<-%sfUhEh7oo_2m%~L z20<8P@0DX7lSV5Qqx$^<;THOC_p`fHACB<^E;}ma7y`~apr+fr3zd*tb3!~oK2N+b z(L?7wHB09)mV7d;g_Q+0MLsK5kV1oWcEpjLH(OF1KKp2v{BxLi+TX%9^ts5{4Jq>1 zVgfry$D*lxzd_o8=@p`G0MokGC3wKGFAfJ}nk%((vL(V=*pdf_?Zb&d% zl%fq%eU;IrK$6SSqE4^0>Bux@**aM`t>v@}(krR&+ zN>Y%bu*d^&!lhi`su~Q_MB|+-^w)UI(xk3hx+qy4*XWpG;02e|$u(Dq6Z4>VPzL(X z^M*;8Kul10Bd6ul2_YsKB`sPxB?*;K)qf6?TEcge0+W;df0Il5Z*u*leNT4av80m3 zC?m^N3L<1BU*CSM!yu*_AM}8Yn2}sT&xdh3Wx>Ug1R{!%v}GM9A@XC1$BCLC>ke|` zLW#M+%)Rx~)s-R(iKf=ghVCGlPWtkgI;XG_2b(ysba>9j0Iq5?s z+o+-AYYw`FBv3#ZhulgDe^DYnkx_qEevJ%L-C%@v0FPGDo#r&njv`sCkuyft0GAS7 zbl{B35`EPEO~I=Zk^X56Co{SmN0Fp%(2<_k8k!&8`pBtRh4;Z=Q4r;K3G<5VX2XVS zTgTJtTVj`qcl!qG;#{FzmK(WUtr)^ZR}PopN$#{t=OY6+=Z@us?2pMU`yV!M3F5zE zZRi68uIpdiICc?r59SeuLw68K;~zx6#hmJ3y(#|6WUV6i&~dSa4898{+cBz^%C+9G zH2NN7xC^OT??uXrPR55kAVn{e@dtZJHPL0t?A_s5sWDbHO=;GmRS`MYv9yqaB_D;+ zcD6)MMQ-+I=4()OSwxB-Pd~50Jfus&p0CmjCmjY(i5m1?jL-?#AR|gS4oSWfX~G+! zlwj|l-7y{w5|n7{dxiYNuKts#(6O7N9$y z3c3i<3@&-{Zdu=uTnCa2r6T!C;s-`na5j|5Qs5o8cRMP}VRo|nnIk{j@$&G&@gM{i z9*JS>;ojK*@asCnM}Ptb&6iS@yfqbo6E9_hejl5PnQ;w+nrdd&s~moVTnZ5> zNaUO2OD z*Tl7DByqf4-3kQf$kZQHHwcQhUuAp{Bq8#7Av+<_@}ueR9E$QbQ!N+JitXAthOWOP<1`&Z~AGRJJN&w2;dt&;f5{k z3};com;>_D~lj9BiQe@!xWQTtD7Ekaa2N-|zPKdri9)^nSCm{M_-uDhI#cbpoQYe$j z4zK`NLRpDs%#c<7F|-g*WwF&gQywFZH*?Vh-f1&{ciLu6ep@BdSy}eXUp{-Y)&~@{ z&rO7FZL=Y*J0JI3!!vC@cU~RUYx~=7klz`y?ms?>Nzyw! zGxDP>25nGncYV&bQ&bk#fdkQ1D3;`cOE?QbhJ~_f*-JYu97d2AGD(CM}ZlnBr zEJ0G?PrXKZwfQti97k$8r@Op(eS~zJ5=T5YrPBJ8%!jj+H&87_0Za@GRTY3m(XbckXK z>a7jzfPjJ9DEkF8wv4G3%Ae_M4z|vE_TCa4FgDKuK#xwWQZ~diCrP>UPCre;aPr?-6P3e2U^a*LN zRxTmJWWLr*ZKISL#u<8*S!n3*6C`Z?Xn&KU7V%R+ddpeShPU0*S~@kLWQ@2$#)6C# z73Z1^F-IE-Gepx}?T4I!W`Ug#i~8tF`WPeV%?rTX%1q;jDcdR<#o@mL9~Wr5OMus( z_=s7(G1|l3Z@QFz$~G`0Ea1tilqYV4wgF5KOI)citD)YP7z8V$bABd{P=_#N;yy3T z77-ApuI=c0pHRq^VoZw3U}(HdlkoXOgj0~y>Q1RHozw>-G+Z*emvjRwM2eCP57bL+ zwoWAJdq~Ri7l|+p;6>SXO-U#1k#zD!0<=~TbO9Qc@>SJ8%yiZFlwW~XkpyMT?@&5&cnshn`TSH#9zG%e0AOsbCEntUys+ zBt)+A@1Zn`=syGLq6UJEt|(7%d}jGw6^h)0+q@{C%u=3R@t=jyP$8!&+_XvXi>4`& z3BMQiVIMQb@CP?n6bufBa%WAEwe{@8`Vx7;*~)iuL$+oDD9HsI&u_0XGIMDNgL`3HkB<$a5_ZSnVB zndQr;jZ4w*|3BU0WB%KJ2Tc9{>lRFm>|8AWuW<2G*Lvy;Tf!SY)%%V&?}X@XB&YIl21D{7suZtt6% zQC9-i<{u~|Q&0lMkAa%uxgRC>mp-qdbCJ*Y{9Z2an?rtcC8iq1bKeOrx4^I5QA#vF zf1fW|31R5sTt3vFD9P3187awq@zZ>%p1Rz6KH%MWy*!@rTkpD8r??`xzkFTP_xE7* zR0pZXTtFycxG28Ky~Kz1c*~vJ!~BMLaD!Mf)z#uvllA^~wP=bg4)0ko>eSZA-8$Z9 z!k@;?*Vd)QpT%t$qNH@f|8vfq%&tmh#4v*@J9kSb8~>ABDG#4R?|_X$Y>u}x#`QsY zb{S6HT1WfOHcQ64*X0ikxmIYmzcj}e8(2T8jjiYtg=RAY zZ0ug3hI8MYqu=5B^%cu|?nax#Ki+EkI>=QI4?~AV_6hti3E9}>|6dX^i@fB6ggk@7 zdP9-k6*%+z4I$p&^?vsJz1^o$ekxG+N!;j1%FXZJ(*FZOw*Hj# zoGmcNs!Gq055jjzZNz9`i1{MzWq(LniaNO9Rxt15=;i8`Ch3~Hp|fEEB~$)?SjlM%@kRB?`;&g(iWZn%+%C)&V8#^@k zj3_p@%i{@lw+nMRBX*1T`StjCsQDYB%R?lEea=tWcSlczx!RJc+_s#K_igTnBe|Vp zg(ECQ? zw#5+I^FE}^bE%8}I6d8hbY0wGE4ye{xGcVz5bsxR8yH}f2O^SF29YP@HA#)<u+2ra&MmYE1hL`syhy0-%h=*tatQZn@jBFuWt0S zDf6co{9N^OJ3ZeRT-=pI2womgfCIBqIK!8tSvZ0Jk`ga(suR!+k2_cumdE?X4Kd(dYf0 z@_U?XCG~2CWOEIKoSehTEOAdA*w&a{$J^@6_Kul3#c?g=?jIv&c0?k_}vW6yaJz}dtBb5GW|Ml{5BiBp$;5VsP*v^ zZ>dnhzNSZvdPfx+l&Y6^jhTp0i_UE8448l4~&Cv0fqlB92a%q+=wT&H<$eG`35;ngt0R`X>X0m3H zfmciy42O>-@l!AgnXCbe!LK496-j4Ilj}iop<=^8q8c^ri7xD+NU78t2WXN6&Xyvs z@ymu#l^#3aCqnn7Sx2}O4gn8TDIK6TnWcyU0Eo*HvVLqO%~SJ14LrXU{6dA+eA#hE zz7&=O(*A=QjQ$Tbs6309>nePhHBCQYw`vidLW@$40I&9=uGQpksWi!>EX}|4APdAD z0^lGM9!SwiZZ+M&v`dx$MJB`ki%hmDCf=-+xVMf6PguFp$xXjMgQHw@N$1snuFHv!hr^oj`9O=XKvOM^Aj{3_5Z+u z{U2~({wdq3AvwIM!rxk&tkU`+0a|}r9p#zE!2a36oX%iT9jdS@3=MVE|8SMRaZxj2 zf|D~wRH65U@vaQ1%wzDfTYMGA0TNN=2MT+ z&ugSIg_QyZVx`z4a}^*m*>;nsSOAa$B9qG>$mAwSNz6aUWcrtJGE!K!($&HbWD;3K zo?9E}pF_FH8xdkd41P-Lp_+#)7S&qa{tq%4_7|Bn`yOoo^&euA{2WM3!n?aQ=?M`< zBa2QgX8xLXotq;Z4$kB~JDxWitT9^}EldZ|VEXXyiT~HX*DCvGf-BaUJNdXqaY=fY zcM+4}!y?G^VbDABoXA*ViwkAX9<|ZPvL>6c;vCT-0uq$MnPz1nT3E;t}xI2|I181h)tE+P)(w1%2Fx>y2GY=7cUbA ztvtaTQioITBg^ELkGbJLRM*2fIe3*Nl{ikbzvx%^_I>LjVD`R$Ci=Ej>3o^+`1ARq z`Ko9u(-INM?f@Pff()dSK^t@qnXs2o2-bm)boP?1rtO^`Q-DFkPauXkw1V0R1#s6q zUPFv$vSY!>q7d}lS;O1AsoM$t8FT|t3~TwQ>C+B#X%Z4wZVAB5+KIMl>M=qkg9q_ zgJC|ULs0aI-P3HCVt-~%mqTQ7LiC69kBDj!k2^EsAN60hGkXLHW6NwCAF3+8^q0=D9RutqWznodKzQmq#NN2)p8*2e$oh2uYYF4elv$P2AX(ACpB?ZAgeJ47 zr~Mhx3A>)d4e`#@g}XUnv-&}^(J(*R;P`)MLg=1Jf!el^26ree1m*mEUDzLAB`u9N zDe34~S^)fG1_aN<0wjiz6(sZ%j(=w^1)1l)XvAd?q;dfq#emqmEO_8$QP+3lBG4Y| z*{vC}1du=9cu9`zn!Xmu0Q$FKLdFwW3^{hb&Mnfse(gC!9OLpdk50R`cO`IY!T*4d-;y4SIg6~8)EeL;5 zLXyq}BgXTOMF`Uc7&}uETzOPx^buG#twmQP{v&+eHNB@ieEq)m6IMy^Os`=q{Q{>` zqZ73&rxZc*N|w@Rl;$}o(Os6c93Au?8%y1nm~#yJ8x!AHBaXLGxU?uxQ)M!^#gU*p z+|#e@rcH1aLh$u4;}5ceaNmRFWOU0n(2P3shD2oBY?4|%+~lc3!+C7LOwKP?z7Dh@ znF&Cvm+|EW^U3gKY}i<|c8eNIj&UpEH|U3$<5@&vnOXAMe6h-Jh`YX2Mi{YjVx@IB zIcRXkc{iC6)r4n`Kr&H1AYUZ}XnH9B5o|AE(FZ+JvKibjfSI#{1hIo@lnoK^E@63@ zzcdaJc+DBTQUNmx5rD~Kekt^i+Zo!L!+yKHHwhsy{UxT@`-xl?X5GAN5Xbi~GYJV~ zCVM}aN%;SjnRK^d8ve^n_J1&wR3FS_6_A-scKVl@9RDB8B>i7zvZJ=#c$2M2tN`9K zKA=isJd1I^TMSA|T(*jl*&&|DRoN;&AU^&xvFKa@1~wM|F0n{CT`1< z{=-au{lCm)*uTtViW`ub?Ct?hlg#241)_79cZ!_o83nI%NO(?j@MFe|75>V*5wB? zX%oa5qS(%R0<9ia2Qv+3lq+(MB&4rG)(7w5(ox^s)%qR}Ge5S_dtPY}2iZ8_O@M|4{NpCqz%?GRdRsv^G^Za@Dop{|LKS6O^2p;mUi(48G-m}O zRH8|$#_05D$BL|v4nJn7xzSc;J|RVmBO9lvDY*?GeOfuJX$LT3pABqvt!;aZyxg)` zC10}~i_aa+vi8Y*nvjD!wbNchTERBQ0S>DU#57@?4lTzT%Mg>kSYhsi_lTny6AnZ< z0zpfxOO#$bw*-Fq_6Q(oNiVE;uJSoeEYmfx)JfY2$(p+Z=+%SIEz`6Bk5vD`_Wdrv zk|excma{O7Bh!1VhF(I=?CAH}W7=mG}?{zqmvBj7zv4>`bIlm>0_GR5V*Neq1U@i~xQ_1b_m-SSA zmD<aS#=8IF4bW9){;V|rpB4BXnAl8;XP)p?11uMDsx`U7-3?G5@?hIw5)F+R?`MZy}i{>JDz*Hg5pM+VG_xz}}7!*wlWMfOWwh=Oks|InOL{v+@A>N zM`eCz_)WAq%la3!B$@mdwQNq>k(yBZzo_M!>-CLC%a%;;)&^hNOuvwv$7?~ zWOXOS%1m6-1}*gSMe0B8+BDfrsU7NC8IpljWQB@>^yl8Dwc)3fZM+WiVnCBSnjUt{ z5N07KX4sJ;O;I3vm=%d}TYvB`FxX>rRFp-6)GN=km{1HXU1GkcRTHu&urO%Endek{ zxgMlv);>?M@W_|^MSi|SzdyxA#;zw!zP&o^Wrz0N*1Tf;`Yi$k?S7lb+g?^sL+>pJ~w>-)m`Ut1sDzqUR#wF026FEcAa=zbi_rF$NpJMRSQ{LSL(uQAVR zm)B(n|NUQM-sg<}8uL=1=wzViu!B0wsb_%3ybaEx^3cy|8A6{_H}ZU1W9$1TT25YSiSOUa>0Ba1KM2f_KAgf(jB>>i^gR|DW0`WuPkm_u5se!q_aJ z)Yy(@@Sbi&mhetqZnEVO9y3@^exNC|Riv?<?<5gJAYld&*qY&=gE>e6i`CKV!ye%ZQHWSh~!`qp#&k7v?fKLHDe5&{CQ_^@xrTEbR0EDr(#2fhI8+E3iJNaF9hfL z9la;Wq`kaSXd(2qFL0w+iciJfN6xMS`P!=~@At?=r7Ix$R40B4@_7aocg?-cPZnl% z8p#QMt014W1geX`PDi8c#k$7B@VKGUIb-rwZMF%tzt|QWps>blWVFg2LG@;K zRE%eF3`6i$LsR8UFrs+!uV^CQH*cvQOyhm!PPuHlRZm+Ic4< zFwyi17g_hZ&EqWcB}*`ty30bgbaW}fQ#IH&22g&fL%_Q^Su*8^x6#$n9+WShJ2WA% z^(bJk!JXzPi>lVfD|)E}iOG<}c1}_zu%-H=OCq~e|01rSSjvA)MDE!qgvN*t&IWtc zZZ3e%z`$5~r;^G6bL|Z#2bTKF0!$vHTNz=gi)smdgo(vxNp~g%swzX(9=tZz_MHJ0 z%~>5Qyi;PMRx25M^vm$dJmGW{N~A~zW`b~A6ft#sOEUJ$S>UjUUf8upNj3Hr!Zwh> z6t2W!|A)1=42$Di_q6fg?hrz7cMT4~-Q5Z9?gV#tcXuaPaCevBuE8Dh7Wwae&OYzV zT-SVvT! zAv4tec1FD+JHtr}Zx7F6W9pjQxhm0q{ZY(lz9tyMGH6j% z+!k8P&a=7GeRYk7_;6V!B`pESz+h+M5GN?_gzttu=%+fXnviZ_a9b??@wAVZ)36W z`Q*q|YYhvAApbc@wDRDdCxpC77+tX=^v03PK$yVZ$(-#CGQruPSCKYR9jHp52r^f= z6>7g$1VfMa9hAX!O}Xkd7@q~Xvx+xMi5g9`paF46Gw@Q5bs$-9&u$wE6Sz2;H@$ti zbvD>aNLZ2*G*%}{68&6@f=;egPlK{b6jr(}=-$dx>$W*xx-8v109T}G+azn#xIClO z0npf=!eGq~dGVWh3Gu~sPVoJ`&z20z#?C#ggUwG_ZpaFvmRK#GVjngIg>J!8ujwL6wRpeZ z#@CEYg}P;`fe9ER25{EzV_Mx;Y^2Qyn=W!xvyFFD6IMe5<9FwW|9K5$EyF2_+~L~) zO!HfA@viZX$NNxMW-h5e1eLUa5xl=jzhO1LGA@!*{+w)-vD^v+hN$#BS##Acbm>2* z_5V|ha4VF4C7a99>AmB<&#J{u!o7v~<3`7JH?H1bngW4Lq{v*p!1-Y_gxxE%Zhw2l zP_CMOiByIs2XarQl?EMUq9lHQSk?-JcIG!Wx7gnT{BUY|VSCtbphNxX@ z7e}vG7KC6Uf3Xu_;Fz62S*Y@wXd&K{e@Yl zVJUB`ETz;uiyQVaf^ePt{auqTV!Xv1o$lWFctE{63~ysTKeQ_k{Dn)S_cM##!oKu2 zy(fOKW2IuF(4qz7}1UH^{FlWD#7xM}L<}bbG_{;e^J$<++$elF=uQ#~Lqm zzACt)zt9lD@gv+v-D8K}>|dau&p{DlZezP>2Z9bjI2a%FuBeQu+FuxgX!{z_`Mbh+ zRk2;M)h`0Oo8wg(!^aqBp1gZP?FLv!Br;jF5}RTbJjVp7`EKgwnckMIo4AedRxQ;S zt#eYX8u=#}^fd7#nEb~-seE6<0D#q3EQ)Vlx2`V5huBF&@4#vkysff7R*b%M2qg-C zQmPH3D}-AamROJzs!H6msj#v_3w3OD8qDKa7)c9rok*O8Pn#0yk2_TdVN`q&1w8S7 z7op8i!%Pn3qu1q+#_G5v{lYQxX|OiM&_2l;(x~{Mzb=(fNretIQAl46duZe@N@~m4 z2y!g@b2jTF6j>?SXX5D5ewAp@&*Iw?Tc%oaP-w;OM!W;7W4tc_uo_U30syO)^>mbE z)Bv!W97)~SI~cjV(!JCF4y=wP6+fWfBkt;QR*AzReXO?%w)wb75_k~xxbpZR+`_LX zz27sor@o(6d~463No?zKI}Bm-xj#hXLT_s;G1so-3pt3@5}hK7X4wqEiYibt1G|Fl0K?=I z?{Kqk6`kE3tf?!yN;h@ZVD0v;s_1q_CIEp>nKRz{wE}#(Ld*}FW%r|Q?@6imT)gfQ zwwR+eVSFUodi)Hl=eUGM%RHN%FnZYh%s+&DJorFI1Mu~r`ZE950Vkl_uH>LER66WO;EQO%PhL8?y4HiV-H z6%j^(nq$9Zn*lS(#tq~&HAuO)CRb&|dVTq)Qf=z`z2cu-H(VQEw0mf!RGQBY!7?&5 zFwtGUyNv~@yOA^rz}7=r_zps(xzX}Lc{jQ*NrB-dYMAw-f9+3Hm3uxTjG(rO>ph)- zZd=tT8Na7-I!3JDH`eGy>y=NX(V#{W1n$LgR1OwEYegE%vfSwoOg6e(Q?{M$WF<|U zHChTzp&ByMC6`5lLOdaE5>jL5l!WA*{=WG<5@RYY*uY^p4GAsUZP<7w{0M91pT2TqYr%W+>=C=5P; zpB@LqZI{gw_;V5zabM2a#Kfs&-nqE;lOSv60E3iiNy86O`<2Nj(iBBP>5;3x)W#X? zhb9M<%S5mi#d}GOH!M#bTGnApByh@f$wPFQep28wr=Y&rZ*rG3 zG?T8pc!H6?!KXSWSvaI^qQiP*s1YepF?Ysnm(z`ZY1PA>GZq683|8s4w+(yu z9}vrXCC>p2Fvl>)ql*g5xoYN9OJk%Qw8e(XiM3sX230MZ5Dpswev&p-yR|~}TA5ZW zW)Wty1>$N{^_qUCGreAdDZehjek@a$p2}CeplP3JeK|5-HGi{iG^9)BuQ=KS@-;W* zKM#wI+&a}Rmsr~Dv)GFH5&k=bZ8tXA$omYb0S!-yN_P}&Z%~iUbiI<7@`)p7p#l}0 zKr$!QlT5&m=i07OjdLq}oo&^sO3QnOdd*kZ3knV3s$vdK8X_88CWIrpS~&Akw(i`$ zn+4gisEaQ72@lvWcI-{j2yq!#SyoyL1&yFa5|B)9SAXEq{39rWRv+3W{7&7V!fK&4 z&l_5l1s-3f%W87y`&ligXUmX8-Qazemc^_Q;?<@iR<5~d1l~>=Wie-?XafNSsae_pIuOuvV6qsiEKJ5%sO{_Jlzr3->%sU0M zIj#ln)c9xH?4<4*zi=gqRLv$nnf`NfoC<$h;TeI1gqtza#m~adNmp3?Vi2}g{)&^2Tdc$bSMWl(qz8Q1N zB`G*Ud`thm$Apas#z+JHIDfJ+D#J+|Re^q_JhZYI2035jD`tBKe0;e}j1z@We;+!= zi`h*CeVp%;+{7;&VWvor=jAc#xyH`XZK%}oNELGMQIpWZh*IfF{ZXYeFcCFgLwrPQ z$rp7wX|yz>_quv|z|<0FG>KIM6RGQ%)tnl4kTW zAIK`7GA5A+yGl|s8WXqP&`R1_4fhcINVIz~LS50f1_ecn0wi*@X&Fmrh!SN~mBEmC^;$-@? zh+h#1!-jWcc$m58r?xr1+|qdaDY8)>Q$FpzoZh#6VCxk8{qOWE^qHJn>9?*DALphj zfU|PD=<##-o@n0DzouV(8G2ZM9j#}V3GmT+&F4aYop>E5&!t@Zvs^GE>4xJ2FFG#u z;H>D^fHygaDzK}0YWvuh+P#?I95uKm2db1MA5~8ez^s;`gRpi?_(oa85XanUGx%g- z3J-eW*&mQZGi{+VqgjOnl@mYB;>`-%7l^oZ1u$H>??ppHF!8m?mSDND zM*8lWmejqYvvYM(K2E%zq___?n~;-7AQlMIKO#bgRpk@@g@86L|R2;K|OWGt-#ZV87^+toc!mU`tz zz@op%zpORe*iN~8V#HChJc+bCDMDFSHQ96l;l)}@abEU=)OZDWne{@x>E-PEPULUD zIBw;p0nyhiK(wX<(Ums<)Y1Y#EiJ7^B8>(krq{ocH~jdL=cDhXIF9_v2)F@k4`!Gu z%w`m*XT^{_*_{d&HAnd>>qYX)^Rm}1Y03xRS__p=;*>2`%Bm~i(wyLa;w>uO&H{UX z^1UqGN#c{8cbbrLU3e2`b-_Hq(Nz4J$wc~4fja*g-yV}%f6 zt{sghM*Vy-P;SbU=)VFs1|+Yj4d=&+iVUJdh)(U|XCbdmu4$mRuV~~EQ%&^01#rU= z8(R6h^L<5ML!#HTzmnEgv-h(g*iW6LS{IAI6ixCkLU~%|pwY|)<@kj&B`AngU{gr6@Z!|>x!-HZojn#TR>b+R&haU^!5wT^!4THBhGW*-tyXO8sXM1PksxF^?A-Q;Y{8>D{1fJkiYKhN}j>kQF`iN(iGxyq5 zkI7_NtLBN@@26!&*6CI5FZ<Vv#k5xtcRsUAD|+k>iq-QhbK?yv1IDMVt)GtY z_0y?vym<;_nL3Kje~IBXyDnv&3nRYQ)_>^Jo)|etoXP$n|g7zTWfPv z38%aYH{Cs}%(*i7GfWBUmYtQz>}wf2Un_p``3J=^XPsZWYh&u9)k|r+2f3!{k;`qm zB-;9UhXbvd%G%y^a6=^T7GC*L-~N`&5^Txt7Jn20okH=eX*&N!FGo%M;q&!OFP?m9011YWwH< zy~|I&AI+)E0LW_Wj-#^5Exq5##Q)7Yt`XP7BiOC&_xh@PAY>I^K<+CPO|Oz{csC65 zc)KLBgn4PYesr?X+VZDUX~rv@`^XM=9Utcnr~RfcN!JY~t5QvVUCh~xE7moFCM@u5 zS}MXSJ5RIDtFWiXrf)2>PAa0p*cmE67aTaLnYmbAf7#6TrUQviVY`uqF)8!)VL2zQ zb5Ze^ltO1~xWG;2&b;f*ip(KonV(tkkh3RT*Odf?_uJRcm*=yQnMG}bs`p5OQy8`gA-*5_5)78V@6WC7l3Rpg83bR`{K zN_}}e@SD{8D_;H1Vbc+#E#Xu6(&(sE{&=aCW6m9=JMvrC!q0lz(jEF&Ko6>~V-sQ4I~d&8mDp^M?$7Law|;ICJ6 z@Y3AX(W>d_LCWpcj>f_kBg8+;3U`aArl(gMc;`6`PtvtK5#1H-bCu8B4uxw4KP@HAPS6<(%i~ zn5GBfW$f$Do?g*8{&1CRnxr94B4p0vJIeZ&2jv{7cE6N3NdJ4W4XL>=xMx$BfyKaK z=fu9)E#sr7Is}(ci2LandG$ux&G@=E#G~%z&0umX*aK?_{rC63VCr@{_TjFlIT#;q zw-e1;hm(Mns zx$5J`iJWX1#Am2GTPUcIwg-+w;R+#?xQ~`8jA3e6;QST69bz01P@&J5$RwXJ&`G-Q zY{k)Q=^{aECbU(e+FC8e1j;{E+fzph|44-WsL76cQb!~RiUCj_&q@phenUvJt;%tG z*cGuH#v09{+;N)KH8Hvj%QIur9C4St+`|k+p+;Bh_;OHL)0s?86!|p1*$}A2LriMK zwNmTJlTr)1>&=nyEGAl!YPz6&ftOgmG;twhzsJd|Ptgc$tT1$%`^z`O$_;U?5Prea z%<-d(%f;W5$&H7V>_=o4<9@sH-U|`Iwx|*5N~@rzSrlVSv0$MLR<^Dsd+35`ezw|2 zH8~(^?E-0#)r^a!&4UX=X5*5~zzaJBNLR=14(M_^w16o*C>UyHL{wAf(0rY*$t)r` z+AoRVQ;?0Vmtd9NH2mfCjx!n}STq-qJz&|dGT*2A)1<*#`6ENvBt<+FMG#>Z`n=>>e?#I#f<) zMgiqItrH7KysB9}pyqATtr2A?_6%ODT6jR_FiEGK1Ff|EtE|WBSo;v>}Xq zaZ%Lw&wPt__eVbAwHR#Aj7g~(+3|wa>BWZ7sM{b8#eK@rWxO2%06S>_upc z-7Fi~i%vERl{b*zkX(w5KNgE*9I&{a>cmKruMU4EmhMHE@GZu7f_ZQ6Q4@x3)=AIV zpGv!}E#P8YnwAWzq_fdtbcg6;l*FnDC)dve>0=0w=&P@;)AUyOt-d1GMbFyBD(iu) ze7_wY!c>P8hHgvcoq!Qm2?ZrA-_!~CmINcn4`9rN*dJg5RtDwi>m+c&Yr@*>k;}iU z@agQS;D*~%V|-}>Q}O*5tPN5-Vyc_9DGw?BRUuX5xkcF?_>jG?ePPRKOh8j&$p%&o zl$}yt7cnL7XO>I%tYR3QPwT5cQV==`3J&RsxNAG)AF{)>@i8l|lG!4ha6D&u;wy2t zrJpS>#ne7*fBs+-jlifXTDK`tQ*Rb)Hh}>EX}qDXD=%kk{UDn5M8lUDBq4G!qV7;S z{Y0_cgg@B2WNDxN{hHfkd`=MLD-7PG5Wph3&|&flFHJS}&!g z-rQL>rzp?J?W}GbfXeyz((>4l+-lM2S^Ia8Hb+S0Ii-m@lLn zSw9k*{k6g8u%o6$_rlhVfJd##jt%UWUaT1V-~|3=QI|6oH_uuLEI*p?O}8UBE73ZB zTU@(fz|ej`DxZ=3p7bm(*F_yP{b`mJVkYQG>r8P&jmuh7%}LxH8bfvi1*(}ya>Gn; zE{^g{gA&B2Ambd79vtNX2`ng+-GKN#`1W(OB<6D`Lcj-F*#%;!I`9(_f|$eu@6IWQ z1cY0!yF(09dCQ5AOswt{wi>*j@Gt>DDWlYX1^Q3?&l9&>9S&X2H|KkuEaYKJp~c>! zZ;pr&s$%~u_(|Y~hZcjKnK2nnBF86Ri5jtjxC-_UG?XvfCw`@asM;{5sP9N*j>v9Y z1%2?MFLFkP?acvQ>#5n30X>L1fbZ9`b7y5>JNBRfa9_{fET%9~HpQ$8F5~w+$@HWU zy*^~vIkAAeQFXIk!Z4z}nvC1FWOE5}x{AKv$j`5;c9JFK>R9-u~MeIDBspAg~za)BK&VjsDh>8=b;qgl%SZAos5d!R7H4gvhW$UZJM5M8P6NbqTzag4go}LRL7RteqRpS zI6zm%(`Prm7ZAV>LvnGnR~qC1uo5U>9**{e1YVFaaP=LOC-c5JRc5F z^^*%Q((L_-iVog|hV?7l$SqL^rbi%-RLz}~0z!bC&J5mmd%Ft1k0NJ2TJ=ZbK;AEj zz^Kaa@;TpOAs`dHs6Zl^R@gHGRsCv23=*cjzjmGD-1Ts^V@Wl!IG{zzwYif^tAp@H6^kIu*Ng0X&bb{_W2DY5To^j1miYN%9l$ zttRMJE7m<2Gnm4t`KCYt^+f>oH#x*K00+_nLPUPQqM;W#e1~)Hmc0?TJL`mZ!?J< zHO{8OgYVRvsA+j(YP(z4fwSWo_?@6s> zX*rsq(E$b3$`K*L`dd&fprGNu7|<{y#^p2)VhAli_a=DC_4OkMgm~0&w0elw83Cj$ zUMd6YDaLRsK+0PFC1tZn%IOi&1n>gI7fi9q^cQU*frkYN^6*(%`p&-y;)83vP9fuq2J2%i@(k}|lq0qu`?W~Ci~Z2uYy!_Shz}1L1>9Z-^P-unx<6HN1stRjKT0tGPeFQ`(hZZiy4X!UZ-k1hNC#i zID-06nnLeOfy%kRgqLMFQE+KV^2<;zGb^@k$QXl z5MMIUSz>4qT_t&8rq{}-S%b0LWTqF#-cQBq6xHVeK=m+(+@6u~AlLxO+zV#RLXYaKIoSkLC{Dn^eM5gpq}#~^Kxe#2+E}Mo6V5}cF)ZkZg&8q?^U!g@%_8k zCiy`Y% z#5*K%&MFNew$Y&a%oe<(`Y%P|sZH5Qj}5}HL!2WGi6Za^hciW_Bmfo+v>rJ42Mf*@ z<5WL%_jOPcU-G^~J+Rf^CaA*V3=WOA40aE7Fu;y-SRx<|XTYM$`+}HQF)vjT@ZBTS zVMZ*);TLPrggx*Mp0cM#Q2dct_DQo_9T8ow5I9R?V5iihyarn_Tmhs$t5&wDBE)2K z@EABKZs4F?2OgohfrFYf>fpS9e^FagEXp!*B+UsW!qv|LUIi221CpD_g}y65;Y*vH z)&LZ~$zKXT3pf*tb>Aucs|Y5mLX=+S)&{duXc72H{>VP&){I0p_(=){M7R|L=@7(y zr*VQTplp&q`Y1VOr>+JAa=n)0BCh1oF(vTHEuE4$Sn*l)g%R|*IgQG^3IwBjRz`z~ zNDc%8mD3O~#9TsE2jtO`u1OTC^0r(SvA6%{RkrKDud>{;Hpbk*9t<(xDI#d}F<e2wdB2@gDo!%DqE3AUI@$c4FxYv)P5i*Xoj;md#NxaJ zaO=!}x%Ell$~yb^%Ify_%DVM_WmN)BM3Wqm?SHPUCcudZm&T|+X#!MEA&3$?a}uas zCruR7mu&rQ63t8o)|~59>>#deeUF5S4y}@qUEp5<3E*FW83a|RFWKV6Th}-)k)N|b zE4}$gD_NxWRLZ<9A-TaJl_-IR0|j7x%y+MrTN_ASKtWDL32)vj`0&54Aj!u6>k4Yv zNULS(1I1e&q{P02#|pap=EXD;Vv@q4Up_ln3VJC8-+KwanqPBw1#}|%A*Y`RbcdKZ z+e!3wC5sGj8_+fsJr>j05nA2@C(x;r5@4FR0IB&ET7bbx0ujTVr^8DCK~{^A)+fy7 zwcqCHs^%=N5i40&MjHJiIT|y67zHX{@BY;7@ibOCq{`|P12RK3<7X|F_RADC3WLsY zdn&iGE^miaWe_Stv5Fa*v zK}7K8in30tn444!yzYVuCR%mqJhtXku^)L?%D=b*h){Xb-uj12!* znI$6wBOAy6pJUgq#&3@G!pix>5Y4w_69hj&>EyXgIbC z?d%CSXIPDy!cC(AyMnvJ3lQ;c z__(;gK1^r-bbmRFH+eGpz?IqgborlB-{gy3tPjtpqmMVUT;z{8@qaA3fAV^~9G+Y| zK4#CV{<(da_W9!L1N`RGD-9^s)imf9{VSPe$V(UwV(~f9hl{ zUF-7xY^LoA5s*!wE%qpncP1dao(7!0UPgRb{|4C)>rq`6OLrN@6DB6lt7M}6$>uV% zIJOLQWOTj$+^P??l{;6N51C)Ty&V^I(|j6mkrL1Rh_2k~^GUQZB0u%*<}1xP62d#k zevQtXa9%I}dbAF|1Soxz58jo&w>A^y*+Q!~$LsW6Vyvc*(^T}%aObR2PsINyeg7L| z*O!e~tdvoJmjr|VC_g98PCuj$?0Zm=lDCvp6}$Ol$`*=y@(qrIbas8 z!-Zf^buzBAar;Jelp{PjrOiy53B{8_XG3e+{Fh_jd*|2_0gnCk_U$-HpJ<+^<6lDG zRoUzxQ?l7V9nX%jyru;On*Z|a_kg>VU8q;E)m5{FqVye#tklq_uIu) zHoXkro3mS9?}rV(cF+1ZJNFOzqv5LbTCXd7w)56E^oRYj^cy@~qV$ASzOv^!1PDAI z)U(=DJu1og5TC^6b*Q;$jE)KnH6*S<#aN9A@zRkn=qF~BA=D2GZXS=C*9-N2-NXMH zW~dU6;V>Lffv??6t8ec zkRW(T&H=UG$^YFkkem$j1q3hRS+A4gR}AZKFYeE^<~t@BPok&E`cb0p=NVaQFk z==Ps}=6Bblqxd>oN0m1H4x(DRSK{50tv=rz*An3_p{cWf>3-}x008svNEH^pyAFKA z{#?^}yPtcEe>%_FchKMGR)kvPD?jX!%BOIe^ul;q$9nkqa=gJEbD=$?34*c-)p-dL zoicfa2Fh0?d!=t!Txot|w=!stu(u8oF$S{;0+zGX&2zraLcxf1o^It$xH(PZY3-R- zr0?v1^(|$57)D+2ZhLSeFqR7rmaP(d2)TmLtuh7Mvc+5Gw?9JZ=YiA=WOdy9R(Ie# zgC_v<-&ef9UQXV0)NR=ac;1c9f8OKS^SS5fGH2`b5A1-#HE)i|h;$(yjnCJYp%By{$2A zZ!Ihku`>`Ec^S0e>I<3}Y25m?Rkyqh>{@v=TAJ+b<~CyCzct2lnwDA0IQ9`O8O>A>%S! zX;4c2zWhVfn_7s6X%K=bY1L?e4m~nF1 zFBq#QX;bQjNHIu){AU!SJ;0Bvz$((+0Q~r)DPRzWOYg%_CaC)pUy3~9?U4ux z>eqJx`@MiMW+K1zB2TQOi;Z37KLGMV03Zj1#g^U}BH*Quf0eu5b??gE*LH-}m@C3v z7K5RncY>S_>rY6Ld-gj)Zh`C#nY+T;esn?&`R?3}#Ad<<7KSB^B2=Xxd+`EJUCTMW z>e72-0%Og;&fS0bZ_4D8)U?(o&v&P;J~w<TsG6vqrI?L!5%8Eebtxi zzax%+5e3p=Re+Ob5`TJgq)LZ!>bHztVA@22LTD@gM-pT3R}!fU_G1nF;ajC*26Mv_qSc<1w41NG z_%hcBKO`qhxZ!^22>8>#&CY#)^10XHCxQLFr`>p)QRnZhXHw6T`|*Yk&{&*89|T~& zAFyFCd;}$OL@fZh59)jJLZ~>;mOR<I8Ny;P&+{!jek%_!J?We9S?@pCW_E7le-#qy8Vxb@m=S2m z@GMHBgyV-F{D5yv?8Xbi^!q^#5fLodM9B#Ir+Qqip$1~48(pu!(w!E4-AopEPo447 zuxNV*{QVuINf1gD{oOb&7G&5wJY2f)-DEEThK!#OiD!>aL9YWRKDUr=c!-@0bo&eO zp-EFEy?3)hgfZBNO(bUygGMeWHo%X=vzvlIp|OAnLE<6{f?oYVf;Tkzi2^nuze(bJ zSkSVhKMQgiX$ErF!~)`>i2Gfe6rsyIhMe@yQCY#jMIMgu1;Lkt{H_Q_*+903Y3^dRotob*5~IU^F_AxCPY=kPj^?Sq0C}VLdC#sgswyEUT z9_Vdvsyf|9_;OWcM%`R)Q{MV(14UyhzN{hon7%mKFsAwqHKwJKjc}j$sm-|~#I5qi z`jiA<^s*Fsq=J9N00DMOp+Bp@MO4IX8f9c42nDY zM{;n5feAjz=3*-G9s0hCShEurf5^k>{%zfLdAIJ4#piLoTX*5#t-CAbU`4><<&2gl z2AsExjEM`yjc5QBe+Fc`sL0GOkFTN|CS#t-r$)_$nodV=bk1kaoc z7fy`$_1h;oS&W~@kf&G%^!dJlPasc$G0=9Ud}oHB@XPwVY1C&GE&#2W%u?&t%5*QLwA?H|He)kUL3-f5o{W0oRU7 z;nY9v68|?q9;aVfFh>GTY`7MJtR0?ar1uaK4{xD9Bn~x!oMEIEC>IZ(@tI?o-~BM{ z&)<0?gA0&@86l)JKO=daX~dR7t{OH8TgczBC4aZtm<$i{+S@eSN%1|1OfmVoE z`&!mP;bc-7fFQ3hV-SIoQMB|#o<+}q&nU_{`%93^D9RBuRY@6q_wHKuuABDjXJ_#&Ax=c(Q(qkD8*W>* zPlcpS4H3|$YK~x+mZzUQreMmec%i3L za33hbjLAMHL#GZYD>nT~7qASS0oJmrIPD3Yq^q6EwMFo9Tahq|^rh#Wg5V+6O~wGT zuktYwW7{JEqI*R7)Y&C~z(z(lb%+g!uW9L5DE)@R(z=r*|7v$Ducm#7LmAzTaoK>m zLBL$+7O_tm8()p0b+9nY?!2J{$?Jt7sqRUkYWMg7k{o9$6_y8AtzEqmr}5K&X?N9! zVQK;GE-v9`cMgNNDbHWkfOc0&>|MK?1Za0@{Q&LmY!V@50ifL_nJFGglKL<0E+e?1 zBU3sCNw*`Q-5tQ(@fKJ@`37irVYuKqXXD?syQ%NmT_~0a>38k!58wJ<+`>sT8zQ1R z-pZ@pZXG_sE4#Y33Zwb;RpuXwPi1Y`BwPR2yBpDIjsU>mc>L?4*vv6=z5pV6ri|cnt392(^_{v* z@tbaWjBWMIlT`9ZRT>f7WHo5~2!<`Y0R>4Cl;}nyiW;BX|Qd8z>~t?h0;@ikej&iZ151 zqOE+;mz6N@tgEzsZ#?5~?=ZMliQSsA`y=VMZi*cPN4($5G=Vq_9N8jEi{^x92s?!W zk+!n5S`*Eb=KZly0zpQ81-EsOeA$6QnKzHGd&7=z%{`&%$j;^oKo8&#VoOX@LUgvt0$Ex)|C1 zG8WkyB8+kc%dN#ItTmeN2F>o@DL&mI^A2Rv1d+(5@pfLm*QJ z+r~&v*(6~8Imk3^BwWfpp{GnYowD$Fm)oPxkIW1rMp-WxR7A5O;66dQi;%>-7(_~d{MU7#e+moTsoaI%khv>Rsi3%pon0WK*&s8&!J#35Yx zX=`*~0l^l*! zN=~MTDo%%Ec^+RHXgC{;@SlqEz6JwpXU!7IXaQ;)A3(+tX`LkD-uHl(yeg1ROApZQ zegw3;+fxFH^SOXEC6_t;{Aj9dcPh;8Fg*KrZ?e0fFyZiL=!{Z~sd<$38Uwu59 zW2ek=RA)Sy1NNg7*pE`?@J&}p!Y%FNg>tk%{7*a5DikQF6A0}UR$*oAHK2;ugWri> z7>DJ*fK!Jif*7DtgZ7ZCU^6n-qV~rKZy4DMBT=+ct&_u4uiY1=%JRFIqxO8NykKO( zfhiZ_4I(>G&M&oqr6%mtYshzpB^K)Fsv@lQNX3U(d=u%5BieNmOmVPBKSl5@T>i45 zyBXgrtDS#cX`vH!fP8fQN%Vfy<38N}&%o|R^Mm5{WbtFz)~YmOa5*aGftBLi?urt1 zUeW891ccgUFvXE07CIV~?+CQ#m1tNi*Evp~UAmxCa%r?pH;4iYjDt=HHb>2`C$`Bj z5|JER(YcPf14;V}lj~YI6s$)^l9~TWSpqQWOe|vslSi9S(>|At6?LVzmyj^kIzl5R1Ji2D7{O%8?k|~`U#@5Mp3yBhvdc1M#R`UT zvuU6oBe2sZfuN!G<%k5q6En*HOaXpz5$KhH+h(IE;evVj{(+h(cR5oSXzEtUZKzqV z&H>*fTisE$obj4!BcD3ZTY%(QN4U#u0G9n_V|W$tyt2Dqfd_rbeGIJu+`B(Bn=_}r z!2}H~xGx0a&u$!%PVIapU5^@7-K}KHaQfoToe8Z z#b7jQw2AGpw3)Td(E-nYtOcGfQ1^6MrX=OFq{8RF@zCF1aFmN+qTp=E8YwWx3N!}- zy3@0gdq@Ele|@(hxqx06IQ&Qd5j<$x@vk=&K|4^@mvUGtB|ut`)AES=k5<-Rx+bDk zresJ|%quLCud*&v4omd`tE)fnpGX1|D2AocM|~JmAcK-CRjdu{%?0ec%BH94NbmOD zz9|BrDcy2*`Br-ol;CwY5Z8!Jq1e=k0Uf;ucn8o6M_JtdMp5=7G6!bw@kX5Va@ODA z2U`Y-bOqPE_|^dJTcPBV+f>u2do4`vGU+K0KvcHS-Q=3D10#W;13Rj_Gf&Yk9DTpx z1I;=q(1@-O1)bWdDK3 z=LRyvx1smq%r3H|NvcIp{?$GItw&D+9A2lvk1Iy`Y^L&I%vRUq05}3ATbz&!10vzWHX3kg2Uq%j6}2P6i!dpwD0nIJ&L_ zJSCw?o(bx;zDzL_vkq%`?v|RI`n3pV01FT*MgpOty)-eiS4y-6(7msmY*xQNUfcYy zfA?R}N*XJx>Z8-vmo6FYx3qF+#I1#_l~!Vc0DKX6P8Q49o{SHTT|dZyJ&ctC4m8N3 z71QD#jv&l?$Sb*}^{L`Cyvgl{=0qz(K!0d~JB#|=eqi`dAOLcve>SaztYfO2fK zbI{#XMu$k8mbpq}c&)Yu<|5ZI4z07MWkWr#uR-bxR^R+>`@zCss4=4mTkdVP;Gk6h zh>y;KH~;C@;w6VV-(}_Iv+drXFnS~H6dr#6a>maT zp+TKj@RKOI5Wm`>N_^&XXumw-2WMJ`v%T(A?Xqn-Tl$TXvN-$^=+3IHGtV6@+DxI; zG->Bcq5CkB;FTpBE_5U0<2ZvXUk8eoYS~57ZhPJCWJK#T=B9xb=T4@gH}v0ktG*F; z!QP6tIDt=AQQ%tQw&ms`36D4 zpaP~syrTq=5TLi)-T+(o^FHuW2p@U5W-`m@FWMY2_xa z6QU5%NudKT3TSX;4Fxx zs~HGe2@A-oI4bfgUlzKhje<;6>8h((r zW0tOt&vt=uy@4Ki$2}NIS{)et?Qra&1}X~6w$PHqKMUIwJ#Kn6P#hpT7`5fiE-Y$Z z_O$2+)N$^KZP=b9o**W9GIE`)V)U-I6r9f zQc`6x{Hmd>;ouTm9n(>&l+28s*C{E8<+6~1=!x(QWzFfNo_<3J*iX!aPgT=4e0U6hgwtUh+wN%Mq0JDoffnzM^08S zjf9lOHq3JsR1j9F>=R@ezco%gavjB;qhb>*Yfzlivz82-Za$L39JDRWj0YNS#(n)n z4tvnXF%lm^NG@I--XuN}Kll0iYTFZQCuum&LuCpz$&WcJm4up8oWpKFd5xrecsc;h zghpEPDLx+9$S@(JR1i_9<4?XBBMBZ6?+InDaulyi)aRDTu!^AnVM1o6K0#p^#~aLG zKDuEq5*W%nz!)#=m4Uy=eH7|4Mxs9^-h;z2!5BZrG^mi`yCWvSG!>D<0p5&RHN|12 zeyl8q7=~gTV&=86BdF2hOpB0jOyDaI7TM(if(C(p3=&4mjRf1geVL<1>^5b@6G#L7 z|1tKKQE{}}x;F0a?i$>J26uONcMSx0g1bv_CuneYNbuk;0UC$k65uQHuC>qF-x>Ri z{jWxKS3gxhILLj-0y|sxsmT+X z&WGd2sdpIRwYDfrx^)?^G1?Q9PdFS+y`#yr3t=>|ZP{{%Ek`t7a#5)#u_HZ$LGa1! zD!|J&-kuCR6IPrkGsX&;HKoc~x0B&)s9V5FPe(AdtkDPa!iqlpo^%lKI57C5m1PqX z^iWB!&uA$7oJRIU9I0vtxFHmGC6v%}ZdCQ>$MWr; zZs}VH4?9#Ca%@o1eHpwuc9~o-stmxHJ?{BvDXwm`TPk$&9}dhV2xk3@ntG7KYUi~c!Wk?#||7@7c9p{WvJ+&HxRDez?*#b z!1+wo@_VTO=jiv^BvqUrhQ?s|*U%;86K`==NdAEHu{Y^%*y^kXf8|9Y7iqGvV%b{#MD;JE0a9Sk+_(eB7e0={E2-hl;f+|n0nJy-{h!-J z@}#<*OLG11(oB_Yn9Qlfrt5n6=^)aWDwhn1u?FJ6dcQa9dMzDd_8-rsbtMpwuI^MX zWkT2)pV(G{D-3}UnPd5NG59?;exe;|F~*Yp_({zaIpbeb8Ur^BGpML?A`ICd(Do;% z4t!E)!Sq{@XVl|egIV4Hd)Pp3Xa(yJ{-mZIII(S3H4a+ypq^CpM8+l}epT+{MgA;s^>kZ852ZD@K8?dD^s=|625`NcWi#+1Km%uXn&1TWZC}x0w z6H|9yjsyo2V-m8Tn813m{=49=THSs~G}(*Dn^vibTvZb}!Qs9FId7igL^@YhHfbBb z8fq0=^!sg!dPA&%u*|`iEAh18Xi8q*49?Zvgo>KM1u<1h@O%Oq+-4gBM1HS=M_CPQ zYw1NBZhYmtkDVsZojBq(`IYKop385K z-z>Wgf?p@Gm~j1G_FdUP0SqTeM`||D8VGGa2sd4B)9|AIn{FMQXkSgR~Ss9em8(X71`%pK-4>cSn06}GrmqB+qWP|52u zZl$BmSV+^uCEk9w-#*>nw1f=gH`@E!6#YhOPcXFux9KfUV=H+O^e-*W_yTM9XL;`p z;LZhu3AalG0#He7KJ`mw8U@P-c6+;^yFo3J!NzzI5BY-py&jx12b$Jicr1StIA%j9O{=YZ#S<|9iI>(B65I{PRbYK zT4BT<8R*a^gNB1TYx&*;wPVdkaS34=?KVC)N95TP1g@XRj3JeLT>2X_62X&Yh}=at zbP{<$&T{{8I`q7yR}}K=(M+bq-L%DV8`3hUvts2d6~D_1y5dAk_H z`kW%5*OlX^%HI8#k{uaH%0 z%MWbc0&K1)H~&)+NP5)d2AXEW2zA?23Y7p(+LzC|vU!&1-{WgsS`Yol_!Zu7oBths z#Et*mDF8{gH?qp}Gi7)_zOO!*g{{WUL#aGE<&3WKcDJ1U(50K+smo#Lr>9e>$9<8_ zsbIt3wHeZ>QSZm^Ckzej#zgg}{6V*cHMrHk`x5`CTqX4B{0&(?xKZe)Bz~e9x>D5~ ze{`Q#cc=oAn<^l=!9#sZZWgO>UxoR06*RZPe3&}Fo<^;4eUk`4%E%Ie!P<_O>HkT( zW3aYQc*O|LiKEajfcS$xN4TyHn;!Ctu`%Y9m~(C*u<&@>Z(pr=epS_B-pjoOtG)%tC($)>80A;H(hMYaTgJgxer|uImroYA0!PDW1V}hz3f$^f7`pzh4O&JM^Q)WsyGN(DwP7zeM=xjDV^XLCB~GL- zx*wi@znpR4-T)udv$lo^5>yB`wBO%-IBGjxXd_85n4fc))lk~>bF=9Hy?4wm$>mD{ z1>~;RoEjJ;8n`E~Yd2&cfm_^}%wEgQ#>_^Ke1G=42q4Yg|3&Xx?Pg@>eRsD%^lN7o z_U`U>XzPWKnT15_@#n?mklUiQ&5{4Z<{aSRZENF>edX>jIXK3)8BYZLgxgvaQ`u*>`EqSa6^;Camezk@_+xC-%^(V&Ry)5qwIi<}R3`lqj_ zuiUZKs2<$hH@XU9>+*Jc_QN$*Pl-Jjzn<5%^!~I}=~wICm3((^s%>vJOzPc^?gr_J zsRw|#qo@9gc%Rk%9%~S~h}WQuN`L6M&o9kgsW;huK#~zohKsl3Xg|~HdRo}){3z6} z+H{41b8b2D&MUfF&YvWzc{!u&mFf@yQG$EnRDU95vxlV{}g*`5Hcaw|vi{USqQ&x8#V`^3k^VQ}9N7-fH4f^BO*KS%h zi=IYyYOP%VY==~v71CTswY6-**<>8eFu_#oEpBk2ShdVeIE$|oIKnz@RVLM5I{k_9 zd)^aUY?FJ?U(~Z-wY>E2eQ#90;#l4h*ZH{*myDsT9RAs+!@a0nS@90UzPrac*ynW< z$C&17=%{1+*tWS)!krh8IDbX6IYYl$lry{Y39lw(bqCa}45uSO{bgNn{ggsV^V!>i zF2i86jpc@}E8R#aCY|NIWj3=?o{)fI`eNA8#sXwGuAd!?vzVRmInC0^uG9E28Dz=vKi^~PyM34S_BZq8ri!TisiEujqP zFjjVaisX}6h;*2ATZZC6=R+#rYBzH3Jm@F=D^>u6IcwHp=J(MOC$n5nh7mTL(Ll+~dI066FpFY7s-O zverGwaM*kDK4us2Uvb@v-kzS+3jPQvsXo>QQ^9PX?f77k>9y$5p-~X`nbou8WBLQ1 zti`yK*7`1Yn}d6^mJ7U|Or7qJSrr@=Vy#b|y9K1UEt7`$aF*}y5s@vLH5#TEypjuw zitr7RFJUlf{Vdq}_0z)J)UC8t8R-2OV1K1iyM zeeoCFNItwGTUsY&2xN&1ddS87uzfpwLopjzgS}g*SJBuAQuAy^fd>it+~m#1s$Uu{ ze5zW4b3GLNB1IyWCZFlN%i6tAoh|>v?D6lkESYens%!9=Z$|h7Q?ylo3`cxCafFa; z1X^-G^qq1_o>|_sxI?U=44(NJqEa2bAB!PT2 z(9g#874I1W%yu|lN2(^Pw<*Qf>;#Bae5N_E192rU$)Nm~PS#NUrujaT=ul8;h z&*J|~jx+g3t4$5>dWoP4LOGVLX&|2JyKTfyoKPk5 zHW~$QYRyF3T$;E16DWdj6GFx;wWEGue z79;)SGUQ`4O(SB9wQ}HY=_c7mAt3M75oG=-(F`EYV)pt>QTDi$!p(>nL8rxdzb^px zBk?qp48G(1Tj8h&;6?Q?v4p-qY9NQM<^*6+5&#BW7RT{&^kE9CWBKV%a>z>j!%#k0%^;&n`5I@QuENzzg zm78L`ToTU`=xt@0IL;!?p$w{g_^vGw5bkVd74u^ClesE;Sox;E=N!V8>NPjSZDe&-1hAod!g z#h4oh5p)`0*5y1wG}~n>p~qOhYKL)I^+Q}Hl0!$UOS4%zaAJ@RrM(Xk$x*{o&6fqZLKez?a~gQ?~RB0@pu_`MTO*okUk zMkp4JMWDaBbyjnA!EQbvl!qubr=ci@B8671lMYMy%1tXxZ@hwB3PskuEq6>8ABiz-4Lm*9}AcP;&&xCso6SSV0LmSKI~LiA=4k2`Ly1DiyE6wE79jUUakm#zq*zOiAEu zp_~19PZ}g)&wHg^N~K4LbU7C-;7*QND$pZ zyiqX}K_{E2;O1&@f5^on5(J{IgT$ytd1X%$#la5h6|lugfLslrmKS@LMu*l3!QJyc zY7lKfUz0sTi3S>bt|Jm4Wz=`^nhmLNRkmGbjz2)Snv+nt+P_C|6^@M}(lJsT1vi50 z7*0+YNE0$T>ywg1DOWiUPq}(c$!i!rhg&y3oO5$h$V;!BkSax`QaSv!hM6g7P8cK@ z13&wNz~1~ZkRVkshJMu->alKbT(3_slhuzbQkJwi#^F7LA0CEcb2W`u>zor**aV{7 zEv8YjAhgf)paaV1NZPXf3r72QvL51Cw7jvL;k2e|Dh*!mN32w)D!o2Md%6N|(~QD8 zY~vLm&W=hV)`3ekwn-MG1hD3=4qic;>`Kr37J5lf72ICv=2?JRCSjbL45@2@g{VM8 zqm*v74GtYkl>VGd)feHfy!p~ckZSdKc)#TR!r|!n8&1IO7R`lbi4FkI-c9H5Ctt`P zd))k?rCZ;T5jJ}V#)7p=35kqTA+E+4_!tKm@ zSpZj8{~cm^mq5)FQxDz~os1G+u}=Cq6tqV8fJrhTeIGHB_MSzrPx>=Pxk|xcTH}mV zK8D$3<9Jeh47GN#X(XFnQDQGp+T~>y;f4?b5Bw@Nm0LNWOo${0`QaX;o)4#-HAi6Z z5#Nrad&+*&D`xc_JyNQ@1lGd2+|J4dco&p?Y@r@bJZpwZ^=*-e9JF80Gd!bTcSsaF z9bXyA3_5ErPP|x8V;w;o4Adk52trhVAdDu}10NIy*Fr#O1*-rPi3#|m<1+(ms~+)N z2y5#i(AxyDr;kcl-jYI8{z8QeCR#n>ySH0#2E_2Xu`HSy12_u`5C$RPRG_(?#Uim~ zC4#X5M?j7!$vz0oW^B#Hv4RkTz#K#k%s~;r9Ax@;4vGNgpj9zo4l)I9S-ig!tSKD5Im8F;4!oVXs~#FbZfZcswWOl$ae(E|3~ z+R)69X{g4Zo~e3Xj6bUNK}!hJPzbHU%DsRlJ&>j-KuO1|3)YU46aN|UC4A&3VxI4s zaYKQOBSiuH!>Bby1SjWrA2-qDek&vH3>Z$fv_Ks2_ZTzcXg1bKHj{35j4TlVwiRdl9Vwt!;Lm(()(Ed`6g=M#Odv(dpq+J zBjN6qkl+PQX++ZibKmy?M?i5rQMsJUzH#u zWw-!=Q?Ac1+@{Ex1;O-vQhivAs%*gX5MFHywY=*`3hR(21Pmm55*zTiljpsY9LAcE z?#0quiGk^p9>ywHVH+5Hc>xd~u^F=Zj~=fPm;Ugs#oOk7@zBJx%6M z_R!N1(E5~gTi0sf!8lso5+OLiEo5;9Kr(@9Vc3%j-qr&L`x$3KIuJ*1r85DV5?>d%_;CJSe7Z5bvkh{B?2W(^m1+2E ztRzI^($9Sdib;ATCg5Qj&16#)561L4=Z?6#c2UFELn3Xu&m}oxswTqZ{<=wK*Gj9H z=gEa;5IxU8W(RJnCP5Z>PxRmxF-7E54PAjp&iYlzR1FbDpOuz%9J&XVAvOjS=U3(4 zU(nD3XewYvxENge{4xU?GF<7Tj!>4u}AVmU3d>>+8%SJWLi~lYk(-&(R zxQRXjzj}mWU&vOg&E963z&LV{dD$`cG&=Q@$+tyeDFjR<`z{1N z(VShlxvG53U()%Q>g)$}i4s8=uCyu&4+%{0{L`65*6f!>mk(3^I>w{6Cx|B*8u~iFmG^^<}rbgOW^}Gx}YOPwrH+!lfbRk-h0y>qszu$>T7RGPf+E()1MXqCUox1t@g~cV4D1!tqCh zl(xkV1qtW#947*a0l~4a%d35x&sCM(JWKEUuI?K|R#jx(?v}~ufnXoxWPj9^eUlu= zY9`~RnE`8NRTSB!v0e45Xsm%Guz_%8j925=SSMrgKgaPb-2oM)VP!S5d1Q1^UEARJTML{RWC){5XW9iT zS!BU-ze$>o^PJAum5C@?bS)oubazRw*vxPsEy^pDiJCqje6_E_eAHH1N9$B(7hPDf$ z78EOv_MtU(@GR@nZ%Vy5U*Rx>*@>oA9w^;S?XK(HZ?fDSoo&Blw)^EJPO|BZVytzd z%XJ}9R-D~7ar`p=?(wv9GcaaErsxex)Z^)SQ=6WC?%x$q3Xq`!o!%WUE?%&XV`Gaf z2Co1ay8cFn?%maHypf@OwLwabhNQ@pusV6!p8ztH6t=6(u{M<$r@`YV8&ioFlxi%# zwAq#R%MPP~R020ivFuS!P{I7o&3SP9P^?O0du_g%u)q`iM@%902j8KIBE4J0QXO8?_$8?-l>u?W0)&?cH5JYXNvE3M@vs9QozemH+78G9gN}K9WHAQ zs*t^DfcLTg4fS7Uv^V23yJtqg?YY)ji!ub-RUhcdSZ8;KLLsT3Igg= z!|ueoyS#Shc+alnu@7#&bV03z5*0q%9b4Sp{pVX+ulqrvwPG}I5rLLlv9OI14~qVD zTO-%ouE!0PGd|ttRW@{YG-Qh+oXBKgmBd*i6AB?1S6ki73n)+mSz&n&8KpKEEGuoN z-`aS{O+*Uh8DLzQ8KeyvXxg0|b7p<+6l^aDXlcdM3jG(S1U)2Q8LVss zU4?|aP-4$W&$zb*AATM9KOnQ8oNZ?Oc|r&np1Rs!)Uc);5mB+2#1v6kEaWzDMVpm1 zg;f29?{ps&mgs!88nW>gFG?qGS$}kcPYZ{gQ@}BF002gU(g)R7Amp zeM0}7U9dm^P^{V?MfOc*2w%lJ+9suMZaMo5Srs1zhfLpjqyS3hYP_FuZ_;JxHaHtSyop(1z`0CC zRzp4)1`wnFQ8+hehyQU_Ixk!nkVFg6xkDFhY1%s};Vc!SOItu02MAqiKM5M(f|w3V zH8aDdh;{q4+Dm1ENmU$@{_=wDGVi5Lj=1_nC-|}{;$t7NLTW!MBtr?A*4)efEo1zt zWt%9vi0E6Ab|Aewqf%yyD2KTM4=p7+2lr1A3E)2VPoml|k5rbvpK>d-XGRhipi8kH`q~Y0 z;Q>j%`{evTJzIeWsRu-SnQG`{b zr3BjRuu1NN#j4 zosKvE?-3`USVv=dgZGwycYKim+K{L%vuhV3V##3Dj4egYeh*0)j3ECqi9`RfD<^<6 zk!t^q8>N@A`ub1d;tA#7!o|jTpzhO~{yS2!*FAt_5|lFR$W&YOe%tVd4&#(WJFVva zUT|DWYx#~1)rYSM-&?S9v^ewgpg)#075FHrAPb1yZnN~5E!Kp&Ogmnn=1{r#ds`xf ziJNxV_d6q;&_M~L^?<)u{_`b$p`jPvY{htg5+FCm#k^pQWQ3Ce3W5h=fL%%XwkMc6n)W|dk2{X_Nl;Svb3R0Q0&PSFJVF&bM5L!p;n z(TwK7619HhA5$UCB3xY*%cT?5+J?T^=nDxcb?f9UrvT2 z*~=cb=T!y9aS9Ic$6N+65X5okCzYR*aoz#C(gZ_3*0}=%k?8C{u`@!GT+%45vz{&aAfS-AZ27?QEqiX-@=F|nN;SvTnsqRA|U-!wvBiW<- zbIqdzOW&UYPv478SQ;XOQR0(`s0D#vigtj}o4qRwR;%IyvF4L>=#x{;B>X-rCniSf zt}@g#8&jC3FLeOfpED9M zcQ!g%kt$>nNu}6XBo7mKDP%N;dtE1$=;KD)>jeIZu&lw^`^HN|8cj&K zekKhdx)hlK8JV0d_5V2no+|rKw&>veVc?IME zWQW1>W6Jd5KF`75et9Qu%!ABZ7i(MV#Rtv^Uz(OONq@$mHIXBe5t<+t!`)2{EMS&W z1ZK3T_Tm)15u@#0-8ks$*;`Jr2Tl{KaX3Bj^pC9Id!IUqln;ug!9I#w3p=wPfDXi` z1GmVhr)D6@z&D6v1_Y+0h+p_FifjmQuLhLBB36Yam3%&)+e zjx@ukXO2_j1cN0f2&6W3-r;2%r*J&fs&7)(UFw=CS&@jWGjB^(t7wXUr)9xj zmNGPm{SCZRpttozU>f4b^-sR)7z_(ga0w{?HM!9B~+Zd!A;(V{( zrMya*Nt6T@*9l~02xkv4=;?2e#euDuY;eYwuypV)9)Vv6vRw0_wobrgcCwQGE*J!7 zH2htd&svC;+PzZ{RVkparca~`%r($v5Sd_RonV_}o)#Y*M4*JU!d=Lf0amduD8Sei zQCQ;g;PZ6UErjJ5Y=v%}K*$_rEfpBa=NOR57`O=mJ7thhfOjvP=0sYzq3z;eKfwx6 zoWa2-Hg*_M1z5Cn)_kV9QHepASmuO!-?STJ-2KSshZmr5C!})n$&MTLd-3pjMCx5+ z6_lMtf$f;#N`K;^^N5IW)w|PUQ1)k{4#h^;^~WU!xe=LTM)Q8ypV%RSl^M>uEwUKo zjca-*^-4hljfp-86?*shC%H=xk$H6d!$@MfH%iE)=^H9okC3xO-Y}*g^-j6F(Y%pcBU11s&c%B zQij%$Z!@DX`3Yl$H7O|)HS3aU!z3z~>X|o;L*E4F=DUbx1k*Y5+mL#w5CWoAI#*M zmF$ikHicef!n|p@5J8KpfHQcl>dhJ4-wil}R zXF%skXd*9dO`gFkz=EOq~YyQB=Ot=6GL^<)OK$xHDNHWPftFh*{RXW(KH0FgeA714TUBn=HNQV zEd!3ZUK!?z!9tJ*M*<)mZtRR@r5T)Kb#sdX7*lV2IQ}W|Oclbvq#U;k8P)i0x%PctfV%KYitM@5W-!;$0?Z~@-#<*47e*@@iH}G3%T?N z;3X&#MKwHV9M{wdrZQXiI=GD0)Z}@Os^&YttTiZ6%o;*l(FAY+_;Uzjz$AW!YVDz; z1YUegktrl$s6EJfbBDP6k3$M114AaQiD;goqtt2z;ZqBNGx4Xt1#xN~ERR zIuj2DQ@V|+?jYc}laeZp4h+j2DYFdX1G)KTebJpJgKm?t^oS^923hfns?wrByh(!( z3DbtjXMM#+F!==sh=?IOd14H4ogp0TpeRGDXsb!Nmhkla~7rhV_)pW!ZW&FA=mmNqa5&0^1f#7G7<4I1AjvGd2nmAljmr2@c zqRBPXRZ7(VK)h&>mu@8c*>C9K`29w;&LuCIa-Q$Yvakn{DQyo;pWmipWT7UJ4%uj0 zZy+C2Htn?y&z{WYv&XA_`;*_cZj@kZ-LNjMdhTw%E;sj@brb!Hg3ZCEWA(@3oJ&KS z?^RF+n*C9#bI{@O*hVhI66qt8tg(6Dp3(KK@4sJlJ`(@f3Pu`5Ax;7Rb!Gu&)Gh28 zFIlm5a%OARj}^8GPsdCQ*TUpj$)-;?fr0R&h zx~^(wD@O8uWgS028U{F=4f~i3IOW#0B!=+%`p@q4n&iq=tc2x!$U0cA9h1N$wmQ(v zLz$2TlZZbBQ>nwmBA>j3%QP|lg2x7ysmZ47K+mo$ib}P-AKlCvB%?4%WtX=k#Hxf9@FH-vtpjJMT|ZberqXH0g6-GY+a|VDz#hY3jqLCf#@BX>po4Ru8Q`*B zB4(*X2H;A<#$1kg3Ww=1p9=33(-5F$k@FzGVkMtfyGg`~x?Lxvl;E^t=N*VVLe&NF zyh3T}#ER6GrP3%KdMx)?#``6fiy0%0Ur;cFd5x)?emL%nI@XD{Sf1_(#V;v~g*a&W zi8yHW^*H)jc2=M`kys6rn-#Z)|3Ed$<0QY)bR@X6lK7Xg27g-W!OZDW#f~I@8g`3w zy?I`)@yOdSN_A$Lxj6hDij*t$QdVfE+J+n}{~kkDKcP|xhn0&TN7Z z>Ak|w7wv7596-`Cf+EY{!DwB%!iJ*RWTq2IvL5&4onxb!j?2}WW7+>=1YH9(gS!!k zQp*vDO2?6{j|uI6$B@SxCdHI5+)Yt!Gy^;^{5YH%r+BbcEA?N+;~hs7vAKbz)-cS8 z$7?8lO&^tK?_lDw>&B&eH-eeC4}2lkBbQk-9w)_x#v=re>N~;e&-H;7%k_bkt86*T zl}l_e8ck+Jycxfz^jXwD39TJBy>?SNEYlAqGc#B^=uE3OSpb^ju?CDj8w26~j=8Mr z=3~8v54rEcTpdIsX$%(%#OFum11p*U=5@u4z4nyA!Qv-X;F3%-*fy;K9-GTgsG%hj zM&oAgnQ21EsI%C`>h%kj&N>fBM+9BV{fW=+`OY;FkV-Fwa@r%uUu%}I!$Y=U$|EvV zLpv4W+&uDwPd_@F$Bg3kJuV-`8%#a?xJmrA9P@bg)>Mr9U!bejJ%|5Gy6@`T14TF` zC=vri%xJ4CUTD)p@JXz7BPyaUNzsAqFkQA^jaxA6o9!(~^i?0Sns5bT7*!{Nmqb@J zRkVS_ET8R#||Je>*3}b~NZ21aKuj|!8eDP)EaJkGg?PuyIcjob`F-Y%cohNMyT?<{4wl$8xpi(Os{rwu zNWx~`@ghdddQ{7AsH%f+CPtBPQR|b66_QKb)r?up9e1 z7y~{~^%wbw$au+OM#eCRX?zC792j#F3S|%yzsC2M4lx=Yl1#YG=zKF5-1Y z=M@&A<}0#YpvPBz*W11$mYD;z>xh(J6m3AP4u8S7YZd^~errzmmpWsE2B4m2w9W;qh29~A%I8Pf4UAF&U= zg=~3JLdvyBVBOz++hFEQrt3GQS{VD=em?cYqxA2ugMhCE~{F&vw+pG{>(^|Vio2I11WAuA5!y% zy7KL9%ce8)dSB{25W0jh#pu?>e3VDoT{TFb2J3T=2X9yx0~**l3qjEihvDm%nwIu| zAf1fG`3k6ey%B3zohci(5FydDr$S9RiS9B?{&ao+n&RxvZiEMtT9P&SsLj&H{>w?o zvLqBS;i12GsHLMn#c>BrT{JF8V*~#UqeBd3z)>FeAiX?G(HW(=!Vb|(EZI8@icU0P z&cpom4gzzWNXYs5_dmER!tO>zITqd5e$Y^BIs#@|Dg^JGft0sYFzcll0k!uZM=B6F!mQ7cNB69mev= z@vcP(EFzYOVMG@Zok0a;hZXMf?;>F6?M5Z&EukW}_d zG6t-?sy-2n4OpBb5F;@W1e&2E_mY2x*&6Lj#*hkoM?Z6ryi*83uhs=NU<8H)fiS5+ zFM2BQnU~+i`CT%+yrqu69Eb%U-9(#uY{n(3Z7=hvO+Etd+f~@?ci6#E>GSgvGfy)y zpN<+ioqG@16gQlHV%@bT+@H>(`h=6EF&wivfL-#(F7 zYy#Zx5yZ;QH5<)FXNw4F7PN_A-y;MDk5c|~!kwumu zB%&GO9_*>C0<*O*C;p_-=qR8dA78K7D(7_8)3%*0S&hNDgsmPwD^Sx;;@=ki_}NW8xVA0(>Dn^KIEhVMI8;MW zSS;{X_6sW$VUTSL>ews@R`xtU7ISdk)&dK=xKEcmG03xwixR)EMdWyTB5gA5+GEp0 z)r9mH4X#`T6nJh1eqv#Ic2ea$ZtL!6?V3?n%gJY^wON9D$pEj-J1XEd#Eewr#r~#t z%Cs|0WWiE=t_zF8m+n?JISRMQIBwuHjN?%9-XYx2y4@6&D|Acr=TqlavRHn)FeRB2TND;}Dqmn>7)ZoYc83rG z%ZCaz>uXs8GOwwAW;VgBa~$l@FU)&r8{?;G5#m{RhdUc+c2>q z=xr)>%+7w&P)s$UA&CocjMD1(jCrZDp|9UI1vwqq!$zzl9Avo7`zZ@ zoT{e(ov@7G#sRF}t+2Y0;5`h^*9P|;7TMs)9we**R73TgUr}_XGhf}HXA9HQXojUf z&$lQ(I;YhBMqzn3=~5>FJJIGN=cRaCQz_LSMka>Ajs4BK3#$cE0wUzz^lY#{ z7*)hDI_7Kzt^5&!Y1GKqTNge9LpHp|qz7McgkimFTI4T=;4gcjJIeZ4`?$_usRlZ$ zQV~Ei9ZTiqDTr62N3(%`8J~2)+(fIdw}VfRPsGJ|M0EBPF5I{WbI6B|2FmF@F4F1Nnn#MxYadhGM*XfaGgtnpYz*hXGrqx ze>XEEqqDVQ4;3koM4G$=bu68oEM_iE=6U!mye5ZNk48Ux+GTNH zBOme;qH{CI#DEJ5u0o7}W9+5v_1%qce}}ur<+dYq{o!37CN!(w$0oNaHp*jg9=&t{ zCGN3e{g_OnFM&TS416O9$0}htNDw>7^XtIt)f)Fdz;`EshS+<-dj%JI*%OqyUb;@W zR7TmFU^p1m$R+ucQUUF5nA-b^oCN5J2vMkpIafyK@lkYh>ZvgcR-DU9J^Zy#^uly+ zc&i;nbdi1R>AMtaK<(Rf@u{EfltBdTl%X^ZZn$}54`ZDDmYS{)ju4VQum_s?gh&7b z19CYOwX5aB%zGy9)A-1Q-9zh$6m>}RXj&;u1+Co1BuobAE8Xmr{)IVfo*zvzxk<9k z31<4R^8!=5=_RhNtYuB#$vISHbi^nMp@g~QD{W^vac&btUsEdIy`yS;uX4R%***s! zsFKRV!Z|f9HK>Y>?W&`aLNMx3-64A3*?Rg=8G+d!m}BR%ej1h;9@K(cxtupNW@r{fpjOYO5h=`>U^@z&es3+{4D?W_Xe?6Pld?4t*zMMkQQbLM&^%vjy^xTm#g0TLgM=EtmUqB`OpC zL|@(0GR_+6DxsLkV5fdGp{jNEwt`EhkKV63UST|RXm9Qe4ZV2W^nchj$Qs}~*1sBQ zS>O;`b!1ci;%&c-_Sx=q`^;O(Yt9{3wp`Bqoj@oT%eUm)lW=ot3S)E zK12VE-wg`&K`Hb{!vm~=Rql%r&w2iUeGh}?kX+)_Rb+9_qTlYj*d8M z4I@SHj{Fxgh1?YU70BZVqdS0 zm5+vjCeHkHC6dM&+}tXd_Fo#@BEw+tbSSt!pXh5AvN{S3iWKl!Y73e78nZe<4r56M z{We^>SWW+=sCZF29L&9}f&Jlel}UG0p`GM}^V`q>WIZFeSWRg?4j);evk&2HexDh~ zJPgoP3|ZH1Wu@&_zZFy~MgRUF&ls22Il+lk{z54we(zx z-uCjN=%d9VUkLu$|HTkM>{R@+^x|7EQF``V5BYAXK5*q^ z2_*#&F=z4Xzal7s|2u+uV|P~?@+)k|c(mu!EU1Gi9|J=_RdDG!EVh{Y2xuYfO_|$o zTM)|*uea*j$Ahcc#e+*Uj+m7M}_|p*hv{ zXSZb8P+TuFiUqRm%P+T^U9DXY+t>GuN{~XYO&zZTr$Cdx8(;(>(z7rX(h&s~9GBXM zofX%sIXSAu`dp5g^`6)5!J(!w-q~k5<(${O5Nq_U7e*Hp(y&Ib8 zQ}mekU559XBgV0_du?rC>+$TyOW^s%cVy_W<7^#twf^zZ=Wgfb!BMcw*Zs7Hj&19^ zr%Pe&Pc9?3RC@2rtPf^1cdrevgxD>Ujx-BX>vTTvC%+`Bh+c;vkpA)Xx$^ie6gjyY zl^riRJ70j=xfp47S8)396Rmo4bz)~v>0HncZ}{vmeey;#3%+J#QaVzx-k*S9@J^Km zB|GM#mEEF!6M0|iru*Y&ruuK0j-81sgQJMA@cu~>%l6|Wf->R-%5d{n71_n>RqR7g zS6_I#o>t>Y<1}KDYS^(M4`_UfGHRFwvI1eToHswbf*ure1y_4FUvJ}Cj=BFo#@;$8 zj_zC3#)5nB5Zv7@xVyW%y9Rf6cX!v|?iwTncMt9a|C;wb_nhCkRk!NaCJp5DD@ z?VeezXFWZxdb}%kTuSOof9`&Cu!?_f5?uH2@a8N84}Co@y?KIxJ5&7^zQmB(`^R*&{8v5j^u*aIRQ;aI&|36{Om zBHj_XaH%I*i#W9>UA5nsr0%}nD)#g7lm>KT(t|q>(ZsU(ou9RCS!-MuvaXb5rXNjq zQS=EC=8d2NUG{+t=#}wOXQt;S^=Nnvgqz?Redj<1d<2Tl!_Dm9e^!H+hkw0J+^m21P#Hb1$HVHx z`tBK!UJDwSuAhi4MLT&Ub@m41Zegy6k!IyaZEe(xup=E5$WW-=k*TN!o`}|gmj|B9 zvUr}@TrY2GK0h4D`O3XSV%(4LbFL{{kWkTji`XABA9J?%-q5O1^#b{!0gYU%0z)ZZ z%BkJDN#|>1bod;`O(gDiGT#?A7CzI@NivforXt*C_O#k06s@OXh!8i)1VzUqoaR@$ z$%+zR+7Mv|Ks1AuGlnPDwpz&=zs7}6O1!4!ao*J53nO|pR8EiZJy%<0g3JxOm@#-p z?l{H0Euo9TeNSzgiIIjx6KS56n>89G?cq z=o`<`v7jv{j1f-n5ef*2ebxkZrz*}kMPZkH>z5|7(RSOTAi7UlbP2@7O;YW`DyJEL z0rBCNV{}tkOdCX?Nb3qe+2QRz_u0R1ee83c<}F#re2EUGH4ZRB%AavZs+qH)ImKxf zYdZ30%*m9l4AVN)0_VAtoaKFic;I#3K(XMLu$Ys;+Qf>cAeK4?CzxOo1G5YBn$+*W zXP(Csh4>NbReQ!Zo*gbnZh)E~k`|;*LKZA}sNvG2I@ef1n&>>mlCj-b@qP!V=d)B@ z{n|#=^M`|EY|4^tracwH&BDKB+4{oytDRH*6#s9swX=Qi_!v^!#u6RM%XLr$8#_*> zXvfy>DvtEt_~-AHqOHtIoLepTsypegho9I#aUX^gXP8>5k6TOfmqqA~+-%Z;x)3j= z`*jXMEd4sq{n^0qB8EoZ%x|ysH$Ugw*J+!Mqe`~zMdx6l9`N5fYTc%%Fw;IA3lvIW z-;sYgHrPcjUGVCPVnTJ=1{vMUFJm|r%2Jv?pLFFj9@S|L{~>8et7K!?^YfJ( z$FRd>j?hRBF%AiP?`(9DEGci0EGOoPtCZ0Yw5F#ks+JG{a17xdSqSbGP7yXoMuk8S zye;>aMj&F0x-sVrCBd{-B2IPC#iN!`)$=KI6gCz})ebVmtQBg6Gf*~4?PUMRX zS=vIH&JY3@Nk6hEYmh~By8<+`w9%4JEPx&bVOc8$rVZzPabXy08tk++Uy0cIM!I%B z9mj>SLsZNUwT)bo$fa6@wr9XIa#VzfMoH@7m$V}dEt&5HF}E;AL#qh8ZET|URjf;# zvOJcl_-{Hm#s4UWr=8|BOVF&hJ_jLq-F^WVrqo=?&M84NS1Ly1x%YBfRBF}dAlRWG z{Y*$X^hcTE(-##=06U6N+^R*UN4-m$O9=o0*9`-Xpj-^VtPh*Hhrx<}H82>?!uEds4lFPKe5?;M76AtERZ0wcL+CN5(g^4ZClL-iP`ejnmaA9YF-Y8^k) zSRd&^5NaI>9&+9H&+s%wv0=X*Qd0ba9TW7AxsFNom;ZwF?wBHiVayzlRIcFw5=@+H zTrJQ#{=hf_bL0X!1mwE3y{UFEnwb(txFAsh6BeTM%G*Hn%wr8N`H z1yRoe%r;Q0ZSWkf&{)r1Zw2rXcO2kkAZ$W7?4lS6dUKlA(Jqt#FCMmY} zw-A$5!XBCs<~q?C_tt`wYHMGsSD4o!`Dy3L_*Y9cCe5pRIKT2&Llvl!ay0@?5%kidk^=-w7*?p+I@5X*E`vT zi6xCclPa|-+Px6bpVWpiRK_4%D@`&^0$p;2$TpEMtq8CLJS##Z^d8)$l~E7~@Zn8s zL=2)_-i)D$#4|L!aT~`E1@NQv3+AW9!k;dF3iR%=_<5G&Y4y>71&U&(BRN;MqJ@pX`vEn-cTQ| zMYB(tz`V-Ml8Hmw!MIH&pqRqTmAOLl%(lwQlc^cJtyhvJ7TFC#TeprxWr-9RyfQ#g zbr!?>1lEg8MraizAueV}YdZa|xPXE%!sLm7YLX=#YyyO! z>3z0@dI|C~C=U{|OkXI`wu^aMpXl$+r_*mYG}<&XJ(q35vt5w$7(Nu%vY`h!=1SfX zu8ZhTgewv7SI9P6gWVvW*cqn4k<3;MZRZK?ODK=(Q~;dU0dhszy@Ya46g$A|7=`>9 z`+KC>`gB=~m)AFkRIsmuiCC{GNVjoWR!1#fs7RNBL+QxuR7tVWYqwgwNr^!QV=Z26 zyuCjnGC7WPKmF%wt!m!_h9DS_ClJQV%D+f`u40ZOnJ0rTDUH#BRElYfjTpv31w=6o z5XCe=6w?4vY%j6x@y9RkFroQU2=r2rB~8DOD$TfSIS$6B?+{f&j?4xIdT0V>)*M)V z%o{G?rpVC02FTzT-489C%-9D+SJ=;CxfCJ`klPr9o)P|}j3Ni}UgS0cz~p<)A>Xv8 zB4oChNj}gPh4bMft}c2350f(O=W$%=pOenDp!rVHO_sW@mD=sXeafCO%tSU@l(|3k zd%1vBMQMd*Uro^_tV}A{XT3$QQ|LHt!zz4+-Jumq_8jmBbz0AbzK^1gv>H(pVVq z)0~J=)Qu9N{T^nC^cOGBV!jC86*xY<-1Ka?dpWU;p+}2{zD&idZcX}m8jm~Q(~vEr z>G?j}7brc?akT%}PcWAMUq8VZ8JHOUuisz*Irl$4MW@6MpQ0&LCWtH>TLLC|Gp2hS zW{CAfGl-TW$+E)zB7G!{Yhz{=Q7LBw=;OvW?~({^9g@ljy?2inb6nBC(<>U|<521ga4nxTWb>9!K zPxl8BAD^c7cYFA_HEMic_clyWMBzOO#vR*QxY{NMjD1*LJe=`qd;X75(Q8JH^t?uC z%pij@8-G(L8xKmRtb^O3f5@6X*Z1|7YHwZTn-Z&Wqr020%P;-Y=lZWoiY@RF73;py zg$=vrC49Un3$OXxNX#+58U3Mi-fR_o8=3wl#?bFq%LAW1y&oGJkIiP-U+ph2^^0(t zUVh`yBmN^)RGVQ#+I6Y2!#Y-El>tEnXyf=60M)sH-H zhYxV>%YTD&7Y}M?q2bC8sU4M>YnU{}=MzYLPp3+UFVTyI0`U-&g#N{A-uJIwIFe0?papteK9R}p2UIusy--DJFH}iiJqR#K zz0dBt>_snO@jM1S8%4Ik7QL`MUXRJeaw-Gp|Jb`}A@9~9w{NP+kROK7x4pk|b`D(V zt!b6B1{NoKc(!%k6N>`KA?^jJ!#mp%;!fYie)uIJ;S<* z>+Ihm0-XC$U_R3LOP;AJ(dXW@JuAWrj z?NuAjV*=9Di7@&`D=zL|7H!kg@ z;nx-n4aJ7&xRIbeZZ%nHi1S-+xvK8co6DqnrKU&s1oDNc$?5>YOqJ5OE2TbpnsK*L zuD&Z;o}HzmMUC)ZXe?m{5Dj(>LTju;3hpO-0CVC;U4Y@QyOfxKDlu+h>fag@2tjbs z4JrVA3btcK&T#7m;4ye5>9e2^^9IBJat#Xp3(Uz|!{xghcFNT|iPKz>MFv@d3;iy! zrqlRGI7o)dW%fZpl`q1hcmv?vO4x^Kk)R=4E{(Sm2iSy{ID`!-9diCbHoFv@ku}Xb zG-0E2R5f=lf>2_dM+2z)(vFzYC;9(@i%JDpetggVyi&Y`b1j1b^W*2Y6bWCO?3}Fs zg65LB#W!dG;)`jLHYZV;v)nr)`+_CiRkhfOx~ja%^Pk$7QCC2yP?Z`)kajeEA9e62 z9qaJS8W@U~0H}-RjuLWFVx7OtiF&x=U#QC*4ru?s zIMF8CJ|=kc;Wl*2o~Ok)UW3*6@oznq%|BF_jImlXvGU%BX7P|KU5jS^5q<7|Lvhh{ z=K20J+?vPAo$v=3a4tT<7ynDM=<%Uh6aqAhVnFFqH4d2rcs|DS+L6*^1OLC5*F)AX zzD3gDI9OGcl;y+4_^m}^ZD!8^R-fsA5t0Bc*Ze`t0S*6`G+6d^(O}S*4u=mwV0!=S z+F9S?k=UgS%-aMMUm_G85Gs|LT0nXViB;_FklQWABta3Dq6oPbeNbea?_w-Jp1F08 zm8t~(A_Q43UX|3=?nPaH;BMk(fQeCw9#fkg*y10}V%^%@`4qfVCRNZsJe)c3sbRQt zBv$$*pZigdMb6H&&M;rOJXZT(KpokKz?1&cH-m-+2{1WoN!+uF2v);%@ksqM;*gKm zmFQ2QBJajOG>ehl;lezId>v#zU<mnK3HhNB2^Wq*t#+q zVfj=4);^JxhUe(saFi;gBYt+NCi!XPeVy>nDI_idSm0t+x(c|vIRMLrd{`E%L#nmV zQ8kbguozjw5r8w)fb;AQ^Vr{(&TSA08EXYRjG3|jzG8RD_fi zH9Ge;YCNT&cGOVN9ndV=kMRsaVmoIO#0sfUerOign?E#*I&py$QpKH7fz8{#^-lmW z=P`P@RvWs;(^BJ^I{C2f)DvX)+MRw6vdwLVML+?1=_T<=TFehR5P}kDdtUFO2VVJn z=y>JRcmL{u#%Zh+pGeTOsX85D9~b4}H$i~P+wAEjB9F#WJ%kT@j7uDw8vH?9njAi+9xsF`pL zo3%N~JdY-wuo3(34_C$|eG$WgX@{BIV^b5iH@deX3VnjC4N%ud6^r20baPBz~S*=a;|+t$ z*06qq4x7;?{{0va?$fhtRyToh&vn50j5jr-CJ0$t)z;)rwx=9e19SBAgQPo=_9Mtv zGAI?(fo_}~_zPVg{Ig#*7H^7Sc)gb_#8DvGVIz!gW-Q>o@+f{9gsQgSaF9W&yExWC z6N}dR{zx&JSdF?=F_d;iA$;l_pNp}mnMTEQa}_XAZmO~_)8E2vs?t8`GfNTGYC(_RO{Lv!h^dES>yVtaBy&gG}zm%Yi13j>LOfN-#aoZyozp&rVo{dBBP4-0O`=Q8`Vb+ZJ zMsT|6LX1?s5RTJ{g0R6dhOzFIOaN=5CP>MrG@>nd(1<)UXbxh;d(JrA0NFNrZRi zF+2#Ogpy^NVKQ_Kj`s)5ip0fa*jU&nqCw`GfOc^}3~(%-2+A_qf9jJ6QGsS1>qUnk z#}izz$V19A`FlAT0}%68D<0|`u{?6<}_rBjt3qj1y@riVxi3R z%|K!>yGDUz9p;^&Bzges-XXym^}(z8udo|jV>{f&013)43FwRGM{>_YjLx_rvgv$0 zDCn48cnHxP_mUrjIVmWhUn6A+a-w-i*vJrfo)CwQ1;$Ya8G}~#6~s6S6J-1_ke_ia zfiC@NRM^~mvsXXQ^!;`pMj?*REVsYQGmHkT7`_;cE-^|fNapxEiT1%1S*zfK zpi|LtUwrx?=+2JTe*pxXSSRH;V%;{uGrR?W&+)bQ5+)pmoz7Nj57@GcVO<^ECWl)a zQAaOb7i1HqRh99XzmWj=9NoC*do+pYMf#s5j#1t(z#A`b6LmTHL;#w`pR~$nyYQ{D zrZ=$4wo>qh6aKCd`b>Gu`yMQH-!%c%Vyq~R#9A>QA$?la9)#X)8Yn{C77s3CxE1gD zqT-o6RS}oM9K^&f^W;IFQ$n+aR26*EDA?RGYi|D$8SB z#0^QoS-8}@e`x7bGYAG3M>XbVpZAj-Ro=I94UP+MN@~-9c35omdAk2&TKxK#QuiCH z9OR1DxBC3|=#?G$+*t+xGqCPwXd|$mPY2vT<5$a9?m$INeXgK;fTGdA2oWNiphfLRv%R6?w+_Os zh-2mD1*$R~d3PiQY5O*QWS5v_x~aM^9?fTiC7>l5FACEepsDs(HnZ<81mE&+8hYGK zz^kk}w|9~S|Me^yDh$XXWS!7AJ96ayAJ1a@e|i=N1)waT%-iMx&*G1npX~UopZpY| zdKHv#!?5pB#p`kHQLEun76RhryeXNk9GWT^Lm4&ToB#?AU@sKPgSdQonEj#GD77d! zV<6$(V2ZR+jR<2%TBUMQ+9GvKqrbtcv7a-n&;ts_NYaJ=?;}BM9S{PmI;RO(=cpgt z9GNR^SRS4rw&OPm*Q!$*$%~HirRd8wZYg3BAoe+L<0&ZN=GAR1C$Ffbm3J<&y(Z>}ocVjyBlW^9@O}Nz#kt6kLg! zA3lKY+Hk_oWyy+t@WOYbM(u;adtk7Cx?o^# zVx?N697lxy2binG8_*ek!;@*%a)oi@>!;5lZ^iI#=M|fgdbmk{epuk~xr*$$_$$!M zGkD&C|N3%m(CzcJMuBw^vsRZ^S~sSL3zg($tJlvS417<3qW0aS6$875_4gvCU3M5d z$kRG_gwG-GBhN;Rb#rKCpuU1_o8bj!xjJZcExFVA#d-`{ zYQG(EK)8633>C)A3kVmh{!6%6@vm?ZJP8mkHb;Rnl~ug<1$YbHitf9R@<w2VFi%_PAUnRbPBq$HA=uLFq_?K2EM{_#$&?;RxFTCn_#{W4FQlH z8h*$Q0UYjkUL)%IuRM{lyiZ0mr4^_MAF@LwrX?O`NT7v&AkcwdpaUO)hb6EArzxWq zuic)=JynqfsA`H3fRa%OJkVDO{3+=y7nnjCm=YzBTOrAE1nHP4TfQO$B|Vh~UST_< z*mC>N8945E9Q9{^(vh6a16+@gfa~$2CJKRzdX@)4GZ|i!5z@PYY8G&>>U<;BxyT1E zF`WTbVK>j$@-PP|LUV&OJWU4PTgqbd830cED5-*+>Z^dvPCm+ktd@eT#t!6FNj9GW z@W5=vAEAh883Its>v@Y1(EpCVkhAGfZtX;V@dE$$iD)xU)LZhZXd*(R5IB%1a3Im_ zWN2As5Y&w#(=MDV_M#8)43%(Xt#@Ljw9EtQ3j?bsJVifVVp9>dK50EFzqKJL|6hQ) zCnHlWz-lC{8<->H=sr|yM2ueO2u zJmLBqG7MH)FxSq)l2_P>Fs#IeUSso!v}z%&p5(07h-|28I~M&E0h*!8C9R^k`QA={ zp?;MwDeJoVj=o(|jMhyHK|6C&^^Li+(c|Nbu-AF=1?ZolX|<^VlBi~JPSX6G-jy;0 zJ5>uBz0fcFlslgrS`AkWUIOURVw%jA<)?h8bg&qG_XqvWFT<5Lo0+q=eUAW+UAzv< z_%osZBuG5_xc)KAK)x)3@xfw@%O98c!Ytb@Mfci5!%Q0J8NI|yL;cU6h{Eb<~zA<2L1f+`DT$_bJ8ieykbs(b^lK1A9^fOuqRHucO z2qacG93L>?5E5}ZHvwvBCXA4$6oc|~cE8b*kR>(|XjXI~%o0tM|FhQbXHLt1y7kOSQJRBr9JadvpJFIrT>SGPR6N};%z!-0ckYXvfAcKo?uobu_L$6Z_wk{eUE@c8%825JFAA&G ziQxosh(nr(At@&N*ApME_m`G!mZav7pDAt8$(H}eo)r2 zh@xe^zeAW?ixW{$281Z!?q<3OK#aZ(XiDHps=*--wx?L|gZY$Sm$XE&noU zoS8MKN?c9m!?W6u40R0Mr4%qU^DG-;AsR3+ie)mDa=?DJPp2@LplnzZ!=_LR5LDVh8vwr*!)?TU{*dA?H5XxfCctF(+xMMC5G2o)3uq=0tsi5%o$8=?P}oG-|F?7N571qh8lYCjzBi!d*dDlTl(LnPlJ+}`M#$)Ia$S6j71z}6iG z5a>tz*}#OyqM<_z&nT!Utw2P4={VATl$W1>`XH&^4uOr^JuVj(q>6wQybFWRFc*OK z9p>j2vI~LFNDzX4H@3VK_%2uwDli_=4UWKH>j$~@T@Kuyq!g5cALv@-<}SLE*3N|b z6K_%?T!@U44lxMmVZ@H(H-G_TX2Kx12!z1xPq!q(cLkG^md+A)4DDpW>tahlm+t03 zP89(?V-g9$y&pH_(yq0P*`#BqgH&lOvX0nc7CG~PccT5C4XTAx+$$u1aeui{F`RgX z;L(}oie3f+d6ZW2(DXCh!Jn^wk!*$@M$4^jF$ zo7<61bE9r;_SdXV6i%PA)4gnhqmjN=nC_3%A1sCtGFQMZQlabWd}`kO>sL#kC%IVG z1Z{LrK;v_^IJUS{svQ8w3y6!)FwB1U`LNHvab2zL3TM-FPQg;q^&sY*nT+pr(IOP2 zkq+Qyj;NKxJ^qz_JwKZ%5w4xk{vi-j-)r}&Sue8<)!O9BG>gn&(o*nGYEHAF_EOBO z2FT(G9eWxBUYHK!HY`=mHY~wLP^K{BNqLo2%IMyxeHOpEyWX^PqUkzHHC96|M!$o}QCJf=n`5!p)|;{f`FG1#-;VnMFu3^uo_(|fJwOI1cn!z^ebvfs24HDu3|7H$zNjxt-w!5U1VI!EiXmbnwd&fTjQx*+PZ zT9Y(#9#2P%2|QKm*AZOOu~tq#R=%fLu=jWPmYj%>sh}Xr|M(~6j^|MY;L+TDUr209 zow;IX4j(irSBu@Ueaor8L4yrWViP#bvNk&MteH$$^q*K4mcXP`7^hAB{!lLV5|Byr z;|fUde`IUUikh~knlqad8ffcg?t*73NvPo>V_Gf)DczWch6-MIS~C0R8m4FyfPP1l zkAQCR3Q?)dI3iGlmbW$r7F~PIYtTCX7gd<9BQ{Q^?|=jpa&`3!3-Be~Lfw^&`C1$V z!{IaEIs0@;e72^udXZ3w3F#nR!wHIjnCrON8hR0daCxnhPTR!^?<+a_V)hKx@G>Wd zNwu_gi$y^|1F9kB;vJw`?E6Z1;TSQ9Se=MBkANEz!%Afc)<9&8`5O=>7I4AMj((76 zlAt5}tjysCas?64qmp4d(u>3a;eS}1#s5LkrtNbKzJ(ifuCc`TsiQPR9s$loC@+{a zPfju8P|ae(la)!55bh{|MbqK_*zwSmLvk0$$!VsdAaYoe0YzjrMgS*hULyznd5SX4 zfm6gdR+DFd6zoj;=`Xn@m6-AJFP5}#cPeCQjin<8PB>E(cG#(I@oPt=+g+7ZebjVv zsDGI=1AAOLnJK_jL#^FRW-^5CLEd$a+XkP*Tw%AEVAU}LNErf2azoK=uL=0bbYGpa z@}zPunh{8~PlIv!_Y>L|ZP{v?avk%L-_AS+WoI)sNR}jXFegCF$ey9WC-`|07Jj6D zz46CYvV#7c-hX*6t{Om%frdyH{7X}Rg4hE;D!p&8NzeH>N0zE#uQ_ZG`W40qHv>}D_VWmwY^J@j|KQ&Am%#MQ-}{^g<9C>gZif+KmD%K* zYe<&4y18pQ@zZJt5vPIOK3A9eH9fSaCdW#DqWZBFYOeRb)pU1A40PVtzMUglZf6jhl+&(-7HM|g898yY+uZXO8Lv-s}mM5H4uTt~fN z7Cgsi=NRO&8*@n`M>JLmUsAuGTO2YYo8>2f2znxL;QQ>2Qa@87c5>>5g8M_U+WzXn zDWA%`FQyh1g_fgTdOISvQ`%Z6^31r4_-h8*?7~{@?g~eDD;H;e?H4$2Z#|uProOWS z+Lsyx`KL-t<5~TB2GB(5d^~xdZHxkvD#~omMmE$AyVCe`C2Zy2WzhxMd}hCbvm1CM zXG?%|3ENke?Pp-I0;$vlATm$QCJK!veVQj_l>!0wxJ+m1?$85xE`3YLuktQ|3kBu5 zKwc(sA>yPIPL251LL`&dyn4x5Uge@xL;H6{Bh&h?3X`!4QlsC8$EZ{7{+mCnQ15(z z-A#er8$%#Gx^hkBRSH!Tfc-Jc0Jba>=%2LYJfnP83M7mQfP|6dY?4C`RkEVbFCSg1 z_TOcSl?u|8oN5f#EC}=re_kq#1bXLjWcYu7iN?(w218V}VN+x>^4Isechan1$8Azw zk&#!yhf~-7v2SeBeyE-&rCN<$$*Y!XzJTyjv%aa04+nH7S^&~NC#?JZF`s}0*1Ubz zOO1-}&PHgv&wJh?`(p^psZxZQ765Jm(#5Yxz%*4fqV{3q@%LxW*Qimyg|Lh&75n|v z_;HnROC4&fYIcvs;ORM^&I39B6EE>BJZ%Cy)xN_rVM2n$fR5a6*f5?UHPF!*vDd?a zi6K>JqSwQYsnr7tP2nQ5)Z(R*PUctCo2WTkurIz$UAd*|g-T-3Dw~YXuXpgeU_ZtG zSDhg1|F1ehR%Rx){|nX1{=ZPI0y_nMeF9s<0b10KD@YjRTOygnJbvD|*n-zbQjr+( za4)lAuGJFE@-8nPdNbj8B=@4mp0!O@m)$qB$u(6ORyw0~w`4%L_y-U!cJ(~(bRCyI zcO1q(xLx#MRTS%%9A9-jecLnPC@P1kUog>2xu;{NEGoi=q41$9GC@CZ`_USaO(8673iVYqPQ*14{Q* z^*`QQo_j2;b?Q3W%2O?Ij!iYGte9PO)?;r2@y{+> z4YTN&8}2dUUotBrvz)c>4e$D*FpIwfog*)57QLTGwv5Y~XwReSQ{Xqd&W;zVy8O`|qNa@8j!O*ZO3E%!+`+%7w3y4i8TDFXvr9jH-qoB3fJYU_NY%vXIr;iyMrHCT7Q`OT=gLErHB+ zDr07k?6!m5Z>K9R_o}=LcNnY9=~?)7Q{)xRjV+#-fNc?-fSSDW3a~Aj6jSKQKI<{> z?JIxS7E@@XIqARAvc9g>-ppmH<(l4gj=43ByHOk(ufYnr)JeUpVZioP3QCxD$hu{Y zZj`y=aACrlF1p=|@km|_9WGv7{~5RbwSV>d&Y-=MPWho3Z%HE0?(UOqKkm#bDBG%) z1()X?)b6{Gi$YT^dz#&Y+mh^z=3sg{2up!i2fm`GKW#(@zP_J4%O+sFREoNvi|T-O zeYp9FS`pO4VfD)gTA@T8naLmQSOl$A_mp+@RK~rwW#_b{LS-d=E{!ho-fg6!UZZ{N zMVY|skUjBx6&4?2qDTI%hlf%@?s)b z+)68}Uf69+2O}!%k20@@|K9pCgCEU2~3T3;7Eve3b#EcG?6aDo~13PBrO|3|8 zs&{QdQesS0F!Yh49NZC3hRdC=8cnYv7*gn zNA||M2{)-7ON>tpe~CP>_Z6FlB02;?aFN~gS8_aQG-#a3SK`4hIzChSo~@>3*)`CX zr5<)?bQ6IjNs00D^;j^!0pFr&dvC@0%h=Di92GSdi7U;@few`p^cKF1>MjupU$?Jr zs*f5YHaXQ@`8sbWjo~t7ldOmPg;|FDAAe<_xS>lEHS?w+ysRm|`8< z4NR}tf)Yl&ME)@@F4^4a`UZ^MNBw51WzV9r%Rma0Bj^#@Xn=MN(SKM!a*U#blvLw`+ggkR^g3o&HxYxnZs(?n+%?Ez19iwx;)qX>_v(<4Vb2d76X@=UBI0boZ%2x2?I;lUpHf&kyM}`Z zQn&*mIYt2_o!!w9MAOBLAk&ZW`~H;^bd=@@iN>pnY;-DVBP5sSp@q z*k9c?Xe}D8K@=SPYxYCSRY4RCf(!^+~2BmYTii63e}VDBTV$Jbz{_FEE>}z-+Fj@TNmWw4xI8YhR&4s^J|d%1g*Aa zlm}K#5DrbPbb^;JX-d~4cgV?BjmL=7wh zv=eEXQl8jQav>GGq%~qoDEV`DYTj6wOnz+qf?ZYFYmER4C(cP>d z2m)5h>B_PjWLHpv;eXw45EmempimmCd&aK`6BosO#>)|8LP`--{o*f}Ct4I&MV})$ z93*%-^6kP_3ax+|bp2{0v=q4vg_7h%%3ttOV+>}KpRgTgCh5o1C0YOpQ82D&zY?_F zfP5I!Hp76&mz6Er{> zNU&jEDnc4LXK`o?G}wu$Smq%DREo(cLlUl}Q|AK9%1JZ@Q*S803oSR+h)Pa@&Gv~z zB5Ylerb-HoO-uzzzpoU<{w&EbMzFh=n=YYhe>p*hOH;rEfou+qj9B?&nD5#St<^yu z-wwhY6;v@SUWOZP%ps1Nbp&-KLQsX$R+4)!*LbLx>=GGzm{AB7$+{uBg+qhdcd&## z&8pPT8jLjXa}{-|%#zo& zmnQ~`p)x5(0OxQV9s`5YJz2)!IRBA5^AoqJ7sSDLxaS_6n4|;H1LTr`aob#>9<&BW zqQGSNo`u3C@Y6o8Ow&PfI9-85eQoz!uAzs>*rzz3#r zNY&xJ_X(bHKPr4AX);chr^Jj+jVJFxDi8-l8xYK9v*>bqheQM_`) z26uCH6qOk~c*W6NDfZ--t14i*tMuDLuXF5Y?u}r2XLyFh&rz)tFG@DD`>q`yg{3`P zEOR1)tCyyhC%T8OGbf1;sgA~J7pc|bIaK0IG$7fJ*s$3Hr_2?<-9JND#n*`fJT%y` z{*v)_sVo0Sm~41k*0?i*FCK*m#qlB3El84_$*T;(5Se{ujA6+acf^)H(1XF+-5hUjNr|MkT4M|Q+b!<}U^W`pJ7XK3p{ z5&ID0X3qN(?g5MF2;@6Di(f&&VAFur%oG@`kGl>X{_=jOOOFlM&LsAPdzDNjEr^WK zM%V~;8Hxkh$pm@r=E{qn4!)?o-xqGNj+7K`4d5oj{Zl_ajJe&RmetT+6j;8{9J~y& zfaS~VpXDn{VK1x7?DUIJtM{tWwHkfNybA+zmh!m4-z6X#8= zI?rxzfjGx;W1VWJ&xncvNJx}X;sL;|hNdV?6iYG5BJ>MzhZP6zutj@akCWG9spnk; zD9W3dY>E=HcHPkZqB^i4u3xK!DF2Oo-FN^{PHd!qn1`v~>fA*l6JQ;3wBZE>WcZLuTp z`KFcUXAJ<80%xZQ+j|rFFVc1YHB`*}so6*h&e^?*)dw1q{9IW9VAI(6yW` zei;LwZCfCafyY+SShhfDCZ@9Qv;ki=Y{#A&94EBWHDuKhb$D)%ZwIQrczrhow$*S} z)3F+4h@`X8B;C2}p9BKF@t-dolLe#hS~E``8cQRZ-zAo~YEbX%G1%bGhE!qR)!{JB zR@eS=oEp(e;2zYcuoyyYHwc32 z&{O2Wp?tcuAyyUovCE>ItQ@GvfirT)UU!`Z&PsGBUHxq1>F2biwx7cYpXJ1W#xe2? zofRlTbcpLY;1pv#Xdgpolfe>zGR>(@;!}}yXZmm?<+E&8e;eCP0mrYD&At;3WhuNf z_T>2e_S2i_1ar#8z_cai--2Vh^oEQ+aWI)jF0YU5jPtfjt1g(3QA9t1U zs>?mXn5jjqz8(-AughOXM`9Yq2l1Zt}q_2GT1;@5Vr+M6t_=QJ~8eL z4xM>hG9BQGjlkFHLqSB7F9w$6IM^AK7|-2y^chG?;U)(?hdP2Lf4zFoVTQb^K6(Bx z7sPo87~$qlrwFlZ2`GVV?M)Vr(4yQczznJ$E0w-&yOf_5G8XHT3j! zRdshwYToDfY+e9+X#ra1p$m023Om)oHUt*1zK2-Z`$i^qF#X9>Q(4Yrk z)3!;{@@u_&(U_}-4r~*_I(j;(+Ytmh*k&2D!fk1xVM4T^1{<=Jt;k%fjUKxOt!RmY z&^%KO{YG|BQpTB|2IaI5^)Z#9#9KuRiFYK5r(#KKQ4Bq7 z*({oXCReM#o(i3>0j$jztmNZIc~C{r%};j)PITuVfUiGWZ5!Dl9^mZ>cfh%u2AsQW zz`6U08ueipICpJ;bJqqqcejCax2==tg7}NpqB`;J`vY@~D{-c2wkSzz8Qnu3OR|~l ze2|z$JShy5?fFIuFhv(kYPpu9bTb1TS05sTzyy4lbe@#@K{tH_(Vn3~E!lC(2dagJ zlqKdLW0t?@?ba~%SiT!~_eeDG+U4?_ureSz8*eE;r$iuA+PmU*?~eo_B}|;MGESt( z05i`5F!Ln;hna`@-)0`^tnmXyNM<)GgKtKcMVP1+tFj$SZFeAawu9EUZx7K$ zG0zjG&Fgpls~rloe*4zbl5-1I_Wf*TDMVN;gBgVVPO^Y15L*|s89?&-`(XoUZ}wNcjp(b^~jJqyc!VyJD#aU1Qt>G;<+ zv{!#lx;@x5S&)FnGc*2Stv6^E2Q8#G)JO~*ilrG0os!c*d+a)k0O^;q@vQiPt4pZn#Ri zaJ=0U$ko`ANG}5*YB?uFxi;sjDBjw_J)4Z}DTlYYAKsqV=be~)2bX+><8LP${(PLi z-mdrS{jXs2o%>p#E!tn%(s`St_xLpNU|nA;r>0bs#BQHQ5Ax(_qY|&Oc-x%61)%t6 z7S*JmmdnyQC6CY*$VQ#!?IbCYkI;XST-gferLuF*kVK68`Q7Wgv!fd>bI$4Y04$~x zujlIxrU~I$#bQbcVcO{UohsW0V=ul21Ife0clYQhdxS7 z?^zLt&UePT*w|g|-fphUSvoJHp>)t<)2Kp1PCE;!~PB(9y|y1v?x)z&|%&1PiN@Uoc#1yRz4$ewGuwHJg(5S%e&B2xA0z))-WpTfj z7S7q;%&mB5!=y+9{I0)#DoS1NOSqkMh?4Il6JNJfNVmr05FyI$)=3JQo7Luiac5F! zA#xIU8kdXKFs%CPgJMPSNmsp z5}aw{}@TxPc?CQyfk+jo;G{FU)Xrw89tl`yO>Tj44dEjG{z?J_JnkP zam02O6lXJoFLc$jXY&fmsjZ2SxIL#KA&Dx>8?h;f?)XZ+F65~#P(2Ix`%Q>%gEO)+ zNB2zom+B2p+uLF5w{Y7WBVI{%vd^Ivrfo&tD>6bqx&`;WbXYRd^IEp?F89t++cm7t zPZu#+r0Z^VqsIxW8*pMRpb9Y~9~pROD%ek&&>Md34lN7R9T+kLgX3Jd3vnis>7eBSK=Oc$pQNRd-j zbn{)Uq)UHcc`v++VPF<=G5}I*ooH%V$jT1jDzdJ%y@X-W}lF zl}s}+%dPlk0~FU5B(y~F^cM_Ok=A9>-L6+0aHBDx z$};FP$=ZD26kORqTh$Kyhj~I=5U3r#K_%Xf`2>?7C2E?bPuN;HD_wN;^E0SIN`SDv z>_6ySWSn=~r*y0Fy$T>SfL<Nx}4X8uu!SqI1;bB=zd=Zw$Bg-=^>FBq7>3_*k*Gv(ls(bKG&KJ6{Zl_VBa&n!ik-gj;UjqQ|yEb#P zXj|aYoI`pgv9rNNR&gi)*37AG%5d>p!dQ8-%+Hmp_kw7lhNpLkoJ6=y*t@}mc^m|= zbsEzgqX+yZu>P3f8w&ldF+h~20nC^jbD{L{0C)`89I!OW0sH7!IacXEbq_Q^qX5X3 z^MDL~G!IZ?jyWpMsR5X^;lK0_pdO9UT8Na7c_*MVjtncR0jGqx67j#33(qlkX*vM? zTQ^H?+k;Evy&ScD&rhBsw4aEPDj(#@#t6q3RkvZXw z0uW~lWG~2^MaPzdBPx!(e<)S4t=?;oA?JWC-XZ8uEYx##?gr4!|3Z%g^}V761v!8J zE@y4{TfazEr9=PMzvwu=w|2al)l9r&*xXVzm6WKQX%kET(l7KkU0zjcmG9QkhW%3U zY2w>j3&m3U-+za=w%|0f+{yDIW5uB5n z7l`vW$Ai0}^pD_G{UxkEmP5Rk%Jf${YB}~77f%Yo6TdnyR9avzqB0JzO9UNvJ3{~X z2TP!?ag`*vA}te2Kz4asPp*)aDTt$4g=`d}Ba8#6zh%%jb@*Gqi!*4JOE>14K2@3F=DATCqS|SDv_baJa09c%$f<}#lBM+|2}P1;h^+)U$z68gKtG`^{6~m- z-iVSPK?CNlOE?e~It7BfTjcK3iV-#DUUL=XB;huZP6cD1iD;8Od%LPFou~{vu0{8dt#y{} zFauTA+omCVrC9ZjT3J*Y2k&xrB|G@!1j;$1zNH*aNMhXhS0+c$kd1qvT6dChX#V5K zs0UpFTNQDUpo_gv^gGGBLMEBRw#W^9bG2pHdp>IO`qXiEE*#jWC-4!9?9Qbn@ z1;Hmi<$&&60}4_yQ;^?qfI9+g+u;nWuhWYd;zwSG1nz`{om~eYi@*U2d946FL;(Ov zOXB!!5y(m69+c$wZ2b6$8TtK}C@Z>;f zbP7~JTas7gXQ~e{tz<8_&BCZYFQ3O@oY;yJ_E8BLT>J>Hwx#Demdz#If8tXmzZi3f zRnCM^o+TOtOf=8#3h)Q);uMd`eLe5zEOY;SmF^j3yiY~wuQaQ<$c<)SA}|N>-Q;^s z@-Iig^f^{sHI+vju&}S^5il)iqE{*wWsJ2|p^GNels&|5BaFFkDDb3wJzxTopgQTG zpG>;;r=OkX2L`MA_u{^oQb0x8-F94LIrjfSP(%Jt2X??@N4wYD{bi=$ix2(jQ375 z7gveP)ALo@n_6^yjuSyMVi=$hj8;fVGX*@PyAqS&Cz)d&P}ySD*h!_h1uF@gR(v%Gd|yYa(1_SW{sTZ{b+%ayzdD3;_H6A zr`A2!YdaEFDZrx?Krh5Uh1Kml;&TEgVuHBEv}U(IXL5PrFS5u8Iu6)PeGG2$})_ zIbAow^41*>PL56SNdpttfoQktnRRi#nv7wvC#1s+?l&0&QZGP9!~N+2j1t`xe_?8} z8dK~eRNeR{f{HWwGQ50h0bHRXEj-5nCbk6#Q_$-19H)@% zsN&d8A-oMkbz^Ao^d>Pf`ASvz{tQ0>jA@K3Pg6~1a0DwzJ(K#zfHti> zP?YV|xxypN5F7)y-(mAtLz>-MkM`+tt%wHk2KzJmJnedO6g}CwhVIxFt38);GjB(c zlM@3FJ`h353-HXyE&$I=Z>p=kL1xUwqTx-W##GG?M!r~p`WY~Z(zis>?@|-P#!<4x zES0KbV_h#TeDMAHM^aMD5OA1coB<9~9FyeIUlD+WG#n%WaFE_99}!qoX@R)n>q!$7 zg98PCz#GT^TZ;NqtyZcYTV2Lds0_Gt?R{QmD>&^&$x80q5&u;H5bw2{@S&>WA}CxH zj)6k|c@BHv2ac2Zx~6w^LidC4_EptKncXiF$}q_3sLMisK9IrE5h8MmbMX)VbfW7i z3v_CGD53<3=L7+&$N5L9lK8`ReBw;Cs_KC6?T1n^p;39t5gb1T)sz3~N1LJ~Nv9v+ zbKdo%qyM5GW$KB6a2}jubgmvQvkTrh?E5VG1bK>TTHT|Lb(TC~oJ3&S9Il1htp!Gz z1TouF0f{m#L?L8NJ{XyhA#&i!hTdE~;h>MFH&;y#Q{3?N56ifL?s2A#S%cQzjsdeE z2zO(#&*foVJ{)0Eb*TuLAn7z}LlHT`_|uH^BL1RO;zu+Gz(87pW7%LSfrhO7r|0d1 zTi=#Gmzqc&bxU+~G%@_Ar9pMI5s(wOG#@`ad#evZRAsCSA$0H;l)os1J`aaEWnQK} zczrT93WygZOfR)tA$5BoHOi6id)Sw1si@mF=C|dIsH&XdU;MQBQy{{YkWO>Bk!MgEQ8jjpgm~d^=d%CLqF*Xq$e^tzYYPbKE ze(f~LhL@+y@;rH6ZbN+3(URYIugN{@V(FTCm`ft{c&>fg?ymRP5?SBV9y+==`oud< zm$JW(82K&WBL5wsHXv6E2rDOdIy_PfPekXpBjMqXzZ(MY!On`tCYudK0gpCA2cJnK z0w=xx1uCg7UueUOpfy5(K|j>Y>~HQ_uMuQ)oPE~hd<5&=>JN6idW7LRVU z8k1hWO!BBNR9HQqcreV*M0huUJk{GTf=egm-m$6kh!|abOm{$8)iW+pOZ1UQZvZzB zB9#n@H4Xq`6|?I*#{gNwJ9=#8oY6Iz4UY+PLm~3662$^aVOdNORao}RgzuP56&OuB zv94v5L!nmqPZue%k2A6T|2KcU5*368=tw`P%V;8LvB$JXQQ}++nDu7+7nBG%RF%BD zNDBpqV+Ex8vTgp~*lDG|rNGTjlD}8~4ZRlpCwkpQ&r_%Cv7Z*7D}W!bg1~87g2N15 zit}Dcj8jL8U1yB&SWqJDZ%x2<5MRRcy<-}IGy4##m5g7_A1DO&#~aPz@jliL=Ja-+ zJPP-a!nu(NBiB+H!2v37S|1-XJt8>327%hxhPPiK(QbB&{F0ZR^nU* zs#M$WlY4^VK(#1aRf};7#fXSl{G=$F99S*2OLn)@+kxj3;Pdvqa${@!P5h_Sr#f_p z&ewZC&81+%15zPG*`bH$2WP+=3hAk`A4b5lH)W=a&-Wzu?GRyNt?w8sIilYK?4gC6 zIK|q!D2#HUat$^?d53N5-uqa>Bpcqt=ST@3k4U8U(1&4f&4T(h4W?W#+ebvve3J`eI?6WH`^H-oK3!1l zoO#U3OoPW6@4cO)@T!fuw;N*zo$$U}aOk4r%Qvr&FE;e8eBQ^kr{1=Kk04$b_-;zC zSdzQm{=wE|BH^AF7*tiaz7nr4{i{mrruCjKkZa|~e0~BwnQyRiYkesAc%I%UjD#$x zhM)PO3VNXLukc^Njp1HD!|lVfEhljqv2-|`?ladOZEHDeNiabprx_z6^Nx;$gqrPA z1mVK4VlVR}%U|=`u2ARWKf%>TyVcM`;=0@x)^@~jZ(i>{Z1~Gb(Gix}+J6pkij5B> zrqm5hWvNh-8y*s{wc;a6r;8`pb`(r@sA5Lg!HJX^PI3;+JO*m;U7fp)sL?5d21FLocJ&KtpQ}}+9sG@&${#)*fQAex{i?j@@1X)9111|zbKhz4f z*YeaiBHU5i$VZNsW-vsIb#%?x%>?lb$gL3bW&} z@>x=2sw#l$P_Uc7Eb6iz#n6*R$FxUYh|^GWTqt(CFwtSHg@>-9Ync7~RsNR-li&Pl zHsHoXQt2K{`!KS*C+2L9(WZXV0|$Q)fK$y47YZEyJaG6o#_UpczVlt&_F2Ye(4K)N z1POeC4WiUhg8IZEM%QIG(ZTb%~rIEcLh>bF1i?B=Le?)J)6Fr!&0 zV|{Q5-%${^gt)+1A_`kLuiUTzHt19>-2EmH*_1KA5Hv}^N$4=RQLheDuTpl@mX=`>R>6dQL@IZ52&@`?+R3lGg``Ja0(V^=UF7V>nHFt^;I3zP zL$%PD-SjQ26TZT{`amA`4&!5Tc}MhONLWUvBDYJv43?6}r-GQ0?Oj5(O7jCGOdAu- zhRn1@7!?7+MwFgNU76hETE1r#^baE#)+Yy32>sqVQ~0Kk8dP(liuwt@1V2%Hc?3eo zOOOjBp(1T;2x@JO%VUht#aAXI6let z44@>t^=s%qdg8r15&IOXrmKJ<(JS#x-KcxA;GDs3guUpweD6&ceGOrTneNDHwN~!F z!|4Xo8hE-)|E-n}32vW6qU!zNjMN3n`WH#Uv@7U?Sm<{SckGla8%V!nl^eooS`4`r zqkoLW7AQ*swg3dWdRE5OZm!fb#WIqAtf*os_s&ZejI{*_Q&4(XugDaR35TX_-$XW4 zrnIflsFlsgm4Wgk#eDUTB)%s}bU zFbSvl?KU+q;(y8S%fBPj#aJWPh!OglTdn=jKV2yi+JtX z=`{5hi$}7sXGTaZ*W{1FHJ&AUu@kr{;!S&9$dWE&Mri!@O9Wy=%GB{*uPNgUT;L

    dzJP+rK8#A!s^%qm=%&5pU8rkHciEWmHaYo3mU&{}b}sM{XN5>q`1M}*R~ik3|5M!ruQ+rZzCE?`E$q2&%B}wa0)G| zX!pWgMFQv)X%U3t4lC<06l8Jm@BHNKbp$wTq8Uets`PK? z_5PnZjEfl%o0a>fGtX!L@C=x?BQz*d7{tnEQha5ntx=)y)+eE(Sf*D;W2RzHO06gV zW%B*6616w|ykRnofZs}U%;n@B5A_!1LK!@IB}i+YJ5gv|dPK`ratMciQUjhgA72@9 zwR%k+qqQ0&F0j64-;@F5ItNSGHVO;GjTeE4odp5p1s$h4Gs$ZA3(e$Q_D>NuKY{Gv z4g%Y46J+)xCK%i@YzV|IbmL8!W`-@fVVY<(F?n@eTrA*K7X&b9MkrtKkNzFL!2;_( ziiG5m7>@Rvru^_fTDko@#?l0I3r7g58jX zX^KAToo?{F?8h-}yg;d-!VLRu^6N|BYN|;~GjziSr>_CT%RM8hyXv4;RAVtlv`HrA zjBD&~C2WYr_?kZ$RP8|Bpf@*#brl@e<4e7`-Yp1afp-1fTp`Zs&pc*KR@?6fHD2ph z-kyX*kwaYrS?zpy^-HZNI=UdA=~S(wyDjO?pEhhf13iCtDwFFFH7RVXSV?yLT6)IV zctmK;Uo?D0b(Pn|!J}W|iVs!=0vx`jjaI9<`+Js{cw@2V{I0_PDVJhIouKLRo6`S? zs}@sD-~=Pn+6I~bA>)rS$4HkrWVrdKwH(@(et>K54WU|uTK@XQ+{z!iH_!xBs4)V_ z@))Y@&6-^qvN&isK)k66>eUpWG8Xs(E(Tez5!m$sSH?bBgpi4P&mxyq=gUm-lFyVo zWF@T+O4=0};atqrkY59bdG$j#BQAt}`A1_(Wk~08->I&!V!RpS0ItGR?3GcYCZ8Th zZH64LmT|UB=}iKQM6+NCMdJ8mc7^(|6gW18$_F$_$@HR4xHzDqi-BDsP=8Z+X2b6! zIh63{x-hyVVJlw}HZ%b9DH?eTyIZU@IwchF#4GkYMz>u0xjuZ| z&(;C3jQ~u8z`-#A^)Lw-VAa(ya)HrOv)*>mvRviB(JJK^L9q*@TRN)&>>{I+W(x4S z)mh*osRWOxVvPVyc{PEV)T3SO`{tiz(@q35oTAYJr;KrTBrsy)^5=TDNp>U}Vq9JA z7Jo1d8%lvtnIck6V-HLJNlPb5_ufjij)mGW?RsEKETGW>$n*y`fN1~mg_!aifwa1D ztlbXD#`7nDT4x%j$J<+D?b};sjQdEd3S6xW!4%VphdXfSyK4Zoj`6|XUh^)We3d?K znvooq{F1#}T5$qbN{=Xtm~!wdli#NBs(O>)UL9$zssINJkMwu5m-*Ver3+LMC}a-^ z9G}K~G7yRmJpWd%C|I_j8Z66jZezczLl^0FYiN$X&}LVVJEd^jUPBJ|Eb!Zhw=~EJ zldZrwnGM6~Y8M(tcgN{b^FS<5xa$0d**NjP1B3M}~&qu>$~{C!ZX?j;wzw2E?h<_j@OrINp#b266~Q zyFSe#^zH_q?~UV*Cz>hF{nE^SJjfULZxKAD+aw`7rQ!ZVoVxMroT}(M;}Pv;dv5NNBTw%_}$fVE3Ulq%xg%7oeSi@mwG4&>WT#zAc)mMG8wzLC`}sC-Sd-exPk=zB!V%+>;w?k7)hm7(@)7 znz97xv@UC~Po#Gv>H0@kGb89_RBGv}ssI-(V45%@#prF>JHd_CuC`m2S96|_^#!N~ z0?`kza608MHsk>zKZxBsfchC9&Tv(VCf`)%0Ap8OMq7dv6}W=@ieVi!PpN*D386E1 zrH{S-)fC@C7ki*k`%U{cm0~+t-9IIv7&-6rprmcDU)N+wADN*qwn7MhVrmp*BsPa% z*|uvFLPcvx0QEgBB#g-U-R|cuR;n-Y<<(k&H4v)Q6&SC-Rr=acA@p<@9EIr{cxaP4 zhUOEqA&4!9@G zO4=C=O+dX;FOBc237EHwx2WYcitmXgrhxT7M1d%6{Gq?olRo>Uu<94|(uvA!bjSc` zDUPw-UtIn74?d!5GB`B#QVKV%f70q1n2{!?#QGIsU78qxGNvS0E5}(|(<752H?WFe zPhHbF&3IRD-6~a*u1Yv>hgF;X^s~yY4DZfXn|F(NGpuT}pGvXom22dJ!%O4Ucq4k0 ziUoO=G-uJv=JWn(7{=KLldxU*RjDVsKp6$kFPPrdLKT;N&YGUiRkVw*m+#ipmsYL{ zuUhwD=UL8fiE!7Gp`?{4G|TL;lJ&$6K{PA=nBExM^f|?<0^3@SQ?2OS^VH_)FGu}_ zsVM!-)0?X9zvz7Xw^q|W2_~fnLt$wVsU@fpb?FR$qPx4j`}(9&^z!rUwXxsUU@pZ!oxatHat^_yO?-1~S;D2w ze6yulLujyOk3WueOYY5>(Ko{X7vWbXDyT6d6dbr*o9^JLJK&9afY<3Jux=_{F%Bul4=ON}3y zXi}u!gbglB>3iKm1+8Qd>N6xYb--TF&|JBLvx$NpZe|H%Sz3KkaVX zhnL$)#Nb?mq5}&`tp2OMsHNC4Qb>R#73#xY)gPYk8x29U|5czLjT96Owtrjk|IP!f zJ<19HXC7gRfE4E8jJqkKGLz|nnl6wj*h;G<=`Vnva0wNWY7RBpWe$~*^d1T*2~}?D z-&X#`bb{vJhXR_cOb9s?l?XJSr=I3Oo(QcK{8#O99L#1hnD}RVEDG~Wd6@OTKt%w7 zh?Rp#v)RBRWOg|aDj2nLB)@k?>*g6_Bazo8QJwK0Lj`AmP{D$Kh6=8Jg=?v*3{1+G zD|W03DPAz(N4foFOwv6FVx~gMal~k-j2v$$tQhh^#h)Bu+s9Pp$B$@bJ;NU7?8_AF zj2W(Mr|RR=Q{N*pX@E$VP9Bix{z#N2-5y6)t~YEyu|00*UuvuA^7?$4`(x5!0vgg72fQ<@1|zzt4_CmmG+wBaqFxC zi25Pkzai>Lg$>R1c1t5S^Euq!%}rVsmd!-XhhLg=4B2LRhEBT+7#yY$wrYbXy;hnl zg9}`FAI}_b-6N`X*v9dURaciPmrPn;?31&2s5dlT!c7{j^O}lBpWDsy{IpE^SJ|J} zjvrU2;YX}nT2~aiw+|0T0juc6^Mq3&T}h=kH=lH&w+h`_I)36*>0D#Q&;&L&7M=Be z+E<#9CjX4+3gcg{9*_e!b@4dy3} zq8iFdj#fP6zAtQAqjP@$B-HO8R~n7Wc)fHU7H^$=#tn?hf18^gnw2g7Jbfa`mJE2R z$F{toGI%@mNdJv*sZ>98On&Axpni!15bD#IR<*qDj|2yBXd-OWQ(t9THA)%#`>%wY zA0P1n(s1R6!sX{jH;}f$#d#VwHax2?C{j&Tx>rxHeL240J?#%SI%kc1&x);YV=p=H zfV!K*k$K80VmzqwQSZq~pIgw1*VQVkQx~3IUVC-b-D>^CxQWB#&F-p`F?N0NVoI3~ zpw3?EuTJyCOERzWPF}gf#chAm1WNNSs0bHC@sABB7F|z5?I@a?tRH#)+&V6C zfiHb|pnmI%n4inaY98Yq>UV1GYp@dCgSNa1EjfAoedMRQ)(ka}8aH$QShrH67;hu{ zwAM79ri22$XGHyNWT)l0ZW@cr@t5ntXz6&(ZOf2z)et%J9(yx-&|)X;g_4FTj5d1e z_nM>cjiJZ>=85n^oyXE9?MCu$bZzWNh2tv5pX8z{$B?!T9CI8iOMNpSq)Tnq$wol? z5UU1nca|4c&rII%%`rtD9(^9{-vUk`%0<#cVfl%NlAZ|bG3Q0?#=#MgaYmDZMVBa{ zmRP!J{Z?MMzX{T8aGB67A`uRKgcl@}=dTX|Qw?u5PHUw+yHQAA{#q~D+{9ckocl6W zZEl%p&Dc(X>~)Db9CTaR1O))a~=^9fh zZ=&FWS43&GVWelP9jApjA2c!^SHV>0-X^*hU68Rng?Xvd^{3tjL}jbg;5c=2V6<}4 zss2k{9uYz&<%vNXf+iC{sH;9i0EGH=Is4Pk9*xnRje&4ME?Osd2`#=6r_5G*r*YnZw}$v*;7Y>BxgZ#WV0`y-}`h< z^&HfPKTY|`4jRtM%6xB=v#s1~=knKm=Vb?P1Gn@&S76$}Zw#^QP+H-0rWM(Z%#E>p zl+=Pz(kbK3tgBYt%tO6(g8)!G{Sj9YH+aeJ5vZKkh=uP)h7txKhTr;OE<}^=u&I$e zgNBO5i^R8DKS#RVN0QO&7E1+8Ml;h3~^XF6F6w6wTO8%~pNZ1W5LbOu|PJzLK# z(gkevXDEBkUO+hP_xHMZ;jjn}kfy#|#VbQs&MefEy1s{@F-)&@hf zf8+=dkDC0gr#`IY-KyUT%bd!#c^WwDU{JEGdWZizjyGm5lR^X z=vg(RPR6m?A+8Xd$6pmy4|g4I zMMJ?dS1qHwMoNnx8Ch5APR{{UU72Ejq_^T7RR>A>C#v4>A)3Nmo@&SL_-!l~sz4g6 zNb;MR(!j6A@Xn&tl~~BOJy{bE4hK$uFp@n_9(PV~@E$9V^!+fkU?P&IId-9s)wH5M zYI~#zvvv%dZKRPKM6(P6sFrJ@A}B|Hut1KU2QHUqjI`h+g}f7_is^=aPLEZ8C#|Nn z5T?k6@&g;#6M*NUZqtnjpxdfx(~jl#d>9;JA){*FCd2K3z{k_Yih-ba zwNmkOb@Z|ooAc47y{zr-`BTF9bp9NN5>Ed^TrjzXHAauO0pTj<$uBrLe7UgLI--H5 ziy7mGT39DesD91wDlFm$-5-;?$Awy#dK^J061aJz>Sh4~q5wum5z7E60cAlF`xm35 z$OACC8DkSaq-0yyd6_XgR@z(uc6P7fNSO>PdPmn&H~`qW2!Nfx0}xcPjBBp6PVe|bq+w?)9LTg$z%b5e-ARCCcZE8cD9CN}6NF?D-IBfz;kAz7i{FCqMnBD`fck zv`mYAnT+edw%j3lPk(0G}@d@cD5D zRxZo{%gPKuIa7&jo0Aac!pwi^sR`i}hh$@aq$o0=heH37`D6fWq!(fU_pcp5v}c8` zL0729+_|G?V7hs%A&iFQbE{02(h_D0jG)HH_wWH`AbcPd2p=HRL;y2=4NC;P`al^C8ylj_*l=22 zygfOzR@L0q?I5w+X+LOAtP2dr*VviB)#3Z^t-RnV+hF}hwr3PnwS~cJPv^n_(ie?; z)CC^8-hCcIYm!>3f{!N_Eu4>{)yMe>N;DoeICN&|oEq3Hj6!Mlfu1CHIAzw?ie3*{ z05wMFJNA(!7J>pvdYKxy5Mh?)r?*|VX>WFT<+f5-2U{ggwo%#3B?0YaMk5sFZB z2nP=@mpc>ksGV=FlD5BOF^OKD~EcpAU<_xQubb|nHPQ#z(v5lfD%Q|Y6RfbEOEC)yBTr!#qy2-!3 znLE)n0T?=puwCp2@mlv87Ie}hqgwC5>!MWFMsX5gGvL28D9LFn7L~;m-vUzPVMAFD zhAWH7zEz~XJXIEl{r3K>?@MtAR@!knQCyVH37?=uZn=ZUc?i}>k7~{$DmWS={^(Ca z2t5_f=2j&tjKy@iCN}Q9JHvwK#lh!R1+irX5dg4bdWZ=dD595oSfUBcGKihQCRo&{ zgu7}fa{Cxj)wppwo4@<)%xB|>lpmRMT=DVr0YD#1If}{(D{RsTmuywHTL}Rp6f1A| z+d;SPk1uBGM4PrdWayWbk1Mm5O<;@2zD$dIA z{E`k(>3jf{4gyf=0%`48-H;OV39VUx(m__?xkI3zBAfYIwJH8FS5xu?SE^G12wV8a z1pM5?vH{* z0^pr4c>urLygUNJ@wE%*LjM^p0fmpM#mSH#pRgO=lj0%~g2yXtKmD(+BrJR648_zy?+UY#;$t zd=Mf{k|G;0+gun>`e0FHC;EYz`+W5w=+hETv_9pJfMGZddOKaY!WJAMCG%n#msSh8 z;05c^je%k4Upz-9#%4eUT1OV3+am;wOyQSrRt*N|&V}sWRF62rwq>~%AgO&of(Da3 zac1eho7O=$ZW$1ufo6Tafdb%<0e=HDklzV(MBr{40Nic${@!f^-tV@0$E}|=+pGv4 z+_~uam&0N2oa6%xHHep&LQ&Qa!jk9GI6kq=hh5nD0VF%BE%P#;=_wF z?awxfBt@=%MG)Xy;D^6CFCe;%zbyKJ?aN|13~ldjoNY4q+Vj?N;v56VWZ3BeDn6N> z2v)jgu}YT+M~_~i;4_{Fqkd-qiiB?LoG~=ngT~~Xnj5_WD}Qnw0H2cq@Ofy>Tmmna zbREZ&M52cpJ;16bo7SrQTFd?d%gc~cY!VNQ)vfC zU3=jZUv4AY9;{TdL71nc!387;taZjqRiGm%!oxk(Mt$qXX`* zVDUjtvXaBPevmo7RRo6ZXaw|jNs;8B(%C_JK~7rED^@Rr55>Pv@4jL`lKP*EjcDud zdzC6@VZ%iOGl@7blPE^kZxD(w>JtFTCGV3YA&_MvK4^Y5pL!K=s-$DU-gv6&L^(xH zeJ;wK%O7#AfGnx~O0kbB_ofid`UV2i8QhOfjcO;tc33ZpP_h4qOgLlWIvQ*7Dl3de*Zb@cDgqc3XKg#hC8=1)8eHOqmYx(nIti62|K-w{5oMBiE)Da6PR_4y)^TYUaZIPNGO#@kb} zI8#HOgF#M<%JUx-fAxR(&2@6{AUd>`rZowU|V)Y1aCo^G?kvX5F1y?+4_wc;z?=7`VCy zdCuCJLE<(WO?(h`nIsWEFDx3C8Loa7g%7VCI_oBXplB!vHtA6YikLm~m*vJV9O5Xa z%1tq@BMg>wjr5s_dtqCr&>*0INQsz-rFiK=^jj{&{otSCW9GMb9c!)o9hHqcDI_Pe zYO902$G!;cyHfe`$>wf0UOF0x#!}I>neNLc)oxkzb`y*5Cu(fn2{ZR}S;4l_|J^zX z`~PU2gn@;LgYDlhl(cwSM3FRB?>K`#BHdIUR{W0lFjoA6b|U&!67#~3O7QVf6UwSectJEr+4>6t&p?4Xa*!7 zLTttdKJFFI=SjZTt@HB>u`KU9;2$qiq+QGFjb)ALiUz?QkCUY(<@TDLXMix7e z(>r=|4(91LAANi-bC=%JaZ)93t`(mCY+YtMixhn3^|~=|e_B#r`lW8+xvibkQ>EL{ zLo8uBrM5%zE=!9%s6y#6ip{bLpTt96>#ph6d;8WV<9=%uAvu!Zd2VTH)Yikrru7ly zv4G88)n{{)`T^RaMNh42CVK~ZVm6DbwUIR{K{Aueas8P(nZvYH9haR833lQQh1w2j zckLcqw5QU$)zgvudLz8QTSuUk^BMkiGR!v=|0d%lwPEeguI|Q=S3>E1FdM%2wX;d+ z&#wrm-_;}(GaqbqcP)e424h)2%H~yDeZ_+S=brgg?eTFfcW$3)&Zza<4b2^9q@21T zQa6i&qGzH>?VtwyVuTU&R9n5>$7u#5sZs{VwbM##c8jko-KU3^Ow|d2MNfmW*V7kI zHgcQyy{D<8ASW%y!YU0PyB;P?n&&9awo`7@Qr0r9?=L3DTxKo?6Q3Tw6W=<28MB(A z$1h9d7#W_2wqVqpr^|-$wtzmko4!SXBclUKaQ!5m5i6EPe*4f7pEpqr4IK` z{m`Zlw_Hx0&UZsz;KIv18Pl(;L=U#Ro19}3WxvX2BTWw5>JXlxMB3dS8}m%=SgcKA zl5ZRD3cU=D=!)y81cHi}+kKo?bCJDF;{5x86Jqq~s)J8c!m4seUe3))=8&bX0{jOV zoG6BahliUJ{>{Zrx##s5OYI5`({q`y(C1~|OQkqisQ7+8bMUHHyAly?LIwYqY+hCRj8x9`b1()bkH!&Rjh;HkY0ze0m-t zeYxJr+qu`V9tTzjF*2N{W@}E#vAEB&|7djwr8>8B^YMDtG?QX1c>nU!5Hw#FkC$vVma)zcsDj08_cPM7$=_`m&-JNsIF;fE_9~n;5*}U zMmJ$4kgVLPUc{K${^Y#Y8Fs`%4YS(&Gg-^G)emxkxonx|^Jyg;V5C@18m*-X6Ub|b zBy-}^&@16W!r3yq3q??>$_XiU2W45`yL>_`+c-y`;vOS-?JpNYYLvf0qPxqg9CnEM zdc}gMb#mE3D}(IV$k$DOwhQTewmugVfcdH>)->3?{(5bT%n$n(-^uqlrT5mP4K0;| zv=h=b3xTUi5Q&w9x{5s>j86mVZTsO(u8QXBSS2aA(u@1VT6HbMeY#?WOK)dXpc~ATU7W+GX*nz7`4a!tBhbgiov7<}2+i-pNN|%ZDdTb=oMsX)@x$*% zWz9v@)|h_2g@bvy1}10xwnqGAI-Pl)*NFxE_U_Qumv*VwH_C^MKySVa1K$gsHxJtQ zT1drjv@@@^Yj0Ojz*U^~*{^(0_2QJ8-i^+qpvbsC6;Ibf$0Bm}8uQ3PJ)7l)^!fgv zXQV_j#zo89C=l^ixe6iKSFP%T;p^SP423u?*PFatrYQp}a-YGET&*e3gz2N^#k&5B zvM4m^66{oRJV6kFv}F3s=}nLbI$t1-`Z(KX&v!sM64C935}{u$6}e&L^d#(xWrI4$ z@W_o2N{ET$pJdWeoxkG%N#ai7%I>HRlb-}>s%gZn+As= zM&9!vhemP2eu2e5Z9x@w$91}cwHITJjxe5sCXTTeJ|H%m-?T?q5VSip74flAw76 zE9;a1NX&n}tDWSVB%w}XL&y{ryqK@LaA69XP~v>#y~rXVPr#&aI|o3HA1(OPmeXYu zrkW(|<_k>8re%v`gF41Ni?2uod?!LK0^HvFf=>0!=?MoWfg>mFBNASX+1y^4XJ?dpODZ|JbI7f zj~+Y=j<#cq9j0w4%?i~VNboQ_PBYocKkmMPY zfj~SSl2x={(y*-8SE)FYzuWX;-sB*I4EFfq9hkjuF%i=y0;f>XR*q!!_wVINH#tt~ zlhs4n!v0`6DE^3&?+0@;tDv6BJv=9|^bzTpI+6K7K%ngItGkfi?%%D@c)tHiXR8+{ z9GYqTAQ{+9y!3I&Y${h^5aA#m%(E*$ zm03bko83t^8Ca*vqke>mz)A=7*TPN51JgC6Lzg>$SbG;ErQvTc0$6PyS_COzfqq7i zHTD0ykM30N_kDb|oMG3KNA z53KKCi$st#NdfK>6rm3_rg@B>81UlpwqELK-~SNUOoj-M13qM6upM{zvs38;S-&ua z7YN5%ti>pera(cW5<^)5An&=ooe)C)!=*_YBjLs+5Sm|eJ~j{_+ZBzVri*NgVCyhQ`VBLFbkP zn1sA8y}m8n`|%%gxDDL`E}a?6uLYCDdqkn&e5E+SAIapg2-y7{v0YN2PR6anjfPYi z(!QryE2#Z1#@;e0t|w^t#e=)+;_ePX7GK;G+}&M*yE{RGJHg%E-GWPSLU4C@_n+rJ zRrmdHtL}%bGrQB%GPPTE_WY*1!@1V}F9pD0JrCS6tV5)<*l{W_|DjP~Cv(B1f#eV5 z>(#-`4F^rZIyE`$9T+D4nY$SpgOh|N#MPvzL<0V169&SUr@DL(ewlN#W%>q9At59H zgJn_7IckWPpM;HRZwgCJ+`r07K1}5uCB|et8%eEsKn=q}iy#XJ0l;S(NBk%F$01Y;%!}?)eo8C@sHg{7FtcsXxUT6+iS3)F4a5F_cv@_Nahs9R4d;WCG+e z&z<^!vKql~bvTTkP_3~sRnx{jSFN~V-6l7=bi;9ELQq1iv(?p*_6bU{(ZsGcqwyO|tzewMDojzL1idiIcIJY(Zb$EG} z{1HT?d1$C3L^Zy6{#U2|Z&hCZTirBm_Z^_aRF9rkXLTz?aJCt~89AKDJ^gLSmBpkY zYV-c$X*c-T1dij#myA*N<<^a3y zgx?|^1t53q@oJsr-Q;R(H`gmT)hJ>18{oK87$g#Ue83E3(%z$}LGnXAMb) zJxH&l%F-HcR4+>%pO52AfgkS8yTTUAwd1Bk;1Cq4TwxAF0N+d%8b`HsO&L+dW_4@| z9FGq&&AFFDev2uYrw*m+qu}-*380LRv|nC)(W1At8iRE?e*eK(nFIvKI6-V7JbUhi99r(PXR1B{ld z^2YO?*Cq&M>#SH?)FmP;L0ohP7K-WJGOSM1FM~IHNRl)~kk!5_N{s6__mp)tLg99n z*BS~@7-ldR!{CdXJ#SoPBrd;PbS1!6qGeg4d#U@URuwlp5?RXmieHR;W?Ykrn8bsR zz$_W$UL+3%APg1`(nQzLZ#Nb`f;GW;Iga{taD` zj|1KKu^!9g^o2YHy-DOyPQ1s#XS&<6r_+-Z?RMm1q&0>>!sRFY)g)O1xdfoVOqmPT zS_(3{PZSFff^GO4tuK1#<$6QUnJuCy02b1|G|?w(^noDlFap0U00a+=wd5p#Cb-m& zf{eumo+fVS~r;k>3F{X5=!p=!<(>!csPdG$Cx` za~do?WWoIKSYq(h+EMAP!RSWh^U4|+;!^Mg}t({T{PBOAW{fPRIys0{-3=(#>umlV!p1Fd- zi7g2gKpN^mwognqxkQMgQYRI=Xm|pKn9y9(AX0w{e1@Yw9ViBhLLx*|<=4yzKDq9& zXCU^?4Z9C~%`!e9ibFsOAHzLlTRCR#%9@;9tfUxL>It^g1c0UT9bG3Xje1Z3Jl(3= zNO$E&NNp@*j??B61E~=bfu0(LM>VL6K_Mo=pq=135{WrS8*>Cp0Nrs(7`NHP^9z4@0=y4UpAEd07}U7*C{7;a!dp~SQdjHj0p1z;!`grUrw z1`vUn2dq>a7Qm@iQ~nUGMq~v#7T1=rxPHM&IHQwSAgNr=s0`fhqNp#J7Fqms;T5vc+% zfgH5P6f{b+-lsV!fXQpgUG{+o`ei?3P1r2|y%1j-2D2p^z%lwv*Q7yJz5G`^VGV?A z#G735`HT@V!%Se4CS;U%t>$4E#X3WOKdu%((Rcovs}?FBF@~CxJua|lz!g-aS=S0= ztn^Mxu*9=rI16H>rQ-t}Ms3gqj4sg`DcO+(R-~>GD7F~7hPm0+l%d9o4(f*ekVJ2T zZPTf(*>!Bv9DN;0$Vo?R>LUQ4H*oprNT46@39NkRN$%oS4R<&)M)p4*aohl>#>Y=7~GSRpSp8@)477&0Y)- z8$krI4#)cGPI`ii?8Ey{P!Q^l=3>tv!P8$YA)`3qH5L?z#noSJ7-6f)+hDVXsjFzu z`3aL6%_i-3h11bXl})MjB$>{ZxN@CW(Vfx5keAq&KCD8WEyWGbv-Lnkfj&I0)sk-J8RxVuK5r zN4!gLg)uAHCC6r_lMTTjb@t%)+H z08~66@sLy6h6zqQ(XX{8!*F#nCqiD0jLCJL$c*e!1=7guQ5CTWPJTR!wzvrtZ?Dn~ zzC~H{&+UY%IHOeTH_2^@3b&?l=wew@ZkGAhruOrUFd=Wv$pmq=K`b62sfi$?Lt58B z`P~q(OsAO3*RzZtw`*Hwi56jnl}-_yPr4C_3qt)M0!LYK}I>B;bF!oIR}Tc^K2Y*EbO)6c^maFK&IE5W;*)_$DqFA34@GmB7PrcG8j$j`CR^ zkwauh{AIEoem7>O>(q=Sndq08%nK&zfY!C3^ryK9iSz zb04anHhKzm0Ed|g48Xkaw$nE7+M>gfQ3>KeQ+RmB2v>e)0(V8fzcwTo()XOc)iH|w zHpV&g>al8<3!&PwG9>M5vEsld?Cs;-w8LQt){yFbuT`jZvc2E1W1 zMm%6WJfiL>AU*{sI>9M?qmX}|8+!9ziH0A+D3j(S^U_XsicQl}I@Rx5Nc+_%O}{4{ zH7||V$)O}1|4A-){v6GIzLJxK37scJKh#P|VlkbLA0SGsi_VE{U{P;4$ zECnp~xCzZC+2i$!TNBI)_hc@}gX1W^EiiXPRwR^6g$fezKntp+l_G_u9~Sf=<>a#J zhaxgIom1z!&9+7eymTElg33n}v5WVpn9hxOMLu<8CA@2<@z~mr9 zZkIBP+01{=m~ALg*SGy6A2DaiR0ecB!)X`V3A-Ky_$7_}R^okr>_J?; zYAJ6NVt$k^ai;#XSnpIJqYz~d9D9A}O1+<#Y|^D;wo?sY86dyVn5E{YVq{TwA_-kL zIijsiA782Nt~3o+<)aJV8TJX5ePBHFOd!Hzo!$)^5Fb1Hg_8Vif;MMK#E8>V9# zZW>zuX1V@r0Yn03F+aD@*0}~~KnAm~wGE_G8*|^pPd3Y>+qs=G#vZ_6mPWvnxQm{|)_m<#0cc{dS4!efWZf_0D^wyw%}( zl<5B{6XN;seDHa1hVu0NYG*LxQ&;WGMXpj;+V$Ajsa`aBu%?`JEeMUO@Q~W!X_A-w zr4ZVD(;<+#`J2^_@eevLW#JI}UzD5sd<)66M!(BOQfwR?wn7|rFi_q+@v~Cd5^zM2 zr&qpM&?h7!AR|bJMC-hS$rb+?!Np~XZ&-cGM;@vR57HPR zJqbD9sP`W5`JtFgxh+P^17)+*+#JjxD1_yk7=}yC?%eu0vrQr4@^S0lb>M30{u`_A zZ%aWKBSAeMiB;36TAAn>1$tz9A{;M|Y3wI<$?st)&L1sP$e!Gm=RqQ^T4+M{XSOmk z8#FsptiSZOYlRD3AYKBkvSoi` z>6TUA!T^(NHlaSD)LM%qXx&oF#~R8ubrO9wiwGc&@$EzJ#QR!0Vlg>&H>lV<<>#Z; z82h_r$fLBxymcCI+>%4+V@wwMBIu8Z7kbE{^eLrh!2Zo54p*~=15+Y$?R?^^zl zP|{AG0Ef4Hl>!`Sk>{8(sxb$!;gKu!BvX)f>P|eJg%4qR0U5jg7 zzZI!Nqh|<>RppDWS?C{_{Vbauvs3h!zkkr#aFk+_l$BzB^rC;JMiuMmlkl*A=x%*e zgdS^5Ui0fBW69j}U;X)b*uk35`6}2hxmnQIO3P&UiQ&uh>U(C&&6_+{_i~SBGFoR4 z(N0$%;l0<#_0#4FQq>naGTkyxU*LjA&BbY+ku=8iC+m%kp9Yq#!QW42K21+$hB<>G zn<`^qQgAbU1u}m|gv9rRYyzkEuhzRJAbGu9BkOA1mj|gQ8$iD=n!Sn`^#1N75VA7< z_IKYrI*tE^r_w4RLY9}0Fa}6rS#InJmO<&-4R=Hz5|yk5g(x^#qPOJxZYWe8^SIKQ zygc3A5AO0qaj|$*I-Up;5669k#qV^?ik4=RiuAOMZ*6paKAu>5W~hYNzL9G|^!&uI zMZLS>=ewq#jZvdC&uU(?^n3028lXC^n`mOdabmv}#&X;@m1=uTrvFs%^RzaV)4%nc z^qnW^@twtQ=RIw<+(Qd^R@$QY+%YPZ_Dip9#=ic>$n^Yu@f)Ma+AGH|P9H)0PxA9y zqKo{CPNev0R%&PQoCBMyX_)$5nuZ@~$xfsQ!zt5^m3N6*GJ~v7JNSNodgk?qJXg0i zrKMXDj5=<0lPQfW+bWtqdGRN|Tv__=^(a*e`k~zJf1TeTU^4B{ihE!z@#7YSqxM z{O;rqdNanlYFq16@CvLMw__{Pu7$wtCd#*u(#x9WkBQ5Uk7>S+EVl*C=}q_y6twEu znZj|c8WDH>1|!sAl#{1iaUuvo#KKYCnTpkPB5wyqHpOp9*+zfZY8os9Dm)lG?ipR9 z>pg17r@3Q+IH82(P;|N)y-0I)$J{}U$ zjeCUu5p3vou9T)*dPfq?O&8+z{(#Lp-XK*dDX({nTC}v3vs&Q5TJ&%3f3JCa_z+cF z_ctWiuvxsW&&x-t%@|gvZX^#Vn+<~?7bl`)CvPMdwY@@8SsQyd@O|Kw6?@qDKD5+s zH!NmbWhx{wlx(trsX)9)H3^~f8cE|DM!q`<7zT(et(I}`Yfm(t>kF6gnf8x!AINP_ zWx_?!&2S$i2UKNNuCIE1sXi{P`8bJQh_!q1U)Q6CX={)_fUE`~?U;M#p6PpZx@24E zPq(-$H~8kgUAa0lcFa>4RXDTgvUH3~P zDs_DT3tVxxy~Tbg{vzih$DJ2(iLt=+7mtEx4a4(J!IwA8W@x`K=N@5E;MCPwS2nUp zmi>lqbf13wkNbDH^bTIXg2`9KU;*LZVzf`M?qoU`I{9_QBjFmnI-XIX~9b7ym5wP}9a#NgaM7L)B`qUwp{mtc6$ zjhw;dmf;Xel?4<)o& zp(m-EA8I~L(_$UAt{^La-$s+^2|7dq4x&@<72a{XOEpgs46jc;aZ+6YIlob;!mS)J3@QGX-U|PszJG(r0SFx9CbZEG z@+Uon-Rwx~oQm*Wd|=s9xAXtn+o>Q^dUIk$JIQZgcs%}knyZ4`q@ zAl5Z*J3c%8C?elViP)w9>UN?OFu=qX?5T?FlT*e1xxRHgZ`@aM*M_#B+smM|trPFu zBNKSp^LUXkr4>o5bf{HkgY7tq2vwm*3YX8N`Xst^KjX5_UqK)Ok|=ujf~9;n5Jucg zByT+~gp0{X8e#goI+vaDW9&&zL(=2fnA;1g9AkaihXCzaQ@wGJ@tLt@h`(`6Ww~;l zBmYT7Mjf_6k^5(6u~`;+GJ8X(9Qh&!FJbfOwwu#4METVr2_6EX-j~5v3@$_2i#qb+ zyp7v=3k)vvwejeU$pR<|((m1%D*$n*smLj$HBf0Y_EH zp)}VI>>ox1`3bAZCy4o6qdHv1%HT4~+w6a{`5sVwoRf2N?B^%=ZVAtPI6Q^EgeoFp ztstXkO|~N!$g}9_LT5Z}cqi+h&x2ZAjy;+gOq23@%?Gz#dH$ob_{1mNm#arU_Nq*R zd~LS0*d=A+1(QF=5WqlmHX<_Q@2M{kMK!{?ym`3VMVR+k!&%GZi+ER^T6(W}!1k6_ z8Zc!nFVaNe`3cF{yJ#^s{?q8mv$xUlJ+LX4b8pQm66q7IiKWE!QJ)!eM89RPpyWnwb zDAIL?wkxHehzI_ois>?c9G^%_aKU5|8Rm`~U3*lv7F6d5GFV4QCC0tS5&Iu93+9IC zI+9SR_a`CsK2D7ab-R`ZYE^la#axYv(V6Xqt+0gJNte<+*xl!T&4hn3Ot^r_K)8a=h0sq)6@%5i(OaXWq zUW2Ru;kz5+|KO~5T4SYdwNGTDLWa>LO`JPs{;XQ;m5Y-rEiiB}BJ!r#$M!!qE$7aH zi%I{bn`x~~{>3jpQNq({48TD3Kb#h13-&g;t;Lu8U_4EXD3#!1xQeQOeZ;zhjEgP- zpWV{M{yzaCSD25TbXNandMv0d-%Z5=M|xCRvrFg7EJ;Ef%a}ZwLTEGAm#T3vvV|3J zPMdFcSmfz_n|7y3z4e?Y0V!{@8#{W~f|)&%K3a4R`Qb^H-8h$Yywdmuh;+K?i~DK&zqeTH+6jfc z76HqGcXh`;8k=HUHD~TXyjyd%%tll^)B55t437%A0R|f@nHinz10Nv#euW7=r7~{} zXGf&MAa#Uq-j$T73b7NUi(ry&mO3{KA#oFh&lg2x$-ZHs2^f;$4M{Yn>cn$M;^>}W z4W#o`DWOLgCFW+-1SRR&d$co*75q}yxz;s1L_4 zeOEw%Fs!O(2Ct93NsC&9q+u>-u64AQD=e?bwV$-TW6DcIUlgq*`vnvB!uKaGn!WO6 zjynV-zq^J;lAhTp;(?gc*VIKS`knLmBp$2W#|U?5(c?^o;BU;<3Q3aSkz>Qp>13yg zwXuBc8Maz~ihg=g5Xz9z>wUC!;L+sARItEZ1Q%0kL zww~*zBuKlo%cJi6wA7``QQUVnENyWbuC+iAQud2{5FS9i0}A3U8MN63pxr73!5p|j zIf!J2sppF?|vP0G1ZjTNI>cxb}-X5m=;}&-Q$LVLUkxe@F zrT9;*;AAVqX~veIs2w@`19TuYtyNNT>KTphKntpMk87(@_^JV*a}*x0-0BD^O>){E z6;CX^#tP?Ad_rYFBevGJOr2S}VHR7%I>c?LlhCG?{vOfTy-=i8s#|kqH_1ihveG^3 zBva!)$~j`?uF}#SN$|m_atWlae6!77ko=D?_RnxGy$oaXraY?3K7-v5F2n&0^k23Jgb88z^Z_D9ZZFxylk^XZ&bC?rb_mGNhMFa1iu3}&{g z)8Pa<>IB8D?Tp$>x&*ZWSc~I%D(t)VW%tSd&A0JRJcG3Oj@o~kO{GFcA9zpXsi-xQ zRy4-o@<&J8hD6(`BWlVmj?)d@>CG|dkZm-ohv*s0s*tc+{=&XWODX+|!R-7USIfN% zsnf19Le+K~r^>o20$)e^3mBUg!floaYhe5bk25&&5*EK=c>3&UR9aWVvuwWpIL~A# zqra{#?I@uE+hR)j!NjF0K`(U`rOEvzB)i|OoVPbaF0c>LmbB}u)6 zA@Q*%cSU9ebiL<|bw{4c4Z6%vz5i&kG4tlMxrt;-5Os~EhKr==yMZ1Fz2z^agruM0 zxa`q#8y(D-!`5`6y81I^huQ(<_Feq5WnOGF8YtkcNLz8lyIT5+R$M#9sJ*C*ap`^L z?UJX`kf8XJ^gm2r_m``P!MZX`_44R0#<~i2@rg^R5Jj&X7aRmr%6UrWAQKDQ1+J@X z=;ItC;i5K@)mQqBlnYn_PC|g`Bpo%)V2q;iY`Ti9Yy<&yEP*&FJiD-Y3N98j<^MAn zpoM3jC)<{y_nfq(2#p{prXDgx)=r8ih)s$}SE)B-KS@m!8;(hG_L-#9s%Afj#=|m} z8v9FFC@$kIHc59pX@ZYnln_r)j1tvH6M|31DH|P0POpND!zn8lRmaFBE^O}V4ESu` zNJDR48KD?55~E2Knm$KYj^BgN$*&HBkUKYsWL#|_9;9Sb;B!fDr8XI=jPdJ5@OiF8 zO#T4>KwR!xrLqXb{sV$}v>*j!)n8y_6Xe6iWTny>r}WI5=NemtKPn-2ZPZi*x)S>) znE=`-l2?t%Du8{u)SU-cv&k}FF9;(9v1>+uH&tgSCuSo)*Zu-?7x|;NV-HECl$|Xm zg-c(K#ygqaIp+I5bXa+R?6L=Akn1k3BpSZ>q9suHGQE**E0|gjG@c@Rs5WAehfX5> zW1lh|l)PI!9|g!zl!>Ama{R2F5FbLrjM&1(=p5!sqisvg{vMCZHCfVlFna2EkXX%) z@63pu5naxXlcAuEeU3g)-H_KUrV`^w1se+QFrIfMMG6j4UREXdfs#Fo10zJ*;RQD9 zM=JfnHQoH#6xoQwNQ$nlYzCyo$GCH3LWVpt?sqa(GG z`Dd$A-qIwgJn@UV#`h8=>A}azDT)Y~Z`nWUcsN^t$g#E8yW_s9h>0&V8 zY0N#RmhW~n_Q54vb}Zajcw%et9(@?>Sq=^G6gN5Ua_8o|OEw&n3avjPk;p9{LxMyH zFFXG8#Zwr&GouwHvr#9c5fksh?@|>j!Yio@g(9NJBZ^K@5$sDAVb6q-eYNZyY7}@? z^AyEsrMGP#lV>mu`KFXBr;~fz(gN03M9hIXcXToH`8~N#`{tM<&bkxnMWE zZ5!Y@zLB0%fuq(>cDMO3-)ih>k3x%vp@92lhYO^cayhxz+~TpEw{IxT;N!E*?}7zy zRwdY!yq9<6K-IEo`%Mr50t}ARnvSCcaw!->)5SU&5l(ehEx{aLO+tkSXN#~eCIqx3 z8JIQ=V30#ugE_WZwj-;LEwz1gNucAI>?LL=11LTp!~Vu~qcoRgM@c6%Ezh(<|L^T7 zKs@x@X1Aljm$cI(tfZulUYr!nNa3Y1Vy%ME zUv99%rO-&SNqVQzjh@bbXmthnABG7R=`<=vN3l+ z)X@|le`*1?A}=CCnf6o34YTYlHosD;(nI92nRL41IGvkX0AI1aqsk}K;}T9@@GQIn zftk9ocb)6lF-bm4pdLZ$6NhwP(<_sYV zBD_@5j77u7Epd{Toj0+!Q3QQ9g>l)FRZ+Gc$}PAB+lZ2g#Lm}Q_nb@a2$Pu-vX51_ z+y)Vf@C0VWM2|5J2{J!qf|RGKoETX`uHySZDlfDd%PMsyxZ@ zwOgi&$DRVAsoR%Cu}h$YMtXXbrrBJuddgJ%o6OeH$7HK9ee0$a$(_eIOlhB!ooe%t26q zz{A3(AZM8l-8cY*!?!RcA2oO+$qzreR8B19!q9e-bJ}blgrn2#kiI<78qf6MeoChg zL^(EU9Pq{arazBHKs;F{f*u3W3lX1g6OpFPhE#GSiS)Q#=qm*MNBqkx(pbG*4lb9{ zOmrso!%ltv=|F(+gCrRXu~DaP;Bjsa-W}r^rD8O7F6nn!QU_YY%+e#tDc>WW?Aaux znZ%?Kfd7A_wah<3_*d80>tmzxeRf&Wh0j8))?vs3ps{bSZLD7Y$>88H_^}hViZbsWf>0byfGOC)Epc3FArt z&Ax=+VCb#i2oVQQ)z^DVYy=oSHGT$r^HwV@3_zjw`f5pMGRs)v<*ongb4Y@8CAgno z(LTE;_JW8I>)-GKXMW-*PTULZJ){1#=!UA`)St;X)47+0a;34`dw)z zD_&jAdGO>RoVtUH3;^V6K2id|GlKh>sOw+_D%W9&S^x8{n^=v3U&4E7SDNFKR9260X^I5qC(bB9M*1-gpnF=V(%j~oS2OD3bEo% zbD+hXyqSif4Di#iGgrk{QIrU_2+rH!1z1Qg2J;hT(^)~~e`h1dz*gjwfm*9hRbFzS`#x5{|If_5V&6g2Jmu_?mgP#{_ zcfpxK+ptyAJ*P^dufXAkZOG0WyK|Fu`3Alw{d4&qr?P(l85*tmtAoKy{Pp9~`^VP% zhYzAso}c|D+3Bapp|?9&pPQ|B1u_vQ8571?zegs&s%V*qt`QZL9ieC)L?nJTL#8Q2 z9naKV9-(t?aSe~PO6fKa#HLoeQ*bqok}uge7=q0Jv_1hp(G2+dgZ)q=9$f1r^$<&* zHIs=cr1q3R@+oKN8%vE$b5VwEVKKTNMk&{HHjVUVSD{EtOgI`Lz4C(irm;N6C!*NT zC-b8FzeRtjR|w0kD^H)ga$;u=OH~bLebSrH6g-nsn4GTJ#^+f`d9eGz{nRF3a%|+G zpxs`sUvgaPpve3B_~ubcc@UHc97=)3ou4z~5+nezuhh|e88$Rj#0v?bMs7B%DU zTf(Ad7d11FjMN$3(!3eINP8zQM_o5kj_pExZjt1-JtPYzssJ}^m zuY@^15WzcceV9Ak>^#GU-0A1i@y(l3r+s@Ja>^6G@-1!edCvFv=Ir(1f`-%NPs?)V z`sd@p{jL*XK=ii8G$fzA87m7JxiO^)`7BKRY7XtYCe-))<>d=vF-$=TSr(Fl?@PNR zGe<{)>yMoQZg%U1Ccn4P{_L~z4R=$8tmnQbM$ho2h+LKH_S7y%OK7D=4+Sf&>G)zy3wOpLl-+zFqf2C(y2RPV1!V@9IwiG&7c{5csEi7)I-LL$3{rtiqo zktU2$4K%yOoaNj&ykT2mgRo$zgp zkDvWJVb;~hg<%_MgHF+LH`xe<`lzwY0ELDf+I8U48#i*&*z)a76f6 zB4vWp?|w{Q?}Dwly#e4hmoT^6i7v?NYX~T>$W>&BpHkYduz3HDBpHaT zZCC)_{tU}=r2BcEIX$Gh;A50?C?2enE#n>+0}n0_$UUp zbB??8bZUrO68-SfA7Jj`i6OwXKB^B-T`?J~A zyeXR2Q0BQ6Yyu^TUnvXOg=yWhf364-3-s&E8YnAlfiE79T1hi{f=THjH%H{0*%%wbKflCnQK+~n)lPo8(HZ>1)AdZaq34}?8o!l z4Y=9J9CQuMfZXQ-2SgokpUD`hLcE0e^o7w;R{F^!5cujNs2* zkLRptVp}?O_@_fMlQX#&)rxc@j3wuda+@ov7A%A}=gR|mr))8Y4bpq>)jJn^Y(Dn= zGes|}c^kjOXGob-Y#uzWGTi-1&Me|xUK8IpEglX>QSr>;cgpe=+nX(hl}Z$C+%i-y zCf^pP@P4&T99J}WJ)S+OjE0M3>vs!@D5Cw&_4`+9P;fot(UVd!UN@=yap{j zOEWsLAN=>1pqO`5nrM;Nvx5p+Gev_=L0Fq6o9(5nECw&a@Cj2z<8Dhmc+88M}>wSy#_$v1WVQ-!M)3>=5>f`exw&9h7~`25H-p z`qIk}J?qpTHEWDvG^yIv0-_BXs{bk5uBvB+c^Hf2j?tw5i!#m9R5&=CN|RdHHd-hC zPZ7MLnF0LHf-C!f0t#S(VclUO?SuWWgSP*d!1==dWsFs+Q)9DSm0L#^Q3(lA_)@EO zx@oX1B38M4LL(_uOs5L`4puvZmYSA>i+g$Y$b=(w>J9cVbksi)^sMo2^#0Ne?%-(e ziU#Q_!c%6>R_9$ecBUC|6C+S?5D(Y-LU+?0*+#WCW`Pdql z>h`7P@IeV4EGlqX$HD-**rO?Hl2bbQU!fV6ChPVHqB2*3K~=k0mN;mN$nwugo>PWL z%T6L2s>6axa4w)Rd{ZH6ZX zb8S;H!1rC85|D|5>Tz5H(=~g3@^#F2e<~ih1zo4pGW-#XDiOJ3oWr3gJj#i8s&7tO z$=v%p)VjP@t1WPOuMTM5y{wj|k2G6~*%F&zKwoOa7wulelyh$ZtcWKfclKtv3@#J< z6+7FO1AK%o+b|@aJlm<4o_@~I(6T4x6v?yWVuQ|7& zO`4|Ztgy!O_eil5^4al($A3J*#_w^bs0l-sfa zU+P6DdU+}~;3e(~UQh?uZVTw{&?Jq9l9f|LLX3!Ld(a3aGV+uf-uvqSde93O=+(Y; z%i&b*;GW3me%r5N`JPVRlofc{ybNA#x)~DDs(!)aZsp8~=On)F($M**NeB^ZRSv!L z>@GAes@2d8R>{AU@8@Yc#Fl_Y~(# zwEj%}rM~NTWK2C(Ug0a5!EV#jUM$hNwwyw+$M&Ku(2o<4LV2Yz)PIsvF(>^yO2!7O zNBx@mWHHzOd*Tuq>3&$}39P%We%_GNUBLH|AxQ1&=+Qn+udMaCYd!~)13!#3bMvGK zt|#N9`HiUWBjs4k@tl;}q_o0~pB1ewy|QX$Xy#KI*&xsAfl`_7Y(`d6z==KEK(HhP zbNs+(ZPIYZ#?NsxR0LKKil&coTL4Ow`t(|^fPw=jTZxIi-tg}uKosV9N?gqxDaxc6 zzAS8%z322gpCPkKk(1=h$1@n4lf|4QxT@byC|eH!R^59P#prdbg};;0aQ&XV8y@af zKYz}?8~F6_>HXtPWV-NU;$fws+D=n+pCJapj!_$fjxvTF+&~k@T&qDYSQR-cu#d)w zN4EUcJEn$p=c&%+LM2O6L}dU*JSAgC!R+8X&0jzXjkViR9{Md7VquRdbEDa;+90i2 z%rve6h~Qb%7nx097@ul8>xWtMw&a$Z-&@xb>N$(^Mmb8T=<&4?e4?vMP-TeETI7@K0bBczBtQw zbCQQc;FhlOD(fhiXAE8F#>|Almdo9jnvs18BM=^i5aikPtH4R5OnfuJ3l ztb)^<;M~wL9>O1>0$EkoFu=6?B_MOT5TFbDYh$jAIDrS(H?K?3EV6y|WR9%7?r%Ou zyL%q!H3}cc)=)qw5T>estt{uCj$tzGdh0x#clUI#i2&#)(hqE!ks+WO)t?Kbw$S0BX<@%!T7@w zl0P7y836v+O-q8W5q5Azq>&$w9Q~_g(Td1M1TjtEe+s~wWd9B1LiImM^eGgaKVq^F zB_n(GFU62iNy?j5T$=0%AljwZFf^g6BQ5I^%%ie5nyr`b_Cy7st1BV1%b#l+c|D=< zS%`aQE|0^wmdaYnZ$3IIU`I{GeBY2nT`HzUVyc!}CjvgZz$-y^j2&1OgWnLDnMjts zOHpalCK+ET#^dq}V`(yaBqJh*I5A{3v0s?|OB7yAYeZ!A3dJQtDh8#r3gE-2z8RR)78jHd=2 zGMX?k*JB4$-ScmxbE5dXG68!8E;pq}a=)bf!Fcs<0ld$^pBSUobc`VzN_8mLr6eRO zkOUZj{_39)s%nm^G z7@}ka=`X};oWm`tjL45$b)Tdva)j8D_L(_@*t?D9@lV!KVgu>r=KX+3%_YHC3Xa9H z20+cZ>{-BZ-Xxqc&T^YHOk)1hMgQc!$iCP<4S`>nuMpux8?b@Ms>sr&1d2bH#{Y{? zHWV^+5yW&hRD`_#B?x&kRjPjcEJ|u+s*XPx(sn#*c%rD{R2_y&-}bjSd?K@LNT;9e zxqCU{9EI)u#wAabWNJ0pF=hldd8-agVv)_|a+U3&yXAbX)Dc$c+dZ{Sl7=P`apLY@ z_h>+Ukk!iEb>wI!j7|!;doW*vx*@h#^a{Y{tM<`K$ zF9N%-89WsuhjErilG?1XupivJGT4_-v^nD_5hb|JT#)t_t+e5;K>mT3+sZFtgf#^# zT@+EbNfF_r+dtA&3$`})h|j^|M8A)q7q%0iF<)~A6%k@E?B5e3x8^SCd`Tq6V`2Zd zWP}DPF{r^k`j6T3?oG3JGEJtNV52oRDRPPItfVM~+XMRi#MKb3Lp*~F_<}aQFoqip zrHcPc5i)yH-)EZV1i`E8F@@j*$TV(73_d>uj#tgwsN;>sL6D1p40@1e8u2%mQ>lt5 zqQDw`Uh-J<%&NH%c|2Y&2cKjf5n`N7zF3E30)1zR&e|HPLSg*tF6O5>7O!$O|4Rhs z8AxG4zwF7i(2hCOwbhalf67dSF9hi(l^+`pBoVcp$C^*XwQgPp@VzV-ltqc;G^B6n z6yLGFK_%tn{al$o6lhWUJWm@^gcpM_-~N?%$MLh53(5as>@9=pXriuB+}+*XNpN>} z2p&AROK=J9Zo%Cp!QCym1$TnG>w!DT^L|pd>Q>z!T{Z09bnk9vigRZ5S_|Xn8UmX2 z>_Kii{G%qCG3 znCpM1pqGE349>W94po8FveJOmuF-bHAA3R5kZKF;Rs5X8qk<-W-KD>SMxh2Kyd7;* z!MHK#Hl@cB2q#FdkR52*GBwyKt0fy8ju)#)DWyl(io&VOQV54nf0({CKH9es%6h~X zg@ztv1J7@cnZsz*#e{3pl1d$nr3D#pYeP9tw_c7-5pT23cJx$Wa;@m1z;f5>VfK3$ zM`T2qvX+?+x~j>ml2P!izr9}{HlK8Yv6aix_a`^XrAl(!QejbWgh8WFI^1`QaXP{{ zQ-49E+^DG^s~uf!`w#fOYnFPXPWiaWPe)xQ2?JF(brRugRAx51ID+ECR{ELNizwSG zwpA!0k9Tvad_41H_RIq>EtWyu zah2aGMNc@WJW#h}mNP=lFhQ^@{a=I)j+tpjhAzc}7Vt&j?(x-{O2C!}-oD*CF2`{P zMZw$G8{S9-F8mVy*GKcd^gn%zQ5-K+mf3efM!Do)Y!>1aPf0CN(?A~5vT*`H{PMr} z(EpCl$Ts_8B-=aqZt)EYiI1k95}2Hfxa0{c+|PN!H15-~^Zp)|nQTQO*Soe>AS_*j zoY?+AdZGX8t8&nSju6~AP?-7ClEi<7r8PytJ6h>sN*6BB3mp>U%Fi!gk!CV%%LKJF zqSbsmVi7T3SQZQ?nZ~W-Y#pXKkNX%l=F=flQcf#+GEV~afJCq7;%!UuF5=yC8pddq z;e${=c_Qk#38YsK(;WYoKL0Ph=)d%OuYc+3r^AHTUrKBpl|`@Jx@WO&eDU?1Ekv>= zaW>-WLw`Lp81#%Ey`W)mc~5v_g*l0Pb=U=%*R9=~^)w7%>90TZ$hNV@u_8z9JbH#Y z(l+2>)D+iTxFX;!?GV~nA(%l2&8Wk#rb8 zyEy5+TfVYT97)RqZ_pPDcJnbycyXIKm4giVC^KBF#9ag&dpQnvn#?QvV_-%-VH$Yj zq*2n}^w$=Ir-Z&jFXn|eZs>5LTh8sSu_%c`OC>}=LbDD<%h z?Lf{Hy0WUe&4~@%{r|>e9@WH<@$?$MQ8$~S^xDz*a^zO%vad$QPhptSNK)$jmy zi07c_c-S)|q^E|5sflXmn;fUjHhn#rGcff#m<`>m*+mZC1XktQWK10ToDHtny-NYD znk%2VBE9HocJCd~AdhDhx#!j#mrpX-CQ`ddX9bU`sb-8^ulvjl_I-h8LL0k^XHa*< z#AyyLef-#Xudd0ccSOFm#cDvqOM<`18IK-30PhpVR&e7imSPbyea?sQ=}U<&gy36l z6K75^ZZYblUMoB`65GDbXG+=EEXX4SHC4AGxkx0nNL#a(L zW|0~j@K{aOO>mGZzVKGqH{;0!ihoQI!$2<~>qnl@h~!d$&>*J4L#1#;XOBm#?|qb@ zOAf%JOotkga?SmrQK@|8pAKJR%Akl4p6{CukCt32gQ>P;5U)b35hZTK+ow-TO)InT zZA1jzUY>wEX+a5%kt^`P{^4i=%B7R}x0}&2)?`b}wQ;sF&SG2w_z5mhB4kWiNiX(^py)^>ofsQs} zI72HLZgMj);Hz$O>`!pMB#>(OxtNr6iS@y(4+bBnVYe4rz9P8TewolZkOruBLT(2# zj~;$R*}mt(4pSy5{x8?G!_lmd?c$(6Z$1LU1+4nNgc^ zPU(G_h&eQGbB|J9Ale(PYk4IE(#AOTzSDcCb;yGd3iAh{zunyFME00|n+Cts8;)mv zz`$s)%?lMSBHyA%!FsUU^15fIOEJ8Y`A$RiNkOL4lMi_bT;)P57+Fsz1?F~}kI>AS zO1`X_5(Q$r&-&_9td_OZ2#Ezu_g}s{2qv+vcpHVy2uFS~9)Cjs3d(G+t`VF(Rj+bON$ouikx7i|B9@}>GaChF+BfbR=m)tFFl1e)LN z+bfTtK&KaIo3bZoV{;X}7^;f*e0@5C91??4`N8IpS#g0@tCsW3<`7vi-ch@m!`HAXl}A;W14X*u{r<#JcVY7}>_ORmk(zd?-4~%YSs1yT6LbsCw~nb{03yEZ zl-tHSO!B}L3J2=nUiWU^nZwaMv$sOB>5H@XK|jB6F#376IAyVf!S`+mK3@%KTz!5e z+-L3N^?2VHNrta*%DNhfjX#Pkl8^T>}dRO8`%dY!e1%Z9yB6K(KK79?v&G>-7GdZ$|2OgM|y`#r4HL#9kjHEEz;v+_GI-g{UI;P2ws7#GxHhe!t^~4 zs2bv!3JBLfcts}(FlZL7FAS}=O?ekH#2oV)7aYKayV_qKYhVz+_cEfQ;%|}@$J@_% zK3siHk80ke9b0}^!u9GEo?iR7^kHIIXSpz z`in86g;%F-NTp+{Qvo7K&lBM9cDpj?@_>o7J0-_ZiNeG9Exg`F5-ks>yCMIcxQiQ= z4W41K)}k$aX&BheypU_)DW+e?%R%GaikSM8KE} zmmCnl4zCn2`Alx9%X-u^3PK_J_F4G-TRRzNNPAwbpE`T1bfw;4>laV;6T_W}c3JM5 zhj|;21uuH%yU?RHEhuj-H~;ZQ@ygu^1s%LwTP)CLpy%L$1GB)DLyewVu;yqpg=Ej% zp*e9=F0SY3=}d59e#M{ZHmRA!1KaM8xz``DDPlW}qIoi}_83ue-$A#d_m&Hlo!!~?+ckeW)gQboa&bdpiJR-* zbgH|h4uWjS3K_C->5P6ul*5Tls&3Od8qiLM_EEVS)j5ym5?tnY+O%Cs-_kUa!s+8f zLY2|ef-vAC$BKflHYH>6JS(vPt`s=(Yu)HK(n{{tBl*Y*A)U42r3#f?=D}*YpO+kr zjF^`sr4-39&`~%FWE@6+s?{93%~|sAer>P})_2CJG&_YIm~-TF&}laqsfh8aT|#w2 z3KX8+&>!$+_@^0+EPQd;!W8PCFHGu_;G%@0t!E9o{AJ;09Itax<9?JfRLi!k&yd?$ zAWj1xX-k8oL@SPPo`!+8J+kFe@w8T~jfyt#i&n$N!!0GHcQK6tAooyl7-QuBmM-Q| zgX@+uu;Av*ZNKenrm{aeEj)Ao3cSvaM(c39{{pz8TbAl2=?Et-7vpxZ18~NqH$Cp> zwev5okw}p{PIid3C*TaytPuwa;IKy7Wa!qZ#F_Eq`(_*Dn ze0isFB3cND`{3on#~K5Bmsj`VVAP`;v@ z`B@@M*O8U&^*IMhQVbL3;u?uQ*l=#pS+}f=Tjm9euvxsVI7G>5oEl(-B}@nR0+aND zGJ?CmA7koX#NX35L3YUOVOsB|XQI@b1t}w79x24T>eJRPpN^6FeCW7RpI?Phu zB9vCoOe^g9T^Hb>jhOcpeLB>b`4t~Xy5Ih3s>eDjh6CaSOV7WxpIQUH(LhlovLtc_ zg<+{7K+Qil?Bo-jJjyxYpU3U042e#ym2w@1aN9ri47A}~#TK3fSiFjOuBivW>g(Pn z%cm?mKdqE%KM`UIbgjdANQVGRcV;y-3ing#3JIS6E8Z4Yr8>Hp%p)C`q zgO3abZXh~wgzdB|Tw~w=`iK0fla(zWZpio&jNYNPiQQ2H%3pe8j4!j2Fq1 z|7{*^GCA}E;)Z(xa`6W7nL5{thnY~s4c6tnR|JeC1}4mBR;Qw^=9eIm_9sJ@96pQ*$^{wyTZ%Oc{ADtx5Zje*sYD-C;M&pczbLO(PKXUb3ndkz zc+~L%yKdUIHIV?6Xp?p6o#B;Pubh^!y^%ha|8oz7*+&i^NkoG*Ne(` z{*>>II^)#nvs+&YDd7LkuSD^;+h>BnrE+8ZbdK`{)%KD%OVQIN%uyzgA`CvrWh6c@ z3=svAN=fv!f}4#$WgW)@Om=8k-&BayUy=eD0f#xgVHAC_k|-97VqXnbEyM43W@`2* zQwNr5lD6LoG=$vmmOr~UyeXLQL^9FekmAuGJ!5`e%986whtOz5vU5s_v{My>^})7b z48gUe7sY_XLB+3bC>%UQBNC0clFg{c%Efs{z)&N`Rx?o=KxlNXr2f^| z-RnxNX#6KC(IoYhlgf;YhB!(UNmVY~q)ep#PI^9>DEw8U!4N~!8k1g%5Ajw;D=k?F zUnGn~q}L6cUKUlb8?5fSa)y?wW37lET4a1Je>k}RHP#U2i^Oo=txsU;AC)-`4zksF zETM^H>SEUmt+Lr`YQ1L!$X^tiDVxbqh9FtRcO9!fo z@Ir-T?J2Nj-k|Kx_wunUC^+fsX z@>Y^kM@e(%CVOdd&BAD^`*x>dslI@g*D0(B2&6LgbnfYlr4O{WssLwB)6kaMs`*gTf|=5YCig_`NWT86!I z*BLLbK^IY8Mi{qBYv}FFSvMLw+5fjo2*MashX6~SqliAiHrQvW;3hC9!63+;575w$ z*!H?X)4@g9Fbs^<#6SY}RshfPZU9frE|~g8Q!|*;Mlrsu%W+@0g9x(PY;r`TJSI6x z_zfXS_#6&Ocs)8wcoGsyxC^YJTn1iSQIQiVc3P+l5gM!&&*S@x2M_dQkP|b2++4Y}vi3ur3;U<^|@Eh~!cE3Vpu? zNsdoW#--J_hj(qE)__jXs%03FkCfK{ia-Hf8KR5cgfnc4X{3l7)URr1hE2ZB!Vu*| zQLxHPVq0GsRe@p7YUM&As~Mgincu=M!V7T7>?~O-Wo*-|QaxP7HGL#Zu;RVrDJx>Gp_{!Xv@Yw}^ z{#iS%L#T{V?4QfWY0MO3oIjJHY+)9C;Ru%Wwq=IpDd6*w1;yXq<={R3dJ5fqH(1@l8n|8cgae?grM19!$Ph^qOZ0Y( zt@-t@2QUPUDCtEE-zX2v(@GutCdz^}wfDZ^{ABD!@NZu^g6Cj>)gM(--Wq9L-dlbe zJ%O)o8HZ#BDp(`A^M;7gm9|w&=}B;@9NR?`>%vQ!jyw^Vrm&|LiTovt>vFty3{R{P z`*?~Z{cnk|=Z^E?h=Q{~Le-9BDY(+!h|x3{x)~cCISq6Wi^(PM0Y?r2rZ}431Yd=n z9WNOxiIU`hE_NwY*!ez>0dmJ|mZ@UUL5YdZ$aSTML_`=sG<_?h3rtaH?OFOh%}G@D@e3lNL3;s&%}g{PF6XVGygRb=o96o3rPg} z(qJT@f>;uPnu^iUd-EEKu#gC-IUzAaOs1jA0%^9TxtJ;%Ox2?qifu=iQDj8bJp|Hi z38)EjRuZuK)#;O*yTBr8nAQF$6u~3AoWVsJ*Cb0m^$OBkBBA!uCprgXP}2{MsnP7w zo+Oju#qm?^#nZ{DM7I|+jb3z@Kf6P|OjFXs8mlInfU$m2^HWqrsFVEoZPiHtD?L?1 zKtM`5iGnJ6i$4Sca}pcXRaUg5Y{MLp&SaWSl)Wguj;`Ca80sid4*j}ME05@2Dgxo> zuVmS$bvk8S>Ewj3@puLPm+}M(xI@XP?Ef{ z@yC?x`x$j~!l+cx-^UcxHK1590!>c2j8(4YV-t6y@#G>em(CJx1eo|ww_Ynwt#`lU za3l7;zhT2^Qfc0bd{EHkkO{L2hKVsYo6;2LZ-c?tldv=*(}wy=JyFuKEG1&KM1vgm zbHSCmb$ja8Ht=dBBZqHym6>@Ya)*rovein>mCX^4%o}G=N(Z6Bo?J7(J;)F(X{L#J zgwM=94UOWW;j(>RR1B7U77SUqe+Gu2xLuO7igLfnnn8@a%=vUmyP^xmB>T)E431(q z=eA#ZHCw7}nK*;yv4u2~C)_A_!eJijfy+%yh$s<4=YlL)=E%YaLz%_XTSImm*BpR% zBGK$saX;;}y`YC594i6PSqJtk)FNVo)+c5gNM4s45%6 zE8=1)%WeTPY2zn-=I}JvRcIik+U2}G`ntZm?Z#J2goyK&fnFU$UI(q^qaW9Jrwtd2 z5gIP`M<;J(9y=q1?jCk z2ilj`QK;dZFrtKJTI{s#!7M|L%IT_ALaXkh`iA!G+vM|p7sDnr@DV$Au^xvmtowGP z=1~{B`xDP=FKv8f{p>g$ID68B!XTj$e_q^~QyL`!J;B3`(xiit_PiE@2e5XvS5l+Iu@RncHV9w3v~du)Ce64rh(?_rVV@ zZ93+*pYcq}eh*R#KrFb{km)LEe=EChwxIP6)TUR3mVNia6T;0UUyLCNdBindMYtjy zE@LJ-)6k#IbG_gZH}mSVO|GN9ih-&YGHP~eK1qIVUl;a|SXUx3nL1@i$PBl@!zJva zHXPK4ZItV;*9h?EX;GXXQee%r-c|`(`i*9fRF7W3UND{aX$QXm*RjZUytXdp9rDb0 zj`W;3ifh`smC7>ZETjMcYD_g#>}~;|CiBhFd)W#gDy8iH7ian3aoClA@#X*GF#kKA z^k01Hzxa9b{~CujQ_HximoVg)r#^y~?w^McTiNd)B4XA^El|>)J*32HK~^f0n;(+? zxD>7BFiM7|jKSQ;HH0bpw-A*K`w}#au8GY|#n-XRk8(Yu{)-#1zzDDIj_Xl zyUDjT1;Cx9+n19YrLj`B$~7jeCtq^Hxjft5Hy)Rz`7pvqr7>F3$}AxMH$V4Ze#>px z%WKD@^|X|zGYyXO#4_$r>b+k>*i*(sj=Zd-w`p$c%_ZGtZxo(0s@1m<3{?jKF7IC7baizAlVDm}4q9Dft zY{yf-H~(LXqei4x7Z#U5Toj&y6N~+Eh#6eT?W>RbeM^OnOkIxML68DTFo-E_P!T?^$A=nJ(Ky? zcB9fJxz*YCY#QS}ohE|UnstNZLX&{gavfC6&Ie zFpXaPFvW_zDg1TU?4W)-XL;hoZ(3a0Mhxl6!g5cqafXWmr)msgy;BK9eeMjiLOAim zEm%Uk@B`-oEF%V-+53@>C`ipR&tYthl7^z^Tke-XM{gc4uU>h$`1*w=&}uezcFwZz zEYE_-yt6JAO;_Ar_LMC!@m|{tZ)hJ5c0IqYtfTq_?w8i5WA>j5Z}Rn=)T?YucVAAw z5b#Zb9W>m3_L|RIQ9RL#s)cMhf8Wpc#eMTMWGqr%)6tuG#5)ucT&VS85RAF-J)bQs zGQ86CVkuYc_mYD-5G3Wzm`h+G3zWQMFC^)UI->Jq_+~Yd7s4Ad%VQZge4(+EQiAAM~vx5wEa5jVYj zjMHzPnHke?jGShaNEs$>T(9OmZHhnY=xShGb&&>UIFa`*AvY1`Dwtx1{enXqp|Lt* zf^JTlYbTx7-maDH@%*ns#Ql9!DWa!hibLOL3`vPjxs67Y$LgR4-p=-h>+who_#ti6 zDYqUrUKx)bC(Au{aHs<(sI-?PL zEHW8xIraEk#Lr#`2zbs22=S9x5+kze(PD|M(*u>>MBeU;GikvyQkq z;ocqc4fSA`H%g(@(~65<2145jG#9KzFhZR*Q}bBep+7B`__WsWp_H36>(3nFds2)r=x$EAOE7cH zKgZxL7Z{};^N|!7z;G4$FjI*Y>C&sPZU1&}Fzem574!Oa;^=LCuSWRY@%bbzt$q9T z!tm5C>QJH(*?i2(!Oi)QaL$**t<9~QKq!ZAhO@eF-uLT_1@+g(ax+sez_iX?t8`V0 z@>t1TspatOXs^;?O6W^@erXQK^V+vn*@3#Dbq6jUK7BcZ8YV2N~1R-$4g2+^C~jLjD3E*s=?y z_rUj$*R5?27~=@}1N-&EQc( z=6G6!DwO5GatLCACwSHi+}UC{{@T{9Zx~RWHyo0boY=&a%+wHaL3kCd%xfT4gysK) z`P5l$fi)j7iT&H?xC=m99P)~ zOa1n3Zg1;#K}Hu@A3A#Ekj)MzMb1Y z6<7PvJi@!m^U^*AIm@g_wX*Ux7q%!xG42|)j>~rEe>2N{I^|Bvn4)KbVyWX^D@*-Z zorKLz*^kb1mjJJ!6m@zei*in+{dmgXy&GkHmAk3=@@}2*VsFjLrEAk)xWG-G@!Qh# zOOx-+S=Tz5#9ZO2?J5r=_H+zmBtzbEcRwNO3!hL@qv_lV-zW!}uNu3?#fyoTpT- z={8I2&XWTd8Z#!8{XiruY8HKPwbMDp(TAe?2<%-0O>nUGQfcbP?mgxBv!4N^l4%n@qtm7MqZeV2GBX_L_Z0rIxv1@vHs72Ot2?dQAo4##qAx%{x5g;yp2cGR8( z!N2G57Xh{NQ)u=KbgVXgw5%3yT*(cls5V_=MiAdTB8?3YeoWZ@sI4vFZ2`JX^Vk2{ zTFkFlFy8C8h@$Sq0 z(jNW(p4rlL9@v3v^9NpkBX?mWsj%Y|&R6hzc0i$b^mrwX+I+ofr`(be=cLQ<@!bt) z2Ym<08B?b?q$W>GiPB^hy| zL{jdKG$$p+lb~|)GV={C85JTvjG>+*oq-X(;=K75b9?vW^SRytWMo0qYP3 z8$HF=RNtTf132%=SoTqgfm1r9h$UC< zy4}BC_j7e-cU9NBH*GA_E0}#0yIlCI#%LwNzn(bGLJOD(?3xz<#3*|xz_I>w9lffo zF+~bIM$0XlXCYFDOeZRhiAuQ(L-S!{9lm|u>{qlv4s~kz^(-k#K1|CZb#vlu$}l=z zQp<9+3|JJ0`BR*Cu`3*WxO(93>3GrmdfBU3h@@}>v>L&k zbpIFJR-pgcH2%Dqio=l5PMwL9=m0pajWa1v`(*(K?jM>c8w>$#?O(IF10E!?o5mTg z!BMLYW3m+8J{`x9|AV@9=&z94EWl$w?O0~+C>5A0CQMI?9Euf>CH>u+ttXjv0db+&2Yl!&ROO^TxEdZmDXmBA^&cut>6!UyPR{^TmV<{U;V}9t+pp*l1}HY;0$X8u|qkfe~6#Lbz0~N zHOAjN969ZL+IerRAZAnn4>z64dS=q1t!@q=@JUj_xbXq-y)*Bhfcqal^bM-Zj&qM? zU$opKELEu3ji=AYf%DVyZN>=9T3xTpB?{$idoHpX;--}wUeMT93S?_~7JyM+3HD6! z&6g=nI~)wF%kRNVY$XdsvMPVrjW72BP%*9YWTt=1Vd{wwu-c=X*-fH|7~=Y;x=0`W z|5jc6B4!DfChUzZr$!YIB?)u(sX;*rz%>n6RyiS8;BHdJxxR=KPHwkQp^Tv=QUG3h zYCKH;qq?Zw+aw97E;jo%AI)CYF1@-@dCF#f=AuMFcXAi0|KvIf^liZnz89pXgOTJOpQ=GOY%pbfz$Z zkK|4xOGbR(#n%#BSZH&Yi=<3%jy3JKI2tno+w;$|audnQx2;Vivk$NI=f2{XSV&REhFpy)67B{5^WqDMoypz+@8#=~zPj1g|YgXgaBG*maD& zm2NnFxlF%`^+8>oIkj4;+e=%id(a$?OgwY0QHUXl1C|YBiBcp!fp)|-PDN82t~9wX zrDGiwuh&>BS8keEirpbBJizL!>yOOZss_Htx~rcbm>KLJ$AX3uevXVXeIqi7LH10| zL&ir$*TY}>D>n~b_6>r8@d<*5Z1RSOOlb>flR)bLelFvnwCc*j`v#*yMy(iL*1|Ef z9l#R=G)|x$09faDpvg}c74hcD$r1cfb+Wnzto1a8x`(%MV71#^O29Fo2NL4UsbaZY z#A+6Yx8)qPTiq6>q|t17vy2*l=u2yKh!4yXc2m>5POHnI9%ni7 zK)f!TJzd+VPMGmnydxMxbLmY5h$;3uu)E-xirGB3DGLuMj%FmWb8WHNC67h25E<;IYYoQjGHABXj zEC%TnmV5aF@`?ibdPo1dTSoQIHtK~`G+7KJ*pF4@`A9#l^^Lg?&PHA*=SQae#uKrK(&yw|WYmulpK-GTtIKrb z@>HWlB^kB6 ztn5MH;jVUrB4~sQr8)@!b`t}2RyxaxAs_3b=ilGPdu5ldrUaxJ1*mo4iP)P{@eJk; zJ=|0f+65*l?6G@Zh|D)oR@AUHRMbUi1aW>2tmzwhXhdp3hy0j;WZu#2rN~e}p&9xV zEIb4klw3ONpDV^8)q|%W04JlGQ3&P&Ez=7-(yff1Q3h`62th+8Ww8UHcKP?h9Jp|V zq#={|68kO$IEVj41>6IA=@CZ?(7s1wk%*3j&!nqLAq;1Al`g?o;nBNjJU*z??FY*^ zVW$VI}0 zDUV)IhJCcaOI?7dm9P3itp$~w5+=RCyr_L8Iow$D2Ks}V0(!3nlCosAOjVU=P*X?+pqmer>CZ>It|nmQA0&AzNg;fslo&`in2+@M z5}#;v(51UEq|QW0-p8`mxfbqv5LoxCTO5pDdct@2w@ zE*k?G=Qs)tn@Xwq%Rb)7ZN1|9JX(#Y{UNCY@*nH=>SZkH1q8TRtp%hoXuq}_a=n)a?4~&$wE>(l8-W?ifrW=6 zWDHO2V-)w^IJN)*avUEMOx_C}`x0DxqBg?kIr}vBFf4njSL+2uzYQ66W&kvg%;mP<)e7RmhZ_ z$EdrCSk3!YYPySQ0iETp{63Fa4qT%cl~b51U& zA7`Z{3~HC>x1UBih|q(4nxe>N^U5>Zj&T(4OKSK~XO60bCkguD5uWBLs7|pBOo!~A zRgn^S=?mf&1guzd0mV`S#WH6Ia-Kk}kG=)*`(^^TdDta{iP*_g4MOze>IyNmNDXV~WaZI@CfC%5DG&R4TPVVUXHPYPl_aIH1xMW!jKSo$8pU{+6! zem~pWDXz!URcWhM7ri6*=*sna<}?>rAg7MORS);$%mGa&&hAAbROoTthz&*$%QO_Z zeha7)5^=lnV?y+N9-^ezHI7WFEwJ8`57TSN!@^NYo4Wlt7lrH~KvVd;7|c?a`1ZTp z(d;)uX1c-WSt;c8@mrIlp+bJOb-YEn3VHN)QNoVn@8mo9TM9@SAco0)Ka;FhD66i_ zu4f|Q4<{{jWCZN*U5+leVeuE$s66ztZ zh^uUC_2V|1&k(PqPoe5+Y9FP_uO{lt;&_6QM*F0chbWOV)TVE(2da|mXl4*R_}RYR z70(%1qza#bUT_b;?V;cIR9vr+9MgSVL7N19*k>sFvXZ6&{#LWb2gns>R#k+E10bQe zfCN#DqfK2FA4bD?L*N5MY;wwz#KM(-o}lgXuIit87&+!NDCYW1wA+tGRSJ}Kv2Xvd zQdEwk1?9k0Sw*;Kd^JsTR2}K}Z}&d{^R@!l6I#(ReHthb5 zTNT*}!#03zrl3vL90`d8rt->#3-!d;eIOp;`wu`B0H+0!5;VvtiT#*Dt7AbQ;kyPH zZ4Q=ud=a(QsxnqIE$TFS-L~JaF%Slp3_i{ zYHC;mzZ2rS@&ABdN$s7!y!J+aXWoW8dET-Jg>>;5%hsa|BiGlv-s~Uq!2_$h3JA%# zVo{<)ebqblo`H~wG@?a>82*Qc7RS0)Lf0EV8#IaDlXD2y1^C@z>Hku~6=z$Yd#JN# z264@6``M_9&~oWMZ6$KpSbfvjHRBwj%$P0tV&z4&H z|CduKKJDFlJGZEIq~0S?;{9x}AgJ993P|&VNR}6t%25v*^a$0*XEJXoXkBr8x0m|F zr9BR|*J~2}AO)A{%c@5<(NVY`JOKu0Om9h0Zv<2*(p*28j8g+g5kGCtRCH-N9tzYF zT63d{!h?tjW=uYKWrCxyIff%5h8g9SvAif&iZ5=={%;*8NdcI}xnMF!>I(FLabBk_ zxEWioys$5GvLDizgzHonuA94%=P=;FMV9=g+kZ-3JQ$vrwxMwSHEvNmn7D^k@*i_}Dk_eg zOVt9CtwXvBGMOhRF-}o-DpxG3G2<+?-yU+kImxm#MZ&ef3976jSu|TsZh9C?HJVnx z)!#ai`DuUxG)F@hbfXM_PX@UWR{~$wG2vF&* zl4ZwyM@#TvWHnfWS*+Euu^@?LqhQwOZCFBCFmOb#3Dq0vps8aO^DYA{iQ*2<&b%CK z0>?xDiZD7y9k<{$;RM1cXP@xcf3j-~*!S$LTJT)cCv}MuH?Lr+$(5J4D3wiLP%f#y zAA2A%H{Gik8NX#SF5~Tcd@aeoMMWPUe{xIV4JLX2VO>MJ$qx3Zb8{OxgGilCJXvlM zkYVICEM>&I-El76&7{MJ)VuxFbT>y5c4X(((CyS~sw?(}Ch~Gq<)LRflD^;L7`5da zk|=2i@jLEPW2cy3*zmkfjCQF6zm7eX$G^Frq}oR+hu~oK&UKILc96BE*9&HopU>av zUC=Oey^+5Zi^AdK*|eUYSBty9nB;l2n@YSO$KrD*8YFdO59h+HU*KSxniDVw!b!UPwjUlXuAnwjYFa51GKaJBC;@Vr(O$Y{$cEZkEJ`CMpH?1v&At6kx>hkadeL}bxU&@zkd zl1T%ccYYThCBN7a{L%wxa)qxNfwQwdKI*e=-cJ&=2Tj+0az6J+^N}9-Q zJ|`Wy2|EZYT}RBXYWBMbRYoGuZY2?o<>g+oMULbl-zx)h=eKZ|_ES5oF3d)Y4yBdAffS8MZSJ(yH~8K<-V7ZSp&p>kX;s1`N- z-Y9&0wCTkNsrF?#v1A?Av>b#XxX6J#G%363&ym{X(AJfQE7hMbfY&C4cH(_-1wqlX z8ps}o6yz9O+$Q$x=Ipt8P|c6DOuK45tT%m+ign>_&L+HNit`B^yhM&0qN{U>?Y_0K z&}Mjp*#CwrtLRDR;ZGXnu7J<;9&t3iFy#409LIaG;laV@OjxI7?HhJWIZF0meU|;G zG?9@wO5B7zZYvpLc&`&~CwId#e7Mcg>J|TTtkhtwNHR?>A4yDWlZmb8$!Jwww3I8%}P|_NLqOF!BAZrm#hC7s7Lp?xHtpuR31L zGs!at4*{y5EnHzR1m=H;Q}wz(CpOLHu3n%uXI412E(Df$hEV35u>Q~YE$>&0EjPjb zhv7Lxgi;bc#bdpey@bq$7t0E-y+zi>8Hs@x-%F;FIvf-ypGcoQ0Kd8dgN84W2)19v z-(e6p`2?3xtJ0JH`&lcgwnmk&Lf;8Aa<^xJpP-kFGTZz+QS$({&ER#A8<&1+2HVvJ zc4^>ah++q_lnjrUSj?mNNyFPQlj?c**ZWQP`fm9L(yW+Qnvh8!Pp7lD!5qz@=4H!@ zXWsvZv2y^9?ECh8FtKghb|$uMJDG4|V`6h++qP{_Y}@9%&hP)f@BQzqx>Yw-C!JpV z?7i1ny-#C^HNp&BMZ^&&V3NrB<#9ydZOQX;JKiy0!ni$Be}A#cn+VJP9n7UcT-pp#Zk4Ta0B+>^N7nib|s4pPDl-nYnBphbufzZ_dO?|rX#J9 zxY{rCs`p4RYT3#~0@N3Ccz~C@NMQb(;vz-~5a2|m2w3z07})j!c1z&ln%=*Nmgw14 z?`+_g2q{z$>Oi8`d;&cSyX1~41^Tr7tW$f9v% zD5l7f(!M88#{EOJToUeDCGCps&dxI{|F0JBi=1K4$TgF)bg$J*Ry(lF!l^ud9`qK# zY?6$Y7BI$*eS^~R2<=b=lo+FEG*wLHsY<`sT7l(Iu;g*uFe{S!{ckCrMDfIz6i=~% zZw63e>;O<=jQ5$)lD*uXO(T44`CkwNj|TuTRK01o1O0j}d_L=CYb|ytGvz5wuq*yk zikDdaE8hb=x3Ha08&w((BkUzm3dS%jf%yl_f04S%PVz(mky-*eGX>8L!tqK+rGdeI z2@HzroIz z>y7Iu`JV&y3Qts^r&Y%`Q8m$>Z{9#PI!wr>Yu5Ep1cf<~ORQG4`%oqm{i00RiOMF{ z$G4e-na13l@PslLIj1zD>SI3)(vAM|;ifB$ptfI= z6TayTS%9HgV+)pcd&YKX!t1ezn7GRl>(ki$p+yVKzSB(lPMV$npAx(=Q=;Wxy%t?6 zt3?_Q_B4_5CFqDdn*Sk?V@P)N_AueqMoz0vY@)jSM#{X8vg#ZgRruey*56Gc0IDkl zpt_r@jcceN5%UG~|3qW0e4yn)hctyz;UTev!P1l&d#c+1kBb3FkMNLHfzHdRMS7j! zvmY>fSZt?rS#4c6fb@ky{d$$grF!1+p_#G$yx`JDvQaT36y#19k&xj2BT*pOn}_r( ze&h>TJ+HS)wBD-Dh?(}w(j#>cu>Tnn$3~Tu!EE4hEI_QK2W5&oEYG$XOY}v}kSAWB zPl>@3wd)KBXC+6xtgPSPHl2t5jzmx9y;e5aNA{C1;TpHSl1&^L)m;X&gX`-CIrVtY zAlE;%o;Nk&od6pc%gVT)2QVp7 zW4187#~B6CtFfS(c* z+0yOk_J3*dm>^z3j5DutEtwXdbsiEh6O6w#NGm}T`iYET0z)J;Fai1ZASp${vT%`! zy}m0qVB!UkP%_nMvLxg=!}}L!pqqxDoU;7M(;$JmFlQ+< zUMOVvZ(QiNQ(!J%MPbc{w7~ZF(@%&ur|8@Mpdn)m=B>~uOlEeXH%&Iq?uSg zLt%nZrL16tI{=I3A+tPDojCry?6^vJX4mwGluKo68atLw;%9_8i#cVdvO`ds_GQ`3 zVPOP9w+iWCh`w2)8ZsKbPiYu5LU*jlV6whdeBU^OiE=nYw+dwpL-!1OQ1O>-o}oc@ z=Oj6;;QAiMM%nGHa0u`#2s_SzKu}#zpIyQo9%4ng9d@- z{RjlT=Ou3V7WxU0&e=jkU*p@}3R(!ohxm_4b%DZ%>Hz~(b>9IBJ5G4UyZJ|C!l`xu z#*)Lsx`8VZkV<0)P%7d9U0~& zpL46quLO^ja-}>&xrAeyOqbBzmXgxNyU*eKdd1|(>2hP2iB*@>WtTMBlOGn)#8_<# zJD)?928k*jsY5|VqkbT1>&6cbqP`JmdABP!x?MZoBED+k<)`Z%ai)Od6o$dOeTsycVmTk9X@3Ep*dOK7@Xh5j+wJXCs@0;F~J zoely>Na7F*?1o6<{t$}j#Po>rfaKazCR_{kR=Pxx4fqECHB^IPsfjh?UW^<;<@a!>VLX-%A6WEDv?rHAC07ck}SliE48?kOOoSUofD^jbHc)GB{B?qI|7p;z(VXiuIbay=5Wx zv2An{kb7|;NB&w)1yp=&axkec#OS6R7=fO*kKToCWGZASuG1e}mMP@-IUFE&A%;3u zH_AwL@K3j0MVH!gV0PLgSztNMpWv2%n62XU*6KtybWZ8d5pI9v967i+l;{3{@SWqIsus@Wn8~4nOvF#*{0g_V9d07@O&-MRU}dlV^VL5^naKKF0-PUg`M6zSb%Q$MNBmE-*7#HzKk^Oh8dFl@_KTP)$yONeg5j zlW_s6q2MMe@N^~iLQ+UHLGKpj6zkJljMm{2d@ZF&twI?o%WoEGP963bF<(9f}me;T) zsn{caqwaZ7CCrhXg%k4pAh);8s%Hfch>IV38yT=*77LH_Duy)7v)}972q$DI-!y{3 z6rQ&bWSRr$_H_LU!A`i6hzla71*ov2(b0r{0|s)Q3&;KL+89n+c+8`v0P9^uE9J^U z6JV`;LT21TKt~y z&^TBY4VTyp+ib9=3o0eH3I|^Az~f8m0<_AXHi&`+8woxwlo-XajxN87@Uig$>OGUd zLfb#zDA?WA0O~y$DM}K>7PD-S7T=@Zs4H-B9ROPtQ zN4M7uz?P<}saFOBVSV_k^DDl@du1&E@>E=Xr%ay?pfcdyVLjwiT%h5$0Kx=@Zi_Cs zzlS3Pvx^KU&86rQHbp+=E|K=t76c4vE9h4Kf>~=hrB6J}*1H*N%d=nfC#Q3gxa{R& z`HNU3oYjUob~U7yNw8_^38KaZoU=m{-kt39JNq|0gmZTj^dHb(+-%EX`fHfYt`P>v zd96f)>$a3|u*NjjJb zKv!whF|q)3wX>f%saK3G$c_b=Y=9lQq;SYMp-D?#CStf~;{KBtGaD941o{L(w9?>W zCfC4aiO>KsrNI_uM?;MjssVH`?KXAdzAwd##T>oB!$Sbbl#bNYFr^^KH^p!90kKE!CQ4JeE! zU?~Ui4df`%oje$7d>`e-TtfWNct`$5p*5FZKuM@#Hl;}bR`a`M(8%mG8uQElwy zNj6)VdA7QpR0OFdU((E;2D_!EQEs}~3QJ)#93p;L@oo^&5nTONQPiEhby5|r) z1W5Qg0202G)RV!vs1T=u9Hz)*Rx4O#_)`;0IcW$V7D2c08b*}2R;Gfq&a8Vefsvqw zo&tCo!#uVG{A@l8;8X(su}yQXP?lPeIS9j&K_b#XIB0HfL^=vLlCpP98@o&p)fUJP zz{X>u&rsa&KT&t(2Y#dN7RM-RFCUJ!6bPQc{&n!tg(N|mO%LT73C(6K=6ASGN z75at#ViBs>6IaK4G{*h;k06foS4N}1(m0u51TG`$7lXR1_&0+JNtloVu&Ia+hJWmi z<^N^BoB7AC^Dp~d>3`Xk7yhxo)BkInlK-;bt&GCdgKbhyBrce$B_h8#6a9!UzBeL! z8V01^k*?``HRh`hrW^zo{95 z_+)+W^zY|l#fWvfq(T6=8bhTzvjSvjTwd5lsHVyE>!W3EQt-~9H!(FzblwN31=C;c zKV?1nSS{j;gNOm-%#<(D`75bpx{q(7p5+UwSzKliaW~+64xYip)A(Nrs;2YOX|K5+P5R^T7N~VVOFCJO0Gk))=q@Xb-ytPTw^N z%(1$BZ_>FF)VKNX-VA4pbHkvK2hHeUkyqaIYz1qmDPRDa1(pc~5qU5tuPx%9Ileqf=KN!4)z z;mOioBVNHOSacShSsgeie{#pWUL$Zy1axCR4x#0903lm>yC!OA1puu>W-&?Qf3TDV z67f9>gpF8VAS7u677WudCX3;_PE*dAP$K?V?>7NXiQe`U;4eSTEKnDXi(-P{6NC(y z2KSEY^vbUofT-M|$p&Flx{~SqDwP`c_lAW=m1W5D++KD5z*r(qbnGuV?!zKV z`Ft0h(oap(Nm(3^(;Sd;Y6?g;CWI3Kj$J1tJq-$!@yB*#D8WSHUfJ!YpG-AG#eZah zQCIL@ucH->qGoGu!$mkXmQEmjqCiH#p1!42B(nOdCRX4jl$Vx+h`Mop`s3}A^=Fs8z z!b~cy`D_7_zWB9!)o3G;-9O)0A7_rO8*KWcrz$#S5rZJG>jZ46@GyE89}({td##Vu zCZ`OsZec*UV`9(dA3G3+VPTHu(pD^y$|!mV3=Ee408QwI!TH(l)Zu6Dk%y1 zlOi)$2p^Y4w2V#65rVIW*hQWh)Ngb8J|EXAtFL!?|AK^H?bPuP!xCRES(RL^H-<03;)Mq=qV5fG`X)B$8uT8QN7dY}}M5t1-fr>@mvZEfZ=Gm?HJWV-YW?u~}RzdBp+WWlh{UW#zzN2~m zU6fn=99j1lf-$}?#Pw6xl!Lj;bC2}(hJ+IqBlqJNx|-=P^j{;c(CE3V{Meg2VO*d0 zC4vnEs2g&hZ_Yu{jX~rs-0&3Bk>Tmi28F_RBdcDlWCDx~o32nv3dmUyWbI-co@DCGp>0Vj z)0D~mrAzbb@)!M!Hl(jocJiWMN?9NFKdJGU{#R-|PG&By|4)pkdlmyI=Jc0d;BUXz zI#kESVL5@IQBSz_uxm&?@>wjxRBvoyV@JzE6)jcR)#q#ZZaIMo8rKF3jlkUHeRX;G zpi%7z^-mw~SAZ1nodvd&vjKu7O>DG3N0eo(KP0_a{`0ZD|6`tFT942B_GRgnk=@wU z?d5Ks0@4;?cV@gO>2(I-a+Jr<`_9|f?G1^NU~_c~{O=lveD=Hj!-1kcmBag0|G2N` z>po4+YjUx?&juZs{Z(5K>hIR0m&w+ZDDQkKmyjl;O5P5ykN%|CBQx67+!NA;sjaCg zIm#KD!ONP6%+cC2Y}v+31e@~UCbkWXCA{~F8}s?5Y3`@gz-cWo{$_n0glC=p>0KB& z{2Kd(Zj#vLgf5#Q z;o|fr9E6@Bi4{r|z81jcc}jODL(C-k#c(64;uTl~V;MdXN!b#}94~Qddc9pPtl=!+ zW%1)?G+@Je9mDrX;mfSuZwN+4EwTit61M4UgYFWbc5qkdKCzWZ-T!jjG?HO$4WVQ0 zTGDKi%+9nWjn7J9n^HX)v0;@Nh~m^kP&Zqe8Lhz=pFtk=$c`DSK@l^GK|a^Z$lfrZ z802k#tFQmH15Pek^LF#Xa)kKT!=-B`Vs8IMD01Ga@SOAIW93b`4^s8}!tT@X5BCSZ zzh0bWg;VeXTRpr%)qLDO>|+=s29wX%Tp=1}aIs;9)X(*d`h8o!KdKYNEFGR+1N(NnN1&Ws%(fsX zLN({Gg>ZU`lnxb5M{vMoEWCi4+b?amLUrn711__mVEH5PJJ2HKDhy@I8ICZv--XKg z>EC_=Tlnglv#YI)?-Dk>=13b}VJR&^>@?vUqKJ?e!mv=ksb$!T%SVQ70B4FSB^)eVmRDjsmu#6p_c__DIT(*6ovhn$L?n3IH zl21iQB=4S2-_HrHNLD^R++2LVv&OIvAHJVEk%$}^pgcqFvmiFQby_g4whqXDkL5-f9YlX{N3h)c2{}JKm9|A#i2tB~}gzIXMdG`)JsV z4MH>}GZz6|o)xp^*{6;e`Ww4y8l8NGY0Lmc24=M^LT-{~QE$Vj3j8DMN?kL_8jU#U3kT_mHGwoQP_w4l(p?$TYOSAEs8cE|?nSP| zYLed&R?qlBLE|ls7hqG%w8IwJ(4eN#Cp}5y;Gb7Z0 zoF)|-{$C6kFR-yS_k=~;JYGX6bJ z5pr`18;coQ)u>q{*aQX0&1)8naiU~Nur+)6ymYDr)fh=`kHI!;27F)=o`V?(f7a6# z4!^-Jpe8CytV?&XPpit3!MLAvj>F=*tSeUvSRkwh0dnmkg=i`%E^sLwl`|G?Dyzd9 zg1;b-GF3~^qb^}+$nd{b$-Y!+Fdzt!-a~1etje8TF}1+&P!1)`MND}G{~3eRk+^0R zi#8=d3|M4+!$~fHN}vr<5N@I2Fqy5(a)kg=NtzHZK>Mg0ugwTT8B<{+??0_txoCjJ zF1TDEAuOpb{+1H8%SyKEA6z<&x~N<%Wg@5-28?n$#GM*ST@rgSS81)q{7pt(geTQ` zia~{1ac`fU>+s!{8Q~|gC~RxGj&P7|GGnAeL3xh%gh>P$%7awm2D8oxoH_n}5+>um zeHFkH7}t0SX&H4sp45{Ba;zw>w!z5X5sNUC)k8pe`480GPHPS1%{#s$xDm_aHaT@g znxfbj@tGBDb%fPJez}#kfJH&e!nz+Sr_S}}=8V{$6|1ncv3;!rIr^&u9yA!1=m+4w zIxQSOFG+X3O=DHSH!BA(bKUGDc*+pTJbM zYQQ0h6XTB-hCUG&D;=Zsq|8d_Z|QW`imqy=;f@wNYRZ=cRs2=MqmK*c7rAbrm|y$W zp25e6!ai!4_E0iR){d!(ZKz5B_f73V+V4+cm*_|j9A+hW`{{v&fob4wqzn#MI@PU6 z4YprG{+XE%yF5sHxA803W~wZ@d#2G?GK%pZ_RRwcgDXd)6qf-KGaY3_}K z<0HQ49AG|)9AJ7#!tAwBMwy2W?mj2EIcP^VxNAoyxN8DdS`(6Jpl*X4A9k+d*Qcf{3Ig?J29$W?}YsTyCUGtt2v-6 zRZBnDRMs9I5oE*;ylT`d>%-tYM{cNca-ABurWPyogkd~>y#b^pJUzmAt>!Z`BERqFj&w4w0 zv?WLTUHM1eN6viTJ)2H1iRYa1Y=w#b0CNGu;+xK5 z72cGXRwguB#f)O`)S9H!kz8|qU{!b&W4f7{S2SCJv21dt7Qme&gWctnLW5u+HrOmF za4qE2hyf?VjNuy;i;h&uDj%dLr8U8I zj=~L*O^w`>Al%o8hAeWwlDq}fgLQ;TPWfW1fMTvd(Hm$n4PSEt06wW^MnO`)5vpta zO18B?Wx?C9;yLK1C*U_3 z&4e4ki=U1>%_IsdP_3)K$Dvd=AU0-Db&*3-q4jFcQt>HKxLPYa*BnaE{k(K1f=tR5 z{}NtgF>C?TT4>Vu-wS+QHP5QEr47dZnH4>miZj7B(UTH}eM;$a(ZR4l>PV>#n@tQ% z?kfDq!oH47k=6&!>}rE(hJMxRlia8c$=S(6l2P)eU+_d!tNtzfbA zxB45Y3N|sRyT(G$$VrchQ)7{I^T9P0)yx6_7zE3DBm-`k8=1KM2Gr1#3#E+y;87BGM?0STNJw zH40|QOr`DJca!M{&U|&})Fmh4lNRB_h!%L=5I~ayKHF|@0#F3USfdvEk;+hr9(78(r z9WwP?)GLAH_-3mt#k4XVjyi1~#I#g=8odBiA(NXGVX4DAtU~x_EsuT*#OMQ9el$76 zfj9_7keBHD5}B5OSzRO<0xy-i%}^LB{4(lsie`(T1=Syo>qM^`YzigLDkVab^5s@Q z7Cmmae5XSD41t|%asTL_!~L9iLDa}u@zoW3BGBSg(1EY+Ch7BvwAzMA7iagF{=2Q; zvZ4|1I2BlJ#EA8YSx6EC`jiGMQ>}3ubj}S?O;91O75lykyh_8G#WzwL^k@~^Y|o(3 zz+%oM%1|)oJBr|vyJ@0>5(UzZL{>>ffki6T53>57WO&!6^g44zU`<-TF>cYb_U6(U zWSmTBRyOZrEU40D0i)#n+214rudOIs6%1(gcN_RI-|o`W&YFq?x4O0J#A67dzmQKc zQ9G%hTUwdj6#2Rs+L*+1a`hUs&_^LBZ0X z`@sB3cym-W^HOYM!Sj#KI5IPey1-JD9|dJ>hd;__F`AxferYVmToCr^oc&p2tRA}^ z38;nhO47#oQ^tfU#U-D64P&y1v7z9nK-!c5}%z8<&o$bykw|BB91E_PZ17K&|qsntFl8WUsD{VY9un z2{87|4a0KPB{RM{(+N)f@B+^vs98USfQAHto3=EoBpdUBQOz`Jyi>EwI$2wK6GXiq zZ<45X#ifgD@N$o4X;z)RLh+5Lr|y)OD-Rwg#A#N}Hl}-5Ii-tg=2xi(tI{AsR8w*w z=Z!3X>R8L`E+V93*$LVh--rI8IhwkQp&u{ zr8(r<5NVj3h^4)Q-cKIkWtB%%W(=CZel}JFfn{okY2$^d77E#VNON%u^k=f5db1L? zn3`hQJ4ka93w%FJ@j5IA=?oJj>A&Co_nQK14CTTRVV1B&I3(kcn9rN%zX=JlZ@_M} z^)qAzX>2JWa-3U|=WeG6TBNJwuOdCZS%P#G{01|aRNJ00qc1vsRtt<*)KdMGF)-0U zY=UC}(nT5n+t8_1t_+PyQ?M>n)Rz=NM1*N0%5nUNZ-ohou&T0#ev2ZM+q z?IjV9i%7j>StnRG2?^KyS_$v|jFNh?Hah#s_mJjfi8MWSB+9%>+k?JBP(7PsBH5rN z8p$e8cJZW_ZIo4PPulef63}K<_{z!ZhMCSG=?wVj!vN12!EZ>NI-$7ZfenP4GA~ZM z5(NuraV}}6?kO@1Ky*P%pT&#O(n0Te6oku+D!y%g=p_Ryy97;MObv_`mUHE`a!>?X zgJ5%F&l=lWgh)m$X+zK{v%rpAp*IkmX9Lb-gr**`=8CUwf)9WhQ!e-ImOFfy{#8T+ z0$cqTn^`-0?+NF@SJJ)g;R;zZ$UVnTO9!#%QQ&K?n`Y;K&xM*E*i)e)<_@;J8{oGL z!``+oWxZ$kZlmj<7*_VyXSOcY?+->-1z{jA43-)te$)KW19nil*e$)4#O){k)!>;E zJT)}`yw>+2DI@#WLw%L4NyzG;cJAmRxW?zqEf% zllhgW?~v~+X=omiW92vE!pSZa;$?2KvX0#M$Xiky`3(7ibZK^HA9)%4osOFB z4w*gEn36S~B>x%u$5ZD-Z9Vc5mvGCbl#V%NQGrNk%pEf3QzfFvS#fdeqOc18_sN%2$V3S$YOh7%{==Ji|f&EYl26x1Y#FM*H1tl zfROG#EpRdfYU^bh3uB3;Ugl!AL;BB~#zRpggcu?Xz4f{>CX( z6>dkI*xq9j+1?9iD(6r{E~R@-b(ky66jX1^@WhzLZiV5!udpE{QtuirMZUVi z6pf3enM;Q(o#+I0y9nMA-;rol;#Dh}(%V)b-iN*<7fPjZv>!qgF7@=*#iK#4cub;4 z{<+{-A))bE6i)m?+$uoj37X)z7}1-4o-3a+5=k=PXE1xAKHfiLEE^=4)N_kXoW?|# zyl4q5cKx~mK+|+Jjz4}>h@5I=(A}tjHNbUyb?`(&7yE_DlSQJ6I}NSI)M6})IG~5b zih3Bo@MU#2>5tZ$w5oy*7FnK2h7}biD`e+e()@0XLeaKd+$FruaI&-Gop82?i$;e+ zH&0oY_;W#@)v%S{lS;wopA&HU3Yf2t40z8SY&OCbRFpUtv`h_A6!g2a4S3^ z;TY_62e`ZVz@mx|uNrOy^6xsq;IWU#W%u+!aen^?=;vnt*3$5gr=GknK4@=ePuC8$ z=#i*mH_mAFEs_ZJ>)!S!S9F)Z=oY&ufBtUt|M1%Jjqc1hnSFlUm{h!vo}}p6%cr6Pqd)oaI)#8a@9cKH1ee0v(Ga}PB=XIwn`TwGXHkS6)YZqQFEAdo;q9cV zazmGywNpx#iTi~n%M@o(PGuWUoMIHDmq!sRT7Aq!rtCzyiYi3=JT5!q z`87)}!~S5JXk{jy9fLu8vN*_(A-VzT{#A+&E~}~BZ~E6PpT^$98^u(+xd9onbu@0} z7v&9DA!U>hT=2?unjzF{73t#A?BhA%?B%MB;_E|0E7pC%jhX~9N!GuAq1mvzvF^8KRYCxTo%d0G12*nZjU+POopj+jUanheZUxqk}uJ zgnsq`i6fb!GYgpk@9V~RbxnU)alk!wQEM#b@8LO=bx2`EiY2mpI?-;Nw5Lv18$yAQuAqnQeRCN8$RccyvhEe(VzK zdG>Alr8rE;9x;1&yvu3i&7T7$1mcPZDoJ{_Wt`PE#8j)`|92h zOZIX5Zt;)rdYzun_UC5u{DYbgc%dtkYiJhJ4gOBCo85Vc)j}kAFO(riKm?lMUE9zR zm5Hr7)4Mz4K?Dt2!+BM+i%#B$q_X?>N}FASW%P*)E)R9ZzmV4R_}yK3CSh}rbh}>% z!#~_S7F>v}rbGoGzrP76t=hU4OSPorTO`w4?ojRz;);4Yh+|Gr?cCocrz>DRf2L@k zFAdZkp*L}`TS*5tE5knm=`fhTQWYD#9vwm?IG8iPcZooh z_h`%w4(t6!e2?Zb^>K8O!%Qw7(*akxJ=cjE2<5dQXjSgaR1VP({KPb~1b@@(vy6Lh zX%tFa1Z+2UCJTYxSx+JhXg6@$WPwrku08JXtq8Ilvut9lgo2G+t9eabhWFRc+L8FYzi#5%T8G{t5;-wkyAqKVQV7#W>Vd_v4Aj`fqd3HZpl@ zyRGvRM&Ucm?;8js#0RWfsFUu|3$a;`gW~ELW>3kNpAIOGzw!IJkcss&yfghdatk)@ z`xjR74ZZ9p9A0k#HZI;3&|EG-$%>S#63rsAWZ2x`Z!k~t<-I^F;7tDM z`?~Zy^-oBT95O9*qXcN#!kg}^33^j0;?iZbgI9yN@s*du@AYrqyhe#T`tbu9jCqws zO0gmiR$x^1H^<{V@iF4!OMA0#D5A8D!8%*f;t~^(6ty`rl*kmHUM*w_xR%H0taihS^N(5H4dBz~T|2sleDA2o6uS?ZXup zK*fm-ruG7L5Pxl#X^V_#+le||yrcz`u=MRMkP}~%s^RRfB zeH44J69|Hv{7iXZKVyRxk@uxTPf>r&f6Tzo2q}?m(z>5D0ogL1!p#_sP0UzisacAq zk_#z}(lG(ig>AEHlH5m7hP~Ca8VBIroD*f5&c*Hp=n!_0|J{z6#TCUJgl)oFHl+pr z38x*TN4Fj$t}chnSSo{R1Vm9-A_3Wqs-;gdI$rWRX+b287KeM{sz$O{cf!}Qs9@OU zpnd7--2vGrdNh*9O1{sY1x13T(5W8U1gP#iRDtoc+sne=Aq@J_Ka=)Ol8xc^9v_Wt zo;FhD8d7-f+t&CC2w!jp*h`HN6zGjDg0VlIap?@JZNIlavuE-SZAt>;oC70*b1Z|s zq2^9Flx;E>qQ9(@Lhts6q2UN+iyF+|OU{UeE^f=6gC+FPq2rDyQGv(9AO=Sj12JotvO zu`T;zIOub(1&}05C)ddlFcG$pndABPw*I3EbqCY}N<}w!P7Fs%_Pm4Lp^ka?lxOjy zqVzXLcxJD(xn9#<;-U`u0%6S_4N=+lV&6W^zL)FhmKkt)M5oFP`$~ijbDTJ+MbK^! z9>Di7d<^5(Qnr432_$9qHfYh?&KW~YyIvV)rdiz3PJIaLv2h87Z;5+#(`DQ>YP40` zRNA7pO~{OMY;a;sSD{8qc^#?aS)z{_btCH})f(KrC)*n7^#xGWV@HUVHh?f=|xVF}NY@m+bYD9p!0Nm8H0r zV*Ej(mDb=8{LOJHqwM7(RnP^A_9N+8eF1908HJ`WTryOjmMvCcz6gBlBLeY zp?l{?ORY7JZikHlF2fgV)h+9eROKyPrH0Oc#J8Oe6+X6$ZPZ6h3t8xDwY>f$FJsau z?N?cNr?O+Q{&=Mp>IcA1{Hh_&{x)KEx5gd&&^>SD#!4GlSpi_+BjQkqLM+vI37GVY z!`vOyK%UFo9tOliDR$8rSA3dGy-Re{5V|8 zk+A30Ptfd)AK)2_vo0xYBDFPfK(-63&(jpFv|KQ2NH|^?E^v8f6oh-Hgn3=s*+Z~h z-Z-i!`<=|3xV-$xliQeEI5e>m3{J-7h9m#k2OnK(luWml;!ELZvR`b6&9UX&oQOr_be*gquyix3FZWQ82Y^0rQL(PKF1T`1~_kKi;-g-0e*nG~|t}OpTowl%0*7zMf0k7@C_hs9TyiSr9TY zbFeT-m|B`!I1w^2aJTk|IZl5Z6kOB8Q0*$7ui_Dn`Nx65eJYb?jW|2ufVrYvsi zYpa9!<%=7XlyIh8v08bf79E1%{7HjaHCtJfOMB8Z-`-8jtc69=AQj$^RITXWv+Ruy zbOg2{o(<|`*{n$;)_cEpX7m=&t*78tXB!c%oUH0s3f9ip$`-C6-#R}BQ!2Q)kvr2? z8eIzJN3Wz=5++s4xmR)2ty?utweiB}yxt1Vd)@<3J38db&#=uF7n;*j`AsKJNVT{R zaFUU}oYM=~EkIT-R8oZG9?Ira!!9eZY78%8sU6XO7--g`qv3eq-nek_)N!llvg!C8 z(*1Hwjwi*$=!meGqC|`T!s5>}n?|#7%R`rl(_t!c|N>p8@ zJTTex-hI&Og{H_6s(RUi_3butAX*Az|IuhQzbRX?9X*z1SifB5Be8MidY8ugtyH%? z^2@brYTi?7D0cznnKzlZ1#9H{5*#(>?@Ce1QcAB@4@RDnTdR-F>6Hu_F>MJa>x&9r z_3DkTYiZt%aMU4I{+8QX$|mEL*`@Zei4MsTg=)1Z%`b*Zi})Wkjgh|_%z8M~xY~_c z&jI=p-P#8;u2h-#$oE(6utmAyAC0StS2xdd`z?7@egwsbZo&?D8u_oOR*n12HrKqF z)A6pPa2;AqGG`}xry{yvzFrYkoZ_21q?4o`1FAy$7$=>d8vlW9wb8 ziYq;}@}WVD)~awjkWc!^EAz}k5q{g)L@1K@Ba6eU-i;DPql(wlXxh>KqkW^1iyfqX zu}&OmLC$@BtVL=vwX3bl4N#M~+55%xkK9u+h*NLL*|-LdwU`0;SJ>rj)txK-%UwlO zBUf#kIbKio@>M(7ZZEppHk+Ti)t#@jHltrwnZv764ct0J7WUBY%n>wK?#1*sbp6Y! zidSJhClrd5aHBz8E}lEf$`cyziVHuy@{%FI9uTTV5EK9*IHSK)QrDUUCUX32!LeXZfm;mI=F$-S(Y`||tK z4ZAzl|3le(1~kB_oeBZPnneTBYC*Lq66B$ zrXg5+h@tOe-C4sJ{a%xGgpt%$Bh$rd zhm+Pq|L}1JxB;VH%Mpd+n%pB5Te^~g!MmihY=gLD9{rkCGS~@&4`+ZlS>ARb$7r=NQ6Tk|zo?Ryi*BQ86XwSlD zIzL@S+Bg&`?7jDOJkwbh?yt}7KlJioLvT*>Yc2L*<>*n3VHU=2F1#30Gcw4W9=vvJ zfME!SI=HS!g^suWxYn0Oj-GLtS|1!jwjVYWo2}-q6@QG2-y3{R^um;@oZ+aO4N+hk zH)w%}>MI(p$}-=tRDxD7Z#ao$BYfXEx5n2|WDmTko-`H+elyuq?bq%G`XkvHmUadwa##eIet?<7w6{xO>KaN?=9=??Z0v zVT5i-m{61(;}AxbW%k_R?ZmgyqEqn|-p-JH7rV|iPTm5GXOfz?eyu#0iWJq`>7}%k zDLfEafj6BwF!+5mPr{iQ|BA|jMU8k5ctPM~CO1)xnylX&##EU0JPwFGINNOKHx|piVKvKD8C9OX3L-pXaa-8*%qh!ro zx;=YcsJ+;Dth$m_^x{$TjI=u4^+~T6?ebiCY%gwh9W26&Zp6>sw3A&9FurdVYQ{b3 znKwy#5YdaPtxL+lLaQ(IH7S+nX-J^}Z4=uOk9dvX5-s&aEN-KoNB z{0br7KQE#z7Aqh<^Knw6ifg%uT>qM0-inxs%;>7EJrvc4CHAqZojnyq0^b-_K`@zD zil!e;tV=C1+2+)Br~2u6s%h&A{hH|tk=`{bqH!$!c(eD$sfn>#x@+ZX^z@+d+^(Jf z*6kV^nXmT0Q1}4&|NrmRhseCIt<%#$ z_tQF->4=so;b%y23o z0I5-&|0Uf(Rn_j%wDpqBct)W$?*42<^4k7kdXBu=`DBNUZPT=Zwt7RUgsGyqpd9cA zSGX|NW5e_cQ>*q6#e9Q?WZ`%j*87ujadCrhjgX@|XWKBH8GL>q(1(l4K8o?uRm+FJ zuIw7)m-LCbzxc`#?$8{mw>rho2$!1hL0E^*_O2Q~XtO3&&iJsduVhwMhrjgI^o`h0 zYq_HFD~(27^D-|gCi!(P_kQo8W0-%^)AtQizO4+`bH*QbuFDUGmAyn+O;QtXh|o3 zc#R~Zqbk`q+e6oTz!R*$qy^VjKr>Xhy2BDp&I3xG__sug7fga~TC2*eGZbXY5 z;T~ul&fD`!J8;-q``Mw&e>BFiWEdcd;nQ}o=VGnNZitE`B+jRLSyY2jI5Es-@#pW{ zW<_QZi8z%;)YLcUq#`;WOkivxmfo5 zgfb`byY595D2^G!E$B;VMVQs%uJt^84lyrzZi>@egGseM>Qy!4D|?5I>K;A}N5Y&# zipn*_L`^D9JxL=gx&{!VXA?Un_1*c-!NQ%>{dF_t2ZmEcW9j{Dhh^M8uH_tTtNG@mT79xHpHM||l|$GZ=EuEQ4fdZpQV%B|TgNMl<)k{_B|){KV*_trt4 zIkw8?s@P&DymMtZpd#n`$DT5DbLQak!K3^>X%$0J-s^khg6EmOhCeEzE&SsJGx~p5M<+AljhNiF;!{28R2Q%I)z zKbt;hgOAjRi{k4@!nc~5}e6L#`=!Dce;?=5`{WqlUSylFGVtOgwiUb7NKU>G$<^By$wnw-7 zo%rA2;RhHWr-z&%%T)N^>CMA7Ta6V;G%JPF-7UwT3@}7=j z_?xgBlS()6cYn+*k8P6Is_Bi?7NM@RYBBzla^QAh?@!9Uo9l(WHj@b=-{-t*q0N%6 zyo3acIt9D@TH~Od)+ip?+}$l6ka4c|})IVs~I>GdDpy2F&-l9

    H?(qkFGa+^DGjUo|5DA&z`b+TM4^$2JakT!4 zF`aVQ+p7c5GXjP@yj}N(oaid=Cp35*+F;n1_cFQXWss~{X%42m2h`agQs&dL^?2J& z{L*f1D2yDyeyw&ihxC4LqRBBmZ7yh<2+Qa(tvWVxpEVh%4|D~sSAYfY0W75Tf%2Z4 zEsN$v@7@#N?#*9Q%M%uRw|M;OQobW*o6MaXQw9ThC-?6+Wu3LAWQt7m_75(|`idQW z`hGlSGEi52aLlz69oW^=n9?uY%Hm)4_u|pca<6&SuQ~}rg8LL5H%U@A^GYPLG@>7_ z?7l<%+>t)=s6LU3n~ZkGt@s}Jr2Sbd{EBP!xkonLYk`^w^xMyAp^!8~tDzkS`hMVa_%F$_+pBJx66X12<)r)^2 zy6{bHNqqbAW1SP{DXt3-`V%>SPYmiR>M*dCauW^^^P?^Uq-Vc9ErNzuWgD;vP^JH% z+iSvh+1=UOkz@^K;RY$3QX#s-TsmifLPtFuyhGEw;_#E#v6;n%-u@AC!^p^4xLa1o z@kN=xRZjASe1f-B0vETl{=1zAm(Xp}cFPAyz2*6NIfK%Xm0u97#V-vxibM3w zLq}vlg>yYqLO1Ij3U3!b@mE(Y5$uYtmX}oQl?!3h5f^!FX+D`MLiTx9oK==h0Za*8 zoHGCTKDa;TBe23^t>q=0F;TOmsi<5AbFMqG8DHNm|6`Alb9^!<(p~9VUV>O@FC{@b z8&^!t-%POV7xg7LEh?yR$|`emMU(pS0GepXxv%3KB&otQ?ejFD)ZF%O)6TASX-fHh z_p@W>%^R&TigHBqN1!Fjm-)q1FZnA+C_l!6s5MlPxs&V{LpSB>g+%$PJRW>&^@>Rg zS3_VwTW84a9F-Y~9&*a5dz{UbzhQUBNr_8ixw@TG!rojs!{*+|O>glmyXuo9_64?1!Xz00hCqRBV|NITp8#WNFSay#=jy1K%7i^THhew#y}==A|hahWewA1Ze- zwUK?;bZfSz_ZPN#jVh8Q9j-1NQDs?1 zqKA^es&7UG&JISVr_wbB6|t3*_{FQKo=?_o@kVdvF>Py98P79A0J^sJFt7?uj<*j& z7?rd%Yym?~$%S4$2{KvtFccnsrvURMeaDvGZ{39Lk#YF-)_U56eKI1Mo+R8*n~1h2~cmJ^;y3`9H@&(XwAQoJ74>q+Qd*e-k}d`sB3&N;zGk}*4`^UZ9H z2{ID>SWFAkOr(XU=2-kalufbbEPNDjlJmDT>PMdQ+7c{20a*G^@8M|t3BmTsb)P-V z17!Wz)UyfeQ{8y{qE=F!cN|g6riZ|+6z&#wXb?FID=Wbmi-~>==9l@>9C2;~j03&M zOgu{%Wh0y+jkT+##guJKI(&>%(UpKl+gfd z5hWRSftO!(SzKNk)*fXb2_KZcK|u5DA0{Pd%tXsYRuD!iu6?P6kFO8?55m3mFohL7 z1e8j^Ha(61EfsGYx}Y>1GXW)Kht|8yUUxW_XZIX+fvOcFNDQD;`zn3OBYhXPs631v z{!cC!&MAmrCsq4vtdf;%?!IKU?;B*^tcy%%Iu8 zcW>@TpIt4^!|;5%lz3B0_R^Ue&7Ca+ExBaS2<-U0l@lyKH@kOW*RA%uvUp zkKhNHR%)3@oQOlWXdg$yuAMR-HZ5qcxpPl`ZYx7=e9!h56_o>~E9QueV#OAe(cOeo zoQJj9S(Nj zduZZ+>?jkmj7y&MsbvLLkvGLQ^(}9Am~Z{(plgHRK4pN86kK&#SJ?dFEO}0^&oM@r zU*VLd^?yf@x{9&8IrGGJ$-L?|arj}r#Em0y+84!2b2ZIVOn*t}AlMJNAoM8w z;hPHh#ny8U0|7QBaf9A3kqj$qqk+`M^g|CJXGpy=_*tE{a*@n(X7Y9Cu@YQzzfUb2 zev1D{&jqx1pdXhg!EaCJpR%Q(rqmvj`0}~?smwv4-*DP&6sK{RW z{p^*S_HR(}c@ko51SN4{5SV)0W|sshfkKCCq2U?-@-TF~Uk8u5O-^@qVn1b>_ubO> zJ!;FQ56W>Uk={*5$-WnVV5^?|E}L-L_J&;^JItvIB;_3c)W0%2r~DTMo@wlW@NFk0SS%PU@ig*E zL|RvuRzyW%JzwzA<49SLUm!fbsnkw`?G<|gC_+KJVxHuQQ0``JW&9S)plo;<%eWG1 z;I$^*_o4|^NPSIP3;J-`4_O96^UK+1cX*JS3SF;%mU}|kMmvITu|QMcWA;5)y4|_$lU`2EsNI>&zMdymJy~@nz5mXeaFYXn;tCwD zG7{a;pNBeaQ@iu9$}dV6M2)jWv*9f;3`hYqbZ5WuEUKELwQJq)#OcLpuVo#)r|+P< z1i3wSY!S~u>IiajnQr`r!^7s5Bp;#N`#JnmWLh*=v;d$_j@I{lbm3>$@W`gxM5vAm zq4QJwy|WpfiK9nsbP?#u-)tNK&{BD`sv%rsdfl6Es~%*wOkDn7IM+SJxm9~qn#L|4 zM*71|rEo1fpJgWME2tn?ey%^pu)K}w_Wo$%0Q`H(Z zE=5Y)WGm=J8Fu8r=`9%*4^9sfXQna|g-Ij+?hKStPn8Kf)$TkjXNz`SoB8e?{hJhAyARgcn8zQq#dPXy7_~q#wPhZnIxof@ z*&DS#BK|PabcZE>RsT{&Jau(@Mv()Na`0Z_ z;8yeBn^T5k{F9!`N>-~=YFRBytgXx|_akQlSaS3KtWSTno@#(OO6*5Zn$lId*U0+4 ztCs*Q&#yW=hJwP!i%V32D8*QcC#H>e8yqb|eZ-%fw{NDdx7)Q&v%{wi6-1JyOFqO` zT#Vp#&gECTG2h*wS2~4Usg?$vA1<48S2ZemZaKbCfGgMfVv&`gQ4v-e0%uP_pr@=; z8)}8tzbe)LBNqvqSOxGLT6LQpg^wOy+mbG~f+A{JWcPqq24rNFAj9o|E4}ox``U-MfH~~f+zN#MoZFeb7QNYny zpt-hA<4X%>tQ=)G{yBi`?U#wae|-s5`=@GKFs9srYajCJlU@lqij51~(CE1DKvCl> zcJl{J^m;$4*F$J3Maw`cE}E(Y2^>b?eH$dl6qMX&y-)(i?n4}IX81I;v$)Kl(6C-M zyNIgC?`8j1QCmQJ>6#f+WDlFBZO@sx)nK}6UQIC{j-H|Pa>=#w$0*?YkEsT2*SnZ z>GalA<-`ibd$b`ofGC%Q^zhOuNwG!clbVM@3*oPa%%{v~-9)C>J)4yk6){Ow5Qm-H zj$;AL?F~VDlwpD=mLzpVFWR%mQ+&!IK7#7gytj+mDv>W| zVCIxBi>=3O<<{p71yCFOLL3#ZdHK$$zt8-IAo@Y#&Gn2oOz}wn!WZ=Hl>9`*sD+vS zw;J5se&@AA-sAB&Wk|h?DU6QSu-KU)#)>~}LYedO%KbtyqrU?9JxruY=_>Vo^;WMa zGiAZ)F7&m2>uqz$cvNZtF|~zboQeFv!ZR*^JC-q9uR9-U0(9$$$O?ol6Pk6Bxdggl zy~|AvMXR1Cr7kxbsnd|$RW0i7K3xOmg2$3X$h;avxt-SdX`PKSU4Ne;tx_?epsy*6 zL9Qw5c-Snv?ickDmt{D1rRJyVreVDMh+V~r?BgdhJoB)?t&e5)<54nJM;5JW6leY5 z5nnH>oa^}XZSX$@aJY==ErJbm&WD*67;%5UN%^7=*1h2Zj^L1XL)X(X^zNoE90|UE z7mI*k1#z*RIqlj86_Dt@8#cmUE1_^QTRkZ~c>VN~sgMcNKg}VU-z!a7{_^)Ute7Mx ztVr5ceKb5$eU6;uw;sOb{jJ`^A>Y#IH+=zITSj7i!+aDHHEOtrY%8yuysqCr?#p!) zCC-;oL9tU}zx!)USsw$+lz`tkMw<+0_Dy5;G_yh#u3WA5N9{VW2v)=2Woj`nuC6-TeAfb_CI%#ekhA@~HozpMp)qhLJGspvwrl>A>89KwDO z(>w%)8r2=trHYts?1<0&o{rxHWEz!p4~axI`DgXIivHAb-`a_Mnz8V*%a%~zh`+RE z&qo;f<9#y+v!R4ts3?QnhpieI`e*Lg?gfgmmF-;q@aCC^wTH))y0r|B%(jCL7V=$H zF+5h>_3Lj*!9#6S0nHVtSkq<3I^TLrBumXq&p}oBiAVX94;_2ao6#pX+Gc4s;l9wl z?j4L2X``pOddA<(?&H}UrYmyYprg{fPFF*~K?RD_p_51_G``@U(W!}B?Y9`^{#)wX zRA#?YK4NPSZP395q>QDzw}ZrHg(m78^68DvbnM;jC&#W{sP3QgGddOE@2WytX-yd( zOf@&}=BQu%V`HB6{KPV0nMH)QE95r&Nhtiu@mh-(hRWXt`T)KPLoE7xQ7d}f^GvUs zRfCNC7*7R<#AbaSVcW`|n_$$bRaf$^BZN)z>mAi)cZ}2WO$+Tr=X-sRRiAz+O(_5x z&pRx9XPo#pC{Of3ygRQXR3tWG&meq+_w5-m=um#Jm+yHY&5xSPHY~;W56!YoK1e1} zijUNN2p>tXLtaE=2nHUy1kC3TspWdV6aKa8xQfOhVW9_BVssWzi4M(~GJj zrP#fg3UpOpS5Hb-cUk@}(b=}<2Hn>2TC#1^w?SB@278TW4kF|F8w93}R+qis?fB-W z?q|mDYK1U=RKAQsq2XC?Cj5F%m0!#~ktwCLA20rpm0Yj1Wmscck(}(FfN(V&$}#tQ zM|Q0!i;-opaHR1w0)2e3E@X!$xNKu~j?CcFGvV1199whdFkNaNtl#wU=#}3TV~g%{ z?FZR}YWGVOcUxM}aWB@R*z?C@p~}OV>aj8%!=^K_!xwT)ORiIZl1){|aeU^zb+v74 zGx*8^6p#z9bYLFxm%ne!JFbe*WNyc9G1lxUlx>YU$=Lf+K1v zW`}<Bz1eUvHf+D8U0eYbdBPKH>(-aUCT2q=)cuKZn1vziI~9ZS!KnB zLQJN0^+6{^_qd%LbnPdnK)>LKW=@Oh=a}QpD%kP&TDJa}5qG$0$p}aG>oTXb`=xc` zTN(Gu(3|~CN~G^~0qRmcwj(F91#`*Pohphe4xetq(dlD3^;y5_Pb_yK+X*W>_a;`3 z>RZN^FZR8V-VStbIZ0TnU(b2|cXO1zP4mG~oqPIkq*Zbi$<)O(i(i@j2R>7A{fzwy zXYxo9`;(oUV!CiGa=aK+wvl5l*CPYuD&uFBWfEy>hbzI9{;?;?ZeAZ|*LS;$y_RR( z2BgJ(Igj_I%<+S@inM-1GzM0u&_p{s-JeMGFNb|!o0K`bH}cuD?Fm_YiMCAGcbFli z_il+j8C5zss@JjcA}N*w-gU#(fuzH+E&JV0!X*ySm|Icr;ZL~$FK0+QPOYyAv|Nyc zeQrT_U+Y_u%8+6n(z8A8eqOrKvU%}$Pr&@=xfzv4J?CE(LT@Z)y-`l3zl^W8=R&F^ zvQSRDg!6OtBdC*ajjMz)JX4}pnn=tAOUBA04ZLfb>>*f22v(%Vg!|NH4g8kg6 zECLlQY){haNNLag_V`z1f=|=ZFC*?cgsx~zT_zKe7FWF@F)$4Up8^VQlkcC>vQfSk`d?2s0^MK7t1S;=xiCJtWllRP zBCytQ5W)K&ogm|#Q-&2|Jn1iC^EKxoO&k)E{)+Idu5Pfp5_$z zR(i~@32UbS<7lqY3_G6Urcw13u1c=?jmR-b7%Bky#7=MQH*A`Kzpm06$5+G9?}sD` z019KH7Uo0;l!S?TpTkL-_5A1d$>A4{^F&b?(+?FW1uR|thVa*5?J|G54&|3zoE;k$ zNbq}2aoZywQa<43K2~gW*-binB^S3LY8^?#w?CLyvDK7<)Y5rjWUOZ2aX8Z3LNoWz z@bBo;SKDQ%fdRv~eZmvd!>QH!^*>;D&{Q$quJv}%WVXELrthQdH>NwzYjo3_o(U^C zt0RPovq1ID*~gqz;~8>rRS%xT#7o*+QT@%av7U>R?%Mr#de=oX@-{35w-%EiN&u2@ zqfHIO%I_h@v8k7qTKl8WaRfTuB1N|*nZt)wOGH``JB}V5$lq9DhacwHHS3k)0{5yV zvUI>9cKbuf_)*i$!yNx%-u$N!5%de%MNueZ|OsXgX*MwORjwGDwG8TH^uM1|=+#QVvw zy4f$;6Cz_im1l*;Al5nglo`ZgXWy3{y=p=4tzLt8- zvf1ITNkU+91vFS3k*v6urE7hiooae@Qpv6o3}mfio%+jGn(WZadv12%7=mdDZt6Wa zp-PNEhu1`8UY#hoY&#-6>fTa;V$$b79sKsZ)(ZL87H@4|YUic#OAf~ni94@V29%qUxh@LGG z2J(Z>RD0g;t{VX($_$di`@>)_ri>XC;&+bg1X9xxRkT zy5BXO6c7@yw6O5Bm6Iovx*l_PN336i_Jgp!g!Eg1WN?G%a-eUMW{d327txp3DFS zsf2r=H_l6sHbgn4f=*Hy#@xpGV!*c%Ew2r|ro}L+50ip-%yQ_}L%w22?lhuhLzGX6 z`^r3Sf-B|WUolh%r80W^iA#omAETVU{#bJnbYYga0oRkqqh%v*XqBVBs`po+H#axk z;8ORXA~wUiUg6{y;tP}+3%Q`<%;$4i#^q@=k^j`}%&#)W?!71Olf9;Ou@N2mIL5rz z@PH?jJTI+5AEt7f`Sd*nd;wP%UXD1TR%nT42_ffwe5wz#GGlvttW+AnEH4C(dzVq% zWA}ct>Dg4qtJ;X3c~pgdXAZw~C8@p4S&ms=?9WqdtTBu^q~waE!}u!P;V|yu-$rBf z%6Mw!6;z;4t#Rjm5zyr%&brhXr?)-mPrpFYE3WrY<19P7I*-eTBMkXQtPCGv| z;l&w3KF(?zUp@3U^(pQKvpmD?#rUF)5f?SA$1jR5L9rC{*veW?mtbx9mfg}Uo$I34 zYMAiyhI8rMYxyfb7I1dPus{wy5zDjn-f@>k*1wE z@s@JQM-#YtgDx4v>JBBxk*IR^9gr_oAP^##906&-5V~X^@z87;Eo)mb7+T3!3x6i2qT*@x6 z1(u@kN9r9@n`&v9U7#d(|J@zB)s2*L+o)tQVJ=x94*5&5&o?wL z8=(lICHM(II^SsTKgVf*D!Pf0YyO>lAuv|Sg59hYS^dV4F!@XU-=6D6JZ~!ge(Ydx z>7Xyc4llZDd+}%a5S>!;wspO{`$qoybf^uvck4%^1)Pr(K73?am$n->wXZR6ydA+{ zh)|4o&0K4kwP;?fWzN`qm&v3f-V*Il^Hb1LD<$IoE+yv}Eyk3rRDfFjB$=U+vvnk& zFo)rSLL^&A`7P0)B=GuFE$Yqnz^42<9r?djF_U2T{H+)|<^o zTaPBD7Xl_ELik2`lFEtlv(<_jB+4b1DsA6ApdymVlgLCMmQ=P(Ge;rpFthG7_ou=n&)+)0r(5IEgmlT%>+&Vmm16#_(_~kfQdg)=D#lZb)(SDb zCjDC%AJkHGx&C>z;ey(*l=N*IJW4=l#oQ3$tXV!h&adh?dh!;*B`;7_g$Bd}7$Mc> z95;bim-1h4K7^17%U8Y9T(jZN;=h|hn|zV*9u0)FsI%?jv(JCOK=q^DCYIv(iTIv* z?WXdzHlSS>Q9KgX5}7Zvir*%T?NoFoy_)s)mH9c^^vTiG*cc|o6JkS71BK?QwcwXC z7WQ_O>YjXJP$T*7Q&_C#l<%hYo^>^c06SI2m~G?U5gTp21&f~+bI`ALT*4OdYZ`|f zR``qUo?6zPxUx~VJG^?TG{wZH2+u0k05?nr(dA6jqH9g*&aZ2IVXauAMcj>{r<4He z0KM`6ywA^B4B-lA0K=_aiR)i<>-eIxhC22q9fhk9qQi*Htiz?!Mm%Z_zs)Tk6KWb~ zRiT4#emNkJVHPV|Dl3unP;}3E@9!tC-t_lMYTAa4ueR2AcWhA4 zZ{VD&Cn45)IL7tk-ru#h=t&2c&T6_j8y)!JM-AMeP)aEIph6XT?k-eBjS`r@5^#vT zly*wfE0^|-5~F&$goLR6uqC4#^gK*(bXLiJfL*K^lePOm9{aBm)pDm@sSxJAQhkOJ z(_Dgq2`m1ypjw2jr;hdzW)XUFN*IMJCoKOO@MG=fIPRNR4G07TAKs;r{zcLw|V7Qyj!RFf6ySz zl*?l)I1VdWyuSQS(rKBv2@$aV@H{B@+e60Ff_}Tu1(&nN+LmpXsyB_tHhdnv5}5-X z7rRE3B{{85Z)}i0OLL>z=K3iX1KTq!zL7miiu=w&HsIi+(x46{Ws7I4&g)}=>Tclc=1ts37{m_x@`g~FrVv=iIPEG`8w zX;S+~Vs__n0Y+7EX`*+H>^H4DB;ddHCoyns(i!+wagDx+e+pcU~IE2Yld#Y{W6hV@k_;H?lYi%dYgi4n6`j z6OmY+YQ=7{gtdAx&a`Ko{u3b@TmAE5?ae-q6JMr>ngG%DxjY(+S|k3MEBi8k*<(LB z)b-g}mRS^4w*1kz{Tnb{m4-5gvBRsglDF7n%sh!&A7r>jIi}k~SxFCe@V#QS>$aQro+Wn?x5r?1*1+D6F0=>_ZpO>Ay+~BTX__j_@2e3~@ zw;y9T;YmRT5p22oSQkJ%A>^SP^SCJu+SF>SLsDST1-=WT*f+`n7Vyt|^a#~fst3-E zf*YP6^|elQ*2u1U74Qg%S{d1DXp5*Hoy;X6BpTV_cR2mx(OMo5;nBm}Vssg=AL_H3 zIVGzpv6V+#MBIm2ujt5qnTxo7&9YvC9d1x%S;=SBGIXSe8(11~SaVdwZM=_&LD;K1 zuEqAVv98{Ph64hmy`J;5c^_C|6C`ws>2X9GPoQi>d;w=u>*+WK4u@VP>vVNctg-Dk zE9&puEhtp$yFr+$0&zH402(6Nc+|%kHQhr+TYP= z(;QRX#7Rgcrzg8-ZfV`g@|0Sa+W1N8<79OFEF507xTc#IQZ4OE^y9*R~%Af+F2f-M*9#jOXuaKuaIwH7d7aqxx(ot1N*wtN{#|S;zCdlfF zjrrZd=@~pK3a!u9hv^okzQ2BjPZrMac`NQ^p<*yI$*)}>tgp->RyIgN_){9!u&ou; zM9oFIp~tdgE^<=rGn<#VDvV;rcOCb^`|tklZYadq#D*^O=w{a=yI0?@=s>LkY4mc` zmyJ(9Zn!kQnQVIthi`E=Tino(EnYhmYtn}?Quu{tp z;H{J(1MP7MJUh?hZro%$5a7#ibI4?iHMbD6DtW3Y_rC6O8QpB5w=|{v#)3Jm;hRUT zwT%3RgJ*WDau;#B8T!I}Td9%%MVCO2n_5Ft&?MGo%^Z=^3lV-! znZV~>J^3j9wwGG>X1Qg+s*m2plasKWl>MBd7edu)+kA}nL)j_%Fp(b>9RB`DB#D`xn=98lV7xg5nLt=R%Xo1dt&Kg>Ig; z4kRJu+B;+IdWyztPuOsuxyZPzyEJXD6Fcp~qLu-}xXN<6iKNZB;pm~zkK%6dP#f0n zmzB(*=K$3Rhp_J_tC$ zTcFA(1m?J=6=7V3-gih}aB+A}G1dbb0Zq0l7PeW2xK3qQ2QKRD9^UMrkpkfgx{V9N zH59vUjcAopy94!G!c>iDsLPk>rr>4kl)1MfwMh@i&zA*idcco#Y+Vb#y*eIWn~Tm2 zCh0D+WzXne;*JuJ!bk-_V7kme+RN1YL%+lId)uhm`D#EU;j85zW4GfvTU41t8rTA_ zT4P|-k;Ygf7v5uTi`>6cFB|?}Bb=9%oD7I*jo5GtVL9l$L6!iYh+@-RcgIQ%+2UUzr`=)PG3bXXS4wtU`0Ss`VOFDDbW{ zv+Sed+3+bL{;{3!0lw|@ec_b;)qXix5<5#jqFwZiV-+!*;{56OGR>^l#ObMf^x}&V zu@sSBwKpxEQMc(r8nXL}W3I13u37^MXY|?xefD{!U+MV$e_I?yQsEs!4V*BWo|oC2 zARHl)+qLj2Co)i@6WuEvYrziJnr(mn8TuB5x?*;AP>Xf29mp2vE9N}?V#rfTGud_+RJf?pBZ}tBUzrck!jH4o9Z85{KvClvbLT7Evvo=8MMH$NgVAK_^Ob zp%MMu9EQ!scSax@SmOo&x8*x(*GHl@?#5bZjO6vl(tA`sjv6T1p7cx4#46K=*K@R8 zUiyaV*a5!Tj2`9rwi&qQb+q|r6dM>Y_shyXnUPGX=Y7~A;;C;L`>a=@cU(Jdgr_1H^o1Rk2Yz90VgfM;Yh31M#z zSF#XqG;5k9y((9f_tS5RX@WuG0TWsHu2Zz*pF@L(^H){RyNz2kr3lhK&~0$Zw|}}s zUCXv4u?tIPi!aJ5VTX#y5Jhilk1B!ZTUo4As*V!cTXZt5?>}G^b)_eBSO&j!5K)mi z+965%nLa2YtvuB^>QNaF+y3<4yCpukgoDuqBWW+U&Y+IWFsxqBr-$+^fJQ*C5o&YW z`_qT`(rfRczJk`iNUUmhedT-YWp+3n1%hb3@yu3@ooU9ehs43sY$)+h{;gx)TqsKY$S3&n7@kv}aUl{}kwjclUZqsRNs zYzO5y=tZ^C+vnDBW!zP?ZfJB*(^C#Vzmz=bbP*H^uZV%D7!NWKsw$gnAV8UiO;sot zHW>bdy$P<+`(pO|eFA<~@+hZ55Ry`CWlgkG!V}LjU@$Gp^ThV`dIxc4; z=w}AJhQ64dt7=-LGzhLzqnlBnGqW$;@D`j23_u6cJMJkIi@EM=?jOt#R`80rHvM#_ zdnmqz8(9m=?+PXFmqR%r3sz>V-^=<3r_=oSp;@HUCy863Wd#CV9>6!(eW1vQk2m1? zAMis5J3_p_^F&eO+t-Gpm$j7{lZK*~JG(I$pnY6rhSp2-pFF=^-+SSE%=dM9zoGYx zc@JEHRtHT~tU^Orp!MXA325+y*M9cujhk(`U;;61)@A`IcqfN0E#BT(6O+rKd2zY+ zrjYdIwk?+k8ZJCyKsK;b9?=**a_)f4`c;-l;`?Ei$afz*m#w<2i(hIfcj`dzAdd5isq!d+ zQ`7y5P!8!7U}jg3b~Ivb6WDl0jz^kvolGIiVx?Ic*vuv_6GzDxcWC*ZbG4_)u>wf| zb*b7I>jG-I`R>1?k9he%0O2OD>|sCG7kgj@?LRNfl1J|&Fm}x*M?hKuNtc3$JINSJ z@TB(gi!R6nP;o|4O-1r?!m*x`Bg)vEJ>z4DLza7|?x z@B8?VhPCCrIo?3kI(LW)4cV|fCj{I%VlNj+ExC1}*|Ju*&G$}Du#iD?GkCD#m2KL6 zH~*mE*-bM46xolgG*GYleOR*fJ>Z0tXX?yA*t=p}fD-2$e;Uu$75p5CUHY8^pRPD3 zZ+&4c7=GHsY}SQ2`3<|V6%SxX3H0A9>Wwx8j{t`{0`mC#S!;qih6!ROZvZ zL3jBy>#0A~F9+b|>Uq@^AWGYfK}N8u|M_Lyh$aOZa0of_XMB(W%Bh3TZqxFKJOlln zXskL>@V(+T>(*%%T$CFD#7#W>(u+skIgc&k z#9iobg>n-CN0GR}FM(LHnOWp#Bnhjt*tXTb$KpNm#cRc|>yg;g;s`fj3Ojt67ef9M z>Tne=_rGJk987T_!;?#miQb&w0K~5f%R7dKo7~rcF!%~9&Bk4JcfYh ze5W1@YeGgNeNw}gz!S#&Y#-md!WC@4H=ylQ%kdBp)d%7&z<9}ZP~j|li}JB!6lM&c z$%U<91Dz5CCO?^I(cwV@cp?cedSxN>Vd4CI_*GCvVRm2lKeh@R>-ao~z9o9I`oN=U zGF$wC>h#r50re@PREsWE=y)_0umOHg;2QH(s5#vJG6pFc+m+9Bvmq5M9)ZD?^yt3@ z#d_g8gb}yxRHTm^e4FJQFAyMb8)JQ5ng6V89QdSwyhLSoA3{o>$)XI?itRgJLI~gp zV=Tq_-3{?~zZ6ajC%(EcYy%3{jQ&nykCKl)7c7ji?+Q>HpiEHd&IvLI?!NWU+f`_x zdBvM<=`<7x2b4l{6@$FCGXziWzigU3beyJZq0$if>o4Cb zf0N)5PYU@@TM&kcATBsSkuFXR$`9ZLW;*~RukZTk^7sRuSa3}Pao>?9oVesNF!{u~ zC-}Y&d>JVlkq&C}YbB9z?iQkZsLxV)J|8&3@v~$?AdK{ZTWpwVp!+%~l%~tQXH4yp zzhUIA{ioYH4800sJa*`Y8zt}E`Yz7+op#sXO^8cGG|C#(hK|C6K{KC! z$3ks|h1yrGTR~rNhh&hs$Mp^r>KJ*xn17&)!9djeK%W|F!w7g9=%oq<2LDhWsfrJ- zJ)8H6QI}p%4Y}RPXM{|n@Cv0QCBjGudfd}ZdzB|?$HS4?eBZhldFwBgzx9%#G{|L^|pI) zeo>8 $A3R0V*e62*PF0E3eU*t%b}j{3f3qxLR(p5SG4T1J1EcKyKA&DgVy!aAv_ zOnf0j3tWXSJ5#za>WM*r;}fz2lKh)F-giqR9F=zEF9 z_)-5w=l{i%dH1W(9&RETF|KYbI@>|sX)F%d7NGp*e&{pGIcmS>#M?j zf!440-(ZBa>pKgwK!1B*eOdxn<4xRw(^EOs$L90%8U5QEi$+XG^c?%Md)=YA^~VGT zu+E&`eqRrIClvvjV&?bmTd%kO<9;58t4nMRXxkfB=qU%q;OCpos^OHI9@|aBHQ$my zJ_S>j6(swISUhtQ#>!=F3ISiWvFkCm7@16AgzkCO@^2_p!t)r}e!p1p0y>5Qb!^+( zc~X0WIBEn<2B;12pdxR*AT+)ixHHqli^GY(oA&pW^Y0XIj3%T*iDZxzI%U8k^p{-X9(1f&I|%OIt@K|xA7Mvs(+ zk)uP9kXE`;klGLgq(-+$NsMre8WN+WrG6j2@B9A#;9s`gd+s^Ud7kHuX_EGE*$0g_%Ge8)6H@x`+gOVybia}63!Why`Fe1TZSy;j zq#J8*Z{IZ}-iO!l6|OeX3XFgc&4#Tj?o~ek&Kl?IA61Z(<4me%e+Lk@5fEcP0-2#m zgwN1Oukk5B-glQxY3jGG&2q)>Ae#84K4$wW{dN_*obJk$b7y(4e@W0a6jc%5e8+%? zX72>?BrehRYR6uGX8AUg68V~=f0?5zfBZpxwXNfxc_!c1 zhdo(X#p1n(LSq1e#R6c&(o^Jt&(8EuLu@m@n+%tZI3~$^v@z}K$Nn13(|Kf~@pD4mV>y<=WLDA?1tn z0tDipox>kB@Skn1Dz6#VsxQ%*P7%BlVk_qBO;RYu)cEI<4S*rBj1w{qn?%0~5Q2G4LMV_EW|N z#v((ssGXj?y7Rwvbi-W6loEh{y>d z#UlThkyT6jz9`zj5S3qd`zIjNfsQ`a<8}%D11e3VZ6YohJE`nK8IyKn&D;~8xE37# zkl?DBt-ZP_JH+$hSE6sz@A?YcGf4d5ZU6G6?KipQB7~n55XPbHV|tlR73Bdn8315d z7<_I%`I=G5C@~{Xhdw~}!CqArB|vOjJD2KDC}{(b;8-2V5O=%Pr*6vu&;a}&`b9)t zr5u|j$4b(eF~q0~Zegp+z8lCMKHok~+=`0}R~PQf@c46TbjQqI&8Lu1SKgq>pIvA9+Oabsu*pmXbM4yj{Yr`zs$?zGkG0P?U(@Oh;!VO_ z9llO6_Q1~$YuPzJ+&XipSE0)S@=wz8ZT|73%R2oZ$opS8zqYRAgWwyA0VfYRoHuMz zAx%%bxt%p?pZx)Ku$mVxskuq6xNmPcX$>yY(2ve9v>$6T=zih9cN&v`0{kgO z_8vHpQNqmFHO7bRzZ%LztnbQoYOc1k6;$BVaYiH)56i!TRL2_;TNpP@6&mB&yIb(t zwo=bg5w<)&qrCZ4QKM4+8x>B_+INfhKP=T640!!ld~Y+4^#FUSRWtV?lg4GF6GM zMe+2)o;Gk^C97ZF(Ja_vQD1j; zT+$x@o?6tm5b}<%erDyPfX&22faPyS)o$b0Q-taL#%a-hS3l;(06$?{Z$s<^@~S-{ zUd-+ z-J{$SZKw+|K9I}JfsoD1VY44j&DY1?p(i=>${Q|gg!~O`I}xse*!#aECuJm^_}_s1 zpVsBTQ5I+}`Y=|CizmKTOn3Ml^+~=7Hh;)24U(U7$x+x}fiK=u-f!=3tnwCW>@Q`F z;I$n^(}+L6WBgXzOY8;s#D+}_Cq|JrP9c&E-)4032enYsnu{aOF#GDusWQ^oqZEZC+o{aOYd8L@|^tlZfWojLm?sqNJj5zY~?1HYFYk~xHofx*& zmPgAB=+L8V`9dwe-xRJbqqcxP^G}m1+Id3H`eN(&_q@r8)Xg`Ot3rM+UJZ|z`CARz z2kIY#(lIOIc~e)?K}hd_aZf0n_ranwEBfRHLXu0kzdi(f;jVN*CI_l@qzyEFWd=E- zgbT%aQa~h)=i}Olx>`TU$|MQYZ5#tz>(TrUQ1~-|zQ1Lts z(leM`VaK+!=Rz;BW!1NT0ZBGgMQP3tc-ho`5+wCfwbXQajM$rs7HN`+0YyZl< z{Wle>2bj&&&~X4K@BjdP%mZrREo{4SGLz9+=*_r{Yx$C=zL9`E@JJ7bVZl_mN!qF! zT^5(uh^RYew5F@b0{iZpT);*lkpg z0H_Zli`~8_z3xEG%eb}opyH;#!hx2i7dT|{s`_cR3<_$IoLbX>E8G5in4o zoE0=71eDO64xpJGz|x2QYTq?CeidnTA)#kR;Z#~h6^PsYRY1-_otDEEWeRpG2N`UbhUE zomD6S1!`&dql!8Ftm293dzw|1N{HPvs9`UqEfi4Hy?FevYMjq2P|Ol{7_~%JajL3z z><{0&asepVbLSNwZVvxPb-+ZI#){&PM?e1w;@|Rv>PvG{B)@@5+imrRHipP3-7#CM zuzq5o>$KVCx5v06QWD)~WF9I*w+JDL`OUPi<-d$*o%*kR0Qe?)fK@9Q6&E!#+TAuaT14QATq#V}gHbWcy;#zVWP2+y z;45}ss<3BFd~45P8r9Qllx1|au{JNdk^|S1m4-fVthcFx8`%W8YIs@(jJ=l0#@MjR(pPGs|F%QF9zPnj|G#S%}tGv^hk)3kmixM9K?0JPv z4mZZMaVbw5DUUZ+@$|y1k`~piCmz|o3k@SM@^c64`fjcKLYy6Lwv>+A)!|(*&nj6`_*4BDZ?s5TV`2YVre4A!hc7b$-c3HT54gL3+Uk& zzP`{xGZ&?FDD&7H`VmyU|5&=>!hX+u+zJ6+m|Uk(IrzJDM4%qZKlYWJC5CXR*sbNe>b%CZdo>Efu#w#WC2t0%h~>$?wP9*~LW+2uL^ zKIpl&s!7=6YrPfb=4+N2o50B?< zX;S4(N~~9{)$@Ctquz1jsn?PpzrX5VSt9=9>-c6}Q*4)`!0`3l4pe%baj2A+GpkGa zAgv_@(X{uNc1YB?xut^HL*}zoOIzbG@8v<+Y)iRlDov5tCl#&QKsoi7z#Ba zIvM00&}klKZ$LY5{pA}IaWsU~nVgCHLw>3B?7X3|U{I-4!%j&~>7w2%_a+pdn;cRH z(b4uGJ09+Gu^wM`t5Wz2Pp<{reO{84Y^82};G@^8??JLzFN(>+zu5R=3oXQ8O=-42 zT15DD5%i_TL6uxDUt@(lQW!T~|QxAgH;svo)?Mhw^4ZlXOWdy`6wnTR5WlD6p6p4u} zeDJ5`uiAAKNQ37>Zx0nZEN4j$;gsr4kBlP8Pn~ij(9r6$C~fLuL3B=}={5es4f|$` z|Lf}uL643zsK2DDrXknTx7JKebr9fGM~(Q3?CN6`!VRtO9DuDT1WsdygVV$K155?a z_P5l>r|eX6O2M;_$A4*|PQt_+wxLJ$f}w5-^pT6Bkt?k=s+B`JGB;ZHT)519s$ zSMMHv;j8d=q#gH|FsOor7BIri65&J7xwSZ1Of(vR#@FrFtDdNTOGDkw2sYr{5Hi`QEx!O^0QBFyB zx$Hc?5hv{LBm<5mxf;3@z*G1DIsf{92)8As9WR~-gwhsI&e zz+X)WH@gm$K347w&rh%M2v@snD5+xZqwm%fe`6IN8>rbY@JjC#)ldfwa%YTakn+fY zqvE9@P0XAq_e?=_Xn)8LH=f~zpE#eadIX2T$Jl*i-ZXOf1e1aZ$ab*pXv6^{mV(o3}_L(aqY z|Bb<*_8DTu_0%z?tF9I<1E!CdpMG~Xn(-fKcv6(|wTw%NkGrrV-;dcs@9-rb{$Vr- zLDUTVT0oh-buex|H#B;W=j{p26eam`40zzc#Zn3o(vR`Qt9I8S~weQ0!7|GS<57FQ_}wvC7#g+R2HItF@J7vgl9WRj7jK-%|ZRRVdk0 zl>iiRfBLRBR?OP{mApDAo4^*q#Jm=Czh<#ApclD_>DM;p1pJ`O+Iw1o5>tprUg5aJ zk@$y9EQhR+jQ%aIO+~W@)meo$pEXf8AwWC0sDb)O$}1xxjhvO)zt&Wtdw<-eo$CPn z83XWy$^aV*Pzr~t6Vh~9shElyG)TBW^dSEu!U%(HSE7l*B?~hcmt0-(a{B9mD0?{2 zy??9|m`*(0sETbMNwwUdqstlsB*KWR^=Sf&HJ-q@;pM}f=aWJ)d3+%rSQewx7A~L{ z4xwJYgJ>KEO9&jP8zUCIpnW)wfJpL^N*pkh;MvD~sd8lIGo*2MHeW8kUiKC%v?wi` z9L%GXU(3wu#LoTza<^1zB|ILcz>zA#F3H%eKdo8^4*vYG#^y7xtSV1gZ$ThAx}z?w^Vm_#lC(Nb6$N<%MQv0NSI2I}Qgd#73>XbNlo3z3f><60 zbb04ynwJETA7lEFA?eZinSgeGyDZNn%UXKd7J3{SDFJp@Zp<4|<|LguVj0Czl!SgD z4h`G}sNCJTBvX;=)}~JO2!ByJZGjt~#eA19{*2j>7$plrkqFJ*Tu#KH$(QR-#^8hJ zI@oA|DhPpxpTI{0!+q)|&55*wf~jaDmA9Ms_KRPmIJL0TPWPu)vC}E*&DMZ>D@pl( zA_Qow>c4PdtNv4NfNMbX1QwG-Lon(U3HwSz-_BR0Ya4NCU;14DMXuZrjP^4w*-#Hx z-bZDRN^WwWyZH|+CZz)oJN13QfxvM1;2JhO7A-TYwMzpwGoKdHJ3 zAwts)h*#%Lh-oB~AtE!{Q-gGvU6<)+=e&VAaNz@@^#4WL70+FJtN!8bVmzuJe}oEV z$*HP=c9VU2FN~2$E-gtcL`rU^h1XYvF)>Rg-^5tG2{D#= zkoQq*an~;biys>EfisN>^`4TfbX$q$Lh$&SJ26Kgt+;Pxt?B9D>HwuJF0z3gEd|me zutO!cmti)7Z|@jYkD!T%(-6D5+LEr1$~F541UJ6(vU-dgqj`u4eS4CulF?7~#HFjM z0B-(=Oqe;CMQ@@Zj! zNMM-EGHA92;iwFPw%|?Qzf4HSM&p$McVV!B;r&>{?&+eFNv{gJZ*>Ra;`^y{US}UK z9_&jk-Pv7^6DM0Mi?R%t?WAMDci+JA#XY)C5q>m`IWfrHBhh|}-|`#8vbgN(pZU zh}GuCda4im^tiq45@(aYveo<#4rOs5>7q>~6ym?{N}GHQGQzj!K!SsV7 z{^(-W{ZAW0RY;`W8t9+aw~2=%KiYP@HZnH)XK54J$wnUpll_8vDo81Ze$8O(fY%`6 z9PWb9o31{y^4~vr8A5Xtk!{h6DHIk}NQ|aApY5=DZQfAcos?^)!WE-ngJ&RZ5L#l^ z7`$jiK+Kc4dQ4$CG+U0Khchs~LV{ph1Td`c-3031y0?PbM1_c{5Z-NHp4k~oeirdf`*#TvwY1SL1+=Sc*MH3OLD0wWPEc=0Kq`AmeVX)zwp zeGMPsa?Yh}3#A$Z=x&nE@N-~cXg}Dl_cyet6aDc{ltAlT)bsu%WYx~R9 zGG!!UBHXH()ck7VN^cJEhh#(a_owv0RebbnQbfrq>a88ASm*ihqB}DN;FW$e!xZ__&Og>OCu zATa&+jJP#*?%!6Zi1%Qv#TAL4BF&J;`&|0auKM+Cm`fGJ{RJ#P<;QkIiz!Nt`~fSD zcl>x5J_FO+cDKsY=bp9QlLNK@C$k|!OKxQY-TKivA7zYov3d?guv$a9Tj=<0s{MkJ zkeW%?RVj+Xf!zI1O&?iQQ_#}Rt{hXqu+hK-$o~R--y8(REr=uWoM0Vzv}bn`@U73q zU0}^w3%;gIZ`t{k7BJ^vM@D~YgV?InOxUcOCB0k<2JRCA> zwb>()1cqJEg{|Fla_`hyEcGyZ4P6&ro{CTh&G10NLIvch97TU{!E0n*LpAkANJa-O zUT@*f>=0SFp>kO6eghA7&U+kLw!WXs|6*O2P)Q{PEBoIxl8xgnOPAF`4G$u5I+zc5 z?SGy$1L^tEO9N=FAn4u;VHm`At0<2BGpx#zRgIrMO&2PPBfK1orH_!@n3N^aeL|qKoqm)dB!oZblI2r`iHtDy|#CF39$JXA&U&ieZ40YHY zwtXZXHn{)q-Q}cs4dNuSPz%rAWZuH$cYz)n9|XP_`1w`OL{9i#L;BTVa>|QSZwB6n z6i6yiV|Go{SMgk$`@B`IAQzpblrkG#f{$^^#eU!laLBdCZnor27-zjHpcIxDGiV$RQTK!vKZ5qx=+N&oeEfPS20YQ z(-8X7yZrpM;}e$b1GTzt7iwUCzE(&t&|J zaDkhA%ku;#MH`nA$UlQf2@XdSD!Gqk?0keFqTZApjgn9BAhzo!k>GI#l3rAV}R6dU@8f)6V7m*v@9E|{~9DG0e9|-NBs(#F2 z9@V&)_O3e85CrZJ{2X%y1PSSii=9D&zwY#1wF90H#^4elTwqm2Ll5!S`idSCt~u&9 z-K4Q*+W0IRQJflF*DWn1c9uhWpisegNBI5zLnL-*$OrA?pIUM1^o<&mh=-?ROn}5D z=aGc`%7t@S2S-G#iZAySM&I>yS3FZMcv-$%EE*4gVGETe@+tUBz_R;JFy?6@lH?%_ z8>n>tU$SvzPJ*HQ5)XU%BEGsprx^Eo#1aIjmqlZX>yoqHy}!_`Vcs0eAh#XMjJim# zdLjT*e@1E0m~AIAILq#oil)dDb3(1{)fubetp#GSa-U)G{b{Whi1AfrBn?n;-bjTU z0Hb!a2UiP+z5v~az?!z#_${{`Ej;hJU!k(%6c10yK26uX&#U4(4Ij~NH~REIAuQTWdf1>cgaW5twg2!;))k{m!I6D5(rGmBJQm`W*@lT<@L=&L7a#q2W@qYHs!+L*=DqJDN^E&60b+bvWxW z@bH&eUgSDUGRM<$Pq#&twVHvh90}Qx&P#y}^4Dd*q}z9UvD2Thq{R+#$dc>6)FXVw z@0}MHS@C#!uLsYOp_Ky$()Pp8(C@;ixT`1r_@bCBY7jyKjei@&h$$@eF+y>H+1)M_ zUumeghHRh!PI+1{-f9s+mqn8kE-6tC+oDmMh{p^2`@e<#iXg@OA4&Ifh!B}_yhOnk zmh{WqIF&Ua0@6Rc)05~|W<{B*CF~@2(h_(-Rk!$tzcPXQVsm}o1lW+>XGWLTi907j z?{0+B8kb#0J6+>RWD{Xqm2%_RhU3J$@Fl}cSdaw$dbYLUu&n=sWxL?JnHt`aM!?D7 z%h7>m*1!-iZNid}yt%@!bP4{v0t%15h&EI(vD>^$$=d12?WOsm$+gt|0W&=tsI^a* zm-kG2)2~E6!~&!g6HCkNqpCcq3x{gH+P*(1#jb*=`x%-bd{&>!%SelKlfV%cJ!r?7 zCEl(6vlA22Kgm)2TS!1&7Yq(yL#{Yel+HIZ-M}jlH)8eWhyg_*`nGqcxZ9n<1QZs< z4aQM4BkySjzIPnobB+RLxT8lEK;S;K4uD@oaJ)exYEa(6tAa54tML%(qaPu-+t*jW zB>Zflr^?9uCY*aTh;eg3Rn2!1uuR1Y-5U~}C{MP<8Idj=87up}E3gFY14EDsa6^Ve zfx~3h-!0m<=L3VX;>w;H4 ze2JX-)?vXK*$BL{<5z0=6&{4Y;kSMZ=$j^Bl`AISXh41~F2M8FkwC+xU~53bPPl1& zt@et2kGmAzf2}!?3Um!YWA$N}O^H(~TJWDRyMLeZBJcO$@ZJ%|OoRv6ihrrz{p$1n z*;li_)!$y1Lgd)gw19DZEMJoJ(RYBoc)d(sX3)rmInPS}ao38wh=wU?ZKi%iFDM3P zre0a~#>xXkO`&ZM|5$ z7WtjAO?PBHxa6>_%D2|yU+0nBayiYmpDrCbl3_DigP^7CjKWAzI}7BFy)e@gvUoF#-ws%iZ#f`79`BPTV>H4}uUP+0w+`r_tB8fXX=de%M>&q#6 zxU}nVV1+JnBpp$O8*IIveU>El^5SxE+(VtXr1I1r5#3kTi#0Ta4rmzE|Vq(@g5m!;LODo^AtjD-0#tYw7>*H54cEjaBGe{i{VCP$R_#32M0BN*80Q zVX(y~ZM;{J$QyLDKenS43T?Rgb86KC8PeYMA@kN|bGSZMI`_Mp`HBm9(I5!3e67m* zC3@nwXt;IS-$zvmU8<$eU-e~t1_?ZxbTcFKq*a=4;E~|%h~GJPUGPvI`THOi%ba3s zMwgXcoS?3(a`8zG-!vXsZ~a!=z-XorHuNA+CTZl<{_sk(TKD_#j&dkDEXcBwk3N+k zFPSXKNerjX)aE`rO)KuO+r~c{(YNzL`5Zb(C5OX6DkTu`wqP(Pl7ysx(p8EH2HV01 zM2&PT#YM-@pEC)pPnJuZMjXb!1iAeU>S^H(4HrSX91Tf`pO@MbNl6yl*&lj`>JmR% z(y6#OI;&ir$ez2*9sA)HAQNAfmHK9PI=}vpLJ^{+QsnDk0y+&gWDK)56iKz-b5u)t zFc@UGCR02fa3k>`*)+) z>5DA&AhYVMFy~50<_A`>+*dEjpH_D=goh82AP(-{0!aE1acwoGEZY*q=jCtmj$m-L z4(OEYTVsjd57K)NkUa6M9~mVi`5v=H^CyzPUx!sU=FTG`^JCkqL;4I0=fv95ba9{P zqC+ymn*}iejp*>g+Pj++FXUes^04jj2EYPsp-M=kF?b$XVjh)WdnbzhpK1T*z0A7Q z>2AZUYuHJ+t7k8T-g1N<*rPh7V8l;495MA7xdO{5WIP-Q7WkR;`14PuF4@Iah z$HvBNYF5D`4)L~Lm5p{$P2zr9TJquWk=3b_lry4;*N>C3Huws?i+T(xk zmc6o-0~mI#P<77q$ilF7V>CUGRdZq71~ZK?b%C${d|k{T)$D&zs|6P@8tYh8#fP`AY752b1sWD% zfeV1HN$=eLw6oAtH<|a^IG_$*NGcZfF~H1vLOzH^!1d`+=e#i(jORI&gZKNPue5Cs zcYPVq+<~A(ATaHR)Xgag+gSyx+KmtyR;P7A>n~>uucl$sX8KGFkEn=gSgaIBm9Z+P zw(~bDgvj)>kkClWO!a5~>UMo0gaU$nhu%x?2AP+_pQ%nq#6h7qy2ua#oVtE&LsW5v zWK$w$_N%GvTE$l>se7dT7rd(&Br z60AU$i{u&`=T_6f16N=+8Ie!e=Ro_hjzHw|+>qb-8H<2nOLbr`O`ZHKjOHoe!o zGwbHJU<)-;*Hous6)~I<7cp$4NW+RHOjRXmymdyMP6*vAMhpYtSIO5StV!|Yl0%*{ z6*8j=XDWxAT?pfHn*i^u7mDhEXHga)^!P@8axB-`FSTCqp;d0a+POb5O(&(g5=jXf zVkK~Sc^PcF^tShn_rJ$;4)|96FnvVAiBenEB@;)sg8|LuKj2XcyX1nE>e%B3zX;T0 z7RgzZ+^i4ixM6q`xOYwgtn{w;U21+N3>%brGGqqy>;;~nb(4l|RmK9k6E2vo)n=gp zG|!$>2!x!cMiS7q*;`R_BHaLb2m#cQh-8t%@a&HTk7q7poua0txpy-5tb7c%pmK&Z zt1ivv&FxLcy;xJ2S>B^7!KKJmyW;UTGNA+c)r|~B!#2Klcv!@j^n|Ray0W_Qc`mV6 z4)!`m+r5(ObF z02INna$HF!PEO0MK2}!PJ(U8kE7{>$8l^VJ7i=^kEkl1!q>_3(_v||l!_SDs-s%A) z925%W9S6GVulGw3B#O3BTm>lRY*SbuH#|fNqK7PT73aCPg>7JlZ(*`m4AD>Pn2Z2+ zAntk0$}Z_U1+8n2Wp!p7#D)ZP|4NjwZr0(Kf| z6~&08gTvLvbE6cY0C|8)stj{tC1~QMOgFPk>k^2gHBui9I*mOrrsIEGHXn~ChO8TO z)*3}!6&>>518SUt4N$EEBW}~@^E|i3KB6v5(!4T7D}zjcZ`FsoFYN-J-+t`BZyaO> z&^is8A-l^n)G7!GGVgg;gCLs*N*P)!oLeh?EIHw@)R|}P{k`hw=d)L+UQgoD_>Xi~ zBfSr_9V~|=kO54KcuJ%RA^U*@x1S3FS9f-TqSs+CxFaq-eCw$I44@|6r2kbvM}}k= zqk-YIa|&q^x=^~{Kz!qhhII}@BTt+|9z(z8gdur;#Sd=%Pk_dmq9sgTV~sth&rxI! z!a_ndfU$5FPYRy5oe=vu@JIba$0N?Bpf&&yM-u{KL={^gP$}a06dALi{$vSQAslZS zEVkC(Nh( zK*kHd+rw_L^GB#5(&uSx&OuiD)=*r36PcIAWKAGBp6qff6wF4PMLhfrAY_~HVZe={ zl%t}TbReKwQ9`gO2(9@~Uh`LNV$RKt_PC=J z?@2K^4ODqI6*UVZzU8N$khf)jNSahuo|(fl4D~=WN>&Dq(oiUT1#s$mKLlk4n41_k zU^~7m$M$2zh`!4(Wn~8<_7{sbj4*Hi?Y{>C4BWZw$Zk$d?_Z1Aob5&a)*G758X3_K zod-ryL7qC+5NMV-MZH7&Vp^(py_zQwh?fcmx6Fu+))WXNjyq?Q$kEH%eHkP9?X5I> z^{HQ~qi)iTSP5T3cHsATvaBVI52H5Op|0MEHpvE`)79d*sIB1w-WZaGcwvo!)V@70 zhI_%8?HXmnsfXs6g?p#bI36hj&&b9kJ%IFi(q^q3ukZ;WhI1&4eKn>BJcLI9AG&)# z(Yk(k#1k{-Zq89Cyu z!OGiae~oppj6SY>lzm;-w=#Er5FFW9P{}vBr!*V(jo_*BvtmAyg@4~BwG5$uteVa) zmk#RFoV;yg|OX^Y(i1a7^UtQ9)F4?2Eh%Pdn@B{#V)b_E&D`F%jNFw%bv-unW8C=lEb+ zf2v~zAps*8TNx)ApqE6BolUY8BtP3(*6rQIc*ta?e_6^E=ISt1XIEOKRLdv$igaTy zU={55<}dn_Q1L6}PblL+9<^q|YOwNJZVRO{5B-?N)}&mv7SY!n1sKXZt}O*ipMR>; zV^D5j^pF<0qg4q3oxMl?QBPFahJHymMq3F9^gOC|d9&1MHdGP3)J{AMeQ5(N+;t0) z@^I~)wefn4x?A|CC`ZC|zV zeIzF|+U;mbvP`P3?{>B04p(R`OV~~8_TE!$*%{^OfbSTQlJsKfLE?HK@fUf*0uJeS zfo%c=j`DmgFs?!{w=X%A(7ADmD-!ti9fR8$fA$kGavGR3G_OeDP5|#-{{9v&_PMSk=fde8Jvk$E0P# zk1M=gnGwcvdgqqT{{I}O#+fd3aWYyf(<{iFACyqn;G5R5aQZ+kB-18nz%i|~Y@k<71f(nSR6uD_K_=ut{ z?qivBF?N~~t}bAD*N^ky!S1X&3^Zh8%L{{)3H1T*R5@}^D=$C4i}zF}d0u=ju_@qEK3||X&OcUx5>_`6`}9}h<#wH~_`Qh9>U_aQ z=t!gJJv@;E7l2%Q1q>kpA&+qYdGE75ESJ@)veGz4Es-r0kYHSQG>|)271)Bx@ELLL z@BNqUI#7=E%4aY{^y5r`w-gg4H+K=q@wnGls6SNntshGWgq^-R)GXn;*r&U(+DNIm zQqb^}V&E!VZInoI@6>;Hpf64%KEgO51lVc5M@i{ki#0pcFB*=!-Y8uWuYVh-LV)T-DpI5_8;+)(7rDD z1}QbnDEUnKZh%d?2wSk-jqAXz3$^YLRC_dA9`MdJN{-pPxG7c#4gRR91ls}@pKBr5 zo%M+~5G*sTY$%|xX3EwL8l&@gB4!9PdYIIVu!+bkN*|jyMfNn0MA*!Nvh~{{U}hh& z*O-0iH^`XCUQM|0lumqup#%&itLu$2C5%_N@ThnL=glId(o4#8l&q;{OGJYcO?r2QMQXkvN=ZO z#PX|~zop^$(;+r7P|!s?C~jW`t3fE=9UQfnt7i}3h3%@@K!ZN&p>K5xSk-rnF=uM_ z3NF{~E7@iv=SsL4vvPn5uV(*oe4ko{-cgy7sY@j=*k+5T#g_$mybz#ur3#w#GLI*M z9WGXX&~xF)Q^4Z$M|f-uBK`xI^|Lw-R$!h4dT!eYo-kSe-)XPO{^{+!FG)%}T)6Xl zAm~99E){ZMM+w}8!;o-AW*Q^xw$qKW} zObhKdKZi4dFPw7D>d&`Lhe=b{J-+>EQ&R#rQ&+s=C1E2S27iO2q7pxgRdX}%)}a^_ zpa43Z6K31w+z~%{V+iM2{9*z4((Vsg{)LY6s;<~YCgvE)R%jlWE z?$z?t7f1fmIQF2-PYg4^k}xjRZk&Bc@OP@&+s>P!=g>y44tLdM!44()sG= z=C$Izp;V_G{*EZIxnPD7pb;T6>A$dyw{K|W0{vCKPLp3MNAUIn3N?y7Wz|Dt#!1k3 zL|$$_rR8X<`*EiaW4&e0Fg^dhIX>wpq2*7~6op|`tW2Otz=6?ruP%VD6sYe&>!Z11 ziZC*?_(b*k9%n(!luhMQOL3`fmO;ud^*nodEm42z^}Dv`3VaoS#RJc+4{IvzW)-U3 zcH|HZ)KTslL|At@a)_2muIy0AlkqzEwXkS8!b#&L2VgSnF2ULGFQndC&{Gq5VqFbn z*E)d`7(`f=;vdISeIG+iSz+HMnX0=9FQV$jXGVV~jrjh%_EJL=A+w*7E3Bsvo=z8h zc*m=^mWk!dy8N4AF~^ zcS+}tk2<#A{Y}{#?Q08AZbp-O0eCrqZRk&7uOWAnQXRtrF&NyVm%^G40ALJYP0`<_ z(VZoNzXX@sq_s$yB7vr=1gwt4R39Lvf`$S{ChCiH9cI_(c1Bx1!ZjEp)18U64({GJ$|YX33{9}kusz4!#n zl@gFI!+*ALR83qlww`J=4u}FUMB?3$zdJjc8vLcBww7PRW*e^uzcljI*{||P*;;0j zl4_7I9aA*?TYXUh@%ONSqTXu<+y(SZg0H$@buUk+s_OPp48R~3dsp(UnLF2e5u4(n zvy>&|Qq<;n(ncK2<44bPR$Q}wqKT{{1!23+CTmqcx;m_*chxvs!Ezf;m5>dI*&1EWvNy5SZAU#nNr}vl z)4v=|&T-mJqeix}=;}X(4;K!1wgPpz>m|?^ORx_J$pC#Kk?AKy#%d|ulkMOsyFWD+ zkNUAGY|Yd(4Kcc}QVVdyVtYKb8@cn|02w z>3j=5{7@Oh%wkw_Zs%Zt9__;}MBiT&ypP$v_8#r5EUC(k0*;fk02~mf)FPDa^LXAn zgbDPvu+zg$Hp-u!J_;OnOp6w_l1Y2y+w$CQ<`D_Tg$@q*uSQ|JzCgbgNdQ0}>*j=9 z+Z^AYW;_{LDXW6km{6rP0>JnLhQ;FvCVm(>%lfpqu#hqwZes83VOCl?Z(ff0#&8JR zoi0cCx7+O!o?4afc21dRjf7pr$R1t)EyG5?gj)9<(*q{hh3;OtEKSa%$t;=FX*ZLa z$|2zNtsF#T6=9Rg`kU{eQxXSB7CUTSES>y3-v}N)m0gl_cl~~mnw7+n{|Ny;o+Tq2 zQ0!islSulypYxAOyL57(ghl4pAFp&}@mFouBCIIU4Bs86JSx#!;|LJP|L5TWgp8Q0 zNxC&-1L47 zStrh9@B*I;n=M+^0hJsc&PSKKm#C&GCO~P!EBHAj<~jHv9&fltu!8PqmM7nbx32c^4~0BpPRC|n(W(`G@t)x#!cgg5y7kQ` zQgyv_?Q^HJWVD!~vU$g!SyIl&bN>T--sRu6r9r^6MN$k9f6}h6Icf=HHTb10ctCT= zSnGhsg>~S58dGU=;P_4>XqmwA+!utV+1^LrEl^+_*uXh7on*0AWz__xabcu?1h}-m z-tUAjxAZj)mjgoJ5)zmYt_Xr&y#TCYj~YsuxjRY29JHB~$)lwS-!DDj*}LvM(Oy0o z8#^z1klCE5XVe@JjbfM=Bkt=4K|M_kPtEuyi=MM`rRBYNLHptCT8`TA%q=AMoa*^# zGdl;8w;F3vjEH{VSh;KIC})_tFcfw8z?Zam*;S5v4u-CTqiBD(m2urSUOPnK%n zdo9f(dME${Y+mZ&pb))%t~pOe=Gs$K^Qwex%9~LKb6<~B%=d4q2U^Qj!R3f;yyP!b z*as&jcx(MO(9G#$_)if>$S3sxRAPjw~C1G<|Zo zvbC!=-{XbfSjXX)`t^3PaeTY#)}>8Tl}Lgkm+vP;W3lw7P=L9+m5~0=1l9~t(~|}j@)_yu>uIna(B`PGhUg0q#v8A z(GrYkHcCQ*TG>ZoRlaA22lb{WK_A`0$p$pVc{A|)(Rc>vsc=a{7y~^Z>E?vbkpbLo zQnKXIp(0XJA`K!P3yU-?sfcv5^a9c>CDQ46 z7T@oD-?^@HUGMv!{Pu~Nd1mgpXGYI9PECI~%#VVP6^ZnaHECi9pCWPaUH`rQwyddc zSPu(0CEg@Sng}MnWsP%o?-O$bG6PniQoizY_(4wrd`P@NMZ-SV*cB(Z&Lis{r8AO; zrohQPAc|$0EI_f;dlaH(i2w^k@vEU|bV9H+e`f!WRo#ot@Y-!P#GRUq5hPbqs}T-& z#%-{pp$VwAkSFwc8>noy;{q z$bc9=9MFTcAb;f{5Vdb!x=KB=e12#9BJtwec30dkHHbcS@Ms8sXXiO%QyIY||IzVP zF9u`JqUsk25}hMR^2tR%wmDtkY?_P17{2j1P5wc?D0>(u6aEu---tr72suH)Pv|0K zlka2q>CLa1Miffx9Ev_Cw*cV(#%vRFtJB23Gx240)MXt?t-pB+?-UTZbDyhdjRK*D z;=zr-1OD>W60I#nXw@`gSAmG5ix8cDOT4k&86b(Y z;1bA0{SzU6ZuEzqmnJy{WDQR{o>40kD^G)e<&epvTh*u3H2+o+(hy(+qyggy!87=D zg`c|JKuR*?7Z_Zy7M||Cb0)a4s*Gq3I1h|2f!}jwz;-o#7`XsRqcy+I*n)s*!T1U` zn(B(S*ePG*)U7g&0zj{A2&P^Zv8e$*3Eg7v)5Au*ZkYrDG07VRrtp)>1pM;;a~M3gS+`10=|;ToP+b0+VvX%<1#*S85J8Te=aIMKan={amAY4l!y zN!fb3=uN2AE@b%Up7{0Wf3~-`Eq{&6)Gg^h$N(JiV!&~bb?ZxbBEGc>ua#X*E%oN@ zP43{}FPLzJVQ&jS%!V?VWmuC7&B~`%u6l6QC*|TrHsB}D?NSz6dXb(Us7*;f&|tz> z1k*Zzu=Eu8M#=~&;1(z!e2|%wPyLgTl@UwwYk=W{O%1`*E?Ezjm)i_!!N17*O(Z^N zvE98Q)UEu0in2LB&#J#*DK}7Nj%T8BHmYajW1$jY)jP1*Kl zq*$qc;xe8|WaDO^6AGafA|83xkSHL=)|tXUf=4Pv3Zfti`A{I-fS0ZX6VRXdu<}0X z8_%nQ$j!j%@Cx?wQH?u!1;}a;A9%G0h{ye^VMOAA(d&)MDRku#lmj~@7E8UFu$S3Q zejiy1RK8B$n+qlHDDJDY^1EVNyf|W{bK|IE{t?0E8>3Wl2m4Zo6yrQznmfNtJfA)@ z9iC95D2llalV8^Xm%VH|N1c@KrPrKGcUo`Lxf8F{@)O8#)HJp=x zs0{&tZ70$8*rT+u$4-O*>I8vI5t8ZlZNlvhW0pA3l^<^m*LB1I41Fm%k+bT?)F?mc z%Jl+o2!iJ^L(kZ2?`yiSI+4u&OLvVeyU3P4%q3vtUEH4#eztk{+-EXT*WBq<{vt1!Cs~W#f6;EoLtD0TY^wzokV7v{1 zO6^S$Eq)_b-b|EV%ed_q%4D)gQXH?LD~7kI^v^CzWEQ|Z53~?L&7E|jss4WQ7zA@d z;-xE*?Vnen=n8~DGMYe9AeX`tVPr1-q+X@KW={Fg*+V5bB76PR> zK>^B>x0A$=t9~1L?9R@`1YC!*+BRAPgUs=Bdw*Z-bI$=iXDJEOLJ=+A3NiNRK=G%S zP(b#iNT=$)Pj4rnca1OsiVf8gdDjGNVxOAxUqb%3EW&0xhHoHchL*!eh#m>TqzO6G zk7<*aM)%l{EEvb_bYZMMLCFiYv1ln{zpalrvtuFA3w4>%={#S4=^)tH_7aK0q`m?! zRL+aJD<2hVWWQ(yZG6BwFMJ%z-V__ZlE>)6V`2~KYUBBKrUoV;pymoDO2L{6FJ z9Z}P=lik6`*lG2)*7;!5;cRq3)tQfI&}jhDk(84xZy``kFftXuHXgzUgwGsW_}(H( zLEQ?CI~ic4Fe5vMlR^H70baXGuz9U=EACe|5@d=L0l|=uNEadbRzVkB^!kkt1x)z` zOgDl50P=4D$*2qiQzHMi_qrfa^n3M@BC zg~IZ!cdu72$zy~=3y$;3aY5~pqc8Af*Z7nbg2xjP4`_V4H{jDFH_N%1*P_lGn33$mn;AOQ*8RnQgg?Y;4~tf5XJa z_%^z4+}5OREdd&9PDS{}lN~L#M8M1cVL(Ms^aFCw8Q#V3@xe7&calSqpW4Mu^`xQ{ zny-kF3L$h6UZ%ygOdoG=2MjOv?s|nQ%(%>xS>`+fbW9eYy0>N7ChXla`)lp2e|fk* z@*!I9x@xn{2mxFL!ZJ<7F)yYFurLsa>%~L`XmD5sxJoi0s}Del#v}zOMLw^{0+tbU zX{nnn58{q4awhoTbJBIM=s;JmNEXi=SaWi*(qw}9r1WYy#y%}Qt~#u1%Dxk+-Tq9^ zrS-aFNr@qfw9$jAbo`l=fokP@n&C??zBtB&I0d+eQFJ%?5L!Q86zBx?X2uJDB2*A1 zE)t&|=}fNiqPyc8XFCe#}qG{_IK zMBdFpqtxHo52G@?I#X}tB;0-RStRMAapBPA1X;)NZEB5=ZDZADWo5eQosWS|7r!qn zK(aLG^51$7)aYvMx;h}``aT@NU@GKf@Kj3vwjW`X?O~=IPR{lq12;Pz5#p9%d zLY`+U8n9%Q?o(u~MAaMGWgcO-PGqTg-#j2n?-)hpMCgK!192MQgke@@WA7+ zH|Y0$9r}H$oL(}u9PP8ixs?Q_cyEc_=(SC%qk3)xqTe-)3{+UjGHX$|JE*wocB|^r z?}5?_4*_Q2tBQf_ui{J59f{xh1>RI2f9y|~+7tX&@_+}ro5KoTuUhkK<3yu ziAA#AD)3+?v#P2}dvWCcVE4xKd*}7`mx@13ztq2X37=ljnQwG%+1zySIa;#j&`r@I zFHIYJPo>D2j#h0=4VZ61PY!lR>b*HkDyRhsEKQhLzZfe`!|3qa$a9mS53Sg(jv< z^FA44(^8LuRY>bs5eTdv>;!GdSOJSTIXe3O^ijDm*E-(MH@k|Lc9=B`3w;j~4EVGu z-mfzSLGX*$Zq^AeKh8UU3+nw&yDwfoQ#JMo#HoBA+kGAEC~EDDzc%!RmrQG5S9VTz zPjAKdT)y$V)r8C0Z?V$(d0;P$6?U0f)I%ulag54i*_S4~peO&UhI@Vf0#LW?@y8YB z|2M({;YQC}ajrU}i$Y(tus5B+(D~ZR%{!`j1rskca%ewtcT#p{KYK9Q?w`Evma~ej z@RjbhI%$_N%-i@??eG5=c(nYQh;U=O$_E?*pW(fng}OzkH-bLDH7ALz=DnT`+xp0 z4@$LiMEsC@owj~!DZIeF2<8<3cl*)w z>B+4xUoSV;)w%QtD2zHjq^r4G9PmvEB;r$rs=NEIxOcxXRC6O*3YhcQtJ?KHm8gc# z9~CfRvNxEqhF+-$y7Y&~BZ$Ca$gT03g4OU_+xtg7jd&DF9vnVhOq;I|YrdMiFV30y`#4QB3uiVG!c5dBPhb6}3A-St^TLvy$bw7)Jru1{-^p`+1GG{v@R%<^I zX<(IyBx{f@gsa_zR8?C4P482i!Bxg?uK*+WLMqX1VUXUP4Awk3C?C)rF#<*OqO-Z`k4RZs} zMcjCHuSI^B|8sJM=tHHwm`9-<^vOIi=xzie1Vc0pmdqL4C9r#08d?lJCH#Rqd+Th5 z2>23&oRHoqL0g#czJHa&i`s}K%|?|@tXq&gS}_S)szn;Cn?Ao8ACPjTmz=Vj$Uf-p zl6-R3#-ZzH)u0O{XoB#YJx!B8kQJ7rci#n~sjAV9UsA}s$W7pPs?mW@h!@@S4RMTu za?_QBJOVU6#Yh8^`5exA=@o~zjnq_?r-Xha0oO{e9ep zBMn&KZ-X(4Z=6)|i~a4|u%vnKedKd(The^6WCTK6z&(oW2WXMOlZAR-Xt#n3txE-6 zLdI7TG>zCDL`14mSoQDO2r|+jj|rWcrQv9#N+GYuTi}jaJl2$oj+=HHB^9~qgjjtx zN%9z1c@^|JzLLowHqe5pu)ddAu>YMNoJ*?LGi;|lc^Oy5&7LCKrCdhq`k66jqjwi= zQ-|ye@LxRm3B%3eAVJaSsr6fs~5aIOYz7-se^X<_@7t#SCsZk?oJgA`t>HL5e_--2p977!uNb zzytv+6N+rq#^=1x8x)Cg;zTQbarnl1qCI!n!JiXCt0*5pJ8Oq{@SodPn>W%ZzYHsu z0R`8}uV64QTB0{dGQ=XVTQr4qEpbo}vXz4s-=dND&RnrXN_oGe@mB0cW5hl0D(owl zeK(+apqoQD6QL^DA2BHTA%Ir(wADY}xEu(7l6JzEhn$cI#_)XNCoNkxG(+&1s$#r< zkyRiN3(g52ewIQ9PRn$IRChLP@41x6JT6IRvo1eBDq<9zMUMxvP=f~d_Dmcvnf7-? zA@unMSF6zux167DT-4nRR2&k5KzT`44xSBK;FcuJkHaTwCwdoMUMLeUvh`UJ;pI;4 z<_AC&QaOxLtb_p_20%v<&JK%%3oXf^P7?!)uY9 zSBzN({!AD#fI|$>gB^$rW8`skmrBqMZ-#gnBwr^k*4u-@s9Mk}CuyJvGfV?B%?orz&b!e%-nD9!eWHdVG`PBGE z5S_rt^~s{;+*Ym6Khu5Hm|`wxN2$IPi1cRX)QeBPXgW z1MwEt5G!F~i0!F>iYC+RIe|u3)0#WJ4A2qz+FEBaxR?9#tcA%GTcz%%iX`6FO=hDO z|ERG!_KP^5cC4%V-K?_IuII?m^^gA5=i?^GSj%0#FROjQsz&vsgDPzp5I^iX-fcWR zx;*M|b&Pu?2d7b+^GYA`)$Am4NCa9FF-1pr0z`|8l*! zzq;n;#3mU!&rzDATPwkkKRa~>2MLQsuW%GMPE8mUBj6_>ODt4golq(pI*M44pXE@Wa&3Kqic z-&5tA+*@1kUozB!A>#Y06;C6rSkBOU77Cuh`JBolu1654-(LkKY^U19_~&)ol8?zN zhpq$a#S?S7WCyMW^Lwn8UV<#PW#-waI!?jY?F`&1+q>xQy&B;a?vJ6zVX&ts%2)iv9J<9SdG|G)eZ#l}b z_$CW_YUn}y{#*V@>#}lnj!4{oKIQA1itYA$?!uy^~2O) zQ9eZ>RpB4~U+LpIc5Ew3lg82LL%@B`_T-BYeTG#z=~E!AuibL_h*B|&@HJR}hh_0( z>P->x{6X8q1W*p&S4U*x@C>N^rFRq@M`XYZ1fbDZ%7ya1xmmuq_@Igu!H2Wc31hQ! zB|fVfx(r*7uBgU{ynv2SY*tLzx_Z)%`5!hrA;Q>!x3FoMsa%MX?`ihg+!f`)G|3K9 zE=RqW(=R|GB@=DgyoCj^kt#m>v<$+m5psy}pMAL5wF?k0_c-agHHC3XzpF?l6_`yh zXJ(04alzzvIHmST~CBuJDJ(Q zfxIC@P0a)Wn|EjB;ly91UknMLQF#otA$IpDQ&wv~V=mN+Q(BA{vz7kbdq8WSIWTE+ zAsuPwl`sv%{&I|3zG{1hS=^)U&E4i96zZiWyOo?DhV;I(k9>x5a(V9#h6b(@yz~|6 z%viQ0epxTUJAw`x`BW(i8Tqm9RvYrD zU{`l6!2%K`6lbferlw;_rv`ikL8}V=u?-Xgfzhf#eth^Q_5qd}Nfvn%yb1HXh{&K! zr6KsHjsE@q-vo(Dal07K2yvC6jwp6$%H%&lXq3UAoiv#oC(I-VPDHR=x?@x6fGicr<>7 zv5**gclQ`OtPHEamh4|vSnM1VJ0kbRY~w2Om~5}sw_mHu34xg7oeat+C^dXv^-f0O zlV*?U%46Ksdo1-nHM(L~J&|D_vch9Gr3hWta7hu%uAI|0jk3CqF30l!-f8e~w+M2! z@(l@{fSm4VoQQccec1B}PU{u}nBvsl5q6ctjDB?Tu>%Sf`|}UQeRGEkA7zh>^3l4< zH{GAg)S^ofF_aRND_FLOkXc3lAo4D{EM5Ygi>M90C~tI*EmcD;dXM)W^_R~)hbmC9 z56^l-1PfylwjI~vyW$D6Ya|AP+8$u-5rIJ@eQ>V2U%WhdVqwVRsJUNUl7-?b*Vp66 zGl>7#)`m$?zToDwwle61;|3yoba^4AlD)gTb0E2bTv9#k=}0bmI~tO(aoL; zR|HK#YSEBLbjx-Ph5jdEMHh-hGSQ<|g2gm*1fsgL`FN&Gevi+$vc}8p5$*XdLF2C` zC9DC7oiL*@3}!`8raDx1=P#%j-0Tc#o%u8DdLzXf`7-hBP}`YL1?m~q6Obb`umNFj z$(AlLejp7Mpkgbq<2A;TBvZ8e`O8LjTXM^X_5G|thaMg_>=Ro?q}>0tlCowhaVk;9 zwVVH=BllsV=aC9yYdwm$mpbeMbf3pL9zFgww_7`m+0&*ASAC zj%&LrxykGw;NvC=vrbC2n$Ti94(rUx+X7g%#uTO)m7O3UilTgI|kW@-B=8B;&gU zsj_xNOg~}lvc*LG{5rIKrgw>IPz$g)oau+v6sQTKND*s?}YqsIJnGXZ@^`#nWn( zJjlFW$|juw=7siECMrH1vKAhe5FFv7dhTSISX3*IbgarqjZaig47)Kol?8^vNF+UA zAEB@b`nArXMKuIG)=H}XqN5%V9i=>9D$r4&otAWML*128JOEALe2|RQPa76q=Xqf? zELSDl3^W_uZpP$1jG8cff*ZduQH>@bu-VX}hl&YJXKh%xs$XX+s6ZZrwAa(1A@kU& z;Ppf#V=QlWm$2As^;sAKL6cT$Ci)B4F=n~$5EhLV%Jd&e?zSx%;CNX^?dvC9Z8`Bs z3Ctw(svWvrA8&ABP=+m?BxO!8Lf)rrVb0~cFb~gli*H~yP=gWE6bKToDM|Ww^X}#i z+lM`~G69E+e75iO%osN2tIql}Hr7?G8{rtTS%ey@{}KMdt2QYYozl2Uj~4~TSv^>3 zPF-MLL0k>mdHR}-cp|09w@-y84hs9}oh9~OBBl$HXlw8n%87&tV? z7Na)yqY15aTY~~36e{EWRH0EDyBtowq`ps#qJ}Ug^Xv^{8NLKKmMU<*W+540 z20LeQAU{X#TK(shM45Y6hF$AOXiy3I=%91mslB|=@e!*CNnmO*RTRy0VuhIgX311# zm?4bd^(7E_gGN<%MG0MEKwj)t?Ba)kN9_YLBic_$_*ZQuygJb6qx{~7tC@Zskmzb< zER%Ea%TCW(-a?L2FlzR}t45ZR?U<$sJsg~`%C-CG8b#2M@A2_1W$j5ZgYqHs#%VrR zZVhR7=tvFoO~ukgfWkmsFR9y1e3X3h-EG9mi>=YDLcc!cfCJgG>n-d*GJO|}d6b#t zNxLmn6)_br`9=6z{{p*vRlBRTL!e+u!rjee8z@v3cah-HJ3XxNZ%{_}h*@L^z#Y|^ z#hMnrdYU-}j>Uut4j~biBFRt9nd~s$zRg^vo(Y3CIYUepLc2xve0CsWJwBGj;RqJr zT`T;(EcYE?05FGE6`iZ)EWLwa-QUADRHa(5OwWIplPfGG1El(=`7u#EDceTV!z zlQc6_Ed80KewvG)uW$Q1Dd;ZmJt3@l*botc2N`(ze?KI2F*irp8-cE!J!4`OUHjfn4FBAEzNCDf$)A2wwi?_7q%h~7E#yy)`h}SVGC1KUyR7bD= z0C!gxh(^Qxygk~t9A7ySFWyBUelb*|Yrf>SukFrWNxH$D?_DD`*HLf!1B8sF+3*i9 ztv*heofz!C64)80QTR170v*R(2N4dX<434L|BN$t5w}oK^BbOm)eHf-75flJH}ki) zm%-Kw-jH`~a`^7TH;u=VG8qETlyysTb z#RIL3#X?%UQs&6Rk4Ud%HQsh-yZ*uJFS-@Cv4+)h)iM*z-BjWiM!Qz&M^Pik}Zzn+0>1`?`6seU}G|C?PRH-C!sL!{?XAImGy6eg? zdB4ktE53)~8Et4ZhILQkHQt+xBF>%OR5{MHL3B4V6w5UH{QHUuXIh9#mMd|#c%Bt= zuQu26c)ki^rM6@)in+jM2m=G1&{G*&BTo7OTaM-WiCUJ8(s#adb!E7OU@EeNu0C;k zRES*V!Ef%8jbqmKA)mtb0(x)*xkM9ERY_x6yAg7sYuBdT-pX5$B|^a*D;2&Uofxtf zp>gG`8tqK&CGu&ZXcIA%V^3jQzqB)juFn#5SDjo6~~U? z1KWAM=;#G-lh3G)h2d#+#NUhP=@(JPd#2IT%v)_3mhj$m#f$(wY><-ih;Vx9=a*j) zsbl_5w>Ot^8AemGuXn!$u-y}pn+W{Tyv~_X#wt{!<8{6m*2QqZ#a{FoSN5qL%;O?( zZZ@<4iesZz!G0Op{7GozHb4T|oG5CLU<@}NuLb>6;@NRh-?V2-zXM=O;QV91)o>u@ zLx6stS>dpwDFy&G@lL{EX>vhDNEHz=dJcs5-!p{7-dAfgBj3eL3Z2I3-jB~->tU;| z*2wz3ALuKU^&+N|63#mVPY^-U+wuV_7j2jS{t9i+o9bSOsdBc zKG0h9`u_LW>N&BvwBR7+hknS;f-D&x&AbCT)O(iPDh`GdCOG|fZot@%urwJq$4!d3 zwUZQJS}SN&_nP_uO{Yr;D#f@<=ccF%n&O)Fd~u|Bl(6Y%}yR=Na@_4*c7!a zFBA(}Mj*>JNa#AnlaRvyLKqNw0k!gn!RS{QUhXJ2Jt+i-1!(YJalZGca#6hWsj`%9 z&K0VlIK6l}mheuh>5E@QKWTs~o0b2f2m$~@F}Z%wT1_kpI2meycwx{BD2kuFJQreL zhtYlJb)_+?LAN%S;6G;w3j-}mxzJMD7R$-u6Dw^YrahrtUR8w53Z`_a09IGYG)HuA zXDDFn3ISqyF3^358m+Qfw}3ljxkVub@;Mu+rZd3U92(0&>;nac7ua0uvOy@tHRH+)CNzq;RUnJji@}A%p z7uXR9W_V3&MKrXzx!2X@*I2yz_lw)^;m6~fGg_ik%};vhs>iig7v+P#LyAE zLif=|1kC{iqN2BnyrP8zIwF*BC&Uj*rGGS>9NF$I98`>{f*a4!fF1reeX9(6v7?6b zL$NBuyazuW{*_=kCV!D#lH3EANG495DjNo!4VGtvwDzgfg2qQbo4Jy>-bIiAS450I z0OwK@LW8u!I|af-D-CC>lVn3VgIcF9IQb`o(P_@RvVao}bO}h>69B-9gJyDd3oGqu zQRsm4 z`}N`P8=G<^9;m$mJ%b;Ej@kR!X2L9ejq15fBLgBd^{A6U@uT5X)^Os9Zn8HV6ffzr zffR?GkRA@#mzJQtQUaQ?Mp?su(n}p$4Z{1Jbf-ih?xGZP#umZ?VrpaAdM11GX3~$rgnh7Fp3Pg107OL&|AR?Sesb)N`qH&>H!P zn<}-+8^XxNZ<@iE!|&(J5DsCwiDXuBp#Mm{JAwwxIdEptI3fkA!NpEk#z4qSQpM|F z-NO|vq|oH{b`!NBv5qSUkYS|IAu=A+!Wv@X#mP}tFe zErE}5KKrjyAsBFc3!xh>t)g;0OOPKKFvJHmY(x=XW36VISg702OmTw=>^ZN=1@;%KPIe>vrbOco7S5 zgWYM8=_sSCsEz3o1mbDh`;VWWFBuRoK2i)9y%uA+>^SPH=o-Y?iFv5z9vvs;dsqQ- z9_c8%2;&3LRwFh||HmG)iVCJu6uYI1v*m)PP%HcM`MxhS|A?87)oxK3A>O(?@4yt6 zQH+Jqt;k^Sur#NPmXsqUZ+sAzMT_pD3CYY5+r;M@yF-Z@4mf`GA~TAdb9pVzx9-IG z`})WB)T-|?6azE3MF6lPr{X2d6x)I)4_aEA@$P~Xf`_OE-5W}|{ACv2$3RI^w$gQ9 z!(05r-I$q0oKcGA#y%QdA^{mR4hqcE@Qup553uW`ohOPMGR!xCYbD8u4a4Eo9<@z? zfYAtlNa0TyZ7WzO=?vhhb|f{~FMTR1h{A_bZy{C#cix6lmi=kN?5g6%^LAb!T_u&93&R&z5f+WV zmg+x7D%ovoXyw;oGz_(s;1&8^@uCG!7MCk0Q%|I-#sNf42K>h+b7^TPd^e-Oouq+A zUOp)PXdXmb3>(3>4@Xw=!uFGU6*c=-ES(VTO@#op<12Y2j9M;x7piFi8C`F5n>d9h zSDzH8oi_M+t)W>?KcBr1%KIQ5q zt{Roqu15RKf)}>y)P@@z;Z!S5`Zg6*EDVojE^NE(4p8$ja=6sp6E-2?FNSctHx!uo z{`t+{^&%*|Mkt#iI(C5rgt~CplZx-VNZe!(-Y2MANCv?CE(jYd-y#8t65{@l`S0u; z-W%CoF)ZqcB9oPy-ddJt-19Dk=YqaTdo^jIA%f8dn!>X0j<2biz@1F+HcS^s@)$M8PgG>TxsWXK;)oq z(#yvMC=|lA`wqse#xlk`fG>ZQ$^=9ayGI<-mkn5~d7fZ$0;0qxx*@bkW-cg>chN=M zOaHZA415KXKBpipGcqv59N9YNdJBo!ex(SkiU%1Ao+>~!de_3_3=9Ewe~VnbH&N~H zSN6hzdMKp)y10q)g8b26J}UhifiFG*OuoWU9?tw}J$As!5bI!*|8j5)XKt_Pq;gzL zc(C9zTxgh~2ZKU;jIK2vW6zEbxwM#|gGMz@8>Ql&2ED_0U76uQfrlQB{Q_LHgB6@a z2|9nA>$B-{kC&q1iYczdfx4TiQDCtLoPJkWYPne<0~D!I4U0h;1~7VGRpBgaZM@Ny7F7 zg;%U+T4KC6Zd>^Y6qH1dS{n*6dJ4M*dFBlvo@>T7gXoBuUlMn4A7Kt1>E1LI76f`j zU<;DAso%ib4e^gpARaiiyo$8D*9|HL9@%H?IY|YL(7ih;If8=jERNR1{J{ScSI#iN zKF>EpWN(=8J9pOF85Gd0T<9{cm3XgmF1_-xJ-WdC9wrAZV!i=)E&0t@+NUy^s}0ZM za7bVlHA{zdyGD0c`p&c#HXjF$qQM}9@L44TF~~?xcIgf12t$+4BUYOB91Gt}$IQ0* z^rR1Kv43`V<@N6q5aco8GpB4{>CpfStYnu$4XVvI|56jnYad(zKSl>Uc z#VU+2Q>n4u@3zXGOI-Ezh{kKp`KdD&EpM5Cpy_PK`J5F3Yq2odsEdE&nS77XCC6bK zR|wj|WuY=eOzAso_yU+`%^rIZqs<^a3Yw?5^`AALVyFmcKsX~R=qZq9Cw_}5jXTJ- zLf^)cz58d%LP7qebAvL2^P&V9;aiXqcHcAj=6DApKz(v%7p3c3kpge+5wifa1mZ=p z!{B^3HbF`?hYQYr(yqXuF(D}ny(&Vel6tpgaQ^XX z6e?Nm+M{o5(2;39*rpIaNXkhVYM1O<&vUg;w9L9x0;`ev8jWtb*?2M6%m#>qy2=7R z9QY^XjD)(&oR6FlcdOB#*?s+D(ax0<5vUaM%6)GbU+DZJ&HUqWO!)T)${;_U2g0fa zaybyr0ejX$zFszVPX?;HN$K-cG3omG-8mN{z4OcuBLz!Ps1#z`gKaG?lDM8bXU@Deg zBM|m8?|0tN1Ni8cvpH;pyuRq29_;*Cu@V-#o*8e~cIL<>3naRd8q|Y2Yy=ypiMBC zF=>djqAN0Qr0KQ+t6`)9ZKBX$eR!Me>&T$F)}z7D=?A%_ASinPRryI78^Y4`peQY6 zFT~&Z`(H1#)8AJ|YWRHxftU^6_v@Pj1zv$2V1PYvy(VG^b^U$w8)mx0T$u6keUc94 z5mn2P3=m+KR-=tl5i)>!!wD}$rM&YE((FxH53O6@?H*Vg++%V*GG0nMPIasBPmMTs zf)$ll(WUzL)`tMM`8Rlp#_^FGU{K+Ha`&6!BT0IzOXg34KuvB*cifudgY)DaQNDqo zGozJ+Y*?;c>+?^?q7?W`e5zUq59+#(kdtVamwDTSvFENv^By;Uo1Z?Oq7glva>+8k zI~4PgBhIN#j3HtA{3EmN7uYCC=6+f8-)MVx!bGlcB*92Q9cH0_cVL@l@W?4OU%UC& z!_VHvOQObN68waBC0J^cP$uym%(b!1(`ZS)HiovA%LFrco<+{GVjoc4h8COz0^u78 zOq9k0`#K{zOlRN>zKR@I|^U{G~uz@2r2=5c0H2uZJW+_G;w4BhkNyKVFvEnDsV>%-}ftJ`D}F z>zsviW`3Yy-`8vjiXwLk*qR>B~v{*RLu4 z?byLs<<#;X>Ew3%S0(QIm*u zM-|uol=vl)UYz?dyU1+~VObk-S}nmKc*`?Q~jf}-w}=m(BY z`JZ2fBFbMClE}-!)e{iBrt8-eBkjlnqZ$p?s7NHeUPM~&kqkN7vVCW53=0GW-- z)%VT}?xMx*n-Jihkg}r`Y`rX5w41OyJrYu$>p#n8d&YOy?E^h>fZP%3-(8=fld3KIa7x)e-%c9O}d?}e)&s26pap148iG|6!p4 zd`sv8Ljo`pGm^(xI_N(Aqb`M5g-<47;jp`q_`ZNA|09n_s*mxW3s*EhDPPH^dDl3X z*9oaEU=NbXy+|kmnyY~!Xe1lv( zKFZAVq$16-VzowIn!tTuWEV93_iOlsAAyF%x)UN(Nn&7AAKl?P{QX4d4}JJu8bj>c zHdY`yy3%F^Q)GGXUz2y?|LrfDf+;?($l+@Aaq}KJI z~V%e^WQUu;)0r!D`0I1kLiChOy+_nz_#ok&+bm;O5hTV%LM_mDi{msyB zBG?)DcLx!!AH&>kFsU%`^)vh7Rh^}NlI4I08~6kOFgFptoYsqYB_rVa zl(F8jh(?cHD-uXmyPLTszN6#{CPHPwWkHkz9$70#;29Bo@nKxYy}bP>)oT0DT6j;{ z6)-&U7Rr?ug|e(Sau7`}*uPkC>n5QP_~xgGyC{?rHpEJ6Bp)Bq-TG%E@Zv(?qX_&8 zs>AJX7~Ooyqz`q}EY;{1YI)h*_!dCB6(YdLIRwcRU$gKvK< z{+7IGhF{K%BnaUG%g|^*>}vyWS7RqHXHT%-r;)k-I{huXvV4`y_=KN{!S6(TRh>)-l>*o!Y{$QY(`8aS0XSAhOvpDek(cy2>Rrw1@zK|5O%hIhj&=z4D_a_kbFYDR(Z7f#}1cu4;+cabKZUR4pbtyFt`noqL z>@k?GG~47GtNQp5T0hYd&Rj_?FAlfdUK{E4=9b@&i3cto0Pe56RR_&H!PLQqa^SPQ z{%~#@2_CP2Y|l|_94WAQrL8!x-Pc4jxA0bjfQv2C5Z0TuAq4^U5TrOR2;zZag4chx z+A+Jlgxh%3ktg^+F7yT7k|F#3b1Bce1riTSeH{Hx(y0!hXH@aX`~7biqPEK@yl#D> zIuGKi^zfcH_-JY0(YbNecv|O-sHbN6N4LU5>o5ZFA9$Jw&cPl7E}-pg5x}Le_d9=U zMSgHY_kFn2)(HW)1m18$@SZV%-#K4l4<;NyUb(mNyx0%_!mih3;2!{kO9WPD6he9a zq|x8x_-C=Dr)tnsAOPSR0|>6fDgmn*Yo6Qq$z!}0*#;}3He8o=-`>0naX0v%(@SWT zt{0Wj!2cZMYz%UBgy7}>a7BP>HOXhgk!*RuGkHw01(H=ga{Hcl5CHi7|2h5{+~;6S z;N*Wa2rtC&g-8G1cmm~Ckf5F;?-~NC@p%8g#TmCCV1sztIR2dhuKEw$6Tkl$U<@DX|>CL%G|uMEwkI)3X3NT2>*w{KB%M7q04v?!+Qz@ zj}HO8KPobkDpRW#>o2gWwlf>j(`eMl!FE&7f@P0nTv5$3=pbtX_T{cz@^B zEd-z=F#gZ4gQ!3~C|v34ZEwjNyd0D~EI9orkZ`2cq?L>dA>J$I;ncpNuC_zr7^v4n)wsB|IWn636Wd2VljR>pIh>tOe^M62s;N)rqY=_JxB5Pt?xZ}Ophe(Kihuy z9KPc%%@|lg%6aq!&YKKz{(O)EhW^h(SI}4G=!9$94ABcnD|q##{-00aVS)_@U{>Y~ zb^p-?{MhL?&m2eLm9hLNML&-7h(yidFl?Bb#TdCp7cxu7cNFY)OW}yd-(&_D(GWGu0jg zn^jleSvds1aL( zZSr#$ph>^e>RpJmVL=)ErPN>&XNl6h(rq{JeN z?`ny%lO&U`t=WXrotfu`Rs|~C`?SzNG`xcM=K?(+Q=AW#3%Sp(Jh^88QeA1unS zCzo$!kw0-pO!U902}kk%D5T}`Q8w0I>&awejci@9uqB=5i?f^_Ow_j+3J@*fqz(8+ zaJTBHE4!=7kSv4ENj`lx)JgyV2B6y7P~7=m#*G{>kTu8YjVY(JCb2RDO*NC3PehVF}4Kx zMn|<@?8sV$5pl?^u8V(XwAxNXdaSJka+f6Uv;F+^|4{YbfmHr)+;|ZsLS}X{vqN^2 zY-NRG9b{$iV~?!tnXM?B!?CiC5!pNAka29{SRo|qdDG|nd!FCFjr-j9`+Z%nYrn4U zH2%XgyeL#Dy%S7!>kwDu{E6oTWAe7{4GXq}$Y;*?u?<@O1haS2de+w(ma&cA9VBE` zLTlC+E9=Ql&NpXXbRw?DgfbCs(u{ERl?P7`(&%7OM3ylh^W~@X{c%$s+lb_9qiQGV zUl&qt8LB<9POW(gNdYhZFbJpuDPX@Ox(PYDbsUcMoz5~pTa+UQnaU8cqy2}Rg1qZd zaF5nq`QcKNB)1Z>7DbtWGyAVnwu~B%dINiTS=Ng3^T~qB&_o_nm{jDNykb2U4Dxjj z(!1u2>1ax_qV+W3V}Gkkn$2m!iJ&lmqYb|{+H_(=-0KbQaLeT^Ojwo}aoP?2HE7f- z>Q!!>t~J#Es714{9{u5fs@-G@%Xyqn;0a4_OctCr$W1nY$=3EPS-MF17GrSYGj@bM z9Bi_(H-M5K7G5@@+X_EZ*C|rxws3HlC%OIb$&a1q?(8W-n3=yamBidM;^6Yn$f=u^ zxPG4HiIdYbxWeE+!Bl3~2zhm6ZD1m3Vod!i*D${)-uQaVA!}Ax45cD&3ki>7-7QtgZuZ|wAr}=Jo9eb!%;%nH z(fz6s7k#>eE+ze+csj!NbrI4`uulegRc zaSw7-!^@uhaJZR#`VDAZFRjB&1@4-yh>^`vxco|6ms;U?yuxDYvd}A7`7La5PpBGo z=~RECVSdy;^w+Yl{3U;YNuPPt^N6!1?}11-TrRxQL~;2^Znu8@F5Bp^qmC=Af~6Og z)XUZv)u?64essdo;}-HntoLmN66mY~mCum>Uv;B&9>WJOAI9&G>{M)Xdp#2e!VR`P z333QUmDT9&Ol*)nrida;4kpoElN|a}nyC4++qw?WLaPM#9k^}nm6n_dh;IT+!91c% z9e`O%o3Jnmv&M97z)1|0orM=n1R(kKndY?F3FW|LQi7@{f~NS)hn`J?DW;KqG^C~j zN5A#|lFV)W;NsoYWUc7=(HQe%ODH>rCE>5n5b7nV<#b1xxy7VyO}naQ%~mq=YY#%` zB@iqx*@AA!YhA@1d^H(?v&Q;<-*QeB20r&7wwaD(>XE+dEfR^tAu*vj%yDbeZOCFYf4k1CL z^PU=c*swVuo8^`~cKa}>q}g&!-Gyj4=W0<*{9LaMi-2YY?epQr)u!vKbIp5VM$!Um z1UK8e{f|kdT1ELaYJUyhK1#1c9v&wwZ)I2aiDM}hkY7PG$ui6A?Qfp7 zp&ydEjc93U3lHpV#&+o-M+wQj%}KwQirD7*u+W-o7!vSeS+cY5bE@z2tFiq!C8=to zY1i01mwwEKnMF{hI$6<-1baV(#deuWVdjb@u1}=WNqW|3IJ~ZiH2=UlDH_|*f5LZR z-3$^<@*0rE0duRY*@G^#G^(vN0DyNW9KfG9`PxF<3maUzeb$xtyHQDo4D4_5!py12 zyc;GZTtI~cshB>eBqorA!RPKo+&qiF2fqXa9}2wUzW+zsaqsiav9n>7a>r$YPX&Pu6cMvNKN1kCN^>m2N`w)P-`e*PcdnHa4M&}a4z%Fvk|_qNy05Xb~$p+<8-70UYV z(AZP;X)wW+^Z^sX&}-?W!KSck_b6tR3#Ik4-glVuErN$Jn0Qb3)3eScUMB2NBvBQe z6~5l~frqw4sdwl+I(CyyAG|bw%C=DS|H3r~{MkxXIxi z!H+HA8S@iipFByIijP2yf9{aLgo9T7=JAD*zu_)t0`9PtCaUCjE6mm+IX=fD&-Bdp zzV{YzE2eVNYo9Es{kuh+mpI`la+Wotl5O4ENVBJMcN_o6RPDQQWyl5CX$SS!aB5SQ zzWfN936Z|=I_@SYD&&`?-TTo%<>d3DLKX?Ri_Xmb{Stj z%ER(+A`mj&k_pHS^th{W@ot#A zJlEra#5!Y{maU*Ew_l;?)&Q4Dr2=|3ir@4QCmb$wrvuauyvaG)0{Jd>r;)sh;0_^eaAnG(v=~n zP`tPASsEM!1JMgqoOds38LXs4Fp*MGJs9~He$%J{{<}DJWW#Smbr2pwJzcF}8GG1Y zm!htBH9Lev{Cw|wKV1oSV!+;#E3pllM&m&^{YW;SwyddD9o%~&Jke3KLYvV$i%SVn z`*YD%=puf(bM@9eNUcI{vHUrXA5N?9LjI0#79;kkgvC+}1nK=(5P4J* z@D&745kbsvRNu~(ivPJgRxjHMRkXi zL?>D3OuKbyWJ2AKMPPqu=b=pSI*IO+7!69A*yz*r7n`@tW5v~jaaHnqXc0ILnSTZq z(1(D8_J3b=T}Fuyu?^wWyL-nS#vq$&^6LHX-_Aj@$Y!oI%7zcTClH8=V)O#SD!F;A zKJcSW-(9ezPqEk4m#9U!`jKOjIw|Xvj1u%RbYkHon_;goUlS%0B;{pa*_<%)&x~=H0 zKk3dnC)a|MlrYjANwwV@X0Yo6>~$)F$MbsLrSfXvp0tg7Lxcg9f#Ou4wv4m25$-CV z6RoXfL7GZFq^M5^8Y@B{3qoCcN;c}h!ox*1;Qwc|erl5m+%_VzpEg6qL*ViJ?%|daKbJz`v-D~&(r|? zB@4~*E9j*Lh`yMmhd{Ds&R%mC<=V_R?>%%BpWl9puAH@dWC!7)d2&k~xZZ}{zik43 zLTwzG+XP$Jue9I1MF*WfYz9VUa96)8REaEldV2e}^EaTz9(o)h+6yjvAVD z`6FISCP$Su*2jumVV(vqXuW%U`y0wmwjqovb^04Z2@$ zPR2m4nf@nA?Aq>P)*)*mkFZ^oftqH{100>Ov^~T*xW-W^67y;y@l*0!6QDlqrWvIh zXzgPDC5#D{IUo0UIW#Yd&6LFf26;m(wob`ScmBrfloc;VXygaYKMQMqUqhGxQcFjd zO0$jO*M@)#lKsg6slD^uAU<{}3m)mEh`L7IBmSh3kJ|SRZqRyd@3T9ocP9DUfqx!r zP@1<#cevby?O6tA#eCLh49zoXby#uaPN`_}X-!6UEy15f>f!t+&!6j%mccLcOD$y; zZhX*Ce1b$qzXRg_4Q2o}GJrP7S7^T4IQW|z@T)z?%wL4Hz>J@+qw0qy8Sz&f2xYN$ zlyT3C5F{0e4v7v`Q3INt*W>GS7SAS;emGA46|NA=--AyJrf*_2For#qDq}7nK>Kc& z=|g*A0L^(mBz80g4o!?B$2xjR$HeMQ)rtrI?8a~kvxm%xhT^v;>tCYy;aan@H?m=Z z)DhE>TK#^j;R&sHF_}e<_p>W_*f;iNF@M1#roc-OkW^5kO4T1u`b`a|kzL36LPAP6 z_6|=FyYJ5nh9EiCylrm#d>*^>r;otk1QJ;ou13>MOXXn6PiMD3*mK-Hj6dLU3ZsEH z=ybBbT}Ru8vfNA2o>f#5w(^n2>cUT)Y_Gbv-*KEY_m=~v3%&Hw7=jV@qkIT^Hq1aq zfYm#74E2lvU+rQs6GN92WFqQ4XZNStAL2dFtI@Xmy^MXJG;-TCzYxj<`>Z27qBODM z@X_ja$6-S$*Q>-bQIksIho;)xOqiO*raW=l{{l2Mz^@{J*w(@b5v_kxxB)Pf4fKaM z^|YMcf`#Z$VuYyoRR}l^7T}t6UrtQcdCaH4NC|kgTmr1#7743RxOX!FEuN$G`OjBV zD$s!o-aC}xTkAJe((`zf!0z?d#Vq(nw{=x~p&{*cO><8Ujy*sYe(C0!KZVo=7>f&M z3;=Cl(+l@MNmLN~VDLrg;*DqqK7Xel-aPQ|+{{z+6=Ej2~$l5%y@7*%{;Tp9P zYv3z`!7`R}hW`SmKxbG*70f{y_8rBYbmfYh!h%>FAt{Yu>+L^mzf{QEO0LZ7iUv?B zbdV1%Fb;SY2GE(HxlzZ943+#sKl5*y+N7yW`}iT)C+$)O%q2X)ZH$X0Rl{99%+33I z%N5qg(kG@q)PcH#DQ3c5!eIL5ou9ZqI89M~yT%`%vRu6=^*a{wlRAdHZzt=W%{PtHfPPTGXBBx&8K1)PpuY*_7;R$crk z;crBTj#jouR`*5iZ;mM(CeJ`qo^;KQOnGk22D-L|bM@qP}DkeGz{Sv~TZBAQv53VaE$woWp4 zn#RH{_Op<}mp{pPy%h+8qH2$sST?Er4Tuij5uhc6!h&Z)G%nL0j409=xcJ8xK_qk&1pN)Z z=;=i^a31Y$tIk!6k=Ber#&T&|_vPq`>)yFh}BTg(hd13oZUic{!+pFINlH!X#G>@*$$ zyWDBt4@8~@T;AfXD=Z4uRBhnrV=K53&B9){Abw9V5Klqsh#^LTrZhw*3R*vElnn8T%5Nn>Tpf-dd1-|rE2Ws83@Y#a8yd@#6N5v-!=Et#rgRWuE_ z#^AWOmg>NdftRcx?hZ`X@&JuS|@B!`^<{Bfa)SgCVH3#oIImVP9{i z%Z34Nq+usU`YSI5z6+JXUIj$+cFWbcWv#h7rn9Tg1 zf20)`R@qee(P_Mt)xcRuTfuiAQ&Uiu@Q{Q+)X}W0S&s`rOeLqL; z=>egEy{e0}>Jsh4+P&}`=81cUw`{(o#9Eca+gJ_jZSvRJJmk8b^>w1Mp8I=e^(Wl! zowK={rDz+c!mhexAw*vJ4IgAl#}^1(SK0gz2E(J>_mld@%^3$%;U0}kX|TZjUB_&@ zWq=$j$CDW)%e*qd2Z?6i{00Kor?LJdiAtsd675vFjh&P}od_pxZoNn!0PTAC82xx; z>Q{qehh6{I+#v(sJN!z`y{mY2;(KM|%sYH_xgnI>WgQ&f&+A)(XDb5PsUt0rugta*3yz6=O)7jOj-g7+pvq9CK+#z25n$Kd#oh4{F4g2)8UR_jE zaB&w_r?P?5zB%y&CR_L@;kV|B9!DDho)3Z2Ld6Yk8FOxI2o@xAWg#%?-rLjyl$jSDd%$d0NR7DpQ2f8v~a8dgmAAUuUCk!VCSl zc{eKFm8=X8d)RRMBi8ilDNFX`yR0;}k=_?H-Ph`^bjoyRIWCCzDS2*?`Yg6``%M~eq_Uj%(4i-^Ujlvxh@L_*VSq{~3~Ih22Nm5a;fRCi2|JCEEBigu7gbfA&O! z=mCt=un1%TjzZgv`ThhAYRS+E(5DH1P$}4z=>RTivP?I?I)`Uhz7`(v82s7#Xm8&0 zx)JmC&2iCx_&M9R(K`WvVOBcVo_6#Kva!r1vjnt{9BhR$q-5656PQ1CR}rSw#W75VxB+$q3}(1(WA@ev^*`eofc-J@@R|VMSNz;|SN=1GkDO1rPI8q}1L`$_%jiu7 ze0tM{$+63iXvgP!6AG`3kHeTtcm>vgw86#bPI`om)P9lRDvE*>&XVZxvik&1>?>(`C;(ZH_2^6cWPh z6@#3t+H{*IV9zD*`uRl7@)PZff032~x{^*G)>e$dfw@LqyuB=pRBxG7hx%ilO9)I)kiA<;z zBzw2!y+?T4h&yFBXD@tK$j65Fb=9Qt;O5VQayua%1&vWuH$nfUn^2Ug{l-`>XL|^n z;0Z~CC5v>&SkoJZrzWt6us$Y7i2G{USjWTn5qZ-a+0w+V)5DJlSM3u-|BO9w4og6* z2%cqf{QRBRT?-$;O$wLMnb$w{;iu~vDYq>gZm<^So!g4&e!Nmatt+1Ug{<7cF3hOJ zN$_t1t#7tn#f=hozI*qI^e0dX|778V=Br&L{Vhy(9^1=aqQIO5c|Q&-qE|p-<|~l& z4amY^*_6-rt?j!WV@)BoN}_US5>tYGGJX;7IrHs|swQpK`~)=)Nb=Q5{tkAVjn&rC zCjwIXrJo!oi}{1XE9wL`UC~i^Nik0!aE**vF6b9=erETKT|v7YVzbY~l*vbXnDS89LDxJ&TP&@8Jcp-&F4f6s1i$JlQG?*MNGbpRUn zeR{ghap)q7otvho5QsB9g1JSv_oBoFIm496_pNYOU^6DwUnT;J4QC%w%*mLi$(WIK z>c~GzWFP?JX+k|CT^jC|yh;|@QU)j7SDrvzOOd$&8r)9u7w(bsFBLyawDeL2%*04y zSM9H-J*63WV)bJGb%}jZt}f<>Vhf~b!{(;N46j;ZO;pGiGG$GXjSS^nuoE_cK%Lmh z7xi2hZJ)}t=a$3y9>IEMx2+sYR$;$&+_j{BAl6)OTmE5KAqk@KHwn&Z%(NuZ*}qbG zeoHqVSv9!_Q@=FOe{NALTfW!)aJ{Yrfe`4;PxH%hO3kH@h>3 zFRUIR=H+vCC&(bc`?t$QZ_T`>Ws$yy4v^az9XuL}?SAcKF|koC6E;6BU2QMMNcoM0 z&qypy;^76ecI|!GLBApCAem6Zbhw3!lu_)Z%ec&98P^`E)gcyp)(#BU7@M z?$SbP4Ju!Fb$oS&HAMmPB`pZgT;=4>VXs3p!f!(&GjfdF4sNlo13e}s%^CjX{nzfB zY6OTJk-kd-4H`vDhPCsU-UfLCJa!;I~(RU{;yV zZh(OCe5TfZDo9ctXie|6forHyF8B|WofEEwJo6vI=%>80T}+4yB#38PAMLxV@#;uC zJuaAg74~vXrYM@_^(T_gQw~WctlV1Guaoa15F(&E@zbZhO6AS1k2>gmT@5{QO-2su zLWe}7of?u1HAfgr8tMsP=fHXo0=@agcz0@0$D3AhOmgOP2WL_{Mgpe^gmY>f+3@2j zx$p7#yEovG@U9Gx~cg&v{W_qdc(@&PcrMhlY$O7_+UcQ76P%}FB{hJXXJs@}8gfd*wnsz$9W7fjVb^kAumk+L`e zxv3-rF8`fR`DbfWnEuCr$-80Ae5RKH$<6IolzGz!U$@9NP{{8Rk;cNOQRM?) zsBvNq&>N-hcnYlx8i9hC*G?QY?&mHN65f!vdnRM6EB+BH6_1v7)xJ8ce`XfScP!}R zG0qEdim_%iWG{mM4Srt`c6ZL|9pwd&=S^JTp%P0|KLa1sq(28Vs0E=dj3GX7C z@9Ic~{jvc^*(?s;L$Cc4_;(acW)|;@A!b`7RUA0Ta>StN-#HruZ!azZEEcZZYh10T zhCyBxg2T$j60)TLA?QLUhTpcBb`3M$L{82)xW4Py=v=4rNz1{%SK*QNu<3&8ee*FB zqLe&3o(@7Hr70boT1xZra~m2SIJ@neysR!$iC2tZCFP;{``hM9A1#M(iLh$dqBSl< zir_dJYtILxsDsN8;?mzAJP^?qCWcVf4w;Ej6X4b*^%uNQkYrcXW62Wojecl);VJ&w ze+s{rZ5x3;M{!#;Pc}}YwDu>rU@LCyv|=vT`koVha~mbxMOi~2(Ic{#$lZ-?O%2$n zbf8-pTo%~NlI`>FF+zqLAizG-*1Vp(?aJ?)?(SykJ4G5p!0_TCO&;I#%SZATQ8&dx zs*|+-Y#aKU9r^D*rar>ONH%3~HUw~`T)9k(Y}HY48W~&*kX2avpYLu6BPLvEhq4wd z%bXJ#aM#gUNJ){GQ4-bdth?8eq6d=DPxZDy2!8oqq~Gs_QF0=Y3_qDcbJnssWJI1> zSInZsKCLbd>4!ur$$YP492`py5bZMyTdt`?%3*4DwGvh^d!IpwovXl&uve%+zJ?ih zh6F?NK@feJFYs!W?uI%S(LlK8)b3>Ob=fIEYO(T3ufk?e1==pmx^^|3MhO`<{^Elpp27?Xt}cybXDs4W>g zCzvmYDld&#ZkSnAiAUabbK}e#KQVqUSrd^gcRfn%MS||c$Eul@AY6^XS6#n4>=8i_ z@ZToW24N;pZ17;vZQ-C^1)t6&=9$BX*t{#i9$Km_$_?cBWcQ)=R;aUJ>MRh)#zz0$IJJ8&t|4i>W8ewn4&({?x zf$h>~3KP8&)(0M)+{uqX#rJ~|m|rv%Mco1nEmtW_@KMp1A2rh>z}NNI%otpv`PHwS zVq@^Cz4q{ya0lM9bHn+0snh(f?ue@jzL*A(u-=au?-qeOqE`QT9pD z9UPEc!Uj>P(@@LiidJZ3G51t};B|G!#~qkr!hSn+diQ$Ru~gr#~5>FGR&lgDQ~bq|P8zcTg{bPp|);+Ox2zZzP3Birw(fmXxP4Eu6Hk zaeH+g2ZRV)Lr#~drSZrExiEZx)MJ^O0$+kxW?K5sCeg&yJuHel6Z_F)kMZw9x$=MM ze)Zy((EdeAc9JzwjDH6-ln??Q$l8wQ|8qb|_9#3{wo3r#>Evm_7U)eZ`1@J$WlX`3 z3A4cDD2c)Hpb_QL>2OR6a@67KXIVzNbgV(W7QcyUNL+&dS9!UOsRZx++bZdStR(pN zhSIALJsl|7w7_C5huEd#BiF;9rLqFX>d#B;p91wtKx&up7?r0;e+TIRty7JdFVsk6 z%#0G$Q#n>X)ryKMrNZimLYKf7TDhFEzj+|8xy-J4_V+B2tKJxEOOWE&X*m^VXlDM^ zs`}}~mYg8(?YHdsXn5osrG=tshT@Xk{jUYqt)ogfSP&Yx^1sxP62Rv|##-)*9tG0w zJbMHAlI_!i>G0;CQXBXQWjSeCtl<^$NQ~Cj?`eC7Ps;~%=L>*s>QO-GACEoCq6Y7f z6J_p8Emb&}pJa5S&m>!&teCZf-CVV?B3&2>K@3IiK2oq8L|=wj=TNZQ46T4^^}T0mOkrBcVWzS9d8)!sX;>^clQ6+BD3 zV>-YPqnep5fH}fNpH|JuuUPQAASaBklGnv-idwh0GpP%MUlycC!ZR)&a%j<$hUlP| z6AR}C{h8+p@j+jtRerWnVs|*^)^_0WnvI=A(a+!Ovqj);J z*c~?X*NNBR^Jj`YmhUs`$3#=)8n9Dm*g>sDY)wX~6YNee%GU_f3%-y#M$YKZrnQ;+ zpTza0g|#}temARFZ^dh{q4Wmw3|V z1RByFRm?ubd2){+xsUujG5+DKSKt*gSYLnnQsS|#gYtkb_mW6*O4FZl&DF(UO8jO| z-l2Q9BXZ6KSyb^dZ?WUXN6Ie1FKkD9@5xJ%Hy0 zUkOS{pO+^s&?ZP^X4WR%5g01MaeATqm?DhDSahTt?95;{I--ucgm?`~S-c`U-8Q?| zV_UJ!9Q}$T@0qLqUPRA;K)oRVP?)sc2aWvz#~lS=O)wB~g^Rnw=<3B0h+z4mk|e8$ z!*`8PUP1EnO$_z&M?QKgl2DigZI|hlQzD7m1(K^wF#U<9Q-5O+2GqSVK76d5LYLYm z<24kq>3pFjiLf^~0F>}c+jU5j&Bb!%5xgXiWjQ|+$;&rBFgMO8OlA@mDdv5_wry2( zRqR}Tak??n0fOA{vprRdz;2G6OQUGZMyyWQ=QJ&{Sz!O`xFR|~Kw*`IzkthE@>%s=? z7Bk>DH^*JwyCtEWawD?7CXcPkV0lT=DRrXCFV=c?KWyx=3QMyyL+N97Lm+^R*jftq zoR6JNBre*-#r`wIwlxN&KrzmST4;e8@=_|F*nUFL(-6FgF)47=M}d3-Zd7B^uHgWT zC(V0HjDj+}Q9gR<9xT3a>DVV`2u%2St@Fg1z?5;=0CkSHu-Z}oRSr}Ys3wX?NCse1 zdDZ`y7?S<@mm1#J`Yy2Jmi{p*1S@|Z-bDJWXNt11G{i2o`Q)xv15)?h&0w=n(gIJ9 z>-R+msq@WOsk2d{PgAdi5TEUd-puzqAN|Tt8v891{mS*Zw>07A zTzVMQ_f1E_%Z~Q4ykaLe#3&9wRZuE`>O2(MiAh!fdGJ}~j~g~6JYAs6hzYWlR?m-t z4b;|2%RE3+H_W*hiV_w5Ky`%E5a5a!)p{Lzi1hZ22u86A{}_w~{% z&0p3}utFYZMp2PM=s`sh3SA^$r5oIW00spUXBWkjeWtV`TT{x%B-~%wG#TLdk4_4& zzZLiq`@kKobm_UI$C}+QF-LiPnm0<%(?SS>8D#}fvRJMHYLh?4BIz`NdOUyk9e&#k zb`5gFOZqKboNRsXaM)Rq6g?f zxr~VtfGPt2#(F*+&iN_5W92oGY;L;)8%aR_T}aj|ppCk$Z{)c=JY^P1t0}0N&)EN_ zzc?p@_f@^P#ds$VfHJ6k$dp$gf0!eYEb*5adf>6wX6K7o-5Y{J+uW*#>v<8vSlm#` zVmDY7*_-0&o#$IB`8ggHQ?^10)48Mv$R?h1S0n1HLtGF9>Vw+xrru)mosI)?oNAiQ|r{k`hWSdzTMxU20sshZ|eYEbQW_T9t z6%!xzeJOiT6@N(5Z=cTHm{-o^-!_aQuiU3yyScx1UkszHIi@MW>`WTfoRyzKuEQNUh3T-y#4UdDODFP_O?SsGlSle5~ zFUVJ0U@I8)kz|N|H{w9bPdca4Y2-EvO}0>h2j_#nz@A@0>+<~9_s!$nOQf@zcI*XK zO$GWzcKF{lsE6q|OGG{z9gi~Uf1S1$v*9N4r`7na`S}HEZ5u=3)>VUFQH{3~>8(iz zKgsz0ZEotSR+D=iyb2tygz>r@#>6o~KA^VSLUP(1oc)sb8voLPP#`sUucK zgaFRxP~^6m(|C5}r>Us1q$bj|nPI1VR;k!E`TEkm>$=&^GLMzy)fB8wZ?E`kiRk7d zzq@7+D~Nsry%t>a)57ZCDJ!-3*-Mj`^;c44>B3a2i&bMSSgyy_4)VmrT{p9Bt z>w7mL6Cgnpy&Jj|al6QsBIkXG0ZT`a5~LQuPhB=7JW7^>W|n5J=+rOt>Bz9A$V1-Id~rsqU8>U8=`dexo| zpdK49RTQy8$XRCxe3yk$4aogt12N|LIkQvLR?#{3$fIj1=f-^Vb->Cm-T`7-O{`R6 z?}0%4ubwxd3aN!^98Cor>o@IvOO7Oz9r*Pf1?3*1giz`|CE*PJOPt2~Ff$RG24-O& zD!n;nfWa7e%DtMVxxZT<(kCZorQo^VLU86c1c(al^V=V59>0+ty{JPTzY9O|9neAz zXP$gslpr6-1!sph_|o;_`V80J-u2N{yZ$T#=v9N+C7je!{O8+6c;i~|n!*_CXb+%U zB@-K`FJ$SKm?PpEH!cH1&{&eiIuFTZr(pT$$Sg7}3rM$qBp^M$|Hg&dWMeO5yw=jmm9jgk zgZ9>_eGT@saFNms&v3b)q}`O|UczL;ww-8w4ptd4J;g7--ap!Wed#~Mt-i_uQGr3D z&LiyoE|5nBm1xE*SELg&9^(evu_@GtxR;6BQ5Qwt)*UlXH`~+xH9->vreZuKz6|ZX z`1zX0BM}EmkaVZWdjk_Vtk8k!tTMV@1G2e8cfU-tUH0ufW1X(8SzBw+aIZhj%fEQ9 zT93q~)fr9GRgv#bOS5r=RS{5XoPT4~c%w}cQJ6(`0An33jq`uPn4@rw6x$0bq+%d}YJNCTmJ1}KFkuhuR~QCH2H?cIfaYV+`}MBZG& z5ayYw!sMDG)}~7n?rAFq;2%VHn4sh+%O-3p77!aKKg(8mFza-ux8?K(t)jp$`vRA{ zdjTuO#gbrL zZxUs9H(~RrN56g2_M!W285UMEGQDH#hDl%}db65tA6Ldkcd>^Vuz`dX0Wca8Kd?YtU z6i1lC1kMc2E26f7qN?87;L4w)k&&0F4Z*DM2A4%60qt5)_>Q}5r$K-~>wNb@_c|(! z+a5b7>vszef+g$lb_+E+Wwm=hFX<i&9A{D1YxCJ}kw zfL!Rnfb?78JCmdvTNuo}5u|XSPv){~!tzM$ARt$IvcBi0YN{K^C9|qf=k$8;AoQr* zMe8NoXzZ5ZQjs6%{a#j$V_&>NGSUMjXcApW1v2X$*vaA$8T-HJ2Q0lk@Xl}x_qaC5 zg}Gyyyk+s;%w6tfB@{~5X^NjskOkUsGEMkhyz8a;-XP*{eqc9B3rdLH<5s39`<`nb zy@a74E{d#arTH(+7{Jv+-q1&xv_DPIym)&HtoQL)!lV=QC)fu2&wCFlrG zzl++8{%}G5-WMe2hZlJqJX?U>*TSC1C4cT!+C^+r70wIvn;em=L9aLQ-HOa^&LrWb zA7o6xoR{;V5;I9;$;^|Uhm48eZlCE*@1M-2)N-C-O);~v4ZRoP)g~*Qu&J7~-*7g_ zam^NNZXy_a=?v@Zw7|(~ufkvOZ!MP!bMacb`1?d)H+dCBE*&;LZy~m(GOO1C7HKUl z+-pGI&fo~;>B6|lV-|nne_h%|sQ7i4w;l3J@+0f^Yci(q8Dx!q z3^AmidEW54x%=8!Xztr@48`9#h?vG@NoeqFzSv6XyTYh%S&u$CyhUIS{?NQ>D!MSWH-Rllvi z&?mB~_$`V*s`&8pb4NkjUFnqkQWse1*x6wokK1R8cfG6WIjHWU1!M%Oblx@oEOYm4 ziGgy4lkEr2pJ4&|mla4b(bctEun#x9&-YZ0DpeqmHzH`x4=0-Qo$;GV5yA&H&FrAy z){El4S&xJn$&$~DPGvr)6yL)%ir$R4o0z?U76SC^zEx_^`&J^D-a}Exk23r(ruo~* zCme$XIOrNX=+b_098k3?Wx_KmkP8weM@o&hM9#1f;%t_>*^}17clD>%X|{#+6-dil zzFd+glWRCm`&{_VcZLldnVM7!oMFn+6-YWz@@OyOW^-15$7}+t$h6AWX)K=@W&ypX z@3ToqHPpo~evnv68X+cZG{yEi*!q4Xu~d)0hwJYom2;X(_&rcAFaf{082hRW9!Vkl zr@GkZn#(Hzz8qLE>*ye8BCgHgru>EB-Eqs<|7I>wvW%7f+U+D-U&yoEeLBKFgukvG zQ;bq4gu20Y%g1KPD9z{j`IZh!cQnsJrt# zD3(nCn|GT5Veo@Xs|Yf(tApk%nM9q5s-}Nv5*ll~I)6Z8!dLhV95OjhHprfe$`){m z)s|KuJ8Nu~ev%MBlnWk#y&M&39y=42_o9dTtB@^p&MVc5|D@;Nzw>d2%1tw!PSssJ za2Yf1%dMKD0|iyXC6NpZL24~t;%|I{)%tW+Md|n8ob*c%N||BG*?oW)f|L03oMw@` zb$0L=cWlfMyx|rnAeCT`xYPit7#G+{5Lg@L#)$1A91qv$Q6Iy{)ir=BLWfyBOmmDM zf*uM85KB+$4j{Gd3a{q*8E;ohTS;DAYivJxZ%}=IB_8h zPrEGx(i#Z^V|E4bNTMX)+a$xRAfy*(xtw%?y)pK?UVn8W_28#1DnGlmW3m^{s`cJY zqzW1xQBo{CsK_@@P(#=knGLnf^y;8H&5b3|t$^8Gq_QmB*MZ2R;hj^FZp7|1)6Dd{ z&tLlyYvgSDmr86*Ii;VZ%Q>y(^Dol%aSe3*Gao)yf(c^trKd@ zsN;~_>oX7Y4Rx;*u2+=kLnQ>4K^aZ$PympJ8pIYnr?Ir|zbz2=5x@)EaHSP(VomXFG( zwjOsc!Ksp-kkCl4WGSASER|VL9|_l;(T9f}S^Gm@Fpnep*%Qln2Nz#H_Qj{yAG|fF z{Bf`|ZdND2W>ryG$eM`}7CpXwG#S1n$=XqQvYG$1JN`7z2uE_h5Abywpl_?VSH5TJ zI{LBv5r1iy#({ZOh&9bkhz!mt6Hrd!Xot6pg&>I^gZ;JvXL`&ybr_As^b_7 z*j@{mpY1qufj@s{#_&S30r8;uCr0{5WnfIfQuqVp(2tM@Vg6$EFCFj1eLjB#6?Ig7 zB^7DoPVW5WrYl&iyypoMJ)}P(xA!=V`)w!eaaiaxaP_LbgY*SSU0zKpT$ZA+$uV@0 zBxk&KO}k-^A%xQX@wpb4$28#1Ynom}Wcv2^Z;)MFIO(3BE(hwr@^y4I?*@H%9T*`M z%;6?GEAGhOXJMAfM7t#Zk57(bEwtR z>7p^&2nuWrS(pp#@%iCnHD#nEMy|Gfq)KTjn11NI?MSU`?D3uQG6THlhM#;ZkOeLz zV_aYAe#e2<+Dn7?^KhC5XvM3>`|R{*#PnodKBqfi>$L%t>lN?wU+EOx_hV4zScNyA z$GqI_mrVUw!hCquZ4P<7|AR(w!lO-{F8LG-Y93rveTq z=-*nt_ffFSzQ}bF^_Zkgz4MOsZL%HWm+ThM`$Tg{7%ZCbV|1ATx@Aq?jiF-Ub?!6b z)Z>tgEhs+@j#q`0V79hmN|%A|k=uKK^3ZF{*yw z$%k~AadXbSnwotlKIBn;a@%K^9bNcS@u%G@Gwck7SETGeDP{5P^I$Ce!KEYuI2@o7 zCA>X%=vEc*y%XJ$R^ySiwAwQ(qgjF=##sc(4J2>*v|T!w{Oxmdd3tSv*|^MG#a`fz zHi!=*LrPoAPqX3O7bn~7i%s;~t1Ig&0p zcRnCxvQ6TpGxKV0QANh63Z|(M&+AhMJNrkwLwc464%1TmJgg1k z44{m+mlrWYo~L%vUs_Nv6`+tx)5UVKeaEvLeYw1XNOXMEd!Y0+V#D+X0zeFydX^G$`9hl~bNYvv|3c=BZ%BFZq ztp;>^bj0yb*^6wJxB56Q&vyJ%CdP1h{CAqSq)`IraUwo15cXiQ;pNI$z@t151Tc~u zz|NWb7+rqGG-xhEKdJBfLbOTEKU(6`|Eu6+p`3DdFN;ZT>aS^bO#DMTkr3in4Gu#i zBEVH9_DjRdp#Lqi5OuEg%*mm&ZG&#PTQ{q&)pak1fyL?T!q5tTyO3-WHiD=iF6Rlr z4Ah5sr#TBjtZAtRhy5X;(Ebg90mdsN2IY3PX881Xi;E|a38Tr9&L6|?e6?nra&!QF zDro3e8GaZ!VrNrgzqE?VyK`1oZS4F)_t*R5^;{GD6TMp2Sm5K4it~FNrGEBb^5D-n z8+luSos@RU0aH$KlpG99TGd^WSS2MN(^!lyCFo~S_boxRWi`%M|4zNag~R|+Hty~g zA71SV-KI0cUt^m@Xc;ii6_EjffWCX=<|WKWWQV~a1E3*PYY32$+1&1(8fxiRzcG{y z{U5gEc2rz$yR~@+QV770;-HH~g^Ybv#<-Ep{vfZrbjw$xx<(fg`UTb=h1WvqU%Xi= zh~T}#biWcr?lyjj`}DBo*c$JzO!!7Y-e0|U2Zqb99Ou?gZXr6(LR zyQk@nT-$9Gi0xsOFM{-6k1JZfTM9+)w42G6m*R81;A-}1J0!|3@CV8pJr@07aH#uq znHFjLeB!IfFW$#i$4{k?BaqJ>aNNwQg zM*+U0X6?Rq{Xfwn3A+2haN$Rw26}2P*@3Fw}@ikSf@xtNbp8IiIu?Zh^xu+3lT z`{o%j{TrwDrOCoQC&t+Xe>tL9H%U{oi&M|_$FmdSDtif=*#Z;~Jw$gB;Hfjw1NT%B zUtUp{7Mk&*2lrQwzHUuVw_CBCTIHXu)Ov}9y#Awr;lOq|o`-xId+D39ud@)^?ICz| z!{Fn?rOLLA&aqVKOYG1n)?7peWFi(NyP`jxCq*Qwaxi6ZDRPmhB+$xYn{O`;MQ^{2 zc!z8j_wiuL`7keQ-YY)2)8?6_g02mw8%Csh3*hjkFftFB?X3nAxp}r;8k15HZOX4$ z#dxRbwM;!$VQ*6mpqCnE2&k$}yXMA~0drWdzGg@vt<%Rpp*W{Kq5}+o=u{g5cm}%x z=_v@mSO9gVb|=Q|rW^i#Ezj!X?sC=x@@X9GlPMQYUi_3=++A^D8xsB5rnfFQ>DSV` zJBAj;7p__ODeDluZa~}mLM8~TW_?xJcI-tsXPp^myV@2iE-wP7z-5d5wC)e=*GCr% zOD+NKeHW{uOPA5*(9maZzqOAQs4xFMXw5gW*!`9| z6ZER=@X%QPFNQWt`R9aI?<5r3fk}>_yV#%I!3m0A|7~%&d4BDyBf}P=pqL2U)h^a< zsus<|rT0;&q$%G7scnpN>gY1TZ;=SKbMZ2P{R|`4pnWL1ytM+!X>Lq`!w3*cjniBm zX%~%>t>3-xj<6JjqcG0cM4_AdD(#m;8eIlXZ-sYma`D<)6eqpxuzem2rjNa9(Ru?L z^f#5D=Ef>&XN^dypW#`*G6;hhWqHzX&;8h# z%XtWQd)Kk$>yE$vX^){ibu&V)Irp2TZ3#~(k=Bd@6JU7-Dq;(e3n4hErtHL|=j2^= zE~~icFb^WwK{;;B#+K)8bXHZ{My#4NcbiqX4-VPnyBX}4xjJZ$p$(If$(80^zwevf zDDp)dHNQ%KJXMBFy06QcV4uLLIb_0>Z&32Pl7E(pdqX0Rkz73JxEr^?2Yjl5V6HC$ z;K#ZLfHZskXJ_Iksd*6wi^^yX5b#(JdWg}+4)@gIsed@#-}4grTmRHw7I=+~*XSQj zeDLtjQ2TW*6AxIqox=fH7g%1gz}rd;EjP%IU42}$V|^?sbiMJcHLf;PxNKV%ec=%e$RcQUg-ceF5^*+$W}k z{vGv5OL&fA4cgY7f~&+`pWE^o{yCP?6q+ig3f9<^cK@Y>yfbVc6wq4>W4)F&zMlp7 z$3fqRD@q?26A&P>oPVI{xgB9y7)kEi^+-Ee5T<^}ens(ddK}a|(e=6ZY~c>Jz@6o| zO2!nju6g|)ZSiW%Xu9D7+F;yg?OQ&7k+b=-${jtO=~Ci^^^@pbx)2s6e0m4xdF=V8 zScdFXh`xfeVUYKPKPRmAP0{p>Crp?E$Xm!KfS16$9BKU@s=hm(>i7L$3YAqUvQn94 z?~#$cx6ZK+GP91tA)AJho$S3rha<${AR>De=a?OmeXO!Y{O+Uo`~CU;{_7#fdA;uY zy07c`d|u;z$@H-AeWgCwF_->MM~SRO{Bvr4?uKn_?ezshOoxZJz+!<8_xjLS3TUAw zbX!Y)u^LA@1pH#ujK?%K%cs{+9VU3q9R_6}Bin`Kw$?)?*71^7%dp9@DEe9o1CvQ@ z^GkM1x>qO_v^xtys2-m?p1SdQq`aBsJAk`%(~*{FFOyA_`|W~ zZ5f)3jQ*CNx1S0p`B4XN@UUE%m}&iSd9$r3ypr=^?Agtr8< zZxh9eYWZKmOo~e?XX9CAUG)fh-0N|of!9DYBKIA^)0@_!tl#XFSx#4(ms#G3A~X5( zKwF2)Bcdz3rY{y(2CAn2MxxteppDjuF#Ou|I;}tx#htGGS2D95!{I*rc|~8EZ6q%m z-%W{El5p!5|2`)2h&1eUw9b~U;KN5TJSN6$a*&U*-))}taqVV(S#s-jb#%KJyHsf; zgytCDlT2ZbdZo=uz87`cOLJ1a9myzgCDZDN%g+w_ofwhGit@AC%c+>WD@}Y0{h2zGP7;hc`|Gm#0yfrY zS0l{u6G24!9AQ{2)C^bxxOFWGhR6HjM+WsUEXWC|mhvmX0x{>kE=B~3Nf`_$V-EgY z;}0A;D#EUg9r-}znRA)XxDC$WOzjkZ0>bf8hcis>lFVh6e7e?3gOKU6hu1dV!96Zn z#4`p(YMojSUauK#gMQH&PyIR5TYBbll}{QL4}a=#=boYhmGWWT4wri%^~j5ah3jSp zrEqbl+5E6O^yqG25t5=u_fsJ)#_nz){C%brGCJB(tOpY;8NKG#jjlZ z(_$~3QX?E{e&%w*mipMg#mKboute)bQ%y@VMd#$uwWh!p>Gc<4oE<95M)F?1osfg> zPC9kbHWB@l3T~4yKD{*~OwmdG(045Uoa31MWe@%Rs*^pDVjX(=&`{FZ3NL#&X9}YT z4kDN_ryjH?wch+7Y+(5L!L1R}N2~j>NK-slA2Kr5OOOug2Yh`QOMU|242c5um_37o zkk}_W$4tm8mp@;>2-7yQ#D^SodB1*$s8AFX3Sy8YWxdz0rB5k!=39-|ni6CdLrN2!D)wE;%{S11iPO%%i=W;oU^}xC1eIJO z+pKZ}Bj&>1!SJq+71Art`?|gD;32AezhE#;Jj32h1;(tF8jwE$2iL-lmxb^&aNEQ&MVKH0^hqq+Igxb-QuH1n zjQA#0k{bYL>DR);8cKxUn*>Z^pC@1i6(CH2>X5n1nU#w~EUKi_$IOW+Gn24+QYnkLD;X2HbR*VppaNx)VX{GKS#kJT2W=OWQ%~| z7r30Zs4dT`DWrP`e{&L?eL#D1P79VV(ylB#vkVfw-W014u(bPAP8JGvK7QT_G|r3< z12}g@a!0OxD7mBI*^VJVry^7?V!O+UbR^^q1{3_+p3N?N=gC-yTYXqB{!3x?5M9bt z4B{2iR!6^HmtvZn)~ho2s9ER6v%Vh@=1)GkkLx6(7>!6@wB6%RM!K873f~XxLS1$X z7yXgaaQpl$n`ruISa))jFzGeM-y;fXB0`dp1Q;y2n%VcIuAW1Nr7ttLTBm~AiMmC! zb|)Ko;zG_4+PC+<^)xq_i)#{o%m5OEqcH?;Xz^&1pal-PgfY4r1+O9(nt4Kzf7Cek zZS)J02sb<88`5EqiDlUe386!U)h5nXV=9lUm7k2;KSnjFOq3x2J2xA7xp^ zVtX4)CS)H3^FVfYV3+4vzN`&@*ZeH-;M~Al(T0y-DwgUoIw|eVcVps`0keP+nFSOD zH(%JCS^$j#5xBM@yB9nDjaCk)Eq?Tr%)PbcJ}w#m;tZ01SH876wp<1{CUimUL~8&^ zlUf+tNN7XF5lcqvF6&AB=+oMc>Tlc)ajV8vhQ%AF_M^18FGL^KU{hFCU%Ts z)3s0nWywZE<|ajJ7?Bb`+7ss)b(6uPPUkvhEz zK%X1IsJEO~^(z`r?y3;3{?Ks2(f&w8Od-hL5|(k%W9`@>5m*bKN?0eZPxC-TsQy&% zM{E#dpft``d-xI(uzMo6Fp&W>YKMx}kQdr!JXMs9?%%H;<9f$2Im%;NTd3`1U$ETt z$CD8QcS`1CQoTTbeW*4o|6ykIOPJ9*XB%*dd%=sLcAU3ep#>f*rKbI5Iq)C}ahW0C zdTZ&6!ADpF`+r%pCgnWtPeqC0W9GeS4goADEmup$h7H}Y12ClL4< zEG-DRd8au1M-0=QvfE7OK3;w`McCavXr#-<+Fqy&=5W6G4jav?6frwHI$1n(@12NI zDGK=O4(WKZw(M~kpVm(soyg^dFSE5UO+hanHVP*#RD>38v)awKyxi5Hso9{g&G zU49;$zP%9QQ>kJRJ2L$o?+7V9p&@`j|L5K%;8ytL`;`uXqicuEu~YDaU|2W1uiZrd z2j5_Amis-SmXa;!T`i|uQw)e29bV_2x7?1msVFqN#X}bu+Jds}gPld;;<%$tQ9(0&W3nq!0`EIE+s9 z2kELw_Yp4LkPSpaYJmFV)fD)_3d2v{FS+NcBPXshb)Pl*w&OJBs+AI(nqELYNY&%> z#gp%|!I9JzpE-j0`s<(-=5&;FbrU`rH|i@J%oaQG^VNVzs?PgEd5Qg;pDrUE9(8IO z^ibbcQ`i8mEn9p?@gyAx#56q#AUgJTg?Be2ieuFB&B=wJ9wgwVB#TECK-1=o@52HL za(=Y8*_EJh0P&uTqH9luqBnl6(b5D2WlTUrW{{$opg)mKjVT|1W<5~_5e$C7lML>k zDxHo(Ve8&FGN(UIea%=o;(POus(1A2I=Bpzvg#25y2i!)ih@=3>s+M!8gIz0^!TUN zG*-V}9N;X?-(dmI8$k(lCjl0y0DDC%27@5SpDZSZnY|U=r=Iq9|J~uzsc~4m7W94h&3;ow;=D zx$c>*ADdxZM&$DozTm>A*n7z!k4H@zX!x@U0t;>2e_cPp^4^_o42OVMTJW=RzGuy3P|J9=hMl2|;s}SOC$37?)-VN!_<({wgSKx@6TvZu>);g$AvM z(hszwec@moVToS}7&FO;4X$=EAf_Ea?mS};$gP=BG_l%^A+n8_{(>2v1p<9Kz`%J` zwelI2`m-WJz91`xBM`@Es1wmyo*xiBWBd@5MUzy$6-bNK0iZvgsLa5j@ybLlQwtoZ zcp{cRCKVZ;d=dElAC@pW;P-ZQ8W~e&IFjyl5GmE2U$zL`C#&&%ljuH$z)q!A^2|$uNAouEaCzQx2?J zh)n|jLwRF?`e^;4AwYgyQ>x+*er#WYc}}*)N(u+8?$+bOIrpFHX|FuzPw3FW4;C&Z}PDexgVZMDkt1P|B=t%_z^}@vd3-BR@m>y{`Glfu-3@yb8EG z@Y^VYQu(%0NKmi5<>u-7XZJg~Y1)?TWy}PiCDC-9PAnJ11!L>R+}5h^=RA~ly&W3? z`+4iz>azv^9bxU>+)(jaHll2T8XeEP33f~SPq`_};BLd`RzSFAx zY0PZAObeZQ0OJ+S?_6B8BDtk`=gNyV0Z6;vCAqHAiypZRb4l}^ih>9kgk@;syK^1V zGbz@{LOG|vdn@Jbxq&5-3XgiG{lEQmbaNEjcvx$R`f+Mt-vt1#|IY%S5In?~w%?2_ zr};17z@T7S@~T8&gXk;C0KcF)fD_ogCwuDI>0m*Po(a;O6@uQOcpUT`R5GjTJ74`z zZuKH&?cGhfSK?I43;RF#MkR3y2>%2l28vJBs*)2ne}v9ny7>9A*s%em6;uyTq4z*!A41rt?$OdV8<#e8@FBbK!M8tmIMIm2sR{A@XNGI zs<|z+)o-tw3OMDD`r(9m;KR`4wF#LS*eytvXxWVCJN932rIeWUg8EzL+ zgufi`ylF<_=&WDf%kgLpt-y5k7Yn+sMt7`7Y=Y1A^#bRq7gO{UjD24OEvNhuWE;)7O^{Wg%US%*V1cGrSK9kQSvN*jZfq z_gE2;^DCV0bACpXAH8x$!uPKVB;QE;axV*LBmc4+q6@lT#4G+ZF1G0O!XUz<5ad?| zT%nS~u`H|KDBTp=bDE~s!7L$H_b1yI9+b#+MGYdje^@F-ym*t0UFWnz+`=%T6G7vR zJxD;H9u;$w?My)y2y1pwv~dMSk02pS{P_hxV$`z)BUkK|V|bWir|7!w-e!-%$#F}R zkQ0$RSpD-^Lw~ENqMx3>Db7e5eErRS@NiJSj#z3##m$E(fhol5K1k=+T%Nm_!PSurisx4RV5ybzJMDL^ezy>w{Z*Ar63 zbD-h+=D_#rwc@qCd0!3!f{a-i;WO1Dk*9Nj(HOwsCpIJse*jZ z$Jm8d{0jUy+s**pwUnyb5%Z!QOKV}Qe}je(Vk{h1O@s=C3wamFwJa|oko6pJovH!6 z;gKe2;_eyhy7x>F^^nlUy3nybeHoOrNP*QcVvtn!DnyA0Q5s$cNjwk?1EM-S{wOxl zBSK|Rzq`VT*zcPL7i+X7{`JehS}iCiBuX&JJr@cs-xVKL&_7~3_Zo_SiD*1 z_-rx9ZrT5av>E@IN~Bzcu;fgREz9(-*S0Rr0)^S`qlYxTIX6Gby-hD1k$6FF+8j?O zU#Yo$DBSvyu_w$r|Glv#US-$qGXCIu6Y3X0SNM?)u@fk987x{IrUX3q9kOa-cEA?V z!}U)AfRQA72DhYs{!RIo^3e|_jJ($Utp|Grs?jRHkI-#`^;YFKQjPTq^%yF~aGrYa zLA8r0dNc(DvmQ3xb#4{hclv()={pE0C$sJuI?;i?HejUVkpZ!wHOKWttOhM$Cn+uZ z_g_RdCA46Qymd~S-ipnWlZ_vdk<>Zxnsk0|#za0X=F(|P6BIjZ&(PT3>gw%Z43mWe z!RuQ8tFt>n-5?YiKSIIz%ZAK@&jPACcZpcW1cl`=~n}X3nMv` zf<_YV5j6Q0R%)kyXJjUGu-QR;Ohx%Rb)DhC+en8<9S&Ld?C@ zA}8Wc^}eC-D0bvkO7TR&Cy>_oluvinsi0Ke3fy$T`7`ylXj z7%&g0-1XN&YKXvmr2a<%x9|_9083R}sQZg-8`b}85=$dhK^!-^jI4j~our4s#^jb5 zYZljGKsLBVqN&1SMsO2$_*5p~=h~{``|uvLx`x}5M}n!|pJYsVKxW3&hW^5t$Pi}b zb3oKrHHj_G?R`itCWKviLFHq#Uj{h9fq25s&5XUDwD!Ed+X1W8su z+4g|)#>Vv%-@a~W2Rt|moM|)>$^VBBRV{MI2r2>v5AtS`C3l3bs`=E|V$HC1Y9G|T z`ZvW&Z+=ke7&_I1#4(6@d_50 z-Lp5Co)N>KC2%)t`Jald7f2l22(NAeK=x13D7YE?y-uGw5x;*LIA8WVhdc@}knE6l zP5<($TW?)v--y$C3Hb~Y3s2dA)u%_>B8HlwVF%}@dP`!2U#wg!4Z1r6E-S-zmL3{{=W5;PJqKNW+Mc zjm(F7t*`XKSlcod+Ky2iK4>I}f3;u+wPtCAPB57VWEgt(s{^P-Q$elCNqGeDPelM| zPx>i(OFk3SUY~0NCt#K9q-dIy=sQ8cF)BwgYvW&R3SN!@Ym;c_J=lOL1eGys$j79I z=j)mG9^`yj$cEdMjvY_fQHR`Fi;NcBR=PYUZqZaL0q{Rh>#sRW_dp80p-r2f1c%0n z@Xd$dK)6LXlxmiK&aM?&dwk%h*0#~<9%Em~~GUba+*b%9CqF-5ett5!oCm8|_#*ECn7T7-X5AK-79ss*`M z_KuOqE|t@e9={7-Y4|z%+Wf!@8kIUIp#I`Etx*`UT14rkKt3R6Ao!UO`kGn#Tgx3x ze`x}O3b7=2)WpADtOOY;9_C#4+;sVs5Yy13Khm^swtMbsn8@FGgBe|susOfJLlNZG z#s0lh_Nb+WaD}2yGMUXMjDB7Ms2vP$b7j&?BO?qkU6V_@j~f2?qXBTJ1*3F_*U3g1 zcyqt(3m?#DoLvRwALpO3tc4EY1=((}_k;QkU}h&!0l4&HFh`lc;)LY_;$)du%s>^4 zBQBXc3y=9PuL7F%{Ym!#XVjVvb_(9B0_(r1w%T0O^&In1#G55435k@-i3c@cAbDe+ zrF`tkz(V(A7ed(Bdz@^WVGwF3x6`)T!NYMGAMCE$w#vMA$VZlR8t^v|c>ibWhY_ZD znUhkRFlJ2vREQYYK{+@r}N z<8M@IVg4yR;~%VO0zFDY9^GZ37DVgwbePQGKPNX>l?QwZO=Ft)#%1{I)p*6#IRN@dAceh&xnZBPt<(BlY(y29iTl=x-Wlx#hrufIn_Hfc9r0< zBW$Oz-)IU8ArWQ04@p~z^A;zQxE8H;PH_8_tW@)k<=q>B>n?Q5?vFiW=l9K^Xj4#a z1U-90tF0GQJKAe~jIsqZbp(fCR7C#Y3NDbGtnCVi@Xio+$|OEqjqdW4j|9>Gy$<`8 zs<0)acRZyP*ocRl5j%be|ZI2!c$Fw~D$mc52RpV|(g#rn# zkNA>6z2dV6!o(t?zb{xu2B2@^%n~RDFapI>6QzJEX|rFtaoeNEN9(qN5WP}LSM->! z3N-om#U?HamF@ogA!ls+pZ0}Yu4AcD6km?FrMWL|-l)0Hn@DB>C^)}X_&04z z%<}=_;xeg_&r4yD*%&((Xs1{`x=j7H>K8gX4``d{g}_>GiT#<%<*VQCd=h#3P`Voa z!`s{L+|!Wg)_U{FNsbS(FFsvJ|CN#5;~v}?vGU*xJ7$3Ryh`zTJ~660>vsiZ;5|A#gj+V=9mFx zSpJ0)e)9CXe9QIW0^0VBx4UP2grRqTNL@9tvJ}_{73+bl?CXukZ>_dAqRSJGAWSmACrHe3wFJoGumN%y5vFk z@p80rxE!0YBfaB=S4-bIhxtA!iomYuA(~pxcqm_<=+U&&*9jk33vCu_*|+o2|M?pw zFn4>qHqxP8MC)3U^Qp4#L!4>dF}mnnOkeFx<3}k5Foif;)T-fv(g#4*K){3FHpKr$ zc%W+P#2bP;zsvY+Ts8Tw-zHI5&bZ&wI^D6-y7zuWWDGyr+o77D1Y@J1Br9d+)syaJ zw`)Z7?!whwCb3Q`zz*_ygre`4yyZ}z|Go#3F7_M2J5u8h#9DaO_k;|d zYte^PepnFrIGU7N5(f{$3ibx`V8481Ie_{7vlo00jiK!vy22|j zml>maKmRHfyzb#!AAM`I3@iTp5FV-ULh?bTlTr4}kF^V&oO&Uz3a8&3>_sAm3C zDF<5qeB!`NnUxPt3$o+d4>qNSJ|$yD8Pui5qwcM&b|^{F*3E#F)_x=%pqj*G&x9sR zm)E4%i+bm1yrB1?P64gt5%|~|j*x)#hvxe;(^8qy3!9vHE4Nndw))x)!DX`SJUV_G z9-3>>7K1Mz)p%5}{agSS>(*3o?l5hGo@h{c`>z%~QR|0LRZA%@aLRx5^&@7LXNFjT zJ7zfWhAS8h`E@`1<@`9=__SbhMWgngp1RO=Tn!O3!ro$u<8CNcm5o)bwcU8gVrD=2 zH=Vi=exqJSdOX#5rh>%jSAVc!Qvi$WBEzv%$$|Yd4^ZqBKpDWX_!Y_~dXON2up=W@ zln~d2NPn;7J-+I3rASxL)v``LV{e_uA$VFxrAZ9`;QZE!TjVHo1jEACMx;qc6iOmK zL`Oki8@!x(#D~cB`a@1a$1DR)cE3i`bKmLK=k<iZ20yIG!X|%{@VUc2Of%2Cr{vT8rPvb8ZqzzOE;e z8I7+Oh`yq17zp(RJ%JGG-qv+;p(zaJEr-Gg-y4kg74Th*dxkuo(xIsmfBb!YF9a}t zM_UQBwy58dRRe++Q1W>jqw7JV42;@ljY|`X7Rx~LmJlI~!vIp7j$Y|X+2^O3_fCl) zJU&z_@@TZ0fpPVKkaH~l;Oy?fs$ZB~pNoH%NyemCAl!4HVc>3FQ(;wLuoI!UXBy}N@0Cp}|?iY;%s ziY0C2nHLz9?I{BAVdIRWTf+Sj-h)8+$*AtPYvWPv81)`_H)fZykT536({e z!OnSz8)x*(B}X^Aoz|iD7=qTvfT{-AdDZPt<5PHsYK-(U->N`%S7g3U_gm&gZSPnW z)8x`MIGevUro^7|YnNBV665y;tF0&DUp%Tuz(_`kdVG7o-{!q1 zFx*MNc&FLzk~;Pg1hO<1vVW)aW!D*UTK9ixI)&94kM8o;f{Y|=unP?}=V@<6t_mV~ zLj*lF21$8;{XV+c$gV#@8rr@gQx0b{Kn4t4m2S{$N8c}@|Meq7wmSCR2#Ks$3ZBUoO3OL5f! zE5jU5!;ZA*y~?(L)Rtks#92(uE);;9QEkt%@j<`v-%w)<1 zsxem#kQN&9=l6AH6V6rnq4e(tdE3HW5Pz1uAjt-3G@+Ydl~Bii$9d#|*oGJlH^~PY zGyJP>rE7JOauppMT<217WG>!%diIDvUu*JoAn1f@%ri{mJ#EOYw@lY=M;71fJ3Vui z3Ea;~z6J?9D->bs3 zkBY8prQI6mA*uDs#sxt>)LRM^Mg*@sxx4hUQ(m$_vk0=weM%XoW}_BejN9(H8ZbS# zZid&BcyEsgKx#pt33Y4M9kvzU<0we{a_4c0j?%9E)RR89{n#!YVO~Z#pV5o^JS6AD_Kj!q;M@C@Dx3&VlME7(_BN0#Wy7Zx_x-=4WZ! z_4r!POi|Q)rh{sIN=(=FWh<0R@LHUTJ%W-E{F(FnH+A0{S7Nf>u!YruH8Jm@^B26( zY+Z#JypWmT(Pe3tY%f4^C}2{CQUS&6izyC>!m=a);BQ9I&&Bi!x?a8&T_-C**~99m z)uI{UH5IPB>eC&YABEyYcg#FZ{n0_(w<^pA^SMfd5)Av&95(ga5*a!9+ZeJdkjm?7 zm`X|F@Qf*J%n>0zTvJp%chN8KqTuTx>H1FT!L{gbE_|hRFbgDaY^MSYii9R1_ng;% zM3%16rae3*nk(Rv7bKsZ!f)pe_$Hdw@tZ7t@4Rv!{haMO+ccKKq7@c0U#L@W{^2_F?p+jEyE73b`OC zpHm~|kd@|by{Jg@Z!QSmi7y9(x{dt=rq;0h>~4F++?^(?^6FSc5eAZL7i5vVUtO69 zX+3Wp*ybaT?VZFLm%}laE-#by!Xc7-ro#4!kV`_?=;Y;j9rfom?8xPN1Fqmsf1kVlbb1CfbyPdwtoH&hx=_ALA_vB?8=txXt|@J4bSI!{c{+m z3KrHR4ToQCsxa3fHHBcL>w$DvXW<)}qNCA)e~G z(3|K`VEnm6g5>etW-y~91FSx;i@vL?i0?;@Ry8xV^j)vlG^+PZk#|z{+-2@5+0?Cb zJ;@F&g$vt}hGXtVcM|*)Qwnr4CUE93CH&;=UIHQNQdMlK+%cUhyMPgC=!@r|3Y667 z9BlP$FH;^0Qb8kH2xDq&Fbl>8qGSbPe#|15SCv5Djzr2ilJBufpcHl@@wy?pxJVcvDCxBcQ}O!i ze!Ti!Pd5~tH>)AgWHkQTQV0sN?1nFF?;n_TL=3=IBILMM$a*!y@0S=*R$_t~MX!EL z>LU15LZC5lFD1N{XBEagm02}Dp*MQa9?sCTN%B(%c!EuV>4?o4{~%^djl520v4|Nq ziqR&EKhD4{T$aG%?WO2q%ngvs7Ig&zW-#wpaxW6}=LP5~o!zCLow|zvf8e<9Y6PU< z#VtHZV@q9XtB3*eAR#n&&A|%4{C@K86?;VEZ3R*=zM?M6fKSXM zIT&v%{Do?a!y=~~u*yNkxORn14YXf!!QU;G%<9md$EoT9w^B9$4sQeT@ZLSLkKyu? z_B?erIxQX7IGESdZq=m>LC2lT#6>4lnML@=;kIuJYo=pS(f^HX8|gC%Xv#um81CH5+mp z&1XpdYq+${Q-r|S|W6IQRX~0;47t$`KY_2o|7TpoZcNEa2<>Pxt z{;s81$eH=@laQqZ^L{E8HS|CUGQ!}@8(!{EiOF=c;lyUr5lB1ZaAhw`1vUo#5LI;7 zIXKyr!TY>t7t&rxrMzswaEDa%g`R#lC!&i$x+s;7OH8`4_3^{tArk>E*_#pyO!7*d z8QzN_z&7?=z$q^owNKN{yNuN~XB^ABW1Dr#1VZ895#q&)MkrIHt!AE><6r$X!NjL+ zE>|{#)c9w6bbvFIE62@|I+DBs{}0SPF!X&V0ir^D5(XjBa)Ezhpr(!y*Wl{IvNhX^ zvyBWSOkt&PReMByM+4>xh&T^Iq&l50sT#(WSu5d1&0yH(eq>MXP=dS@VotZqP-@GH z!Enb3;rtj3|K}P<&PQ(OK<*ykfasiUaziR+sjVjUN(}{oqiE~gP)Z{UFz1a>}OYdzb$NL7ik;^ewTw`7E*%-JOFA%wvX z`|qEFQ6rwZG2!i85z^lNN)XBab_4`&u;o|7>TZI_6^~PO0%!f*LN#Wi@Q0Qv$t&=R zQO!{ez^m|$=o@VagBNvCf+Sm05aXyvP(Oh*R297c35+wfKiP}L$5NLFdCdRU;gg)$ z)c>AmTSiXwR<=&uPiq=_EFn(-OZYv!PzrwyA{sEQlf75H2H;pHVZMt&v&ls8>HWA* zs&h6KR^|Kh`Sbvji8u-c@+aU{?N9T*u;FSW$zghpOZ3q^Vx?7YWOpy0$i*B~7+wuy z^y@u2wSI#wqckp;qqCY$JI^#s$cNM~auh+51rr(OUSsgR1d*`|BuH?&+comVGNYY_ zQjZeY96}153Zc23v4efCjO9ebZW#7$8jNbu%az}5j^<3kfyPW@eV^;w3PMPX< z61s1Ayy+5%(^i*{Z%k98b1o6f=2ejJiBqe@bmoIy1_1(~%mj|riO|r8Rox3YSq3#1Ml(?}F z$@PF@=Ih8F4Z-60z+|qWTV^o*O9^ixyz9-8ysVwlxdNd&UX>W%qVF~7x|dZep&Ki* z^`ZN`6Bf>&W{0f^EV2_lXL~!f7jT6TG%0wnCoeGRR82J4A8wcCaob??7aK{woCVQB zS4ZTUJ_o!yHbbA=`E9|Sq7sX`gtaW;p)u2PucQu1XTCtT>k8RG7MMP=i3f+MkC0VA zN*8eVr$MqG!jK(+#{6^O>56fjNXon7+{gn!PaZ9ItSH0T&)HVRL9!}yQTxANQ_MV)Q1)pk2au^wjX8NT7IJwkWm5O|^fu82~UdOOwM=unCy`$@7?@3K0Y$@A)4Rtg_`8CsTy z`eoVWI3eAG#>h6MgT_nrUF?+)<92_zSVANhfaT*wxwK#hkK4s=V1X~61zrajv)?CW zyj0As5M|g(0|uG{&4=6Hcle#FuC7}=BEA87_ir1%{L>SXThcd`Lpgy3E`H(uAEwHn>Ch^HZ;517nVL)ej#L_f1)p?KnF=E>Lqvitgv*C!sYFp5Qo4}*Nm&hD9KxT(FY;EiT- zs{4tVC~Pxi{NYme;=Mk$s@RcT2AEu$MB#G}jWT#Z9|Iuk3vgzC>o1p2+*3M(ERqtd z!PNejI)CPHxJeWcfIt69(nrv$iX$%@8#Vr&?=3BG5h=W(ak=oc9oLwK)}_(z?1_bi zg$YnLR?blz$Vj!YiG!oR-mN_sWCMbQFsbMUh#oJ^@eSYEg*GGa5~*AC$q6!_2lviz z-R6IxR`T?|zl0l;?jS^FxqDm*U%nD(&eCyd)UoSZ9iL#VYnBqE%kz^UwdSr^E(DDO z;n)J832>f@+09^fv+36l)`KZ#Kg!llxerY=9VR^07%fGukxw~cpP0kS!QsM^9cfiz z*4NiBUDE2|)7|QEWkQWd##OV~GDojE@}By_L#GTv(zt;P@NX(6{_UW!QEZFtLlO4f%+T;Wa!Z6zjm zm-GQ+A7NZH?h;0ew+v?H&a?J5Fp*-Lv_UK^V707pM97*sJ6uH#xT{%v1QCV&6SaJH zJ`d*3C^(K|Fm=vB)>oVhdlR}o-Lyje_3w>ZI!13fm;S{YcY9{lZIToUM|_>R1=w}F zjMqL(4puP6s*k@e9r1aB*DmIzl$_NW2hL9BRdQf>u7BB)y!&5=n@58sXn9F8s*g+6 zQU`aL^sF}yU2m)fEcZrfVH1s5^r5N{X%!TNvbU^yD0-434Rss(8V=)_z0G6#!4wUz zGFDMZ;detzgxY%&udtTy=?Pg0YGQ&PT@gbqAMnA|uL^s93m<4WaaxtX%TRq|{n^_0 z-p(hkDOaZ|3^7iFa0c*=Yx&_#JKb>R2jReWYCL9s-FfoOr^9~R@`lLK_SKZ1401DNzQcx1Y@x ztkHHXx$!jyP4p$`JJ(r_Ek&%p9vr0y(ptBZucow=7KKPtuOPA${+J3;zDW=v73Bjb z1i^>o)rH6-4@9okAgT5*5y;Pf_zLLlUuWTpSjRjk`Q2*=8A$<{9E~yKEUJ2j>zLu( z!-Z{l*Zi2fJzRSi^IYak_u=n1H1}^)Hrd;w=dR4Eo9S#eFx{yy=h|IGb}@gL_G#=S z+#~`>83>Zs*DZ3_R?z0!$C~A`GErc!tS7hy1@xwu-ZaYVWT9$5p-A2vs~tZSmS*Od zjV88}V-h~M_>kiXznJD*u_coellQW=N!EG)^7SX*ZH9B?{3JNdFnvf}U^v z{#hzxYHdauoj(8u`^)fMsm8ottHP+%hhQs8*Yv9~tNyMFE0r!EdyB~c<~s?{o7I@E zvCiy$pDOo}h}t_k5@)tvu&4yn{sIDA;UAqBdB3ZxQPhZa$UY|ghCO0B zHvGfV)X1{7Y^=M9HUOZR#W?)9In4KrBanWq<9r&$`ELL;xp)?!ym2;g^A)1d`E~#6 z!<$2pLVC@8Gn2Eu~Mh0Qj8EDo_=iP`wwwRBhtrjIYndnR}fR%^T{mdj)etIMOYN9^iB z&=1ed4?7@0ycfR-Xp8M@kw>U&H5;*f=6ZKt0Xu9?81=8H_t6P3qs-nUWa*|o?)xz| zVbeK&W+~RQp#F22ne3ftt|hW;r_H;N-Hb%?iMB0*qFmW-Mk#7VbJ1Fy^dURL!7isY zxeEfhXj+B>gge0Xi|!fxZT#qON8ZavjZ$bbh3-M0E56$c>+dJW*M`ejd$<=Aac zW-x6UmyO?*%FlnjUFniZ=kJ7Bb=>nwu7L4bh(Lt2YlXqhx=lCGH*2jVkOvhS3$lYA zN_0$t@SrII+dgSRQwsF@tYLNBZpA&nFfYUkf|zMIlOmE`0cz5g zbU^^U%X7i!)MoYZ7{(kXZK_e+gJ!G-I)K;W*6g$&qUfuAJT=R>mGe+{Ji{VIA75`% zEM~>rAB9_$yWW=N=!SrRwkI^Nth${r2yZ~~gf$<& z7R-;cjy^Op5BlvGqQkvN%I`$MU_KJ);a=)x0s?R|{IFFBb5b9n+nuGOr^c+z z*7xRZ-!t>*`xaF||2qM>3Y@M8hg7{9rDg96K=&sp;c`MG=qxtwQ3%+mT?3l^SSehx z)(p?!#8Nen_6X(nv4YWLX2s#CiNx^!*mrYSX7*yTk14NKi(Y*f;4XG&=7DIBiV4Tc zY#xJWfJZe4O7C`-Fk{02A|m=)uOT`x*>^FsjLi&20!gUC$b>D$HY(c9USJHwGW|o! zh=)g^+0FEwHt`^BPCR_Po1#^y@+Z_Z&scC8_FR%KSI6o^~Fo!KMWa@LHeZ9M9 zjZY_cG?gz4lu(W)Yw6_unXb>>K%@qStZW5I5?bDybOIR$h#Hb{jz}W9*-%Z>DY8cd z3ICYED$I-GcC!%ZyOn-Qih6E|qkgTXYw4e7zOug!fe=7(mm;3U?#=Rx)_{|SX|019_( zdoJMqgv&)iu_E^J>peZMI$8}6n*CWb`F!d^WR@|HSk`?5 z%#0T9j&Q8oNPT%Y(NPe$=~`UxK6&N)+2=q{0J655@KNhpC5Dwq2O|OFUB0(}F=YT& zigRzvc}tSjY7K$@=q{yl;?-S)4(q<2Njog2)cBHyIIdy3Jc>N{5Q%(*M%`@u6nlJ> z9+j_+7UKPiQ`7OP-l%o6k+;@$vYSA>LFemtEnAcN+0}+J4gjT4l{E`GGEAs}-@V#6 z8;-ne5NS~+u(`GW73?L+`$HUIT*_oEolljQqWafkp!6Gx#lQC!dK|s*%jHVXj1NDx zn>f-{85VJKE`_I@3yltY7gh0VW#2n3s9@nm{#Kh{LFN=(;*v^F{id(b9~&#!tPl{n zz$+#ubvPpG0Y3n`*vry?lMk6t1`<9Htd~k%nlxx#2B(G^?y_`vA{j(`Sj~D-Jb#p# z3Ej4pNsh|>n*NMc*BB8V(R8|C!q%Y|_d(o9aNDLb7=uR${h8phgt&W)?VXkTUv{)hDr z2!+9W1zoT24q8cYPq!W%)H@>P?v~6zG;Pw8wqYHYbOd3OtAf>-r(sP`Z&m-5GWG+S zsI=z}4bhS5xYhQvz3Eg$CIVg&NQ$ts6t}a@Z2*y5n@Kv$W@&8QW`#!UxL@aERa*Vx zqP6QTWr>1X_mlO?L{-Tmm8lmutj!=JNvA{BYG!_EP-G2`B=?P(!$KBzi8g#Og?D^4 z!w)erM#pQ2oT$8*62=7n7dVG4X(VX!CgBSKn$?qpC9J#ZP}m#6E9iLE00Qqaoz~** zSdD=%RxdL6o8Gi*mFp+n!MvRuN2YD##9ClwgWtzrPi{byCKEpvHkAq_P~V6JCb}_F zkPG*})XS0UyKvFh3=b#->3?Ay4m}C8p>wy82Z^km8@k1^Jj|8KJVjCQwf7i?2hFKr zO_hGTgKa2HVKcr=^kTr5cf8}!s`7P#nQBgMuwg*6eBETrOsLs9eRvDG+>1OIM4^XK zjjFBSC@`DhPo&60Fmdg*SXJ6|;+>9G;hsY^{`1W4W$@L7^Ixn0Yr{l0AAUO9s~fKy z6#V@l${fgcJt?1lR)b7sVRGMLOsN1#k2Pdh|KNfyd)=SCbp`32py1$|;)Zz2lz4S; z=f^|?rYbfmIMkP2XezuAGfdD@{{Ul$Ytl8ExAD7Ve6%C=z-QD-bu30kYlw69yw6BhlTtsE^+_r@o4`{{3bm z;EIPs7jR_%SEgkJ@*G^-X7Baau`gSXCTvZFnWD3fW5lpQM?POQPsBL;sl!De?~s&+ zV+l13|JVcgT(HCGbvKbUi?{;u5T(HEcT_L9~>lhW=^v7&&@@XK_doj#H{w@L8FEtH~I6l>3rh31{;g2ZjP zd2V@fYHItmtZxPP4v8d|`ZZ|xDSB2Zk5yr6cNV(=zKIAW;ZS07?#zi?{?A$hPg4f_ zX~0k%&l;AhT*M6sEm2P-Y7%~klO2a+3B!>FCmPs)$t=$^j^_{y&oCq+|0n^#HuE5o zf{%*>x@Czf>3)4o-r}D>ndY$nQV}P=!r%u!@b@=?hFF~ZA%V(!UUNX*1_0}SAB(sQ zfAtWM?ci4``+w}ccT|&G_bwWBi(3#Bqy_{OQ3Pp1=z>ZU5D<|rO*(qtQNtYmaIvluWym!YFaP%|Hlj&>W_o+;MKuO_P z)h~SkR0sV}p2e3)ER`xik)Da`!~vHMI_GI!~Vmjo3HKm+`e z*-1g+l{2WnzZe|lP9)7720=-t{x`kN?G}NebWljrhEN_vWARV8Cp%m~U8Sgc5bM-G z=h(tjDY87@Tl4hmeXxkPTmW$$)il!#SZ6~#EjR3fHs#nYA2ThB z28AHi!|FmV8WJt8m{DF{?twl2x_EhO>zdE$tgELq0pI?s_F;-7b`Vs9RIyD5S5#K| zglD{Wad=vI_h;>ggNp0KeGp==uTvUHC6GETJ6#zw6^Z_G!nQtyBwzS4Sa{*-3fc3# zJAYfR<%YGW&BEe1T1kK*BKRWg$*dvPx#$#MQ0%Z$B0qVpbMI0*QNA?THwxZpJQd3* zys@@k_gAb+gUQ`e!YVd1L#YI%6llJHe}}~Bng_=$TPFdi6_d@{i(b8oE!}8I?&uw$ z7mR5xI)xEFeToP&2n=aa@g*n%j5~rv5OOQ(t{r5N)9jY28oKp%A;~cQoXO1?h3Wh` z2n1pp@I(V6@6F?Oi-`JXW%ov>QSL>Edt<0_nS= zmkFrpSoT9Z30an2M7qv|HR3rS_9cOTb zl&7`QOPl}d<$Y4gdcX=h<@Ivq;AbsOWa2vYbtYPIGEb)B%v<7P^7Vgz15g8jv|a*r zGl*s)s2c;kfIu?O=crQM(z=YVIXMcX3t#O7vi!|1^JZ@(=Me{ZCZ5)Xsm)|zD=Vvn zHq+@%qpc=m zdE$LnVRBEubZ8zt?;Tkb(vLxGbnG=5+ih`c@AqpKjprr%`xu~vY4AnDcO3Sp?9)|m zqfH!2p3ndRnUe%Dpojq!0J5KhiXb@s^6fPN821e?`0b_mEjAE5;HCH=(<~+JDMas& zD_iLpizdqk{{CQB_VojkgFwQKJq5mr8Yi@|_{A$tgbMeRwmG|(ayphq1$dOc0LKuH zTi)kL?QhG-;*JbH0c?x>w1Zl@bI{AN#tTtmrlg#sCA&q%ZmKc1TSVYju?~;P7&u8{ zrEW4jb*b#{5$=M5IfSlX7}>H038kqQuqk{&3jEnC9^&FbbqTzWed~IVXakw+-VF9V z{1tHO$<&PSNTNG=pD3%a3Aoj)Kd>JbCC) z5TyeI(8R1vUv4ru+#$jiHy&0UYYGK5`^5ec(y;dye}hgCbTZfic=LfkrlO;PEKDVYRAx@7L*5j>mc*jU0E<`snZTEHm;|bjS`iqTJ1~v{%H1 z@j4^`Un_5(H&+FFNsa|VDxci&p9F5l2#{!EQ)x@`B(JiJ*(W=~Mzj^X?vqJEz7jx@*CHXN zwdJ&*RJq;K((ST4v{0)diW}bBiy6xBXk4xh+*91?AKFN?4uu3iNajUa{LaZI!7qfaM~M0ApMK->p%APv`4c`F@atkUAi5Z*8RA$YB48q(Wkq@=$#D1 zr>4-P#&ok&II`2fc(2YE$7GgqZ?R7ieI1-AEda-+u#?7l zHbV(Wl4V*MVjs?RJ}u{RokrCk>+1@3uNo%oKe`9A27J+(Mz7Ca6#M#o`Q5_1P%0Xu zRVu>a7*H06K&prgE<4&iA9yvA>6vspP+R<6kAJTr-T0XBOB;JhV#mum9bS16JFix= z_DXwLpdLoISND!PirwHt$Ww{Z&CyRJ+&O(>O#{^p&ff)us?m~Qzk9s>8yk8b)E65X zkqg0tgFzV)NNCU9T{a>zSk*VrG+9TPhqmfb`H?zrJcdog_>~pJRN6r*;_!qr*W zJIvdd<$pOcI8ge0P~j`)ixH)Mj^7CFVsqoO;^VM_f(=aDRzkG>VVXQI*KK-7dp4$E z;U8LNGHGARxhH=NDYe#DRdZ^Ws?UAdU~leJmnBTEIDlcf*NoI2>z4a2O8u3iNz`~+ zZ1Op}k+a|K_%?FwdHIe-W#;2=?5<6!ZF8B-vop%u#d853(jBIw$ii*M)oU8x4T*Gh zoCI20&Q6s!Z?n@m=~N>1%^H@Q*VYuMPD{9kz+#l z>bP`^8ZimHRN3&E$G``@&`uWlEU%*EZ8tK?a*2b{Cg&B@~}JsWPJ^YYoN&8k5s2ktus zCM9C3b!cZMTDZRWq{fsPkF4zA*NqqjmevqnoZvg8I+rNXzqnQ>noVzrs|nBaHgYg$n- znXV7>%IB-x>bQasd`z3>*)pSpBgXgk_KI50Pn|Zfc)$B<(65JzEi;!>kR^oo@1E`L&`Vn#Awc7MiA>jf7blaU zUG7ucH`CobOBU?;IA~@jn82~l<+v>NWcK%6U2oc}Gk^{CR&8zAqy(2$!!q0oE>^N# z&~zzs;5QU2TJ5s{brT`_0KSKv>g@ubEV}nD40?Q7&JYb7GTHjeLUkiME{jCOXhT)( z-p*aLd)t2Lr-Jgd$P5l^=~Exr7+OT7PHnJtgaZZIM}NM;$MrJ4K~Y7|k>({K?1zkU zxrS{1y-e%{+8L>iFBgJjN;I@x#_fdSyd9e(O|yH>u+xyGOtB_W8kk*5X5ak+>Cn1NKC0_Zkr#G0{{H5)u=g<| zt8A&#=;^7N8*y30XR6N-I$PCU{W(zCmc)0_W`x4iD+1f z$wi00d7gWW;&@T4)b^w`^|wHcB;MH|FBs~!rRaY=Y3Yc`ZQ?F3tN1d36|EUk)Oe(`qIu3z zF(k0-BvQ!@QItapg4iixgUf>-pEij3B;|gRYtY10TTHO0YXwU?0+M}by);wgQbb_? z?qw*B8r*KMon_8oDMr{T2%H(~w~b5BG-juJC(EWY-W|=ILj}>T{!Z6>O8EJkrkcLD zXF=s#x5w3d?{nSsZc!0wfIPMoyrI~Gozl&l>7~2e>23@!_J;;99KV(&p+qeuRVQ^R zNZs(q-OH3O>0V0WUthKbufeZOm|U)wSev~$m#e&>Qr^2&+4tB)-03P;sa9mkG}e02 zb~a_$a+N{Ww5mIXU<#UH>#@_=;oN04q%!P;j^yl;T`OO2_ed(acN1)nN7SbqwOpJ^ zxjx@)S6<6dQwRyz&Fbr#WSx6pJo$sNUNbN66pKgutj^PtmgM4O760cY=|0)w>JUpQ zjMcX;l{2e`njbcY?PZ@;{H;==xt#R3A~(w`&b3VEyq&0quDn#;k%Y9;M=wwwDQcXo zV!8+wjFX*1jAI!)p+_V3`PB~Vi`e)@@&WhIRol+*VzxW!328*Darv{jiVFQ3G^bMLtUxTWaSJ5yV>zWyl=p9zv=&~G_ z8K6?lZA?L&Q82%D-ChvaTY>IjlpEApv!AdEt~8J0h+L-QaLstKRich45-L<3T12@% zx}~MEvAui#^hy*(SW!gxIoC>Qv};yh$``RaJA3ob&8MfgEGjZ}o^aH8e$ivrULdP5 z)DGB_O-`jx&U;xQJ>xdTEePG59hclM&)3=)>j(=5CiLV(Y}Up(1!g!xGBJNtvO&|J zBfK!{w#tTqkY(!3U}(~eMwbfT8P1xlYR-v59wn{$Fl1mZkv%I#OvZd=?7cOH|FvjHtsuev>7w1%PrKC5@4Ye1UHx;%5ZtU)z z4(2Swp>?W;ve(7b&zdXV#0MV9@o0tu2krYF8LkxfEW%ClUa?!R&OEv~IkO(){Xx+K z{o43pPf(Nb+6+%zcFI6y+Uy02%kbn^Z*8fDyO%%qE#zfvNiiqnp3x@S34nb}xNWz@ zrW<3v_#v%--_BdU|B-glPM?p{^Bz@ncT%^mZ9$01%7T=7mt~ioE$^b+YWDmaJD`*b zX@+nGQ#-S0wn;X0Y5l~=w6nSP z_mZ;?ZP)f!QMqjSUg5$winZ0@Cx{;}w4^_9=*bJcgRxx1Du)vL^&M|f9GdFOQiSc- z&9qhYfS+f~!$s3iT-@uQd+VrSb&vuqJ{ReB_S9}+o;*kbkd(~5_Th!tqJAOFK`!tF zSK0r1Cpjk~(M+?SpAKvk$PTcL?^k0i zRDV3eH~-gPm0}Rp)|UJJ_V(A@djURU+$}2`<*eQC7$Z(MirSLL^IG+7c^Z<>bDmzo z_hd{=DSpH;`O1ra=laZJ4HZ-e?}Y&HWCm+qsQeWJR1OBAdvee?+orZzYt}(1%DCe_ zN~830!GMM8O7DPTCg+Fgde^*5iwAOk8-Zt62~eN$Rth%Ka57+)AJ?eu67WAo4Atk0 zfvx1OJ-A{ypY*&SlZ!%=!eA{J&BC~2BRSksdxM@NVrU?2&NcH1QS(u6Fs zbf~(4TyA-2;Qj?3R~Aa3pQY|feGK1oTA;uWIxNkSfZ)xKEN)rP4iBmA zUE6j{Shl7fRGTCInwEZiUXKfk+KruTZ7>{gwaQb(XlK21Tue>Va!}$?>=lyBw1>B; zCwDU`9jv0Y0M@FjJuy8k-Ci)F`K$5syZS4&_oX@K`tB3P(JO_xXt`-OhxU=K<@UcR zPfM3hUoqe9Sn8zvtZISXdUJ4*p8QF7y#|U@v~^j(Vi}NK*X8ReEizHA8k-)%qynoV zY8$ak(5AXJ(o5`k@nic9G}4+W!d65o+3!-6XSC=vrbKMdf9+vp43J8&w(fVkOP=MV z>$X#E<%dvp;NS4dxu0gNKGvP6OSN|Qdl!e zwbvnb-V~Y&@;FS1{m`m+Je0fGm$&9RZcOv;nIdTIiI1nMGZtL%Eo<)A3{>HS4{MR9 zT4koCceu0;8f&n(OiaoN$y0p4dY+H=!0x^iep~X$LiAi}Fp`VNiU{_?BoAnkS1<%B zcEbEx2I1v8J?&ZhQyRtPY4G=GmTF`DR@FI`&4N952f}zfhXP@Y1o!1Au{3CV5Vves zLM`zU7A=|AHQ`eO-J|W!L?ttM>NSY%{dC! znuuk!X*yvJ^s>uJ?{G8a9Ys4!YOdK`DoudK+kgesvTOW~Vc!4o&f^%P((Jv8-GmFx=CAI%P$j7)b#|E-U=R+mZnTVS3u!&Z zd72(s8KF`672i5NcDq;8^1i3Ss%;B8sm$w0B=BQB@sw8j5h6ApI^?nQP4teAyXOJF zhyUt7{Z&k&E%*wTK9(l$nLSku9f` zg}%L!gpq-jp%LdjBZP^)DI+%@H?OEDG2yQPoFi*Bw5*%%FoRl z?C;&Z-Zy*}E7`Q?qjUd)Y*xAAvQJgAZ7HyM(%6Ha&RtNQ=oY`R9MM^N?v@8NA@9;e z1*%z0o;^Z|89quRnE0l|v^}@WFGv?U+#@PrdoB|DjOXE+#>RPrcJ2>NO8T*xIhi!V zd~u4m7~ZxTOMBJWoU}wH#-?JFl!mMPA1^jpJ^Nf)wmr8;=q-I# zVtJj?G9Q2MY}xRoowu)zUG($dw(ESHU*~q^%~kRP_YB>06W*p!+GTksCOJu zG~lfVzuRbgLoNCK+UY9Oiz%Jy{t+X??JZU(kLlV>-H1)Q{7ktgOu#;V0;$Jzv#x1$ z*O521xci5p!ZS1>HTKN0>$iCmy3gr6NP_Z+%BG0r+`TflO$i#*kEv9nVmpHA}I47dwM>NN7>X%gv9q;A6bQLZNuZ-4x6^5 z23H;&Sz^##Zyvqd3;|E*nqS7AP+>N$`lQ@kw&J`?!^v_SSLx-}Q9FSzmTtb|wZ8>(>Qp~%ski+Nb9Fgt`jLGU;~8TD zb=BbP2hUADnd$gi2x&}sHB**+ORFi|ATfPPGRi(xN28kiBkYYbLik(KkHl@aXsR1p z@gqFD(6I@fpbln7@v}X>#nI0K3C9|4Ub=WY6fIuL#_+m-`V8#7^W58M(@{kx>c}=r za}{#Bb%#*a21S?I6DKdv`N5ErPMNFIimN=gA+4=MORyzO1za&$0h3UdL&E0SG z^7izqC0bI`8Q6(FZxDS}8O9JjOw=gpaN+8{ACh=fKCpx;ePI~G%r_evSGgZdMWXhX z=6!X8dE*-#*4wOiH@fR0Zr zoLh8>qC3rEX>qC@L$<4Qib+uC;0Z197VlWH8ox&@_xKVTvK#6~*IQKuO5g&KUqN-Yz0O@8g_ zBhC0^x!7ql_=xD!?a--oR`l|5@bg-6_97~tvL6vDsN7=8lcyrRJI0_7kf)crYK`%lhge?J@fOtL@X)ydGo zg`hpYI`Hh29i@=+il@<%_Y96-Y8%&|(g?8HdOsVIpeuD|suxl%zMK}`N@?Y1*;vGL z<8k(M;_-+V_n#`H4XNYi1euFxdAh|UW%b9LDl;)xC*MAx%)Vx+)uCpcU&RKeZD24B zKX!A2KD;2xujEIsGYS9ctO(cJ^x+eTtEL8tRe!6bk9<3KoPYhY`t`uoi}O#rS;0-{ ze^k@ueSY0JJ9oD^Zp&PfIc$gI^o<(s8@h?v-GVZib6m?pHy_B1h+?FcqdyrG5T62% zBt&IrwcS)+9kZ}2y!3rmQFqNZBjPW?(E#WxgM4xi+g?u_cxy<#MAK+~3^qWZ7Fmb>mtj$Ncb<*4=$3RgSI6U} z=O=qjbE`5%z%4h9+YJj1sOHQgn=ej(33OxBV0r#DxVqhVM9gvQhra1fW?jsM<2T$> z+;FFi;#q$DH{S$1;+r12SQ~MwD?TTxymq^0BzVguMI_4 z+z1El<=2z?M5jq>2+&TxC(n_Qmvg;f+}w&>Rv*WPlF;4_PV{(CKU7}W$f2A0=H|Xo zj40AEA|TW=QgPeaQS`#Z=4h2}w!bn5YrpO1l*s1#*_G74#-lD&8xi(iGr4rSXtLu< zwQ;^u-^Ub=4CI$9wdSu_T`9Xx%DlbQ` lw1a-aZF}#Idk?%SwW#>Q&ovlF5sIV{ z(aQUFsRongU$Nl5_@>Dv)68uHmp{=4a&jKYlL0W;4My;5Gwy{1ITx?d|OG(3$GaemyATu4O5 zEcu-*`uiy$(rF;lBJ{C&DWloBgz<)V<+*&hDI9mh$s4*0eJPkXUL*3L4XTpaM7W^TjRaE*`Oo!yF$lU9soYOn?|+$=k^hZF;J}xw z{$!5}P?u@B_?KUHytvC3uu97G{RQgEXLDK^K2zjnn&jK7(H+l}`+i;CM!8FCIHOsx z%gayqmcM=s>wd=)r=qB|TcGJSW{naNOwkiaIZZ3$Yse-=L*L~^EOjY{OF3S4Hwdp3 zb)KXTB(!&eK2qiCAVkq*=IoSk@;I?Gs$V#2KW#gt#%;#! zZ|Ti(o=p=Y>8gJ-Z?N#>)GLBn&QxCdxsG1Tk4VRzt{Fakk<#t)xpxZQbOR$?EG(L) zL+s`SoC&C$zi~nem)=!!fAtpd^MB-R{r*|g*Gtxv7v8_AeC5GDem$YEp?aT)L&5%d zHcHKzKl6g!E$%AVF*TaVBA2R6JT1_&A$>Jt7gijc-Sv_C4dbNPazlv|A zh-sJj&2_EB%llMBoPzZJ%%v!>ccC6f(X||ErGn_FHMO zk}7m4WnDshUv2HI<<^J3)HxcfzvQFa-c!!b=x9;~%s&6>GZp zxHTq^eD^GV#zwLMwy5K?&zX_N`uUapj%AvxVa7YE*B_-V1jPy_d|)5bgd9^=$uBRm zMHSg6j#~JOK=T4EgsbRuc>R4FCx&NzWjz`{$p;P(QxqE4&1TiE6cgJ-T3gfm?F9>D zpMFCnp80|@yOfgC+@g_=<+|-iuGb5mTTD@l{8I}h3i7R;Fdpr*sQeY})8ic|vIeLq zp?onP=3009I5YsOI~JtLT~a5mzWGtS_&SWW7@*aEPIZ!%3SJP#tT@7R!>K zsQOH)yPsZz*5Q#Yy%YO5iB-rotqyJd{&+}N@y&ppSLYhuag6}jNRKf;|G2RKK@s~F5W--W7vwm=$+sa{vyzI$t^juL(PxaHFD6fq0Q=#xc_l+ouq(}ylsJ*{5e4~eezHZ@N&;SybNd7-Jr>hV4cu}+zEM&dfLY5gYWh!O+!8B z|K`c~fZO<$W&KA_CS$2@V#KLtW{6L=xcIm@?;4qznA(HaT-==ERu)#a%GUY@Mw}8x zj%Ef%52WvK-Z8VcyKiJGZe?k0g)l)HU?0|#(uNfT=FYgV( zKmF7kCfn+=O!VT&m8%6L^D^ljv0cyLX1Et^W>r;Q2Gh4bh1{bg$+2&u)F6sb7jmxB z8~JLgUvudlf&c4wDxZ#>BwVMp^5M%aL>eaX@X(Rcw4Bf^EKQ4?$C`SIHS6kD7_K*} zl@tN~Kt1?AP34X(0_3Z9FXNW5Y$brB`8LYM`vVjsxL$R%27)}iN+R@*IKD~3nveGY z_+N^e3~CFgKo z1)o|B1^sz?8hVBpYMqg}NtEu>#V>N%9eXr2R4XbG#E?~LDloIRo2x6K!D6o@xhPVI zR@c>fb)?28A>(fz&)v=GWD-u~bk`mGGc*%BMOWS1xdvlA+!c4|PTL`!qn>giSuWT0 zUiBsx@Jr3%UK$J4xhl%N+FWHx0Lcm`g9Z&eLF&8D6ATw~GWrQaN3Fc51>I$Loh|&t z<4DkL+tzO?JE53le{r|nvN%yR>CIhNv!Tz^>z^-N@}cdp#3_-MrNPjGVj^r3(gR;| z#z)pl12!yFHkn?i{q32u`8kIf!!Xaq@2V+o3b{qtAuf)%Re6ro=KYJO>vJbYW8H#J z+xTH|7u}s@i_}If;4hX+CzX9B*FE2L%nSCD#F6;(eZVbAKR*7KNdSV-^940YvgZr+ zOD0-oMg39xZ5##Ot&k_=6NJPi$8L_cx?SUq7f@hmMys(6PhB(78kXc zRFgDcxt)t4SdElwtL+;JGPd!QZ&7%km50t0>WAJr0eKL{53C}R;_6^R%vOwFJ<|nx zDOe5+t-qfS)6vl(K+bgB73>-!S#pxjmnu?B#Od2mNo}r8G3}kCLT+HOS;DVp@?kFs zAc=vO@B{gE%mqFV_CI5RLO4~K!B=AlG1KeUS7Qp=I!H!dGE_iq&ZxMn<9dg5N!B0R zGYDed+IF>3B?^}>lkws=;Qi^l&M%f9||HSNNU9N1hN(8vQ|$WO#iw0H}271Xs^Fc^;^6h!BI1rwlb?@*HoBSuvZ$%QjBBz&^0+Cl{vD%$Xe}Pe zv?(N4FxioFqVKxB>hHMZV+4+$ zEB9wBNnBu=x5o&gd2Le3y%|RAwh3z#Aqf7VFx{J4SI*O^uu9af2ix{k* zmQTx!f3j;=Dg&fS;Dpv#PvyP?mfef+OxXvxU$;OMt_A9>GPv(JrO=J7+kBeI&E*UB zpg%p|kK?LKgHzg5QRQ4}Q>0fBkgA9_C|AN${zkbrsP6t!4Q~Ib2r@n)PmJT{oH^Xy z?G!b9EY4YBMwt@3cuBITNV=} zf{`{hL#0nLoe_JMQh9;H5*L^!iJsIEVw`CYjmLe%cF50^jNBctYL5|tRM~5uFz(S# zTA!G>@_NsQzxHosY_FVPQ#;frMySk&nmk6#)4ymC8xWf?FIZkF<&4-6@-ecRUW)3V z3g~IRDH6<9=DeYm%uI%6L=G;7)w~_&+-uvmLVWj#SBtVDft2}mKOwJMcQgE`mC(p`9BzqTZuJp^lOD<~P<8@s|}Qj}KuS9hS8rYHQx zIlEC{;U8d(pip$4VA)yGPhp_LW_y^+t-iFG*nNqM)u&!pX#Ns%rly6K-rae-M#aWW z!K=kNpC=x-gvnD7s|C0@);pk9DUj@$pO9_t9MvID=sCF0X*Pgb?#n&{;fm}A82q3W z3W|S(ngE9H-iCt@u9*@8F85?60Pw_E7yxDRe1PGB&;H#wZl+s3yJu%lv|?{8Kn&^G ztSGkEd2`S}r0(F~hu!+!c`MJI<2iq;6xD$j{gt@Ag{ApL-1?*)a%(&kyF@?6efZBy zY+|fFz;m;Pp#pNf`Z17&a@Z5M;aNbCL;YU`i=}HOKJ_jUNRg@9KhL+J3aPTlC&;<1 zQbYi`{<<6TP67_OC5Zn74DmG%_JlbV4eOp=LsqtwQ$VB<}tdiXzE|63ES z#kxS^0MFgA4dTra9|lp+{m7l!@^d3Q3k>^LDdKZ+&{r6R|ciq8`xA za=l{}d+YrJx(jUPg8aMn44&WiLQ4!8#E{?i_|L3RZ(3D+`z?GY`@O9?=0Bij5stXa0Gh3PjM5C7Q~PbvzlW-Sus>IoSs{9yFBKo68p@h>5k+9 z&+Nro#Vo^3sojUVat3aj_d1l*< z%%DE7Y{72l!<8N289lRm$QU;^$jk?rUp!3n1MH1o{R5${4U`H7nk9acE2^8tuiNx} z_gz+`3(DW>9vs4}04JA(=ih^0PJ-nEOG0%(U&q3LP05&{uH)s%Ctxx~k>^W2&}1Sy znD*1(noK7%gMz!i+&SpZ^{gA%-S6&_HVEg&gZ8h|v(Em(DeH#uo${R4%L3d)Q zR_Ha;gBcFGC$KaVTLGPc_DVp#$z zFhem3#s5}6+-mUM1DT z^$+bk7Ty>Qo^n58KiQV3seFOlM?OG(3mZE{xH5>tRt#<{CNG5as1c26G6}KzFJft} z%7DF{X}iGe@Q^0x$f9v4!Q!(7L_M}wy=nJxX2eg@t8wk2tW51t$u=l^$H89uP$WfO z5n8^-e=YNvb=PFJD}C&d<@(ljV0_d6uXkJdo|oe>N~t58It2}bg#FK5{#&wxT`r}R zFZFxZ9_V90>)3t%Unm2XE`Fxl`U2~dX|SBX+8!dpPayiQi12?2DCD&zBR`dvhCm(E z;_R$W-ohN27a+@t{|AEnMmC^?e>{gn$B|`8LlF1G9sfV;=l>Q3|8>nrb^uW0KMt=` z{?CPC`NUbdyIgWi47Y2C&E`#vKLUfM#2-Kk6Ej%pDYmGqG%t$W4cnO|mN7kI&?l0w zXMO_bl*bq*BgW;__I;0!{rPpq4K0J8YulEOkl4a3AUF2zcz~T<@S26o|ASgE+bjOktGrI?Aq|0E+p@4w~N{5iMn zk=^-o?%xY|#P9qkbrzHS|4aUlk^FyW$(diX6crT>tr4CLTi&KXv2ZDzCK4?0qs%>s z6%XR1u=p3G%$*Xr%E84a*Rpln0JH?>1S+SSUWES&$26Z`MD8xt=MBlrQY$@%4`47D z&ow~5U@?p7-A@!6hy-CoE{?y0N3PE?xZTOc7Djgy!R_b=)xKm}V_REWtN*omix_TT z&p?3gAs9cO;LOA2I|@yAqN9F?pJ(h>6)%yng3Hl7;mziFG1>THi^8wi4)6<}TcMs? z6(S&Zz^(UN*;z@lRjci+GSq>1o?exK17Q_bhh*MJ}& zV8$Ee-FI&t#L3j(p+@xLwOT^kSC?weitVm;C^FNze(beCXE<-H`KE@3h8E{Bxr<aqA^=dZCsm11>A zhy+vrSU>7fMGy_PS(DKy#a^Pcr6AJy6)YWbjq;nDOJkr-2v@v_h{(XkFMDXs{^1p= zDfvgAM=N}#WKzM-=2B;S%Dj-w*MD@fYJT|@bxP(G1+1>hH-#redbpJFq zmeBnq{b-bW#7Fa5z9VHOzm0Q%TOkmx6`>^)?DrCnxMh%?81mal0EY4-`Dln4xI5d~ z4cQk9x|nExbvhH>L|k~0=83GMkzhW7@;y4GJNI8aaCUbGPjI^X#>dBpv|-qtnEK9< zaG(`>Z^@WR_6v%1s2Ny$+@Ev)%!vFxFkestq#HdwJz6|*uIGuwpHWhcLrQLhhs0?I zYiIwuIJrsq>i2oak*+&A_j(ikq{ykI|C|u$$kxrxt&_^eNov#C*DGDELOvOoU|rjh zkO|2DO(5PrGz;aiw7V-(PtY8D*yN981Wi7#+(3KUeiWc*VBn8vqSF$JCiSZQGrv^+ zCLI4F<8K=q=!GGV4S*QhSym=e(hs1BAcVwAsyHDLncbQ3L^6*`p7k&Xe`jyOGiHbFA^NFq!ws$HbM4wI zj+V!sytcDle-W;x} z5yzGD`I}#=xa*W{oq0^{SL&jS(F=4F_jkbAX&&DLk@T8t`OpFYy^aTuR@T8E$c3D6 z#hAKYlUnJzJx2X2E%zrKNd_olve{S*L)C1AIWY)sq?5k*tfZ z{T`Yv7I1Ut+UPO*v3=8(cIZ9s1&5STIWOM7)0wc10`_L?aN@ALzr;#I!~C%@_sb+A zq0zM4@ot7riS?<06gOioiExYPjmFr=M>h#SH2#NA3g=tcgH`(p47iZKDJy;Vutj?- zpWq)9RB*^M#S#nhmBStrJ?i^pV?P}s_x!#mTmr-tdAmXNC1@9=_jsPJw@D!Wg%_8h z=V)p|w{K`w7ZfmR%Fq)^ZZ_Xv05i zQ%4DqI4HIhI{|V+@-&mIZ*UHz#qlemXjbg2jW2$$CcpUYry@0_;F12@7{seQa4s{>QgToMZ$_XKeqH^ zX+F>A&RTw5Xm;qvoTyyO$drs3@rSStchtV;3nmgT+cOjZpbyy>1qXv$cy*}3U({Rh zMbr%WIh~qa9szZa+pGTK31EKbJW`{9xck7}wf-dSlHavKM^FnS zbkSZm_J*CtdDF-uxAABl>Op9ULNDH<@G;4H-uP@+!`TM44gtzPNH-MN6JQ*I7nGXm zxgDIdQ3(v?=BPx~^e)HJrg92-wm8^>$SIqY-+llvm=RVLThZC*g^3cJt-rhlYEjFi zWlhxW$|j+R8STBlhmIOv0&bIt0lHqC3rJv_t#}W3$bYh4fc5iJ;&G#}@hdv_ z(Z8>$1Df1thKd$ONN5X`2@8ntE^7Grn0aN+AVl}E)gF)`sRORLH^jO^%KQ&>>;exE zDxV%4@ejzlK(Zi)lyUAx6w$6FM?MAFa^^9j*k8a81LX-5ub9D{?2f3`whP=21+Z#m z+Y2`-4%V_e49U`IdN}e=Gy|LbMH_Rp`O@NIE6)5P%_!4Oa#V71GIkZU=~Ba{i_TJT zZX$b^{3|OzOek&AX1a%8b?b!}3G$1ew|SmQ{7;08UxW`fSNm3vn*C%ue_@XmD&f`L zvn8QJO-)TcI$176_@z5w@9+Sc^_#okwOsE8WnNK_BAn2}R43nu|8fA@U75EXne*!! z8yiQO;G*uE=jdsMknZ5FYzKCS&n$kTb^MEN0WvM7ikponK@hy^(f#C{ej&D5rVWTm zHt%L06F-WSpeW&5KDe+^QWS4Co?mC2Cs_XeL-&)5zf9swzvg(t;XT z5GXtu_6RH1w zs)P=Eou6(&=^&+Sg)S}In5e-YbMFFCx%esCuocP$dVt4{p|XE5qJWt^h`DVfX!exl4~1HCM}g((7$RhFpL9np z|1PI|BF`(T>lOB>EGsM9adqzMy-HsoRfdrgDPf}bbZ~T~$-?8)wK$k)%p)JxjG>y@ z`%!x>`o(`_b_Z9oKeaq3L$mbIU#{VtY~m!VO258@NGA$EzK5d5Gm`a>k=BzBkDe906)Q446>pVIYG5ZrZk=101Z1`UD`EIY7U03+;@5=Hb?@INtw znjjhwN!?}PjSr&*b*?>G^)HyS#G;Q{n}27`fa%SR*bsUcYW#X%CSkIh7DNH5{lql_ zzVUopB?Y_TK>_ZN z1eI*Zr=^1XDLmeF9UUFLKDG2Ohvr6aD`S=(E~UJ7Bw6vc`D%u;2i(a~nSMv*?I;$^ z$<*p>la|`4&#&&+GG&lxG3Cp+d%aYv$iyQQp&2UYSST`)OZ#7$xDRV7Ig>0k9~wgw z$C#z#$Lb#O>MYj3#4nRn_p-ZTQNMg|^kH<=?JLIX%fC=6HaSy}y#s#!Q1FxVCjyYS z;?EM%G_j~aQu~81ax0^a)dbq}Szy6uB zD^>5o0H$&7F?I%s>-$z~jg61j-r)#5VwnId-?;ktg6s}p+Xiq*g@Htgzw4KHwEUh8 zpdlyFEx#N3p9*>5dicF-JK-g*9vpIX_@CW(U) z9+mUkAhe~WCEH^Zq;mt_i-b1PQdH0-)X2tPBI!RnxeDke-1|kJ;Tej9;{ApyZ{~|` zU&S-Eq(i7_QdWba{sPA^{(sWVpHy;|c!>7tOwtWqx^e}lsm`_q|NBQ%4}3Wlq+o;= zsD!Z(cpGMIoy-RY2Hdw?H6n^Fn~8mp%=z9CwyM&1_5l23sZqIcIn0ov9DBOw<59$TVyAU}*_QR=KRnmuw-lP0S2WTMZ1nsF=bI zQ7wNi-_`Ra(`33nvg7G1%sl2t0#38!OFjKv4c7DP1Zjj9_I`@Z+q#vN72mO35Z_Se{{r=NIdytPC^pysB9yDJKgR=W=U#{}E`yGb+fYex~y+bKOub3A4 z$@TTZ{>olE2j74E(Jy4pcIkiFQ5#4kv!=_c3_NgBL0#x`xT-Wp-cIT$C3`eRnwAO% zzY<;eOjZ9nT8p6c;gx$2_2BMX+XgSr>Uv?roV|QFRemEHxB}}7X>$((SVpweL&-3o z`lbC9Rt0`Mc+VyAK9f89PZu<537EjDbzej43W77-3wKCnIWl^ktqrF379BUgq(>uF zg{iWS@R!PEy#eAiVWd)_J)&|T+|RrI1Duixa248-zwEv{(}1~nkK-oh&z;TA$A2kxv7$g5E-pRUZ!Te>$`h(q9)7El=IAt4Y84h}GX&zkf3fjN5+H-F9d( z`BUoOKmE3D+QGQ`1YpgoUz7TvXbLyiK#V;2*^4egG-GtXCbAkD0N0#nyaAu z@+T~D@72eRL_Bn|X~doiTS|__w2|Aq28@A;ZrtR+V#*{`RUB`oMI!vW?Md*lH!(mK z`hPjc5~*N7^E#)jkF@nIVHTIb87h#^#FK%2>N;d3Nz{9kY5rLQJzyL#MkWNJ6{Y$^ zBk~XoxT%nwlXA0isQ(*3wvFj&vr&Ra5B~5k#JOxE^>N%RXg)#r9)}b?UmB|AslC@r zgHcOQ8tTq^cm5`ol}(A&pMbC1wv?B?q+HzS2DcEqNmXWPew5$1!IC$$qtTnYZv$FFRQ7oyOfe9x1Kgy*>{MBR&A(h0h^G$nBLBE&$d$sn`T2Q}cI@hjHkeqt!Iw1A~JV5j%tW2ellK|5E&UXdlcEYYB-u@l*4NsVO7 zmJkME?C*IE!|3k!Jn!@V^?u&Z`?>$9ySbM0I?rSI9^d0Qj}s24!Hv=xVCw-G0loHY zZQEdHr@SEJ)btxIG+BahDC!11b{+)`v1M$BLjZpWdVge(s?Jm@S*k%S4_F4So>~CIrJMrqT`f? zxFhtFXn+`ASRauB{-U?nU~%__=91<9{VsWc-_$0x7}^v}hSff{Zq|>j+Ou3Q&9Bjng(kkM4z@9F z3d%@t)tgAhD3%<8IpJr{}QLLd-) zFNZnAX8MX0>Ky`nwrTu62mOy!toozxizL5(eDIzmfwNVa<(~?v@+I_CU*^P8?N{}) z{aHb|`uF8CbN{XMz|24aJL6ZNLMb}&QiS4F)V*Sb{{J%egL}q0%eBZ#0 z;iqMP-3?_u3FcuyTJl`((w7uukL=Wro0Fvz-M@MwhyGY?&uIF9g}Gv9iPu`etW8)p zf|MR`F?0rKDK!A1kBI*(bcu%6vIGHgB=O4L^mM2o`~;xToGYguY@fM{_K+yfVX5n^ z7IowfTi=|IOl|`K+;#P{uSu2!U?*tJ0U-i{kXDCym4kpveevl|zwdt*dX?Q}zF|Po z24UfT|Bk1zm;sqZRA zN!hzTbgACxc9>h2?BzXCShIuZM1QOxK-R2uez#a&ha{QjQQ~&e@Eh;;SD`VTF-@l& zd4yxmav#7iz%MHq$AIXp4Gx&f>#cAu5X{Xbo|Z$BDJT4vX48;4maV9Jp~|+B6C@z> ze0jAiccLG#LXWHGA1s|5Qpk8yqznq2mG&FBxwtgAK6NA+!9^qo6`EXvJjjRjvtd!U zrKC5#P1fURTlED!zQKMo7;O6kdk(SHADv2NTU&;L@DUr2c<^w~f(7R*U{>$1 zbt)$!XknGOZin8LrQWsD<$dVH2UwNM$jAgls27$j?m~wTpN4YmJ;Or^w+h7p+bV)- zh5G^zw$=kxo#yr@AkMVFGt6N7^C)dv;N|;UbZS2zp5AFCAA%eHT6WMOyD%jdi?c`J zL!?$&*2)C=>4?Jta|+Hp9GEh5W4xA(@Z`ot`gF~&SYnnM3|4JsGpA&yua3<|V+9%4 zGR04l-c`;DO~km5L}>=^k%RR;*$>nz3^~|a;JaE0Bbiy_u3Eg($FMP7J8tE61Wtb6 z`x8A?#cZ(r0h3+2INBgkmM7_NkbjQF+Y2O0*VoGoHkbegqGELfh3VY8%hc_N2=W-p z?~wMuL^9bV(2b=sUtU&y$0>SUnG{dLG=aP{onNIOEmp4ej5dYij$O>tcqUYb3omVw zE!!ZshwzM)n=}AQI>^9DSVVnjyZOdS0p99^7Orc}uF z>Z9NozrbE#L*}sX=`o=!+KWiD1cFFy4YA>l`rEp3(Q^-HozDw}1(#jUisTjla5FDd z?_ISZZLi1L%2$jwajuEdlj7sLpi*Yo|309F=WWTjs9MFz%SyI6p#jN%FQa}fsJTAo zyDX=O;y$jUR9B^8nkH63Und6OfpwlQrMAog^sR^33v|#|JG=D6jmsZr5L(e2U;`La zm~)8#AD5ZTgClj!6ykbC+;U~lSi%Zk@~)oHt~~UgQM#oANi!92^>0@RQhrq15!wh@ z^xvaBxZT88Lx6)nqGq6V5pEItW)P56@uHtiNrSyVB&CX74vu4o&ujws*jP7 zq$T7Hj}Ns~a(_S46EUUs>r!=;KhtTzl5GE`Jz|Q9Ac5_A@e8_>f6)8Nhy4dlfwco1 zni=dyp6_mL3NdK)B<>u!>d^Jw?{02Kdhlxyg%Xt;tgy8xtM9EGN&nPVpc#foilmWw zfkd(S=2y?kNkcFt9XR_6knOkuj32;0>q5MtPAcF1Ml%{~jE{kUY4baP5Io|n*D!FK z_2ZtMZ8vB?okV6%^Io?7K8o}0e3)x-=eSvr=`@wL3^`HpYaDvrL}oO8?G{Y>p*0el z5QYs6scGKnA)%ctN}PTn+xjGg)mNaXnigRWr~+N>wRu>&%3YX~U~eP#nm$5HA`=m$C#YkO7=DCqpWWbtu)IWFhLVt@4DHs%4~G@Akmwh*iw zIobV_-{AWg)o6<7r_>iXicb#@GnTb_VNV2O0l-dALMQ@PPv+@bHD3(#u-D_x4jdc6 z$_EKsQ)J?K(0zNiOdDB(wMqPtgVTPDg?H!tN=*D-%67-y&x@sz_)A-wMrNk_0W!y8 zy`}ou1M;C4+_j%j*^+$C;|!evD^c1?LpMe;70latq^s}<*5vvv{U9AxANsQ|f?W5G z$|%`-YuL~QZXe}unO$TH{=L~keG_q*eVlH+HJx<^l1jFX#XHBCNR|X_mAEh<5z`); zJu$z8S7^Tv&R#4%-eVp|`aDn&-y)ha?CYWM)9AxwMmpjyiMb#%>oU@ov45+_$K<@m zu-`0*#8;4$1-WPyrD?s;t{4Zv{9bhEzqwRmt9=_pHF zG=j(7;ps~6V(FOfn>Ci@E^qlKfAMSENM478%wY(Qi-T0#P!e2rf3HDD|ov%nuDw? z{}c;fS<#|0@o2~A26$O9Cx+7V#+NN%3!>j9=f$W%#rNj)0~y&`NMO8zbN!Hk&{hnS zRVxw=04O1c{s7rvgGCSqBO=Bwv_c&y_{7&ZGJpIwrqfOMZMWr6k$>RZJXsR21Y~9? zzCART-p0d$+P~#+BBQidE$2do#sKF+za9%c={N{t@C2D&QGW16|I;(IA0LNgjrDMK z7q%Lu`fDdM5giWLndDg=USa^KOLY5~BhPibXtB+FkuiJ5?s18RY?A9Pq^_;bmq7*2 zrmIJ9jAsJ8F;&BkUR$E9pRq!LEis3i(MOgNKlP3OFo!(Jh8bN2TXNhijkC3CVW4B; zgK3F8%663-HMYpEYwfk8lu0@pHaFl2w)~W}f;qW5P25vIY|Bkmq!r3hQky~pK+Ze- zvhnCQy8IzE$+N0?!92UtW1rpVx*yx6$7ZnG){q6Rk$K~%e9(NxO(FD?$=_!>fc7qY zOE6sS$E(h|uOm6IwMoM2bV_=LnF9GfN6o5RqJb`&@>(CceH+3=S8%~ayxLV@x)b>Z zF=oq0(XAW=Agjoh%6RXb^0x z)HVBMKhDS3NH-xJ)m4$jYr5G2?92mTW$`01RM#W{4hFCvz@}$@JePGYtJ8#*SMmmn zn0S|l?nxiK1QNMV-h?h8ieF_Sqz!bN&PI`EZsO*;%CIDK63?zHKW-hUL24f@$Ukl` zC%&ZeH7N`0xVGS6&I-5bZ#+Om8(j42{%2DeB}BM09WkACJb}1j=aT2ln9h4F48k%4 zu{T~P6oUJ{X^Sn(`UKwBJou0Z(Cg~LlKgpgHGlfb+vH)0aYe zh*ZOM#bo!ZuuuQN#jscK@@M&De=WHeb-N3{)#NMgrk9i@xK3zITorg8LXTVEeGa?Y z;a7iX4b8yIIU#bNtGKjx5>1bzA&i*>dT9@Xdy)v>>Uy9JE-8kB=$Z~@(`-?R4;P6( z8CSj#rgmv#5+@2L#(f3%eumRISq9-BJvV%#>CG9oNd$^H0JM3?^OcFyF>0C&T#m&B z%;zLWdl8Wr5wM>F6aU^nm67AY%#Fqsxa0x~=EOR9%7*t-XL9AbX0FSRm1GK|DkQ8{ zrT*ryO(zDTH^rv3u%m?WHE9T;`<|^~BTFSSYTr2ljI3)t<5~Q z!?>riftSC@7Wq-93^jiDkbCT)08n7SA%GZ)aJiwv2V0{9oDYVv)P9Uqku8Vc_MshJ zTmp_)#9`2h1pWCKw&P1vC2w4hA8&1!z@r4{k1OVfUTz{NXq*|U( z%8vpk%_<3lx%^B!1{TerwCLz+ry@m#y zZvyV?-2?7U{Z2tRYqQW&APD2<{woM1^M9OQT1(fxUh=x+jaK;AL}D!L_=Dsc^Poq> z;QB8uOGO;j=IpgE>TVp^Fp$7CMv(}D5SpPCzA#~l*n3S%XL)MfEN($D^bCo*o1%~C z{P+xy+k~wDwFqyuTJTXwRb(?P4KeLw@DgM2bP-IFX?6?z+WKT~8Y{yC0K%K)^X<`2 zzDS4wq9WBizk|7TK>fToTr3OvQv|h*98;!EL%`(-F*IZgf*_r$c!E_3Z)!P8urC5Xa#7&+r~VA1tltH<>*G_@m6uDYcT~Kjy+OQ&U<- z(()dAhPEgQyq0mt*a#d}1)h(vG42yI8d zqU3V}H2wyPN|~sp4MWq8658yS$BM7#h8xPqyA)`uQE_4*QMsW@18OP z1?#YQws19N-R6NH_{W1xp3CwC6>sL%&u+Ilmd!qB6VYuchZG$wLsY~st++2c{|eT8 zd*u9UI@FF$^BT`{S$V@U(1Grom|wULz_!v$yD=7Il_uR#L=HadjnOd(3Q2Q#v}yN9 z4Qxy3N&UrAb^{cs;P>v;=#gbU`=Oy=Q zG>W}dt$-0?mT~hA5%zG09El@sTsGv_)}wSbN1#PF&ZLm-mU!LYSo5&=Mk{YBg3SwS zws%u~2!@0_^V&9^qPltdg)G7G0o@?E+5>Y9(Z z0L5Qdp2&fs$K7?n_|$>&q2&c%7yl_O3{3U3 z_4MuBj@p`O&2NtJjz8ltYhZ`!;952Ar0L2U6-UEYpXH+7l_u8%NYdCrScf8?x3LG> zhCXCe$#cB8EhvQdPn9L+S-oRjspsg*K=60DHIY3MEuc*MZP&t${rkN?D}UDE*}K){l~2g;9Z3bMMWM*xCZld{OTWWV6zWo<`bj% z$ea#~Fzjjc12J&whlxpTL<}GX0lM(h*%NgmaOcLWgf3dTg$E@pl?vdWnZp{7G|ap{ z?d`{tGFFI@hvdU`{f>@GUTif1GEmoWHMc&hxbRLtyCGPOK(qzz{tQ3*IxNRZ;nY2C z3R@6)G}P~9OAVXaQQ&_b^^2JJq=pOqE1d^UbR zTtHukvlzt|A_o++;wyANAon8&NLKA=b>h_G)v5b_1q3{iI&Lp6M(?P_+BZ9R$S~6q z26>e}I4_4EQAh@#ai1(%EiBU?!y??sk8ngigbT2^`pq5+1%e&wgVE#u99*L*8h`%Ge{*M3rW52ig5}!Z? zSV8S_oj>*h*RZ;RW3m$%gW=Pe8^pWYR9T*Jtp+QqFVGwE20)gpkx@rRGRTE5=Ie*X z>)Bhp;kjXTP=MJrHJfJ3WkkcC*sG7Pw>JTXlsiUbxIdJsie+{@|w2nfGd%-Yb`N*?42g zxLTk{dZB+J7GvM^SR}+xB$|r7^9*5X)GdGy$?LYv?%`!CJvZ|l2Ar<0A%C1W}*^vul3+?`bfZQ5D|c<6fKsPfk41s zuEG~HnMe87M2Y7J)z9U*>fwfC%dX%~S#akJmU>VO9)V&#t>9GTtgtRmODn6Rchm*q zrJh*(VlSl1De7>JGJz|=5_J+V$5#d=chN@MKM7=JA= zc(=3Va9?JX$C@c2$RaFDN^wa^_xDWU;xs%Tpu54!UPJ-TulBl?f|$4mro*BFRqBWF zf~1rj-A98~{pem+_AbPkFIcP@~`Jh}5;#aL8v*X-!`kYz&u1a;SHlM>X?DKef+y$(1$R zt3_=p^3+dxsN49bd8rPB%gkWMaJ}%hb~%DRN(NvP5E6is)`J--yydjfS*BfgZO zXXi}sHR9!6aGRm=k6G`hu{fK_bD1@S+(P_iWD8-0Cz-E(a`KK}9?HvZ`T#sOB-6uC zHh2m>X!9%-r%+sht$aUf1WoNgt{F_bk|O0iN+6z1(wh0{@=)fa1K{OtgNlVyesqB4 z1%U&D>6+txd-iyoz1l!5Rz()+%CyA*D4`T~Pa5E_Jeou4sfoY{8s4FsY|g?DV)@G=mJnJ|#JoQVM>mcX{+oS8CzyZNGZP$2XGn?Jfiu%gug6V96%)N&Gv1_^>x znyKNXJG34nRC^b#^|-tO6`kz7^fXF5dhI1awKv{Pzp*eBwMOAZo>|^AM5seR(-Y`5 zwpL`#z)U#)kMIplFKEmFhM2!LIo=%!(7}bWgG=l-zjEpQ`F^6>}ok^)Z`#%0I zD2PPcJuhC@duRbg#jmnerQsjrdWftJgzo*7f&CO<+OrU4ZSYDAorw8B4M>|qz#_%z z3}k>}A{kECAGnL|lXwt{VOVPobd)E2!JW7y|yYSFo z+o-HJBs+r!#jn?{#s1|!K?ip%sptU(Qn6BalHj>09hjU%>biI@9U{>>71!oYoar)inzdWkxmQ!5xrP(aw|tS}FvlcaZ;>Zz^m?CV zAP~*<5i8pH&Az{iNqf@_>UEed=&c4k>jI}*t$Y;u3bKYpfda6?E$dmC>O7rmN7|pqlmN=c zbX5~v$(B1j?pzY5P^vsaU*)7)NsEEawWmuJY5OVo}}K?ZwVpoY#uFQfdE}iwZKh9!pllaeam>*C+)>IpwTck zU!vobUw!T}k$jLr#ykOuz5t0xF9M>uu%j7mJz~?&GnaUGBXmVU+b60$Km<3DgwXBl zv4Z7M_L##sN#n*6Z0L)n!6>-x)5OW8s@q4XW)>HD1wKP!2RU?Wn|r6w(7OIoYck3Nq+a4Sb7D>c1^z5k?@2wWN zrW=i1XI}y30TQ?rAfiU=NGK3624Kq9?L(=ftPKC_aOUP6gU6R{N^VwWKn!`oE7yu4 ztq|qycSxGU#A1cq&)do2pGtVub$(Uf*se!QgQtuZ&D}2BUlIuZFM|vUADos#Jp@&^poJXr2UrdwbL99u z9>nhhXP;>Eyv@9|b(P5QV!Bwn)_Jx~CZ{^=Gsx4}GI-O2IB4lqMCT#dg(5f!{++^3 z>Z~n!%)hyIbJOAgmFbIB3cfgZHVmY&sHPa@f9eq40@4*&5V}J&vxpCeou7r{$%-Nw zK8CsCdoTiNmHKwx7sYjLQr~g*T;^kePc@rhbe%^&QY|peSB7mN5LvvX!{gpM%-DMI z+OJp9g;_5^=5Yh>TJ^v`IG2>pTJGyP&FZ@z^bis!b5221=zfglpF>hV1&YUfCgElC z*CcjYacy{zWlZ%_jg$!b=E;t;m%Kw{yc!E&Eju@-qbJPfTx_+*SEa5G+-X%q{<;P7 z5|;N*XpGzFLosB2MM>XgnH4eHoOEA7UBT78x1pw+X?ibCXRyn!D@@2T2ozTp@=dgF z#J`)j!5mdwA?@nPXON7X;eX#b-rvhERUz-L#poa7cTSE3!vwbESY`9Ox_`qAL~NA0d5T zPoy+iw`VCeyEr;~OFEOGfd1Ax6;>&z38+|!-%go?ToNI?9DL^R3^&|)K4n!tgOL#M zfombeDraw{gJ?7u5)^v?C1xXn^^)TkTZFyLr)$< z07W2kg)}7%bsuUY}GVj}a50-+F*jQU)o}XZpbU@1V zxCP6;(yL8wwP||oRk_C`3<%LY=`&tABE3`bzeOhIUBrCxk8ru_$D&X^fakIVPNwTn zoIo*yH)fxcc={fKh>%o|p=Vm)(|gPDF9A2k-OT_uF46Z3DG*_Wq=dBM=Enm+Y$!5i zu{1*Y`1#ugf!SyU^-Y)o_F+R6Bv2YG4N)EM8^1rk7gX-8eiI}!GTBkuw^~$dL%`;508QsWX#=H`+49! ziB*hI8yva%M3;Padg^81AjS)4tDg_%^b&!C5p_u#0|n~p>*50@Wv=n=JAe8nz=DuD ztRt4e$63-@=#t}L3*M$ut52OraW1^6i%Vv1Po2%}=i^iLtB;XrJ;THxCGAnevW*@l1|04u#acccs*ZZ1ho!w?9yGr;YHtDWG zy~@rK7ErhYW#pjbwqtqN(WMThW0fGu)TJ`WaA*?|a>nOXqdnrL*Q>Ok8NQ{iK<%%> z8o$C1><0vq5)so?xqVowY4c5o8l_%8Vi#TZ=?my3nBBYzs4DPnkR!urqX)l9TY86} zV)1mvhb4%ECf1VPk5X4Kdw|o=(c}X|LI3(ys5J5Y3SKS)KeIph)D|_gP*Eh`)o2xs zaXCk@CmVU~+IY2U2ag8XUq@hrPTAA78Vd`3Mwt$In|4d}BiQ+aKkSVy7f0Xn2GNO{ zdOe8X7fU&2g-YZWKbG_zoiV?*`Sr;OrIWo}u}a)yM$5a1d{QbP6detyQ91)B&4;@_ zeTc(AHGjJSi8i`EqY&nfRO_c$s*cc`;*&Du0)+ITEkc@@M1R;1xYTL&xx^h4(}0nG z;~y~71$Pj5ZNi>$c0F4%4e|XK=r(Q#NOivA`y-di4WkP(X&`eTwvmyTfHiOW+0Al? zrfrviAhi9yhC?hUs~qU2gJIOle2D%VSOOlDK$v|zBZxSR%|vu6V}kwb+koRzlfD@^*+kviH_p=+LAunSgu&Y(6vgFJ7Wx+~ zej>p78K1=CH}Ba?nhY-}DmgOmOR=Ar!Qt%fcv11O?2=Q_%S~VhrqpKc(YJQ`=_%)u zRD`EF_`b6@t*sBdtKJFnUmIyDy0oypa3TJ0GfepneZ2g^gj{pJ%dJzxi0_xylboGl zZ#&1UtwA}IgOg4bT(hbQU0GtH|6eCyL`VbL`+&5f*gTOR+R$Oct=|gLyp|Br z>k#8HsN6aHf0RuGMN1Qj2>AMntl!Q8qIAmU_VSJB6v|b<@qgk;td;}<4wVrE-}p^4 z?>qcrhOex>T|+w=u{|_DOh{P1fnwp!lp>`B$*?!WxAo`iC6Z=FHzm6Uw39p{0_r|z zA1tqDe@SZU@2{smNvr%o<@~fKaT2!5LN|J6}`M=JxZg3)mRQvz<`I}iWsGb?1 zQ-Ur6{%gHP9{~AH0|V7l5msemK!l9x=4Wp~2;#Jo5CFvIZWcR&0K3nM#rMPF3GJGV zRUlrz0qXvb9t^15%|iUsLMdeLKmiFUydp{jDKgtgIA7SRh}_6n+izw*Am(GUF5vG0 zf!{!%z3o#1A-?}{jerXLcSFVh*A|~KEeut*GPf4@Wxm(LpaB>oLfOa`4&zbcIfat~ zNSsuT@2rO8HbW`!b=$why znEC*^Yco|q3jzQxb6j}j2l zV80|N|8_iuX1Iv-2K$x4cyQX0H1y$c(gzm)P zQT|$>=Xx=y>;p|#!?2)OnauDUp`*nK^b_D#%Iyf9^W$Bf>`pX;0`euOxh+SU2Z1)I>o4_e{|pCh zQi(5(gqlV}*F}Q;EKC8QwfWy~CHoOe`~+w=+7h81`2QKeoo={ZJK(5)tCE!)5b;n5j;#X1KS2emYsq)Y8C`$;D5aYsg+$gu*GtHri|Wbf>hSuZzU(2 z+?+VV()@D(LSxupg%ehy#vw`frB?p5flUVUQZW2zX7)10u1jFQLK`FkVBLK0r>7=( zSBD#1o-AiJaDB2e3@f%Jeuni>h^DqzM3@J?-&&M=Mty|tT)YUbiLeb68e_QBZF88u zjYSaX=JeB}_tj+C8fe`O+RzYJL1p9{d`L&sg-^9UA__|eI`$A}A>k=#+a9_peO?Nn zSNg>*k>dqju*swTyMX>!=!eY#yw>sJ0a8HmdEa(7*lVrilM*$|<+B3A!5o@_)5nh8KSNV%BvOj60y}c3H0}5I547 z0x>kC0Xqu8xzc?}HWxlEyrUF^lPnIvRIcDCh=?-J26Du3JG|U%`+RRL$CeW2S<;~U z*m!?*1A|E_#h_Uh!!;yX@|H$lOaVz6=MsIr>vZX2Wmq!VEd%4F03zfW1eq+TY247u zgwKrH107?~mfsqIAJh3im$fN=1d>Rox>=AgXbjmf^p^drA3ZxSDq%4lK^E7tCGF;S z(W>=He=bYCRAWsMA8mexAZs$)v`^`0Vxhe{HIEMseegtiXj8BR5w`EHDI~k>5_aCWN6g|eZbxqN`38t2JYt#CjXjK%^4;~5t5lSr$OHm zklHq5n|c6(IA9O9CZ1r}GXB;oXeL3F=oj$&5M3j{3hRa&NGGTzYlKm;L~Wm^ar-4) zE@(P>ML(DIjIYPyBxHK_3_u(FlKcXT0hV>^eJ;K~Nh{wA$CB z4UvpshiifE^ZNASB+&eGYc7D&n@6LC_|W8mvP8Q;Du`<6Dcr+xdmUTtzplV0Mn8O< zR}K1+N*!O;!WQrP3Ft1OI|~O2vGVhWNIW*q`8fjhpnxdIzKBre>GClYj;1*(wwb+m!`I=^ZP!n2k5J1|k7FF_u8ySnX11%cX| zLP|ksi!H%nle#D`Om$^t<(*tD^v$7_Oo=S1&Y%VOws%&ZyRI&H9T`@dUsB?BuBu2v zJn!a z-iup)A%z@jV3EzwOI171E(G|2K-ZuJ)wX`StFrvVXZ-}|Mf+V1 z43S6KLQNEYfQ#((97I{)%C#1L(1DDO)U7h{>H%=RjIub=43@BqWUX@OzB1ARZw?#F zXT0H*qm5pqN|9V_U>%A&;=6no5+V|-aQU@UkuHCOUr~3Ka-hDGZk*Gc55pl_fDE=P z*Ej5miOI>3W(y3U!#bypUxiHLEA|L@karqT7=nU#A_C=w6`}B*`i@<016shpSdsiv zHJ)u=3@;FmlOAIYc_cm+j6~D#E=@nM6<4gUA;X3nKFrQ5lz^jReiG1MB?!d>Ovt=>gL=kwx%i0Kbxj2>la4r~oXFCLa^1)&eka z&)uMb@JFBSsPiVTo#Ho$J8|4*?!Lq9BD!3~V-P)^mzgT)o4H;JdOJqQlt2(Fi zp4s-b1?MQ=;0ZXX5q7TIz$kRjK~vJzX@Osdzeg;-VoEb`N#WH0UNKo)+E-q*8Fql+ zDr=0+PiF3fwum8;b=ah<*ajI0`YP{m}d7_wr&(+HxJ@r$w7d<+dn3?2J&PV_;ZfI1vd>rx_Qv_%vVrzjcJx#vRJ+~ zpI6ODD=Fft*@|xUV9xI$&m%6NKL#9QHgjX`71%n*i^ORg(NJ1|9N)swWr2Hj?E;QV zPZO}BEBtuSA0OF7FDOo2p5pfiC6CfksFgtzyciq#@WU`t7pHc*c)>>ll+vd4?gIPb z-99al-;vu86@P=*f%@h_X;KEWP{{21Vh}oiOA1tazwV9gnaaGKjs?9?bk3{B6^GJ7 z_;MF;;^kQU3^*0wx5EdUg2q)BGM2By@g7+S0571ZPUC$wD9cV8So`2<3i}E_zBkT& z^ivzZZ*2AyI2q{NlroFq!@Oz$`9TEPU+*Em=#pMv#;qK{IW_U5>h`Uvcss9N8N?74 z5A}sCDW3<;_rJuj|EN88eFKPUypx@LEn2!XQS@=@8L%CWbN4dK4vd0k+3$wCEHTVn z;RYikUAWx-D>3hOZpF(}zSv)f0|r2K`IUoW`-Oh5!kZ~US^AjpOIFZ-;_2nLL7c9(@yWEz$4o>6v3jWR+cS zZ5|Ol6+AtCk>6Gq1X^CC2S{i_PD3ss9bokwNtFtYvmoQE&gYO+HW|r*cG+Hh)CtxP9mh za=Yo+^-i|V+fO-b#K+wuh+M!JSfO&WM(EhC4Ss?tzz6o_Gpq-0s&T?nQtu_$hQzG@ zS@{zSPP+QwU*J#iLk?Qix$lgSQgX8EXo|+=vNllcvCRPh`f?Ro{wNUne` ze}sX)-7Q!q01Dg9@HaSc`zZfu zKxbGB&N3rDR*esC;4H0dr9Z;ri#03n{zhYzy&}s4Y4!jCf`bKl!8ZO+nfg5jOe5?O z(tp7+o+QNGKZkYzzni*!6|2DMe{7e>fYHj;NsQyA(v_4EOJ#4gw(%oHl291_;Rmuu z-|EOgPnwBj1%?k;D*9)2hoxqT@a-Sm=;E2hS9DaOBsz2DE!c(*E8l~lq}w_IY#h8ll&KIW0kBc} z!SKJXqIh3Dat7yqXFdv;_TPJuOgV+Ve@7$O;`5+E{2418ONK#2+xvDncgU}w8JDu;8A355Lx z5di!H#>mYq%pgR)p}}k5S-6JCUs?g=bKKrV?2LZ&ir(A)pKZ6*O{v1@Ly$WE#YlN> zl^U5}uU>7RyEyfGNzZ8-L~CR+q`|f~7>u#owc;?^6=U4$6oB_2)*9Ir+}2zgw0%|8 z%#dNmpn1#6!cqZCGaI)3k`xcv_un1!Td=g-a;Y6lEAv0$r~qUTX!kkrSqyb(Mp#69AgN_rr!WkWVMp;+NmBPl9_>3EVUVw%y%fNFT&P|?7~Lwx?>*f%4emV&+%$>8~mdbYwh_Wr|aGGL4KvfEd71xEmpFXEW~;^Q>b{x?F7DOp~u zLJ3R`IXoy?_S_cHx6Z)?pyIWa1~@+CAKDF2!S>i^3Jcm)<8ggnD+n@Q5|;b(ADw|}aKtRBLjJ`gZ;+HFhM_6x zkU{@Ps-?9MqJ`3`0iheYha`Fz+yyvE2z^7r$|kY09%1H}yTT0hi8yVYzWyhj`Zobh z;I1&$HOd8`iXPbn`fhFGb^s0a@?+&?(vg6$1`xS`bletTUrR$sF281P9`OT_H)$*n zejr5aW|pECK&VbkIsJn`r#StuknJz#o>Cpugm2@u_d_9xR@}%u6v!5n@IGL5A!_Dk zCJ3oarI3InlqF6OUNUR*1H{AGD|bzf3jYS6iFg2{XP)J3`zc z_-AEGDPFGyTwmpXry&v1^e3@Z>OI|oZPSp7g&*e!$%Hc`Q^WNYK6EPEyZTd_OZp-R zDYxIy1_w?0R<208enw$H&p=2P{;9e^Sf-U6bp10VK+1o`OO1WSBzRhJJAq7~GQfpK zW&p`d0~kKGKUsqpfB_@@nN)oK`uAIh|HG#LBS-aDuIT?P(e(dN zPe?O0f2W5?s0aYqw$y9gl>YB?RR5dY9%-V<&LW5j#1L^ro)jEBnu2hwYd7#Xzuf#s zeHI)9j96+uI`L%7|~Yd zPp7>$fB*mIsNrP)ugp>JAfwz&%@G8Q90!<1qEjaG9NFRz{%_SRP|lZWjamIFdHK5`Ny_VF(;r0uEwt2-o1}b(`xj zO|g_+rPt+SswrFmt)=2u-k<-(UL#s96l@)6#O1EIU~bDKWy+@GMV*U>dxjVd$tsw| zEKC$lt`3VyE#th5SO-|3%Z1PV{FD0(Y9T1MX4m=_l&zdyLa}5Wh&`lE4I?vDgmU^& zn?VbC)e$fx9*^PmrwDdEnZ_%(`il6es@8WT%nTRR(*TlWZmMcuv&qY*lNT7*N8gYb6=2?1Gi z3p*1V0a=S%b|#lhj8MiV0*WS-1IhIAXBXJTmpZ3fud?7G29leXlsN`uv#)tt zoo6*2e)dXUsrh!L{})`Buc4NOsFrb8wAY6bM*RbRUi*F1cz4c6JXQ*O6#VKKMvHpp zzMW{S&0$X?brxM?G4Z11Q0jw+zf%i7*_&2Y<(JzvIPfd@;KP&oG}iOB(HRogg4`qz zh)I~a3Ysrg6h`dpNuepN^uso%9h5jkzBV(wXgZ%!-mKBgxu@<*)jNsE2TlU8q_>M? zW(Sq;S)7r}4Ep)%mYU6Rnmgp$LOhN=oOS}%Twii}2KG^Wd9l=S{}rD&`kMeX>f3&I zO-?I+IW3xQzCUkwQP@!ErI_n?9cC{{i}Jj3Ba4AT_H%SY%;SeL$42i=yIsE}#AGNJ z&GX=o`1$Jh4d19RIlA9(b)kortlgd{dLElK+?RNu`Tddks|P8kXyW{)=5qaxNF4Dz zL*ExG<0>Ajc;l_X)6>+nkE%pnCu(=KV(y6}ZVNlJ-873!x!CxNk4a3R!m5nx*(<@1 zBd<$i6isY|qnPT7A2PS&gDw_T`}n4I)_vicAG&n>s_wD@cdPmrR=v)|W1KdckN3i@ zMP+tNbSb?x4(^@c;#%;pD`2K6;Tzj$eE)IwSj{i_Jb9;7IxYrtTG=?VuDY^YLu(YT z8)V7mPk445t{tY)e_408@6wUCFH2*;y{s6PbDlfTrmB>Am2m=AdYWTU&UJeHcyK=1 zhdal{pMGa^Ib9}m_%-h_$6pRFcSWLE+3a2(aqX)qa-RzLd68WAJ&0%{u_FR6xS`>^_lvOnMtGv-w& z$9{QPAd=F~d{6aavDt*_{>#q4;8zSrwSrzAcj$HtwDSMf5}I5rI~0#_uY7k-_|wsug<;P_b2{!&6Kn1`+Fx(GYUTbdD+P3&AjSfWI1Z* z%_MIgiv1!coZYQ~{MW40-aeKx%2|GIZFrT|tf?dn;l*OBX%w=-rt56t2S}_)aCSBQN4ZOP8v|jBxn~B3BE;W{eh! z?~`XRkH=_nGSIr{`48&UwNuA(wJW)zcQ?k^2OWIK_nV*UVL{ut{n04~zK%PXE8*htdbBhZpY_oAo&%k~cwL|y(k}h0!zG{*EJmkc|&-eU|gRN6y zE&CY9YzlsHANOaHj@0Lid0#q*L_UvY4DV%me&T_R80Yh``{ya5bL|C2Y_sFs&iRi% zm`)#hE&FTkU7OClUfP?>uWTM5{&=2{0;Y)7nXW>JRlK?T?2qg+Iltb@U#=Vaw&c8RWp>Kb1Be1PIx%%4T`4<~k~-wdO$ z7dtlg)xl-t({8RVXYUlljVAC)Jra$pr>`stpx3Zs@a}y5{3E5v zK6O7byo_r;YZ!O!*z|KgWbk|Yj;ff$E_uJ+?^H^trAxi5#v~zfL=Tg)Bz}yk6=uczHj7_e+ zQ`4XMyQS4=uXS-1yYC^%8I#C+8V0p(MkW;TaV!fJH-d&x)Am`E6V2xuzpKjq3EW}K zRn5>r7rVD3@o)u4zvDR}TFlSA^+LB@>r-HfKmr!%k5=wzps|#y&AORB5x`Zh zH7{BE;@QI&7BL4RavWH`+apzkIVt&h$unKcUS#NmIw>*h?g)Ldu%G52?E}OT+l!ol zBLC@p<(w=FckyISZ9ap3gm1d~Q*GPC(s++OeRQtDim)E(uZBl|j2!F1^SUSGBpor? z*XxbUF64aH_{RKlhGM9EgF1!ziEbrtredQY$$hN3)ln_8A3dJWDqe|NT6*jj%5J1& zsZS+r9{gg*jxop1;-s>8#p5S^ckH#+zZf5W@7#Mf!A2rilKN)ik&FulQAUEDS)(V%EDJ0RsJ=P$mAAo?WFt~r zLc_gtnouoH&+VLQNzeS=b>#L)p8$82hYHs7ZN5bCk1G%xyS$Ca603R;QN% z`IYRpYKiBfRL$7yv-pbn^OR%t8ZZg|W7oZ1rkSjo`@z1du;HE0k@Ah9%i8)eJ94-?g^!2-Bc;O}aJh*~#e3!J>rJrcVN4F^% z4cJ&~p=FIPX1BHiEXd`%!Ramnkqc47=Uc4a|Y;c(Da08l7I<_0%iO8u}Zp!R?`qbUR z;M+GOy!z=bIwj7xI%GRy_EF?p z&$XG#A zJEFM9`p%>7iQzwHVFKyYJDu9N?VZPVkoT-zc#^<(XX)m{_o!PYJWLPX4rPfC0-DOV@0u9d38>${Z3q3oEXwAdfSQRd>b{MUi7i0u zmmTfo)a?LN2Y;7S7v+GSpe88^F0{0R!2B5wp|j9G3CNxn;s8irZ2fm|g|sxvQ3w2= zAO}KFj9)~E}<@T-}r^j2%Uy*2mkS(784OeKz{>Q{LeAM8IjY7 z?J>f*u2%MO5eE0N&&z)9ihIwDcmE{cExnKYh;(Dlm7R%J&*UF9ktujCyWP#zn;4U9 zXe?2Elyv1_fn|a2c*^qEexv8jaoq=>wclob)_u2enufXwt)rz_KX&K2I(L3vwDiuA ze!kDjE(b5*mpi%}*d>ZOvBnYE@!%}Um0_oa-zPcvCZ&pW8mBXNtmXo0x?#{z5%B3iFED(ydMtElg`;5 zP5_;EHP6?l^)u8e(1Wzf!IQC+p}Q<%>5n7nF_Qur*y~(}zBz9arQP{{ zsEGane6R5E;UIm$Ym^jhMxZLqs_p_%1Bd8*)>MlQ!AUuB(RGQsw*TJ_EX66)kGj8T*Bxif| z5_&`kD%x&us? zn+r{r3G$n9wrCNE!<%-*aL)?8<*8shBbZ$f$Bor5&MTY)Ak*dw3iMTM=6MDsLdNxD z6LZ86O<`r}b~-n);fLx>2YY^EO`qTLN9?Sm&`hCb4n)?3xi_6V6arrwVs#ihyFpQG z^)NX;{-pJUHAaenU_3YY6Y-8DeK|Yy4r-$Lap|}-U4_A~nbYXq_@--nDn@(K;d`Jh zYh@)JJLkJzn|!~eO1mf7i#KrO!=?_i7Wzot%~klfI;AXE19^R8eYx5LA8no-zkZ*Z z>8j9{d0*FbsH}3irQoQA2K#lrRTp2|ua74oRL>KKEe ziWp<>w0aIkeVG#cKaWNeH7S)#e+}#cjyKWD6$hg8J z3bLH{trVv*p!$Ld@F3346Es!xjgCc0*qcfqJdjOb98LRa0C))pp?Mo#z!4fK?!?oC`0`gYNUPS6=O~%I&ixCHG$#QS~C{2 z=Q4Y=^CA&`l^I~?7%jmDb*;fQH7O*?QcJ98q{*KA)}o@`2-^w+Y%ta|hc(FMNH|Dh zjKyAeapv^IGd9X10q7t-nk>4DkXkm3*bObaUJ!H}M&=1R9qDIpM=4B}w}|CH_NK6V z;qkNAt7kx-6eb4EIynM4yV#z1^bWbPIW0WP>gLp+(!E?k$1sP~~2YM078TCP+!LFq5g;X`5 z>+{eH%xvn;2FAb|reQT~&l~&|iU3VRqZ4h_nM#0Aj#Un(QC2%wQiO#(IB-;ymu{7f zvDko?mp-JUI4Qw#dFXEJN=Zedwyi9+vx20HkHC}2urVB?Cuh6FgXc^(HPQ>gP|6UC zA;$^?5*~1DQqIvlXRy?AF#v?rcOhA4v{xy-A=QhASpgnD#p&IyiM)u~xBpBw2rK7- zw2~8EZ>~Qxtg6hRWeJT&*<+#%N~*-NbXXM?t@oE%C#|RLq`almcmxt>>9H3c3qHUQizM?F=hfM2vuJaM+ed;$_?cwRx4J^fQUegzqF z5Xs|-e_|XQH2{Af)I;Ryfq`DjO@gd@0oLz2m%0l!Kp!&P5z)5nM49ejIW8bccS32s zHK11+T90}Z6R%A9WZ1@3v8Q4y^WP&)tdj&lQxKf+e3HDtG?5Sl_q38unTdj(6bpS& zN%DXOU(0Fo^C%GnRtZu&BoT#jN7Tyf!-QoTz~mqCNFlU$%!X`m#@_YQAqyyFQt@6e zO%tWMPiqg>=#XUw@1Vi7V-~300bOe;wGpH zO##I*{tgHX5%bte1JFJk=;TqgX6iLO)FL|uZEHY-4YVsm0DARqG|vSvL7k|4C-|BQbW1ld77)8p_+h0DLq#|>h2RzfhoCA;C zUqU-U^mRT3baN^+ODd|2J<$M|2~DSUYDFshQ^7b9E{Ld7BqGY;3S>~d_q2&sQ?3IW zq1uP=q+;5NX<#H%mV^e~oL^RWY36rvTSN5>E2^tF)@w<8lKi_#2AxqqnY)e8+-v+d zA1zzQmUOD|56?4;ND6&f@*REVrPGRyguTx*ug1^3+@Ts^hl1B=%Sc2KiD(9+q;TeP z91@ft9L#>g=n9OGc>orI2wD!-fEE&XggBB(Xn*Jsd*$AodmMDn$7p}aOr$$h{Z1tY zM8YIDqR1^Jtn_|rz6l=5IfO1ezX{wdTU1`;&os^?Fp*Xp6yNP*u3>2eMK84s)Nwie zp^B(PnE7<~_yHu@9j`RfY(km`2bNJe3$o+;$fgq1+AAT|r?DadMNGPvoCX|)xtjp zg*m~|D$2ElcB%Twcr#4C9lsAB9)?`Uru7x@zU7pM>2~Pp6f&yzS$zTBnekQV77;^I$2R4MVyF#>h+I5Qr*KSb`{Zuv;b#7JuVz;?rQRt>MIpqaF3` z0)&G^vx0rarXdYv4du}KU6;w%TU))o@h0X)2vfzf;VR};dEh-_`IOEOF<~l}yI#!6 zRj-=6QvwsHEu~fhOK(5pNHT!#&JF2m1xp^^sTGxrLS*U>!bIf~Du{7#L)c&kD-e{Uxd#RAiLce)Hq*yyLk0>ub3vGSIYj9`HBF0ymm z!<%&>seVMbVq_BEYV05~xR}%x;-B^sBUo|G8MKr)GeRi3fX4(zj>rLI=G2#hq`FlQ zMr5LG2$0u%I0Un4hxBni7(Nm%E5dH##uL)DJ|jj^m>>wdR;CC3AeI7I0ytr$!KM8T zIvq0SoSNz95?ZUzs{1NSj?2C4go0X&utMg8usb!lzr|rt20e9GLA9eKP>qj_8|%$^ zm?fRsjh;T9MSgo+FgXsumm!r}e12T|5nJ4GFZ81o?zl^K*oE1~i8|REQ8og>BuG5^ zJkVQnb{_W^QQy?~Nul#8rUrpeK=i5^KkKjty6Qv%prxokpANhcw2g7J=z6_;Cts!# zKA~RhqijjV+|G?GI@oxBj~7a9@fbOG4PMtN5Ha9#nHjIoylH8hO8ptTZjA%m9&Z}# z@?qc`jx1?rYJmN3!-0(p<{^8=i1o5^h660-^FdTk!nu7P7EYas5qK(8K$zslHfW5& zJ=LG8#3+u?FgnVqU}dxqk`!HId;n4d0nJg?%DB>x0Nb`S3?8pPLF6^%XPJfr3k={Y zq5zU=V2wq_2b-Fjz7Ir(L!P%~j)_*h(fgtZR+C%x+Z0H4xJn>4{HZPGqR~`8^zu`_ z|9R+T-6Hz1f~d}PA<7f}CN{*u2ncQZtX`@Vm?kM1DN#fhIJA&RU2nFl3WUQ@ZLFjP zdbvFNiAk;v2{#~Cv zgi~Do8izukRe^rRpSyF*&YwW`4Z*zj1c))k5;#WHq%JoL51+i57vfQ+lwLv1X`PN9w&$>YTIr z*>p3k8)(o9iG@T$7W$Ui`mD@C{h_uLo<``k%Fh9jc=W{W13m?A)~b2umfN^_I0@9e zcF$jUMXfQ|nyHHG18t5wne-YS{%SDJB*rRn(P?~_FCaCVk1 zX<7K~LfwI~=83CkgGX&hwcez?pQCZts?YXVU=_h`LCbWJ0)i5ErKtg-Nz_psV>Wfa z!%3EIYS5EUAz_v%HFx9iX(NQkN2A7v><4DlBQQj%y=3%rkX0&I&{e&f>C1099I&#c zx)xuw#}yZaCV$x^(xoFXMz7Y}D%u2@ z37MW1!fwZW_34oF2u-HuD^5NIr7vb`)po0_#WtUBK})3j@`Yx7yAiYAq$myM2CvQ* ztJHsR*)0QMe9Usz>CIVWrggddG`ArLmx$xs53S1HGWLv8kO40S7Aw{a-PE%e0IvO!UHr4icu8 z<`(~I5_B{F$F6T`kl~vS^!QhWk>R`c7ohsTda`_1HU9S~CRT>;Z32dWeL}# zVrr}QJ^Y{k|3NkW)kN@D%3u9|X~b_?-&CS0(_i@io4Nci@EQNpg#CNYk(rT={l9XK zojU7w*qlhd>^uG^;+6o8o|n)y3~>V)252iVON>kKL4yL=)Ox^@nFDZ4Z_nBtjVnY^ zg<6*r!b51%JgS36S;A$9)yL*Z3LpC0?iG1Iu}}~@PDzP@?Yl0grtSPJ`EqhPJNWqD z#;sRjOe`|a;g8MNQrRj_Ir4PabnV6Y0#`HKb)BCfnAzQrnzuflj@~bx7o!?=Ae%nj zoj;!M>$`g^zIgcGyz0;cwwjRXVOsiq>RC2s5F>h7lNiP_&Y!!hG4!!gXR{k{qVA^$ zcT)p8j2tE<9jHK;ks?H&)ulw`ORebHXy0ibrz7W5Dt&+UTdVX;KN!uQJ3{i;LqqbH zeHt;hq#T?_{dQhZCVD*{RRoF=zHB@z?v?COv~bznbGQKu&5J)W>r^ZsKmVDqZdPc(KwS%ct1o>()Rj;l}7VaPMuqlAdMEYZ9bj>`EnoM@zr z99NW3-dYF|1DQ(z=EBO|y?g6su^{H&^7+!y@TP0g`VURK*#e3>)+55z;huw*Y_5ZL z;{4{Gpx0w?E?sq6@KF-W+9mDDtUZ|=@}=crq7XXf!=Unb@SHBR^yTqmk@jYvi2M0u zO`AS-r)|;}+oV_j%h|jet(rbs3Qcr^--{*Z4uMuX9W1-$8$3Q*7+72G*|F%@?;~xH zD=;eT1|$^M`i~}U9PC2CUx&vKjhEpKM6`Nc8ah4<;pATZ8n4Bq1p?hon*cjB>B$XNo+N&aX&6nt}(# zahj{}<#lFI=u~P&9D=-?k=?DKT0#Oa(HJcC?3Oe99$SL!7CTxNZ~OUW0_z(3>a=;; z;Khu58G?Q4`TpP$@BR+h54xhXq=#1no_ced>9>VWQ0xob!l`e`eJ$+AP(-`$rypwk zk^DG;tKWfG9DJXqoF^EA!GwCSep#$>mI(&oGog*bz&Cx4-R1`|yGAG{)I0V{vU39D z#WYfUVkQ@Y{&QF&^x4Te3d<^`s>wlXO+tGiJr;j|elDV`q4Ox}Ohs9}J3nlnWB_nn zD&pdjpHCQdzm037r937g1Wu~X0Su~*lxfyH^MeH8c|hoPYojnUail<2hk>r!%t8x( zYPmj*R`eD`odar70+7s^)e4nw?T#{9+rw)j)?Tu_#dk+W74wLB|75v zOSovCe1EIDI20toQnGmd)X(RT58QPx=B%0H)+A&{qaiGlRoKHJW^Afdz;%UQl9AAK znI9Ku83_Y+w_uxbm$|OTJ?CbQ0y&Mn zZvQCTv(>Pfro;s&G9FQ2&31#3Fm!vpPZmjildo&QXi#6tg~h)V)oFeWGh4p2M0b?* zN-ji&^p~1Lm@1no5d=T=N}!C9(2ya`V+8QJe%n8$uo4t~22{1`-S6#ylL;EMO&C?P zCyWBIPtXy|Gq#kv|q5LiEMd|?s9K`gM zuYs#t>H7E9Gnxg9A{P_d5_+kYMOT+@lUywhJ2+Ns4iE11;?$-6q~uY@GyRL}+pV`w z`Ms`!42Gv-#@tQt@>wx~huCk^A=&BX{i0L(Ay?o6+m}xX|37%Jc042zN z{_BqIy~RlIZS6=eAYBQUE!2k*A$n->u>JIzU%H0o35{RTk4!Mc1@SctFtQm7vS zJWp-lJE_*h7w!_cj8tDCZWXRGEU}o%KF*n2a~nU&9pyG zJvtlG2>aHZkIm%==y~liV+; zn3U>#)QBT=4#yGH1{vRB+p987*9rWF-2;qi6~hHDK15W zEg6gg1SHQR*kXay!OOGu zx8ij%;wG7A*VKdInrEyWqGyvD$tCPg{LiRKeGoRAwJoYc8&?^=t49IJiaIF<$J<*61gkymfqT1A3xt4?zatiUYERMj)nNc zf8FtnKQ%EQtl~9N*7>@%FV%kB?qpwnqe4O$ukXYiUiJG%b@`3z@*CBk2`HObSq3W! zHG{WrR4+!JP4LA6D_h^FF27M-{ufj`Hr21$Gj=3ut}p0FRug0X20*{0P3XEFtGl}f zr#J6s(ir4lhfg>^-4(o{qM4M;H534Am5sFpOFY^1$aD4JZj1c$jXV{+kE&%mFX7;9 zNVYldS=~qt5lr*14*1a*@II-LciS@i*AmT>BDne!ge0!VsX`nIx)X}@Uwq0bZ+69< zExQNr8y2@uBqKqM1E@n=dnG>56;*5_aUow~_9Qr^9DZs_a+y4}q`*}W;2!OAKPn_5 zid$HX@NXUsDLx`};48yVkrd!5kiVnhK*=}fA-_Ojf4?5~-B2?f>r zFi9P6_04-*9L$Bt@81V%w|LZZ@a4});9-H1z;Z%La(#$aWvVKQ_jsZ$BEDV;_*0{y zBRa=n9tc>6LvWT8(kS($gSVE{QB#JG{LcT83TX~H0JxzdkejnMJ?Qe9$8=f6uTjA( zif`80S5bneWEr>NH+l@#sg@c6xtAwh>7vG?Q4$~q-z~3UteylnTIq3i_`|Q`s@I42 z)U7Ep75x`VX1IqZG*O=`%JdX?L{$xy6ogMA{_Vsj4g7}q2P-C~XC<-KNH_#{OjP*C znHCv(dt>84od04Ww9_)etig5%Lhlxeb{DBBESiI7E9<1oV$t0olEoE*x_s zNoCn38kXDfre_kz^#yN^vsjSeVRHGTQd0%pBCL0q%-X(tJVAlu`( z4fb4cNWx9r4u?k`JBOt+`m>y)p)bIaD)ozh6J0Vh{ik5%KlW?LSlU^C@7FMP(I#MK zV5ehaAz)`@rTY%`m{}R=7+49|nOW%=*uO&|A?5Gg8tMcLf46QBu>6h9zK1YzF#i)g zYG`T^uyOt!`gixme+v=+cKMGG@&Bckjf0N;pRs?{e(&d?``!rh|D~4gd-(rU%krPp z{`=63jf0cvzlCPH*7msU2_JSnUwQmn+!&86`X3q-V5y@df@W?BX(H`IeHb8-wBvni z3B=SHCjK94x3lzhksX`ra0KFQzILdd8WmJ(iJSbq{!0t6pUcl#CFx@@MQU|tjV0ik zygK~;PkZi*oA>7aT|S=|@cL&~C1Fi%KKJjKKQg3LvMR7k%d6bgw}3CouiMX#h$X7* zF7!LU&dZOd_3+yEyUWJA`TUNPg&G|3w1=O)SEdmzL7Z(?!_Cq+|5AQatM2*k7 zelMsUtC5FQ*-erw7h}IzytteNmtu>SzRfG*CvmjPk)AgdxcIrcxwe(E=2f);?B<`B zkE_$e3uWszA~J}UWPf`3x!T&k7T4k?DzPs_o^9f1h&{?0uT9g5!24Ug_p?mqXg}u# zPh)|0_kT5fUgQUL{|w0aWd0C;o(=f=x~peSG$|}=d^bU!@V3k?Tg(yAR%gF#ZRD(A zYqOIfHLV;_Xw218Ra*FfIpG`lwE{hE-_Zx~`1n?yVZEs4-&*|{aVGl+{aMWll<`tw zy<<)5Q;tg&fc;X&vK!<+o#Sh-4U|--R{$4R=t}!01;Z+mUrK69kv;@?6knAjz;n*% zGH{C5DXcxtR>_XlHGe5O#~FNOHTgPJ_3N=qAZ~^afII1_Gm$t?4&%gDy3`x67A_$f z+Vy4k{(7nks||`Q^9a+#`Zvwwm)n!vIM`8AF4xEStx!W)`LMcu?S6GE`kh1U*TxS2 zBJrp9+vn@9$1HA{`m;NP*ML7>%oyOdszWWW&i001gGEpJeQYMv5SggFA%%tYv~Nol9}%+sbv*0y?D?7a`+l3(AtoN2yS-g3?;VW3cZ;7b zsVY9+75@(TGW@k)J+T4*=PedXSF3+ZX!ighWJ*|0Wi)q-L)82BW{-U%veTcZwU4_! zi}`D4K;pYkk6HXHec&;_dt9BiA^jTBR|nJIfUNk8rrmxb4v#NrGjI=xg5A5U&>kIr z@F_a`SNPe{et@eo1D}iHCR>g7yR~g?0pcDv0p=Ht(ssBmCP z3cPEAce=b^bAkYM#9T(}4Mz=p-pDK`Y9h$lRtH+)#=LT zJh1SKwivZid4$=#)aXUMpd_ke@EnwRQ58(}yK*{oRdjo&HL*ZMzSeo%eAl=-Px8U&s zo70)*F!bumvZszVsm$*Ovr(Ks7Q)<3xg9uxrMB3eVXp7|U477XPZFG)Yh=5&?PV;iw+pcZFAR!U8^`NpAg1-E>@3~I2(~YtCv{jL zX7Y@)o(*@j;fm%_g<7o^T(Z?3Fh{;^m9Dk0@hwhOpwTVk6Q+Q7qQzn)$s<)t^$XM; z1rj<$Kx~t*Wnj1;|1*%>h~qj6lE%`T-?At~?XNu_w_mEm{pJ`F_a(ye9coWYYvM&^=YRKbwV zG)TBL~;~B<~UxUo2(#y0{2zNFgn>pMTCb=qv4YMw0iz5bKaDa}H9# zGp39sK>QmbfqXpg4eZZPs`Mu+GS?I{8XhUdsWKabG-i|ce|ew~pEkbl0a_`ENNm4v zkZ&-YCq!X(dCdfe68`xevz{1(Os(WEF1+> z!e2UcMT}qlvn&Yf-;gLxx={-G7ACZju;^2sD2D(E#F!*YEN=Cf`42tg$XN_VDD{a# zPSFebMEU+oQKsRpXiGsZ6QOld%>Jt>6h?|v>BLX)%s8^h=dU>$&>OiLawT9n-1qd5 zY?i^rOaODN?HA8!T>@>&Cds#F7GY|}R?d-jlJrYqO+7RKUO;dPA8Z8FmR!t*NUVr(`?^Su{>))oE z=P=D?qUha71Y{OhmqsUmdm;gcPEh=vRQ#@r$g)Oz_BDoe}cASS>+h9kx8y>KfCJ0>)u@@4wnM_ zYQ(oC03C4Uslfru?9>5fDX>E*06jW0e776Q&-3dW61Kz6an}JhXxl9W=EE_VCPXSo zEx!Nw(F!!XvBPabGf!xxortbaXh=N*iCGzRZChd&zd!eas4v%gY~A|?P}$%ME5i~aW(_fm=d#}!P-J8)NZ5Axgqv3Y57KT7+#tJAnqy8GzD7=wxF6*juobI zhf`F7`*@)uh!F1}#fL-yVm+oR?ayjM_|&CBk?9U2^yFIV&|7yx+MCzOrI?P~5W~tL zGi85|Mq@&<;f{48w)L5ruWp&&Ub?kOHVs15`qufwA2kJG4;|hiN@_XIkN?h9OY%AIs?c7A82%~pv2rP8|@b&L08a= zg4@;8iU>cX%qD9**;?pl$AF)?7MF>HsZx@M9W8yKku<{DI_yD>Pb4&NIHBqOhyNq^ zWljwATT`8GS$}Yw0R_QL>=FSh9Uu}+U9LjZ@=FPj8v)cn=9)h7M&8&1N3QW}Z1=9S z@eVpS_a`-8D7lU5DFuzxTv2Ji5Ur+@+w!I2ACtkLC~UccsMFuIle$iKxa^@Lfh1q710U zWr&11B$^oLHAw*ajAY-1mcNA}Z3MI)7Wh0P8Fr$I_#l`zBbjDmnu0X9Z;7p*FVQL@C53nHg7qifEinQCjddPi(mcKV{O43LGW3n0o7dCdV(}OvxB1D zeqcjk@ZQYOXS^pXx5HL>yZ@A2)ES&Cy&Eh#2&^YDakQ|_K{ygo2oh`#m?RrL!dpa$ z_{kY4tIvp~+Q>xoBQUrC*c_qnVmb6T*z9j2HusXyo#Id@wpq|qwh|{j_fGXhk>K=Y zJH!b+d5PGPt$~3{g$4Ge3%v>L>T|=QkpXNLN`Vr&OOzfLQ-ihy0^9n0!Cj|@OlAnB z`4fpO4pKp49_u$zhIN|DuDt}!j0zOn1uC7=>ZAcr-jN-Ea7)mijQ*-fcL?~~OSz26 zn1{gwwh?#i(hC!<;<<4*H~B)X{NYNzG*&WE8j5)uxB|vh%^-igIz$D5(hRI*YCK#! zE7uPnLeiaQmWSfv%5KkmPUDx4l#UpN7!!?$h9e=D4x*Q}=56FavD2#7)>oOZJdqHz z7=bn_w;^jrIDhu7oWiPM=~R<2i2*fE@T`Nya@MDjQ-?|NfxD!6q!vhfLUFdZLw3CU zn&4)q8j4r3oYXlxZTM4)9iJ{pi>x3{l@U4@zrr;2lM;q78} z7$k#tsG&CZRL*)jQJ=tcoOaTT`b~Z%Tj>>@l2yd5QU{6TwnC9fytkQ5w%7Z1zi%>Yb?8vvsfA;nEQi>A(I7}ZhQ^xP_DofBml44G|| z(#~gV15ya~Vy;}|R23b#R&AG!dUS6Wk%GCzm{;Fe%TTl5c3uuaAPw!??@Cu28P-Wc5hO6Gq#_ zAUsLlh*@Tq89O`KpR5!kcd?34H8aIsV%cq(sq01V%ztRm>ZpNnL8dsOj|8gRAM58voR$5!er%j^>n58|-NXp}; zy9x1Yj(sBazv2}fvW4-n-G(cMcNb^efvx~}1XFAl&x5PJX)Zq<`@nV3#0B5E@AJKE zHcs(?UZ}DgS?aQH2wpK5(OQc~;n=U-IG@~UzvkO} zJE#&LEnO;z-|}nd&fVS0-35~@d2+p@^?l=b_6|0hQl@vtAcyejrxFYf>5=60aTs&Hd}@ z))x%MEMCzDX-jK-nk3xq!;1dlYm@;oxL=Q9icvH8dcCoaJZxK?k5_=3gFhb?`giZ1 zI-U8M^@CyPd{>Pf(w+|bvr?j`E^z@`1zJnxoe)~oTZ0c7_mNixC`H*6xFGN;I2q{_ zxJ39A`sz@g+o5VGCPAgpQdnU$mgutBy5wd<*&(}0Hn#aHYj_sO@>n?q3$1Y1&JuzK zVD})&A{`nydx5Iu8zGTTw6UGZovUsZJ1{(|J2n%QhfQOyh5KF{l74285Tei*$@7Rl zxk{Z*W$)4uc(I{77FT1>HtL2haUe8Si7(^=K^z+KDzcCXVTJ^iDhG1bG0(ENb3 zy`~-zrgPKV)s|9oXPbV@${9B@oX$!7T=vc}tdrE^M26Q)v0EceyHV8C-`6*}(X$Nr zqv6I@x3AdNT2z$1ryjkH#3kM#dwD=k*QzBQh~^A7qmtvq1d}NeXle{>uS!J zdb}2o%W`uuM{cKTF)e%GZEk8dM*=>jluMQmR|dueFL_kO*vq-N!u>Q@Gxtuo%_Ve4 zL0avKhwi+(fX#MVh5tEfJLe<)%TXxUz{hH+t=1XI-7guDPdnH!K1))QH(2`nlGW5? zWHWt)Xuy>9no7eMa`sZ;W3>p&UkC^?m4&s(EHk+}-=ddW?P2@vF>NeCVOkGVOqGG^ zP-CNp(0CGor2hu%#Wx4pSF}Yrza)fmi#ybaeFymi{BU9;@6PP1!`&@@c)u=mi;cS3 zSqiR0)>YyM@nS_Qx9(~(UhFuQ&qC3CaWAm-Whmp5t!{rn@TmjfCNupn-D^*UsWn`x z9Jq0~f*lnN9UhlFloVM4+4}U`2>1Rgl>?>`3B(jZE>&eg#xrj~niOF%SSmlM3BF5? zPs+Vj7o}%XQ+c#su0E1NO{9tR4x--P&uhm?-ND{8{@q-WZiMt3Ikf}w&*(uc?}9lu zge=C|L~Rl1!S2Gd5U*2$?)jNJ5=8;gaEJ%IKkIXy~kq zt-{M{7Fz68O>%zWQ5*Hw&L_hU)6ikZ6tLC)F*kzhYMLjTs4quI*-m7>f0{btAIF45 zAEuNHJGRvwM0aE@3;$ewp9(VvA6sn`KD6ER{Ib+V4kSz5Z)B#dg4HiDm%6P;Qj z7Okk{)}1FZ-uy%K-B!TnSW0er#--uKa6-=bXv;eYULv77@Od)V_QN#=_)umuuP&_w z>ta8~DMSbh5X^_K0J~@>~=$O1ypPOB^9u?1d!bwL2pbs%+ zRX@~_N#F%$e|#fV&h1|mCPN7Y4o z)bL@Ez($b?p47^g+U8||xP{SXyBl^*B8`Y>`zRY#->>PWpS)^8ecltKXbjGca|cBk zz=uT{!i@8i>Bj**!afTX|@ zDP}xfs(HA_{&}XBfcpgRw&HIdg?z34YFvNU*MGD9a$RxthAjW^<5FSpRIUPzEztJy z|3D~%E_vDfpl-QXoQ6k^Zg1Tn0<0}y_w~)pvUr(1V!H|3H2=BTmpl&daER}LbBe#K zY|efYF}T~bmvR*l!uL-7Fqs|a0RvPEY#*akZ1z~S%QD9|);8jsIcS&fX$wx}aNX|h zoHtO0EOk$U^yK?^TpO*d-Sx9D)X&n5#t zP>-fxPvPqe-qbV%CP#pGc(Z{{Y9|Vw)GpG$JH$;KHMvznpTd7Ug=;5k3G19rVB{+& zKZk#RkwhwHAQhd!4LIsK8eOW_b~@NQJ_y#!|{IPJzq1iyhC#BcV%TPHbD6%Pbug zWK==U)Azfzvv-HO%r;?nr7S)k|Hn(kQ)_CqO^-9y|^r=9jOk^X#WvX4o88u+MI9C+D|$ty-?>%JY8qH zR;{&`vNm?n-;3R$LU^&-68RsV79KwVtTLC#_vgU*`TQQ93H)ckccgm7c~h<6w6cam zc3udz@bD&1)K}==4~x<5uVnmR_BIK%zIpK24?vaEpmRB4e}zwzOCbZ~aR2Ho;Cpzm zE-pLmQ|(+SSmMTcI%B6;EeF3E(Z*G7?(R5?F8HPuTFDqV@)}F^OG=C9r$Ww4Z|%Y# zT!$=ODq@@L2zmm*j@B{Tn;WnD+q=`%)pmeh6&P)TO{`=!A7SNhRoo}mFvoB7?9ERv zd%KJ9C!gmT+P*$v-CH}={lK4HU#C|`YNh*c8SA&-$D!Wr0p{V~&W81S+vr?xZTLgX zv_G?7z0yx}xSoZ|E2{@&6>30NT``C$WY0h0>2?O~G2mS99{Tk> ze>gmU0*DGt=LodBx|V}Q1h1c$W9PaXH*^lD|D58}$?<{rJ?Wu@JILSj5AkyI035u$ zJTDIm%hjo6)8WTr#eI5-ZbPrG8R&%i^y~S`;_&!G+@$Ir=KcO~*=vxt(5?O=|Mhme zriNuJFZN+}IBfwjyXt;(ho|e$p{q+xfO>v#G)e8lB4D$m8GZmJb4SB6xestEqww@` zZPY<7kk1>8k!!9+@Zc$=hTsO{3VH}kvYIx@PC?&f*DPb4B z&N9%x)nI_*;}pn`(omj4eAXjr1cc{(K(3ZN{Z2bXk>6y7Fkq{}v&T|~t(c(JM^uE+M{Q5 zBX=`iD$Rr(17x02g-jJ1Ec$AKn=E*cfGb!JGq>$ zoZ;N2Tt8e|;ilHf=W174x!@K_u*YIZCRdNvAP9Ax!p;FT(^E2vxmlngOvcdWCgjRa zlU&L$)JduWCK^R1Q}!g>P1qnBxwGt1*A+73vtyNG#F`0nPZkwyW#R7oQvp#Vm<&eV z;;;4L?wOW^EYmShlx$}v(-snqfo{tS|Hb%~;?l9>)$d%>Qq~2h>XsJ{ZUq9>kMNn` zT}a!wzBkZa`Dv6S)I2KrSU0LC8*OEh26hfq7V2|o-cA@IA!IVdHT$wTLd{E{w(VRvQ1!YMZ`Lt>Sm=z z*Oj2AmOLEj*SaH`i1OSZsPhky{)Jll+i$(qw+9(g|8%(sop+Ffyj&cm z-H%japbGkSjA791U%Z`se~OUOi>1Hu-T+Ne<^cns7l4EQFT&nBsIDN&6UH5aYjAgW z2_7K0JHg%E-66QUySux)yIbJl?)hHw&Fsu>)$IO3RoA_zk3Z_%Uw5D8aEDMx13Ah& zI(vfDgUZaZA!3$`b1{Q-{>&udejRO9Clf=yNoDeivjRHzsBDk+HR(i@HwlpoWm7u; zLVEDK@mATaY(%Qr3`96ORG5Gg)VH#oo1vQx!))-2c}TAgRuUKZyaf_zrV>RjV4n5` zg(Lj^$wcg?n2O}h&tH3wH}aP`N-P?($&x8RpoGH?B&-sNsqY$)P>c24Dw~$n3%MCW zqE`JXq%^jntD`Ci12$`7gZh`C85hCstNpK+-s_nLCyx=*JS~|s;MT#v#Gp`OU|DZk zFVr;)uYDf2!Y|ZrqfAN|JeMciE#%ze_JG>_ZM!K4V^H=z+BQaOdu9@$y!;Qg{NFUf_f1cXm`o)Jv+;;3w~{ z2D0?fdK>U2ATTWU{r$-qYk9m5%t`yR7oN%fV~;Kupp0}A55vn4MYsBMKzLijZ=<%< z17B6D7R-Y+LHYG__8?yG^DQ-FhHUj(b{WPkj%%Y&D%TmFj2NM}2BbYOf(T}IHpGlF zwlvSlofM|`l{79l8foCY66EU-r+5(U;^M)0KV$$oPAkjA7>nKDD4o&jRL5+f4lj#a z&x5fYhMu_IgYo?jy4sn9 zVFVEh$iNID>r!^$MMZ|CSg4j0jGjNq6w*oXg@Tl}=A$ZK?AEJc>BCAz6#dXds;|xP zB}2Srso}y)YmbZrBNU+iWah&V2r7Vm$;I}AmM5G6FGVv2B_@%^Z<3Zci`LL6YdHd4 z%(w+Pqjv{!4(9WF#dH(r9fQ}@&U+x2 z+j^gn1Ud5^5$fJhBHH0qbe1p1FIBx2Hc^gjaS-NUhapPhihlDg3LC>#)SQbxvo3y| z{85#=1(gD^IC|CluBd}yANU2;&h!XZl))W%$XLhsG}7}48S&ikr`K@i)^JoH%a~cy z3_EI|O`2bgNpDwfa8~~7G<8fruUV{pbBSiKkgTB;UhW_G*6*J=eHp*ku&!aJ0;;{t zxIazV<2emVd17RL)^y@mM#i=>x<{sw=;%u0I*>9KlTi!}bSAQ0Q%FfFG!TV^?1(Z` zH)jtPcA7`tVhB+~VV&pYwMrt857SCVEJ8Bd3(6+O_qz+hAx^}lx~tnjN`dweQCibP zI222Jho`ZC0omp!P-Wjj2_YaZN*+qD1p}D^fJ~uLe#qiBqLCLFi6x7QxF(Qg^wdyS zNX8czx1r6+^S6_xI8C5nvYn@Pys+DJ8vX3zI#Q&Afm z*OTFHCrCXdc8_h(5#^XEjo%qbvMo}sC!z{#pdvm?a>%3=j4I(iCg3Jecc5DXS&?VJ z1rT92Ese*MEJyHM9K>^vT{lvQCW{sXC+wLw+CfUuk{T(nnQ(=l!ClUwf@&Z~e~kz* zyRPl0h$f7PpLeRGqy9yriVzC38bx2a=9JXaW`3#CNQEdhKCURu1q6v-=Xb+O8O5B5 z+s|+BDO3_qxqaNh^Jrp;gl3?G(cu*14^V^nP|>TzJ!_%H4A~$DJu*#0VeF$yLTL~t z)A!SI%(LvDETdM}=Ca_OME=BdSWC4R)n(;Uv-uq@rLEmP+)l&yFjNDaD)EAcNK?-I zQA4v7S#=htq51bgq(JKkg4T$I)lo|a9O692XfZqRBcSnk;!Ft2n4_;~5qPXp2<-jX zw(FJrY7-@bi(Ag6{2M1Q9*8-ukLVs?%c9<_jSoQ0{ftUL?9)&eb}`hbb*e`U^;V?U zEVRMPUrSG<8SoavX6l-pO<1wgUPmRtc%#1(E0Lu=iZ3+Ba&A{Ja39!ioH;`+%UV~f02r>!q||{%O(l4&a*)q#P@P3NE7(3Z=d2fz){#GJtFQ# z6S4Y^L6xL1;Z9yB-xAhl1$9y{{Be42&ak)`IE68hl3J0g+8{NLXBO|chdwPQDnhlQQE3>J(>J$~vH3Yew_(aM42BRwY()fz%e1c3^Q7)XpfsUW9pU}t_@bGe8A zij7XEk!E-kds-KgJhNT;T{cn~53%Cwlzyr+yZF=M0&>GM zCcw~@C-`H&r;|)g|mFs=$*C`GVF=& zhqGbXsL_UZVacQWX4Xk~@vLDJ8zsRXf;7oAfCfzs8+^Nuij6zAhBbIY8{sScQWcYI166 z?oPEy@ra&aQl=$dci}?6y#B1+%N;?%V<{!vYBec@9oZ0lu`xlb?(Kh5A&3oAYX$io zTDv+$u+uk_VTTd;O`zuwwYE$E{C>aj_v?|9UP1$b{R=utVbR|V!k-atdnY3u zc>gh&Kavk`Zt#MX`m15?e9PH%1Ep*pEl# zE3XIxJt*izN;%N$g^m?6{vKDO9bUWDxhR<#+kz@uq|En zF0sNehIU$IDqX8@VYW>8+vLNPN30h@H^F zip5P&2_<`VZYx_ALD{NbQ~WHZ7z>gwUsWX2A}Q7$%1eMp+XBrej>oyHwN|L8gyr^> zr*u+9t<)T=+GR47=lS4f$gqI{JF%9WXM?s zBi`*BIGa)-<7{26>@c$XqPjuYcgL9hYpcU$>^hL)sr+?-|4t-lL>#|ICrV8Fw z;lMC3CiIz^4@phQm!P}uz29r@0qy9{w(wgPlEXqvHc##3e$%R4;>1$NOb)`2f+@76 zy&hbj&9gX1IqgN8mEqOWuh#M}X1=@|MY4;otuU;sp@#WJ`c}6qy}wBKTeuv{!Ny8O}lkRpWF?yO0JZc5DOzOn@Y#1 zjTuR<%WTh~T(^81Q9NoDQCxz*SL=~i_>Qu#91_!()j~RvVL^nPV}UezwX{5&Dx8aW zGs@V-a)D69tr?-$>Yehl33eVc&HxTY1j1YiImPb23H!7PT!1&om#Ci>s_lSAm5;X> z68`$_5htP_=kmJItnV-g`6``n+c`(9J-Zr4(Ynsz))1{fVbbXMq_0$8e?wD-n#YC_ zzi8VICQ?;P>O7yihQbGhv>6^n5i!OdYkbjhclu8^HbG>qrkhn)wTlt&!%w%&3Y30B z#YBUdfCI!@DYs0##0$G);RE;w3qFmpMiwFR>DOxVCoKJ@YT}mPx4qbsUO!gvcRjtX z4?6U08b8tNu8q6#Y;UyaZ4Jkfcm=2=B$Y;nA*j)R#Y$1pjY{S*X`oHV?4^@PBCv}A z^-$QoOGXw8QkmE*YUg|&FEZ$+N?4EUssL=YYt-Pf9 zM-sbi7PU~c2161x4Badk|gLZnP02WW<|JS091Qs>=#>{~wJ=jQ9q zBa1y5tmF!f6Z?;XR_%+gGU+Qp2TOlmzjM@f2tv_KPmI(FuI$w#a-P@OtfArRHJ_D}(damqU zo?W;IbbH)-moyoxZL)*_UVZIPoX2j^#Z-=+f387HRsl+Z@PTi8XOM!WGCXXc+Mpn| zOE=d9Y2Q~u5BNpx3HwD~x84T4qdthv-=2vDZEQYn*t<*jo-dKnsNTuQ?5^$j2)g~R z3Y?C9?RbAK-CY|1yT^Jveo;7pPA^g0LXqgM-*6K~6pu*TaF=LTOBhb-fRxEOS8;)} zz0+an7c}q<%hc7N9PBdGn|F7<>g0Y9yV^Fy!T3?sN0OpPSHD1X)IJ+(3bm!Hr?mPf z$I>JGicK}H;;!ly^hx_r>f2y2^W}35m$HL(7iqR!d_|YXkn%y;cMa7@n@ur{FQ5VH zpKR@R+~{Pf7TO`~s6j5|ltCIKKu|BJqepx7oG(bQ%E^eFfvkih<+I;VbINeOb`0@wUW*la`hIM37JUayO2?~M~ zsGbPV$%)h@D928eCPxTrd^^&ypYAx?W~0$~Hy!;#q!cq3Prvb}#$2Pe=ijhzf(DAJ zs|AY@A~0oA2TfXv8pMFW)i)gAjXXIo*V_z3tzB+>f%1^dC$+N}GmpA=)CT$du<@(P z8+eDfMzsQE3||RVDV0uI5-;Z?JKpYbwD&)xy^HL|i40ivSNqq1a1s3L8Ya&j?~kX` zCH~7=%M&y_faY2v(;$V=bG=4N-Y+AeLv4yxj4ob_ET>~QAfUn+2mofl4x~&$ zQ3vQTEUwUGy|5R*-M2H`j>HgzP4aY-J)E9jm2X&Tqu*)d!m} zKnIf+H?Z(yj-m?5LX3L)5>@^b* zsFSNxbW3++&BM(w8){lH$5esf`m)(I5h%IVHD<6pe*%mZT zL;{4lP}l!rWpb3}G@*k8DfFAq@>qQ|SUSw;8`-8^UCr)(SlK;aNcc|HcYT_C+RiUO+N&%ICX$Jye$cl99 zDQ;X=;{Oz(2+m)#Z=qLI?~yK9E&dC*(OoE2=5iWs8->dUwt!cb52#b+V<9M>4AFlWxFo*nq@Nr!8`HJ zfI^_@cBt+DWjfpy)}U;88S&IYk#|IvzzZv`;^O>Dy9dsHLZW>&C^Np6L~L@v{q7;F zl38<083J@C<^LV>WH;+HF7}`0(*c^U{MU4J(>sfolD}x@Kkx2haSdW7@`#u?|iotz*+7Y@N!u-WYzg}k5n-H5W*(k-h5$7;V9hfhM7J|s5 z1q9K`gi7_@X&U9yRE6spv&gm&*nc`WCku%h^w%!6iAB`HiNOYw$^o~sNB+=FmmCb9 zu1KvwuTqm2H3Ykv^GNu*%?Vg-o3xE_UvE&$7`ZFiNOO8r{xK%?G43@%j;|Ftz`8SFv8N<-5i_}dmsJ0HX1#*g(fBSwL~>pgLBHJ-eL#} z63E$o?Fic?_PZw3J@j;vTSai3zp|B}K5DZe>2E0m!g_=DaDd=t4eGg*wxs-hg`L)# zfH8jyZiyF0Km=MbH3U=etT>cdAcZuXwX~17vJTu8CelZ(ae-6lB7t!`Zv_G46-%#i zp$T$~8WwTmE3?qB*SAH3E*jdQ%+1PDFA)<>EUGY^8<&%!)Oq?jd$CL+F=v`uZb@n# zud01vCdnwcmderLL2~(c^oxOf<~eN57uHDXtfF63Y7LYOo^j`(K^1Ev75rq(>W#_6 ziI3}T!CjLsovmO7$%>uRys~HT4t9Fz)xoiuQc7zqV`25W6x>sLQc$OMvHn)bQ`%An zc1j5~VR4WKH>Ak6B7}You z2RHR(q7OeLixBh8i8LwT6hw}WicnS~$Tss7+}+K8*X0kkdhz^haI4D-`OdW{=lNyrfBQOccGfND`B1m%PQ>|bMFP5>u>AbJ7P3# z6BG_0nSx<(!i+ft>43Za$eGi3-s2b?*3r4)!{oXBO}LOoJLv*E7hB733)z95oVfS( zIM*S7w)8=9Rf5Ss;)lL5_r7!?N2YD7>t%yE=a%WF!Rim^C-2`Pn(jK}^74}pUVBRSo9EWoZMr*ftE4qDlCUCapqt%h^uVw1k9t+_ADD zI352(;8p8njLF6IPzsu)xNMB0Mnm$Nq!DQ;#Ny0lGn4YH;ZcR|A6aDK^SBE0o!8R) z>GQa8fVPrkvME4)V@AO&hDqI|L~P=Gx+Ln*FCg=7aUz-`c2tGPVDfk}plXtL5pZMt z{|1U+lBh-O`GxKRI`N|B(NyNy%E@Fvu^6Rd>Xb~~slLYWiv}JFwbVuI@vf0C?0=~Z z<}yvw$s~dHa42Xh#Q~>{KC|5r!R9xLvzZfkr|9AXi3^9Y8;O7#q5{=mHTD*ZL;i(`pOt8I2S$Se*N}_x$0U+etV%?kTv#n{D?BJ2gy#nbgbuyqna{=T zX&`^Zl-~v*BBCghq#PB^AJr&H<{XWLZu#8a9_auzyYdEbd>CyylU+wWL=J_p=Mrop zoALsYY=XPD3*4qPYiU9vEjdl1m9ArGaYOgLawx8dt2~!gYg{fN~!j*`rC>=Kx$JYmVG6`?sa6XejmVjl=aC!8_6jbv#}EMo#)t;A-f=ME%eKWqDFyy zcmWR$2zLqlYC8+GY>7wxQKL*U6Ccxl#AAMF6a3**`6UK}iVcOUO2&8A=;k`LAySz6 z*!yL8wV{O6vf-L?Y<49Sg>HPW^3VqMm!(~JWc36+#Ohpbym>=IVTchBChl;MM~CY* z%tr@15B<2#{iEIWJ&PCzEsgJmJfUGyhT~c+#OnM?H}JGdhr=%Oq?-o(Wi%tE^hBlT zD{TXsS}`(NZb(^YynGVkB9pdtX}y5BBt3w6&<*9Q$U&e?HSEpH>!G~)mk)-lK>jxA zqap-loq-N;ixjr=+hTZ~QB_1oNfAOgjYT6Fs^TKo^?(DJTij4#hmZra>neT$#ux~_ zYDL3llQTy4v;HdJy&pj$g^Lxah%#Jz!t9~Xo5d4XX3r$ri0L+ZZYZ)LC0gT{SYgGq zjyv&em&wye;5=L_M4p0v-GM^_;mVnJ;ZCxGhg0>JjFm0s;-G zDLgtBx2|DhQ$fC+v{6Uv#wVG$vq5@;u)1=@l}k7du$OTS{}80GtUa@HjeDkcYNJ(( zxvdx-sCg?_{_|R+HHSw#=v}`U zfm88%ZivRhPRB^$)h@X|bw*nOul;#3Y#Y3j)bnSrct@8uO14WXySpgIHL~KT;P8aT zer@Zr+lF*kc4BokQ}-Wcg39O}#Nj`z*`9o9V9Fms7qd<9ASyXFgEyT^8tpdSV+Rar zX)XS|6=-Hx@ar!W$hhD1EWt>{t-weN=ON%66wxG(Yh`x1`{*RDi{w@PopfLiT~7NF zob0OXWoG_>#ouJ%{ZG4H{$3yO|K9Dw!Oi-AwD20z+4%L9Gu|63*SB=!tk22jHlB09 zQm}a>F79}IpXM+iaQI5o0}xm7~e zCzS&%P>7!MY979hcAH;20$q(-N}MV?QZ`>wTuei&Eiz_~r&q3*u3t9Oip#SOFUOL; z@i^M&OsiT`zPx|#UwY}d_igRrMrBlg%8YNx zbC)^kEjIxG?dCo09QlReun++skbjFQRL^Z&bFvR#s)=AknwFKwFmkKov4F$J^< zeq0|PA5~d?+3DtekGjkl`2Ie}0VU$KxMZgV@7go~x{J7Jk?}kP7>W5LAOV%qxtfDk zzwNI7=tIEZ3on)!muHCvzbEyzgSt5jo2%y9I&d|k-6;O3F28zzG&tf8x}vH16|G}T z+f&g*(fOtK*P*xVi9{8~As-8!D?U14fkmXE*1I z7|t(hE5n32Js$o!+xm2jH@>0J?|-&3Hax=~uHUzy5w7Wdp8R^YbalRrywQf?)a>-r z5942Le?xwsKeC&pf4f{z&Su$Y;(wo1%{FHs^skd~OD!R7{lX5C={nL;ar{hh(%t(G zYJl@qZ|L>q>>v@`ii+ZjYdrUN86xFr-p>d-?nb+5>nyAbj3J zO!r0<__oW~VmG#Y{Cw#;92=+Bl84w!?&^e?_dXW*9$7haM7N#_qcjT~;^3$^TazR&o&BY4A-&%#4w0nCPV76GQtx~bOZ*mK_ z1{<7AU0N6^>%D-A%V*-n8~$I=d1YICBVb+aUf=9gEEDGF2ykYn886me#_z$tq+DGg zL0N=9;KF_a_MotRBYOxgt2JK{c|N>}O9Ij`z42Z$UzW2zQX44|E_^E5$US6^Zyd6?rH!pyy7$xv! z0;c{XJ={^it@G7**tU;}%qYvBkDMPiP(Suq?~k9{PHzceP32zAXGh44Z~qg)=Td`j z82j}Vtai;yw=>!%h6i{8WGNEyy|WEQ)`O3O3GKo!?P(CS6=!xsJSe`&W1_Ypocf!j zkv~m73i!AowCuuGkaDU4tE|>xjZTP&<4jD2Xo8`vbZ+UC$)Hcq7dq^V0Xxdh_tr}c zUQ4hn&d6eH1|%5|)LG=JhYpBI3FA$N4Z1gN6E}W=(>Out?I9ujFDw`7au^~vq7p`E z#E;T=25Hh4cK*zA#rUd5m$#NyZqk^Ju*o;n)f}?;gH}NJkn2Y!)lopG9c6HoW zx373g-1_ITC>Hi%9P@5d%D;&s%m%|^3Q=jL+BErI6a;_saIT+VyW&opo|PaL`=gk!MK;dtre~qAaX}jE2k1 zk_QQl;~tB~Sm$=-LZSCB{Yzzd9a2fND|0JFLMfUs*l6sRJmsHa)N0?iIDY)*QCL55 z^OIcRrbjPEZ@3xSJ9|n0Crdgrf@C<`Qj9L8BAP3a9#)6)2heUeTsZbLl0|>-hTllu z`Qaw==nF8oyxzX+5|QUr#(`Gdr5iOsU?96{WT5D%Qgal_A;trLFjG8=D>hkOVVqrA zWUjy`i}Awo{I&esfx=a1-$66Zf7iTka||7^5a48S zmIuxI_db<3jg{b`8o!*OrMogPbv7&xmcEuGrJ})&ufT8CxxI15-qx&>iX7eb&f%p5 z$v~J?oTBk>UUi=hhSbZ(J#ypiGP}rfj^KB0mWLr3ppuGb`X#H!&44U2d4)$CW?^19 zLCICKwl{OS2mm+YWbuTN;4<$QHVj5+Gb~W^TV=srIGRMypQDkjb7bDicQpLtaX$U` zm&9Gb_RIOTVL?L#+=4p1ySjZSla^g;CO35$Cf*bv=5kp7BEC8C{?IDx`REatc8=45 z`;05ez^ukRNg^_+P}duxO|fa85YZ@W@6u-Bf5nRA@tlk#aN4B-$?T6p`g9BiOeG#l z8_iD-ZAy0DtT~)615|yA*dM4W2uh>KX?>lDZPMP97mAimrF?!z)6+eJ7i(u$7ikqgH;( zEnbLDcr#elOf-xT9rs|k0vkfxc9F=fK!FWpWkZOy7tgZ5-v%2sHoPnmar?)-m7uq* zQIXa4WB4$|V%sSj2Ql7drcEFxhB#iJ4%j|en*liS#iqDgPGeOoMI~}TtZYSUD?<5N z?+Qg@L^!RlrhcwNv?{ZG-H!&)2V34LsG0{ej@-|#<@p1}P!n*X=;bY@1#8L3W4Cm~QY}`q=%~A|Q&7r1uVmNqEoqdX!i$uka?b2%T?>Rz_QfSC z@Pe2#fl7Gx#RaQ^ql2Y7GW56rQ-OJk+gPr?JZiO82swWnj}~%)c2U8v!xSKj?Sq5K zd<2WDv%*-k8=Vq~U4I-0-rw)!2VPH8*V9^tXObyq}}9`dr|h>T0V;R5FF zxny{QSeNxQPAs*FY_ON2ZIHl1)$7~v4*>7mx>6$C(MJSTk)XN z@Z#XYxsx+P=*)ESfZI3klAEcuJ#)T(*8tq(9KQV z9fOv0>n}PR=#OIxZa#Xd*GYOIxv6YKoQ}(BF zWn$U$YX_3rcEYbFbM7`p(|PJq1D@`gFb9d+ArWHXIQjX#8MLv`tL``1%miE5T*uNL z`N>l+fo%jN{AgC>uaL6EhX{KX0+7-8--7Ij?rfzkn%$QwCgb1(nrh$s_A?{w0J#Kg;z?P|L2orvUQxopWB30cTNwR=-=EM zz8#<^dU;h^l@msWmjO;Pl^4PB6G7KDX9tn8V#bCL{C%b?B5ZXhQ~4UW+@L~i#8F~& zP!7)wx-JaT!w`P1D7C-HAMcoxXJ@buMQ=;H!#c$(zJel|CfO^)hfMnqPGb@+fV6{% zsSc`(`<)orrKYqiiiCnWkiAE>y9;Sxirbxs)mow&G-dI?UW`uZkee(If zq6kbgn-|hzEN`)8dpdn^&HXuYQ3sud;P5gjKD;l9)L>8Kbvjcra zNBhC9Atj89MXYYeTj|XX{tJ@h{RJeB}XEj8(0IC-b`L)tWjx2=Ng*gRr z^mx_tO(k-0eIXzl{sq0QE~y|F!1)ad|15XW>-hu!G)v^f2P%3W zy8ZD&P94W>Ved&nhhKSiK&O=A-OGMR=gXI$h62!X_H2Id_S~0~M-_}!+B%^xW9ug+ z^P{?+ufEA6y3qG!iFGU40)bSI>!+r31G*g_%8ILN#k^{LkFj4nBzM}r;M;U-XZqi? zJBm6xCT^KXyEoJiqd*(i0h$6nHNU*ACE@(~_A~1fX6K;k!Asv(LMOT=6qXo++79ni zR(W?DSIcl9y9zm@wC}=O9bHwl6=g4^4aQZcV}V@aeZqqYmLna>6}Mq^{JL12o|*K_ z&XU6c*pWEcHo;egv}T}dj1gc*`9Z_8nNYL1kqWGCB2wd~mfljyRe@xXUJ*Q-8($lc zT$%Hn$ZT)P0e0}%+qfj7qs6%cRqLatb|+)#&aIrU8HvBP+7Cul9mkU2o@I(bj>lI+NC&3QmV09D?u`6 zL@BJB_$Ngnxq@cpBRz@t6b|T2n{o|hnEx&L$-?G=CI4TwjHGxr@jl{_T)9)6^4%SZ zf7`(mnItfiX2m15SdbS0d6$&_2k$#ct+~0h>H+#Z%ZPBC{ zm5d4gmhi?=xAHW9*)k9*FYaysPIYRXfGt`XE|yHsAE5UG>J+7CW#hUmQBM!b@N{x3+jt00XId+9l#mv?Mf1ZFuPaA7&~1m(9BU zlgQ-(K>k!gnCfGvc38+Xz$pKRS$Jjt7qj>||7WwVXiQ;Lgrunq(SaX8Kz)K8!htc) ziUYr8Z!Kk_#QL`$7l)#5cp%~B#<$6{0*2niRtOk| zF{1U{m`Ius4YDvk8qC#EV3LW&JGFAQlK_46DpA9;kOBPK8pST+#QN(7_#;%H19=L- z1BS?;hJGQ0;)YO@h4ug378eI<6#qBZF@PE^e77LS`BeTpF}Uw<3Z@XG0CN+GXt^&TzXZ)yB@%!G zxld`6_!^yfmK=gF_?~n7#NGn@1OgX%X~aq0V^LIqe9b*HUyJ>^C{yYb4A~V<|MS}cf*~KR1zwW_Qe%RL+Ymorgr$>v6 z#pw(aSe1h!64=v)LQeV|489VUk`N&!qxl&RnWnCOewR)}$k8|G#5G|+3f2;8N;|b= zG`+)F+pHswRClHvc8T|W7j&HO&b#KvxjkJU# zJ;|-rvoXJrBO)tx-7FYa?zEh2WKo>doiDo_Vh~6y&zD#RBo=2!iy;wbwXi7Aj4-`+ z`jNR_n!LZqnq?_#tz3PupxJ^xVUbTyWJ*S32#YpQ+@d_345u%MG1?*WgiWq#ioI>VMJN9YP)@mObbwpb+!YW zgum466tdPV$y`keI44ukTgW9G783T5x-~qq)@(lxcp5E$Bpijs9PPx}-)<7=qwY&h zyI-{=So}Vu{S?soKRBblc00PV6d zLAd%!Di@qF^L%(Qo+VMvc8b?x5DB!d)CG5eJ>0<0{Ygsl(+Omqd9?;slOHDks^X6P ztioxVsC4!Gq~IivT03?R>>7*o-$*0)DGQ=0@)HbJuU1&97xF_Q97$etge+9hU2P@l zp}L;Ff@3qFT%WCGuzJgK-R>meg(;%3=hM4u)8JHq=|g(bc!s>>tn^hC-X@Fh8Wg_+ zHz_S>&PYyY2Ddxs94)U09p)XIMFv3du(m|woFIg{^TP@oaKy;?NtEO6-P!Ubc)pW{ z7v33bkY!~Ziq4m)1F5PRNf^oFe}U}43>bqNomq8{MVA`TvHBgFEq{6?;5mH%UN7-_ z_ybLHCO20vvw#&Ud_NZTD6njdZMDz?;_+KNfAf|f{MvKGIH)P#-BBBhvaIAL64Z`{ zfEtN+#spEE&)L697l6n>m?%+bOD7#Gq1S;zHqS3$x|%@PPoh#T{==?Zv|WZd6La6dY%dl*M7d;-1mH zfl9m8lW;$Hhl(B4;RMY8&R60V{8aGG4IZ6;6P!BAK6R{DERF$aZ<7j2>mY3;h-l&dUDm*n}Vn%Xg2bE2~lc>;0t z)Y(ORH1~*$z%inUaHl(FQ%#lgS&ro7{!k#Dk$)v!xzK7X(nArlXa7ORyz819-<-;IVjNZ<-3xz!FEs3L zK;0gsxYD%?toipvL^Jq&smLIsv?Zpulh9|=>i@-d!gJSp+!R=1lq*|$fV)uui^Z}*Ql zr$Q$pOBfj#KR>g~Uz@Mev1}O_k?C;PxR&6IaZe7Co#4y5BIyzAhXM5mr=zTxiMC| z5Y*tgG1ee-Hho&Gl+;YRs+}BZ=Q-#K(N zWSlTgS1r-VtaQ{I-_sQrT`md#F_|_`Gqb+K(c__2SPe$A-f=3%(An|Btx&G8{@mXJ zUga&+t%PVtzE^=Hy%Zf^MF{&#FaKz-AM^umB-pp7r+W=h?e4Q8YKgdLyu+R=#je^| zZE3WF%ZjQFnLnYT&eSt!SMlw#$W<`ON#J3@SWLG7Gt<>X)mp)%L=9CerVlEVd|F|p zyjg3>!=&-4SS9hXiGMzd#~cHbChw9w2y0g8{QkJb^jmL5V@A%5wSRz+x+*t9za zT+gcyP7EwUOZdipYxv2td-_&9HJ1X)4S05ME|#2x_CzBAnDVoTogVJbz)k`_cc+HA z#(!`vt=`Y}F5hp^otB3cRd;@Ntop)O?|;7P6Q0{;02;LR$nNKTH58~#wE)lg!ZSNU zahrP?00rV8miDd7_Ngi8=SLp!jfRXeP;&`ZJ%h5&tw2~pVZ^f;J-YR_sROUsDxV(sp=hT9fk^p3?A1)8~lZ~Lzlo0E@foc0ffc_$IuJmIEtWJ zXtI&k6I)Z)9k|g*sw=`=)yvHTMCw=yEgMnyPgZ#b!o1KFq^xX}KPNBW8J9H2*8sB! z0vAd(Wa1bf1cB-N&Hf@Y7(5Lt&n51y1D?7DjyN$rXDIEAkT<_lf}mqmxZAre-&j-- z7`nT*+P|M6c_12oE93w2IZK69@D1U;MILjGAbM`+rDTH0F_7$6t%a+Pb1Iy|na}q} z!%4@_*HfS8Tec`n;CuQ?Os`Kv ztK#u!{MAjP(W=-I+5e#DzQbed@AhDSd>E@&xGgxJ+bu?1~aSxp{kLb)zL`@B%BRNjy<_OJ2g@*B0S&n2FDm z*y%HH)KPi_SLH;DDM8hWmD&|@Wx3^F`mp7w;H8305M<1P(bpLf!@ncu9Od`%bRmPC zD$$fOr+P75j|HIS;E3F55C`8>L{_=P%{rJHWEohB$ZN}#M#mlHA{-_K)#r+u4I2rg z6v!qCzb%EYT42F8kpowno{$BX?!rNbTCb0PA2NkcA&gmJ8Zk`d>Npf%go|??%_nMC z&jFmzsV&-q)P<4CcXipnQ@~4EQAm@q>I40R-SgT$t(4+aJ-;zPMFn_K7T#54-*Nxv z8DicUT6h0YNe z2!SPw@?qqOI@r-}@+54AqjRO=a2F{dR&6!Jjnc8p)ExQFlnCF)f3J$w{{KeJ2B}Ku zzn^I;EQF4;h-j8zvptF~q>?YT11V&(A<2bVLu_s_6wP{wbWz#am6LJirG~mMg)Z*na7N#&|hQva9xm+RaMo8Jmcaa&F#cl7A4J+)rwWUMN~NAVDG-WE?Eq}MH9^&}4i#RC%tV?(0b@=v7~LaHFSYjc=XMPX zsb<;a$gk#jQE+1Q9=7M}zdsOSOM}1GoTgD3AD_mnj9=%aqop_a+X*osa`92cr82XH z{|!&00Z9VOokrPYT)_rixcJcVw?d6A>Beq9DK?YYSZSA$Igg~T>zrt&^QJnq3E4^G zyUj|fYY0J5CY|CuDG7JEqK3n<-0nb8H4NtrB=0l}nMvd4)U=kZ?3Q(Ez})VG$ji8^ zM=*IyEqZi+Z>M%lcP{tv+O5tOuuX0mzs?o?IXsJ?Dv|dQ@H`x=QY&q^Obu}M9M7G9 zJGx-zqp}Ao8j7L#yDI?9l|soUDQ!Z^crU!d0<}un{(+k&VUKyi5Y-*nAEQ?kxZIdU z6Z{C@Qk$TqG;U>_#X^3N9V3N0paRX~wJBGwOsaWskTco4|IqlvtOtF5|Ek|}_WlvO zj(<3^?F%;Q2}%vxTJe$#GNZ!I&Z?L2!dXJj3Dn#wK(u0 zJW3jdnKzJcE%D|qfw$X!WF@hoHu|>bF@hWWXcY^#+m5%jQ&9luhTnp9_uU+1+KkD- zrts^el7j{A02OCJKNK@7#zr>4rzEZFh!^j59$5w*-TMoavV z%tY{_DLyeOTtbtDLT{_jWQ;|5tHZCpido!k4>(KnNTM9$##s&gW!!ooKrsW)I!!d_ zs6r|i?7)(12dT#7{uq-08cf?3Fovf4m012W9YBBU^@S3gDB04+y*)4iG}dPFbk14& z5%EeY`wMo+7kA|mhw2IW2;>Qc^gBLTD8bC5Gj&-)}bbuqtH5cm4OT4fS zP@$?r@x`xa_D5i16HE7Be>0KLC4cHm#B=F!KvJRAW62J)kU?`XAHt>N;Ujk#~V_~2sraNn?g+_M)))4lq$xqe;jb?#n;-};f#7?>#{b5@9sEuPHA*jId4 z8h0F&o+Y7!JUB`Df;=+afko&J7^U1^rg>uat2%Ot_gtMdoof^8B*>{qpF*Sp<>r(C z`@QLh8tWJ+1d@ao3ua&=h!^V+IPhU)Be)U!^s2-$xarqSY-?R|Dc1&SEx0`+0~^-X zXZHx7HE+ybOL46h08Jf{}J}j;dwRP`#2oiwi?@38?#Y^ z#Jjp{+FY@_RQ>=wa#^}bIqP%1^$b5fXo@P zFFlJeE(mFf@~_{RZfAo>MI{kVuwNSSib(@4<9jfr#m75AewNfjFf}PyUZ2?CbB2`c zsaD66m}mqSvm8T~)(GJulaw4n-sk6L^k@A5bjqF9g3=Kt-3Wdnmjh!CJm!}YU+kam z6y690ZKr3aIl7}~8gh8XSr06MMZ=KI9z6*-#$n*t%&^q`}o zAXeb8f2QkM>*K4mZ0=1t-pIVHPQ84hP3Qec^JM1>54GNq8Rs||oi;_dpMrGfelz^S zgK5v!Sj}kDpPcz(`faO}*U^jVC7TYO``Li1R=6jawsG4$$yAm(zzxf9y;GW&jDj!SC1hiu!4XNiI_3~YV^~z& zI?;Sp8b9si3H#95Kc4t_Ug3UuEaTX61&=c#9A)gVbv5AE)JOEYa8aqc*)N0pV1Rk4 zK#NblOr7^p)4is@Em*zc(cNotm~@c)B{>7AN9>pH_L`kM&hF3icfmLR{7oo|R=PWt zlXc@Hisxi*=Is?hBmTqkB22>*5;wz%$Ny!3w)9!=^ULWyuhl zM#FPt@hoGG85M0ckyr1Goz2ENGz(#kJSRu@ANcEWUpnb@g=SSHSx}8`3 zW~-8EE+{OW7#uCY+ayTTbh0fe(c5U7tROKkix-i58f2y%XRqDiAt<4^!FPNG3Jzpa z$F&%ke#MJzc?yu23+6a0$$R22T-<;%DRpUE5q*!C{%He!TyN}BDKOu*cH?{WR8iv( zV$_GaTRL2f{jkk$5p1w=?0BX^oB9X0N3^+tfwVk6gp$r>+b)FtJUs zP7oOuX{85x#SDQ9cT0_d>~qTIS)HE>6Phl`rhZ3M2j(bWqo=zMpybC6?8=A!RP?sL zTyMf^&iXzu^#y$~jl|9NURCsC6=Msn^KUs3a4fxL5K4O;y${xXzNkhmp)ULQx^Jql z7;OiTwyK0`_z5*1cCjE+xw!32VaxAqgpdux>`>ElF}G}M$Jh+$i-0yrN~qi}2jdM9>OsGGl2FWnJSm(E8u7`EG_DbBT()C2B@< z=o2M{u@k~4ym7k4*{O{rr(289WbVnY`BXEv26u9fBQjqmAVmNiyG z0~1Oww#%@(MfuCph!yjS)cQE&)jDus6T9+ARcJxmIgiL~9+)D7Gle2?D}!_R(}+5* z<+Y0u{7yYZ);e?%r)UApRwH4&G){ z0%ZR$9p%q0#Q*{K5yFnNPsk=2?@^peoX%OD9bFdu zl^tU=TuD>6P3yG09QsuMZ3Vgm6}%t`&dJP3ZX2V$*QiGGn0f!MU#i^TzUqf;PL2Fr zQyfJZ7K>GU#cscpecKRDzf^HmY;2oHX>C)9!tLYXJzTg}-%k}R`I7ST<7@%4r`I|5 z=2tK#^SSE^r?oZ_;YTJG8efyDH*c?N2!iLG`~8RGK2OV5_lun_{5}nKKG!?8OqONCQzAU3)0>9y+30{`6 zkv1GcCJFH)Shi4KJVSLg*I98`D)$O*xg4uREx&^ zbh`6DJR#QiOSNOrkf~_V_4uVE(TgWvH2Ec$?3nQUFl3u$ja8%On~MD$MIUz{-0+(& zD0~a1`|jb9f}J^!BxuT_ko#h2P;EDOSxy3S;0L`dH(cYI7hFd}4+@HZtCyxuxqV9H z0+TBz7bg-`s@O#8)u7roDK&Mw=rL$ih?RYVQNLj4174c;jbVWhaKEo^68UoGj01LY zIyV@Pw*L3Hw+#8CXD9fW5iXyh4w{O~8|0=Uw=4JopSRA~hZ3@juW`R4w;eLDzi>g- z_r!=Wib7c*`-ICDU*YTNc0jA{+3z__M*!cVn4iBe`7~u)cpgj%Ki*E84E@d*dOEl< z`EiMxXqo<&w0af-JCqCD<2njEOc}cFGp?+#Y9M7O21)uRDDcL_g{aaQ@`J=E_tllX z!YP?(#xHUxRP^oMJ0NiYtOf&-i9@! zm)xHBs(a(PWPA3kq3N$b9dQXk@N!^~=pUX(#CiC`dOBXJa$~Xn_FZ7C5JQ;C6^Asw zJ=R4eMc}|oHhyKpx6ec10?-^vJDf$7r+vb7C&!7R=~mAdzgw4`YzV2J%(x;`4u4a5 z>ZqWSz+Ft~c^(zUK2Kt%_Ut z*K@zVbN#aWk^;M=rE3-!?`?Ce?{uEoGKWW79Mx?E?yV=S>* zp}a@v6-+RC2*eZ(GM22{cvnitmv5)8TajW?c*<(9JR(8q;}i4F>T3~I<6Ay5KjB9% z9lbI+k}F$9$FRy2^>3YV>pwa=>u${i_r91YNLOmWkd%brDOB}^7==SR%y#`&O;g(t zlOj2`eq0~9xU@nph~*Ht%0@aV>R({@+I0**4B1`I;QBaFebXMlD42=&u~m;BN(6^h z(02+(dV4RXuht`4y;zG%XUSr%aou(Fi|8P+m1zF$}dVC5_xQ7LdVJS zu)+vWa&}EhzgkDSVinHVjl8;3{w>jcTyc^56U>zx?685Iw0gPZeo<40;q3%Xx{99F zcaftQ>m{jm2d|f)jqg7xyFWSkO<2dT8?T@pSqP*8sYGF;`18$3-BQfl4f1tg%#&BJ zT^xNgf^#)nP`0s50lx=gm+5^ZxrH{8B zR-0hUK~F)$Im3kaE63hb2QAAWutU^a)0@oxIUdVAUYAp`HoCL{KF7-tqdJo>uKEXF z79%|$*d4KPK(S(vG&r@sNIJJPoxz+C6ztWq7Iy@;u$e2tyjD#2x7CXhtz6U@Z#Ek` z@!*B3_$*1S0i*ULd#Khvp-ZqwaQ@-n9nu|OL=_7>Zaj!tn{&Uw^jAkzuE-3=2k+5P zp_(DA1)Jqi80B*hS4?f?mGP75N?8>uIT@0mmgpv5j!b7<-w}mZfA+8bF%iRLlN<7_ zFlFKJ>($VM9ulh5u4c4w5d<+fxCrsP2~TXyFqOQLAr|v(T%L{&{Da=W$(F6lHd^S+G$a(InbU8FLTz&zPgl=5JGq`EAlra@f#0HSDUbc=}hK$ z)B2s@`4xlyY4?&Mxtb8kaEy5_NNYS7*^(}I(CpPxg9HAYY*1g-sXc|<`3JA}9dJkR_E*G*m53xVcNfAEtPq+;jka`2iB zulWnEcg5us=rV)C0gJV%SI+Fj?# zz77Jmid5#eFz;fuwdX^aPj(xSU+~&nei`Rpm6cJ~nh#6ODz+(PD;#d~^TIsKT%++I z@xeM?BWhZ8hGoO<(Uou}(BV1dR}tFMZBMKPAgvF}hBc zUv1`wdd`KHuF6o-O~jlJ+q{KrAA+Kr(Q^`QS}cArYr1(xQmD2krHTA;^=;#JAr|T! z(o0?hBH?Eq^E;=5O6 z`f#jX(Js4&@|VS8E1WrQmK=iiymF(kwL`UcBdr(jupfP7>B4(Un1p9nzvakN;T#bD zsK@P_Jz{W#s3WeDYdZCTXWZ&f@V>x!ZRbrtyj3pUctgCyOPzqJ^@4e$(n-2cV&1^) zM(xs7m-hPcv6}eQ>gA)tAsR;&?F{C%2#Xgztk93`U}?O^G8d>>oOZ@6U)Nial3U}nJ-I{A!E+gx%e+xe)V@m15hx7+`<>;c* z3{2mMiFhLuQ>l8jQ=>w-*J9HS>=UUSNk<>doz+_qHOLWgD;?Z{vDCFITwD4R^Y(r@)v^o>IGbWsCIQDt^0z6 zte(7k6{5Xzs! zC*Zmd=S4Xtton-eOTkFDhRrhy{ixi0k@KlmAqcMNMWcJ!T}TRsr+UzSy@mN5ysJ5i zf*<x`gL87iYl3RAI=WRxTM`7_p#F4XK^RtJ9$o8vUZ0UkT>?;(x z-Fq=-NtC`VaPxAG8>03z!E183{+Qr6IbAn7$PkLY)|T_EBeW~vhUAL1cKS-F$uJ@k zB%R3}$4%%O>V%g`VKBY8rt>B56K=zP&`lEVtnC9@KUg)K|yVowd!)TbcA3th+y?BXSkj3Ax`kM4kJ9#bV;H;J?ocBQ~p&tq&Cv zt*-9tSfO?qzeqe-VUyXxHVmEC7?lavN}LJAlaoe>VVOoNvKv>#oT@50sNYuCQKDDb ztuGlpzrislwYX_Ua({eCLNV5ycF-tpgX!78PHHYhZpoq8)E6&|F* zX%5zOM<`Kc{#nZ2Ukv3c=8HyN%}A#n_xDkIL%=7*XEO$NVSMyq)%swN*+y$7~|6 zqeAg2;08_=IMprt#b~)$1Z&c06kq85cRfi$BT~jen$QGYuC4ahErs8Fd{kpE$C&M2 zEcDiYyKQ@wJ+~6Nj)X8W3;Wl|jntW8jH3qG(2V=tYcVi9gFaFVi^Q)eNX8?_gd(qg_L$GA_mlLO;7D8v0BPJ^q7w-x_oZ5W#TfeKRuj*Tv~HS z#7vFx-(4|^0oPg*dRc#MYcErG)mX9V{J5lNRsXwBiWPH6uTH>p_cLf&SkIMY+Vu=& zed_G)+d}_y9f@5!^UI3EzmOrjfe z(dbH`TSrz!HC_&ee!9k=q*=IB)p9g*VH%|APubbLzWU3qRwpG~d9^>QkECOi(;i8a za%07Hj+CriAPZJ^SjSK?NNy268&H{?$OPRjg)zzrNA*}tS#A<$+1m=&=i1)P1{}-} z8lrJA1)gQdgF@wJA6qkC&X1?+g7^Igj_6~tt$uu}5?aXMp;E5rD=zNy zJ^l+HE)81hm9%mi3ZmLc9nN9X5Tv|{i$a?B*z&|ySMzp9l@|cvyu7C zIZ9!)`oYwrtBdJfvk`Z0lAD!fgB!`_Re{Y1-PKrBDmlTywx3DKD)$*+BF<`^?~07F z2Am4i6vm1Pt#%V1>q5+I}eo7?>3>=MiEiZ7(24cx)?`h{)n#1#CyA(PUAMYA4V5S8GaN*i(U zNhYV}xyqb2fzy@QFY-c@rJFGs5HiIS(j13MlmZ5m1;?BzE!0x@0CJT1WJUP_V+eVn+y)LdRNb%Mwy=g1H?%fp;;2ugK8Fy#@^2Ylf|mpgJ3NmQFLI zo}XjmFy8Ad@3+e(wb3Xf*K|%^-bb438HhtQ$3?f~#kb7Hy$hBQOQMW5;lB-pg3nW4 zQHC3{eg_;xZp1#X_`3o}Xgf4E!hlqKkPW>tAF4%4LV7GWBFq4{cG9aQd=Tt-C=Bhk ztH<2~zdK5{(^HT{_Gbx}D>-4s3hW!>HXL&x*0DA9TCQ%`fDwyH?*THdz))8+mHM5< zMR=GI?2mZk_uEpvl%4M}t}7KdD8pO*-lLbTM2Y>RH}bQX!E~ZDuAInmgpuIz`MDmq4?AmmnOCr`aUdnvZ#AuncmNNf! zLYg6ag%oq?Ik(1jkqHY^`#HBRoy~VZ#r7h!FY~bBqFdohiVt<&qgbVH{;N`IsiE({ zkGMMve#hw$Kgpu2CZ3ygO4ml31WBQ412${C=UJkG;u8mB{!s4aL&zh@%} z%4nY(ix!{XyknC`qFkKFNvc`jsX*UE3qS5kC&N?Q#F`2JifqQau7^_h$tc#?yij8&KHk3wl`XY!Yv$;Qsf`j1}K{&cDN(Z~wukmcV) zkg+v1H)YYVG;y&YW8+}uW05wsG`DaelX2}u!?7Xu-*s%16o`tQ)jAj|7)1sYk@%mp{(~{+>_XPK{yl-E9 z%yK+(G)J!UC+75hy|4Et-PXL_2I^s8VPRolR(+mM-buwwL>|({5DVN+N|8>|2|W@+ z#CCUghoX|cUQd7gBz4jL_HuSLF7mb>h`K*^M!PKf`Y`TWi8&xDqoPxB!7qth>c|g(Q?d9&ldeg&ar{o?p zA0@Q=m^x=KzHqy@=Pg$()FOf=9ba#Jyd}!v@pj4nCd6U02fpi|^={SqYS$VY1F_Q` zzjt6D%!=3hYLw^g^{!o)*JEultT0?Mzdx4LyeTO}bT|m}NHOkSH!L^tDe~dr_~*D9 zZb08bfP?JmT*J1QN^i=(n}ER}GddJc!J(!ff%n z_u)3(`NQ0bNfPSOE3)?&1bQDkt_1N%s5pm!!(FRRJ?VAFN%0sJfkPSNKYWrsf& zDBN;0ib!LiUiOS3j<84A%Z8%?DNhK$|Dewpbf?hy0nKM|HC)tGwZUPE)>R%wH3|Q0 z+o^m_8L3AC#=vblauvow5_9aF3q|{36ix3%3HNvdTBl z(?*&cccbnQWWiYv6>smCzG%X^D*cZ?1>8exq>{hf`i%nmSwqix}w6%|{;ov9D z#KgLDggmR+WW_|c^IARc>{eSm#B@mJHp3IGEG-X?j*MfEq5}%%R#)3Br>R5MkM4oN z&!#p|=#=Js^+*Oz^AUNSoSt?*Uw@xwN6Cz2&WdMaYr9fo+<#Qn9<%g7!OZ?lXy4MA z_#Ey_K9;VhH!h+A!8=o&^YzEipNWvWy=p{<)K!Mqw7o^c*m z%{Vp)A$_#hUGQfLyeEslBUk#-_GjiUnomRn<%fTc3lGaqrcV83qKnp5whOhvKFQp@ zfYHeuccKF?)MIOJZ*Oe;ZezP%{`-w%eD>|x8mcWFvVUuc=}N07k5Hv{{a8g?mH$ld zT)@pro40_lEQ>%*l@^@Su^dY))Wpl4$Q$|6`F`!_^hXhex(%@9t8JnXc`c9Kbn}uf zH5oA2{(*0_JT`g})N}#sx_7ek$&@x51USRz^uj{tbir=raeW&E*SiXu9aF5z?5wP;bPjWM z4UP7<*C*M0_otJ3(y#mEU(*HLm=QOu;VQFxBXRe7B@X@GC0X%wKzpDo{xlnpS+u3w&Z)Mta&ia6O0rq-SDmn=aklftv4WSnO-(zZm?_$GK0F%9&Z70nhrMelWxO@4r~n5etmhWX>7t;yO9rA0NCcehA3d}P z?bfaN{6CYP{TO^se$R2n%yLe0va?SuEorN%xjgKozU{F1cBTortCUS)GAUJoBRdg4 z9UYccQNP=`YTf(9PG6T>S{fepbthS)_J9?BXJ_X+XBRDto}S*F^4-h9N8iV*Z*NgY zfxDc-hDgC57<;w{6RR3F5uDH~LzD~J(G)p9efrc3^QRk+gTmB=_#}%M7X=i)vzDvM z*4xGQ*PALL5ssACi)M$-iyY5We@u7{_EqO`A-Csy$M*j5AxVmOAK~iAo|U4Oeqh*|O{rTo*-2)6IX`Syr@?5(zXa#_T1*Ld+30%J`j`fb!35xOb+ zcE3_Y%fRqXx8ryl*%dZ--y3dQQ^#9D0eM6G)<9xEwN%a*u57b3d{$HEmP>5?BAh12 zAkf`+4K;!?>$L63&b8NCT4WWpO!&(3rMeaqcfa|ee zVD*}8@wAe+m9_QTd8mkv`$q6cvNp8-LU=m)3e)FbVZm*uO-E@A(as~9I&hIOl}(5A zIK-}+#2Sxcn%Ex|kW2ty+ZI~vpE_G^yxO14UzO^7#K1&p;UmOXBXgBkc=E zByyrer>T$gb*exHiT+`QB$lF!&*rNk7NuBy)imh`BP>qEpY1Ov_P$q{Yl5%$TLk7P zeojd?7z0(lFV?#e4WECSMOP7Th;Q-n@uiszp*^3z5OHAi(tPu(^?q`Fh7tR|y#aPH z-d4_g(fy$V9ZP^4njrvkhLwc!(=m~0Oykh#Xd!n+#9Zl_!$=T?VmN7??NXiZo6lLO zRfeC_nFfn~o7d@bs-A-papZJ* zr%6QfI;?|Lj(D3gdN-am3SNzHsKHthEp1oSuTI^U+<`Ro3!_wU2PEc29!;_ILi|vO z`GXR{Po=R$5oRNATT%U6hPHpACDMGPM%-A~U!0>|c7uF+d^|inyu7@uUPJ1CN{7Vq zc2nW0OyiVpRV2xNN;uo-4yBWU_w2ZOmZjhQcxs(|>JeaKVzLd;I+w(6ylQtRiXB;> z%p%zK@vD=b4!2yFagKW!K_(txANTJuq&${)3Le*^_|ZN zPVTIYBI6Y;YgvUIp+$&fJs4NdptS|npBo?g)@LY*4(rI@-`}@y#}w`uj%08{Ge+yD zng53Z^fR%J%is^>>qmWr_LTiycGttl4+IcDYwXwB`uZeezR6z98^_)Nu2#QB>if(_ z=+FMkpfdn~(KO`KHk*A@RaPjt;K5>1C2CrnKQXM&+?g5CaRd!v$$wwgfTcOd)?KzP zym!sS__!tQoxCum**(Atz66KhQc`F2^y)#9E-o%ghpg6Qz%00dK%gXe3ajxPAtDaU zeFlM=B6$?h#%c3epTBW0R|GM~0toa;M@JrF+i|W^7w}DAxNe$AvyUH9Gh>Ls-~Xzs ztMyuydN1WpJA~CMw z2>hr~!Z=_vKYq|h*AJ)RIFYTMP06vCVr^@~>{v_j^2G2Mt70miR>+;HXSKY41)ncP zXQji0R#Kw&3QlZ(oCc6%0c+bK071LbP%w>wyLYoUaslh+=B9l3d{D)+&S|ZB7nZ%^ zP=iGQQ>vWHthS(_pt>4OV~cwc-q$E!xvJiF$<I{VCQlv+O2~13dEec7{g{QfD6?^PI+a<^GeHX?)Dqe%5U1xLQ6GLIGfICKZ(S3lJE%>ci z#P{)=qVMVkw?yLcN#*4Bi!Q-paUOcvvGpwfh3=1QTnaXvatSG^{y*%*Ni{HO>^rsb zNIFL-fdaUK2~B$@#IvE4{5N4@<8sGV#;HkwXLL>350E`%+&=Iu~v(Jqy z5n1vbAak2+7k`Bl`wNB0B)5U&^tY*Sf)#*H^uI|DF%7~x54A@2g76Zs}pz48Qa zR&(DUIWN~0{@tje^~}1ji*|qzSH}VTR^C{ZmFZ7bCv>;WVLFr~Me03c-|9+0OiX12 zPjg6zezX$7ane9QkB-^v6la6Er{T``s_8{e5f8_-2IPLjDNL$gtKbpLeCFpEG%CG- zcw4egqc~go^HW!uyNL93P%;DZ-J0(k@TtY^jOs_rmS#mF8fVgm4MrB zd4O5-w-t7&%vSTbd(@8~KO`b?CZTUWL;l6OoFo(#QDi>BtFV@xj-+H{5Fi)7M_Fk1 zeH-Oj6SST!uh6cy5=H1}a68k}IqC{VJoo@v#%H5azZz_}-=*7d3?xQHEYX@qpF7H6 zNxkB{qpiCxJjRw;-S;ct0!CU|AnJuq-Caui-PZurk@_3# zkuniCtZz3mPn=MaA|zx0E8Yl(Vj$;ctM?sO=x^&e3`KWiv?WhmamG^5n?sOh?U$q3 zczdqu42U4d-phFYd2gR3DJ2oC+4!Pr*CZM?l(C4nB4)zs9)Cu%#noXQ2J&w#Yq zcikVBwwz$~003zyiPAZ2Z0x7o6V)y&`pMsOdUA&o>x@_^p$jv(x-Rik#UOb(eSK14 z4}e%6%~j1gKQ*rA9DG_&O0I?&$@P&W~ zL@?Lc&B7*fY)zy_hBncb=|?xbEeomHYBHEmR78D7$b=(uW4BaiYeIQRa;tJc=@2EP zc`{}^$D4Sy-WdoiRW7GeK9{gecy`a>!cTLN%Yk>Ysm<`gA3Quf47fm2@MWk(ykO>| zX>3~u#ouNd%&7Z*H#cX7f37z0hf&8=gfCbB8arwDyEzrv+p;G?0RA~Ql*H-V%LZ%f zx35xxEG_2{pi#r$3F-cUyW`(b;}XP@m^+)S=PJu8o2+KbwUDGB%PgJu#x048Xz?X--<5Yol{?OADiGr?1&>Qo6 z^`|+iCUT-t7bZ=b2Nt<(54%LrbPAI;XLUyR?)u6Xn5L#C&ebLpZ}7wC=Vu^xxxc-> z$Z8_@`-5VIA4~e+-{9n6m;yla98~42_4ePot&Y?CN-rA%R+`iWJfH>0DY1ZPud zB1tfJ&@xozeJpHzp(w<8EYVB&n1VqiPge92{^agw6#gI^ge(~JqZcC`PPjOg6+bxJ za=iuz!%a$uv#+lYdsQu$Lr4Z(D86T_tpufknzmL}pMP1za|4S!NaOE`l?(?dw#DmZ zTTVR+Xczblr>&76MP6K{ixeVpS!TNrF)ys?QIL@0gdEaj@3cP(U#R3ehJqZ>hI5s} zmw$WBm1`w}m$#kNEs^*>J4P?5C=r)9$}7WEf9;LHrWB1PLX4}_Yt0Cr=sToSE$V0? z&=82~rHN>{XkL3!BrL0HKIN|q>FebPp9eB+uLf>)$d=+Ps8cx<+IcLu-ogkF0DF+M z>+e8rQVI*jCgpvo7RLdAwx)#69saoT0MmK;2R1N^fFhHocQHjg+zaA7u8Q!RV z6q4w9MXSj$rj7uxegS=61Xk64{S9#>M+Qw~AYnYTXi z>|czJFF;h+7(v&%#xCmzkK;(>BW%VvNAs}jf9)&^NxioQWn=yy0)l z&Ee|L6hLpaU}g9a9+}Lngx@3jMrW$MW&%!6?GTjA&1twho?tgaKu-AT#Yu+0mGC>P zFt937f8rv+w#(k$#X!pkCq;j*YM+nN>P=oCj&F_pNRwpMo0YjoT|ARa1n_gQrm4{Ae-Y|+#_5|C;V{&(ef+d$%23v?La4T&9GWC7giL?p>}5w`!Hxc_%l(3+!Y`w$xA zPC*~YU4wurbG#Qs4QT*@9q&H&lT4tSyHq@RH*bhyTm1h2k2>jH@KhaqG^Jjj3elobT(swxe zc3t7b%IfNp763T>vA4f$2=K5)&WnE;EnulgR%kEL4WPViVZL{Kxxy1N-CD;tN5b8h2Y$)J5;I{y#4J*OP$r-ayTSQ)Yt@ z$DZ|zdAbgLF;n}5@O?G^g)PS&R=i=+qEfOWa_3PoF_QVpUczuy=yLxsuuiL#K!Sc( zzvFu?ND8(8aU(AD>aX(VN5b*s&(cqWy~D~(A2B5Kdwbgxzt4{)!Q&9r526aDkF->B zN#30$Zt7Pvg!^u{-}|4AwdCG2=vJQrMU`~^u(Kqgv6>g2%4@_*!h?$jYQ`?YzpzRT zm^_BzBC6mf_XyN$`v$f7VOu(Sx$kx|KMOF{%t4y_VyM)O70o=$$=W>cl*Ec z^8-0mK79&aqEy|$!AR`09-xPWglw3&o{qFe_7&#jg!)YHeVJVJ-*^!gEl$y(9>w#; zUw+4X&*;H{z@(zDudl9-+o|DB+xQ>A0ahj><(^hs%Wi}8vjCh<9+4}CSc>ga(_zLx zU3^Bo;}D?rNbsBpS~NL9F09epS&NIG^Qbm_Jdi<&B5WLZet<<=(R$<;6a>k39?8kc zMMg%l1>Hk2HZAQw<5m@vbBrWAUJ!Hz_CIZHnyb)$^3!p9L{BhI_hMA=_7*zXf~>Iu z*u8d>3tdj)mf_7pILbsuW~K)|X6EiMG-H#`HXPW3cu3SA$9n!4Bw&E1;OhOGTp2D3 z>C~<3oGtn)Q4q3qJtNh0fD9>(;<|Qt@prTkU+@Q|r33WW2)?}liq2(nYAWD8Jqt_y zlX@)8f1Gx|KM)iZquVWwIfkG*x3Utw)dVENyJNryypj~?cQm_D6Ge|2>gsj%^#A}R zBo!%ShG3iPV_{>XYaBZgK`fy`xe5@Q2auD?U|_UFo%ccO`aJA#n2mg&_!%$)hV3ze z@4%N8BdrjGDYbJdEhk){Do6+`Hna%`&bc3M{Qae^t&OudxMGwWJboa;on-&=8dC0O zhzzioz%L zpHRNfTcq$bL`8--Y5%d-a34WU;PdWb%>l&(Y@cxwE>vN}7ag|> z|EfUguJCw#%PBd=9Kzp^kZ~-91T$3Jny6Uvy`c7gkxtA2x7vA-V}5R9!|=@dL@|e4 zck4uepFd1b0NzXR@82l{!4e)Jz_@bRt&B@2=$)Gm!!K#v|H0443j?m^gr0=^pj7w$ zgM-o^KPa#bG&&9w5-_BLbcFc%7eSz4%zS6#D4M?l>5NEgD$;DbcCmJQ^)|2QW`Bv*SX)_b_S)&I#-5J= zQqHlPsGobJWPYbX9JitCbF8)hv%HPc4n8p-IBO>i$9QRy{0(R#bB|3tWuqI-Rl*T4;rS0zO3k5otb@fM41ZDHXc zqE^84S8FTraHq9Hwe?nBfe}&~KD&&ep`orW@k4~#c%nG*%49r@OIF3dKu(}~am7IH zOqazikjZTe(r4lv>>p93#r}7*P{d08SNXr_7{K%Xa9Gcoi3uewEiFAglDZ&$EyhC@ z*RtGP|Hpxv3y$@0Isy_BYU&z8GAm!5c~2iE7M8t_#hh{i6%`c?+0V~j%hH$q6L{DY zfeJn90a#;7>S?6=Ig%wV?wQjS?4y?j&4swib4yEd36zIfeB|_CzHweaA&QEMssP#x zQ6zB(U=4Ybw3uV4r_HPD9F=}zU9jvXd66W1im$;ca_D;oIxxmfea%guL;maX0KwsP z_*A}IeGpKwp;%q>v8*4Uj(;etDYAMZvnKjo1!p0lP3hn4;BWeYV?|-WMXsx*75>n< z6B8A6&X6`j&gObcSA=rZ``)R?RaLP%@tK+0N=jgU9C`r}7nk~Ra>mBS5)#mK@!9jUv%8fb$pjlqOPQ?5 z*O$KuS#B*%8T=x^{9YKw6;B17+5H6IvrdoOKwE=<6Si8&GP+V;nL~8bFitM?$_b~s zzp?CZMiiU@r%8+wdUSNerm>BqBIFvQCPu%1e7t?m$|NBn(E%6QFNn!4@9yc@{Nzeb z9$`v=Sw`;iid!@`IOs2vh>ZG*pp$IC)i2hR#^+3}BcCC3B}(QtkvV9>IDx+aR;8k; zIiOi`e<~+0czbjG3%o;zFvlNJ_Rz<5x+uV~7#O#FWq{s!!XBE9=NSCr9Z zbW6Mbf57W^vszb22N)zi0YR6Ud)1^kV_|N6ed>uQJNdYKI~TyG|D6v2Y{R(Ez#t2V zeZZa&uIfeIee4*eF4uo@;*xM6|3L`}Dxvn~;^q#Rci@bPc|<8OQhG>t($~ynii2P-_OrL1+Z&i$&Ob~U(_%d`|15v^9~d%&+i++A z_7u={*|QRzebd@f8>AUQ9n7t(OPSgDpY#++Qp&jm0vc5Uuw<=R@p_)o>L)E`Dxrh{ z=JK!1G>;Tjy?cyE^2--4uGZ@6I4PsR&920n`? zBLt*uCxcgzTorb zMB^ExgSoaa?-$otw*~%}Q<9_AtgNgcBO^00F`bV2`80Q2Zg75YY--|{MI9U!X#5AM z1Qz<`<%O7-_|(&ifW{Kw>u#D5>RDRs|I`X5V`0m(`2_?F_rASe7XxCZotD-@M~9z) z2S!JaL14;Ww%aODHU^j^pCUU(eC$FQP?9C-9w;tQ-$i)rQ$RQV?na3r^UClVLHzXw zq=89p-e+}eN{iK{w`oO-IiG2=)sqKMH9!H`EF~Z$T!?j7-UMg<-s3E-Y5f{G65g!% ztN{qc!^2zc9VeHUPAIh{C1Fw9!U*Ow-rnA}wzg^@;8}nczzl$Q93;`}(Bh5xTDl*c zk^RT^UDqo58WJ>tMB_mV;AE2)NwgG+agYxSp1k<~NX`>XkL?m*io#Ac3C`e$Ry43Q zmY0?yKXLr;bRd^GI`Srdd)}tm%W_6pq9HK&q}Qz?d%q2Se)%XXX{WW8;5{kyq5m5)N}e zoGFz{Wk!aAxbC7wKtx<&YK8kylmtlzX9!h?J(#Y8oG_9jlv!yRLCeIz5GdnV-I4|s zP-b}FprG;IX>}O=KV? zVpd2bsVyA7|B1?69)Y%}l?8|Orc>z6nSsUL(GFaHX!U0c;4nOWGC32@Y|mI`$f*N*&1-NUexwSV#BtgHH#bdk|_lMx3H} z+m#^Hj#?o@JZpo~Iy(kGh6I|1;UrAgPM?QoazP`Qyj4t^a7?9!AW1fF8HKk&Z zt{52~-y@T8yha&n%p2*b;k?Jcgkf1X-KH%HD38g6ZhA;pZZ0IphJ;Rooh>UXlRM~Z z0Cc)P;@%%2>H-s}R3OUgPdku?8FM=r&A_283IA8a;L?mPD}EOem_5NeC!zh9Nbqm< z4imPF&1nL;nHmeB@uLr>@20s8eM*i98x8zVS+Wa;jxJZ9(}KlKBgT$l_ci{4_W?wZ zRFl3@c6Dz@UVKO_b*)x&}L4XLBQThO7Lee zZOyG_P`q?ORaF%b%p_=d{zx7FCR?!TiN=G9^J$`F9cW3bkM9m%SeOg@kpI$W|HWab zQPlerR4&paT}DJM7*Y~wiSh9t1Z4nAFlYAQ>=n7p_{rW%sr{Ln6hj$HtLP}{hxq@; z+*`(F-8S9AA`OBdNJ%$HcXvxmNq0*rCEXnoiXa_QQi9Ump@N_Yh@^CP`VLRs*ZutV zyFc$Qd{E*%&;J}Vv(~INheNI6(7?zTp*$M)hv05>*0*9Vo;vRwlF444dj6PWx*u(` zdXi$?O87sur>^Oq7mR}5N{#i-`q&sBixsxj)z#(Y<+Zkwn^ylPoc%8xNKaPHWSi|r zh7rb7HRb1ecV}vPdI)DT$?6|W(mAeq-5M%DVECCEKtXT5H|>I>JQ$6qs&w)mrP%d0 zsC1Ibq;Hz;|6x=^CJMfTuFHdeKnGFNbPPWBPIv{K+XkXp|5L?*Wc$|r`hU&^H_|>I zNzOj){*n4}B$;=(HzLS%aw|9ftk=MU)>%S9s zBW8%WNcUpMqhy+Y*isWjs4YT$QYJK-^!(?8>sydOm3O&R`m|!9A4#o~+tQM0X{#st zua@I~z1R;mr-favxC$hgTNOz0c$7{*$;NG)?w!KRxS`JT$~)HeM84H97GRU}Qb&(t zF@$%S#qBsfxS@Uji%$kpEo1CoBt_GO&SHCuyoS{9*y<`HqM1KWn$SPVIq%==dZgs8 zi?d(cM_>Inw{~;j{zb9%&Db?ncfTOZl3r-nH#pFN8Nj(+g&PhC7$7eIA zC;l0qZ}QUrnNR+2-YYyj9PQT)%U#LV$YzSF6XbH_g!qpq9XJuM2znGfTvGZ+`Fp=? ztWmhM8&Qk@FTWNLI?` z5*im52N;s%w;w%fC%vesXs)`grDeCz#lPi_e`o%qE_5t4Tl+o5~>>$Vprn+S~?=tyst>(WycPt&Cbr$u@N=t!(I7vtf!WSXx zC2xzMu;^_T#`+iY4->H??8{stULKyqlM@FCjG>Xs`U{<|=6|CY{uf0n-R}SRD)&8b zde#FM`W9!5SS>9rjuBZ|HtQ|HE%seC_fg4Z$PgWFxg_VIl&j z(67c*fb@I)KOvI!w!w&8`%-fLspO&obG29eIXzpG^Z#F>fLX^NFwb&9eP}2lv`=?< z({I?(xw{gCXh^G_Y)|+gZ_&ZwW`xO)#rDRAgRL!Pv4kMjg-S0Clg574Ym(n#od0Z6 z;OQ3r3;xiXD~?a`Pv#vy#?`akbY;Zf_jhpo*E=4bF)b@B3?l_VRpII^_5<1a9*Nbf zO{KXTZ2O;G5Bzap^k2#j6oyaO+#~?tD<^L$A-W@oOB3&ZZvM|HhXm41z7)G@$d!8S zzyI>N05L-sJOQqdiBs*G$L{V&AnZxqHc%13t!6>ZwSpYiZqNJ@m=8J&-V$jpzKi=&d60Src{s@LI6|=6-KF?Cp6H^Im?s(Hq>8wXPyrEH$&%a~f9etxDf4 z1-*@T#(Ic@)vNRK^XBZ_xaBTN1Xt(^p-*0N*`bJJs7m+CL@2jDvD4y5LbbY6=9)@F zLo+-5PDMwMTQ6>p#=PZ|ns2xi)v&R3RU=G#+S-0!$jIhvV5p;1HRj|<9Q_BUX?fQ? zif7?UrA^Pb;SD2!`L9OzO$V&<@DO`@dw8b>l5uQR#70I&F!X^}d@rZh;PqE(q?g3y z6~)D%#|xC}=Hdpt1pgNsgmS>edU3J?x;}5~s`R9-mMpF4NrV(EzG3$JI4cxUW$?TgS6RRL$Qwr%?{~~rV>`aJW-aC5`S++Ob zif5g=4mTVl>LhpZHoEBfO=#;rove~vTkPTg?VGHZ&S??Otn+w|5$kJoFI`V7NQgT+ zB&$-Yt7v#y4MrGOZ!e-gb})1!EyqT>ttXcDskBrHy$nd0_VvzADUa-v@%iq1D=RCA zu~T{@tsC3h-%p7;RmPzgBtl~ZWmWp5V!5@vsdc~B>@Au(R`QE#7 z_wHSv-}v2kk+LjXH0YktA+R%xT6aIIqKV);nSg2P3RG z8+$2~7)6!}O}o6Jyxg$L6hZmjp5P7?tm!ilM0a}?oAb^_<=lw%bq0M1mHk0(n}NMk z5Itcj9*c#$+~S8HB?B7jcdD7CaTA0lz7QL6a@g-wG(8m+2WsuUX;q)MUTk;WuUaLk zjl>Ep+KGB}zl@XoIXD)uU(GrbR-fu~i!be_KGY@A$@r|3f--!kBZR;AE~A+{jOQjk z6c;OW*_p<Xs3%v@0GXm3R=oh~O#+Ee zqRWnQoxYmK@BHx3A8WeuqVq+|-3kyljeBieobD~^=?G~yKEoQR*~xR=3pu#Okd$({ zz%4FrObD@yT}H@mTplrTi>a`0!ZvQ5K={m#ch(Dp1uyjcdhY82SZaa>d2tDKssMB9 z%h1qtlV{~U9q=9qriaise^QGKp4j;Q-k`=3U9xa5$ZO%7ee(ur3J0o5KvWeNm?FGg zZvi6@S_!&xdP8Da1B2-_f0pW}YQNhowht!-6a&ZQZLKy@444wO%G1xm?(@CUMBHi+ zzq$IJ4uybAyPv+w!1vV!P$WF3P&5?*-9c*A3;2s(4CUwfGz>oUn+y2zJ)9X} z;r%AQCTlE(K)27tR-rhz@il7iF>)y>=B~92g&6gkuH*?qLGV+$@rT1ohs^^Q*{qhC;r-CB{3>LKmQQx zXZ)Ip^FclV*JB5tTZ-I1co)0e6N5^ePrw9ge=^iE_*9HmeuSCQmx5a$LG(CH z`Yi;@5PTEzW2&Ni@Ou47icvm+tUJxJZd=^6{YKQwV(?Mf!|!EvvzOWBaV5s~Y|8_R zS9u-to@H3(LFlkptubSJ6mWP^AecG)G~#%GunB~lO}R3HYcmEE>1ur z^uwysWd$=+%II?bZU7?_6ATBiNf|5Q17e=a%Ecqo($TS=#m`^R&N8F-rmdF#8Lq_t zQGFDnv{&hi|L5x@PUqN}jH*w1R_;WW6PyBhizw}1^3)0H*UQO%-*J$O14od6FWZ`)d8Lpw^qQUB zxS1&YS?Fn;wEF63bmukI8F*wW4I37|o-t#X)s;aEFk!gIZRIbQlwY^mC8^jOwfSFx zPHy^>v#zi(wMwa}Nt5T!t86@a<<+F>g@pxpdCPvLp?7tdWI<#XnYI6RZ~T^HrMeS&irXDR)9JY`VrNuLCwGIdcX5&TEw= zJ{Q*NuCGB#OpBVQx*L>zItWV4z#?*?=aI*wKd#fNL6rCY$p^WLQUQuTEh-j2ZU+tX zIDC&)9i6*fdU@D5`%;ks?&8(k$WIWPsxWE-fo0E2)!}(ickRiOdn0Wmt433^uXQ6Y zo-~4??bjQ^{@7bRl4qTnZ$TaEG+sOkH6m7=^!%L zN0QaxV{L$Il(b~z4iKGnQRJd8K>vIN{sFEa?|IeSMMjFXarjt%U;g2rS8WquzTKPT z_V-Zj?>I;6Dr3H;M$I$z38sSr+TiL8iIl)^@TQdToeBcBlP*cHOT3t zBe28f8H0Kw@|J#tQI^Fut7VHNlFpknxGm2@YJ-FH7Ab7+p!0g<%gUa}P_lmK*0gh5 zi{AyG>@95t-GN>Ef?PR)0$n-@8w71-xs1q=`t^_9P0TqT@jDZ#q@kn{LJsQ}8X-UA zbv{JSnQHbvh{9vY5^$xC7Z!Z^=Img_bM-5N>+%rPgkI}ShQ@Ze1oDv>;M(nk>iOjf z*bIsKwv1z&NjOZ~zXV)AOFwK_$$F+9!55glE!)rdEtuu%_)}YtWo=X%K_GR#<)}r1 zD1nQ%P#lH$^}8n1T|Iu}g2?`d_?+?Fy%6egVbr|>AGS%`N&U2$rf{}~B?SZDRvNdE z2#(9+(-WvXUc3uOyC>|c?sH^JDTmQbh`@VdlCenSPCmmk6~35s{Y~tV4~?JBjvK`g zuy*G0o9}(wIG<1!DzWmxTL}1j5)c4IXD>+L)tWUU*5Jv9?5lDxlV~;Pxy)o6HC*YVBcfHok}+1ry!)-E7kF%CzkaBimAaWSnpV&+@dV}Yi1JBlcUJaS3 zFaNMv54y=aJi1`mLZUxgP^HT3EOq^Rmi?D0^KeAqdsXT1j9?(uv@* ziCgGu98EpV-z6&)gk$xU@Z0&l61o{Q#aiFx!Bh+i)o>pU>dmlNZwm_~6vmNs-bWYl z(utZ#>yO4dC!oMGMNkoABAzl?-%U&6HTZ}u6Voka zl+o2Zel*8isL~h3<%j#t8J*LI&(XKY@8WnY3gh!5A**j=VhH%kMFQsf^VuHXuBtJ= zA2kr-;JQ240X6MIBgoDVR=#{2XEyq|{s&av_tpPu zug&{=p|pE$PD+0141zE$+ZJ&0FCMl`alyIZw)uSc;_`IQWwET+($NK7sALdcwjxUF zDIx!rUHj^bTVqpWivGcvvQ&)VL5T|SiI`rReX+qBGOCSCmbFx760wk^>Z0bJK^;jZ zKSXc>D%*5>HuZ=09O_}d-e$VT=scZ>FCZBwgb3T^6XjU)Szy1G-^S4 z-+e+tpI=pGU65(tWA!|b-UJ70KM_+XFL>^3pY*yBo3ZsG`=%1UScOrYxzLAh%oI66 zNMelw7H1>@9E&SqcB6r$jPa@U%x&U5L8%bXn4AV#)gp~JMOILH&ZS5lY;~tdpnoy<>|3Nygbjn4 z@PfT*kteiJvo^^xdUn!uMM#G=o8}kT_*u>I77cSaXfzBg}pCEE$)e_xg283%}}JX|QW(TNFoK z#2J1H!??C@ghq&ynqX~9X(j%z0Vu18kI4>h5I9#5?sfVOY{2|9OU?ApkAQ>Ce zo2}_j7HdB(ckc&~xbTo@sW31`eCi(G?EH3Us6H;0-6w-#Jo#R?Iz&~IAA39Q$D1(_ z#?2^dcp9Cc2FPSB?FCHZ!h=t zWaqI~(H{182OZ!GD3C#-2)5u?!4ZHk+Mp8IY!dAkY_)^+f;hoEdg|a7ujy0E3 z0_GR`6;2%OXXx*-?&Bt7>PvftpF`u@>{8A$K={Ir^p%RwDl>Nv>%f4-@Aoere=0$_ zrm!BaC_Sl38yiUL%Fho^$!yOik1UF{U}rGMBx(iY9jZJ4n*Oc zU;UQkdhhd!fh@((Ra3W~5Bji8s0nF*+WiU>BsFOp4LXB0FqklKkh1HAbkfwl=$>;l(xWstYrTpmaF;?bCG}MQ;$7Y24eGT zvKvgjPR+hpxg92L8IVl9^J-aDX~#okW*}qtmaOku0d;``9OLQkE#?_Tm6E2@bswNt=6yIB@-em83R#P;j88&*1GZkC%>Fm-93C(XUI9L8^BeQ=%@T+W zAY10aYjyGa+3$H^pC0=;BlE=jf`uah;E;bJ;U*YpHz3je_StFiOtu8)Cs)CZdjZwk z@{udg15Yr$rdCT`?ENKefMnZ85x`;qOihzvb7C2vKN#5o5xK$l09CYNn7ak`E!|3E z-ZHmM$Xp|wl`y*(FJW;mmD>gS@%Y)+6z?~4#LwaF=!XCx<`}Q78f)bDCw29T7}}Ej(Uk zr7EY820zm=<;xgKJUgTw4-0gor79c&u>J7Fjb*Ex#+-pV%!%Z$!;1)7T@~^En9^-u zU4e_~lUMIIDCUFjEVZTwH{RtXi<@1Ftob`df6;&#m4k$ho+w)J(ia@>HTXO~wY81s zTS~B-YE(QvwxFB5)B+%NC+L!Z#(D#_gKaw9RngMC)OV274%VXTZ@R}dkJdt z3Vv8(tqY+1##q+?bsd0tK97YJ@ia8fpeqIaYT|Ketmu?u6dsh`ALN_%!0I^P{~X(K zi_dX#ZUjtkwBFm_sNZmQ0nSp%67gQ>j!K4+chW8HJ0Wj`+}EL)aJukjrOtUqR~V ze|6q+eZB$zCVl3%C)Kb89`CQY(%QUSEOh9EY=)`L z3ZLui7%;Wa)^g??zkg5s&~yi_2=Rrsq>MS6EUvPK%@?=g!D-~06Rtm4JOTmNm+<0B zeo-j3Rc`~;a)X4W>{n+7J|m*?o`V6WSce@t*5?;T4E&Z9+GKFCC z2p$C2dehs~y5|c=u>K=SqAZQm#S-fAf@;e0YS>INA|?OZX?8C=qQJ3wh#IOZ$}POl zx2-DG@dsl}`MIq*N`*ZMgG)xhh`$=h#fnuOHcAI`NRQgzh)xN4fA%G*YL0%<;tzW?<0vg%@$t zet!$fH1sG`S^ag+gwA2vk5fs5VNXh>d494ZZu^x|bGiQ|jdtaXY}(WIBIC;$*oSa+ z_zj9=Z)1~9xxqXODcKnVg^UeQF1i(l1BIrN-{Kzd>r@!78Q>>YdajKXs<(#P(NZXH z-mqTKJ`15eUs?#0p))n8wcT37Vz2XP21@jQwvXR2Wf-k6>Gk=*8v-plc-LyV}N6erYPVyg17H$T7V6lge3wUq$7P zDj1NZ`^ja^l{1Cv0P`c!aG_LuFnLmKE;~5^1Y3|ty@JXd-u}{^)`*4gC4XX-go{Qf z2GRt=(V4UavR(u1$kxB3=c-Jb-y28WUsFZU! zFV}V3&Q}7iZLC_MO(AW;!9<^X2;F1q9T$VBlJa2u`tptOlH}-Jr8x@G7g{j`vLm@O z3TWEbn!Vw7DU91^Ik_xuJ>E&=yA%>N92Vt*E9=5zx}Xos>my~5ty z>NC~)gq_w@^M`%G5BArX?ssCc?=A!Q!&S*fEtZ;=R#m(@zzCBe zu}QCtG4;qgRt(1 z=+b&C>=R;I#G%)CD4NLo_oKQk#E3yR9&AtvZ7S_qTe&H0Ry`!b9_WgYld^i` zmHoTkHDC3~6;S0lVPwJ{zK5go_v?55Ba9PCD{qKm*aXC}3sjT;ZU<_EvyS1 zM=O*Bg8{~}RGNf^L>8=ca80CZQA`qQ32bx;+*!|q?qFAvRGWC+IPtbF8ukb#Stz{` zI7eAV!pH^!ej}s#QUJ{}MEp=|2Ti^&CdC8Xz9S6FX+ysxyYtVJRN+v673(YsuL!H! z6jVMq%lLg+1R2(g1i|1P8iuC>9tpME%4aeO1hXn(|1+Vlfp_`pVVEZ?+jCQ6L~P4R z|32!aWhFfjjQsAYl_y2>31NI7nPI>tj_5PcdAy9Sr_#CbIDYAx=07Dp&xrL#tlZV~ zmW>kw|1?-wdB#U(t?|rekovhwjhZ~HB^Z(M=@=d5Bp)mWX{1xCJ;RlJ(G4ZYcX@yz zTWgNpIx?>HF%CN^q4;=!3X@O}$v}|dHX^>&{m|M-Q`l5gV>6GTN%Hd{{^|QCnLKuy z@t;tB{@I=HDz35bG)5|f9E0qdyK#a{{@IM0su;IQTc_bIMb(HrgN+m}ZiFppAJBdl{qsO`E%+-Y4%Q}oEoq}eU-%Y!F%D+FMF~vdYv~iOT zs&)QtY`-R~Ii3Oi0yIj(ue}vmsZbaXJM!fdsON*oD-AxW(qzD>GLZT$A}V(1Uw3dl*_Vm7t-bvx{;p z+2UF<*uIa&xWu903*?{*t4Tz!7utSbws25Y{Q06!IasDM=CIO*utn`Aq}yS|gPL3} z!RbAgRH{+WXpD1+e+BaCldb93gnHS|2xaG$+v;8bD97@d1i*+!r}vjmP;E^1H8hq+EZjIS#u zR(A+s4ttRq+mi8Qx}*2r{r!HIff9tD_vbJY)TAEBBgJ~qp*rH}JV#ga>m>Ngl?6oe zEk>hQhs2MnlJ;IXiW|Xb!Ax6aFxO>{{^SpEtl6?`(K zgHC_6ykM{SdJMC@g`V|B7{!qM1^@udm5f&!NZu^Qbe@!%Tt(Ar5ki0YP|L0uQ(hMv z>jB>-Y$Q}gAQNz*e4T8)?+Y7(xipd-%5!;U*B@wQH&RXLnr4< z7nVncK5h#O3R?5D5=aGu8A5#~Ci%m-<$qWr50$Tf*j4`tqmts!9yxiESOp8Xp1}!W z`*dFO_YDH`=AX|%-d^8C7J{7)-`Z20`Zp*($;r>gIo!|U^-0x%9D*T_L@h2y9FCgM!O9X zXi$Nj#ro~_p6y}(ab+W{@la_^CyL}xS)6$OdX84Vr7!4`m z`&mlF7^K=lJlfDrj8&uJSzMtX5D<{tifZB8L@avmByk8S;)ukt&p1=wb#=$RIl_{v zuAv`Dl|b}o-WiIHwgMBlHkjzH;tkD*6Rgr+y<#(Z0bN~HxL$wpS0w4k4}!-({{HR^ zpcsrOH9?@%_SAO2Sce(tFAN4r_L0&ty*B?A51dR5^FGYzC?fe=5^t*r`0|Njq1`8J zN56X!Sh7lx^EM1Ir_SjY$q2z?J3H~P{a|#YVA@YXZR>IucPYe3RisYQ9P5aTpRbSG z0du-8Wld1ZrkW+Pwi-SXW<8Q_*H>6r7|1V_zqAEgE$l>XIiN+I$eomZr*0e?gHMW! znZ`sQFdK}F>E zB()|?{4j+O`@P)U-MI+_gq`jvJmiN9a!+C%;9T#o1GYuRo}Ws_`8H+j{$=Jhqav%| z@z=3u^SR9T<|c+S=le@NaN_=E`|c0iEaz)_Nh9wBb?L{V$#sfIWp;zt9eJg_--%i7HiHxBUuWnsEYIQ7^Itu1{Iu%RAxd6cLh<8}%jwCWk zN^8C2Y_s6`A75j%x4V+$t<49Yg^&0?+j2V^-7YnCTcNH-7>W-Fuo6Om+$5BMC3$Os9(Gj5)?4Go*spO^xG z1qzkBt2werQR2><@z$p-!J!E*wwYnf(JltE_4n}q=*U=VWo|wA5QcdE$Q6Y9iv_J1 z%d#%Cm(Opzszi$&jX!Q@e(kdlOa>#V{UxjzIx!MHV?&t0CD47R+=b2)X91YrJ!?Bh zrPxlz1vqcORCikOze~k^y#NTQLqi*I_=5eT%o@52j~i^&pep~#81XFDy_ctMDWf~F z0=7VYHM^hlJg)W23V>NCE!$snLxe__slJ`_1fmbe#Vv{ZU!Hot99JM&biefujwqK1 z`VYJ^18;9ZPoM~p0;oSvhm^Pwqb=DlfMjZC+2yfH%bVT;Xo@epi=Xg^wK1F&04rLS#BpD|_c%uorG05rmi?C^yx?(`khk)FjLfFF* zMs9Fq?~AsS%CW8W$UM%>tIXO0b%vXw`=k5AtmZ>5fAD0)PiCIUsJp3NXsFqPq|;*?H;tLn#5L8G$PG?8VrRu-b^?V4S_yt@wfDn2X!Q&5l%;!4pu_>@o|D>y-juBQ&M-4vK^%M)*FCcdEYzwJ zpgri``RQ7d9|AZdPb1+pNAl3J$?7kJavm;>y578(pEc4=k`+owIAF38Rt4eP{}34# zmKh$MsAD+wCn@bfe-BdtD2#d!Fpx{az8`P@x&Zd1^x#S7_;v0UtWx@(l!&BI12}Wl z=h3Yc)-EGPcd-ziFV-USNZjG{@*a*H*(??=FaA{F+CbqX7vG|l_& zbhSUkZkG-8S|`O%@}OD)K7`qiPYtd$i_isqh*`?L?|nZQMabuWr2ubU-WLAsHI2Uy zqgXx(&~YxMFzI|LHENO16nN{1IlsSfsU2U~_tXVgO`c#7;74jdUN(zKTN?BkojH|42OXNvg=i74mF!j_%fS(G<1zuE!;vClJu;XKCPADRq8hxdtpCZl8E@b zc%5#FM>)#F+0KVs!B;u9In_>?>Ki+&I`wJ%SklyK5ZtHL?{sgf~z3U>!r`v=cJaI1luNLJhq87&@@SR)7<^-dw_+YItYbpsXYi$u;N-@ya@f( zt5^1<09{RLEC+z?*3GEZz{Dq2#{SepU&_O?3LtqP8G14MFx)m?-^E@mqG?D5F5i6o zuxnKDFq5cQ{F;G_iERA(=Q)AGBQ~>NQR^?#Yod^aN#DR^38qIh-8HXRGxa|?y|+pf zj}jWn{iz`;L?VlW@bR)I-?5~xF7?n6w9y+h__&pN_h2P1~xE}hTK1@96y>E)ilr)bgi9lrQ}Vb-Im%Rc zp6NcT!8Pplp>QAsCkXP6n1 zpMnYC39Lt~vx?yu0STsL2nv+zrsr#LN7ze6*r5IiYLb0cR1Uz-A4Q}1*D%0l%rS0x zG0}jpef8j))F~Q!_dPXx0R-@?o#4Yp{sePq@;{{6ZxlRwnf(xNS8W9I(yoz<{j-95vS6;XMD2>X2~ zA)mukZOIm%`_6N#KLjI! z#(@!N>SMc)BYI|x;mITh*UQZ)$W?d@5S=B~%HnpN&q5>6O$y~&cd>-1By3QL6(dpy zf>eFXJcz*&xY^5!hwkdry!cukAd#zyUxlH^v#SK|DfN0do?*Y^3zV5gNH(Narr=Wn zvbxIxf55wlGHqrOHir`yD4pKVTA%JOhW;XlRI3-XuZG6PS+y4ww*xT0kT_68dd9`3 z(?G9S*g9Y@n^K#MIA0P0ee)i5dGC|yXFQ!ez~$dS@kj1#qdI@X$JYq;r=jn!Jz9S! z&`B(HWe(Cr=Hidt4|Ae=VDWUJ5_H)%U$VCR;*>*_WTg~Pf^cxx*)>9us1;8E>E1F( z-B5Y@<6UsQ?XO1Ow7k|0@3-zGlPs|AccH2^@>Ni%?Qbta`NYI?3Y*#}*?PAdE%MD` zKaX`a&G#m4S%l2+gYJrm(}TmA`qBJ`(^H^MeVQTawM)rjTHL^5+oPqtz7WFl5!W~JQTiz~X|vU^5s*qce3dLRd3NtB(`_~h>#J%|;Y?*a zDvy&fl`Q|7Ys9;Y%gE)B8yUnZ=dEr+LUYX^{L1{p?e$e}0$& z83K{$i&|UQj$9ZJL7Q2zMZgkQSx|uVXObm|xGjZG0gQ}*KRZ;l`!*tgd#88H=Q`^~miB%`K5j^Cz z9#1*U>Q-Xb<&)(Hi`-}5!Sj0cAV$54M;}s;vR9m^?J5&#=qDn4OVzm$e*t6YeFGPI zlIR}UHygO5Q+gAv+Iq#o(@GqO$6mzVg=wFk+FCK;ajg|M-) z=`=upScq*u%qS|`hKA{?ARa&;mq>IBbI-aRAhnqeNYpy}i>u^xZdS`;J@9}z*UeK8 z>$yLAw^r$ogUdvR&RHlg!J*S~NXUK5VqBE`PU;8qKeQZ%8ApUT=7Ii+2op-yAxR}} zDX-|s)5w>Djf^F6rnVl?6W_y3eB^h*9VEmi;w0iUQ}6VvG0aNS{y9Tar-*(Xtkjix z-}hkn&|1xWm24tFUGXBMHhqZui%5RP%KqY7$(3}58)h5PK6F9yGsg*ofHaK=lOKR6 zCr(eUzKY&QP%6``l$Xs0KkES*w{`5DckD@WA1P`;N3dZ9CzH+vn?lTRaYC)d6yBG9 z_;Cwl?{f;vOzTOg*>ke>&uV&GF9Gtd+1@$^h3n5x&L+2C-ar#5gX@#kG*(87`a(o# z*5s<2uTxSeBNR8Rdh;KyshlRnj=7W#@nnn8>SJ<^qhWR%{Ox2L{-~$bc9+zZTFPcP zE%#f{ZF0Kx(IHdwAQCf7{BCF=%1qREqlhGp#(bb!q7N4j?g)m|JRQyJft9ijzOjsk z2f@Rn2*~J5W%LAnm=3Y4n7q-HIr#pS@8L8BO3*PI->{v~9B1)Xc zWRMS4Oy!`Fat3^+WMK65Gw-pjk(y1YMR4Ie+TG{LQ7vA3d5YU(g_r}rFm_#^zC}0Y z11n(WiK8P88ZfNpk5PWkw)*)TJ^Wjy=B_u!{Z~%J#(@+U{|%o1&?da~yLJ1}P_mRuDATJ!x zGQ9vDyuD!o_N(yhCG}Af$=lu<9Hx;|^V942&AQ^OYk1Anmz}(1uO}Z(bSMk&!kKOe z4>Q$$je@_i_U&B|!)y0i5+Bcdju6~ra7uulIc+$IxE@l`>yClwR{`ZJh;Gqk$h+vV z0A7wm*(K4PY;S~?90)egQVv9j9ND~1o_b&b6XLCdxN>3oY(J3va|VzSom@oh{) zPhqeC)aw3%I~Pi>z3NO$Uc&)=R0`b??bbk~>3q-?h*;MNyY=Hjntji>Vlh4yLUm@f zdtDj=^y@V34KwKZ>Ue_xmZ8}IHq~^ayTcf{9|nq}9fDB;AIujdA_;K_>3}2bsC}<~0KOg9}Y?5yW z=VbNUBj+VB9I(jSpYg7dWhEeH%r~s9Pv1yFKy&J~Uh?iM0~u?zJ^o7xuPT~hR0T04 zrujA9I|N?lA3#;7IM;AqT6D`U8?Z1Dt7mEUTiToUPd<*MhbA>+a`^{bQ}dLDHn<;& z@<6>yswZ~?rWsv`hIy}Qr{6tnYa>Rdc?$fpG*8hrd;W#lAEOYa5m?aGjWY4@vkOBja`2 zq!;006S><~DIUI{E-Vl&rBG(wKod}d1~R2;y#l)wy1p?1ppNs0WY~R-CB7jkHo);& zCj%cW=+FFsQy&pzOfIWNp{tclhoIKQZxoajpmAc|f}kF*@1%1!)uI zh;0NQ#duP|nqGrN;4BoMpb@Yqd9PP;Jv#`TcVGP)#8|u+FD z7YxsZ-{E49KJLrV_+%ubME^nN3P_L`sx+VXbqluHa9{cTVxGO;f87@ORlxWCcYas6 z`X>NJh7iy38kR2m24l2EfC|7%jzubfQyG|j)^Ml93zlo2{w4FAnHMI0`(EoS(j1Hz zX>X4T!MrFqbxub5?JMBv-a-ikqEa-3n3aSLOK)LVEVh=?X22HmH!+Vbj+8?5O|lE= zBGbI(c@J6hS*#afdp?ndjv>gTELr?M9x*x2YwT+!kc(DD2Je~q$%*?`q%^^dXCO_5 z$=)qBov5$OYI$dkut&M2?i{y0@b?5IWGHvZn}MY%C)37>^KnTE!z7HxykZd}ef!7a91mw2_#-|W z*YTzPkK0&89Dyr~x;-I2rqOQ#w?MwLKJ{WRg+DCTTu`n@yQrsLqf68QM1#R%0*+{n;I>w>&q@sdtcm1`3ZTtQR&tbcMa{d>v@C(> z-%K6h_}i%6?23pGsZk4W->cF`7AInbhy!)6-;p5Z_{KhXj1PQW0tWS8lkP)w$?!YG zon73*qV#sRcY#N$##Dje=-?WgJVI-~@yLKcqkr?kSo+L#*F>4_hknQ}Fhe)oeI;Yu z%hu?*Bj&o$y^zX8Y&RLqmHc-|r+QjVOeI=Ytv&)x3XR*H#o3<*`7_9{@lO-{2}zZc z9lOd>x;SeDnK<=NV>uEYf5sd{{p$yewlgg7?#Sw(5llLU5ggbeTEL6)Vu;|ZmdZFG zo2U1=>^ z#0NnE_2k6|jr=bTZ83Bc&Bu{HNdE>|^Unf+E}C-IPlG}gh+)&Tt>bfaRm@>3t4EQB z@sF)Meq^T4>^&RgPj%-+94*)Z%H#DA$iawrvy#Y*>|7l?0NL~hJL7w%2Bl1Y`t9%2 ztUJguD<|DKAhiWJgvtNQ{decUAQ~_SY&WcM34f>Z;&+;1d?kAhA-W&Yk9G?Fsgn14 zmJsR0GrX%C9!dJKfxp~;+_V65OUQ_Zrzn)cf*>0*2GXaOO!~7?vzIF%ChvV%-=Cot zXMUy}JMp9OOb*3B{d?21IDs>~*{`(YtJfDheTSAUEMKT2uOvVr7KX|Cq^2Op81b6p z9e`PY3Bz-PH%uZkf6HOMr(bUrY7)pV5WsBZe zjX`Z)U7Kg|wmg?unywEF zvTMgc+Hp^Q`t3gbZ_t6EUJCx9!;&{cH~QC{7f`ArQVS}_cGJuv^jC(&`8w`zBq=Z1lJy795>hIf}u?bKb}pr zzqnDZx83}yFTk4$n=+wAGx(g{@mSvB=^eQKLyS_9RHy$p3(rTJKG7vB9-0r%3J$7w zs?DEI@-Cz&9Qz}rxw1?_pw)!5p#BNgNd$i5Vy!x4&d zVtZ}XT3Tj!({1CV;x|8}(6|xk0UMAXu%4s;iDE#Z>ydX#{hY>S#D4M=aV;A-K$&q# zG+b>wa_H$NA~7YpE1`Etx|5JkakKJ14Q|Zyxf$~gy z>ViW5Eb-D029Z<%x>8l z(v5zB+a3Po#>ZI8PaAh*W%xId|W;324dP$5Se&d@Oz-Z16e-y4n7hJ4XgqN#vR$ z#+YTc$ASbc(}R)Z(cQvW>Eg>We1K@kgC}q!OE_UqRJFitAOd26Bd-x3|GPMXjEjyl zu#5f<_chuB_VlNn6sE_tl|<G4lfJgX@lyPgeB||uBd-B;Ie2qCW#bmk$D~~3 zHp(1*C0jO8C>qPQ8s(IQ$51F45QoHGOUkr3ZbQ%Qc}I(yHqQ$rz4u;leWn;9`l)bF z_pzm5ql4R`HG9ipQi>h?K|wa6$)%jmvj!#Z-N)?045W9TIAfSzVfU=G z>)bO0>o_+=rW=g6o{&eyo%P;`utU-DfSG(bJYb258~0Gj7YMf-1@i|H_$W3wT7&T1 zN(EM^iCueYEW6;j#<&`C4vEl`L`2b{)Z+z?{Faj0EUrJhzZ2ZsQ`Z0C+rrbZ*}O1F z;RLvn*ozX<^S|F4@K>ZR<6c)9^ca-u21jcWIpUTM)IN+4N}qk@Fi4@TW^Ix#6T=$? z=5@?Vnf2SWe~&5yAaXFL+NqW6zK59B{4}?~v#QHh#KnK)xAG+$tg0RTr*A!2R5c$b zDxsoclSa<&vuU2b#V>dioBFfJSK=6Gt&ksd-1^nnkwUaT;1U`ips8Z}B4t>RBt+}- z%lC4TJYfh@I0J0|*#t;uKQ#1<>Tf#m!01S`->P2SOKW%=@^{iNU^-teW1-rsD&$hO ztQBPxXI>{)zgSC4=t;@&T}T-rc$9F{@!Q1eYMB;`gNBFpBw&_+L zPtya}dvQC(=hXKnaXp1|%IFkmUoK!urm5h&({f_mTc+{r`v3^@4FlCc6A09pMs%;_ zEiWzLikDh9ZQ{~N6P7QfD0K<}ck#|wQ~N<%2IV~p@f&yRJG>fNf&#%3Lz!+RMmvq~ z-vWl!-y3ZNt(knPbO~Wqe<@xpbkL{mTcLUDUA4DDuT9{Or7C29G3eK-Sv1;v`A7`U z;i`{TxD7_&?53#i?X$LB?Ii^Gf;@0x74?+DnRvnF3n=U?UO+Uz0Czd}wHW56x^O&g z3EZ~B6wcdUWAlZ)JOqEN8x#)zA4yjg76rFO=@O9c?nb($rF-ZurKCYXy1QGtTR>?f zhwc(kx=SSeY3|{>FFwo6oE>YgXw1p5cXdk#Kg>l?t-u^~3Z(r$W4rU1fP^Sxtu0NQ z&##`s>Ip$E0hF8;`KZ^W?4mjz>}4OQK2Y|yo)3}&(Vc7#Iz^Jv^iCLPZzz8NKLQ*M1iWp-;%L1b&WC9etS;57 zf*FQJu%@cdjn-qp1NXhRisURr!bNWh5Nfkx-}{0JZj;uUcq8LCs1%;P(nSLbjVQ~% zjQDx1{m;>a{`mWtA3UY}RpK3>Kt&JAFu0tJoV!W95LYszelZ3V`KOb*$*;gsr`sde zMJFveFqU!p>#vao&4H;W(w!l(F-0H2%8Ft087wAvWWgyD4DB9#@B8~WxM&{5&Y`#? z&^Atu8PL5UAv{1rk>R-+;94bn0`n6j;DK8^15YB)8pN4t1;D^bvjF25j7Gu%2p`6i zS{C;%C`8MYl=9g-*W)}>D_`ti))#c|fvzU*UoUJWyFnfUmAZe>;~pipyO`P$iy_^t zJCM*vEqlnPVm7K$aQrMZLo_o5G%Dh|1c4mqHap!bgpGDB;M?sP0mIOdv$Fmo#>O&0 z{mBl&M>f(*ZKrzT9qcs*669;6HRI)yCu`4EyRM3|}V_m=jB0+wEg5K`= z=MKcA$uhH4?7p3mYzLE?wYa?ueEI*6*bbnoPNb@A!50BIp%j4s}7Qy zP)m7yiLg|xm;qmi1s|FwtnnPK=sH5T#m=SCrIO|F=JP~TBS%snXvPAzvvh&_#>R2F z2Wqh)BQ~L$2n!;LSPy62ZtNvz-(bJ_8Z&+Fi*QZDinRg2@CCOgiHM#*C>da())>VA zm~oo{4d>^am2-d%R~iN_*tZQTfg=Q)xFQoXwbHZX71&xLcvulu)c+}PzAE+RSfoKT zggUmnC~J}_rtRWOD8!`f(V1$Ka`wgBY=GbFOSB+|bNN+-=764@F&dlkmuI!QwAK4g z0%@|ARZr4*k^^Y5{5ZEl#JJhdwjE5+iD(zFt z_ETVsOhMO91o@o{(lb{9CfWgN!OuHx*3$5puZkQsCrs&@@%unTvkCs}uy%^`|GJ<3 zKxwa`H5CP=)f6qeDm9PG63J*l3=Fq}S5;A9vSSVK0sa=?-9bEm!QeW7an3_q<45Yb z)*n3^19NR38Pz8c#0U5ZAIegA1rr(cW?KY9JODqoVWyOKf0EMotQYV<;;k_#MD?ua zZ^}{Dh~9`RY&$g+>w>qn1V@c-g#W4!;V)ueAnTY?elo!=$*~PM$rVEjQbdFG6@0`Q~Dc^?3MXNhh6XQ6r#RD;lv#FzNa2M%}+ z(=MZ};irm=#o8?l+SNwunCxuJm*i9`%#)M#Zqo{yI$M zt4>1rd+-$<&lDp+KvD)pQ$Rn!`3N9GX5bs`mz;_tF-&~ z%OUDIZRln!VN~L!%;-Rj%vQ`SpTaI<_i;8963@XRzV5XhCxhjlOT`?L8>ddv3S0LQ z4Z8>m$$XRbF4aai(7bAzePXg#aYQ$YiuRyYJ=HH_%)|Vg>Z0ommyNOAD%mXq3}hJ_MLMb4I^52z1h;mtEZi5HM>d=cSy^UdpuGiZ9)edKaG zc2ZD%A$K*!NFvswRi+`}-Apu=|HJtZA}i*@NdIAw3|?}A5=~^RBPR4HjBCF4w!vK-ggFJ_tHQ4uP4>7(G#yG;J3uxw z+FepG&>VCJLl)XB*P+%%nlR+pUi9UXX$8>s*q5%eP@w~$SOQA@&z*30c^+XMvqz#r z985wB-Pz}u=1YxDu1QBz;n zUliX{$>-ZT3gaTRpECibN~sbwecW-c=IA8%aQUF0`hAc!{Axk8764uVnvkzE8M34p*}N+K06<#7_Y4w)Niu!NH0Ggm+F%h*5E9@&ZVH+u&` z8n8#f1q%BboIOg{$3-)RB2eEyDb%6zI?mM-s7==#-+2#p_0wMyO4@kJ4pLZ7Y1MT}hnoqFI zYI{UfvWyUNl%da4W<0BPcmX*xd!J>UgHLH%Q5Xd6?^9r_?+Hat}Og zHL>e?K+zNioRX{k^_jqFKziYy1r!!{8QE1X!0ScX$h$GTYr^4buTb*hJ|9ElD!@)D zU6xg0kqYZ15n7XrB^9W1$CkN8;W@wd1rv%4Rir5j9{?Eu3Fg)F?1+FTxg38Wk_A;u zGTf%Q%zG8i7$=KP8E_V2-NIlAdX|1ImfES!?D8>n2doy3Geu#db&gVtkEs~eBLF#- z{sw3x8EcveBPFVYMlN3C00myAgipo`4PnN(&Cn3yX$2D0?ySBSZV{bz1y<(>q1GkyBL z^aXSkY5(ZZT#kH@85_ZgNTnCnh)JzRd|su_@pBWtVcs28{R(9i)$ZLIR* zb?NM8TwAyb{T(9;BgF3|>g^^q@j$5g!xiVRvq%GtML#_^aKZph@5;SO58R(JI0Qbl zz5b3)7@b16B}R^2fH5&|=huG0wu%&W>$YnCwdOx4u3EjSjQ#~Dc4146bdk?GxfbUB z3gAzaa_Kbw)--0<@rJ6p6e(wT#pC>DoLBl|iWWaq3}@?M7>FWPmK0g)F=Jf$N9G{C zohq3P=FUohx@S&CUv@2AtLaTFtzz1&qC@Z4L6M;ih}QnzxC8#CP0dB18$mQKEu)8? z$EXM6RU!2<%|*rQRk2m?<+p1)#`w~CCZb`{>1{CH=fzqbF@sIE-1#4hLcg35QUMvU_okclSQOk_15vb&C1w*%jro%SSJF z6dcV&rGpve>{~|RaTC3|r4orxw6gjl<4~AiB?>9>g_pu$tp}K>6cj;ojn1z+?Vl1Z z*Iet(rPgPMm1;(V+Glztll} zn_Co4zFhbwX=HejXniBrXuk*1(DtTgJzj`CwoGEWf6JHef}WDGDybh2X>D%>11e03(c2BorKEk3Rx+$EZ(AV#L}z>$HPk@5`KM)l z4G_}7WUu*|DW9r>cB7B}pepo9n+6?7ra>}*M#Q_M>f=I%U)*B9hbjSaXNae2bL4QpfWc zqU1jiWkgi%dIY9G$Bx0;C%w%%;82=PW*wJeihwxExRh4vK=R>N8KY%y6NT@YWOyPL z?(Wd$%sgPOW`MnY|M?ieVU~`ATk>&6x-{dfLY|J;rYp?ONL4i^KZKvXu521Eo8!f< zrlqC6w9uWCY8TcWy_v>xMCICeZg?Lz_TzF=g#O*+?b3@U*tn@#FiN(c3?A* z1)tHc4bafNkX990mN!>&4>5S;22yB`;L2_;LEPr=LTTh@#b#1#IL-RX`*~r;H=dvH z@N$ST4`5&)Lth^$ZtUqCDlqKPwiB}H!E7;MjrY-$59+S+JX;e;G6jHHt3Bg{8M!P| zQ!TLe?Kh3aoOFS^IhEnMIyTSA%wSayAIu^T6M{?YaEXSM@)`kkXN8~A5%QAHT+Qf0 zj|#d?QlWnn!_)(@zbQf{_Rp(9g8-XpYUgdWjlPkOh2XH(U(AN_ou?xFD|R4)&r?bf zd)uG2oI5w_*IMM*>IpcqRrg4pP;vUWDJ8eSFgzD$>R6m#zI=l`q|f|s_AKEs*6^*r zVwuTBU!+{KHcId*QkbDQJkrd$Se_z$^nxJn=L})_0Ap~VJda z1CRWUuE#P&_xM|*1u(>E!oy6LP5e9?yTX#M<9ozr6dffYdIUQ08$}rImJJ+|`XX~x z`YjpW6)(ZXRxRbyOOd)@hmF~^)p-2jP9Ov4{;C{J(tubmoA|)2IZKr%aru0w^E_(Moa(RL|y4sQqdrW%JuU4RubCl2X7d@kGhu zyX>-j@!Ju#XeA9w|83HBrn=UET>Ep8yT_F0?pp*>wpO*Mz589yYf?~Yc7MVdU7OGT zu!veI{OL&e{mS@`#?Zl=L}QS2_k8K*c<+nTyY!u4B(($~&l93V$Rsb=j0l_tQOhMZ z!bu%%=E^YecD?Y)remV!EHKoe!Q2U0pAZzhGZ9l^Fwv$6*bNFgqRRk@xE0~0~gjJo&)#g*}^;#QkdV2V> zmErh5fsFIu`1+%IC5443Bl%kZznuTJ>=_zVp&*&0B^F*J)#K2#;EW?Dh%&k{lb5vm zX8X+Mts7VfT;B*JTi23An3Wis8*weS^yyMHe#0!(cUMBUvsij=$+v%HB>~H#B*y1i~ zhc!S6qHKCh1hF(A%NS2-!1!2GdYi~9Z8~5flg(ymPUH6ku6wWI9*tyqN ziA3161^@Omuk((lz`5D5Kj22kF>}^`>=t(kukEu@N|db@%#$HFAo6a$l!cn|Uegs7 zJ&0mU1>-0ahex&-P&SO{ugzq*nO$+<1rM8&$`n=DiZ{Da$<#MSgH~{a9?+{|H&$JS$f;%EFKh`~&vA4B0qpnZHp!Vun9xU5dI1|6vv6s3qP4fNwz@g%N#1-Yy$CI`aZXbBe=db(q7ZbPi&*$3Ar`NFZTspUKO zSqMVgx5#0~iTUjC{xQA2V_-~R-`FYku{C*GohL_$%~O*0Z|9i}Dh0gjFkQ1NXN^y7_uns)>P^86pL z6q6z#!pGuIq8Fwak3*jX+H$B;z5S4aer@2J(YH!~Hei{UNe-Zo5M zO=3wC+=$B2gwcetyGQZtEcQ`sy7d?=km2#D*&yA=kt`G1iIuYI zMAnm=!RkE4{@`1Ya}DCL{-JmYb=C;3IITnx9Nw9q}c`D6dt&FBfsZrEKBK$(_8A_?vzL%#Yuj< zsuJAAFe-3%6-c1L6mx#fMS}?0S*4u##))qA3X_)SPDW~z9q$-jay*U!f2uI4n zogE}V>zUTtYKP{j{C9g2gS^ioT9UYfCt)1(KOmEvt58z-G@$=N?m(&YV_eKy;f38 zbp_S~+jMu|CWT}E!nQO{GRFj2Lj`}YD1~0{G0hJ@HNk2=7V?E@wW7$E<&2wD#yFw<8xD zejgH-m|{QhMP)v+V^r{=nQMcyyoZdL!Xx27tp;j-(>N`1?bA$Z5}oC5|K2Fye9aX5 z^L;M(Z0GA9>nfE(jy#-Rfr>xZDdriahU9NLZYE>Glb#>o(2<-?g6IWSzFQnFQkYsr zsS^~rG(=GY6Rb`zPH{F25ec8vf`&f+QB5M$3uI|I@grMj_sf z)jJX7Gs-7xqNyQpYw}=+W0H^3TcGJbX^t9v@s-hg^U6cx7|zN458&L&a>Yp8w6AeO z_bLo(ijy=C5nKnA0;V$>gE!F4*0rrNP~Mn~;5`MjdHUeJg0SFL`W;X!3Q?O9n8xX+ zUSy;~!{)KM@D*!z=@d09o8TyjE{V1XmkzK69-PQvQO5E{QnM-6vL-ZO4*G>(#_R0HkF<&U}wpsmbvkF@?Ttccad% z9-3;l5}RlH<*%&pv^K@*+Zu0h-0COp#Qr=V;0?PQPtMoyv)z&OYY?5O|25FTADw)g z@}xXXa{bIiIEYjNHy2`)7L&f#M`MrC8Oi1{8Xqm#tgds(grb#HTS{aBw)#ZH;NmQ( zQYA;TQnIi{>btk}>AF*Z*RG5jtw+~a`6eQo>)$Wx>ATaSvrgPk^mAl?sWY2wl`-XiBNH6!8ubiRd8!yP9GN9fadYw{9jkJ z=rJLoSpX#CMAW{i``iduqjej-^|J3dpX9QE`(#?xi-WR>`;07_G#`~`>IFWL%?st# zDv_6%3z`7W){}~d+9;1OvVE0h;3TCS^$wv8)xVn2KmESBok5_7o*1=#=?*`0Ec{A` z(&kt^0Puso7=*j(K7|rpY}B{K;Z*utrn`g{h7!d zhwa5O4-hG4vW3Sv-B)&TBML`?qGf zNcNh^0yRn&ag;e*c~c}ykGJdiDl$#FeIA?P40shdM1t#seIi%Vv$cK7wM!O*- z*L;6*^bhCG!N~(!AQyw8DX|*-BR-6R&gH}1&JS)r;$@{=eCdyOQwZOCe~5hoq@bq~ zj8c2!-@fGH{$va{{ug0_uWA0_Lv4?J4o&Udq@Cp=3KYb>=U)sWGV>kk3F0t=0u81F^W5J0lM%<7x^&e+?dM>96BXYZBOMn>G+D*Y zP3uoSFxdX((Ky@ht!hAeb}^U<34W_MJF)3{;3_TNez5{K8ETzkw`ZDEqRsanvO%9+ z+cHbgYy<9pua72keWk+CZ2K0`*vH<6Bve|Ma=x}x!teb75XD@Wa9lQ&b5Qk?z6_aD z=I~=S$GpDHtdC~CTPZlVHtvkw9$X0|eZ}F@+mwut73ZKHjB+M-@;SP`v|%Z-V9om8 zn$P?iR0z17Ogc^u37gt|qB4go$`J8UyN#@Am_xmJDqXIq1`D{IY`d`u^O^&Afa!V% zEArjs^(h8pm9Xm6Fx?QAG8?2-aOr;Is%DNM)jt!)`wvXYCELhnn~f9Ea$6vJ!_7XnTD$ zhwF=}3kRJAzv8miG+YUM^E$gZG;|i{{~>jj602NPxKxzs%Hj}#yy5)WyQiz z3SS}w5eba~y-(M>yVn9s5kx6}4v_Y5Mduk@DdL^&rxx2;C3S4(Nrh9)rsOPL;wl$* zh(DbtOo?79lff_4{D)zysZ)&?ow3?F^rlRy;Yu_13eAhW}I3RUqwbezX z6_MKLaJQ@X z<==+H51>2yQpJDpNXbZA=8fl=MOo`kzvefoESYa{Dewb7_JO!zb9R(N5vj_GI&2=?{_?H@Q^s}3`5iJp z6F{J)hB==CtGHT3jKJhQikzq&D0EH%+m9dug=OeTr#31r`}fKK=iUl!M~|A~Z_M%P z4I)vMY2;M(K-pWS-(dmz#R3`#0}QsU|KaNzxqyU5xB6KbY@ajFk>I{t<&)n%ZYBIy z37n|NH0tqI(R~A42q$`r;VI{6$$rLyVv8HKojF}KWnxFWO2Keq|2_}2AU(p7Ae*UZ z)+0tFT?0*}`Z8|yrQyjd$q_|5Oa;rh00PUXV!$(qWXmjezL@|lV2Bck`{{CVlBd6F zWx|~DSeVDslltnQA4d_{tM^SU6f7%|bK#}2HaTREt-I-l(+LkFN}~B4gNmEcUzNi6 zGxa*r!)CdX)b{Mgh2`uLMn2EVzzRhP$recXSP*VOoWq)UBetY|fzs6h4g`24hZzw= zbZ3Gb$P)O@-9%aFcxn1a(Qvvy9{>IJh1pK7r~4#IiId*Z$@=dsD7r^6v%2OsQ~1Z) zZFP>Rm273-=BqAF8l4)wpv^Y|#f!q1p+OZ%R8htjQv^M~+uS}>cGmeB*nP}n`db_( z4ze3&ssKG&*Xnow76EHz$}Em*m4s#4Cx2!V)0Bzrsab1}bJDZy@bm?GPwMLHu^Dqg zb>{`$;+k-FdG14JR9Qu-O%gY?O(eG!TzP^B!+9GNcnhQDq!F(<*pI1BR@Az8_9=}9 zDdlKC<=^R(iy}Y9n1!qD4pu|^G6Q5!Z4pK^q0Fu3@OTL=x zq*fWV^G1UqAguRdlcahbg@@^bDJAww;#PKR?5p3F1m${clp#=~z?56#%QX}-wLY!= z*Y#g9Y*9t-|lwl&!FCbmybhFu~R0-_}z}^W|5CaFB{E9nR6jrF?>z!He8wQp`jAbpt zX{+(N4nuzS8jWgdSo~Q81Lw>)me0xv?_Hdp+Fb5-YF%8auE%%#rS{KH{kT|M(HRvAD5d-8Hd~(Hy+p&sW2G zt;xfG6CO~O=TiM46d{En1%1Fi%0o@=Cvn(g@D+mYXPg~q=5uf-n{ z1-*hh)sHnhkOU-PKSHDd2-x2EVr+W2%Ew&Yix-B6-dfn|iY)y~xblss(adGQJ_+$7 zar}RP&EmNe|3{j>z;*11XgrqOb)(iR!Sed3_Crde@IL`oXD=Zs*LnJ3Vnz#@d|c)S zlf*pVpdS{PouX2xw~P@#f8W$Q)?X8U$dUA@al4=r=yqS2vNG^^>RLzSY*NZtXmWhB zw$Uj37{00Shb#pC_WA<6#J%?o;}{(sK*V~>U;c4tHW0j7bxdzl8%T4_-e%{EA&L0? z@>Kn+1sDB@=`^Nl#ILiO+VlpNA~xvi!tZUYP%|zSPNH*+;JhrwKk`-{A2SQ`qWg%*Y?df28rl_^S=eWJT8M>Q>6~E7r4 zON`5_Xa6eHN_6+MyV@9kE`U|Fap$l_WLcB?dgh%n5%0%ajPt2lsMX;QwD^2 z_n6|ZC&_T%dVh7iZw!D{GoCeEjq!u`YMP9U7u3|MeCu%pNy~=$RJ);)!yg}aDa0X} zk~&w9Yi_aah_E-S#QCx%EL?kluR5hN7pNmp+_v0V?jll#sntvSfmm?k^IJylavwnI z-Fo9b4ec1liHK!Xir5OZM~d6Vvt(Ut#Egv@^j?wkuHzR67K4_~1-yc-bS&KJ(*1TH zgrQe>&0N8S?`S#a&X{yk%oWP_pIA5Brz0#7bdJR%V-6p?rp_~`)NZlWEVoiqhPI#| zU+-%4B*Gnwoe77iU?bRu9qneA){*!DwusWypp)}E?`49 zL8Nuq-76j;Vpfi{BQk&Gy4%vNkRLgUJ&NnD{{jBkgt4|XMi(i@**_p6hlze@G9xP1 z6I8I~lOB5CtZCwqg&8nAm0Q|a0ucx<{(Us&N|(1pUXTBXW(?vM;8TfO=Txd>e{Y=pJ;P&sAK48}8IMup9NNPACV zdDtj?%3Rq-?RpxH&K?E-P8S)9@Kp%1}B(g0=>%pO5g^B!f&cRKAg zgX>c0RrL=7FvwddP`Wj?VGqTBwEr2ddr}&YkJFp;=>%i?WkPTIR zXr!uZIkWMXiaYAieZa}8ck05mAMlF1m;2JZ&nv{IGW&fnd!D{_nRjH4R|j!9RofHG z3R^wN+RD@l8^Ic1-IF2k1i=bfKh=1dP>U5W6%yy-&8q?AMw6Gq|GBm9=ZW+?f7rvk z?0D<@ykX?ebJ?-Jd+E*Dz5eO;*J9T@=A@5p<20=`wK}&zju)H+a)!=G2DkqGc3N~w zROFFv8f1>}hjkcafTX=mg!|SyvIurVL#H22;~uc3N+$>uAD~#``r;nQEW>&Q@|o}E zq6PAS%;_Ur;87$ttr2qJX|Xi-c9wi#e#5%#DG!|`C;7W4aM3#B%%1!!M5S8>t=1+V z&^Z=E)f6iI^z`5z#qYW!?@vss>3*W=;CsX>2=~a@5roRRV_H@j{hQBh z6;Fgq@sFf8j6bbWw&aeWp z91`VXs=P8k-!==EMdCsVYNS%OI1JsXJXmac@gP&hwG1vzh^T{g)-dWvqU(Gf8`}z8z1qu#7NKC{DcGhvBdgRdK@T zACf!%4!+R?ObgLR?ez<1I@xna3wG6(T&^dtQ{GBd?-U)QA5Hn#=ZyV6nkD?YM0dvY z^ky}kGoqG5LCkQw9t4<&#P^aMYRmeg8XE8YvYV&;y*Nx;sWBkCqL27I%UCjO`Y>Rc zXig*gU-yKOp|MXTgNu@Fv3&8@uO>c+^zvH*O~E&tT3aeIcmG{lSHq%2-b{Y#k`8Ie zYCCzA)YL3&f5$sLEjycU3|xlb6JcXnM)WUBs4z97BD^pV-t(y-MoKq!&)O%c<_K=S zT{I04bCR;!lX^6-Cf1YBY{G3#lA2hO%-z0W7q}967e_OI=#N$hyh9^I08L4?y!5`8 zGjLk4xLIoKz`aZdW=ef^ftRzvK&eLIFPP*zsTX9^M4o7yQxiVEgLWH^e6pd`#~nha z2L8;y-r$K|gSqVT%ONnydl%NS=EJI>oM+sO-sSO;50()CED!#KA-1=nx?e*<*LLbaMI1So2+&2LrXFsAT~B{%2HbCOpGw zbxdKXpG9t@o&4I;GQQodTVi#w{MM2jho{z}NnU$&^|LRbmIBG+S%i41V}`KYT4Eu5 zZ$YvbWfcsiO5(4({OLGcv{+19W`WhA1L*ZV2LXP#ELk*XX~C@8_=?O)!}n8|bT^~e z9Q_rvjTLY^19=5J_kS@n{@^Z`XxJ%n`$bO1rmusl^Ce3XXX) zI!qS0D=!AY+}3rR-em;b5_VRi0t^EXsO`JYsG^VDzd9HEK~f#fB$?dgCS@{;U%zl+0|?d@nygd`LibDi znvhISxHQL1A@K-@bP*~{mz`CejFJ@s=Q30$aIcQ?JeV$I!TeNKJLx*%6N?A*IVC5U zhPmqs8+arKt$W$_5(t$k_YcwEYmwdp!?ST;x01;#796}f7b~KTTyAScuw{(cdTo&w z3gAr9RkHj#S}Gy8h7kk)es11BlbRQ}1s)3ojn!EiW*D@cjZP$rG{kRuUxQx&HDs&z zD?>MM4x2;~-FZUQ&S$Tl>tlBo(t|w%e zD}?=He5*Obhdz#Yjx^iP*1-eo2b5aNT4$k6u$hgP$fmjGgGB>B!r@|-wmmQ!xLy4Z z^(R-iz>0H!{=-Oq>oZUSy9QjPHZQzkRNYh{e5eSJFBY5`RP)2OGHG_m8b_1_J_?&U z+}7ZS#)r^9R0y`Mxp(F_)!Q%lX07=}kk1 za0)~=f@VE1Bt-8`>|&b9Vm=?~)k+`$4*7_6zR8b$f#m>59W~HdzIZo~eM8~DMG=Rq zJrrZTs&9Cbt|}0E?(+?45IkmTUr_G0k+avuUmH69xYJ0puTjikMNR#FzN z(+Tz=vs)0H(V$v!mDPM&{8=NJ?H*Kt?R9V%kIE{z5tL{H!UC71~~nx4GuV5WeLobxk8v8A(p$5sf;Py zhzd(bOTisdhUsY~!DPRPUOg$fr`c9-GPVqBxURck5y?XTrW`bIwW7ymOuCf$wi@&@ z&skpqt9;~uGtSQ!;3PEthRr$Z54bB2xI6vSJtlG-K!atHB*B7OuvZdY7YN^@14lB!wvRFj^g~jP03)d> zYBumXpchjP}nqK_LA-gfd2n_wr{e&h>6hJNS3+|x0){D_5t z(d-9IB$|TNLtFYJbgH`bG;xL59r(&~Jn(KxqYFy+;}C20TAN7-W0)+ypsP~4=Vjm+ z5-XWQYx6M_O;jIQzZFmm$v4ox4fUu*_^Q~(4O+x7d&^3&@_;jbgmkd`-!*b8Yl@Bd zv|$`V7r%wqf~>~F@bD`CB)q8fWyQ2yXLN>8(@F!XgaVDxfQX<|xS36sli*f{X|AXkp8jbVz%_xz+zn=8_JlaCp^DPCNP9{E&i6F%DfRj=e7XqOWJIT=6;T_g*lwfLN=%#6-_U+Ci(ElKMm=0g zSIN1t&B-?`42S-uqy&01U*ORpq=vREMhk0sG4^>hHOdo z?rAyyaak?kZf?91uc4f&s1p?LEPLAczKi=Zq|bxDkHE{LUN&-uLUSbzfi{b6d zlFLmwfAAr=9^AcO@K~@~-i|qN-mLXJ+L}tAIq~c6LWBHD z3#i~2H>{Xs<72sV^q%kNb&*QZq-qX?(PzG zZ6HaBv+e5?ppO1dob>GRzpHbH0*wa{qhRog-XVrX<28}h~x`r~|-VC?RnWGPNb2b!SwSU+IU zNZ;dxvc|Fumm;uyN;J*6hw%VH!&5>NFKWW+c=%>Iyrcc3Fs++cwUMjnX9amtDSV;n zPv@|OHi&)NAG~62hH+$Y@1rH&cONn|e6~9LI5Eo17AW5ZWS>tLoX^Q}fw~J-==}^C zYrTe$A>Nak`&YORFBRR+0880|PW*ya=2-#<5Z9GA>2L-knlq{C4WjO+EzfR;0U%@v}7P^6HW= z-s5x(J0GAsG2s$F?q5>%nlt7)MOQv)1EnXHYV^xGceihiDo)pAb_XNT^gvD5> zUAiHwsl=1dTkc6vBxwFfmW_|B0N1=V*D-cFSeojqL3=s`T*k#EyUg2%%c*kHyJ5ku zp2z3YzfbY8N%yy_YZ%|QeQM3*4V&y_mG`(gHTVHpG{)iPQvBI%B7@e}j|m+{7A#Uv zAWg%h=vL!2WQ+zCJu1AI)vB64+r)0Mj{M7^>ABG!8|I_(Yv=WV`<8(Fgy)+C2iv=| zp{1BKzSiTqjuMtH`cKhj9$&-*-eOz=MC-U*{E_(*xX9AElik3Sg7U8{s6C&U+?)7Q zuObhR^#`sLUQ1g2(esbLey+g2n@C*uz&~k+W$0DR)}4#fXCQp7k+7K--Y1Z_r(R1kh;HB+%7Q z_JXT&-VJnhJc;J*468Igfbv+%!B^AvCQ==56GltMd~GSQexsSK*wzL2Np{Nu{~Cn0 zGnn#xhegEw1zh#9Z?-9)iKtao@L3QiG$gMKyC5z(C<)GpqId9}-uWANq{R(IXL7oi=GGPI+%#KNS%s=gXn%5Z9P^CaW|E--T-E+(=Uif*D#*o zzWp>-SnNb8({VQJr-&qHCSx_U{xGqAbL2&8;&<88|AR%}DQj-=TkOZIW)j6|2Y(?q z67RiyNS_XCSNr(q!Rn(i$N$Lxc65_9gT|kd_i4pNuB(|1SC`BNQMvu(A>~LS|q!R!E&Ln!v znk=Sd6M~F6>nq5%VadjX>;?3loM=o8vf?!pt#m4#+&MR4VAe8 zTU0G`YWBq06~gMx6}kA<+LAH9M>Elh7l*O&uhW)j>lM$tgzq!TGk%>5rl(A!3v1z6 ztLR7lfzD)6QgcS>tG*u)B@hEes>Nl?)yNVtq#KCy;-D))-{)JIh>{wDk{jqgW&iaD z!Ena6nD}3c(z!K1WODzNt#3n5Qn6&+IWbv2NTAZe?qPx+72}8F%egzH1#fs=c@WB~ z^3#JXXZ~qWpsTm`Rf3U)#Q2=oi^mO!WHJl>I_dMJpZQ=7sIe5&S4=M}6ZA@PN~eIs zoLde-_3I?O?~GZIgQ&O+(ED?;ZeD8H3`Gfy3_=%Q3h3mb=vgK*YubyW12feLaE7IE zHHwPk2-2p{8%gDOch=JV$j*ST1P(^H8s;_^Z+{!r2f44}lRk~DcRKw|Uqj7-YXb+n z>Q-DY1vD;;zt;NFIic|Q-*b%V&lodsh9Xmf6QB3RpW8UMf~#+k^jqe}x3oN^#g|_7 zV8A}2&Vn^XUg324+fd}b%fu7#9zl6$GXqR+3hS4~gAOLREVd={NvinOSWYS>|C_m0 zU&NBG*LzI0^qc1R>6S9CFWi=~v^t;Bh??vI5RWzo{k^Z46rvTM;~3`grMOg#-4K$U zXBnqEaz14G^M3rrdqz_tM%t3tT$Xfs!XqL+ZxbQ1+` zzgPO9$+nM!O*)6U?X&K?+lZJ_Wi?|>L__0bA9xB=fjbM-=e%pI0_DH4&c&#vaE2;| zu41ln5$)jiBs&by$0{QH`k4()yrR@1Dr;-l<#3ufZjMVQMt#IzLmHe`=sj2aR)%CJTWCGyNv@3lVLeO0(^pFDz7aivN4-n?>bq;Wey3A& z({W-t;35Sk-n5PE0(Jvz_F$sAIsaW=1Z;^~&~+t+LUz?867Q)T1(-QF2-xv`3~TYf z@PDMqd_Ma6bOiX*L1uL4*5nPCS0a&Alk@(J+7=u>WJX^^ZttuEO{mIF`Aw5V%n5A0Q z1?DyHRD#WI;Buq%TH*xMSZ7MBS?fR2Y+v8sLA=0A(~mfeM1yY!IZedn>}`xS?!`Qo zO-^Cx8myb zz0IihxQup894m)G@DEi5a>!%!A>dHKaXof-1gNaMnf^Mz#}`e0-GKcNILMkv?~r@~ z%%A%t)i)-w%e2A^t{ zye;{-(&_?i=r6po%^i#*2cVrd`COLoN?<%MC9U57$x%O~DT*XB?X3qUR<&EB(>ssa zu5`hf>nSX6cmC6M`UN+H=CT%45Uqk;?PXX(HFqs~mEH+NNWk;A15y>AG9M_k6@oL3 zYF&T1V}!pbmq_0prVj)FFNV@cC1~d_=As7Kn#mV%%n1o7Tg#lR5C~)^#sZESXTHv8 zO)J$15+1xlRmF2pJZi*+25LF3R3qnq)PYJ=y3JB2isR;yhh&iU6SKt41ppblZn}7y zoH^s(Nw&OJNdtRtht$=P_l7=ZNHst4$!kiV1L`6lnW_T>^1g4aZpzl34vz~mL^i>a z350r5V+98(qqV$K4MukOZiiW$8W7Goo%^P7u?fYDOf?hRdYq!Hz14m0e3(@Ih^3C2 ztAtrCD64KMtEu`kFl3Oj9pYD)FL!-KKPziTglC`|Dm_N16((PRCBrt7`k&mvbf8a^ ziVLdcFs0mYkc&re|JA+p_d0gnzV8$Hm)U-C?>8`8J~~78!1xFBNx}#%^;N5PKDQqV@%mYid;I}mT`vJj zyLH4>&B`i`=~ZlGbchcRF7$)+2`RlQy@RUIz-ocwIH?uoY1h3Y^VI787IGXRUuj>d zeQ94ERH_?oV&fv>uD4}~z_6|OC0FYz0Jx>kzhE0;K37cT_(x?t3+!e4X^yFs8uPpV zee<;a%%&M`YwH|2kC|GnE)OM(%6etNWjx&{+w=G4m^?Cb8NNqX0r z2Ps(*9rZ&rzujh`x3aNu$p+01#7<@d_`9ap)+M^FonZU)C6HbPJ_B$#vo9`*rXnt= zLbSoFlcmvkf_@F^jtzy13mRfq|A5G=H4XfOR+ayF@}af($SpxBK;te}XdptAW1C$; z%z;kGYYmLxiXWS^QAah@(@d@6xDZ7kTR<;o-S9u2zA`M!E!tMPyO9Rz7Nom7rKB5_ zMg#<;yBkDlq)SRtDG7sALZp!p1wu+{i_53yQQD0~IW5|*o3mwL$&nB4;E@Yj$;mv$8@k~a>8ov0sNEGvrPQs6y z;v3Ex*$D_qBu-Z<Z>^`hmS0Xy|B!0H48 zU4%6r1rC>RtO9HDLk91`tZs(0n)QwnSDsD_QDN7aSbRpKJ7*whQFXfOpJMu#l>K$= zFyQ(yWp_YO7VcIjreLd z`R$vfE&`s3{lbMf<>%U5rtX$?_te@!f?-u;V~VNMhQz2)*nAQ_8~>BpNNFZaL(4||%%ONrxSp-f++HumMg06`jG(%{*F z9C~+*TIChh5ENxb zi3lbYRFjY=wpG7~A84fACsLIjq&fs9Vf{8!LOu@!?hD`;^P{a>liG5jL|WEqsQj@! z7P}k{8@9hoc}D`qS>>Gd3W@uTYny4vTt^*AK|3X%(}am^MJe^IP>V+X0+MEv8onrd zzi&v89jjgmET36~1;HwXQ#-RKeG}|#EC9EUMSi1y33dUZ*C5IGY`ZvSY~Fgq^?Ztg z+Yg3?Ae)TkGOUt1V$k>+Bx!d2Ma{L)I*14qE#Q2XI~COTcbq3;f~3ikApE#9 z{~Do)W(gKV@?o_mW+Q@)Q%7dX3Y@#Dy+8cx4dm4y`>9>M9~c{6Jm$ z{X%-aJ{Vf+K@O>O7t@!GCUu~Z4)O>2g4%+ado&C+z@!IrWe8I|q`CU3v}*}Yxrdn% zak=;0sN?R-%H0u$%?${*)1r%LCTaKit3z2uhXk-M`ap(of`e7KxI31M*|rSZSy5g8 zvv`}wGQOjp7*R7Qyo;**g{Kg8gpDh)eeRz=8v2$ua}xAKy(x-GQ!6^njvh##t)O&b zI@$^}o&%X4w%EI%bcPueHz~P-zSkL1U-lb*No_nXPEK#yNOEtpVVAgBm$|V6Y7gi}Q0N_V&cweV1jLj# z)2Q;5w6PPXEx4UF#ppv_x9#a{&YtpIT|a@DUx`L~q*u+eUq|6(@QLYw;dJYGE669Z z!7E8{A@CZUfHLL7EC3 z6(qs(G1F5mG8?11u3MY!gKAFOU0{m?6{mQ>5z88(p4XZ_lGG}jqzSsTFDSq;;5ayw zuB88rLN8`2R_Jg~opVuztIy6CUGUbL}B zh{gwZsLO4*+kaH)z5Z~=bSECrRq{d9uO?J6-D^YckKUSi9||E!mjEg34d1+~w%&Oz zj)>Ez0!SI-6RMU9D26_gg4|e}u;s2`14uo~386p}LZ&w#27UK_-!L~C~LBm6#^QkkAvBHPvP0&jPh@9jiW(*(AyPBTShmVufc>Wff)?w$b9 zS((?L8o7mNb1JxUAFhfc9B5cO-XJto7i%kTWl%#8#~GW0UzD|JQ}P#K&7qVjWV0rO zltnStHH7e+22yv?{Z?4VKe*UyNGL&2`e3EwEL@BeQ%*lUU+>SJ)ju;X#7({(r|QF* zpm?|}?}hhM#(Zs|(|5;Kltm&(D(LLMI#oVkEbNS>)rc|~DBJHJu;kqU9e*kbEo})> zO>W|=pGJS+NCO{{W{fOx-&< z*zsFlbvxVyd z{n21A*t@S^pDwFlvv7@WfV9Tvf3-W}TZU&*Py)E3DX1SIYRj~BsJl}y%`&fI^)U^D zdHH}OU3L>muYWR6_BEpDVP|p2wDR^Ah&d-0mhJ3KI7&27k zp5YHr;Rn8AToy>$b~A?dL^}er7>!ZY)~5B-@99?r&5l91@1dljngUitBQe^ck)9pVgKyu@@VhA)zJT33Sb2AxGcEej@|i38*fA->7PoTjtOBY z>BSd&3~W&LDyOVj`}EJaHuefCL0G?@?n6xv<7e9Lfcg@l_-TiBcoOz#;)l=)2u05< zo5mzsV7@)%Bo@>9Ss{3R}D%hlR)_*tnAC z9sf1A^8^mUaJb@X2afG4?s`+jB&xg7S$+th!6 zY~4DpWPEY5-vz!!$oVp!ts6C%j-8dT5~sKW?c7?o9f68r|r6f1YZ6G zkO*(Y@6y}7lEy3J%`KIGM^pM%njeRB682sJU$5N)Nz_6pSk1EvGVHa5cg8<^xqHl6r4G0S>R>B4?mVx>CT^Y$t z&)l4*1|fCu0uohxa*}!hZdML$_Mr8k!iySo2i6Y-xZ%h9*H8d+iD>+7~n$R@i%R z^PZ!;DX;*vrvg*5m3ItF0h#8v~Domb=AQC#@;utsyLrEaMlD-}# zPi1zfTYbxQGtTo(v=Wog= zpQg|+geB7htvzg>%=X|*zklVepm>q)S(kj{IS3`M1ICEB=Zfq^;kNv81Ug>)KtHzg z;mvG%L--J|lh2_C6?_<8#!~)^fz8#=3tk7BfN*9f`CEP!b@dOL{pr==G%MCfRc5j^ zVGxOg9?kLN2g2*8rtI!^{7CJVXfe+6sDDTHuV)zc>F%sXZWNZfM`sOLWcw|R4g#hP ze;wr8IOA!)zHwap#KutB3=j!F7#+`sD$@f*lHD2d*yY9zOlH|24&JYVHE22|iEm=rq)u$K{yn zNFJiPkS1UvCx0ps8z6DP)Z~pFa(JavXmo#G%LS|~b;t)OHsL5o+=XpqdJxDKd8MS1OrzFek9Gz$C>J=$Z=K}V#W+W+x4b-a z4+Z_yPUH?VU4|2>4y=;x)eX|_fj29NvJIy_z$d)@trbG?9u8-le8I^$S_?yq?^4GZs2>G{Pl+Y2wSEu(Sl5#Y9N82HEBcBEoIFM)r#^6%P zOWz67`I`Hfl|y$J5kn*2{{@?Pm^nG0-bmr9aZHd@Pxm-tHm%Ki(7O0o8` zaz47&@a;7#?t*KTxb*g@>vaE$#s-lPjvu02?1Ry{C(? zU?2F+LQ|oLBU)|T@X|dc;OCtxHuR>=XUzRZ zl=w97XzrLc4^AFm$`4n1rC^w+o^7@NCrC&@Ll(#R}7{Vip#3(PoMf|)XU=Rv=BPy!%|X)ux_ zewrJO-3Pja(8o|q2Z@d=FO*xMK;1@2v@wI`D(ecbT+M6cEm9LpX<^`Q)p5v^f7i?Z zKJo!7l+hgfp@?OE4C^@*ZB@?ze%tUkID(R9o27_&fi zqoi4lWU#_T5}9E%_^cp|?zX+dn4a=1< z&P*>0Gzi<6n(ElqcJR6xqBe&>V1Md}!x}q|a1nP1c3B^`z1*Z|l>#92kwx<0^dWs_ zll41fYY9lKZ!$JUJ0VYVaLWflRdOrKA7nufM47N1){l=RPV?(s0ydxYUDD1P8yX6M zQY9?J+sCJ}`=<_~4b_?-wT}|ZH)XgX9Clryj@}`>0H_WR!waXuKb1vBR^i!PSRJ}L z%&k7Oka^LyPwWB^1SU>N#_0i9uA_ED=ml^vd~l_B^2HwL;0~@Eg@siB44P+89>J!H zeYU=q+n;m4h17~U7J|X##^^E*yW@!NS8u52syalknLpV=g##;Po-jRv+B0oqpJRJ! z`vk&nupVKdW=nPYivDTpo$mpVU_w<%yMU>ij`^-Gz1Q_v8>d%4j<*EGB>Wv zuEN@ZCx>7E&o6bim(3#na`#ath+?8>o~OpOARy^(#-XE1meISFhiIG_is4q+g+NfL zQ(~;kCuu?*g=#(+aJWHj6}8_4A1I2Vk8cR{&X}bQ8z29in~b5Z{o#->5_cL$OoKw5 ze4JuC6!rzY-qgZbOmziv)AO%IXqv1{QM0U{f(+Q4ThKfA^~1rfs8}X>@cW$ui9-Kg zy{%(C{@ZL6gobJred#lIlaAxcQO{xMHoc=6f{+Z(CCVhDpkOnik}m@b*W1b9gJKQi zM!=}X>|LpdCdodFt(!+v*Y3}H55=pJFLwLDWkiHF={+%Q(x`H1-Vw^VPe2iT?up4^ zJrYs7>umf-ZlCA69V}9={BgQ+NkUz8jrFSvPScP$8b)WciP=q8e4bO4pWf9 z-It1B;#SDkG$l_UbR`x};Q)aaZu}S^wt=H>7UmaaRDmM@08umCdt^dWnZGg64}{Mf z4ViY3prCbnq2pGz!mkcGtR@cBALppGLEc%#b6JZ4qr{K+Umrq@v?=`f! zR356}yqxjJF9n-F45rq4}qzBxOlqP1k6VR@>4FmdN)}a5Y^dsPKdqD*^+ynK%pz9Y>Bi+&Y zVt$&O@2G-dO3}O^P5Q8!8(f7xw6{0Kv=w?+O>nFxytbu(v$kd%%IAi#Hg{2-dL{6!(V>LLTN%q<#DLs9Qo#`3{3e{esDq)4fxY<+ z5>p9#Y-KF9;=4*OW_6(w`?TLq7uO4~UUhL$tJIBcNXvNU@9tJ#e!t}XY;gb7$XhTx zb3;vxe`}VFlUqs?XLEk1q4#2}dCOMM$%(DiAMORz|H3~}&Lb*tj!eQnTSx5|NZW?R zh8n*;g-lmx%p8L@nRHd$zWTI{CJr}RMXNwmKu~b1`)x83(#P*zPxy+F4te?b+B;uj zy$6EaExq+$zbqd-csN&;;Jw4g%8IU_YQ%D9+*jR;@%rNI42s6mj*hw9Nh#;fCU(B^ z63aP3*V@poF{vlf9~yn`J3HCftO8SBO`^)n$Y|m`whNqJpZfc+m_-L`CN#8yg#gp5`5%??O=+L&rytG34h?ddMEBsj110*>G&mFQluh3vjY91}$yvwr(9K_7(ZeyJJYZ%Dng{ zA>-B-y+HwxaG@V$5@(1XZb62vz4)VjfMADOdyb!6op}|wLGIhx+41{9K#c^^T`n?R zRRFbDWX9cp0W!-*R;`$r7({T9pGB#UZ5j<-6PpX9Sl*^yTm+Jeq-nELO~-?;7z-w0fSwX#dURAJqmU~Krcp34OV)Ant%v*F z?VHN~X+L+m_O2#4D5w&xyuAFtb+OC465Us%^?A$m{JhKC#b3nCnM-0mTdBKlPyE4> zElWGQFujT2nk;qGG}aflNrDVNIX;h{y&K;rQLP(8t2;leXn~xRbgsTsHCbL*cL#(; z4NXm5H03z=G%hbLY%y)zFDvP8m|dv2;Cb0SF-rJVlvXwkoM41`49u4OUdgnywldOh zym$#@5239MLB&e$6h55s2g3SWw@U7h+Hr7j1l?QF!U)(a`IbIDHpYZqI~@LwiH0yR zchrRPWXkI4(@U6%g3%7ra^q9lEja18gD}wIpKg4uGOBTYer|7OFYK^zkC&f^hezvs zjQd=UMtV+seEhd>-y|g^RomKfeqo*W_sg?mhuI0!zZWg~12ee90fv7rW&1wV9W?ue zuqGuhk6ifJ1w=ydJscfb4>c-g{jn3I_^f)nU8Y$%8HnsR{$hZ7JSNhvzpU)7i<#XA zM_Gy&Fi<~~vissS$B@MK;jW-yU|`^*fr3vS&d!*4c;$-v61bO%sr_7$nmmkVhnAe) z1_l}X(i6XDW@Zq^TjJuKrKJO*)hcu?vN(}ZQHZ(jW%4WYV}(tM!hq1Dy zgu1Vb6xyg`Xh)Rz2?gD3ZA%3Bh*F)ZtE*x3i9L_7mgNZuRap%?%4dvU030Jxncu!- z4{-1z@=C|9YfYX~npdx0G1F7xp!620*AEm`90t*#mpd+gZWd3{Bpn+a71)ZR{zjJ~ zpTr~cn4DfKkz6(bFExg! z7%D+b*j?GxpP#B2{VMt1y?Zx^mQDQ!%ay&RwknpV?avv%ff3K$1{3GEDqI}lckbM2 zR&N}Ps;iA&S=F<@b;L92CY#b9Je!`#9` zVDLoyTM>trfF)_>$jC^1Jht6;Kb3K)A5m5n9#^EbO`+5BtlxRfMoDe4P=!deE- zJB*E8xD@BO-PO?{>R04S`A120L|_c*TGQpz*w_^BN6(>>5~iS(3)?rSO~s+fq3XcY zpr-4EL3~$3Ua4Q|$AW@_dmi6|)`#&>k~Kic_(OJmw96cxjX*{i5L=tOb7yl?K67-- z=Ta1eb;F&T|GR&4b8~eSRKUi>)Ss&%P8eLNAtEBeIdi!FzICrwQ}6KTsQ)t&1v>71 zBn4)VU!~S*x7~IsCI71IEB_J*8tzt<^`Iangb>{U@9= z$SZ+c7IydR1#cK z0jhs;tWHi&>)NOi*N-H4h;jy|_4W0w8DPZh;qHEKA;UP+%&ZlVMAPi^{wSQb%N4!- z{rxSa7V8LfdljLowzlj}*fI&4h==gHSvTWg-B77 zmON)1xW;7#LKo}3KPF+AcRH`Huh-YtIWm=>PjUUx_4e`Eob|@Qr)gZ2 zKPldgBQumjkv3#Dkfe--Oi1uo|K2L&(TVFoFPegT6%iD!FzbXD{*s^l0PS(; zuGMYZ$MtyR^2-UWe?z#!bn;F{$`Y2+thVY26jmlmY?SUIz)F4e=g*%dP1yDD|Fb`X z{ujrixI|1sf;l`oZ~(sxIuipl2w}Pv(SfOnVI9x@NsEzS3^+M|*ZrfQS2ZJnj_hrD z|Gq=ZlqO^LeYEh12t8RpiX2u#c6&o?(J62{1iOwh4Fh3WUW7?DG^~@(OsZ~t_|_D{ z;(UB5!3>O>o14mA#Ow{r4h)Je_^qn=qj?_lP5=p`cNgAiAnbp@PT!JxWRr99Rth>? zl;C{m-@eT{)1=%|SpgyfC6~2|si~m(0mFDx6URCqpr2iuCC!%UA^$~GfK%H-Viu3YFtBtWf&HLbi!fd!4NvSd_ zL`u1nMtSBQV`JmHG_dzH|HkK4u_ZZcy9)R^Cu6aE1}x6`+Zv-LWdlMR|0FS6Qi;!C zh>3~mfQse01@8p_S+ifh5QEvK&MOcn^>al@R$M1SGm-lfZ99`l^fy6%YiDP4j>?U@ zvdc$-5U7!WtqT4QN< zIl==10us@bQWa5iUU#uQ0#PjY@R86xYB{Z?Z{O@42X$FT23$s@noV6@t0&6}B>B}& z^~Z|8ks^0#Ml>^dyi5H`YuOt#uS)`gB#OErA<}fM;K7o)rF)K%r)y>w-$W^295k>` zLoW23TU|FYI-2R8z!>kl&`6juGt>Y+sumXjH%HmZs_+BhU}~}aIv7={#Pp-1prF`9 z&v+>;=|^4?ReO1P!LwqS7H<}HQ&CZYWFH|PYMoq+Y(FvRfn_6Jpcq;4R=kT=yVup( z`7NQ0j7$Lj)kl%X?7FQ`agP;^1YALPGCN=X%rKISXm{3Yi=pH52YPV&U_ly({@ZRJ4yw^X<_#-VX?dprO2swrpSyD8Q zMJH*pTotveB^FOZLxWYbeH>J+NSi3sctoT&$X9Zu0jW}nN~W*M%O5{}+%u}|sz6R( zl>Lq>Jw4reh5FO-{Cu1NqbdiI@>8x(N#^(uiOI>VkujV|k7DNKG2bWMZU}6o}gF7VRTTT!fdj z0jJJH1R3B;JB2)kam8BG*U(DbXVvUkg~R8Xq!QrouL<_9MYL8TU^9fvOw0qSqe9gkDWbr zloUV_kr|TE2)^$l3g_VZ*~VSyoU<&*YbfP&=ehK~u z-CXzXeF^p1Bei5yO@~Uej40qv%&?nio&u=hOMZLKApEJ7Pc!sYu`PoV9Jk17=5t-k zT&A3^)u+k^r4bbp%q%2l)!lnkr9X{?h`Grm@7x*s{F$J6=F1ny0%+G9=+d#=Gg4D; zMNONGHlZ=M7_4U;nYDLm!o&;UJk;D_ao|i9QmX(zOUxavR zK_h4#VS*FW5Z~ib8rHY2EH=Yy-ll0&a@a&p$$Qr-4N zW~a`f2~-quO_suY?2-0~?R?xYSUvhgxMyq2aX0GqYac{vV2vx3dG>Mx(!w|BAQpRN zzu_v<4=FhYK;Ea`r)EWl3OhYrZn3kgD<*I%y^O^}jrBPs$=m8N`pnL^i)l$op*z_b z*`(;ekW_!EAs2UhU(7S`wu19*>5Rg{2Cw43s{=8W#+*edDFo)HTKDvCTRpoLE^&d> zHj!xab?O~fRVW=Doqx_`bA7)?C}kPC!1guYTc-}x#nlgFWMrfjRCgU8Ep^_vv!NeE z<4w{4=OW&_cQbxQwlfRRZDUX$Y>bk8dkh83>gp=HAobSDUY>nDHY<1?4t@QaGo_qs zFe6%#Ope7Lk0cI+bLCNz6>1McN5Z&Y0P@S>L_|asNBzXTW+r%6O3z*k&!HHPO}n7T zM)1|tiBxs=im|S5F5P!kvZC1K&c`_Dl?`OL7$NclAZUOYRr<7*iyEo*>FKYD3Ejo6 zKUb+~4jA`{MIHGZ?5TbMT_O9|8lQl3{pAexoS@XG&VkOKs`;=bN{2QBsgE(X5?)%* z#Ymsczn^kDV7Emz?QvfcPkOBA5cpVG8T|t}7nBl%Z@rlEi`PwVQOib-b-e__B%cP= z!w<@76xE$?-Vjm5GK6UR`k>M(Jn&}asA+2>|DyE;Cg!j2sD1jCx^fV*{1qPL%5hkD&|)-T-TBhS4!ynv@&3z8K%}-EO-Ec7!%X5D}67%zN>| z?Fn`pFT<{cxOnVC(g{$9Ybkv8_Swnr<-*+D4j8Gzj+{E`JHkj}lV78uV}4vy<2oZ^ zkA6I;xn=*^iFJ-nJ;e5jR16Zyc0g@Wti}i8odqKpMt=sNV|tooh|z<%eND`RQb&tY zNb5Q}gCU!PYM{4@JfpO7g>(l;%L->dCtk2&GO+ghRL zd=J`i{V@1S2%VRiB9$19rEkvcs+OGf=SJ>b%f1`?_z|H&v(M<1?gL#VP2YD7XWe7~ zDX-sQ(1Y(1=}@E?je$zSw*Ce{Zj3IGbkPmzerKn*KfYgBZO6Tn=L2n6;j(Sx0?FOc% zYO(@^{0flc(Zi8uA9CON^wz3HR#OvKGOUBazmEy7Jb38dcjrmEWl_>gtQoz7X7-{*_=g&IY+7+IuJ_w_Rbr%yk(zoai z`^>fl>MRr=4-OVDkfPB)Dt}!u><|yBzh>YK<48d#QnZiW-Q9)$<$K>VD=U)i;ZL8m z3nKNkb5(mL7+mbJSO&aERCO~6Zra+~(nD4|9p^P<_{D+FqjCod0#nOcRwBk*YQ8L7 z{0Y)cZZprN7pi_(?n zNFZV9AK~U1Wj|L=b9pVNAesAS-WmsZuCr6y_?AsN__{!aHR*1xn1Z zO>p-<;Kl6gB}@I|=-@y^)bbD5L08AqI@8nBF+GFo`E@ll1Mz*=`yOSY-e}4;qVb8J z4RuO+#7c^g^u^=yeYIYyxXDdI(@CNgo0L?}sRi@6$bn%Frq)q!FJv|LkC8nwh|?|LIVnWc zdjC?-uY;E+7gWPQ1f%c<+|BlW>EWVkN1ZTgV-YUg``^m54;o;F%RD1Zt#xzXQ zFk<$Kg_jrqZ~Oa5+v{7c%&Hu&xw1!^s;Z5b=(2b1_gkl?^eY+GRi&by=454g(ey0n zIJ}a|m9>MG)xf}D^wz>8&uRWB+0h4-V`~sPgFC@`_wAuBQxF;>n;oGvV68N-ySobv zqv_t?UX5{f)$R$o4jkd(NQ)Tx;{n4JdF0gQl|1{~$_1465`qQEA3uL~l2}seEs64e z9$hH=`jDQ}!rq>R7RP7n^@sa2CzW5m7=;KrexL-z7pYxMkkOK@*8C0ed&`H&nGY;Q zmCnCxu>hDhC7h;2R-~b!H8EZ#8;7OHzNBG}iiQTO7$%nv*tkN-srDMa8C2}ga9ffm zwSKG7ubCb5jKZWne>x`-UR2bhR+D)V6 z+DTVfn!qj^kDS5pZ*NGj%?u4uHybk@73Am&2gtWY@_gz9Zv76go8&x8VdhgU-vDSm zPhQq#dI@`E6<}LReDD9R2&hJiH+Qs4zFeA~Rwx?@rt;A|%u9mi5zqrqp4ZB(wR0rs z;Ui34X7#Qb^)T(Qlem$k&u^$tE#b55j*V%2p^(S+V{C~jU9udT6<3Xa`K;m}(UH(C z^$%4s7I&)~8VH8ob8Mq>cJI= z#Y>e$QDf-bSuHj~5=7BXeiTNyhJK{Xcr%<^ltY$&&Zuht{KE%LO3_H0IgMx66 z^lT)GDa;>!?BR)~J8wXev`Jtn(I;<=T9T_dIK83*T62Zv1{L(t|0 z#^s&S5l%K(gU1;KRFmr#H=qu3V-FVu>t*zn-^*f*W6U;~%}3v^L=$DB(*G#B*yX%d}| zS+jO8H|oZUN#kM)s|mSR-k0ME)JH-FR(ZtiK2c{0_V@n92XFUaREWK~ zCMPLBXE3PW(B1mA8AGFPBw1vb=OlfUqo9^yub;wf6szLJZr|~}bdt+0^khOQ83V0r zQpp)LU`74+*^LOMC$$eyH$8gKhYtfXP4G^$RnB}r8w!PLD&_ZM6Z7elviaI8+H(*% zW0@m&@^;Hx$qL&99!0WrTF+_T$*4w%W>8RIEJZPU5&Ea`CJ_jRxtHDDe9_&}88J$} z4Ae2YsOU*W=^vY$j2@G(4*+ZPb7iU`I5&s8>tl_37MZ>&7ZL{TfeJwIl182judj3`@7JG~ZSoAFT9m9HFMptR)oA6DepwVp*xz-awvm#^y0yKj zjZFD8Fi>2()nmCgfr2mDm*Xq!{gBi}w&mK5{(IaKn+*fXRBsN~0sOI|ILvo`^_D&A ze&UYdy<`Vx2~4WPd%u1~dx`1aY>3fM(Tc?jI4G8J9SG>>6x+luuFOslfO7W zAFzp58R0FEceHzUH0dweLO!KE>R%?}jKWha#dqz99l(SiBN8j-n4laOC%gK11t@`y zw9XFzhF|x|Crw0AKs#eLNSvmeo76BzQS4EVpIAa2AKW`L%``zl zPjBzbVFpTCzHrQPcwOmK8<-dQ*6t{siEF>ki1$pwB>tY9lrY0EsXjlHIFj?5NEiWB ziIMl-p4MCSed_amjFXDRm;udAh_*+g9=XK^=A#^i(G$z*v3PIxHXrsN*<@xeeQ&=x z%#FytpV1LwCLi@00RPUv>x3^vMx~Jiw@*cJ=M|B*xTObpQ+Iyg-^(f?ccvW+}yO()sIe2SjC(YH2AwRjy8k_;!fTcS@Ks z3JMB3etrpHM%nk^BKTMnn#0I|m-o}sl9ZU(IrNSLyaP3efBEl&1H6H$xj9OD`aWR8 z8Eewv=) z20Zup>s}fTZwb@-i)~hl&4sDc(fpDk&$6wZ*TAJ?p}_y;nuTQ z36Gl}`KLe_0WB>pNT19;;NVQW1#pq9(aNX zWqZ(oL0D*~rly95-unMPEEKD^xA)VhPl%tl9CEq;S^5%}mBc>$dmTI}T}pw}Sr!53 zAFyu%P{XlNJT~)N_`v)H7+|JGMn=y4?(nQA*x^a?@GQaBm8&bKejxC4Qrm&N0Id0= zBX2);UfwTVNrXm4Mq4D_S}BW`z;! z53LSZ00PoW$k{3eM#>*xH^8s&S$T64BK@bhtLqX-OWvAtFcF9Shi9#M7e!8ABMBLhtD+d=mjZ8EW1bGIEP5iU7N(kt3P&JSZP^_? zfgu_+f%TP@vW-3;h=lb?nX1Xre#CO-Py`hMUJr-3v=pW1=Lm;rh>y=*o*#TmOl&3F zKD&SdZvFT{K~64@#cveSZ|pn_a2Y~0x2|p<^0k(h7Ceb(SSVH)6K{Yz1cA|4+AiM~ znI1+Y{p>}pwB0KVvW4C)@a_R)!NbKJQSRJ^ZKhl+U0qKgR7wG?shj`y;o_VlTQ z#h@u+3LvYn6gKppFgG?3L+feq_V%_(Ux-w&_G>CM6mpe#D66oBjHgjg^(xsy7Izm~dDFd6aM1 zFy~+a$lu=|`f^0B`nZ4yWc>IG3kxs@wT^>Qu@7#ThD>J#BHZ?&8nUU+yE0cDiS(T( z?fY%;EGilGxrLVpZd&y!{mDOVPoHg$*d*toa;K=gU1QaAjSPc}?59)wE^S%0*)Jg4 zUwc~|Yar=k&V$gQNhVAWvan#@gMUR{u5G0db%jSt2+pPKxJkI&UfA)cC+gxqdzLoq;9@RZU31_`RYF0 zi!I;mzO@G-{RBL}HI96!&5exOAm0f6hA~_W*?PhCUPIp&cgwf;?_agF80NqCOT@;( zxx5B*9E8P&kkB!tr6ZD0vEKGh7uZ@!vy~&TY(Pd%&eVf}j_$mu`$S{N#C}ItN2PTB zoCm`OL*v)GzN=JT>Jw@1>O6cWd%Z8d(j~d|MFha$V1pfDoBl|kE5NN9xpu&hWm4ul z{rflXx99_8?8v8@5Ly8xqu@199p^s%z03y;93N@e;+GedlCsDpUYv25DAqOH!L-kkmVKGeR5h? zqX|b7SI@5YH)(byuKO>?>m%vO3x-aNg(#17aCowW`}26(j`Db@>n;lAl$4_2hIMs4 zg~McLw@yYvr~;Da6$CrHER>y;E-uDyjJS&n3#pI7!oncfr_jqdwnKd4cmc=U*X|Y8 zNCC9^KsN%q7V^8~P^DorI)wZQ6aX~)5Sp{6JI}ixe&uZ;&gW%hd{?`pD6#(NDl%zl zX(`j?HJ!>gFIOS!M~}E6CH&nRkRH3)o@7>B1I-E`G`((a-mvi)q+TEixcLP>0AN`i zyA9~%d||`3l)Y%lC8S1J)`dGn+l&aoFznvh-7Rs0bon~ibLr7fV9L1Md*SGQFG*3D z*#mkcO3aI-?B|7<>nN9Y+!lj=)U`JFsKbkkPvMRq`QH-HVYTS=t?KTk@qywXs#zIx zoGcXa?WF>JmwSUDrX@Rw|!kzHF|W% z9WoV9Gmh3N?CJf(Tthb{)YHsVL?klk&~G@Gcm#cb8o{L3!}^i?(bTz zEgw45y@7!NqGI~sVF^`>?;cOf*;0qK9*@U4(b#V7`I1+uJK0nAp428!SOPbhslF{RsT9 zt_bhnrljVAH&-}AcvdG5PhuFvN@kMlU*>(st?G3IM)4Uz3=S=osL2M&PE z>6C1}(i2DW4dEC|t`!HpDBYD-l#lk+s~>ku9oRHn9~>TL`V={@^eki9-oXJlF%2~} zj))SV+g3*u={jzr`@n3gfr*6gX^wpN+f))Rx)8&}0w91DMA3t7HRz|&b zZnt*XTvu_-?_V-pY{cD-b~2}wg~}TE<=Sc`D3pm$Up=pw@x)F@Hn^#h+31a~zJ4I( zS6bH8gUwuXD1a80o}Lo2;5)l_U_M2SwZ7bid5Tpjt$EujCzt3T(|qOPNyQ>1#T_MUYPNq zH86n(0PNo_E}!qSvoT!~hjSjVEP2>OC0kd1ik;$Mb;A6ad&_GN+sME`Yfq13i(L1W zkL$=*|Jg*H)Zi}Psmy3jJ|U!$zqMb4|%7jgG47coD-loVD>LMC>u@QKMc-Q7;m^0$i~yxYyG znagL_*3$A33WmM<(LUE;BPuM6h;C7}!FBF-7cL}v)Onph&%oYm-=}tN#k8<+uW=;* zt5>hM@2hh)a<9xDYM(yd2^LIUxG$1CPSA#g*hWi?isxcp0hl+MJ!%8}{UtuIOpA$$ z=?e~aNd}+LBioC*R3l+km6wOXH8L8P0WPkt7C(KeN=oXE@s*ZGLShZe2xqLM_nOeo zE>L{~Dj~hDQHK;Lcm&DRA2HIa*;O)+UX$=MGdRK^X_X^=@PJA-2W>ZSlp@*?KgrYa zx%YUDe~gbSq!22MI^5H?uQ1I5wS2T_OKb7zY2hmx>v_gb5-LL+ zc9n+N_YJo@cGRzTd^UWXKLAs|(jO>Z_f;~pHHXgdNt#G6;pXlVLrbSg^(3(Ixx*MC z?RGWE4^!G_C0}Lul8md0j5W<7L~)#@U1tq?O3l+37{Q%o%SIhipKm^CKhJ-us4Y{n zrK`(vAm1Q~QNP5Qit=`5lGb?xH`mX{&EV<-@O#I2mGXlnIt z)#N9=h_CL<4YGV?H_;8&Gi-52pxB@ zx0k}%02oU^Ow3U8LP&&VajrPm<*IJAfhD7(7}v9o0ttzZMfv| z@$=`>TThI`8twM_TiOISoGtI`>$@j1V`I0gi{W|JuDk`D| zz`U5VGeWRG2^x%>+kbDHBn!2R963U2WGF~B&b8B3Szp)$GRPHgm*TGq*H5ghuD%lQ zB_IskO@wR`Q14yc-I6KorD#EOqFBT!-bY18=g)7yKEYrDJ71cA>#>cye)%BtJ~>5Q|<;%gzpZ$1Nk1;>9g9G6gg*LyYf4*nv@%e$;PFxY3#b z>SHYx6#VBW9kFWyT+**Wy^^hz?wTbS*YTQ2f%1>CtoSE~MVZRq+}De~RiU&!+j6RL z7pgE8FZsL2zu;l_Xb^*Ao=w62!&ObfCr_SSlB1R22-SVVxFVfzDT-hP^Ih^-cX4YrvrH9JuC(|Aj%;oDg}r_>dJYH7KDMOfEQ4kb7S4UFs0p8;$G$%y48tBr|DT0U#qA52_1wOH7h5F zfsQV{LODQX!VTNU_`Xtsi$_2&8_{THdc(!sxy(R9@?(2(LIT4Pq{JkR>4=G>iuNa# zmzO8yVM%A4&m5q|;k5U#O2NRf(%iQnKbn}Z3|nQFtpFCFQB_7H#&g=)@s*?b9>s+_?B%I}e|;H&{LM z1b_jiHbGv66KBqx;WGA?MR&csNwM zXV0GHCtY~%Jidz}e4m)OIDFoF9w|p@A7-VGKAG~4WkK}-RVf0`98&9E+*o*=RKr5v zHb)@p0t%H6P_e(+>wOa`h3V<(5-T_Ydbvi}%G+oR$Z9SEKZ8Oz-s@PAY3=nx_te#i zRR1K(8HOqx(`&o=uCLG8RhQrt_&tC0JBBaddoCXUmFp0R>1dRVy_D;Q!)mwAp~na0 z)-EGDj*xs~wPbkcOCtBk*}NUudeT+Nfjvl#fJ?lT%^K zL|!OV(jdKz+uEKqGJDPBdRle-CD|86Z36|$x#p9xg;WOaV-V$nv_X2}=;xDPc+LiK zya^Rr(iX74#~)uyvkAfm69OhjO?rKb`=^y)*|fTHrOY=~N`s7b{@XXTB|_$dy)+<_ zTNc`M{X4$<{!IQ1XZ>M8!G*j9GG8W=;CFX?ZH^r~_C~3h;U#t#iE5xvs$3JSH*ffa zg>`(^X^9jVcdYCv8^3hrP*(6l`n+C&j&Y@LYH^uYrEyV;@ha*34U+<$lNHRQilHc9 zAs^F23Nc4>SBl(RwnBFV&772)5^3vPzoT*g(SXcfWN{XUmerHcrvmKfNlZ=EO1N7# z-mhMPV{G>Bcz(45oV9rjtY56r?kK%#cf^N<@?M7o*&zOP6#m z|2QyL^8x7VkyemK1clb=EP(Wt_M;fAUjlkuP@=?)=}9?7bvb&Mg0mLX}@ z8vrR?$YZ1^<@;AgRI69}eD;7{~2Y~D~ZtKZ7b znrGXFZtO3@yv|zow(Qob|9dY!A)#RBPn7kyC)gk1lv*4~Yam49$x_HN)bHs$Ve}BY zYe#PEhv50)&t5APWusk}&%E5A{Vo zL@*tth?E3dlaq%-cWUu`tKOP=TMEHfKxPSygQewwgtLk0v16ShBg}$rg_v#FL~~Khf)cHLrI1$!tVQznS2AVUcPtWKsPF{dRO_Y@Gh$W$6RXaYs^K?W+L`8M= zH}0$L%!RIq{HQ1l3p=f$5n*^TIVoxS-D$G-Z4(zSUL;&MxE>r7gqHi)dZ*<1T@{{g zZojZ}VYF7Nn9KWg_qqA7KKb8sQh+^XUlDD_Ch6m>2-iE! zOiU=Q=-OrZt>fIV9K0ZT^%nBS6|+exRevC=E3pNx8!WG^uhdH>Xx|@YSmjL+u7q83vKPPMS-gE5S{Gy?V0MJq~mOi16W8 z$mfaQW;<%LSdr9u@{PtDrivsn+)R=bah$M|q!zb|*+lL?d}t?eI3KSsEj-Dl%XzWt zxf9qqSJiqQa%*1e2d4wK z?gRuZx`}Ri0)e*=`=gT*7)TVs_(}B{=@r}=z|;5HPlTf7k&Zi*uN3`Tmxz<~1e4or zAdsvSdp|IslD8xsPt#yne3W~isMRBy8N~m`C$WNM7!D!JDLJ*dxZ6NkfKj01o~NZH z_mbYvyu3VnDHq}*`7&Uqv!ATunIC1{mAJOwX5H}e)MU6{^23L{H5I#V=Gk@Sje@3o zG4$io>sxa}!WMAKXS{*6wy=Juzl+{@1#vR6CR=NT)s_Apl=V_p2?`9v38l??;>XVr zwBJOHe7W-x=We3?a#Q*hz1*3D&7(K7AmHlzJ(VF#^_sH;djo5X+}^kYFL$KB$k*Lx zB&3;7uG2I}NR&bk{P1DNbse2v1%FWHKh5f$jcrr$eD7jKC_ zxR2gBub_ZiuPT3K)3Va@`1s{Rp`6CQ zwC|i4wvmkaO-f3(glM7`u=pG`!}p)Vv+s8k>%^W~*fO#B%6D{~$!6$DUq$yHljG9y zSVK!cf0kEO8Cs6*b+-_~%SK4kTQGC(Zf+nbt)Zpwy3I*~6HjXj*#KY<&|51NXdzf4 zcj1VShw;UWXaWoiFDU3gD|UZ@{txYg;H78%eSORHBwwZ5(M02sIXXGPvNIszU2AZh zBtXb*dVU@`6l%GEr`Qi3G&M65tS`bo=a9Mo^r-;9D0T?AhhSYoa%G^--&OT^q zZCzRQar$rlCn&0_sa?Eqp{BMLd%kUmiqHf!vFy42X>{~z%iag>GrgDR_yW&&VK(2~ z+|^Xb>V!XDCgZbNlauqUmoigrujQ*{vtVPHCh|Y9U*(GaO>7Bw7M7uoSjRegWd6Q)RdGZ$WKd4fg`c?&6{~Zb10a0?=H{vVBH38zqCHBq@?8SU57x8`uf`@CcuRC z5K@zjdJxHmj;rZ%Z@z!f{QOnc?x836?mdFung)i3STDV8ZCAin1G^RVP$q058hn>r z49pS|L%qE&z$b95-r^}Pp#N`&ocIXtg_rjV);4BzI6ba8IGp_7->j&pu(GicG_GiW z{Tj_o5z-v~+nM;e+nLXvjbOkBIgTS}|Ni~p1lytryT~&DW+KQ+fIBu14*rIwu8l$s z{~rIWu|pGrXN4>xabe+(_V%&NQf_Mer^kNRzG7)?tgS6x`tkicS}%vW(0_jgPXnxs zo`C@!GqXMNaM0?Qn*Lo|vb5ZL2sLLj8Ybr8;9x)x=<-4RX6NL5ChS86LIolGp4kNj z5UF+GMK(8cA3OFPW%hr+=dKsIXV09etFJFAEQGBd%+J;T|DnCmzkrF+(<5X>U(e&M z&PVABW-cl!!dw6-pPZgwT}vxL&>r7wJkpP%puGGibUIKUIQBa$QI1MU)EC2m@@ra0 zP3;xpK%IumrjYsoTK|NH3E{nLk8x!c7V?XT^drb)a8T^Xkvu#ZaC<{8_|@y=;$tB# zYX4wA$`3!F-3z4J;j1mYbC#zZ6{rz{KYSFG1a}!B7VDIKe=fGFYkv6&nA3-P315AC zE8}bOP3TM{R;oYyI9OOvGcqQ^TAP$)KR1mhYf3{(O6pCsT%DCQ2|l7tOl4fsb8XQa z)f>T0F?7M|HYIH2kr{A2B93VWJUFVq5 zy+Z-E0aKMX?oLGCT2fIl8ID!b`0sbBXZ@YX|cfGk$ZKuY!^8~1jc z@r zNy%f!!h_J*oIF{MG(E3^}Y%z6V7S5}d7G(&c@HV&LYEUfI)77oX ziYKR5pd3B2Hj7tsB|SfX7P0Gur&&9L?djm~ydYhL(#_V%Nz{WxkfPDmLR?Jj>({S% zrLL*c3@!iXS z(jO>j3hWh95KjIx`JFs`cyEr_|90-j2H%FykT5H=hB z`HQfR6$HXNT3SM7=dv`RVj04X2Rlu;s}J&X2?>d`0eGh`RQJs9SU@w%T4JX2sfoVsGw_!(+1w4x=OP1fFp z{w8Z1O<{F1`J-#np61#gfEo~D&Ua{@Y#EP-?yRJ$>hURGA4DnS#QgWMza)MCdaJ94 zhJ}Tp`GSYGw3&+V1uO&V5x2E~{e;JaE!CHQOxFVy6$(p0Rs_x5>F=$K0u!pfuQ|7l z92ikAgJvTaDz2iUsRi`OF0z+uudw$W!MQ3Z*x}tK((=&`UZJghauo$VFAiQbke9Nedy>-r73fD}dDS)%4^Jm3_F|h^Bz4q3k5-9 zv2paPN62gz62f^JgxUV3{M+AEz= zs6Aa>U9VkR0JXp<%|$4Pq|@QG#_F1y?yjyEky0!hrQvf7C-e!lV7}X1tHIwvX!d>f z((c3>*j_)4Sbyupzi+q7A)jj`k7koFc^(!$qUkmLW1W9$_L`Q2+jP&%Ag?K8Ev0wi zyQiScV$@|}W{wZ7tgg1~hMejzK@)_3SPJUhzdw+ggmMh=FXAUpa*yG-VR;g^V-yK0 z6b$UI3WrZ&({yxnAepMckY=h>3+q;0y&Z@4sg1dEtPdpAaUZ)c!=%YNw18w#7ObC11_JtTYE*-1`Db~h|6 z{c-Bj;7Q)cF)>ihYDFRy?S)I+ZI`?U=!B8c`u7WN7U>ML`gigK9!@JaZ19C>cw>FN zSGx1g04lj)GhVFEN`VUxx?F2b{MM3pZRK{Q4BrOHRjuIHP(tw^R99d$?tk z6WN?q>y_Lr-+jvZ^pmM`;Pc~=M|%a{7Z4j2fDZITs{03+&oi%`xddX;znina;qOXu z?gVgdboWSxofyiH%oPm=;n_1hRKkAPyYg#_I+$D zE#9zTV7B(U9L4CC!;j4G*qw_jE01v79#n=#Mh^|+Dv5iKb>i7ep2dGtmnF1Z<>h*U zM$JlIqF=t{Jw;nQ0-5eicb{&4fNn@|Fa%J#XGQ+7td{%XaJ_iok-L`{{>n+&6&(1f zw`K058|XRwdjHDRFB4}P`WBJeJNtAS;7rBS{5pfTB9fGub9$b)HgrEVQfO%vY|z}i zROIvf`bzC#fb(H1w?{SDWv1CXZV*7T1i@GB{U%mu>W9(C=jF`+z5_YJ3Ulx6W3pMw zC^U`}d_@ipjmtQal+A%}b8~SWJ$CGLs?~Yq@JQ9@0%LeS-fk=11lgbjjsb%4{__jA zy*#(YfUs=WlBS5^H6n(25*l$l%;jrt-27T3VsE=wC%Hk?HI#&O0>~^rV{4c`_lPX3uC4~kS5DWe)NxBxX(BALENybu z#^1F2lNA@I%u$8aMrY!^ruAM1t@0C_n(FG#*RLxUAZFyWiRNA;v>;eEJ_iAK)g>h{ zCiA29;cV}SY}c@5@@8R~iAhTGjPyQTm6j&Yrm_^4a^_uI4-h&grqkwjqV}UgRh;DR ziu*C`6K-y)=qIE+G@^3~Oy5&)>gHB~Q3!frD8?e#qVV=U_@z5eBo-Sy$zjVV>XKVKi(QLd^UG{ou0+>Y9S2lZpQJFyA85~^=+RTKH{Kh1Ht5EP z{D#1}K zPlxf?-nm=bz(D0Z)aeR>IMYsBs_~$zi@nU2HqE=$xqNm%G^0W$#iGMIv&znM=Lc6i zm}wN4@yf^+8NQV(Pg~v5d(ytr6mQIROnDD!3YB#8Ur=E})pa)aaq|df*3AJNTHINU0KH^8@+zs>DFye7LaR^_(em(a$b~wzOUg+Ft&wLP>3pUhK9n^D=kCM$3SlN^oyPNpV zo9C>q$#(9oc_mi+%BN4SqNMiw!OLN8p8mgKO1}Q3xAN7R%*qY9qX}5l zaqJy{q)TTsCow;;Thy?!Y^@8;^z`)Y zCjz=Cvb2~ay}=mibF}gp-KD3bl;1~N1XeQoiK6~KeFkgJaEP|_;${yMpEyJve+tge zXIw_{H_>#`K3HsOYU$ufJOMB27u~x3{!(}vmDKM7{L~cGiZ_M zm!O+7`Ur2GcLsSr!~X`=xH13b;l85zoKx3?&F-NM;=9rIp0HS;lxzVju$PH&=O1|3I!e?=3g-_!kNGjjO&8xl{#l=yj{5UD;uEGHpv96YuK-!+0Rx*5i z?H@m;M0*J?7z*}rxuDy!(EidqFy@qzgcf+OZUr>F1`tfq)9bRJ-A~cylOeX*n-2&7 zoupwz`hK z)!VrWGqxu7eyUk(BF}y^d7x)C4_!o^#qR6r=v(MX=>5|9P72*uG1;f_clL=D#)G$g zj28C%diiHKN1>s_u)*2$w!M4t6`$*$glFGA9eCD}KP9+d`@o%suNMdEnP-j(2oQLH zqX>P(fPx^5yHD;XBxFAIOaa08iSK)^Kh-Krl!mynZV1Eku6OH|+#j1+kfab1YM zq2WF599D&Ug2P}DQgdTgkLW!~`&rl+dmmQ+`XmX16|Qy|nPw@;6z}Uwf?sI{+DWSM zV^0OM!5I~*-z>uH62_lk>|w4*uw;8Ek#o0dQMLy$;f8N>Oo5=K_Uida(Z}4PVclQEpCh2Sd=eAxut4H>4|SBfIZ%tVs1R>?|a~#P?6UQFnEg zczlk2?Z}KCS--}HhRe;H>xlLA`7?94BIyx?#Uyv8U^#SeW(zyU&;M(2QQmP$&oN=h z**-tOYpBwJ;nh9fFzwwfN|#D6Iy*b-=){^HN(-4TdDf7coLn$qf47;_B+{AIxce%G zG5t^=S_X~0W@eu;s(>-p^Cna5(%)s;HHc+q+Fi_^!jhuMs(8GYOj$h-m(MxrIx(!GD379IG zPVp1nD%&+`o4J8SmGis5+oT>~_;2}d*|`^gJy&hmoO-FioiJz=-h6QW`hh)Ayfx3^ z9!nXALH=iF$uRJEz)u7B*0`HKhd|hp`6K?zNw;5=GSfA+3?$cT>wkKvAtfz6Ov}tH z}`*2>~Qjqv*ciTB@w7q8{+yy%#dTu))!yHm%_h(X5!b zI3+bLG7($orhZ3#0~*iAmd`>@-{wzJ7!;%e070w?FdPv%{Vd{>xK{qDV}rYv@=c{@H%VP zkmtlsDkv?ToCxeTjG;W4x!d&O#m-W!9on9^Z=<(L7uws^xw6jjC6z6hc7G`7NU~%& zZv0DiU#<^;Hdr?j65fO3$~#o=vVt`p&^sQU^hT$?;ZCuGl=TYV1Hx&Mul@5jQ+|e* zkI_ulyma}VL9OO$vy~Ttg!;4FR`gBArJr-gMHip${4Mgje4su_k+W01o59Rm7!Vc^ z2*i=(v1bfyRQ1$DcN5JliVIs`_G6i-htN`9tbZQ}jIpJyEecnKdC8Abo&G^IGF=oM z{r0@s?UmJr?N0!_x>JI)T-AX^d#N&N8tOeb-sdNlZwct;#kJ3JE1T}7rU%(%Rg15x zWcpSkfaRB5u&4_LG{j z)6uEia(R5Yl3?nT+un3-sj8^xU##}B;MKR#-lG{*av;{Vu)bb4n|qH3hjax)5ig_e zlR9t@{4_jC8dKWaDJfQJx_pN_fU4bVf*57s$DGvu*-OSLmsrFcdnh>5B=~Co+}x?e z{zvb%w$w<|r%$&5@d30xvC9NyKi6e-{*siS6vv9vRRe?jG8e$0E&9$qTGcbS@prqy zx9QECp!7!bIo=MNPR9-_58mf?ZH(f)%qpd4sRGX~cyfnEGUuH+FCP;{wkLpV7a0jj zp>8&5U$RKvnUIB(tM#=?@?ok|95U>uKGvrE6e#}rweIt`t(u&A<3ORi((&H}7|zn$ zFLUW05h#7UTJd8z;oYnm!zh=r?8ZB%5!bChK~)Y+_M#t8%E_6ks1UB24N?bJR$W;c zM(zTeTbidc;WE_)ARp3m;Pj@A8g!Z)Ihz*sSUv7*^-zN6-7x2OJ#=)c0>3 z3g56#|B=hqw%_U1_$@>8i^9Uf+Gj7EjITw?$cwz39Zv+86${YzwTjwlmm^7L6HG2) z%Kh=<(c{MyJMqzkG_5L%$B1J}ijNQ675lQWap#o0XDsnqc#$E{N=Z#Ug`X-S5}1!D z&%d}`fT}mcg(GLkS^pO<%KlnZJ&Jksyd1TYKzsNUB$q>!$`tG&yE5ss%-|GjlHUg= zl)z){5VhF$UswDMWgNieo;B^iz-jw~a>rIMX~^3RiChpfybWLWkb7@?k8?#>NXRRI z@VKe!m}91ACevSs#2;%L8_9`@5|WbUt`FAZUcxT5WQO~9?wJUvk&iVrERy~Vq*TNK znN1v`6458|aqPm!ckh~?yOVHE4se}Y6R7iIs^Ypz|9JJ_tj*~|AN4+($t?UZE*H!h zXb`Att@Qexli(y9Z7BHhDgMuR$Dc!&u~*;^nBQz=Fnf90JiwJ*WtUO#@a@c(@84I~ z*KZk3y;L3V#A=mT#Y!ybshmfjad+ST{p0s90P7@p zBibb#Y2T24-$zEKxUa`lTKYNgfC(Ch^nw_G3t~Da6r1khvKZW`Bwwdmo1VUNdmh>m zH%MY^Lu_QtSuQ@he?KTjyBMfWW+wN9xR8*a9`{j=vvB-Vo>IP8cd8S-4{{z;ZN<@> zVOpB2K>dG?wn2ktHu5cI-Q4={aPkR}6DO#z(ZOaclB8&6#{N6DMlNS^fUHZCEr0Qu)33(F8-OVp0mR+~VSUCeJJMsz(TU^LqiLzdW{cnBaFlS&L z#pM940n^gWytnMlP0vs5JWu^CDs|=M(}Z5a1`@Ovbz9gzl9)5_w)|4K?TTKpzuB%U zSFQks!l)0@XWXJErIukr?&dO)X_)4n4S6w!n`cOA^Rlv(Wu`%*?!24tX5-zcASolm zI!jdPy*TFI_qc@YtIx`;K`z%MRY6O(b?D9~`xst$ub=ou={q}(a~aBd%GXQ32kk9F)^2r`NG?8%sfMJV)?BU>NYowj{D-|e10{(@r*>UYjJ zuW6P*Fs%t%(lKX2&1mTIn{`{SVz)8rn*#5?HN_H1gai21Wgeltq8OEuV#w z9=CqH-;W>;Za;8D;N5VQ!OlMsS}r5?wY5x60S4;9Lzt4G(~o=tm{y5Co}e@VU*;I< zDN$Q9&Q=siecLBkKyR%!5W*N13#ZVvJ-x1W;w2>C_`g#oc8FGWd zygVT30U1XlFIvsb&#SJhrv!)xO6~sWWhNqHmHK_;=U)?^Dc7qEo3^p3B=lEjba%0*7z(|8WaBfza2DQm zQhgE3Rrg5QgIQd=88#b2mc*jjpP{^+J;p8QP7Ym#qQOlpXXVBZ|eDREa{()&(* zFKR{sP`+MqypMg#+t0L3k5u;YnbC??%O^@3l18_gay|&xG`#u-ky_K!xy#q33o)F~ zp5jh(QYq(Cq81!S_BrxZ>ai)RuCAx9w>Hd#u=~VxSm8sU7LM+=MVAfKnU14tD~IN!bnuKssnxa+xP5Ih(q`Qs&%F%HSvo{C|a&mIB)rLEG)a1hO zPJl^fWV8j7JUQtGfTLE+4E05^-CFMSV z8^-Jofm2RNPCn-I+Eee`hQ$G@w+}%EqE6qJV#QZKm5II{srv2h(_nN!ParO}bNe?J z{*x6k$JN+_7>o2@rdB3IAbl0>toZbeeRD@aXhMX6o;0p=O4~7yo3Jxa;x~nhe|2v7 zjt)BO4bAoL2b6>#vx4l~A78#?rl*hN<$2pl;PK~X8PDMAQ;IIt_{ z#f!gSQB+k`W4^PyJ@8u$V(Z;T>uIXvMxl9}qrKLJ`?;gg8 z$3k)7{Uj$xT0+9s)|OS;qY(XjZLQI44Sw?Gwa^#7+dhhl0XTN?aIk9-5s^fz&c=qd zAqZ}CJ^rhBIAo0w=-`J#uL7(UH{YaiO?E5Ky#%QUchz^StK5u?>j=`stjwPvC89`T z^dmHVsH5XFZ(PxNKSAKOIduR1Q1Uw3%laDU&Iuel7B@oMK`taIX{4j$f}j;VsP=Z; zM5a%2^JF8%CNf@pL0Z{di`uMmE~P{T8QCzSqRhQst+bWU7#sDUQ;qUC( zPP`7}cl@^;PT@!euYO|LA>wi$jZog!N7pH_kk22 zVP#V;)8aXU4XwKR$==S+2>Lj83H%B^Sx6*-(in28bzDA=78o2o#F1J5`!ty#fL>U~ zc-WvG2mAZg*(k69*b$%<6&4oTIfWq?H}YV*<777?)H%vBnMVENM~~VACPd=_KcQ}j z5*kZ2*ao3y<>%*TWn%*m*C;Of@9Xei#LI_Bg8;e5b%1h*Fp0}uneeN5Z={3JDJ?B6 zC~yG8x7ViZW8zK8EQ(b8#hdrBCakYqIVj}@FFzkI?~|e;&416Xi=K{7QbGbkJkZI{ z5HTrkNMq+ua_Z(XoFO^?_A5bvpyR*X=^F5Bg$&|kXerlkQT^N3nAlugO7SlsdHF}o z4gdO8(XT+I*lzy~v=p`>(!DA6?VJ3`6E+zU9Ss@B6SPmNs*j~_z+nHYE}(>A?=Z^P z(jiI7+Go$a933@gBf0T)Odr6hs4)c94f>rQb8}$88eLJkxFqpWcm{Yq&XlHV$~-pC z&WnWeqjL&TGeakj^Yc?2E%8y%4gfxn5l(}*IRW*$t*tFAj5M!0n(#1;VBP~dOqf9< z!o$MG7upCv7ew>>o*o3aUQtB!Cy_NOhxIdQ&BVrb{K%2U#&ZS+1D&1WYT}9{u_*w4oAMyVz^rmv z1n=2U8j}#t9}G)(o;>-A@Qk>)xb$XmTB|w|?7w!xsRy_b0R5|Gy0j>CyPOIbp}f3;=uA zz}-6#QxTr(=%^|Fbpc!A0)BCz1Q2wa{hfJ&;RC>0>@oE-XEF?FP;i+brH4oi+0fW4 zd-m?dX{^qMbv*v;gHJC z%)BEkDJxsw&|o&3h979V0!2>4#{BbbT%QaqYkfe){J_He{9j;EdH;UHgIHtWiI5eE zoejnpO{3uYMG?F`vz=Llqa1TXW~t{^59scGh1da#$|S<-JQo}i0!c4md;2R_f|=M3 zxZ)T5C684n1s1{T#KWQ@CqqN}$|QCCg_xkO%@z!HEz&^olo4P(%aUp;oFEEpA zu^!vbNCDpfJoF2!(e5A2eCD{->G=)Zaxyr92ClNco{g!My6ahKRzrg?UVtf2u8X7N zzF|-(OF^@m?JRqx7BIBnPhxeTRNZ7lH^DEgSO6QNRuOOKUVBj^b0pgX4znl;syND^ z=nlOUP_T{~|OX})2A-@#*j{D3|&E9O4 zM&T^_zNfEubo3xSJz5xKgS-lV*>T;^SksUZuG7Tnruh5M*+1eUn-4%oPy%of7ut#S z>q>K_&Q4a))z!Lxr*|YI!Kvf)c#@gvD({bNg>|CA3$->pIFF$_XJV>F03A5163U6tbvonsp_~3)$@3&H0U%uQi3vI08BwD{t zy#g?9Jzi+W@Myem@E9#!_QW8P&^d*ERARP!V`n6xxh3xNcS*D<=qL*ydp=6;n9{jH$Lt!E5^@{cEtgdC*CB&;^xU9 zgoA}+d;|)t-%CphrHs!YycSLjC&FWea7M>ROf@DUxTqa0Ab(c691tXcwvK;P#L zq23yVm2E$N)j&QC5-Tlr4>57$Ycr+f`dvL)wuI|hl(s%0eY~IF2Dfi-K`I8xgQ$>@HdLBJLlT(UA$m1YKu{i{-vV90*z!q= ziB%O8kYBmI{dWsJ6;h3-CMQvUd~ofj_VvObO>TyK9Iy{>M6UMqQ0kBL^ep0zY&hm% zs`cDl6YfLd!xq8f;yMpP1YH(FR?4*a{LVE0(Wx{MK(u#Uptqo-ei@*{5N~#q^H}^iP+g00oXRH@T^b~p zEt+H5RKoZ(VtYwInyLK68c>54VyIpF#CI()f{EPVOMt z9lH;NjQ>BjY>E%437giW&a)T5FDSRy5vPRTM}~(3Y-4mg@EXBBS6En*(Kta5p3Akz ziewGcV0wYNFK+UhR5A0rW>ItSJjY|9;7UGa%vnDBzXdreURGfy;jh?&YP+<&oW>?s zMV0THOr^3Uyd8;wzE}^r4004(f%VvGnf7pMX=!OOR-k^8wFtv)S&0T3<-F%N6zd#2 zl}%|!dwOEx<9V)5shYY?;@7mIItRZz_|0qxDgbU}yu?eL(8^MCNE3)9c0dz{ToqU` zjZIAj-V}vLMM#uVHbprp+o97y#c5}xrw=x<)u^C8fng&U&f*wgHf{nao^BVt69|2*k;>&iJ7;=uxW0e?>Q}IM8dXQSVI18a56kJ}9(Fae zg%gcq@D^OJ-30CBd^)0j=oHFr`rRJgc8ubZ&*bgwj$CQ&Aqb2C+rYi>_85J$yM{&= z_;B+Yp~2c0`x=7npbXz#R=f7WBW(aJ12$mEtzT5}yNiOKVXiAUDlddq6+`V{PmkYR zCXM-VIaK3Tx;e}pLaX?6oOmr?a0|sSLna3NBgnGq01ZSW(szSQaM2+I zXQ2HqV~RwhT3%8juR8rwhZw$m)$KP>l+Y z_-F4sLLBYf+}tP)xxdho1Xd`%O|M4yAF6XM$w>13`-jfOH``mEU{X{`Dfx=_1Aln! zIuh4VZoIu^y57SG2+9U?USP@d+FGZflAW!y)6-B@UjC3ip6k_}B#LSyyo^RD^C>9D z=3*U&I>rE*f}UA8MIat^(cBmt;}{`}f^2T#8f@RYiDwm6;|~nKTE^&xnvIHhnQm1I;B-0 z0YVXPWsegF1-4%sE+dW#J6F+u){()!(leB)!V6@c&;+(a&2fuhGo=ts4UMz{bmP#H z_V^|eY~Wr@ClqfrAP;9q-0R~dVwk~Y;Pm^*nh|M&l21bFtC-qL!AI{EMM6kSg!TrY z3(iKUPx+O}bMx{P_QxAv($x)E92*gGaWQ6Rf-3VQeB==k`#hE5f|w+*#v$b|U&`Cc zjX+#+kehVw;6-6MxxYz&8v^-{q4Dg=!(*LgcPUt8;B>!WW){8Q#lwSwlr-<@)3!Yp z$0tmldlX@Q^vn^{0OPy}oa^iN?}Sa^$nZLF7K2*Y2w(x7c1+FV3l_V3ZI*~{v&%(Y z$D_GIXS`of?)oH2zPx0QJ&~W7vZ_eZax6!8cQ>C6PUa!X_7#CN*jt zQ%^uL>iiWet6wS6tA{c2E?Ze!Gchwa6$&d%urP&AY8P~n?an||oS&JogG704aU2Yx z#kF5Qe>N+USVCR{)(6|#o5qYISe^8X>Qhvc^Z~7UlDdWl_N&ir-X6pVkM6(ryjMe( zAwUBTeT2I}As0%q27iXWKVrzbFw9ai8a|S6nSghoWDL-0*Em$gIwbn5J?TmlJ1vHE zTY|&jaAAGM*~k67q2W|n_(*SK`T%PF;#HSl5C35TF4wR3_4fMT4=2y)dYtuYG_BPpA={845M#F0@x`M z+l9OV94*?HYszb?yb%+;i##sKFO!|K1ob75nVMP`&9CX6Mgop$c$r4q9T%`>F{V13 zqv}l}hmNd*c{r9>SEe9w9RfzBg4jcReCj3(k$ zn=@evth0=#%u7QxK8+}u%~f!V8x!GzmwjX8miW@4mqJlPhPn?RGKd^qoSh-^ZuNn2 z5op@@)D&Tk`h^FlVK|r$&8Hb7972}e>a`rP#J<= zENoX$0$Nr^QQRj6s)da7_b!NuilV&@Fofn>u^t=Jg{U!tO*k_K$!7>h;XL#j5l1SL zINgSb(g%8+8AVJkZu*4JLyG0pUWNwy=T8SayN3pKKdI~wSi_>vQ1uK3%sS?M*^OHS zNHk__4eq}fw+k};EUzPoGHNLaw&_wb9du@4dgIO>{kh)6Vw?s3|KX2|i_T6?PHqNE zvmSYOFelSdd?lc1(2e1g#i49LtrDt_&2*PYy8OX|!3Cey=c}K6KY#e}9D?P#1ya{b zOCQ@2kIZo8$LuWG7t{vI^K#8moY9zI5Ud%~gLVp57{)&^PN&77rw;yx0@ft*ZEygi zOC})}M_<2=YQsp!{H2;5pD%DmJ~G#9oFi*d!xG+RtW=kmNT@?dFU&$MJ-#;KWP}<+ zvd;wwZBx?tnmT%gzNTL;@{Q(Ga28B^wNn_W{zk_UMm{3^<84QWe=w3U6zf~vM@qiD zetm{SbRV0}r8-ZlvWQ?&6lG_+`tG428P;^pDtcno-#DA;AUQ-BEYQw-XE z<=i|XyRr_RT^qG8%SU&76dp!-&bSUB6+PVl4et_(k(b-J5;}#CG`W#5HznMnCM6vE zq#xhGL-^>%=sNf$q1GP@3k;p+J;2HUm9l#;HUjlTvSR#s{?84*pFuSSkUmm2RU>B1 zEj&-yVTb2N3wV{BY10uAI2GAlZD+nlszsU1JoP#JJ5j+&k^WI-!49f8?#`isP_ zWzj*xM#XY$^q#>>oCwRq0f z5`PYyZBpI6YZsK{97PwP+GcWDs;Y6OeY#&(&2E~I#ogoK-LyotM{FOzfh{@TzjYxYd!_Q0N444oAA|%2!9F-o zGKYRDW|zbU24PS~E`j#fuHCqP{SV}_=&~Y?f|FQ0tlLyLzLBvBcvayCP7lKMpq`XM zf&qsL_Pf#Vqe5B_#yZTV(<9*~&j|?KAtn}P}=yB9(|)$;+hAkR;?60DYW49P!1Qi#%<7=>T21b6;z? zz>I?Y%Oi{AV*_-A>z6$+J1a0tXHLL9P0r!uRF{d^^V2YXBP z`qVE<5VwI<;5hU)(3|t2Fu(q}8D4LbxA_>h3M(a4qcjpKQ??M#=)hVjxNN*1^vF~u zSzO(sIcbn&==d2`oR)Z7%hg)r;^#Q52#kyJU<(IF0e5dxm!hK}Cy%g#StweYEkh+f z-WQ%)QyvcWKnYO=ONJe!3t-n3R~`HXwREc;K)O&|iH$1Xhh33r?h!QRU@DzCle()0 z;5OEQ|D?n(6_R??`}pyoiww{K49VvTLZZaf@N4^K)2)L1hpWi0jv5=2(c|mMJF3hhYB-FiHOqDe?E08%Lc_6q2vtZb% zed*FA9i1q^bjiuk*i>w~5);62UVxuy#V*3yD zB;OT0wF--{<9p-BHWV=@DU8W@>*Eo5P+P4pC0Jw9O)z@PL zRFs)n7HoBp@XHL2AHRl8qyc(w&Ud}^raOecvq>h`jOQFfR9aE`wQGQ)&!Q+qXI@<` zYWx*9GkX;75$NA@27%?Ht3t!_U6`fmGrnEkV?do4B*rX8f3nAoX5-=lpJf?}-@8~_ z>*3(wV6pR|!(Vt#p0*e+hLzg&`g#+kdM3#>y;F$i)`=zp9+>*^@f)J6JaOTeP__2S zs1X!Is-z5&1d#@rUWPM8@6PU+BV`}<%G4PED*d;{%Z_|`m0{4xYL?99vy5ERbMMQb0@(7@6ko~AO6u&}=`URZ2@ zp)X?VI(xIFg}LdVs_L)JPa{GuB;hHhC@kyHc_(re6cwe?=`9xn_R)=CWvg&8-GgEm z#g)VaT}|=yKDgvyzLJuVh!iQr7jXAu zXfT`#=$w}zi~(SPl_r{o0CI75&}kA9a+%sij*9VyFF3o98frx}n&LVF^%4yGK9@sK z5M%I`kOY_uv*m_ulqx}*sQRX>907^j;t+rpfPt&qnKP0(gJy3F)h=NWTC(1ES8k!U zC-1zR7ES;PMzn%OmyF^ZE?n3KFaf8;I}Htw(#-AcpK%uuP8yuj!}HkZjgcO3o7y~6 z#H3$%Hbr)*f6teUx_Zf3=AtGfr5JD3)vbYbdU~pj{BMt6oKzMo(NDN4hXLPPAIDKh z5Hm>2&UVF+Z$lM-CNO1iV@_kzxQc>q=RuH&;qyMORX6?A^gTRvahuWRWToaoe<2kG z3Z)B5Hkh)K8?k1rt*lU1KE-tgUdZeV#*~IeI6~nNl%BNNu$iX3lOkDlSSZtHs%>&$ zfFiPeJ-T(2g6UMUy-`Dh9T_YS}y6!dpk8DP}f z$J6V$S~IX;wrA@Sjw0_(mVBhfbe2JYtrB*3!)-PIs~m*yIjV@N#y%pI-h9@jo~_XI zAuwAyDeaM*PMd|_E1rTV&ce)$Ad-W>9MRqbXUkBEE9g+}#0u2MAV~AP*uI6w1()4M zaTo@{g%e9DM*QG*Nj*fk?l>3!jYf zd^{s!K+b@e8Azn#W=zmi|H9)qHtT;URkn(&pKhfdcyc>WBCNdNj;xPNp2A0?QR7!) zqc0Q&1%!l7l?wH8(z)d5x^4}m+zltYKu4!bOJbpMr(?Cxx{T6ybq#Ek`W_&tz1-awpzB2E zbG@R1;&quaI6RnXlI-5yc?dgRH|~SIw;X+fVqaMF>jIo2Ok+d0o*WFR1)Fd9Dm_SJsa^F_47@FVp7Us@W@q91JIqT^EZ-4Q6(78m23!mqFj zXD+&5=5}^TR+Q0{e~qwpPaW&BaqjPEwiHJ!FBC*eUUv5E8aKNHs@gr^zej~Rr1`g@ zJbyDZB!-=e%Fp(nAUBKHqccQ_02r)}fB?MPKN7iAEK-bwmCjrq-8f{2`S~DVN2ngE z8q?`|#1bQpFmsqMeHC%hJn8yae?Mw3vP~5!DT3N0Ev>=%F%6JkM4j3PEWcobbBw!= zWoTjoir*iYYVfvYU%R$aPT2Q^vx-Ix^AoUtqR(M){j|4tH$Qi~#y-c#!=B!|R`O*k z-;WHNpv^%W*mvW{gFf2h=H~QTxNw?LqhUkrBSWZiO zz+a82()RXtv6=ae7ie8>PPLrj7L7d8^=7)K>crj0Y+0;>M4Mr9XqIYW0#La5vt%&> ziQJNW@uYX^y{Jp6A964df`~K#PHnk=;GXeIQkqv(stkpsl;D%0A&{++#``Yi0OeZc z%k0N|EjgR#&2w!ILrn%HZ^I*B&o7?ajnH~V%my} z6EDbF=ZVmm0k4D#)tQ|Y@yv*kFKcafDOt8_vG05oj4dN@R^20A6e>e;Q-dmwl$!&j zF5NB!FS?vgJ z4!P+J5XCYL1lBcKApCp>hR_4Rt|;RBni?jvI^Ml{CHlsR?6n3Vhhu|=kEbU^GeaHk z`J<6z>~q?)j*HuqqobFHSk05fr>~(^Sl%;zuQFfA6f~{l9?j@Emru^@_}TfmWK0;d z!P5iGMU^{}@z`FBjvjAvi3c$H>m|whRQ5iXUcUwCqNzm~+86a=K$?Bon9K z{Kjn%bul4_0lGhTM2TMLxvaFGI4m8VPMBD^yT_UwLb0Z=L&kfx3nLfgj^`PKL1hto zo;>wyd@f9=AgFiMQ55xvFepJ;bq(hl+0Z)r^ctGtuCnpn<=#(z%4L zYR_9N!Pb$%1H-+oW22=dtdp6xyOW$u9jdj@>@B(%96ryi-69Io(sZ|Ng)(n2C!ROU z@_ly;HAbhA<(V5^=a7MoZrkeXFN}hW1wWGaFNcpCY!C$PfcpKBbML`)J^Wkzoj^13E zo*e!h){7~HI@|=@i#F&ptag~R{LY=zJ;MS+B=GX$m zd}q6OL6VAw#z_xLLWZ#?KuJnLj|Mzgn%}FRKE;YTM>+TCHG*5Emu!&XINJH-v1#-_ zzm9K3H)BGzp5cDsDp6}6d+_D*ZKPZ#AG);zu;Pq0p}pGozG zujJ*Ce+vEB{1Ur+d{|ntv&X;~oBjd=m`JZOMh}L2WSPuoItoDiAP>2X=B*LPn z&Tc_^f=ln_bSyVmROq;`iF{wZ^^9P|Q06=m*d3mNy$*!FzId$7gpBtcC5TCtc)=Cc zIF2UP=sLtoO@&bfmYd%IztFEUGLoo~pYIt3A#forYlb3ojb)CZb(q)yL+^b^pR*%j z7O4n#CS)qEfSa3;N~5~&m0h(yc8su9iDeA30pvHoR*p67#c?$dp6Yx9m`(G0!^=wp zTO$bc!=9+tSw8>X(-_$Wg}P?V^eP@!bxUZP6=jRq<>i^n{09%(UvRNLcTRpF%7!qZ z^z|9z>_k&m(toCHqZc}4Z%4;QXsx?r<{hd_&k2+I+Y4pF(oG|Igu~_M@H*UW@~&Pj z%|W3x!Y9YnQ-=)=eCV7$EiT5H?62DCL`F>wSOL0yJ*f+Hwr_`rHEs&cUh3cr|DJe5 z)JShgaw;yIR?Ce}zyg!5K1UeYq4aO;-DDr1CC9(Yw)?pSi-h?&Y{|wFG0d{I1t3(C z#!|XXezW%(R@adh+&$n^!#fWlRX7xM%GU1u#isom<7udnV+T>vYd-%Z z(#&R_davOF@JEZlB=X364i8Z=ryZSMz4JqGW}897TbNp%GPxk$>fPUP@n35nKVoP? zaBJrm@K9L>@mcd6;iRx(cM&LY#nYJgbh}flpQm-f>Z(`K$JnaCA@QruQ z5hx0rBjr)$(=J_!j*hkv72m)A!|#)QdqK^ROBx$ zd738Sk&LA#XhK2JGAltO=dFK zsiPS3<2I^u4yGwY--I5H=JzL7Q-ZipH6i5Ne8p?@7<0Cks1D}hhAA9n^SYFPCM2Ab+@5khoXL&Nav*T;e7!k*+F z>C4NnQLcbbZ7%ZsnD-9e9@?IwJr8v8;%UJI5Z3J%2ufrGK!aL1-OC ziDWCPC?lkW-9JRut$x=bYe7XX#VjT1P_cfrjixd%Ai&7P1nvvR+C|B2lTAzN)=w|~ z{cL-}#)h0f<|&N6iglMw+;o&+z)M(I0Tyla4xUeB@_-D@5209WWA}ug#?1ToU~m$m zvxof2HxT<0U1q=##JL{Z4yL&oCP=7F4jpQQ`yYnd`uy9d0!mISa#V#F85v;`y!r(+(&_+v#%K;FBjv8eVNQ`9t!A z800{U`5D|V9J4a!~4Fv#i5{zxnA=49Xyz4cI0;;iRm=)PNJsm&tl{v0bIoA z=AD$m{o{=%u~5E$>{hk?+bAdSc!zi4ddWa|BVUFQ+$+o@KJRL?-o8Ue)Fp}TyQ_Cm z&U?p*-ULdP3FabAV?$HQi&PH+Fs6m6%V$yB2u>g^!;PK0#K4cm5j8cyOr2xr&6seU zJni+c^$q^nNr;y0E)?$&0&%V82Qz`Ys;Z9cgf83QSegweNH^9#81`{!BKE{+l{`}H zUe7eVP9LvhZER^@c4cr52fX1G!4PAg-Gv`2Ueq)+6sgWJs=YluH{Ll?2_1*#hm$jz zH7g^d^p7~0mdl{|bOo8o8;#>EU0r(ren$zEI4)R@Iv$9P1q5}_Wu8V&z&|X2tOz=CbHZ=KXM;Yh8Gu#0W?D;%0@mqB$6>QU9}}$WJ{pWOU=OP@-~Th zbRl{pAmF9%qEy;Dx-npl{;OIH3U@Uv*DFwFW9W;4%T9NUF9s6Zp_;AhrD%Y&CvnWK zjxd_Nh(P*j~UdHK81ur!G|`AqrNj&JL*=5qADua3*iF6@>c` zOX@w?R)dul<|TxVDyeU*=x_9VabR2^`jmOeJKejN|4L|Z<0~VMV0u$be7mN!)XBj? zWA3Ri^>WB`CkaC&m&P}9#F7}yfH3dyAef!vWqc|^;=W~owT6b(3CGs+p5G+icvIBnyvsm2 zi-Y}9PUEL6p)z6bVHDBS!&M@G`5yHydRjzun#unaH|qQBaMl1pprr-28vgLXIYSN< z^cGpuRd7XMR|(t?_YGBU?EJAPRT*G-WV|{I3>3QB+Fii9l9H3bKL(nWw}}=?3|$>o z#`~Z=^zyovmiFj)WM=E-e=ulV4+fA>#RG~0?9tWPdEqE0;Xus#1;Mch6nxZ7ptM4s z){_$FA@<+rh~aY}^tBMx9f;jCgH$&~pHIl^nkG^1#Sq?ZN-(*k5WrzFUZ;rEr^vf7 zDu)^wYzKJPfnC^$kt6LBM;8|$6)sTL4SU|OuswF{@ul{(R%AWg)3`p=Kv>C+QVT>* zNYVjHcN3IFa-=L2SppIg#(e44$Bz@Hsn*>z2_8KEeKR|R5@2k&Jg(l}a5mL#B*;6G zoxHsvT9M)5(SW=hBz$bH*|S6Nma)5gCH}-(uVx)StYD=2kIO0y01+A`j6#Q(Va7ad zLy%IsQHtHdEiOTp@9Lxq7XdRzCK8eZn22+y8M9`A~+%Hm}_W}eOE_XM{k5zjnU83LN)M}_vZ{M zxdITi0^oaj{!s5IgQT&tm9FL+ua=oZC_sg3zE-;^!?&N90a}^+Jc=|K%P2vs@0{RJ~-~+yzcuQ zww#QN(X|?A97VHB*Zl;Y4&)4>j51umg%QNNu)I&g3<;qA5hl3f@7LBI!m19~?ft!4 z54*sM0GRjY4M+dfJFuFu8h5C_{}f1#ICWfx-85;mTJr~h-}WRd&&)JIc0yR9`>Ab< zW{7PvE;Ti^fyn>5VCrr?JiSX&2?GDs{v-O_j&>bk+*{;Jcz!5W@qxeJU*3Zmh&x6r zwo)-YrzP^j9<^cY6mLKC;luRHTXW}i7%*c2nCL5v+xg}5XW#?%NId#v-)UUV1C+P5 zwf)ro_fJjzLyB7`(E$S^jG+I;7Z{Fn(cYnjX+T^LQ(+UTk#c9DHH1g$Xlg z>abfoS5b1GF7Hu)w9o`G zJ2)#-n_F9ZRWuL|zF~GuSOSP9sdM?BOMeDhtq>6X5znoi8=uddXxt^R#e%yPVhC4d zsI4%d;gSvFVr7NMIx#g>Ux>$&FK};vFv(?7{=M23+w+69eVlZO&@{E$spCLl6o;`? zeR%}!CMW1W;Bg~|pfUk+ix>w$9*%<#FsDBGxR&m}Z{#4}laO%k3w%WkFduQVA1m5 z@HMK~>2fFcOUEGygv4r@Zc$c^N9O%@z*6II>N`KRqiwh0ODwBI*fRJes#jk+PcpO zx{~=w{H>rA-mm~p07MxS>N--2+6 z;{^4}!hu@M?-15^BpgXUEzqKQN~A(jNr zvbq`!-SfZCIie?&2|^cMG1hdegFFB!(7!iMos3#CY^3 z;2$Da{Q2_-ANc?M1THs78OX-KtFgE>fuO1KP((DrsmAykg)!0zkbwXFMCcCaXegq! zByv(kJ0#J%Y8tzI~Nw#7Rg=TmC(cI8Jm%cwc!U_>)oF z;tS!ffWA0{dfvq3+s1|s>~3*QAXE4k4dsgPRnb*qS^{((#3xLJ|2+XbQrS5)NJ#BC zh+wMW(P6I=5Xw$TY>fI5NkR!LIzY^qzATR+AfA8=5l$1ZRliod)hcpcoigN{F2j`% zADlfrFsuXs!1KSuR6%%C4}&K=I;KCiy!-POgrb4{^#mQ_&r#Svf{Zou40NJnC}mNW z`%`C zyTPd%V#cRp2f;R>lhz;I9JK%Ahh&F2z3OpPCjOe*+LtXvvga-TikkMfht#o#V_;2jL>nvl>!odLz z=gCH=9+S|`Oj4yU1XUzFdleNF;G%io>G5G8l<`_6u-heE`a9lvh-$d>C+SrFPx$BS zFo1{y(jEaNY=3#9DD2O7n4O@#-GuPZiIngQFvT*!Yr#o?bJNwLqOgUhod0|8d)!eV zp%g>dfcDegVfdTZ7c58Ff)fuGSN~PT7{t~2tjiO z1{e1Cr#(<8+uwM*C~|;dOWo&3XsSR$Lfbm*3Bx`BV(OC*S{VW~_QWkv^Z)mTW8qhfRI&kStmSWuFNY-`xHyAUdXzsf z03Svrt*m?zJs!c$iXi6v-oi&e3*YgpEfw>*I0(f>z)Yl zbXHat82&7vvhCaV|GU$B9I=gw;5P(l)c(RW$uz|}`gu4u+-YgSxIclQPFD5D4_jMX zdw6+)z=SyKD*}ZXzSRJ@wGezr$;lC}D&@`4jUHy?$XS9eGLe%FKba_}pnzBb$npF3 zHlq86NG#zec}58+wOy%-z&IC*OUhAKb0xc?O2ya~UKp2~Z)T5;A%DJjTr7lVRWSy)zIx77cq zzgz_e03X{Ifc-aUjl=LYVPzg}kK%b_2>&RqXLh#SNJs^fJzM}m`a_|ie+U;BxeWg_ zZHa}21(Z+tgVeyF0`N}(RBhcPE51-w!j2hX0^&BX-_ZjR{|NkwB%8?tpo= z+DZH`S}Hq?_e~=7Z`+CEC9s7Xax3|DqqTw8yNb$jq0D$flKb~fXl2e|>VC-B7;iJy z1}r~3fPZiGzpQ|NOXeWF$N(w&pFKN*9p}N@z9AuMpZ5KWJ%qnyC=IlG^fiF)3A0mV zGXTv01c?8BSRS(~WHF2+x5-J<_fH=%kGFQ9 zb&>-wAHNfL*&EEfkR|Z2Wb(X)zQtlC1Gw178=ayH3Qk~7ruSuUTpr}HkUsb_ z9O7=>Gju>GN|nuDxrb*2&mM{m*d(AjIpyI2CR%Lg`r#Wo40t?skYsRkbEBw5)x*Rz z|LId|5fD__)>{YAVIy06zr809S_(KeYubcw+&9{Txg~_oNHgi zcyK4NGtG{?;?bx=XCsqie3xcB*f6RWt2t>nF4C7V?3aq^=1;X;jdQKUZsf~`wXzLW zEl@den{+;YVw4hTC-JvLpZaa|o(`C*8wg|o)dhXj#>S@g?%iPM;AS8{OVRsMuz0c75NGgc-df8kO1xj*q zEQHyk5Pn|UyYVLyS+i450%999(GWVqyjIV|gs@pd7@H(krq0L5wzeWe4>m?1$y^kC z^)3Sa7iXiI4Br>B^eOEYIMqRujt&76k+)g+cpp$wFTs?JFkM$ts=h#^789y*$LjcT z%4YrXSMT2a&1GfK5yI-tBeXv#uynW=!>hNHC3Au;mX}ok zrK*R#f*!Q1>jS(t=ml98j?O<^bYMlrHUnc$z-nJ_i~N;yAak5RU~#s5OxsDZdl3`8;M(CIabe*G-HfSYIcuL0YLW(( zK*$UhFy$>?Cg>JJK93v(TMBFm4od=$^r~34VdImm{fotU2FndU77*- zQ#?%&13;)a8oQ(#!FAc|QJ|w5B>MT&tw~EuD=Vm|G}zPCN(mneCCO?HIxdD)~y|g3d?RRBrY*UFHE6^_~YRWR+}(U)pi=RlWK<^xNPlUh@Qc zGP>9N=a+tyy_*Gz`s*8NUa=RTG+{-jKpM2U12YfVZVL;Y++$hJSs(kfj_2hs5oJzk#KklkUU$S_I8m@=jA25- z@Dn1h*RNi6P6C}pf9jat=VReML}DtLpcREqh-{bOZDGw56Z>I~b@mS`Gi~+EqN4g& zlt(m@Oz4%$cHi`vgao(dIlB#T+L|s}= zsRz;FM$~@Nd`zdS*{_ma{J=C^WT7(3=%j}+)J0@xg?^~azl7;zkuhbm6fidcbC4;Wu46KcUg9e5H+1oqc0Kmj!8EA`ML7-dY!3Q zq<&aw#9{>LiNEp58%&~Fj~3;05;-lOm$NAe8!E0KiI`76(u=F0+vx-MpmPC`^&<>1U+v2P*Tql;UEjBm9lv8j!`0;`C$|hE&Cq} zPLZhd$Kro9(w<~c=vw=BfI3$1caviqp@y&%*b4%@yuLhHBlFc86h`Od8Y6~3cIN2Z z%Pt-g0cP(|yXeBgF|Q(eb*etPS<8bi)J*=UJhf#+iCMLC!WZHi3MOhDnF^h|NAeri zrVUD_M7*-9kC8N-z7!Nhuq3xd8&(%uJ0el?U2D2pu`=L(btYZ;dTF(I^|3Wl_G0qR z&ySth>FN2>4c3(+(?y1kewjOAy>1Y48_KdFm>*-~K;7pMnqqRr>Vk(b~+Pgi?l%ayHG>0it*mDh7O;-!Bbt z>^e0Iz6N#HduGhI6~z?v6i_8X!hR3^HNa#6adgQj?0hxI>Z`3?_%CBpO97RREM4h3A3eV#{#EA5&FBD5=LoaDv^j{q2MX!GB*h^UMo6uR8r;s@S{4w1| zFHTus#xeV-Arw||-8=T{7xEJq6HROOLy8jDhm4LN8>?qwFg^r!FRx$Ljz+cfYaGk; z<{>WUk=21}K84#c4M=NSZu2Xf-no~*KQw&*K#$e$a8PQ$$c;apKDG_hBX~rRgD>0nPIzr6Au-uY8@L5?8+X*#pF6&my6AU74f{ z!^+)z7W-kTJ#u#kyFQ2hPPJ463#|x==zA2l z$=>#gG(cjS%8b&jj#_D}TK&+-h{RB7FnV(E?ep7M%h zzs_~v2=I#nI8)e^ck;!^Y$y{G-_(9By)>K$W`+lmvJQ)Cnr8NFX(O48ni^+%*{CVQ zooAMjA=2TWQvd#)xW84b_E_cQ``A0SvH|z1uAa&ZTzruwDmc#fRmUk&+}J?;X7%-S zMT=(P$|Fnp%RC*l=}ei{qCS~%%~vM0(uRvutjOndXd8vDUrGkQ416?@fLBcCzl8T82bno0J^i!Pj#oH)00n4fLw6GRXZ=;D7OFz}#M| z{7Tf*mu^Y2*C_AEonQB~d7kW{K7f6*MiVmUP^nN9+P7^@zJD?^D>|BgYwpVN(@p(C z*iHGt!F=9VeE;FefVjTgouzNL`BqzZ_?oWIwe)W9H`yRj(aTxs6)JA|m)2U9U4vujf4000d|i(f zB)fJ~y%(#=V7u`x?1_|xneD@wg#E-)=N+ZR_N8y05nj-n8X5ik%lz=cA0w$W2Y>nY z`Uh{MiZh@`Dp}{%$P(GD`q@vf3W1YMrv zPs`=%O|(lVzrE{~k%m%!FCB#+zw%3y$gP`aLMZ2$s0Xf}cvx~@ps;sCjXI`qdYOUS z&){0rNf~;6UCu9w()5?(_;$xNmnKfsrWq!$-IaX*RWnKDLuRaJo+we^XpdtoXW*N& zk9m`|9!u>UsR);f=O@XJA5b2bZv*zfh+sD@))f}Oj+8VM(+MG<9x;Gec5d` zwnO`wgMH)Ph>-6dPE|`0b9ieCEv1A+FqgKkAC@FXU5EW)#Z-oA#rMM^?Z8@ z^ANU-zm?H$uhP}42Y3gj78MM}!e<96x{jEhJt8^V;AnjB)w|wgg;yR0=f*cOJBRB7 z?EIg|$J~+YuW0|pSocPJNFlnMS>f+9CI-E_fEE>DkF2uKlOMx((a_3mh1aGJt$u22 z?q$->&T#cDTzMJ!(1QPRGS9Rh*@;s$Nzdid zIIc`|XXY|;{J0(V_s_kjzVi?BqF(Y9l?zh^jXaDKA(uX8;54Z9g+J!utJePLl5YA@ zp%2M#ByKF+h#YxqCO#X)CwLFuZ>RLX=5^Ruio51L_EU+l&yLEi();RtXVT4nyOSCJ z!yeJBEA2lj#W7AqD!(!Tde=Lt)vvR!RYKU_((Y9g_rK!xw(yAPfA?^DcY^Ve4=jpY z#s-z|zi+d|gmVoGEmGH|$i7bofkQvn%*KwcU!dmW(*E&T|C}q0VjP9F>acJ3KPNd% zLKL5}hU6Kg{Ves*+_cjP9FEnrr{0iDTrWGZfbzD(0To%6>o0DYdIUe_ zJg=kDXhl{1``+$eBr*YLeB^M7f5$P^qeJP>#Hd611#=8aqh@M;G19ibI#{!#q--|3zUoHbY*G|w zu0F~B9XeT`$_*#f|A_H)t_eTjzn=A>@Y333%`wRV39o4iKNXuN&02RvWY1QF2fJm9 z#g!!7`!ar9{&3B6r)%C#{SxgNbl)S zy%y_P>1lN>Qx|3U2JOb1*D1&ZdnTowdp#c84;T-+@T))5x>WikLzwL=^PxO*?^b>- z`GK;&s3CV=q7H`RHiZ+Bk@`!51`f?4m$92^L}ba6c0>1C;Dm1%b<2bkrONr%LB8Uw zkG1^stnraIa)4Z@B+$3Q)NCo_Bc+=$(~Uzi`b(ncM&^Y+e5~Ntyq!sys+SI7=TU=;v#q`&jfA(rffBWacuX)^q!xzcR2_sev? zfJ!QKPNisF{u#@~yn@d)WP&NbE&lqnyd9sTdcMEDP0PDUvyoipu-^^alGE60rBqg> zNUfJQmbKe8_E5|Y6~->iPbAKopBi^+PrLg1h?5e(r_}+Y&np_5^XX*5q0TOssTGQA zdo)X7kgt(%7&X}&;KD7Nq^C2+OH*0V>Yy{IwWjMHqZo{J^)4>P4N|>Nmy0UhDgzIQ z9PN_YXJ!-HLBc#6kjM1n>&F`Y69x%Ox+!=2ZMH=1yLpAaOKxGl!tVO;s$N!6pX%2M zXY(`RxjV)V#W=>KUQO@#_E5dIbDZHk&U##7zoGG^3xQ<-wke`JV;Q#<)b&J)t3|W-NW&rt9xB)h#0?zFxj!`}WdeyU~JL$DZJQ&jdMJb>s6A^%kH@%jxSF8?y#)DyujDzF{-W2Yh^s0ZbZ6LoAY5MBI zhh!4&h)Q9f&!gcxcj?^!J^6k2MR)O=>0YCLC0=fnWSRnA1qaMV#Z-0M4!rWafQ8s6%FRK^Tqvx2e&M3GE1~R|9#ig7P-X-FcvslvYSIZ!UZ7>^bX3o{N zDu&T-+zOcjIBi0{y*)p=)LY*mWl7jbl1u-xnZ>O^Ab`SLJic77-14m(>rPH3!xNMH zUJ5tgF!etjmFwER>fZ`=!Ak9|l-fD2j2=?)qWgAtyQqqq5BZq+cJj%4#t6-*`|Mv@ zwK%+6zF>}4{zkdT?;)xu&3=p?_e(AN`Gn{|3ViKZbFhtsy zES1FPCmcz~1Vc^~QZQRyHg4Q_C3ju1HDCM1f-dukaxWoPvKbz$;B=CWoU*jC! zAX(uruhg@wICSW8#ej3Fnw86JI`ye{8hzTt=C!68wTCR1dlXv7QyL|iSv%dX zxpV|y6J!e*!IU-I%J$H3g;8F{ajzkI0iT@4{&%W{MzETp?9CeCjd|rBbETPw=Av9X zt9@^obT-|reSDryhq*(eZ6v)+IOEAoxav5a54`Y7Q5uKmp0?jJ9^~`#KfzdV&d$1@Wn&r^koyi zP!{JpWk;`WwvgDmQFX;T1>`<~exr?j0#mLZ9x-)CWof5Xm=x8Koc0sgBap$qSfqA8 zWGOq)_n?tVVpZAw{GL;jsm*+iiZ@N2x1GF9G#hS>mzFUfwc3{ioJ-)duUouVVaT}1 zb$%;+xpcM>=R>#N@9`Vtcj5APOPWpC=k6cv+7U^zSQk7wU3Y)2bK=XIZ-l2yvztg0 zHaRPOdss~|J;v`E!G3<~;33U4_STY|53Ls-KV2LS`8ug@Hr?M;cDp=Ax;3iXf=_Gk zURi^(_7mIITYJ2EJYm{LReWbXm@zW{eBGY<^U?=V+%u5tZ$`R39;nrBnDMae$Wdf- zUnn^5c4u+=d(yW&D@(u4>kK!X%@W8wiR-U?{+T;HQ6gdbmjf?ihE-`w_L4U zo+_`@QV~1$_wRSD-H;%eKlZ2aY%gnu)*gDcp!di0DfF^9a`nCXP4ZmJe>}@8n)j4% z>{7T-9;q=RLv?t3QUBhd!I)cpEEkE@&*v5#uJY7w9f)gp;`?&&&qiucz|!@c`Q9A~ zmV=*3PA%1&uQ~>t@4Rr}FIn71Ps5vmj25ZHk|dUkyXn52Qrj}|G=G_KdbfYEQmltl zc73qPt-!M8p4f`PR-WD0!gf=!`1UX!>z3a*xHTkugi`Nl9oas*yfqzbKV7jwscJpV zva3BdhpHT_pWGd1dmT{zRfg%}-2&@LmJIVDg%}^`3)p>br|)?pQoDOMC%bj0$QA!L z_H+6|?jI=&*+^0>jhBy{YJ8k^orb?A*~*{oe7Dqo4#Uj6VWyG~S0iWRDioU=&Jo3? z21+Rjvuh6KH4a4td8nN)sgf@1H4$jAITk4AYC3znTE^NrS)Mp5mCek-oWJwLngE@T z^no`If4==x81VeilfyGn7UnE&&c=_WB@Ya_?^IK%ic$~xVPKb#Y{W#|?0)-IQ5=)R z!`z_|j66BxPXf{TIQhM33XcQ#*J!Vb(3nfF+`Qp(rD|uwDOA!03u*y|R0$1kBI({1H8L|* zPZVAcnG6_BiO`*{j;hTxxfYjEwyAo-h%sUQt#+%q+ojKkxrmtp^;v~By%eiV8u_#r zIaL-Sh#X72t}@OpMndCU{r#!npg=(uw!YsT5nY;jR~!FWhS%q=I)yfx77?(5eelQ!)c8X6S zt~?c$B5Ovw8kl+YY3-LC-_2_}C6Z$NfBx8VP;kg_bLBnHb|AgwmbRbd11%@|msd~4 z8qU?H0jp>fs5BjICoT)Qd*!5e))DbO1D67-SMR*hoxLPGXZ+F|-n=@ulXo*8Xx8w! zR?ux_;o1>5dVlTW$#*I%JF7LWUw@uN^u#lnI>_o?i2ISb-f&*+n7G!ak^8NO6}IA9 z)-DwM%$Gkr;@(4Lf8-7dwtDx1b5_Q3XUE&R|EO*j>x^bik7jZBR7u`yvGWo*|C2K1 z;Z=QA;ww6q0^X(Jkrc=b?=VuP~OdZLoH8ck|T($CfJ^FyjEoegh`#` zv6u65(WNyd#!`OqDj(^VTj7Kq7)o1T!3-IFQGRD`|EJZ8hw`T>=r#0NV`AdNjQ;F= zkb10!+WoZW){CPiAHT+DYyG<_+!xONDws+52*Z`izkY;xIFqakm3{o3h!-B;&(OMG}&p z$Eb|tm4|lQT)qFBBc(O&kketi{ca@=)<4tI)p?U^tzPR?dD8LK$uA@(@w0#5uTCIK zX?Mp?WeGWV)B~a0XY*jC?!H?yX^%$CVTsHk@~Bx1u)NC;=Rdc7F*C(swvSleelz^m zIE#>n0^64pQYEjN=-E(NqAJ-lE+rY~#FA}E?}F?M znO22X)@!Ya{bHVdgIP<)92_%SpeFTHKV`R_;uJ8TvLxHOIyOQH4AE3LxSTd3My15F z+ZhOx$6mRn)+Odiy$mpBoV6xSE4oW`^DNu^?CF(Q__ZM+MrPvQN4_tA_|K1P>{VmsEg(R@7ifT}r^jUX@0b7f;4& zY3h7gk-W0M?+2TdgN9saVO%-qCf_wKmveR3&Y!$lA>4Sxj_pRp<*P*;*$d5_HD0S` zaRCh9dBP{^1^jd*ZR(O!pNyGXj6ZeivB|rB*7pzAVn^>@XtfX`H{h=cN-&aG7SOJ< zI?U7<^!D6K`C}XFf8X3cTte2o)|8*#CTAHyXezVUlwIX7MaAVlZ_?waq4V4ysik%8 zSYr!+ld{+O1IHeb=ur7jn%P3-TrbshS|Qz)G82(~fO4Lkjf9t9OaJtN+p1m?b9z=^ zdYi>~v$yDNKNK^S$8?Bxtkccb8k~ufuNN47Z{uFjTvan>V_V&7Taa%qDB#PK!yiUP z%$crW^hIf>{+CmhU&#);$lI@uhIV=K*Z7pTk-0agldP1M7l!^g^+n_&E9KRJ9virU zw}_DuXl+zRjN8WbR$Iq*d_#-(A*b@m;LHt@t)w)!;9C z)$=gREbN5KISQ2r!k4}eoFdkg-LD*Vo?b_3zJuDf*1kP-z>=0&HSzn3*~fTBc9+RN zG1s1ZwzIuXaW4w7y4LXJ3w3a-B|p@bO%6 zI&w-IarstqJ6exmm1~nPT%NX{9XGHr@blq+nN=0cO7g_Nqk`Z3#9-^K$S0lI3-VW6 ziJHtKG{~=}pMG`hUO*kk(u-~-<*LH5jPSVf&^`Lja)g+G|!;P|1sH0eA}J3 zv$t4g?{Sji7h9r_?A8uM9JY&*{mFR9IOyzAVTrbNt@e3!Vi5%mr_?~5_rEXF*s6$j zc;5)PcASR*t`jas8p-u7gAXz6r?(3}1(PnEkvLsS3gUy1#W5S#Y#3Ma$|k2gYL*JK z*!y;%E9NdY@8un38j4h!vDv)&C%DSAAEhYG=K?X|s#yx^myu&1?2N-bY5raLCeoOc znnRKHtX5mPG()1W-jcMXYV09vx$1boGXLDyC(ggs-Ax};Rnfbl%@CJPfao%bcOGFR zU5yji*vph~(vP%7Ah7P?kL9-4acdPZzJ5^+nY+t!`UKRXPs#|b$c~=AFu$AKyWz)j z&4-9%Cxfm#>vEat2=(N5bUuBjdfO|^eo|ZP{m_Z2;{}w~zn)~=xy#q+z%O)YaQ2Yz zX=RQLPt|tEXM`uQNNeq&`&nC-7%Ho>ziUhF*~{0l%=dV7Z#bw((YGw*lrPM^ zRBY9GUDWY*irARROxYr-%5(U1P_^9P<9LCmzjE4tk(_y46difdv-85r%@$=-V&yYL z`!odl2vRdEZ+cN1nWq*}LCB+f`=7e+y}-+m!e_Er;SIVqpN&OKy@}cvhRtX4(fd9H z2s%b5C+cx6ERY@TKOpLieRn|`*K!$yehRn0X;XH1v}5FGL`*m*Lx#*~didcYi6kEK z0m>H_bo_5f-$_5y`CQk^{Y$l2hyERp{=2~&YvSarX znN)hY9X{XkzIqqZypJ3{B$41l-cfAc)6#`eGRj+ zzA+v5)~tZFRpOpCmcb)b@>TEJu0Gje=B!oy>W0AXD(X2=A)DrF?KbZUy(|jZinj~H z{sff`DK=eh?fly3DPKXkJXD)eN$?%HQyAuP`lj9%2Sn3`PYI3PDltG z)(|k0SOcD*`dmV2xwZ9oF(-1>(XS& z`qz|-GJj57SAS-;z%ULc!Be;ETIa96_GvkBQpO`e_Luy_SDrP5*6L$*tLEv%0dLuW z#o@N6Nvx;{+d3`;iW;bFKkg7X=he27byuM{iz9V&z~ELyqeGMHowpP7v||%(f=9ku zKDb$-q66|1w&rH{=cC2tPp7Li@Y43F!S4XT(! zTIMx$oqdeIo3T#dC*!+OqGjV-v<(3qbdZhuWZLFw6a? z*Uqj#m~VU&eRKbLs;-pVm#ItrTLp8o9L%S5%DlXboFLGyRSwwBib+UW?apXp z0{i(+ZPS1#*l7a0Zc(X_a2nQ1{-Hk`$SbY`vy_dM(*1JkGaoHtwt0F5SU%O=TNdrz!zO1S$B}#g z>hP66n$I2HQ28p4$zleqB5Ox!H*l<_>1xL-hA6iJ&zZzZo1<^1-vq;zAzCYL zRpf2AK_M}-Fp-X#ncn80RLZD*kYzxji~fh^|A(rtj;r$7zNWjoySqz3y1QGty95R4 z7D2kZOIkpX?rxAqIut2s-r;`V`@8r4>qpKx&oeW7_FjAKwW_qFa*$@BdcGisZn$zV zpm6dISP@5swg1kfN!=FyQ&IHwhds0J2@XYLc)ex&RGrkK`LW)c@@h~{$O(}XCic&w zaOPthQU`ykl`gOuOY7bcHk@XwY>00%1yDos_?sUUpXV<#g2zs=H4>B48{Tm@Sn(Yz zx&XinbP1wt1!^Ov*ARDU;(`-p8wgEtY(t@sJqeVr_IdafeiNc;l){WKd&2Jl@IItr1w4{c4yLy-V;f zAI944NyZn$wnf2Xd-FbizJ!r)<+TgJ?<^5KSdnOs&ILmk5lIcbBwFbz+n14~aK@AN z&z|wTI4R9_Hzo>7nBHF(ILY(fYFx%70;U5?j5f_6YX{A|Ub__CZYBJJ(9xP7xzPX|IEpKz+OY2MHPsR;XC- zF0**Tk5n$3Jz)o=C|Og-^%bmGF-i%s3|XY_J~%N<$LgyaGI%x+ctQ8TVADC(-u?MG z*m>529Epb|0onls(UY-ac&ouq^6J zi-8!0nt-m9fWvwd1&1l}8ZolP&M4l^xNb(YUYTIV;X|_WsU<3NwHGsndl*`&RdS6- zu!O9{kywWfE+b2}-mLD0*e?K(r~GKB)6CnYbMcWY`_A6Ka+!?)3@Kkmm$Ls6XsOL|N< z`zjbvJB~_XGjt%Rkr+)SeO!{&vlOf}Rfn8QJUaFEJa{)(rtYm-&^A%MHbBQHE=~aY zT5q23bP;Dh3o!gFnqSOX@Hxnj$bbj94EfOg;rY7#E)%A2v^dDvnSX+Gdzk@&&pOuG z+1?1rmIrdI0G`H=jO*0fh#ko{Bn`$+O^Ju0daf?d?v3n&1{UAm?#Xs}(dk~p)SCM! zD_3$v@c3(7UY;UTkI_%9)p30pKiCiWX$>mmtnHEt-s` zwXdc-YrlW(l<(`(gk%;@cK9fb$efsFUm5`0@F={s((@n_MO^AzAHJJ)m5Se729L#s z{h4ZV<>*2J-a@upj#)n=vx_v%MofTtG^wQQhyG7%KEl)uFloHUbe6_xQKnu(l)pNu z)bkcq;VaYjms~DEo2EvQzpJx#dm`$|v!E7dJeCpCc}sH0$1=vTChv(g2q!p#Ep)g2 z3f^NvE#s2vO|)RC+FUiEHE=56`4*I=sSV)NoSJdy2lGISacdbW`1z1>fw=YdLM&q( zlu#L+<3>xbf8YiEJVQ$g;}EI>dsOD3wdy5=`M_}HbS~Q8h5Acv-vhG?7!U}Y5B1s* z%ew>*cY$<^=vfzZcB*47%h=$TGEux}!)sIA^KxWQqU!#Dwlcoh!tVqYWMxI-2z9b# zzM<_S*yAXp$NB1v2J27yT_pRK^iWaGR!$d{HEjy_j=hS*cn_M$b4crvTR(kz5_DCL zgPB?{XB1E4p~Gro*553dMz)^qlpL$i;@-ZOdE2CNK+^%0eYZ!=3_8`_AT zqH;ZQI`wT74|jK9n-O;8mqfnvybup<7tJt>_~co!4P8UAxAd!MEfhdojE z*0eqWi#xGbiT^jmREvHEl5^n_b5qI-sgG|F1d)rq)ECzr_sg}S(@H#(O4qz-LL7&N z@e)vRUGQ8dEH;A^zv6rGDdw#D?q~1Vq)?9hoWEW~P7H0lXd}qH$SGM}UNKSh#FifG z&S#A*%9C%b%0Cvis(|+RAVVmnk1XBS5{;!-A>MWC5YhhHU@9qo4d(W3=RK*zX-N+E zr#KF}h3sR$u5M!|ezC z=$@*S)kYGh;;cSa*sAMuwsYaUamIx`!9VTQD>+EO7^1POiG{#xh%#a)c71I@axyQ5 z`?afrL%C3t+L7-XH)bi#9OXuXT4vdd5n?&##Cg`I(caM`abf9lUy3lZ_9?nWE+5#~ zd%g^2CD;e;I=@Lmg4;V?Xm{0)b@L5kBNjNCv5O9W z)|VLm!}b@}N|pLAfP&-SG$j5xX21?k8qSutHmeL(n!u)0QXAvUnbN^J67N!`HhS7% zJs7R?jms?HdPZ(Ky;j#1wM5`oSk3{2gi@OZm>{E_)z7Ta@qef~%ZQ7dQq6f;Dv(xc z&BKWnEY=kno_tk|G>QTj>m8L2WA5*8FO+PL)TrAUru`E5maBN5*`;LMK9LA&G>GIb z8vE_oH;u6C8~>}zsjiU|R3uANQxe}0@jv9KZJwD|!cr>Wx72(QbeNW83PWSXXM;0s z&M2sF%7U6o!a18mL!EBI+`^Y6#_pYf!oZu>{NU4sFyxh^4@Y^*BOgy#r7XLP`s4KT z+|@Y$#>cWsl6;K$tV;P^gp5Lt=cIxY0{m-y4>H6r0y}K0JY{dqFolA4za)6HWbD)N zE-ul{ZL3bloYAHlQ9XGEy-Nv`jzu%B?80eIl-h3EQH5$sy#&4d;YzQs8_M_(mbm(qE@+&6|b&}zRt)tliP{%NSOYcf%CLQ6$oOM^>VtM#W zy>4!sL!a3CrCD3z-v1Vy>0g#@t+U8VfKAFBieDkNTP~rM7&2SVT9qzQ|r_Mugv=*!65pJ6YnoG z(wl#S$UApL-;Z4ADN*dChTWHI{HdEmCQaEHiaTjcN}o*!SX7*>9uJ1$#S(}$TX`FS_F#xFLzSD6HH^NEq7^a^=7Xv-N=nf zR+^!wyR6ZsA9J6+wC&aBI@UcivwE6fxWJPyM;T&qol2Ak#YU39eK>7Tz&ebzpdMZm z8l8P!30~7%$@$c;WJN(_E1XkRUUfO|NeN}J_uhk#?w5@P`Y#8~Ew|Xm6VH~Z-RnSS zX2I0PycIsl9!)`Gs&uQ2gbeG#p_ta8%9M$&`tAc$0-{N|;n-4R8A8Q^As*>J%gUP9 zhm=L-X159&X&G(yD&(w=v?BP-g$fUs?~tS7%8(?!5gKbO-w?aarDde%AYZY5UF8C^ zZdF#wpVk0(07RxCAs_l|&l!-OZmce!v*o=h?s+xv_LCZ31^KVhZ)-x@N{y|gJJUJi zwe}`a>xTw#g|XxAs~@z{e_$S!Ad>^(gyckHV|xL1zps+-8-7z~ydC*!Q~js7V{nZ4 zT4~_h*gB#9@{&n(b7t2qt?hZH*^Jc(#p=BW$t1_p?DXA?Um5aBrW@WVrXiGFfpQ;U z3Gp+achw)bx%Wd={p{je*B@!#3R1?K4{?k|aaDVv_4dmu&{8vmM@6Z-E}2E_QU<@B z{{M})9*tlXvis(->uS7p^-M+9^@Y?hm;H$V7NQu7qXegmY`!Zp==)zn#$$z|c|>#! zpzW-pvw!ugRr^((AUZMMNiZ^7p85K8L+{#l2mCd(`*TRyRxwNr;XL|}$G=ue9fOj1 zuo-uM2FY`QC<1tu?qKXP@#rnMh`|Uey6~A;fIr=mhxHdh`ZKfYlz+D83mLTL^EW5p zo4Nq1msgWS=!9H5Q@0F(!4btMI|Y1b023bSlb>gS%=v0g6CRbQ z@$q^k;P?BDSASPMTtI&aTtx5hE)M~(V1qhNc;+cy{a?AxzhJS(pP*y`9s=-R0Q`i^ z-tMY}>^sxCLXU|Qh7NB6m>&Q-{{?7%dZ2J$1V9#R^OAYPINv=W*F1sNZvP@Ev`E3< z`*!z5(dPi&Ia{o66I?GtfB$@kv>C|3UW9x=0e6D8H<4|4%!@0!I%L@E4cY;?I(lZU zyD9f`rJ_w>tor^eO4YAtA6M7Ntr!p)oSNo!jJ@W)7WDV;fgndHB6h}G9lZbhXz&eb z01*HaB+rLn%sJo^;S~xHm)P!$ig}kT`JYF`K_V9yzB?#<{dlO_dENAd6>wukhK_g_ zjb@#}8&X~C0mJwI`P7iooOeebxhIx9XO&ame7KdiJDT+WMVXm;2G%E+reY}G8VKLFP9!cG0dR)5Exdpib*KY*53 z4-j5&c|(K$ditK3$VHR)PQY^0(6O!`uvLcvyaATs6?lK8%9+;##4ap$-2Z?&|GYCj z1y0}d9f0iHfu_FW;v5hV5w7+J)6=4WPOJE%>;JYi_*p3?O6goyzy=r0EaMX^cbwFZ zzM!6fklUxszjg^`k=gB_|L4QsxlM}vMIjaPjOAU(E1|A@eO(v#vMmZ_V&6Ud8Qgex zTutj_`ajFT4oKelTfGrr2*v^It`sdGzi5jfO ziFoa`fR2f-o*8WYj}35(`|S*UjuW|FO5m&hpZ`n>+?cX?>}G(1*6aJ_$%OegPv@x@ z{~F(JEK+9vuYF`@Hwa`7fWn;j&S%*g@V%B-UppU9jVfwNEB@yhNie4Ab9}ZdKnVcO z!0*Oc70_(1P@MmtT|fsYm#vzrB3^)?dpp4Y-3=f*lWCkkK@fg3BlDm0213V15IE6p zeUX@t_kYQSea=8Cl!{7 z{(HUt`@{bA_TJAqO@en~Hz`;&j_QnaPj-_LOSs#O^1U$`9qZc>rgb^6O3Svjg;}{PF8TJV1v$ z9tRppU!Eq?x%Y4J{Dvs`e&i>HZVrE%2UiBy{l<_wkPl+9(7T81FSV&vUQ|R(#Lp#m zX?FwhAmFB#Jo5PZhMgAgLmwsbfMR&2(DP=r&S6{jD#toj|^B%_WQW|l8L-a6&1XmHPH|?Tn?f+26 zA~K?CPjJSQ4S_Rn5q(eC0zF!c01 znk!coy|t9g^~;-93kF;A2=E9?O^7p2OF>+;w?~#c0a~&m9(!Y;_BC2mS?|_*7*PG&z?fcF4s6op?LCl0pcLeB&ZoS|e_=$yrs^D%C2xK3CECRCO zt$F@rkKXpXVW_`+CPQM>$cU+_=nT}MA1S#D!(O5y$ofC5Na}HcDa_}|^=wgn?QAFL zXZ&;4WCeYB(IO_s%BnPRYAPr$h7bfEB&MM%2By4Vfr0`ACM-2VoM{SyO$aD^WGW_x zV5Q(_@nC{MF8drVmvxK{h0abP-PYqd!8IqvOW%aS4pGnSb(_=oYd?h+oBsZApsw(g zRHq%wKfDHg?pJF&rnZQ_2v|Rs#w393wO*}hkYG_=-5-z=@Ux~N)t0)rOi4N@O!CAO zJi+7UEk)%C`T?zo%hx=V31%Q9fsxL?#9Oj39go(@j*8r62J!Piao<|Y&~s?}^ov(V zlHRBDSw6s^1ew6P_li?`gw@_x16V6&!{rg3=KAE*jiRlPO%Xg{Ky?FRFXG~YXc-{p zR)Q65-3&$s2@M(z{+pnKr>l7|S{t+k+@^q<_GLi~8xe>d%Qm?pU|C*3xd`C*=mJ70 zNTxS|Kqp~dOo+uS%qJpVg$Tf#L91>AdXM*S!S)WNSOo4c<*kCjo5Z#YRr-MWkUtBA z?oqyqvAB+cI~3*ZZnADcBABtgarveWE9HMaOm7qs-VSP;XKL5F@A=Yz$hemdt}ib@ z7j0Dx9tnDJj=w&AZVcYI3YY!8R43UnbiwPe&<=)$H?StL-buIl{4vKZ_5OiyqJ047 zw=w6zwCQ({2SxDlh?=mZcYQ%umNO)BQWj4&_D)YXn=X(BCYay%G91W0+-#Yb+`YB6 zhC>r?IUCs~`uTP7Yy%v&LA@-^2EBu0KB4`TV~~fvA7V@P0du6%e2*A6;&!9E*&x^r zgODTOvi|bhU4V|woAQtisa@*lTea?HH=IuWp?h#*1HjEVmL;eeWJ6~CLB4YIWm&2n zt?t=)Fw6lD24n*Bgf>}_g-}@Cx4D2p7>&z(wgFr&e~v;R&SYq=2R!=|Aa=l-=YoqI z9KsQxh!qYf%E2ulER!a@vYRQwq?WlnUi>}AJ=uQRyprc&{uv<;A3sOHjY>$^b}A2O z?KHV;d|0YAOXK1RW_b-DE5N}7-fSu`)AUMd9F{}z;4uga2k{Re&hsDOjy5`)X z9uQT#JnxzxRmLgb-jwhi-6|kDwP#;?B@6CoKVCu4$0X#+A+`I1m|e-pd1p|8cX*fl z^nBKf4rET{VR@}aaFlLAzT*^g&b0%APbYxCI34Dyt~3qo^>(-?g}H&mCENn?Eq+5~ zTl(iQ2uGd;FseAl%{qPb<>MiLa@`do1qKi`<*6KLNp2eI?@xEfRJQ9Jy^HJ?&K4w}HS<58@~?T$f*FxFq#4iQgEj>r;>m&J z2#4Yk_J$d(42i89Qj|aC5shBk@Kv@_zi6`ptt=oY&H4;PsMxpd<3pQ0F8;N`FK6&N zcLgdJV+-&`2^nQ@L#Xn)L5#HM3WQwy_Ey%wrDZD|#3{mT7&3~lhR&_9=~r)oUEzyj zPoFzj?Fg$^=c^u*K)tnRANbYuXJC4-V($dn02*nC&Ota%?@IzG{6iERZlL$vL&-5& zWBg4mj;IgnwWL7WO&Gojg4`^O>fm?zm3pg~peZLDGWW{XSK$xlY%k(nu6`Bd!5OIc6ZDj z;X(Ik*RzzO#?OBq7_@m!G^TngjEyvoRS=S+kN%uI9wpQX`ksHBjvd~hChb4Q_SmD9 z4@{o$dT#5nC_Y`}KC|5Jj)4-Qo`ZTYeRym6F!FZ%6LacEs$T33>wC2>S0#`q$tozR z1O3^m7P^Hhy_6#{!=~~`wzdN*9PM;ssyMmD9ldKqxgMJhjsYeN1FK_b?Wmzm{Km(C zWV$9fY@pQG5eKf*m zX#yGuM=k#NjY|s(jf#=_G02oozG05ldA_N?Jy}gEI>Rlzu?O-9AU|z;3DwG(IxH0O z)LHy3k>>M@yIk}Sxe75By!6eNMzx|`Iwxv}>D)F7)i0q6T#&+el+V4N4oE}AA^(iJ|QZb(w>foFvq9P*z#)UEFiH-=|7j$inAL04!7UU z8MtJA10F2mc@QBeJlh&3gplQk1>K7}#oXg*kc8c~rLw!d*7EcliMC*+q2hZSAmXHB z@V4ee-e31ogFHXkb*zhz9?B8KNhZ-JKpp%{g0OaSt&lejICU?)V~S0{57R)^eG%yS z^6u|1)b`s|Epc-k2)nW)DVf{A9vmLl1BSPFdXS!V+o0hA9fXu7MT-T}Z$Z;!4273) z0jujpB4_af2#@>*Nz{POPv)K%q2o_8?P9fP?mb|g>gm+@%RcG=odYCAD!c(`(O85D zAY_3=hu$&2tpB;Sd`73nNWOAlK&JG}rrV9cq@$mtMNZ^hv&+U4r~};18~7KlO2@z* z@DdXxH6iX}B&h`3b9m;&;8lJKp%rOQi6Te@dO>wPe9zv7i7tlu4EL|%cIDYJybpFDqGlsB}w&WspvSS(i)19yE$KWAd*2U z7Y;JyOOTOvELmBI-E6o**&C6G*~J>-1f%&mu!yUA?!cu#=;&q7cN&=q&=LOygA(HL zupPzU=7+^#vJh4Bt9`{7EGdX=_UdtP2@RP+~;z+{Z`6 znK)xNLZg)EY@mk8Fqwk6HQi+Rb;P6nNB2E34z@?)lJH3P6eZ@nd9Jx)J9dzB+v25L z*J)i*y319C4rzFl)v`>CS%m2hvVMEGS;d71$HYg|#WBhUXscZnE+^S!!^lkmF?T~lAR8rCw|Y{p*o zF&x>8xQY4H&N3RLyQ&JFXl0;izS`ne@(XxJ>}en_GWzMT8@DB4aFHUu^{xp>e8x{1V>yE_<*y~1S;c9+jjCqGbq5eT1`0#o!mM#~ft9t1;*5_B+3iU=f@B_1x{ zPJy3P8!51P2d(W67*`JF-c~kUcT2^ZeF3cRx#P=N-BN>p0<6A%{m;Ecz6e1OPxc^_ zyFZ?p3J+n1c!r{q`8q%pi35NR_*V*sU7-IbO)FPk9^4nG(dZ9= z6ma!oe{cihQ5KxvQL#CNvYK7DBuIy(JZd_w4b+f`F10mbU9lXd^c$=pLrsrj`Pa){ zW2gv7s)ZwB(*>G@z@hetn~wH)4yYPMXSfnUgGi5f2;tU~2oU{I2 z5Nkdzi~@Jdity?60A zUUTe#=xm;cNm!{`g3vgv_dNlwuYg-G8C%*27x)<4$P~MM>6GYY{ZzVIi#~(H(v;3u zI%BO(6f{;8@Yo+4pwq0aJMo0a-xu?0w1l_qPt?>!^;Q}q6^S+=ZNss@yRcGF6+BECl+cAY8XIKA~K zNUx~T@}b{nQ*G-DIH|a*p{b^Gg_+NBywTQ_Q_=|(i0?5kU6D?ffh;!{rK~9N&Z5Hh zJmY5$zEt-&@8*_B`E~|3lHhfRA#Fp)j8V>)AlS?x1tkAwvRIA7iH2jjod65r%mO1@c(8XxIUg+_7MJ39Q z@ijgx_}mOwNrMZEr_vG%ANFbAn2^ghN4z4VrFv zH{#-e=z*~L?x?)9M-G69cnB~ELH2hcN)Q~Pun4e_1;U$buCSz>7zWhoN)RXv(uJnY z+d8k-k&+L^pblWEf~SVGa`HpU;m}BY0BCUtfuZv|>3hKQ2N6uv17ta99lIE2z;=0i zFqQ8&qZRb%1JgN;I$wark}9&nfG$Uz?P1&BE5}^uYJZbe+z7SL;Bv`wL({qLVwz*i z)z_lZCs}UX;3F_eCeaXHNSqsqGZIb(a54RtN(x}u5TOkc;V%LCa!dQ@Pw8}am_Q^H zm$Zni57v#PfI1em)V_zT8lU+v;!P0&{vpP0D^36*m*oo++I57nR4IdJ7{kc8<3mD( zbk?kzOGYFGeQ4BuYI>{Sr@w@4ARZJ&84xhDAMP1h%x^#j{O>hWxhm1v^EzllE4DB2nNSX=w45;=C2KZ%g9g_Hff+mNQ~Gvk zv|`$X$X}z09SzuiXrSAp=`73+lMtlTb11{I&&<5835yh)j#C10N3GiCbzDL$s?lke zaEYzIwv(2BaVbLgVLOVR>StDYQc-ahe>6IyN$a@1{Ae*qx-rmtP>-qrOpZ{8&Bwp*^p*oE2pDaNk1y99R6HU-gH>OO6sdMik9_fyx}q^qa>n z_iX5J<3OF`Gr$ZzxuZpqMo{dG^hfN3%5XwIe?wti9ZApK#f`hIvMx>`N@99oRIRXU z3Iwv=cL|DYTU6h*Wk+-}^m;TwtEwNRzI=^1T$1}EA--a07x_QiDIxWgdjY?fQ^yXW@g&)W4(SuF zeqv5f5<1RM8`Q6D)F`JPmoe0J#l?c%uC0BgpNfj7^7eV7#$R9Z+#)pQH+(5U2lw(F zP_1+@60(FwvfFFnc@N%rD1}G)jO4s_o#PHeMyBNh-pg^$U!+&m-%(1jn>M0MW$yTQ zvCnL%xx3DJ31u3>0~Exs*ozHa;KIaGA$pypLocuE(%fnaO z5Go$9deVdliBG(7e~ED5W|tXTbML`$_WfT_>hYFY<9sS$N>f11>Hewqo?^TcO=5A= zEfQ<&BN{~385xZ)sBPROuH~Um13et2`OWMXyl!CcIDk4N4YEp2fNwehX9Te?O4!!S zOQlOKCYcp>FP0;-Z}>Dw-mPvyND2`?Od86hE`7)eD8F@6vtP>?(v|QSq5SE_TPYlH zAZi6y#p(Bg%r{Be2Vv5q;uE3*wGtUsBzOB@2jHB2qxLxX{62*c#1Lmw9T<6nHi;Gf ztDGXN^f}4A$m%z6ai?sC&0}AaKBKoHj-Jgj$8H>{4$HXe$pAgIS_qgRQDB%P#&26d zMI)Ai6oK<9vdD3zIrguQj}&hb-o)tCHTKCT4f3b-p**3Z|W| zq0i^FG-gdqruCEpQ;`QK;cQ+GOM{?(>h_Z8eO54}YcPo37M+P2E^r|OD$z7j-uYyd z4n6riGO7PxYlu5$fY2`Jp<$zSQqUny;Ly=4SYL3j>~>DW8p9&K0fO38 z)W1j4mDI}u(lgBSp*OIGI@q-{+>h73{pB1ak$i5K7Fw*Y*UI^kKeo*Vql675K~sA> z)%bBVQ@T6@_S7%Ui>P1#2^vtk!kC}>{TKi5e6Boyg5!gD?+R-GZPt4 zfiNTn-~9w@Vcfb|Ic@)=A6 zO@|g}u`o8s+b(Y~xg!7*xs7o{sPG!JTt15$L9B@}42`{$J7|Pk+kIlE8CDOZb(ITH z5dhPMQ-(h19;F1r;rU_?M(`qg$(H(&6NxQ3U-Xcg_Cz+Pe467zpuL7Bj!1yz;*`#> zo8Dd{S+pv>P8g!0F(>u`iRqy1%TjJ32rpt%HrQr=h*!6QD;ULq$ACa0#7OVU_yrmd zEk9^pJ%q*VDm}N~8H6#Vl8P@-YrDRW*H)h*xx!<_<0*K~Q<@1vc`&*&#*3fzmlsT8 zcedW8Q&Y*PliqyMgg8H`F7*?Lc;+;+E2n?yA}2q_%!mE(w zc4L0L@Dr*Va&%6JjtOvsgdGy9DNI8^YAcIM{aKL*(3YHg46YH6Z;gYpH=3*hwnlD! z)V4K|IG>2Vl+0^{u~IQ-WQl3Z*1PHoV6bKNN1f+N3M?q~_#KWvOfs?Z2lMJ@j~Zoh zIIju}vyb@p=uVhQI`V8C%wFsEY9_rbDxpC($fgBkxsDnAy1e-kOj#P5alsTz?wr68+I$}M$j?z!ujbr7MehHA{bt(} zvD{w+M5LFffC9uA_o9(UtSHae6Rv$Xie|@%goFY?U;G6v<+J8k)QfG#Q}jvoMg{Oh zrq>8&r89AU?neJ$YBEwJMTpJYT;{!lb(^4I+3-8a=jvcO#DfMUPLRP&uOhm2bSiYn zuQ^`HMnM6hoDDq+%ZN)>izq#ddP*LyFfuHib#hHj<0{=G{uiJjSv-Q6k))zeVK&2k zdrU1|iMm5hmJ+`wgx$iZE>Le8_)MG7a>J5TKUzeY_^6kbtrZ{r(~o|{uR-KdP<(Gx zFU9&B%38hfI^(T=5%e=L^WTM)wA_E^vdO0bZqRaKvP*Ch5LYjliYeV+TFRNK^6-)_ zvOL(G5RJ_TVd1iX1-Qjo`!d8Ns1xzNjBoRAVs!SMd9O^<+GCGF;Rd&_BCr(-6yQri zzc{e(Jf86ky5KlOJjCQoxV;ZU=>Dr_sY)6n12Wc6PqoTvvufc-B=nFB8mYRUaj(fD ztQZ3a{WdWaTsFRoz#u0D4?ZRAF}qd{xZ*%?hIV(kOCCd5P=|;);!P37%v;W2&`?LK ze-CE5ka8kQs)P=Q>gatBkXs90t#p^I4i+D4Ip#(p%%4I-ctL3l6#?9^DAcDRsV)jZqZjByyb` zBFXz*!-jAHSk+Q=kBa%OS8BK_u548?7FeU{6#ggu-+>aUI?)~(bCEIiEoe<62q3U_ z1nAmv25)RpeMdU6X@}~?YJgwz(vUd$7N^-DE#uOE;4yPzhHs-cUbsQ z!D`mWtXy%gehILs677qBm_YzzToz-A8WLn9NU{fNy(E>~Q=TJASvdK8+^!`>1r5TV zBf@JKy-U>spQ;vE-&aM&M5(m7*9RiOtGkO)-lw8_$)q>Dp(@lWOPb)t({2F97LSPH ziHKUr?fa!cg+gj(I!q8sxuqTry{D< zHi6v8&z%fjf|KG95C8Xop@UDH?}3BOP(pK&)siBZ&e}fsthD(6w2%n2aMKdK@N9c= zzagcS6uoc@eDuPZAv+n3WVOu|4UGS3DuI?m29ua4K#d`=x)SO4!chpJUW*M4Vd6yp z6~_d8c6i})PbcpkI6+fxt%7BnN;jS*(MZ^Lf|dr^ylt*%NAQ}vLCReyN5|8J@bxGf zaCN=!^~qO&cOA%}g9d%?2n67RNFoRzO>qVeIdCHtgB&a1I`f4=QX2*x^Z+_dK^`;$ zz?xhDW>>&o-V8}BZZ&LgZuV#i&1c`5To`dYA^sQ(4r&L2dlhvle7l8z<@+DAt623B zQ>C8IPBCYUt0|4V_r=|5>I{_kGQXant!rKnyR*>X5k*L zwP&7XPJpFpZ0PF2?aj|PU&aY`71_&krMfRu8Z6r1cc(W&+~RRSh(yzcPtmRXOgtzh zeiaPGdXY8>%$K4&<`4+8cSQ_5Ss=2ARyo6M6Nvg!!(hK@uvWg_PBiBIZLsZUB|+PiHGVN`$xA7~l~}A? zgGI22!Duo)M&$KtSDqyYx0N&2%&PwhwEK3v5e`9dD<;aU#g?u<>DXY_3+IUiO@+$O z!+?)ye#L!4mC%3T>-pDV+Mah}r37D4C-K6UFy)peRkW|}Mgc}}JW@r=fhP1;=d~g0 z0UDPwZ^!uvGf)bpr&0XF-8iA7sqFiqC`0Gx5Ry(XDUep)!z+v|Wbk-#>jBHF>~2wv zXiN5mfvuTmMQLK2Duc%t@Wyr%eDxAERZot{>-oN(Rp=3@tJO;e%cZY0^pZtrYS-n( zC&ZI%Y!{*MD@WmnWhXP^23s9*AAn{{aUu<8h`b7+NjrDUlM(6nxI_gM(g(#Y^TY_@ zX36^UE6{x2)k{jhEfL*S`W`8e-Q!Cb>X(-`R_=Q#la8Ewl3eG>rejMm6qm$gL3%;D z0d&5@^o739pq%1YfFHct6YKjuJay^Q8}h2gIMF)>SZU6t!!J?Os!AZMX$osHf-(88 zLt{(G0&{MLg;NEtUjMtxprx2cW3zkVUyI-U-&4T+LQ?ySTMMHTB)ZcsDfALr>#-7*i{n zktO5POv2x?X!v{|p~d52T!u^+JJ?Blz0|}lOSp5^watgsLKbK2{p>f6G<@g?$h&~o zVJw#-oF@Piql`d;Gm;KV8vgZ>7=3@)-rmyJN%3uE!y7{F{J$q9IVstxLlKEv`@;Bf zCxKJ)rgI_TXTk&O4G2bQwdiy^TRH@WV!K#YG$A|~qB&pQz@^ret9O^`Mfko=Yo&jDy(xhrmC#faGb6th?9%j`AfT-;JN>YCM|W$cXx3HZN5w?kJ2tm%>&X0N3;-;HRn zX;>Ag;Us-b_5!KZ25?}8hDRY#YlzolbsYtSO^>e`Fi+}5bkQx5Wx)9&62C5gUVn2O z(N~vfR*PyDL#*k|N>zc|MV$b)0>&2**ynnoELK${%(hKLX6^{z z@~_4g!tTJ(w#hW3swj(}O2D~f#CTR~TiW1!<)WPB{a}^W@cOyLm(m7?l(nU@cLv4k zy{;1#t)|->Cq49kJ=xee<&Epqo^~#(-(c9MVfpIWvzoLE{7%+X?y069#xij(v+|JB z+@;dyBhF8rzA{U?Jabu)BK*3HkDmfK3;(c6ew&7nOfjV8gW++YVAs-V>I-QV#3}cC zABeF7RZqA$+~0P0fNqGHhFZMSF@LrW3gRGhvC&Pck3WD;nK`m;M!1ye2nQCQn~R1k(90S6397XV*Fl9BPQ*H%mmkqbPIF+D&-U3y#% z9Rtmpk74dDVB~rKUKcS;4cYGEt8$Q<>H67@NJIo9Malm?3|hT*)xcbO$AfDzD44;C z`E4aE)GsTd-D7fQwj3uOj9yG~aekq_ezC^Xy-S$Ii}YJx`AW1ddM0>iWLnxkIIgK; zrNk2V~GRa7atm+HLjThJ=qr=Tx_1ywOKJ_XRr z{)zgp1a(geC|daBlPj@TQX}V{a1nE>mpFy(_~?jOR^oB=9w7pg=bGByn4?=-zt#(o?Lp+@^V~BJ#J9B++bGY;D$VVF0vQRXeUDsyA zMRDm-RnmG3vRRtfvz7>`l3wXb9*N1psMUDgfN^$|9y^`IT<#g4+rMgFl7O}u7Exd^_8tyo^78seVWU?wMT{M}^tj z?H6LOk4&CWn*}oE`CJIG=4uNS+LdY0ID|rm!a;upM1LW=gainMm|%E$OHjjfeg}Pe z`5`{%K)f2vA5a-W8UfTxEq8%aMn^8cq$EoxgidOEIUG#cT@YoH^dS4KVrj8mu_tiQ zLNP@kFCeA?NtonJ@-Na#`cDLl$`I{Y3PXWrfG&iO-hZs<>~{-Av7&~V3>LhSwHJUq zpA@xjPkQvVge{B1#)^(CZrJVkkuwIpLAZq zYMK(&NpX(rZ~a)VS6a<9hWYf~`od|`$QhefwLYY6nouq>(gs>Ao!Toot@b=wZ3OZfElsAw7Wz`-Kbwjac)Zhx0YOq>6t@>C$vxRHOZZ*lc+ajfRO{ zeDbh8J#u?FD`!T>Z0uuQjm6+6Kpj^tdNCqM?geZ?#()>KYwp)}TuhV7n$(%oyUqgG zS`5SbS{MdSM$Scoat3XDaQ_Zk zjvH+KXiMjQq0lnnjeULMilNRLF6c8(qHV>HGiJ(m?Lk~tjIC_Qiuy*di=%?K+`hl2 zG~~MW;5Q^DQC}l32AonHZD(Unwi_LnYE&-LRL7$)|I_p~cGlls0!*sHI8h?Kig30Y zsOve9|4kupLUKt~Qp!WDV0#)u|DHvnRT^!W zw+y!I@*7v-?S2oChyx%Sn(j344!>E(6nMb&9X{^||5MR`iB!{myBKk-?um@8`dK zm@n6)m!igr;|7iHtuUh-Qz<4w6&oCgU*i@b4~UDn=lTlpI%?l&e|Oh7Vp8I?LUnL+z4RQQh0L=kq5vc!CS}-n(SD+z5x(C5DS;k12ODxzB$*E9f3M%`J z`3f&|E+YwerWH!Xp8NWJCxWnHe6-uAZ-64^P$M1MVr3@i-3+q! z?cq0l8&NFEB($nr5u#A|kt#NOug{05pVmM&#(d7!d|8SpH(4hatuzan--UCIz$b~5 zl*0faH#t9(3{4Ic(^lUk_8Kv{&fF(ys<=(HTn$GlQ}p%8W(k! zW3$%%NPakRQ^38MrLdD=7=|m8sZl7VOS`eHuz3@g{#)`G>q~ow8v)(B?m`f4ioqG=Ji8Nos zrNO&Qjrx$r&@G!BU7VRf9U~^Y3o5>-44X<1k4`-p=R6juNiG!8u_OH1)U*ujIecZ3 z9aZNoq$nDA3_W+bcxcMKaSe;Z)P8C6aUo2%yVm5Afnm<~qgzJxm481%nO(VjxFBXC zjQKHx@}4&=V~a6eqz(2HQR|$wfIOSn!|>7xOX{eRudtLs1(*A|4%b@RUIP!FxP1RW zyRs6Wb-||6ZPjYg8zaj3iw5&%x2)VhZnHgLJkwli222Lfj2Xa;7qEt{w;nlwnSyg2 z25COUaL|PuAeoolZ!hSOLmAiAe_*e_i>yMIf6q8F4>hh-S}S)`)KSHUnNCjf*HEVu z)BGPY@(b&Ag3p!T#gy=sx1&j*hF@5e@Z;s|8NCtY2k7E0ObC;XXsT|F%CosBv3h~UDzyS(xmm)HbQ4+{966!}RH@Csnsr}qKl zE6fi`33*o{1cJVqVCd}+yHYE4W{y1y2*e+sr5ts^E; zGdJG@8fMu>oE%mGJpzsrTRmtrZ48TENMR0Hjv+_M)E9NU>nnMAWe*IX*^BvdiXN3-;rf`E%c9wdwr8v2< zo%x72O60Ics?C%TN^qHDPwq;UdFqgYwaTX6U7qol)JKC>_u@vWh+QS;{*mXCM)HUl zD8!KnY_8W7KjBd0BtieTLaI=&5BJU6OslXx9LX58XjzXB+|-}rT#!QjQoNRJg~+hk z?aKQOQod?V>zK%^6DkJJHs~h%jTWGtdzlh#`vGK-1xokL_9zeGRX04jC9j;0tOvDj zq}IqnRw6wS!ej^;*M=~KMuWXoZWQi}4Sh4%c>XIJuCx z`_+-GDq#w1Dbn_(iYGhZT+Vt7;Z(MKhGP>~sMJp`!a9c{de3|DQj9QzTRoOdNBHB)8ct zR~2K0*Hu~=gHL-vQS{6*pa$rebclsyw9U6_w+u4ysMV2KZ1Crs2|tl!aT|;~4va1; zwf>VCjpg3U^S9ah@_ zlR)6JWji>!3%G;YSPh$5*#B-x#&b<dIHM-PfL4nG>^A-?}w4v823shmW$yr8+4HT7c5FMHbnH&DcWm3X zZQHhO+qT`YZ5y2qJ9zn>bN=U@ci%a8+&lIdOEqiGT60yc{nfXsY6=U!8kth;-K!S0 zrFx*26u&2-*XA9-ZH9&KN;9O}C^S*}dPx*1KsX7K-nZzQlmH$|W2M5-u!ot<#aa1T z!F7HSThTEH12jX~DNpCOH;GeknX3S{0xNM(At8`^dgk4q8}sl+vjE*_qsAccvL48R z`me}1S?cC&^vZC$;*u?lDjg0~ETWZwpH%%iC3j#nZ<(|ZQDQu~oN1*n8PqGJN8xkH zQAi&c_FzPH1{PYr_h#ZFQ<4Sl$+nuXS@mjrn(n`KlT)5VUixxBUMtq5x3kJJXVa$O z<25G8po!w(rA*pv)=H#u@qR4~)zdU$zPMKms}FzZNhQL2O;gGw0wkDcj?o<U~Zl6X3jgV;^J+1xe*e8?h5;q+DmJ(`ydx$vT36zsDl?vc( z!ySL(8yyrw$(f%X>hNm4`J=>+aRmwY2{5QasJ&1J)%*`g#^Z0e-o-zN@3#kpyEXB+ z8IX|2j+vhllM22gCt4-H!pPc3l5uzdU=Uyhf{L%*n4xcfdYEy3IAjyZ_W^ZGYlDxR z_t3JjKj#uQYGN$7@iII|`xKpNv&eorbDA`3EyQnYKl7bTW?w46+N2}AwEg`iw#X=!!jSArMCL1gr4DZbF;&9yTTkyO z&M5CTr~K`JQV5m08?72ynaeeZ6qJMVpb^6_QC@UywX!~p*R z+&KxU#9N?h3t;Rwws-hwM`vKI+s#o=-@o@=+Y171FWHSS?N0}?O*ln#=CZ5iI2t0I zVCFFMe4mcBGE1Bt!Qi?dY#;SOnAw*bEW8W7r)D{G4Q)3*WLNaT#fk0{pXIjHftOKD zX(x5%Va?i0C=b$}vbVnBPRE>>F5ioJ3HpuFlG0xxE88F_nY{d$x4TqbEb@BG&v%KP z>R=N6={c7f6l=pVOF4KcYNO&CHVHq9)XJ@~?+se@jS)x#>a3B!lCoI;o8I1RSd!wX z$shI{NdlX5;XA<=GBB&2tM&upJOW`>XHY&{u|ssVG=lmy>fu1So0)1o*cBL z7}8uYxJgcK*v>{%-Wt*dcqvX@dbSI~VFjey4{%))_t{7YH{~cVSaRTm4u{z3O*7d$b*6B&#VwZ%Ie8% z*Pe=-20_)V%g182YNmVfHV)6QasBVQHUwm$-ckeFHK}^+Cynh?8wy_L-rS2o^hEhd0t_~+)n#{*WAW6-N1z|1?;dukX{_cpJD z6Xcd_liQ9(K&hmQufm^UFYEv!BD2t^IZe z=~j(x=4@-nP&Vw$6$X!D=+7I=0IL~!JJtN^;u4?l@=8JZ*0X5y$mHY? z6I#rTH3wPHUU|^mSF;`0Y9wi5M9$1j_0qer8wc2=dW?qN+>R)Zgzyq|40APs+JYIs z?N(+yw;?m34#jf}K-H{~%z`LY8{L&_G@Ig>d0G~GP$Fr*TOmT?{Y@wce`i)-5f*Bz z)l9fh_97UASGAEd^N7?_@XIr!DI$DC!If|1Ys$l(qAj#hFhl;RIJlshpEa5pEjC^* zZXsraK{XU~@;i2grS@;55Vnu&E@ZUYMlK&c2K`*wV!_7-HOd}yaJh%4x^e>=1}_bpxgApD^K&^Z zD6&whXmzN6i$iRvQ!K2s9%TvYP&6C|l{-#i2%tO!EnL<90~IS$+YyT_0$)d{f^(dM zsX(f`U?~}_#?BAkOkzk`xYZ&Fsyd9hg+#Ccm7~ti2&r|5>Cm82zSa)Nx4%`h*5}8w zzCO)Xu;C~pAO}YD73-OPmy&57ABWVawWW508ac=ZgABvIqZ#rQ>b~SIf0?}sGsXyA zFQpXy;6#R&?VbhZ&C-Pp4j|sPGK!6j6XAsy+6T2I<90o>ek-AKS@rapUoU)f?v5oF ze^9e=x|_%QV;xy^vucm+EkM?q4Iu*~2jF^G7z%a>kAc zb;E^02(g6Yvzl2g!K1B|J2{}!TuIGtut<8(R8^mdZMh50t~NGYnPAW#!e*3Q27b>E z8RIfh1V4b#1jkS-Y35zIaZ{{vDhH0=jZiw`&z?wd)lu&3?ae9!Q{U~6Bp4m3mvY5k z-@eXbcl*^T*g@-vN2D?;6Tn5|R9du;t?0-q)}hL$ek%0ic#6;}YL3ul}q#K=} z1sh`RB?nep-&-nT&m$Fib4T=7NA?-S(A>C0JJU?~4z}#!02%&a|G2OO6?tDrO|L-& z92@toCmFfIM5#9Pt?U55X@%D=Ejebgzh`>{`9!{ZGWKs;seW*0PEJ1U@zI2_sZ60~ zJVs)c>F)eE8?cu3Fl`edSy~%dCAidNnCK}`bGkJh)LLx4H|1dxucAKpwe3_YGJrJp zaKB5j9^ydH1PfAK|EgK#c1HfOxGE{4uiooG>x6up^-67{AQ*Wnl}ux&4-xQ5svkky z*TqN~mOx(r*EN!qyf^y_~{POuq2LdiMmY27Ca~EhbhpI9m`fM+Ou|F<8;I4A&X^)8khcp28)9S z1$X8*6OVAY5Bd2dAeHbWsh91oo;~w(`H1kfx2*=asJl50zO<+8o|uYWuGf|sawB?O zXTheng}B*yEi-D62yx_!zZ*Er2BA6XH%<@;xB5tWkM=AE_Z5dS8g+zbl2-L;Pe3@j zm2{@#b*qle3k9|yFZ7|HHeq{6lQoM@|MUuprk&AL8~DDOBdty{J4sQ@#vkqHqY&r! zeIxrVxm4n79i~q9mJ}WfYV+G4*^n#8+C=Q{Dtk~|pdst$RBazmQe!44*v)#g?pR)t57Q@c0Q}H@p{_Z;xbmIKj_i1&QC0poS&SGo8zxW}+jQg#A&ZDQhgO{N!SPKMcj$ z=<1Cfcv+j}Z(BP+8iFnxcJTtwLudBqDr)6}QA66NA0a77@FE?%%pWcd?cvv9hrFP< zpJBEbk%oX$b;A~^TUEUDxWNxnnJ84bCZYjNNM2`j3T0(jqR1ETm+E1??D!9|wY72jXU9YcWcf$`mrY?eCs9SGFQof*T~v{cknP{Ef29Zr*t%(codF1$ z8Gv*E7D6B!105UdSCOUlogB>nNuvWYvH}0e@%5u)WM^dk#}8ix{og@oHs=2oLThNo zYPBNx5a@jlx@)zTRsAitZO64hr_e zmqQnhC!jj-@^y7|d#%?lilZ{CPU*yA4b8&}_kAuj)JQ;`Z$G*Y%?#Ai33y+-Z|izX z7n!j^Y^BfH^!9aphIZJ#Y4B=TwlXH8N;ulL`Aj)M?RCUNOYKDB@6Hs@vPdoHBcQu8 zPb>>m>JWcBcR^kw!!8%bZE>qC{R^bFc`_`*GWtOS-;#V%(b8c*{EbD^T!FulHdkxz^aA*b@PJbIHCDtb2lmmT8+~ zUZk@`b>XV6&8dnhCo)Hfq~IhjzzC}zHb*g_B(6ccKMjVApXsKbBx4`_v_Xv2_-Nbh zy-5w6mjLfwB@B>+3!-d`lZv+MVCU*($Md5^+~}93^VqKdw-laFX-g6kqY}w8mr#G6 zGDw)Mn?<5gCHrHJ=;`l@mM^sjPLB>PQzQ~55U3~2RF0fLNP?@MJOh3yKFC1*y$sbX z5e55@t$$D*J`?mI+K{1oWZl2oqWG2w*j<-Qh`-QvbEV8Oz%7SPHdGbLE1W7pj|6;U z&x%9EmLiF}ApY(AGw3H1PQF%%nKhdK4~lQdv?vKK!G7na;xd$)IOxJZj7C5saqUde zs;MPg_|{2wNwuEB?J3je>L>E5(^j$M8DOWHa>@N-orHJttP^Rnb~LGN5U<9O5)`ziA<#u_L`)Kjq9kzrB;|k zCT<_w0(7vX=qCA3Qwwkb_)T^B02FfbB!UTQU~J3~-syhhM^xY`;{@TvRFzd1uU-~3 zcViWeQJyBoSmC7RLnW{3)`e0}GYQ;$05%_E`C`=;2rTK?&Wtr6mGNcu%Ddt1}yxE&Igc-8+>r z!INQni-vAqaW|@W$9U4GkL`%gwCHl0?X!OtK7%T;)}e<`hB~!dfbv9zJN7GpF$-Re zLNlhsEs3zIA%e$u{=qt?ub7CM{7L?H>k+2>iphnX`SMcj64*%xQtCX!ROG`+g7zSv z)?j{|t2Fc$K41o_HK;P0cU%SXa7220$N7i;QhaG)l&V{N0seBW;AfgjyEPT%T@GZI z7(~=2`NspfLdrfrlEV^-7%Sm#3)^ZY$Ti@VW8oBBej{Dlohk^_m)ow74!!3H6v26Q zU>%h4lbCjOXqNAVd~;)%YH4xTYHYwoPGywsA$4eqiW%O8}|qI3Qx32BWB=E^_>*e_?c)nmQx61rZuI*q#KN_0c_i zem_jr;Mw?CQ)Li8=XBDMCtq!>6sOV~510)OkzqhDP}HIUctyR}lQP<5F>=Ol*2G%g%f;ox43$ z^PFQ1wi4Ib=_dqxla}u^r&{T6goa8!XFhPbA`ZpjiB{)tiFJZkugAuFql(++l-;aX z;Jh3D7;qP7c-BBG;1#U(iR$hP+3Qu)Y>OlJ3t^_Zdm4d0lKtj5*p_&QCW z#HqhRsU7Gn5!$(@fAcM*G!MC?ErNS+g(!iL`$*lNW#s#&VN;7=C*Y+hqwv$|l2UStO=IGs;bK^b4~3HD(uhSadMJJHy93*zPf!tf%QrEPI?2+^4_=L{Bn--vfU z#=iJTGyf9&!}Pmx#Mh*=lX%~(9=vV?dfw(lNqmSw%}%FmJdI8o|7I7s=w=stsfYYp z7Dwm2$8`>g_TEj6&Ik>W(Q3AwXR1^;kH6vTEiM{z(X%;%7fz(=%5*hLS;4ci5O5qT z73m^0^lnU&dy~I~e-1ko4hjD}R!4Q^Mu2Lx655?jE7!q>F0J#6eMj1>9DtBg*TbyW#PiTVbL!C`TyuO@3f(@`%pD zQb&lKG%>Cz*Z$R<{7nmv4Eh{B@GXvsSQiEo8&|u8czg z(hx(X2ouN0`zKtPRzbNOV&fJ zBEs<^YwLkmZ?5#wG%d?Xw&BbKm2-?a@67JagHrH|!Q}xZx?zXc+?h4vxkO&m?m5=7K^eZ11KdM= zlL5N~hSYH(5n3sle8OC4=9UPbKbL}g_Hu{$v1e{;>E&g2KiRA+v;L=!2h$he{y*WZ zS-$!g|CP5M*3z{7-iqpjwfk8Ys{m5pMgJ9bVo@BwiBEHpS>{I+u^ig)sGlK4OJvLE z2fS@%a*AM0bCay!>ByWHqu}(U==Hd+EM}72-iw7kC3(U)#(|sM$@ec31K)PtS%nt&Amt zsbN`)NCLh+TZ+iqdjzxa1W!mdEvS{MY6n9p$GbfT@r?CV5#$QT)dWYV_v-={CsVst z`qU+EW2Lyq;aRs8)aoztS>d_Nlbx*u8UuUtiKZRBilby#s1WVBg(Is-h}ez}sCMC) zaCbf%!Ed6M^Pxx}gJzs#dR2z5B?^AL<(dR5SUz97JlXf%e1}p(w)rz|&{V0<(kEr_Ix5uSWfp7{0nX8o zW^;BTlYFzjX0-NTe|MhsHtu3`SyeUjGg)1yY%z71eK#no)0pk&6rM9eY|m;y>>+fI zsD11l8=kk+L5VO#G~j1R$@WV0@%41&@O>oFOPpG>81Zh>f;PoSM;ebGS5PBDV0t1H1siRdAO6zI)45yY{wVO_-rn<5 z4rkMBo+q&s=XxSpm^+BG8qqXtWRHceWaC^06eAH=)Elwj7oaJYz#ngmlQ+BdK1CK* z+i3P8(IP)=&dkhK^}^+)o~I&!UN4WQO%?OSqu45kI zYda|ZSuRQ<;r?zmv;E zXRqz|-o<4Pcg-#MJU$_(H9gyprf1f=#S3~`BF%v~N~R>h`3z)G8DbUu-YIeAO{s|u zZ=^GH+Nq{y+XdM7-igVnTH()oljf8eKz{;m-r5mN%7ZL&N7O+PN7j}Mm*T^2xk#RN zeL)5wu=r|f@=R(^z_19~rrS#z#L_NJA2IRR$x>^p9eUYh3 z*VAY|fB;ZED;n(^hLQoWQ5D5Tsx*`x;2>L*1A~xZLj`Wh!8~_Ug%#WtMPWD{8IrAT7=35{`M3fFvm{<>p zH1%br<9m1j()}^=*VwDz1c4t4UnT#0SHCW01~X@+INR)xP7zT|!TBR{{ya}(l}1F7 zyi=Awr5Zz@OOXZ=w@w2n7qA$?O(sTkOC*jSK&dBN$Y=~?z1Dg-U{`e|kCK#5TFcMd zTLsbI!v@7)uB2oHmq=a75`%#JNxHX-0N||&jl9BH-A%PHV5KuT$wFn z1A3w?X<5|(Q}5XvmtV=ZzP(W^$9O_SVSFfP{7U27a)-kL^A;0ZvO|E92vk3k`d0hdeyS|*iwq54jt*LE zC&nqn*_?`OI3LRm$!25SIi?o135p_PW}$>L?yHCySfYT%M334%9)x@ILt~W?Lz2XF zsukgUej{@XBVrQyP7Z?+OCLnVFponS@`8ht-!aaktc7P;e#)jQrHYFbyr|DuX4aZ-F@PlgUg92s`D<6!8y{-i3x_Nr^!Wxly z4&AQmzt{v!sFax;BDfEEMW|qk+49gG0rPzZ@ za77gE=mQ)V2EJc)Xmk{HXj)}sGHp4NGBJGNmxtemA1U;0g+une?s~}eD3DvX7lrf} zwR?XQ?pj??qR+I5P^z~a`9)aKkSH5zl6Zy$yL#nt!7Vy`X>eF_q}@Cmmh$5S^{4?;^BX^QegwkX5)TVW?=Xj0;3icS!Yu=>yNqsj4I zVr-eZNXXgPvdS!$R~N>qu2i;2;lv80B-OznUMB)Wl?tNTwqA`}jTYHLqpqtL0h zAn6)gNUR3jz<-`SkGOb3>?>s~#})AOG0IUZZ()a?H+TIJjZZssa8z;d8fki!^WW^%Gv&J#tXV<_?gzL?V=Ki`n%J>IFarOpR zIGpE_Den&vCI1j&G1XTgLbnESBD%HBNeQPYnY zqLR7zaK_61L{Lk5Wxr=uh#l%-jqlr53~*;<8%@10^+TP^+;4P0moh~Cy$5`Q?iR`be{|%A-4;TF}5c}VmWo4uLBEbK{EYlYm&BFSBXO@Nai%$Rl z@#n1n#q9q)=7WWuf${%~ox8O)WARyId{}os?S6Ec&S7X@G>owzb7C0*)A~6^j)@wf zxnxEy`|Qc>8PG0kbrlNE$>ZnQo!24PyXDOV2C*D-C zN6WY-vcJFH{`uAF%hB!odbl=G`nA^ce0GV=xh%b}*DHAcd68`3S(1IJew3;Y=&I@t zd?_n|-|sW&XUn4A9*@_<&deE`t>~rJPe+fJT-jVN5T(zHvqW7)Ns!IIJ|x0=GF-hK zES=`3c%#=v9MzL&M;A9XP3Jp(=4c)Xl)T)+2ak)p4V(E)P~p?MbY27kjI?c>gr@S*LQ8{ZkDQ| zL~nLNeV*4)rJui@={{FJ;f8bLD6wXHtd#q3fPA;@L-u;z-&&y90e=l-_pf2{S_&+C zG;(6CfgYuo|81ww-#g-dc9E;o?OykOcAqONuK{Ifekt2G&iCXh=sT}?vKgU=CI+BF z+Ry#D$&vC?0%{o|udW+u|J@Hg%^j|&3+ zF>&W<-WZu6rr{`j$P)rb7Xp1Dst{*vg;HJqgC9&t<#U>|%w^k!=gk6Jx|~|hz#@7{ zN9S%!EWuZX&h};sPfyluEnaP6Ta{xUS7#TZF#Wdo_p2+r`;9ZfQ%h{a_o+|)2?Ckg zyp5gDZ=c${s(_lt6tvSNOT4YxKxBej!&8{cgx+2-d4gGxtnGc*n|x2aKZss;;n#Zy z6#ZD*|ks;26hVN3`-8C?%K-3j|0;vLu78vD#p`S*VnZVY!E};ZYZoO@b|$_4-9s z%HShVr?6G>X_bOp_@%6I(Je}(@<*{R>K9B;(a6)wP4XDR{-guCc)pk2PBCCkq#?Xc zmcAQC)yvq&_-pJ)OP*l7mHH7Xlk+JZZ9A&mV6CI>PeGC)wxiOY;2K`KAPfwlGv#!^ zMM|cl3L~EZ^%KMq-*u7^l%8GO`&ua*XOi8+Y->4Y;DZZzJfY7lNVEpxSYQTXZ#WS0 zrGHokAdLTMXNbMU$D>ngzHq<>Qf7+sr@c5>k5kT@g3eLK5<0Tr_gLE>mGYO;t(@^s zFSzqK&f_mnV%dW!Z2PiIp+Lhj=yYyG4VpBkY(i*Wdt(e8*4MoVwulW)un*3 zT$0&H9bHp!#4H`OidCe*{rUuOByPNtae&ki9n;U4f;vzvA7-#)4wKre6W+*6I#D49 z8{ZIqt>U*S1G1vKmZLxxQd;Sr?!8hbuoz6DQ2Yb<)6A(tG zV$yemv(6)KvK%VTg$zDcp9hW{^u1;j2oM!PPwT*D8vP@(T2~$nyHgWhRaG&|rN}X~ zT2VK@S|wMh^+!52i#@4LBFO1Z&uJrQENJV-Sa-X8Stts~v&&;S;9@Nx!_apunRcL7auIi*O{d-St!s=Q7M?Br_~W%l+_k%aSx z1Gk1<{;)fA&~8qNE0gzyhEevRqwF${w_AX4@?Ruk$uNCsMpr)hw1TT|YN`e~X!yGv z13|7LPDT_M%ybz=(8Szdo=^Da5%0a?Xm0#U%nO_xX-qmLQ~*SNSJCcA7M4mf4H3eC z3}a7(?Cq!xk!kFqmi0iq1NHvPXo3lqR7yrrr(Le~Gf*rL28|gTc&tS&&`Ith=Ifex z!akaY^J^dOt0ke+0WaW4)FI|;@`1Hxn+Xa`|KR&r#D zN%BP_;1U+_|5Q%>UK#qT@xzx%ejAiURX>g?^3!{?K6f*Y97i(t>u(iB;!a!3gymi5Mwqne0Pjd!@<2SpabVFhPUo zW!gieFZui5dejyCK={*y66`nT5Eo=8s787i?3ZVu0SA;)gq+jYwkp|stO!ao_~Rf5 zNstoY#y(wsfCk6)*sr<*2*aP}klMpEnOTTMGvtDMP)Zoba zciBPr%_+JEIDwFGf|F(0>|7&M@2c)w13&sJnsdMH6%Ay=sA5&|D0}!ygeq)AtR5pc z$F^d#dO%}m#4&LzN%A)}M%lA!Auz&&^~om#IRlDQP$D1NkhRX9q!lrTXC9#!FHOp- zR5N&sqhmPh{4y0{U=0N|Vg6%uw12h`Xw6!DD)BH&fJk@D%PrUG(}@2K*enLFvsi?M zK@tX?HOc6$lY9gZF*Vn8gP^=qKNzLgE(rRMTR-e?w=3oWCm{BZCbglIfT`IAo_VIp zd5MLeNO|T-P_uZyz|0U2L74jQzGZY9MHj(X%t2>(@>7|k8vH8834xmZ(+_6munThd z;{NT$88a@cr7Gz#ovgTMj@5ABl!lVd7!gv#w}I{wA6#{{)A^h%uw}-R{~0_mp6>{% zhm>KUM})wIvkk^(yHxqPZF{h~^W_`w^WPQU?bq8qsK>q9i6Fne7>t10cPO2HG}DS? z!t(2z!9SQNSn*@)j-oSR`!u0>>aMR%FC!pSQ7c&^T3ydghgi?6SGudp{udMTo>@!l zDX?~F5*%2B=IMH{kwab6m88=)rO|&ytAis8D8jfA`h4zW-xeqpG)@0%ITD+w!=#a3vCgt}mWzafPa9nIl)atkJ^A{` zYf-t8s=uaS*dCY&SR#Rv_B`svrYBnGNL4(drMLfS8kwYGmkqJ_ zU`+1$Chp$J7fk(G0HEr`8XJ23lq&YigZ1MJQ~?o^SIE{QPaJ3UTrA)gVO5etKFr4e zDQKvIw&E*4B%BqGr4`}0@94v2Tp@L1Fn8utn9*uS9-GDWFUoB1A+lhvMh^om76>Cm zuEzR0a1bYETp4I7YqJ0-IzR}Qc0_D4X>cMNOF&?!qgE6C6iMPg#*G#;^V!vFDd^#& zR<<`%Xv!dT{_0G|Q_W=rh*2#C5A{gWzy(01Ux+dgY3L#gO9Yd*+c%99h9|))G>A1V z6NWRX)(FMVM+h0AQ2|!Nu!pG+ZT;j~)$yeAUvfl(&u^OP@b^#}O{d zl9h4CUVi5@*e)fA&sBsJQH^C~m7fzHt76!Ib=&YMpp(Kp_~c6!5HE<)BT8Jtny^3E z?}Sbwu25UQ zZ~t`<-g$0ws3o%`+wKB3~xi56Q<|V`lvfj#qS4?12Kc=RZ zM-GmZDNA%GSarjrpJb*RdQ{}`YV1;!8fuy`86F~%sQ)99-+LJ zg${LntowZJhooMj`m>e2B2Sa&fT5-hH@1(HB-j+mGSY~p#BjUi&2d?mTTmo@$ z#tl$~&6x}0HJQ3aJ2D%;4b2fR?#rGvfwB`a=!Yusd>_fUwqR4ZaC{OJqHegjB2^dx zi?5YmOC^2&r~&isgV`nQc~RgtNM(FrGcp_#?W6|Qh6;S^i(9SCs{&tt?MI^iCh5*O zyX2~IWMjB_aLCykqmUV*i;C1LW-x0^q|~P)bKFDxaVgqtYs9ZyjBJM8x# z*i@l)#`=g4;aL;a__9w(hIFb{>z)3Mge}!0Bz_!_snlG|8-0G02QnSlr4! z7S3>?C03LUyNhY#kL=1ku(1nOma{pIfwLx^Q)?N(Sb#^b&}&DSvq3@e1e!^&Yb z66G_!g$o`vPqVH(_CK;4wPZW-Om9dmp16U7mE}4j){m&+6}I_~d&k)mR-Y&k7A(FI zN2bI2KXWf?0@g1n6JGPer-M1&>COX3(aYMASbi}r%0Lee730``bT0n|q0>W*U64T&8qm!YVGv39Ug?wR5W(-7&hA(S%3)pbJRVG+`>Wz+Pa;UC!21-NPdlCB{w@p4NDnyLO9$t=W z>S;=JYidU$<_U^qPd~CNpnhq^*qs_5jo8R2>Vr0X9rue(&*ow%jDoNF`_*l zBTKAjD(YB>zf6I6?T$@>6+uCpccv&w3nso&%-@CcanKSva3!cEOcxU5*yGY zthB;g;i=TtelR561GN4|E^}bw(uMxij+y+#t?Wq=o5vM)`ZUp-){J78EiC9kZYTJQ zX$1bF6uAd7yGdG9n-!7$bO4XWzx%>eV}Bu9za!yCS*FF*j{`z557ZGa7-7HbS5Aru5ls)wAH$_1XO?E;r7j3Wy20sbnE_ zwp*9C8t~UWct*!w)OFNW{v`R{%hLy9Q&tFherk$Y+uas^kJCx zH5)1i0Y&L_WRgcbC2Vgb5N9xuCLFcLnGmWPKJ+-TPu|WJwaQ|2`U+2AFR0kitANPV zOGuDhrCwH2+O}U=nmw_6H%#;A3q_ap#c%qS;|UET3j9saz!X&-80~ViX%t09(}JN4 z$1FKJBK`0fk3cF%B9%n_W;FzY@D2Er`S!@r)gd+7gF)f4(TqTfslUvb1M#6NW-=q9 zu-xIQQMVHBtKTX^a?%4TZvbo~m;@EnYq8kA8fYdtr+d!;!2soVM|l)e;auGV-y4+s z4Trg%H#A#efg73D!70{X!QGy1OM*q5e`yLO5ii6p0C>tR;yYBW4EobagLBXo!G$Pf zp@ocOKs>U1^q%~%`}_u}U!kbQ7Gio*ieiNQ738LuCaC4s4?H7|b^5zkZYl#{2FeIX zLR!pRV@9tiP(pS>xx3Glc-QWTy1BX07#=&BJsNgw%Y2cgqR-^TwIc4!8ewS}#c0J9 z#gJckZgxxauo7Tu@Y- zU!+P*GHv%KaJzrEf0!1|2>vyUmR8xB1)-TyasG{>*yvH9)ab!l4oN|uZ^1&4^Sqbk zwb#@n__OfWjrurwE}L>z7jz|#7v0?S?xzbF42b1}beCgcEPqI5*Y25mVQ*Ep+lXmB z)JK{o4(|K=N$bHB+@z&INK=tOFYl!8hi2KE+4G}qs~y3ctG2wouX4HN^Io}+j7ZoGZD|B#!!2)^e|k)yru+}kHPU`AqN`VeWhUYLH{L%N1tSCY>- z*AH@e*eLg{nhevzz^)iypOzjd${O2;+^yOhuNbSF8>{I-5o%2EfgO{sUO3+iJKmlB zm+^Z~YgK8P9bT}`1(ufI>PWfw(M_g*?DVDdS3Fb!lrB zBMeHuTo?%TIZdAFmgX{!Aa&nbsCb<#i!hNX{%PJ#B z{(a*D4c`6hZmq@E8(+cx@j{3F6)gH>LwM8fR;L4tAXU@lu=1%Yp>o{nc_S5ZatI~o zCbwosE-z4boJ=+~29|w2BAI4zg#hJH3G%rIm2qLokc%!V-9=(AV4lUo-R1L$#kO!- z1{qqhMpPLTyh)08kQ=-Xl~|H}Gv%DjQZ|9;%Mbu)NE#9zzt-gou=Rwi!KE}|sMb~S zt{nZE%dBwEszPvRtZ!AAgs02ioyKb4do#9MyI=<^9A{?;dpg>w{lKH zsq2t$DLMwcVmJ(iY&7hv(Tb4f7bPD>Nc}oNE5#>+FcLmOK7v67;T#O3Twcf_m zDKF;eT{(JkXjUpnAJR(B7H^@=R48xW;(*1JH1%v%yBsgH>}(4GykBVx4pnIlB4TKR z$!BN}LNbL`yFe?lN?*!Y=gL_GEd`h{tC%(gS{cA+-^Cl4?PC-!D124cC1{RBGj@A$ zp2N@>JVT2DgywQ0^{n&3Dpi^$iMsPeIi*2${d>m)odx(upy^VJsvmX4kz*}CMc zSoC62m1auek)?tQtrBUc=t3$h_h;ZoacR?_I%TpBWjsVP;i;&~wbJ($1Rhc5(*Pq!^z_Ap$mPo9=Df{%MXv&5f z5Tce!W&098589UC$@+|=b>wcCU{-$|EGiS#Cnq0Q+C)dv9-0uE8>2T9Upn76{zTYvPa9}lb4ID9- z<;tU`yGztgxKlpiauDrWJ7Lvm!?R5j|D#$^x!YI!ysS@|RbQfZ`g#+bLX)YSVoE3k z)4`A_pHefBVIY&W%-d(H(yj8~!MVK9U`?Zu2qlpL-~38zynh0J%a;yT`A9{5LOn;D zwf;|^5&xMH@~`iC|CZBqvP!fLxGQQzO2AU5 z>c@-ipZ^u`9(0*OWu9Eb$J_1Kga?y5(wZ_i8dw97TITFFA`T$#yyX>2UL?%Q+dLTD;|kI(z>VXWFobBmIXKd-g)y2x$Z!Zlr>4T$QncB~Tqex%Jpq*Z?yVwH=Y+Oqgh+BK_ zwgs^G*aJ6@_s3F4#}3!addu}6!z>zqXL);iTu;rDD&H4gu9=msSKwzbrDX*dHca76 zHJ+-^UIwT(nzGTaD~puH8&IzwB=AK=SNdUtrW zc2@7q*JGxFGc#l=wg@&_zHcl)>hhG$dF!9TZM5h;= z$yUgvtS0L|G-kI1*&hOHzdbsckpKWFpDQo*;i0DtC+}@OuK*ZlECQ_d2K#;JWsSQ zzENi9oEw+P)4jePm7*qXY7UEwGcwE@DOAOZ;^TNKJ{RX2E6rh|W8+1&5$A@mLc=#} z+~l%>$7@7!ah7|9?{Um8((~nx)wxWmLO%|?4?J@eR2QFhR)0Evg0r-Rm_1sJxoyBN!9uS7S9^T~5*nRJ5^HOekU@0hmtT8_F<*z&#k#h6(e*Cz} zyL(`rQ(d|SqX3{l2(=6E9Vb*SYTvvs8`HMeC3&B}@ z(g@UptA;#zuf9Y7y9XGx+wRG;o$(huvZ(j^L^%Ti=sU?g)l) zgwJJ>_bP|D-1nNw@cYZa=0F?S1F6XC#Os9phqqsV*a9V0@q#Lx>|xl)TMy~&{z;72 zDS@xUXUC^%EL)5gE+m=9?ZeG%_V$aQcY)u_i0sTKgP&VFk1l@k?Fp~XRge|TFe~6O zhC$iyO3{@CA!_FMlCD6h9SeMeG@}Dz$>--Fo5TGMn$JN><9JLb@5S)FuaOC^_QXx! z$4gke2e3FL0tq<#bQR(YTO!9odqHMJ47tos{!$}dqO8yGlo-NzyG4I%U56XZ{>NKQ z)$8`vCC>1>g_@u51D*Brnlblaz`SP3yK&)s@tEnN?5YaoW~r~LU5GsiHp!Z4QH>U^ zyzhEkhN$)ud$Zes9rIl#1(3iSAxW{-@OQ(zD%t`zAp&DO9~mYKhn3K-yUE|j`MtJ< zS)xStm-*2~%%@~&`6CICkplU`%;b>R-(FPF>vWT(LSCr_)`e$<0xTpJHVI1|Hx01W z`f&A#DZxXKr_v}X4Zdp5)2+rig%-F^hV-PZ6ZVfO*Ji}r%1g$avUdk|6 zub5@#W#K_rU)WuR3v?}47y^eDpfw*Hg=-8Hsa893ufRTzhxVkb%?t^{xnoIj%n<|) zAzWrQJOJ1111V=kv1v@a76;-( zpDWdR@7AJ0}0Vt z42=b7)cL!#+4PvH%Kl2Yvlw)iqB-OC{|ZKb#QfXS*47#W;QK^11}%XF>l5`I3+opg zP4kuV`=|N250C$C=2q0~YPCmJTEi}`7VE^&`l>vOW@p;kfeIYc=h%l5_DzFHmN}3} zvY5QKg}9ZN#z=@o8|(IrbloDVg}zbSi$dG9Q*K^XBa8A8!eti2!GOk4k!lQ`tI~|q z1@9Ae{ht|^p=I67csjSbfrr>s{k2(0$cNI{=INk|Wp<(E-*by4!}c^+8tqPQY$fJ? zq{v_nT8c(*aL}k9c($1+X|YljrO)^EDlu;|QNgv>6`7!b{}Z4Iy39faYZ~(msxOpN zWG>()ifx^F%aSLI5Mi(x>+{fP9lOX|YB6vmGw|?WO*2nIi542^@Fd?i9bz>F9%2%W?;Nh&OE_D`s{JO>ko$8^boPN+vfZR#zP-m^pweh?)TccVpnV}w z(r7X6M4NVa`>cs{FS ztMf-9Y3YMTZ_@?k3YWP}H|i55%lU00;!G1T4+8PG_$YJ==K+_@&JL@LL2O7pyAF4K zm0t+>S=irSx~Ot(s7N=-NL)gd$oe&MWH^QOj~7u)0tr6c$)aADSp_ zI#!mwNIDti*rEB;;t8A&C3ag_qgLh9Q2%Dew;oFVKTh4iSbT23E{-OOmfmLEJ}#s_ zHt5dp&;&Y>D3PL-7U+PF@q%dhJAo;X;s^Xs)WDj1K(2oFaUlU9~PW#rVf#24#^#C$&Cxj%^PI8286c3?z;$uL);*P z`p9z66u|xg(j>LdjYA>c0aZ)r>bY8rxMBCe8W*rom!C?(|j}GQ2H4)>sbi*?rm-$CQ~OoD2LCp)u9H$A$nI?;2>NJZ>KMf&AM;`x33P&aTW)1 z!bw6bC+#4xJ*|54G=U{PZJ!9)^HcBVcrXuy!t6c)($LPfWf<<=6Wpq#)9maCBh>+DvO{8t#?C_gRX3V2XGBGyp#Vx zAJ4>LIDH&^%x)8Wz8b#s`6B5xtg3{&4^eA{zX7Az(7&jcmvkqZ!!S<#K^6#X1Wv+d zKoj2~FUAk%qGQONi!#&GY20Jz8P8$4pbvW0&{R`9Z69M3?a^Ya$ukFQm!$+D?NoG$ zN#90Jv@q1{Wx0K77@oWu9%W(VOtWArO4ow|W+4*s4~e)E0792V)i>C?_v1&I2dJlg zEjHHL&jZ1r)OgOYI8?WZ$}rFI1lSQez=9t}u;&?h7=qM+Po;XA!5B9!TDhE$1-02oI;-hV?W!HB2QRUVMeGUhLK7ouUBQhH)7&$YM8IxsqMgRWQAfq{IR@|BXg&`AY=BBr4NEt^_ zz|#H#j`Uyz9O>Rhaqr*>-086cGXaW2Vo)T@mHB`eh7#T=D!VJAlDIpFxvV=|cp3?R zPV3~&1Q%b8b!u&0(8vt$7&&p2-u>FU4Hrg+8$Mr&t z>Wf%U4SBLQk*BQO-{6do(WCLJGSQq#VZmG`?07(8w56$J)>Ow$ZlbiJZ#?H<)`X1E z{*~ONMHm!E=;xkIW40LKwK8f=Nd=h%PHxx3D(p!iX4{8bObd7Qso7kb<%PNpdKH5d z4=LfRk85vQLeJrjdWZJ;aD^G@@|n65iNo}cRpw}Dofs^u-uHnzt?6%+PeP}wuHWh% zQ2e^8Gj%K1JO*Tv8Z3RcEG#$?37&@YO$E|a%z92ueC=-_(SBbn9d)xfsadadINHq5 zsB%yv{xDX`sz#7{x^6pt_v9CpcK{)#o89=s4p^fcguY>hfu6?$BoRv+Q5cXEgCKil zhgA+QNVC6e18@uFgTBtkZZUT1Q~ZdpvG%y} z8#Jr`-iAA%u2F0fvA#);v2k0n$}islxLO|NLTebg&CE0m%=wm<^y3C(KQ85AM)1+0 z?9r*FJ;Pub{Qd;**DL0t9)vHK-3!aS(&~Ikf^O2`!^}2M0=M_g_43!PWpZxp%oG(5 zx+Xur7xBFb4~#JL2tQcP@D6sYH)>@dT5iu_yHMwcC&J%X z81oxCGgtA)RJ(7RZ>qIlU_|^jx7Bn*&i79UzCUNcCOutWU6F5FNa{p&x!RE0X%Dkg znUm&*T<41k!&(VXi{r=pcRdF@{DynSu5ZOl?wAn6|Ffb>N9LvoX}tz`a? zgaJ+WGL|V(BY60B0JW=$a-CG7C;STmz~=$n$T`SF&#nmlJSLQqnA_E$dxl0e{Udf5!)yy!u1$VBpt(bRKX3zw|N1g8lzTYjZ{R>_ z#1C4fPxU;e21)%gb_IL>esFdVn_30)H!6@D_WueR6mODhW|i)ewy`*###UgkFS9YQ z|2odmz+WLp(E)`XQRy*74|DBfQq2mpcCVfx@RxRjo=m38N;gSiv}AnG(7Ymi&)ES% zx!zrQyL3UAu&-lMlqtyqv{ctgBRqcv6utyD6S_#On#$ia!SThuTt-U61Bb@YzxC(; zqkjAAq#6vC&OAlLub#;d1ATeqlD;=+fswv9+@KJA&z=GU6-9XiUpa_Qcp9R^UulMkSzPd9S^@{!10RP#fro69;gGS*$z;K(zVrCE_ zJcyuNF1+07*O^qks5YcKJ(}S?e;IE}9 z|6wWIw}UePoZSn3pE2JvPw1iXC~e6OMI6UpPz=97dEEp50);MUUoqc7aP|gY4kA^C z^`F-03V84E`x*wRZ7}~{^OCNA(LBU6!uQ52$b;|M66x8+mzMY|bQOuFHH7iv6i@VfBmgq6&{O?u?(ehu6$ebKCA|6Ff_7!CfqXjl-dN$Q-hfiKVg zg|%BFQlrnDT=#4VQMijS?C}EHKZ{N4r_{hNMjl^q+snc;(i-@We!1~teP1mm9#HhK zC;M^M=yvaP=$Z#14uUX&H}?JgA#}W*sZ_1}J7?s4g zgU8Un4!mJ1b|t|-w5ZhoPc7JgIZvpNJRC*T<>ht)8Ny)=V2}IW#20;;Nm=Tz>1dwD z)T~mmu2alL_vmu#5`|f;$*?Tqe~=a!(2RwM zHYWzNY)K+xgx2sArRbAIzzneaA;z>M4uyBLAuVL7GgFV@Q(mRm$S&9DNRqMnZakB1PS#IdM?hTUkxN{;Oi)4!UvjWYBEh2bdYqHPb;_D52X<9gXX`lpY zwXXOWwD6ZO%KwqkNmDxp6zC&EMWLpaJ_ zbkN7%mO+La9m2+6{2?Mw!7x}})*qhgb~VDtb?HPgSWgWZot#0(yMi_*`|17D>*Wu0 ziTBA+e)gZH%Quxd?YAj|(VyniJ=`qY>Jw@@ja&QnQD#2No^pb8VyV)`u-k?-MgJ(8E69Oz*dT2%{`e7e|on zEeo4@tcw>!SS(2=QB9qa;Uth3s?>g)$Rs0%Df#;VgGMYPUZHQ0(gG=rF8lXk;?}b6 zaw5MtosyorIo<>taiU>^3gK|K(bCGbQ9CKc-B2^+Ktl%}-GZYkkY(bBdP3*|wRnRz z7=U`D5t@mPoe&A7L?zy$?IkSjOG0`go4u({LR$VkSZm+13@A-itsi8eP-)U}0E*E; zla(1RJ*BIC4Kz5?0u9;Hr3Ucz5|AFKV_N3P8o&LBNqu!+?Q;;#VWO!G|@A3UYfTJl2itW`yLZb<@wv7V^esHj<@I} z{9Bn6A9z(#fi1BV-f?|yh;?GV5h+=XZ@U;vFKOYt1Q2|_Rk7`F@q&!}*Q!mMz6@8F?ndjGQ6 z-foZgIQ;vHfN^i}{zE&X>!J0wNk_K-n(MYNwX5E!64T{XDBJB_zJs3(|7r^#0iyFd zq77TaRsAMC!kX(AA=znXIN(lCDpPya(+2MKQ|Y|(6(lpiO<>05v50jYaq~A>k1t3J z%FUS>_Rh)-gm zx|RaJ__>v3Qz}&uxJf!1U0i>lGdHVQGBa>w{NxyXaQ$c_$~UPo^K;YKoH{hvJx(%sF3^ zvOl@9JN-5Ma%I2c(E;+fANip{t{C+WLn|b0744<%sZhCl-1WqN;HX2-WJ^}vUY*-3 z2I(rMnl1^>{(y_X$!FOFx{DdY4BJ= zNKZ9ub2$L4_~PP;?4Um7G*ARBs5)f_SKJJETuz|knjN(?v@xViNn>nUSHrk6W@Z*_ zf|OO7-j@Gj(Q!q?1TdW>ORttqnh(eb0<7VaCgxE(!bM!of4Zl$xPJV=o9lb^~Iz<`&8#%^lYU*kz- zqh=uBUA$ZJF&q2irA&zc6efy62K6Cg>Z2oxlDv#wOXw1_c`W|;lW$m+M_mMwNsB$UV&S!w`a7pf|Kp7f!`iF-GI|f_ri?RYVl@S6nYBsK4+-KqZxxXe@G;KNMr- z8<6@Sv%F%{xIo&1s#I;(SQ<=~Kly8%;ei!DfI#r=ajycB%#CxA&p{a1$wr$lZLj8# zr+g5+RJn>7WU)pByWs!eTJ z$**rUnIAE`TpFHI`V%W(72A*D@KZMq4|J0jJ-7JWE}emX*p#Z~WhhZs}m=!yNtw*kVwt5|gB75Q=Q&j;5>OhH0$*c@B@$*&)Hs{%ff$ z2&}plI^O(?5G#hXK|&D|d%rTr4=_yo`JJVbS?#!{R^`j6Zd1P9UcUmgK6bOP z*A*MrjBM4o!=pGWND%Y^Y&^2dN*wqHCM%4auKw$3-MH>gTU8lCq5Vh`?rW;+=ZzGR zjx9zY8{nG)Z07X0wt^v}px`_;Jd?7^=j_%y@jfUH#gCLlTxk%NBm8B= zF@>nH>yQ0vz z`}jS=S~aQ6<0Y#Irno8Jq25D}nUnRssEZ*8l&q3S7R?8igSD0T;Kpo5EFaoF#4{QB zY_xbfCHarsJi?J%2lniV-k8dT*0U)Rc{9!%Y4IvIiiF4HET@AKlSkw-J%ir9nem;hqNPHX!%s@QethnkD80t@L#1mow^Qcu2VJDTnN!m^{4lHlsVD{8fqcWB zCNwffKuBuv>QK%wlyuG}S=5H_35xm|(hcmvxn6y%1_mNWE!!loZ=Vk2HS6|^tS%Z| zwySUgDPscd(ZK`%MeQL@;YZ-)YL4WEBv!Se=M{Gqe-2JF{v!U@5kEFXjjzAj^gLmy zV_)HdMdJR6pG+pG4m{=216}##%sxPChO6yo1hKOQklx6tB=e(QF@}{lYI;xEZ8BpC zeqm4oTex93?WRuFKt^*FkI46C=WyRI*V9@-TZB$F*fFqJgFT_aP(GAv*g3!?5VYni zQ&;axd_wdtWB0lt9!gLtWQ`5|_b;X?*Vgm(x}is?bUG~OCfDtCz2jUqiZ4rdH80q; z%iy-2G3xj;>y#}gFP2w zhn3xH6*a_h!E=6n?D%P})jZgkehd~atw{0RZDjw?Wns-b{!iG?tcI*_1zp98h$S5N zftBR%TLd$s1>4+s_g)kjk?yB(SetiV;**dRs`BKhb=B?65cMm$wCTP<>0>N++UH%D zkKZx6-=d;@&mN}@`Vro@RxV-H%a`y$f!X^Nm@d z*bOL5I80q&Je`!QEFEQ_(vJkYV=XeGB!Nz9c!2$ zyD~#LQCttkz@+57;CK5S(`|jmc2E_pdoc49rX-xI0u@b#;s``#M>$x2DPj&6)_zMw zPAK+=+}8fyhbeEKY;hr7x-p30l>_b%%&CN-oDiW*O!skjkOORdG*4#xl`9|UEPu%& zrEp+oDv^*Np?E>Cj{Sq9(s|3f+Bnn%+!KNuOhs1bHNXl^IPRJQ&cvg;7gBK4@}!W( zonn|fzLC$`)GIq-_RkbDqK=C&aLiOneQ4|8{BdjDHN(Kf>Tgwy@yoQ!MXRufhhn`3 z70!{llNso>9<4F~bsPGzT)7};=!Wcl`WkK06-cV|+Om>rtis0z($p<jdq9p z7<%72w0%EAe~T>Z@gJe!5~aG8de#cIZV?l;%?dvHy3;ly-lP?5!pn;J zJ4hn}eu<9O8J6`ZrzEdN!h~b5hXaJE=F04Q0q!sTPOFSePRRFCX{MFM5XMCV$OFJ| zqNLCzdEWpa?lW9w9EiR^!2M)*4~?SYq_1~`jlTJCr3Q^P(oX;Er0@>Nv^A^F*%(#-LjlG+pj z2f6zwYc0tELwa5Bc1a!=&Y~|5y&zXntCiudrBE=zy#Md&lVIr8Oz}L|AMym$Ne%ir zRqAO2TGA6?pl~+8RGkMZYt?u5gp7QAIPl-}R?tVny1#>IbVF%;#NVdP?~}yus`YR* zVTKOosuXG=;}AqBQ8jcCM1d?_iyWUez{%$=xsz@B5>^0(2-yt4nOGF`NFVXM4obgoTYDzIbG&SyVm)oBKCS3I@0r0Mkg#GbC~ z)Y7E_eSSq~d2?hOgR$b^YI5a-NY7VR>_^nbf~+PK?SImjDR0F?F~aPCH?S#%F*cE4 z9@UTCzgDErPKQ`g!yPGV$^V^VzdyfTr@K|z64gqa|6YXczvB^H1<;+|7N{J>0t-RZ zQ&as08mW(07>vo(*iUQN|1rPq&raM1O@o?-a+t}DWPoRef2$6xbt1mh5Bg4HwhuS(cXOm7y zqB;3jWq81LYS5Xf)A6N1u`rkF1CWVTfBS)9*kYkTr=o8|V*P?1SHS@5@OV&qOxShj zW9k&kZ!4;23%K9nO(AwfT0*v6N_OPWzl)XQ_(331ufP|-SXhRla(?L!b&g-#@Utwh znYc;r4ruP@85x}@urFkE|5&!dVmZcw*M@&Zz0*knoMuoW_GptFJl8Vq8sR`x5W2;m zq!dm`TuE?B22L%*PkaWLPGwm~70!rB?;%Bjq#6-lQmH=w3~{meF(oUlc-gB!(;C~p zu0*x`w{JLdYRAbVI%fe@L*Ny;g1Q?A?J^C1=zn0*0MD$bCG|oy5okZiomVg*>BJFJ zSVJ~GiBCOzf#IZQO{JazEZ8)o2+*T(gn6usqZCN9P}CXa8=oMjkRYDl=9)MA=5{54 zlvNs@Um8j3k+W{t5U#WAX&p`ZjFTG|o2pD)i}u`T>4sn0Fd3@j_RPOgpxGRmxiYID z+61t&CA4=_SrPA^J+rmw{1%(PV8S~aN@hXkQ+~}Axq>AEVt;BAV`uvSP1nfE|95ln zf4x%X|H5kWADrO-o7Ch#IKlrvR4R;rYy2mb3Nz;yv&w(xG-3U(MCAW7PVj$20RDgB z3jbG5aF%cM-IsY3cI5YEKYVyCF;7p9{jDIN#|KFV8EbOct|LTWaXlvDw zvLpEtoV3-WRG73^Is?4=FVZ`0p{o|EmESBTG@9JLgxAzgbJrTaciN12_oLy)zj zG(xRf-mg7MlN?2@^cLUJp?9v-Z%yF7A$>2yA4mOZ9bB47b0x3GYWBDxZltwmEq`M8 zo5@~&-44RSy#s+hx%|cFb9ki{s3wY}#jIbfJRcq~-bXJM{bn)OA=O{IYi4SOa zC3bj@jKlcg*g^5RMmY`PEMK&Rg%(g=5$BA*3I>R`i`&bd7|R(-j7uCKnF$F&dV0Zn z+9YRQdwN-xvFLUsx5mC8)0&=}<05*T<8*cy1e_6IN?q=wH)H%$+IMGYawj`tuz%Kb zUXT3u*xX=~h&mLVfGj#qk9F9BbOo3Pv3HSbK|XUemGy-eEA{}31HPF$ir8xow?n=( zr`w(&$O6OqF8W?`zl;X)m7Yo4#OC!Mjc@RbZn)z#66H@OCXVE>ZT5QSyz!8=J{_o* zUcrrd`ZY#$G){X!Kf^9js`aRVqw+snt3@rT!j2hEx^$W{ z$IbaJlp4XeNFcQIF=S6?bR2MOeUWpOd(CGHxT0H!dL2np;-Ju!Yl!MH*Zf&~`SzZ;(s<#?p7L7)ZcjCi9!n)0($*D8jU%!Z&Z@Yt(~q9{inmSP zGQsd<+kQg|96h7(MKVWs=CDCSt|UH-n?LW-dF-1rkSfhTnc=Yzip->+-Nn9d8)Q!) zzlP&WfEDljoaVyI!N0M^G-)-+%$JC{%6U4J+z5dIX_2#^!4Z~NL4W@}S~(ia=>CcEFJ?V2f(+-6=u$ zfK{=E#YD5i$=I$e1vHoX1~7_kzZqLIbqB|wrPcqoV(Q-zS2B-;7XJ*kBJLS<4+gv{ z>l~0~&ChZE+Exo1-Zk`lUb-BO90&WxgnL7mbdxY5TwCB6;u-Drro?~$JhT0$O(Z97 zc?TrQd}KTKH=>Ja1^zVs>GszgfW*;idjX>z8zBKaHi$%`UfFnEJ^oocQPjw|r*#o* z%YNvEwri}8wonSpyZKl;&x8G&2MQl2zsMeI5}mq&Uk|eH4I3w-6veYce{PgX?v}C%_i|n? z?;7cP$08@Yq-ryiJU7qMtQS_~7+mwZx@>N5TnP?Qf^W!5Cc^s@4t;Sx+Z+G3DlRnB zc^>RV*wm183eJf6@0`>ggFP~Gdq*~+C6g0=)V4p={;;FIG^+CUY0-8f17QPV){K{| zS~~&ix%!_RI3=Z;_*i?9(OM3GR@;&74kKJ087JebaChfgx`8SD^*f=(I!K@U#O2>< z{<~B5K}z4ggZXVMeXSdc1849{UYPdbOU~DbruA$zkdMU{(!6SEdhegvp7;6{fbrNb zLIY?SdB*7iSs~sh zp+~?tm?w6N+8LIHun!g6HoTS+(zD+ zIOy?~3VmJSR~0-H+tuMu-420#d22rK6AS9r5!bhRP#?*`W$J~+kw=H<6__WyL8(Ip z`0Ea;lJE#;1<#Rt*&NtcOdS4N9?Ob7=&}49s2^}5tzuoUc0fdn;5G;vaByf_3d2<$ z;My%eX>Qw)w8=A#0pAWaU)R>Qnst5ykYHDp>qW+_tFi+(Sj(P2@rJ+SkZ91)!Kx>~ z%1BCjCcNB{7dY7+Qn7DLxUw_>L9i!(Gw8ntk!OjNE>AAxt*%8&w_XedI2%ONTgHva zjS5sX1K(M4S5~1r=Z`kjER(HO&Mt?U|EkpFh_Gxs+r4wNm>`l$?5!Zr3iAy|TS&N# zG#7+W{#pHlz~f{{ED1Okbs$Diu~u9~$tK;^BIa^{cnFL-W53IJiC3Kv ziJLI$z(TnuO&xVrD**U$Vm@_!TlZF)k``VcKxP8A*Nwj^S zU9w2^wgzR=gA4b=Y1%cywQdY*FnZ$5a`hakF_9{z#7povvlj8h*ks$xR>rQ3vhlpi zuapT>78xiP(C@zbP5jvO;thD@vDiF$zsUF^bkfi0uZa~`S6$puK zs{I2El{MR+o@dc!IS+nf2#glCF@svh;mRKbQnP4v?9>zci@0C|g={h@O_F-9p>9s@ao{F+1$Q1zyxfrWTt0)JpeV}&UQ z%%|i+WN{Wz7^l)GSvHKZ=^(6F0{RUB|i!yJq z%1X!*7ny`XUMow_%xocVrR$<4swh4Dl%e;=Y{%+MPjImZ-Z0=lCL6%=0?_*SF2J1R zump%yC&HCGRsgrBEs8N#z~C@oLwh`b4ndNdee-e(sQi9~N6jAxw4MVzF9J$jDGM1@ z3Yx~F3U7HJ6iV1m<()j_AWTga=^a%Q@s^C{LRC@?k_Q{5ppyqz1YQLXy+m$nkc~cB z4eUt-YgM*Tt-3BZr=8p9fKOXZy-VHOds_Be7PJW^%w>NQtnH_0&abYI(&R$*(SCN&l6S3;qD4s|d}Y2aj>(^> zNm2;+^9bDY!Rk~XjR$(-$HWbKLlX z?FBjA=eAD?EvL(Hz^k(YuhcpR@0|)n8g<#*c$5sf$1sKe83^Q*Y=<6?1==I50Oiob%Ft4Fv!swHf;x@|Z`ZsV6 zAPfw@Q>P~NuW7>TW`Q|)p~gw=S6fVwY=9EU*Jb6xnihm02(>sD($OgJ+O)lpswiC| z>RL)yHWDHZSa;g-^q>OjvNnc-bZCZ*(c-rjYF4`rz2nIvO#BUWM$uAVJrd!5Rau9dmWvM~ZVJMHp98!RS;i|4J1c-N` zDd8lSF7D+`b8<~l*0rKyIqI5;1^^~l+6X00;Mqo~E#WcLgTkFhi2M}f)1o8^j3e}J zm#MeLofdMIUK0W=I84ZxWyn86l?L^xSsk|HnKeP606Mte% zCi6uOIvMOgqzwb3y|mZBv89hBrWyMPi$S_ z%hzjT->F1~GO$^Em>Nwj#Oif?1dPW-p|Yj~{ASsjDv*?>zDo3&vT7S(d!}%E z?q^@7i&gzYw@4U+Zo+$w!sBGIuvT2nPs+tEySaRpki}Th%~y21`m{mhnD$3HE=*$j zT+p049))s)D=Xi^NnXxf%W__D^g^|mVIC&#F@Ci)uXASR6;-N9-b*a+JN===5RVdR zo~BZ-f`P$Qtohjx7BrpW+ouz)hr$G5qmKG2daa`C>*{ng(d7A8PfzNdHQ=WVG3O~U z7F)nIu1{bDLmSIH0_7j3i{3sZQdXb~1!mWj+}{c7RFc>>%I7Fcx=mItiTXInDB!HP z-LE@~-l3gu)@UN`Yv(})9vVyJZY$2IyNXW^TlK87-l3%B~D+Ij{NozB9zc;#t z_E51Eg)Z0*h3;7ZaBUJGqO*u8JL!=rEY!J13aMy{T}FBI*ho%r0s*vpQ$$uSwux*~ zUE=cm@T^~S1OW@_TMdm9F#^AH#at=^gige}TF|N4aZXK`7pptuq+y`QevTbnWxxCK zEv^}9d6=srxGeXrv%LrFQ=L@p)zEkj2|r{d_H(1t=rImHLh zszZD9W<^t$P0}szZh_Ki++Js7=e?NZZ0L-Y`HSjZt7z2e>?6wAW8H}BBtyr+xi-P9LBcQU!(YyJn%)VbntIZ3H~Pa&Dn|4>WSD z{{(7P-2Ma()~Twft&iE@>;lJa!o-?;{-D_#m|&S#REEq$8so)z_w=A%LQ1G|o^4hb zuOzvnwN$98oqyj+L@8#zpruqORnwkE7wL3 z{R^bgLerREZ`qhK)RmxqaV(n5^^`;1@8gn^vzR#hK+_sTczMF;yPMce8_A*Fe!>pO zXXgwV$|QP<)lg?br@S>QPTY51qo_Z}hpX<+*K4(b7_r$pv0{*4+h(-Cw#`iVJRgAT z+sQh)xgsiUhU7d^r-@MII+@zcnm$0v+5)` z3C%I~70I8U?>UjHSQ=9CxJBOFdO32H5mlq&;Ru_pALFtoyY)ckcOfq0$>L?<@U#ea zIv?@^9)m-j960WuHhSB5SnMs|cN(^taEjJ|fwd>jpyhRF8)a(Wm$Zd}q%au)IA zn(>jHb8uzLmG*IE&OEkeO+Ss+kuE0J+6pSAC0`)cno%7S(ntMONR^o=l|C1WLkwmO z9W${3;erG)Ru;3zxw?A7%bJ7Y5F^6UmAUNT7+v%@PgM=6P;p-(d1X$|RWITx=QKi= z9_-@C#lW4o5FlLi?b?7TYTlku#}cM;-nn~CD=H~X^@j=iDRI&~Qy<+c0$2`Iu(Y``&<%?U)F4;Yu%KL`3`%M`P9WVW7z@aj(4 zt=t|4>d>oMXD{OeLNTRQnRA2N!OPRp&{^RlGlsA$GXNFHVWI=#%o$83>W1b-x7vxm zQG>?vyar#(3a&r`DVVeN?yZVVGicpDVHKAcBhBa@U#Nz3i(F_A= z;9bsh;ym8K%6^$aC3M22UImk8Tp}rrMxU*NKwgPkc6zA#b(V>){!*1oICi6 zwOp|ZI66)1vR#RnxJ{V`jC#mR3C={wQI7P15KMoH3cayTz33~G#Ee*qcnlJWy8*QK zIc{ZfpEy<9y)^Wb{~8-^!p)6FZ6$;XcC*G+3ZeXg4-PS}xBl$KkF+t_tvWXb!KM3$ zR-|o%14XC}cf!%kb%^5BbW>UD|MHZhE=E zl6_Kz-XHIeL2xjf{YiRMC+M~k_ROQgXNh1b!m?1X2!5b6&igu1%G}Lky|?hmG1-3I zn&Hd}6pQEGC+6+P+}OWwX}ko4iKHUDjUmW}gxTOqWfB)f?g3~)&G#r!UlLCKG zmCIQ(HX9m7zIeypaq7YkOEzn+d{Rd{;*tFMt+{8*UN!At@$<-^dOy_Km)G969oF4= zwdkhnIC_RJg0AVYW>O4jp7exkIq@-Cu47)W1JjW^d2JR!pw|scT18Me=83Wb7ASQ3ugC!4 z^||M%LWZ^%K;;*Im%dD9?6loVsd$AW1Uq_}ixV2pEF?lyop(vE@NJ`3zNB!ApiAQy zMa=h*wdVPJd9qTi{Jl`~5Ne@~e0frRqbqcN8s+E{`O=NcV)^V=-H`EH)ez6P5x!~h zkhM5eG-xx6phl$v$!gz-)4_X5<$>bILg5RE1F}nmi{m#_>s0M`* z2;)yJqds7HLJM)f#4YTu#f6CZ<(xqaDB86$Odle@z4IdS^YS7l@yZ4vg+*k)N+Hs$V9Hg@2FKwf*9R+amJo9MZ|uYb4g zy#i3m%EHpp&{@On?)Af|G@kAB^isa3uWL2J7j?-(*w_0%*MCln*SN{^W#rZW7iIq( zTwnZQHhO+tzgV{XXyAb9Ucz_qX@%Us)$BGom6gGOA8g zWPHR8-C9(afyen>Y_ZV4Llp`_yE!iLoD$enplj2rV{k4>~cX;j{dkW1B9V>86;rie}o)q}Sx* zxt*S^X83`Je@4G4Mg){{u|FkgRzwWw`~-cxnJKDR%=N3T&9t>+3tg_R$!tkzP&KUL z5~`-6ydVVbKqo}{9J#mS`EB%hy*a6-R#BPLRp|r#(eeS^<6P|r2qzG4sF?XwVpD_I zP1jDab={pj9hqT=OO#`=*r%m5)g0>!*RjF5#uUK>mpZUKimOTf%BjGhQfZ~_GBV2& z%3;Us>C~fdKw|2An4?uLC*6h6?cFgYK$FzM%o!c+NrH>U$DrIFv)WbH{O)7 zT8k!S8@7`r^1OcZEaU6ELo*g*8-)7~ST)VInR1&;Nrt}f;jxSTayI)=aYPsT2&#^G zsOhR?>`#>kY8v&^!{!&1Qg?+`(#^w(rQ1OMPtg~4G@no{qn5$4fFm*}cYFJx?Fkg1C7J$>Jw*lWYPzCnSAsHW${uTG~o9)0b%c$i(jN_lQx96W1XuGmSNZ2pmwgsZcQ1nrzoI6h0-7jSC) z@1hCw46V?qMQTmwXDynuwB*#TRii;#yG|>nw7>Dj$H1~&9|YS#M_Y4*3!B+$=cdDh zVYwVze^V;0&%}i8ZiTVu-xRp0p|)~Q4_8?E^N3@O1^D&WQqPpj&F5rm`SYeAdyMNO zbiUMUm+)@CPsAw_>_627U#ue{q`-1Ir72kRlM2^6k88*VTu*2BOZ2D{sBW)&;HI?| z+BDwQbJc+o@uHgxJXq4{dKTR->^~Z2WMYZqu&|DZ;|eQ6myFdlqXs5Ie^-HpTCDg6 zWBProXC;_A!c`%KxKqrOo1tz5-uIa+-QrLDhuZ!sbFVp`5@g>urjP5*!R^%Y$6c=` zR&JgWRNCkF`1~NM3f1lwU*^*Sndj>>Drc>YsHv_w-imf^Z!US%{jfx*Jt%_Lt4s-K zV`>@dW3lOM!E|K`H9JY!w$KUKe@P&+F2@>SDYr@DPUw|L#aR|V;u$L&sCy~9YNw>F zw57Rn*is%-3k4@_PWGApdsBpM&)Nyq;|&SI0Sl9vXC!&bHYW|j7GN@=fbnI|BT@}H zP!-kLomzdl+V1_jscihdLqT0-jjHpfWeO_C-5vpE2Vr6|jA*XQZ5z zM_-v^DxX)AN_ZIBUL=(-Odb2=#@{+zG!v3wlB|ymYkA3=>!E$3CAuVV`YfS7MmYJA5l-+k ztsQe>^ex34pP5zU10&SX3kFxkm|g=PxiyFzh1)bpD1B!XSJDpwF8F^b0wNSx&ix)P zn7(1~%WcGs@{Zh`;`u zM6aXeEs=E|)K^j7o^AfGuj4JtOfE{43rTqvp98{1?$osW_fV_wXJR?!LM{i&q1;&+ z5&76hVjJmJ?iwTUzwsyD8#&;hJ91%a!Yv5FH{@!me~|u$U*R_^0J>2-xgR?YEZE7A)GWIjXCCcYF z>GkCd&rWUh=fn9RT@ z0hPqS?A9`byi`cQr_g`6B!tRyS{9Mxq)a-?Sy6C`qcZLk19&po#*6%j9Q~vz}sGnK#n z4VQ`eBqW!Jv$?enATJc+a?AD{E(oD>=n_TbQpXcYCynP9O&X5QnYb#%OEsS30KM&u z&=2{EG}E`A1GR}ea&ZLsT<56sk`U}6XGUY%Ih*x?@cL878YjHJpNEhht#4IJ}Q~$o)kcgT0k+`NV1U1EVhJoRU{cxQUwXlRwRf=c>1O!X&0k> zlsS?c9&Dx!lc-7Q5GN*(FdY!YM;%qrqDhb}BaD*}v^(-N=O;$-kLa6AD+zFQ$d-no z9rP!4{5pWD2#zzv8$JLdgEIq`(GEKJ&82v6)|2PXxC;v9l|*|-v4J-CmC0}XQ-XQ? zA?6-R%++0#$&ZNp!N>#tZ1CBST>bTCYiHUaZaLeH!%6s2uL^18d}(#KPRKb??=Muk zpu2Lj1#!-s0gLZlouk{$M5>CbyX(`{0i@!JfA~FvCkQ@wu?phV-m?1x!t2}h>5D^x&G(b z{%mN@ERC-A`t+@GTkg}3Ia4&=VC%;mI&cbRw{fu6>{H2tBO(X4?idKmru1?pd!6Ax z%v_%WU0FSZBd*<;IaC~lbqKd`K0~!+CmFY}K4A{O$REKJZs8345FR)aGxCZO9%f>g z#KW_w;Wwqt#M4Fzgv1@r`pws`$C;c5qn~;+D=%p^iAHXBRTd~OE)^?pKzZ;vzi79-u1K&$UeiWpk&F-d7@l0Gb0O;c$n!LVWL zk@g96iBbsWpXZa+`x z-bf+9W$V152QTHl*`y4fQCgEibVHzsPw7gxvIY4_mEy;eX7b`Yefnz*V@YC zH`KsuvHSLj~BJ}*_kb8Kdgry#88aa!~_|j>@_+} z%^Pln$`?M4UhJIdN}Q|quK_3MoyQ?QLM~5zSZ_$F;;oXR`vAS9PmRcTygowiKz(?2 zHkN3(_49+lu7dpKYce+VE>O)Ap;4`Nn#SnZN9VUQ^IG&pLs3Y^RhxQyseaX#5%c6^ zH?J2gWz0`MiLVLnK&zuRS<{`B4^b4(Mi2#>+d3!kw9L2ic=eqbO$mO)xrLoWe@ zwX~!2UPmwcBG$ZK1w&lo1<2_+I$ZfD+-R9Me0;SCNaZuxOZR%x5yA9fNTlKqz+L+w z%9U@_7Cc$%r2*>&{v#c&_+O*>_$HRW=UtoiR{ir{OeA2J*Bt6C<@(i|M@?6@mwi+) z1g@cxkds6<_>2WAx&s+30dkag3o%;C1)-E2Y{6B$IP>oVKY14}=h#w3r3uYj; zc4K=Q2J?a3q4I8siz6(HpK%65dPgw6LFr-Bo>7D`twh?eemS_*wd|d6T_d+uBHk;4jMo1Yp^^GU40$jMzzJe zhA#>IPCE;oz@Q4fk8C5G?PE1~kNpGM5lJG(lPJjASnW~SMCBMaL57^H*!pt$a{TVeQ1C7HGm6P zkku{%BaqJmQy)=k`_>aXU-<#-L&dXNjul`4MPP!&BCoHX!~5NQUpOk?_mL@v&(-;0 zE*^~yAm&5KoA=r)be^^9&IRq?{w~^8sCoKXsC{Hx+pS0hzy8VqAbv zpf1-ke|_A&eL^e$0RE>YeKChy{3-&n*X{x$aHs+jH+%s9)xF)DGK=j8*pwQ8O$qMD zUk|R2D0hz6Mc z$j^u3rc*tp#Hf52tLium@Ku4Z?bl046qm*lf$j=q00!7CWS0;lFu-m#?nLK-<$j}Z zPKi1I%dw+sG>9?+16CEf3;SbA(;JxO4oKd54vIi_mL>>ul{3Y8oX>!a&hiR30@JhU z68YEds&WoF(bGYm2dlxDuFUWxX?%|#MF*tNf{aRk!C54Ysn$)ObXpkfmoZ=9hka}8 z9@0q_sy>QNx21mCK67ix+44bfDYsW%282+$p8QWQLlGubTM=9EJ>R13$NT>j$@{;7 zBV}O*RQ2H?U}s|f4~~?DosABFP5S>Ejr2eG(f@Y}Jv##+R``EOq5r=@BW2-aqXW$Q ze{p4H;-CX$yZ`^imX#C0;{U?-{}YY$e?Bh2zybhUCE#GCV`c|%{a=#*kI<|CyRiS? zx&A*Z)y&Ai#=-f&XXtn9*w~Sm)Wst%lI*ZkBLo|sjJ4dYdYiPn1owVH9T-)e8U>#bZ(8N^84 zy3s}jZg_V9v5MWFCQq;TgUQTPdfrdX+mOS#8(SZbci_Hh*()x0>!KZ(Zr_KUf$yhN zTeqKa#hvZWUXOp5a)2t&bw3AruaY?5W^Rvw;?7+S%0G|Ck$Qlyj`r4TX{b>g_wVzq ztB{*AsVmoM1r|rQ$CJ?RxKmS_jPJDxMQ&eiZtnalUBJ!EwRD`$molm9Pou@M9t&wt z@8ykM)_=AcCzC6_WY=cV4ZZBEYJYfEU)&t3uWL(sd$8_^zn-7lU6$Czmi0u%FkKfm zbgg{YT_?&4sT#0nW^4Ffbi%hC+#c=QE?#eM;Vggd?TcSJ*+Z!TkgvOxfO4i<0;*0$`ogQrgblnK~J>Gc%f#Mrv1AkFCnDqXD|5iD&Pb78^$M zBQv`bs(2;_ikZ))JibDmA$Mq}b{4C6BJ+@yjg6OJ`&qom3`*voLbtHeu({zcTYyBI ziEqniZ7;NW1{!8Ix`orED$b1U-F-1DPy|l{8SAy?kF}=3nFdD1fZjg%|CYo|tT&On zlG=dJvbxNYe7HUr;RQe7=%zo+2ju?f^0oEVtz!FYa&bngvKszsGuwpJt%TF{S{6pE znjEx}|JcVS3e~stO3l-92x^%$V{2fAt!GiAMItxbmZSlv(+a|@JOoZ`6E{;-F61-s zx=Vephcy9!lPxM(ing|mQ*-qF+*%8_{r%bKbd$X76#jVlz78v-PQ1 z?&smrRF;dkx9Ta5tWACMD|q+q?*2TmIeEMaZ-_Ps z%HvJJ1jz0o#?7MTjW=&txDTG`PxzxCXTJ9VAwrbY1sNG zln1l*1yiwmvgXWLc@k0EbN?>JEhd1Oae91Q`7_nVfUs5f-~%{vZ%X;^x|+E|Zsys4 ze0wmj!`pT6_}ZXybYceSgd!whNseGTw3Ff1gY#erl1OXSx;tF3eU>-bavjG-q7+@7 z$}+Zsg-HMSv-GqKnw=hIF@08sI1eZ58xJqP_0|FSUP<@rGg8JgnitOE193`jw(1uC zG#fTvaBGB8 z_e*Xs!c`wF`^15K|TgBXLCpHtw5$5UOXhwk0V+hUecABy_N{I$(Tr)689#-V4}vNbRz z-onV6*HJeFlz|Q45+!}NZQTw>wNFg1t=wIsm%Th+dTeFQ0UX(lJiW}X$(`!$o9}lb zf!d3ydfEv>thrBo*E}~M#DpJ}5WQ<5lSYzH`P|;9>X?#Q=o4TisdcduRRpK-jRu+- z@zdEVZZ#o;kkfam4WZwN!v5Fq&=V`!=%w4eH~=phtZCxEyI#n&2qV8+#f%9QYpuU* z@d>*ua4wq(E?QuT+nD{EpL|~JzOh)wM*fd&FGZP;ft-IV9-Rf4{C#aJuuBD2XJQq9u4E72G}Ki2Q>c`t zfbKIGb=o~=CaSqXp)>ZhK%TM{nAsBORcYfcWu091GMcJrK;eW_#`=&(`vw938IKnF zJ;fID+P{+O+z+da5S#kOky8D4HeUx-RYC5=lTG*d_#``n4j{_90NryCYIl@E-y8x_ zgN#GtK8+x2T0tm^SLl5>K1%wnLQ_eaKDv|9qG-p^ZjltA#y74TV zwV}3FkZO8{85hagFHIDt#*n0o#G$|LL_?K}0!QI26E>FH7ST{9B`@8o^6M zd|I?Jw&)^wxi$;B9P;QJlQHdtf#(Z?kWnbpbSuCnJ9ah&*{M*lM1Qm}vaNI-Lqf4` z%pw#8ClDx`_a)Ib1whT$z`2ZE z@K|i%OVp?{qgb{}i3G|PeMw^8V5o-6BbL>Yg%)J(@eaN?P>5Qx`A7-i!xTy2?%_lw zWph|m$F3Sh6%oRVG#1!K=JJHblT=HhsL^?iBuIf5FmZ}0$^wDrO7P^~)Jf^45}9us zdE;fs&X7RCdr<(OLpG2S>nv2|iR*<4)MgFu2o{-*ku`9cK}x7*Ah4{YLR85B`FtQH zRdX=Z0-bDX-A5>y$0D03n>iA>JF$l0^Au$YNWoxmX^s5ET=Ue17Mb}4X zIQJu(qHY{IW2YSlkYNQu!KUTSkr-Fx3pQ1{c#8iGE^%LA8wtFBw5t|0z%}K3^drJ3js+jeUOg&`E`OjhMETviaqFEd-c^`R-YfT+m~y?2 zs1Pe)H3AY!_;tZ=oW)Y7$y(;AYe5QGt#*F{e^Q=>z_abxx zv&yC$_@q~}yg!Hcdj^gY#u9l;TnM?7%rL<3LNBBLRC)qa8(ZytCd7?T zL76yylDxA0>dPY0*KpdpvWhwo9P$ zHywCL26hfLErXU(g_$J!W2GV?Dzb6n{_>~I^s+Ls| zF{OTBm7)b$AvjiP4`Mk8Xl59~NG#7m`a?1d?l@|cXqQNFiJ}&alzofzq&u+;2~U{I zSs2PlON-!87qt+CBZ`m}C3X9vXV5ZPX{}TXoSG&^CXH4i)ItYsTSMc9vK}Mj0T*;l zvTYV*pZ9(6O8AJl+$3pC{H6GQ4K~2p)>dt7=BVI0@R(wvcFa*+{h9VsgghM!%OhsSX;;9X z;EeJlet%CNw*H>K`pMe9v!~S1hj}{R?tky-zA0ggW7Wb!rFzAk0xJ5jI?LKJ=Kk4S zL#x^iaSNt|m=WonP<^5&m3#i>$Ic0Z%v|^GE9%5TmGto&f_xmWEZ@m7(vy2vqaYi6 z1DofLwAhO9r4kb<&H;j%5h^}29s{KYn4K=afU78Crd(#FrJAAH*~UlQ>lNP~alq~O z{B^H{S|mM%0`&7kh3wt9W?j(B+Nmg5Ae4$OYkDeFzXED8pwP>Z0>mn;Nbi*=(IPZD zN?EWG18Xgp|37C#C|wChkj&0MFs7I##@}2ULqQX|~-(||l=_pW~ zgK9D|_nIY;F&h@Sg8Oy-mVs<}?u=z^X+a_9z-~753>mz>V7IbzdIldInVJWx6_-#u zrk7wcsvgXhDO|8i;X1JYBYxJuJ!80sm70|pW0b#!h@Mmtyj5q(0E3%k-d>ZZkZ*c( z17Xxr5CS;J6$1Uvb*&gSwJ<$>=*tgv9~^D;L#?FMo5d3+Shi8&iBIlKVRvHc430{5 z>$s33NUp5F)jn_NH!R_SO5A<%me8a;I@}^67A^11Ww;Hkx$e%}uqVGBU;7XBC{2VGh6_jZj(6dpK*4j@#hOz^tGps`A z9C<^s67%;P6%~o~!)ceX(!xk&21#{`2v!itXNFDxLQ@AO`L~!zQ;BmD$fviMtS9Be zdgerGSAk@LL1EINF@>WpwD9khvW$Zp2}QtKLt=F5^)YS!dspWKz-aWr6VH($GZp?$ zBfxq+g$YvP0eN_226K6wmVS=r1%UFi!1 zEKJ}>HbH0>E!_j+WABGCVHUO`0w0%l2!Yxdx_V7h!RV=9f(^=BBK0Ax!U9CI z85;uo8pm)`2x05puv+2>scaN&SzU8kQ^x|>94-g}s?>DK-|ebgHpWR=bo77lxH~0kb%T zb!wZRqy9l&cyt?xB$+#7B2x8dO2mbhW)oSWw)!hwwyZBv(J3>d^-)k@o7yyA`RMRsg zgZ2O|9;f@q=pe&?*nlr$xut;D*b2&A1FM)c5XgAA1M}>qpVsXE3-{19~Rl=G}W#xGRATPk1>z~j;PE)DRwZ^f&oFAYI~Asrn{Mi z`eqauT2_$Eb8u70dIfX`Nh&-<4xjp86@g%TO$PKj?Iv#5p?PmKf#cOZMCha<)}%zl24fxs8>1 zEN-KtZC7KM>e(6S4kVq8Q+IjY>bjJ2mIxf!=-l)~WS}n3@{zRnqXx+XNu1t;E2kAx zCwlwtjii1`jT583+kUosr3j2gN1A3Vs|%>r_m#f-)%s>7q6d01!3-5-DjWTYc(n-HlP2o2l%5vt`_FiRh_oe1e0; z!k4PP;sKl7T#B@c@kM%E&iWC@Rsh-7#HfM;2}O=XS_)uLivOK>x$G<8Ua=h8?h4aG zuqw+cRyeXDF$>PCQY6dwe5zQWl5G#T&kdAHSpc)nOCXRBx`@Z^2%(I?`Bj9!#nvSI zo{C(m`RZdpE*YnU1q4|Nmr0Cyb4Ma;YYJa0pg>0`# zz(~iEU|CP-_~=A(A1!CK>?h%2slr!vb>T+6NUI{neu$#8&q6;^s?2)4JOX4OF}%5U zxPqO=);;JhIAh$2Tt*!WzxW>dc@lNCE$9Z!asNXZr>!2QX7XWnpC)4lL>}vRT0LYX ze^Hx3ehxA_-C62T^L=f4MCC_uS6J9Xg%2eIUFICH(Y_xl2MUHH@MOQvpMY8Kz8sLe zKl-rNs`+=5FimahBl^Rz$1w?QC9j*I1wH`y@9t|s?WtJ{hx)RC2yW?E9 z_tLTr+Zh7mhNe9AEdwxEk-UJsxUwRxR^~NdPTE(ssaI2Otpaoq+`B7N6Vi;mXEjAcR#5D)WbJ0j_?$c!xRBL1a z0~a)zT0mp;EF63QlU8b08KneWNAD7$1nFb|@y0gLRsVuMYAREwHZg%^T~4T5VSY_m zpaG7^fXzpwv@P3mJQ#tp6VPspHFSoF)^eTZ5!1*BU{EK`(K@Dyf-*>nef3IXjZ`52 zwLbhM(thb9F{WOTylo7vc;oXFPIKo>Hv>xC8Sc~QRz^(a>**TiO<{`+({H1gmbh(9 zy?6lbg5Mr@B?>caTO~AZyLHplhO;f5at*x&=(3^d9J6)A^LC%^*jMH>q_8z=7jQjn zDL(>!ZZT?$#t7IncF}P?T&dV1y(DJm3)yJ*jltl=3z|~67-WSAP!bF9db| zp%5mmqNwz%Jaa8cM=?qrbz!Py-5qIooj(jPvqc0~*SKyayR)>B&Q%ixtD=Z+3RgE` zLfYo(k-Qby8iBfD8mpgR)6M7)Mu>hY?ghG04{aTw*VGH9o&+`12+@AT-xlW?VcGnLDi;$iMXwk9Gk)kaaZ{Dv%P)4 z)g^QLVy5hzj*pIjHuycndwDbGg`k{)8Jt}uLShn4!^|9FDkAxw3ao)*%_5Y)7eSkt z`4pGI?OcI`iH^^$)Gc75*=w}^mF)?r|A`C_)@t1QbGT15&lGrZV##5pz zBruQ_EWPZWOEbn&ETZGt8J!bPkjjx2Jau{fOHmR8i;ARB3g4qz_W6l!?t98+*yQ-& zCZ={lkgi3Z!F-b_y}G8v;5I4YA{dm!xVg>QS)<(ZHBi63qW6VU8t>%(YZHKd(^R`j z)XHRQQ7D>dgm;7<5%w2eK)NfGf@uevQsG_~p#oBE`@|GgvxK3NfOO@jMJD;DSig#~ z*^#k2#b`9ytLsPRE$$m#qy9T}sQ#Nh-hzovzpIUq(aDG5FCJcQKY8dp+SYR?Zd3vM z+c_>wuiRZYwlpj8nQa|r||LVKHMhwGhvm!gVDw!1veyH0Zw`}abG!A7wnQcbK zQ5fI{y)Aj}dzBI#!)pEgOLiUMH8!WiI3k!)HFtd3Ku7JIqc3#6&dx-?DLGxSb$cb& zFD*E5h2n+rrJ-ZduzeVG%KDHkP(a}zdKW;^_?kuf-B`x3~)TiXTp zsO&x}tJLg8`+*Cm+-&ju$w_T1_krg_&K!0=J{;joGjp?-IGgoYWehY9&mU_(xb}t4 zRyxej`C|>j4i98`1BC5C;vZ2490gy#ze9tad+b}I>}@}sf-^L@yieQ8Gz@swusQNf0Y}enU z&9lD7v8feJ!78g;<^Wydj21cN)sS|5goTqiN(R;I{*TSO@<+tp_A=bIs;=!{Vj zOy2Hi0=n*J3a;+xq_HQoV8cnw0=K|;DM?BUD;&0Qwh9IrV><4wkw&Gvj&p$R`iZGp z2S^^QA@IgqFpBd+UvdvQ-qiWxkB)fZzMb52f8P48*Y0}xoP7nJ)@57`qa1w zy@@dH>8RWXEOTFh&aKF#9WCGqYkvH4mgHN_f&9pxYU}})OUv8CYa)!KYqTCXozK;i|gh6 z6Bmab-mXX0ol>H6~z z(wZ~lq)N;`F=bN zy2}?|m64qF5#C@K9L*)`mjfB>d_pD#k1UM#vq!P4{%7e$WfhZ0M~vGC-XN!U(GaIM z7`0Q?VyXO<@Z9TAh3Ncwtx@=6UjQjHJOTO0c_M==nmEbCG;sfE=rvAbA9pyAb`+vW@`f{gka zB$BMkTbhjNGQ6Z-#Fgj~!l^ zXz1SIYPs+{?KQ)%0XhJ!H%Y;=WjwYcl(IKr=kP6GNMyN6w63Yf``sB%E zL}C3EAO(iY2E2SxN*OGR6+!`69Tdt{TuB3jMipq(6c|=~_U;$fShV!=RY!*&9LGXr zWMdIJc?1sDmI-4?yEH6UzEB2V+^w1W`}f~-A<;sMgp4|NpOkcC*-lRL6KGa{SDkg} zhkz{qmYy?aEecCoKgIThON>FHSY37KOkp3Bc;RB(T|#0nti&Uy1}QPDEFgzRY##Kn z;2V}`Ha(^FMG!VW6}SO)l-hymtUAM{2CTS@7zY?3>jGRm9;Jgr0dDdkUhF}>HrB{+ zc!dtkdk+eBjmcq*N2%A?+T7y-+(7~Z8=ExALnNo|^GT;&1keFj&KDH!UMsX+;qRw=C_4(T$xxaNKaG@@C_0*%>)6Gdlq z#Pc)=_B=Zt`81mn<1!mLe9K-vi)m=ceo**c9w})Wky^_Z)f=RkjF_}2mlbAD7_%Gka8@_x5bYb0e)T|fQ^w!P zgY$q_=`gvMcqG6<-iREiXUZ89S?^@p7k9^k90{`Z)@=8mQGXE0I!4U)@q{pY6b%Ix zBJHH@fXn^H?8^ zNSW;mYS&}g`P!j+V0(+z9otUQdvhV6J$I$xJcmOWFTe~X0zTa&Z6GKjsf|MTTLW1n zj$!8MC~x%&8{p0Cm^B`Xh$H$UA}P#q&=rc9?gMG8d7XTv(cOddQhFk&Rj9S{xPVNe zv8qQ5Xx`WQj5w4;C95c38;E`i<*Nu^EvNC$U1;WG&}lHaMP;Sw#0S)=Wl6Z^Bep-P zp-ub!2IoILpmj;RfZd2HmN?UW+M?hXqkw)(Rsjue_n($g57& zk~)mJR(1Bs!UwygLKuu@DH@7lk#-u0>XPQM)V~t9BJGMfS?X@4c0Ul;x-%BVYKUOA zCg1G20t4@EG})-w!0O*Wv)7ndoi-vjHikv^!qs_Ipa@= zL&hIrM9g3DNXh5F^UI`j<0+@;#lxT7JJLB*1U-cF)P({w2hA3(ICHc|M=&KPLYy7P z!ll9iCv^^x!r8R{X5zWS$)T}l(T3`+Thv%)awE;FSa>pJ6&rh%jM}qBC#h$?5u zCqSP|{2^XRRAg95qyy8$#)3O+#ysv_N`wLMZW?r6Qz+E2loY4w0H+Y%3F zzS)~OTM89)7@-X@VBAGH97?wKipi?WpW?PRRK!ObNq3`~zYupY-04p@zVg(8YO0C8 zo8U^m+-k5H>}Ju1I%3SSAtt4>wcd;{5keXkjxNAI0qJyJKh`9pGnHF`L27@>>6ck2eG!T!3iVU7#mKGNeS(?Hh?vkmacE|>J0ch*! zbsDxMM6)LW93vaRF|q+1BO7w~H*RfYmJZwFWdCj}Ql-fuC5IaTB9@WXE1ssHI#Ihh z+VjgHn2tO!*(oG!p9YPx9_>;GA#qrqV#V-US+NzbEg_P9jTC)B_YW~$B%#4EwfLMa zI&l9VoDsc)eY-0L57%G_pD=biXOj3Cz}!cM{41qHas-+pf)S{ zkN0K#qigb;nmAXVNb$>_+Zx{UZ4)vZiGZ~*IyTdf`d%GSTeNnxMz+~op7YYy;;Ej> z#oH8HCV>orse7m-g3Tl)ecH@-!jMdaI7J^pj6(%ilivba z=&uwTkz$fX=wkWe^s@^P6w2Bugx|%rrwdaFR1o7y`wWakG8uqg5vWX`d*0$ zKk%mdmuUY}%I*JC3@CPn|2-XaSX0ApQxw4mJ@2PajL~n!6Q7@;&-Ic(ME*#AKC}rw zfEXLdy1d?~>FYK7k9gunVAN0%kg?|E`n##AnOV>F+oV?rV#`mHYSBP412Cheg=}2@ z@}Mj4=a)!x<}BUHM@_0%y>G?4 zp&I@4!R1Rn05@Quzbs3_*pa0lVO%&*Gr+OHxw+@NtK_Z_+s!^@eEZP7X4 z(@}-qy9kI-Dq$dr23xfL&QQ`Q@r;Jkjk`q~Sz}3u}_b(aFzv07q+8!{!Iq>z5m9hQ&H0n;uhS}ZBw%4J4>w8l&V^`moyOTKjmMCF{d=8I-GV2`2 zU@}<#D93kG+sS^!*Ioll3Ri(Nh<>y zuQeRFMKqkYAj&KWMOzOHQkQTGvKIsC|02dg86d!+tcsGaEVdVe{y}jT+2SPXvdb}O zL7=|>2hq{y2rsBwQW#G8*J(dU*(?p3@6=I59e=l9muu13Ex`HlJ(&-=UqI}H#ynfT znt6L4nu8nBG*+2<;qiSd^s)e*0BQF4erqKbp%f%LIz4rUV!6H=9;AL!C1C$Zyr}Zm z$>4FB^YxP5h*WYPG>VkKT>SsR*jonI5d>+1VzTgwnVFd_mc`7nn8}jGj8DwW%*>1y zGc&U+CW|cE=b5>^nY-AC{nK4h^<{Q;b#`}DeN~w?&^==^sLjcYEOG$MYgS{iBJBI1 zzu4G8=tcrN(GD_d+=kF(=?>n&Rg$G>2A%8eoDK6ox-mOM;opjnsL07@4{=JE)_YR0 zcx07-)L{;?;v6==&JMXDNnDQAv_7SDUJa~L348`GUv2j`fA%*1q!T_RM{G@MQ{JX) zLBH(N%XuO?5v0Z||4Bce^-yNF3EgrI6=Av}jnVd_kW|s78%@awnUmv)*+xv&xhsV1 zGcF`%mzc6VzbG+;SZ$p_^($c5Obk(VpBQN#?2{$}Y3^{ffaXZ(I>)eV3lM|!#GM76 zt{XRYw|5|C3gMc7huJi}P46bctRy#@SC|!_e~Xy49bp{ATfSz9FMu{eZ3a}88wcZb z_N1RcDls!vZ3z?O>gZ~+=a=w4RprV}7+D*EYSQAQGq>v|86wZ{GZ-Ju%a@wq3CYdJ zbug}007W2Q1fxxiK++gpP$Hm?G>XWY6!Ex9yz|tu4vQapeD)gQj?=wv%(|cv&NF4e zJONN9MntvSF+?CO=kq{O0~+Mr@5df64&b$%>hD%J+qB9%FU1DttJXU+Sg03mKN-I8 zPn(!0o%4_{@4kSk^f(~`DDkkw?*uNizomq6hM1;njm4BqLlGWLQ{R?NYXa~md89|S zb%`Pm_zi%n7vA93b@!M@F#HCls@L1|RG-oBN5g(jnn_pd^BA66Ke1Rxsi-6+%C}tF zHqSR-jgdW(jxhcp4d?rZhpPJl7Y!jG?g=~yJt(4PdO;5FM>NK4JPvaBpfzmm!|^Lu zNTH3pOGW6X7Ryo{e`!LraYmBV)`1#|9~$X_iO$AImo9|o`azDD%(6qj%xIsuYd*#u zB^K`yMgOi;KldZ4_UO1?S@J)n>i528q6lqw3&`*3Rm0V*GQGCeL?5>4sF=obl7=n#64p&^c;hX)cyw~Bo0h)}%eCP>Ig{0KSF zt@5AEC_;i7fBrOsq?{*IQmEe(`pHP_`+xZVbAeJd{9h!F;^yJy_@Dp(B|Y6(LT<-@ z|9=M}3#=TC`}x*Bdf_`HE1^kw|7(cjUf;yT~f1KeJG8d|YLM9Ot=Lo${Tr+`AUOu01Ymv2= zX4WMij-O8_GpL*Ti)OR(m6v8X@7zM*7nwpRsl-A7hZC_^1}4ud+%vg8Zue))+c++- z_NlLaz8@F#aeqrsW_)>Vp)IdplQ9)MW?!b(&Pwv@vpQrq$}|kF{GP8QPa82SU2Q$5 zn(tc|mS`cn9M70S7hQN=d`#MxeYRW7Z8vKCJXQuQB6B-ie70V5t)8`QcAZ}DehgkS zdTTHq`=e?2*M6uQChb${>Imv^`K_@m?HVejA+Lko!uce-RDl+DK01Q&tHjpRF0 zA->)^c4=ix2kvU9kFV_IDoYm=?A(3k+5^(L$w_O&O0+pl^V0p|3AeLl@Y?=c3bIe( zs`P4B%@4Ky!#|>XQbv1zk0k^7d%MD?mOgg z;?BpP#}U{CgPymS{pw~G*qC={wNu#@E-^o4Rg+6mL(DM08avoy1H`v8ng=bjdH98(6p#rU=)y)+=4cXEqq#IR`iR(OQjC9 zwuxGJQ!IV03E3-RCm889rg$R?+~+SQVc_Cs_Vo|L@r5C+KYLq2A-3<#oCv*V%p8`i zPs#rHgA_Fxq7F*`fymIh5w7}#JQCH~+XJ2QFm*%@g@+>4`s`#ZtgGQ?w-~1 zC7e*}-H?JQI(79BWoKs_iK+Ac2!9ts!wdz-1)OwXs&u1snt2NKg-BrT{m__2oL}f0 zM6drAhyC-`%h|9w4v&l9z~iUq%V7r%$6Igx9w@jJ^q<8jLW_YHUN|^q&y>AZ;#u@< zz3o8eH~%RYKS3UZO{Z@}A0gAXD9<+zBGi4vJ`TB2AqMxqygrQu1bYpCaZe_`galw- zvDEhj5ExGQb-!Wie0r@=D{vbD{j)el^5HKnuy;3o)b76_5%2NRK?*%z6x=il`q^!A zT`a)KbdG41C>$iZVDZc0EIDOEmw((K^Ymo(5MAqpO>&gJye-uQhK_Olsck(uXGh|{ z;yST8&#YOt!SID%XtusACYGH1TvaeKUqEcad3(Kn8&6Ye3qn(V?=SMl(P=~jf3 zz%=aMs=^vB5j!RP+GU#Y`S@7V`!c{Nw_&iC^>N(N`zuQ3i(gNuQ0?xHK|k@^%eC(F zIrnoulD{kX;t#Fc&*5vK`yfJ4GQ(kfIEt&kGx7gnR?WX^Qxxm9mES2j@h9x6PXKb5P{UKf z3AU19SoXc_Y->-Ps){pB&SbHaeU((b4fmJj`YE=>UkJGh7B3dp;`4K{3ceFpUUepX z%l;U-SDNh{d!vlUqEpnWZ1q#n+KD4bz zag?hkaxkqaRaN{UjGdjxlHddgahXOS^{!+IRX@rSlQ|(Bsyn=Jt+Qfe;xRj+h&s#y z8f7<%hRv1{SsWm6E#zIM?N7_!Jvh6X6v|9X71{rwYi5lQR37_T72|CxN5wNaNLn)=aTaRlL(hs{GSibq7+7@ z5ZS=^-xP?l|6w>PJuO$>wEH2ena@JKlTybGUkPGheaflZ+KE{-u@CTu)EEfQW88L= zFBvvV#}aPMC?QHL>+bb0W{j`6@}ZO+blNS^pm&fxZ^8x zxBf$PO^^ga@WGSMN#msaM`x1|G^%f>*MKOq5QoW&+v)nJAjrA&({m_NFE+hwl7Pvg z(o_jJMn?-px-z*`z0f~@QKrIYtFb+8X71P3YKAC2`dA5?KJ*K%>(tRI(;(YpRl&>Qj*UBN$ItnBAUy zq)~OjMZ$wp&VZ2O#((_;m!!7jom3MMLrc_5Oly5gz%N7xUs(`_wv353P#s|nys6I6 zaZ4fHsc@!rR!ScdJn}>+9YcWw1Oww~K#$KT81*Ag+-B|ctuxd4!AS{sQj@=gK%lY# zhg_bW3QyH<4JJ8kFJur8 z^bSN}d6VfK5~y)qAducZSaqKbq+iCtMd*<=7NUwQMkXS~)3Np&mF631%VBfFCc9X# zU=jA@Tyk{;=d&0C11OE#^6UO}9*bh57yqgCabClZnHGYcC%v$4c(R8>Fe83PZK$2R z`~yYHBH2`vis$MI29LrA-8Fz0$REiOCk+0*NC$&CFk{7sv9y_TjpPJP3t9q-=&XPs zpb>5rYJV>O;QgOTBCjh)V9>av_e)zwzk*e-*(I&omEavx@c;gX(ER&`0MQGtvct}* zGfc*t?nXd444ik6-6IfDJdt@|gx`cZde=210M;aXWfUTknWDeN%Snd7w9)o0{24RcYz(v+O5%JFbfg@a?WK%4Yim1`sTX6DJA!*I;yIH*W9 zz>?_w%5e~uOn`{%F_q)NB?|{1t#jX{BR9L)LPL|5;bBIL{&f$~MJ0qlJtQe&b`ZZ(7j6hxBVI>#wgP$o(XO?V59xHRbr)4gdQw1xmudVbXW6m;RND#8!p^yNV1-we>> zv}0OvP-RARQV1{2ffT~>!A#$*N+1+>VPwW?q}Q;t6QH@3pfed_*d#J(1X)q!Xep|C zzXXCUVZxPiT8bp}{*rr?(}}?TV*h=(`B;<-sWHce!K#U1AiajF4^xroEguOt7A?v3HwtpZwKPIuow<5lnH&B zh9I6-H>-Sdqmzen6ztf^9?|ftmT%C%d2c$ zF}JC>menSd$0*(4do1Ve{h<;%$h1~?+`g{cA+Y1>EP?9C=!h<$1m0J7oe<6p!-y)z z`T3|0-qZ2fj#294OpekC984$4Fz`wESOLTOtZ)7X&s0UTa5&OG$qhp=@IIsPy*O1& znekv6j>f+R+OkW?DPBw0>?jQCqDn#>?IjXcghrnA3_v+mRG4coZdcXe_lRm$0{awDj25Zmq`L$Q4kS-%hD4~91H18r0vv;Cg z!sqrkCi*@yf-!`=3q@2^^49Nd37EnjxionjT6J(1cM#sgq1=Wsu8Rb{)uf~=0~;)` z;vV?kDWR=KF{yXG#CQB%;7$U`*t_ifdrv0j)Y9j5y+WZT*58kv6M)Fn0S&wiu#q?n z%p`|D-NBurQm|3kc)I*~Lk)-loD`;}?zm2EIKzcs)xZLDF z-#28w)u6v-3f4>pd!DiRA92Sv0;*_PwC0h{cVN3@iJThp~ zp1a7wDA9|4AK0}_vIlIJ1D_atkUs18-hGR)-fB1>1b*;G0Ytr?T-+ve3%iAnQM0fV=5GFtfA2{= zCEw}5ZRMQ-H6Z)PmJh?(U9mRnkOuDV3d+R(*v0+4-y>{Hw^suQzIy;&dzArmgg`a< z@!;`#7O5$XSs+No^7NQq46Y6-Mv;~>j2}qOfOBM|TS!KSIvI-sEh2iA?-sAR!4?eK z=XrC?yh-!3+4=}_FY9byDIbOb>F7iwYv#V&*`Fko==qGO5!Z0oLrR&iwennK{2P(Y zXosI<;@eGQNCi^UJy!K(;M!Do9^u7uo7@rD^pDU{JWN<9wsBZ!0`>D-f5KUa$%UTB zQ)p2$*xUK1Mp8PVapsXpmnY+q$>44#Tb;}( zx1}GRm3n1*>~!CeUYJ()br(_3A<>EbHs5yTYv==U-6La`Q;{QgaaOc$ObHmUB-Q%0 zbk%qEX#0@~M&y1iN32N1o)Unfb*p^aFSrsq= ztxo6;7B(TcghyZx3U@s(>0!7Z(J@6k`?3Oauc@cf;o zrB8cQh%mBYigcW$l6kfBc}S19ieJTY2l06eJ$ujo)~9*YsO4`e*(U2Nv{^Cc=jl?Z z^9e8QBWw9Ky9qP--Yc|eeJNBJ)+%xpYqT@!bNhk**7JI}g6Q_XZ@hfC^(1C$NMu34 zW@`FFl((#GfJu2_A(qau)~YmObKp6h=f`b5ueA|p3F2F}exvU!YS%X=LWRauvtU*W z2M=zc8u~iQ&FK&Uv&T7>vWp#$h$MhD||bD*SsLn&u8K`hKNm+Uu+L|_>G~7 zbDB3lX6ysn`x%Pi@}fIJ5+;uUn!50G4}Y-azqFoeIX=>Rdin0T{Mm%BuQ0)E@M3VP zzu$X-jf`5cUQ^V?g`7Vr8{E6o{YdlNdi3$M-eEuruh8cBsbSqR*yFkz&Bre6)Z-q0 z7+4iW8OA$^aMiW2puS&Fji{>ac!G% z#VPz5U5N~FWf7=YUqM@vp`Tk#i=iUdbti32bKLaR^JOq;@$YzeX(o_pNig`@`Ym{m z7A8RH%N@KocT#|NAXhL#TzSc7_I|8It5zC^Q9M75D^0HK*Pf4F)jQVam--69l8l_D zS(A#7dTW7_j1S@@H*W|bxMV_h-#Ugf_YMuMg^jGI_Otlob4AgBMn-GL#Ewym^Mvy>#W&o zRcM4xlBN^&@S=lyz6@5JZB4_j>>X-Vw-1~{cq8k^(Va!-YMy%a!+JV$iwRjRZ6CNm zPqRt(QO%P^_s`*jcCnr7{~Y7~?K}L3jxGPw0XjFywZ{HNezE9l8<3f?x93k-$%fDQ!xY8?FIJL3 zLu+Jhr;B)2w<)5FSZ>>6)=;+9ER`S|-5SFGg7%os?(I&VtD-_Ep^Px0Ush-t{pAe$ z>FAtBzm#WDd6Ew6j0TYJ+`ODI3wMV#C$CN)Nj8i=uBn1iTz83(j6n})Mo+6>zN)EG zMTKFII>1&ymXAiEg~7^@&#CfU-ZO;XHSWz;j8VJtWv&Cy?dEich~Y+>BwVro##=)Q zZT+Z%Rs(fhL$yn#zP3b5k;dFR0$GM6T&e#Cs3EntVdlKBbd%0p>fWudg_0qNd}hGN z_}|5sUiEb;lB&zxi;WGG>vNPoYcA!=dTU(7XaYtHmP>$u5IA`z+v<3-tWYbTT1LS5 zvRao0>#|za$wIHE!2Q}OVlEU}5MTD4G|qnGhK6i;JXsg?5&1M*uC4kIJ?3jg!~;-N zxSnK@BXP=uCcjG>ytz0@IAy2b{G}rTmgB%nOAbi7sGij60|oz1 zWxV>sfLx;OZ9rY4$}p+A>Ys($APc?ibTO$)-~f`3VMf#?c(kIOFq?rDBpe31x1K}p zj#jauqFP2FfPAO~I7&oC%V3Niypd-G zp+%F*NP-(sl)+Sac6|YaVFDW{QESyMWJ#9M`CLI45KIzI2nB>Zfi#GAKL0NM4tE>2 zZ`GGby#(B^^nAa_&zG9Ym^{;oAg<&*V@o<%K#*^z;0Rs%A{qwEagNOVT5h2p`+OX;c|n%5ZhB3uTYn}y zsMwig34*sc@`pn>K!?O&onX6Ugag<&ZbJN|Lj-anmE~&$TE?8U?^Dd}!h!`wEZl+5 z!rd}44n<{dus(No6HAf;Mb)aEEi1RiXSWH zeEQhsvYDr76lhX7IlumSLI|=!sp)!hZLGWS+Ti7;(*v5F6{KJw1v31AlNM>PJyws= zh;8vtOhBzB7p`k-4t@M;G$jC@A|9k*5P|lszJD36l>SZvfI@@6O6XZ5wa-EvGGUwe z4aiNsWf}vfxZ@hs_5A9st2UFvye~tpUoQP+pxRrAu;+4?)&6xwxPR5ePPKtgX3g*GVzTZ~IxS!1xk*YAL# zCS7`=yPKF&23lKH8JK*{vcR-DUQL!Vd~6D~g#H>e&`8kSAmz}0omu)W^)fQSfmsyu zw1hB{hF0@>mUSQzTKx;f*MQ^Beys@DIDt|-lHT`9fg3x4D z{lz}OS4C3pbgeed6+9&MPq^P(p43BRKJ3WHRD_g)5e|ab(n}jKWYs|7J>tU3O)IdI zX85LFK`E_Xc0}2Jo@&>|?+7_QMNrwlKT!~Nek5H&v(Lw!NgF{U1-FO7OzNh@uZp1@ z7soH%$rzEAgE)mHK_HW4HY4`KhK%auwS^Nc@!onPBW&!Y(oTNxP(ZnassIcwk@?GL zw!!GOjewKLbcQ2nXXH+bxExpE3MCqQX61Q8So&1Io%EpTy^St_b!~F(UnAWzCE5ao zcMC; z0t{LMvURceV8hs%)UZdTXHqHn|7cNxV*P3WC<4hyBS7<;MkO($9V+#RTdPI6K(T@p zC=-yA-Nvi-h$o5XCmJe^riK~Q^d%r6ya1E|62=Wham;9x%A-`WpbBXf#0_z2RtO4d z@17zOnnT$F5?TWlRLna{<8vC7B+6j#aGG#Y8EqAik_4K^|EdU7`>%?OB zitrb}uUz9%`Y#~0 zG6mk!aTLe0rqYuUh5$BFqN8J=JP*Fj3&l_7-s9}zd~N)I#Spj%3Di~{G4#npDlnZ; z7Bkc-)A^-wYr#YbwJ&Jf;LA8`xLv+Z_y<>IK==3h6E07uO1W)nx2QWP?Rh3xujSFN3+iWZ|43#giyeP`?;4(Y7RD>#&%V3GZuAukGM_zgp_QqWIKUJS{JE zeJP76s{RI7Rk%4u4x}0JN;TS985!81YtKNS*PyAvF^(2(!7|p*Wnn{2ZJIW4!d3o7 z5xbryPO`6pLmeTEpIs$Ea{AdOiD4I`S^?khL$6W z!7^HK7Tg#~yyR0t_f5EvZHssJ&tQ@e5SJq393l88oJFlz5zNrE0?gPD3bv0PUZude zYWLk9+-%#~cKv>{>FQV$&5#55t6QMtw6BlBfzqsoA{M`_+;v?hd4=c3d{#$lRg&O@lJ zbhT!As~jdLd$GLZZgsq%d#?CK7lNY}EE?2asuP4>VcU+zaP<5T^G^VI2sLtMSe)azTg8? z-FAwo?dD6O3S2>FHX88~FB$3x1~1OFR3>4ZP>Gw1bxk@?Kr3FjX-1-XTJEDCBF{9l zU!Zy60+LbF*=a9ibZ4h&5hROg`9-XPfCBRI)q`*h^uU2ZQt}Vj9**UK{}V^f`hUlf zb8~V2e>rlySc2C04<_MHqp#C2+Zu`Tu8k<+0neCUNq9EU;!vi4DIG=|_NADNP2)bD z*G8Du8;sI9wpeiSLZ&qF&!}PMG9?U=Wj@^BTllOT_)W{7E#_X>c8cU?DQ{INUK9NX zN3LL>EA;yN=-z_)D|=~s=E%ZCuaIBJ?njYA;+3bJLgJU)H@Lc)Pl4?1F4(bB?hk5g zzrSxUjrP;`TYkCvA1C(~xq*GPAXP7I;Hnl=!(g@C73T`fy}g}oC&a{8A@`k~uY2B} zK5q8YLhqgy)ArX_C-=-K*9t2w(zq-U?9zIAnS^{pEDjy8d)AJnskOblPs}wno@&{1 ziYxO!FU)I-eM&tqH_B^vrr~?~_|_gaPXA6vBPpsG^cLr-vRBy8&96Bt{5%V>sa){c zL4qv33hvME?=v{I{b}o-KU}G6XxNv<`=#b9;MC(x(v_y8gKuG=HmAl#a;?UK_=I?m z6N%fDyC(;XYnF^uJ)C6(__K0<9h ztp}k`_uSsWK0|t=UT%B?bE^YCw?dDf_B7c)-q%7ksm9Jk(j02H ze;GT&szo2eH_7V>>FetD;n{R-=QLfxaOO%X31&5&Z6e_KhNnEEwCuP?2V7zc^?2U1 zM3pM~^7#axU7q^g)Xvdy+^#_-K3W0oqwnE&v3e>P-}ggLW|ibk7mIHyIT#i_za(-b>G9qi)q_GJ~KUGPt^*NtaI(J!U=Y>hjumR z5t3m~SqZK4BAg`!FUMqcq`E!*eH+UC+MPLVV4&BC?{A@Szj0pq1tCjfkcB1q3 z^lfY@vpf_PAFCVQ6#p4D`&6jr!}5W}b=Z;d16s3sX8__+pH{0M`2@R`Rqh==_qq0Y zME7l=kZ5~r?c8g7cHpn0XTyn*Fk<-$^|@xyJkK=ynSO5|$sKXH;mi|%(dXWutxAKN zQcdbNwA_gSe0TAivh7cZnP1;`R0@X`$~RESO{kK5}u~M-&ha z<@hM{i5w&zj=i4yUpu`bJ+KwA$^PCyxjmUY`#gKJd2&=@PRg>cWWAnO1_f6iExseQ zKNIntGv~MW96jHE?h6ss^!_I3wAznq|0q~^#Cc&k(>L1K@eTbz!}~nr>=?1xxqEt^ z864j6?fbq3**DDFHmZAJhp;s;c=Y$VUvJ~X2*_ObXt1RoGUt+5(TU)k`c>s|h5hai z7wUcJtX)k{5_h0_MJVjeuW_E}^L}|z%+_*bA zLc#QPjdU-d6VGRrlqE%d|sS?2iq*}fFfM7Hast+s9};slQch6RNAvh$)#y0MEe zVf8m~uT2}XSN*ah{FV5{%Q+N-|G;TgVyJlJm@2FUn-A%0onSURIwC?^wg~*eDPmk? za9wrAtCV!|v_Pew+%4j^H+VJz%*@ZEQ#qVpdBDBjwrKsKkh9RCFkCLahNo%z(A^t) zeN;R`h+o+Ss zGfH3XJ5Fl!kYcW)4wcPXc=nJp2hpFIK%+I=fAlBfd$WuMkqV)zEhAB$W$8i(3m0lO z33Fj0iz%w{qcebNJ{)B2O*P)@tU;you}4c#ghdou=Gq{M@nx3Ai7g=HqMpwpktJRp z_?-c0zldQx$(QM|{3p$r8yHZKtN=%*;f%axi?A)7XQ&Ky2^!;5a~LyWlQ-E8|FMKD zetGE+%CK0`rmCR%SwWhhl(@NvF8P}ce!%4!i`2H#S!fq`3g+v*e5Xl7^40JQ1RrU_ ztV~V}KoVybJtQ+m2gIFcI9SvLOnd7&%hiWKA5m_@=MS=||Nbv>9Unx_25Obt-~A^b zz~csR%^`+E@V|L(7*f&7;aMXa=L&BlXE|0VS-}Oy2;|}ms)%#*4k9<@*+@Y_ zhafFoi7ZuaTySVQLnHLfruVEv_lxOYx}1LBrd`rKJL6`;i^gY8OiIV$xOWhyB9X0P z4T(7-sU24qhv~5PXRBUz)U!2GN6qR1Le4K3L1rOUEXWgOFh_#;c429~{Q}9?Zkl+7{{LjRML;VB zHquM#*$ps3M&pIb4lD5gos#?S?G^2f^6XwcbbKZ5&d-7B{Mo3IW#eS*|INiXoK+{t zRF5h_OBb^Lqi;|pfB#Pe;&Ht~LM;%P4w5m|`Njj8JRY@!ItulT3K6@b6%K7$Elr^@ zCcf4ena=4s!JIu9hTX@}JDtQkE`>zSdyOQ}KHgEZcl;kEekyYF2;t?45EMNd3i1;) z_<@H#EYf~7>@96B#4&6h*yL#J;5ZmX#~Z+)%dfRYMm5<+)Yc-oMy7xka&#AHk_E9W41WI} zuRN9k&{QY6Ru7pjvpxHnENFW7e2py#7>@&b7Y#u!qWl~}*(mZj#cNPQpvr}Eape^+ zx+&&_i2zToEQOQO4@3Gk*XN6>Aaf|26f{odI?^qkug-@!7trs$!4DqD`BL)}!u{?M ze|xF+o)KKO%}I18>%MptTwVvq+-dx+wC*bXJC8g3R^fHj7eA$i%G?pv$UnS>t+~vw z{1ZFGZ;`LJ_o#P2z?@@Z(RVj>z@dB&ko~`{P>p<1>V7ma0~n4Fk`OH$L-#=vQ9%A7 zh*>PTJ{VhJH2vKjd&4!z&Rd0a)1GV_7OJ#*J#bQJDJ z4Ge|U7PYB*TDouM8$Cw=SAsV94eym;J;nTT`3(u$ei5w5Yj73Xb6zq9$yJ=Rsf2)5V=4XWy?{rVlz?k5Y2ZGUe*%25PauT}GnsieB^p@zihTOxv~;Kyg!6qG{g(vH92t$mtW%n1TQoVanbAL^<$PzSEC^g&h)N ztVomXjy)EV1O*a^gap@Cn?nUtzqf$dRj{4K-5j6XxrVd-xf=TWkSJe;p`?!>_x`T1 ze71yOMsuMzQQ%Jj&N9&o&Tz7W3(s1xOUV$LgbP1WuPfQ_n7{#clXV1BmlZ88EWnxe zQ}VuNa_vmXa4lpU5S+e-wO?QR|j_S)^UtMTM| zwe^As6p~2Q{iD?1}`Bg%?mX;d@qcG%9NxaDJfu8*qDV( zI^0N}E#wZ>1~r&IkYHR=D!L#~5`gXm01RRL9gWRmLoX=8A(w_3dIQo>NvdE58K;OQ zVTjd46s1+7QVy+FkWiiP<8K{AeQ3v@zp-5in#@pvkDEnmPcuOyA0nU_X`LmsOW$3j{ke;)O?VKrRnGhs|THTBx+ zB1j}ci91uW6b=D)=I;?i$ODQPT!T++ZhZkA7oK11 zlUFTb+Rni&2gb^Qjyp|lnW`wcjZt0 zFcRvo)sUJ$OZ$87+@+K#GmRsAr?9A*)le8(xXSJ(79uLPni7B-)x@v1K2{502AWVI zRESnlkKB6m&)o`M=jp=qF1XeiaM06sBLt8ObblQr<_~4RNMhi9MZ*2PKL)IZ=i-qg z{_4xtu5e3T>3s{lWT>xsb-rlu>$zIczrB?CdX$lqx2{_AM;?U4Sj3nX%XyqB!f(J-_>En zuAJ3h6dI0!!+!8n?|)Sfk9X=KRJS8^F&)M^-)*e9k6bq^Y*lMcKjnu?s2w7;s-ztw z{iL%?HuL-@0P^kWiW=Fc@SaKxlWM0T+<2?mJGc7$ebHyEM;SlVBWYKh8~NngD|D9( z$2s92QYTsVBz23y5^>E1d`K`1*$(PNF}@VTi30I!>T@u9EVdJSnQ7m3z)P4;9E_foJV$m~-MZVD0YhL736!0CNyw!A;h^D)<63*K4yoDUCK{ZW zd*I8L9f<7Eb(Tz}dAMZZeU=Rh8Oxl3%Lf?aiKQH5%VqQ*fvxCYl%<3;!zq)b7y?X< zb;ZM7a!aUU89_-!OV*wjv@QolarQ%z)3rpy2LV!4EfPsu;Nz_Hd7jW^Vj0yBx?5BK zKY&t#v{88qjInHUUW`@FfbhJ`MbSVWCAut4ycWdRAgHS=L|-}~@xmC@gZYZq(pln~ z0O!LjmSf7``GaNt!j5XQWHOdS#D5mg#R%sG_-!@V>mhTR^@dl$TTPve(dmZ#gTeR% zRA_W{C^*6al@dS6^}2NyNvE__?*q#W{c*(YLLf99*Pvv#Mlp%pM2mm*C1Ct_OG|OwZeZ zgvCpkE_qi5yx#g2;_xVJa4n+V#zPs69^dOEtgJm7`H&(EK&3-$cX30aE4-pesm^@9MRJy2h+B#>y#` zptzs@{Y{Ig?P7wr3y47VF!VtxY%|;+E9x)ZQ}(J5!%Q zt2f-(j+_S#K=B6*9&r;^Ax}1_h}u=cDfdLJ5Q$NfL9>GW^h9G%`XQTl?-z&zN+(Nc z&S1FzlUo|G!@oug9mP%B80JV&x``^sEMXAmJT@?VvVlrpEL*QIpfe?qpopS#%7f^onYNC#Y!?M zn=WBLrot4pV^|3d{RxF02Hcu7J|2U6k}hF)?x}^0_6k*q zXr7nq_>(^2hU%`lsC;)V>82*EzjBlwCAOgpQ`$e{MCRUDpIy4(%ZMw@$xaNaT|{_S zgnVLcJA-V5c2xugwyOpOcOFIz1HAIWddVgQq)Br1S3VhMslffe-DFa)k>4d!EcbNc zP2b+v8cgOZs>u+TYicLKn@r24`XkR>Bvjzm=>xNRS(ULc$ThSRE(azQ5-1{8mJ=M= z7~978A$>H{GWHq$k`-1$baqbKd|tykXIKl~ChH?h#KLRl@wqnudcoY^S|~dZYK3jV ziVNRf0eWlce|*AgE^Hrq#5G-|+zkrog)Vhy<}mx?5v+z5vS0D^)@X;2@|@Ry=oVHw zSlj+P{{TkFpJa7ej^MolfmOC$b&D(GLN`-R=?<4$ z%sTG8=UQYmNzodr=hd~goPs;BG|H4Thh+$$LOuFqA34%2Ff_|;(tLn&d5+~wRj-E_ z0TCf0~2n=k79Fni^wPAdZss6-MaI5^WAXm?WlR*-d=E4wkk=3RI1xy<4Mk}zAZEFXN)}XcT3#F{pOn+hSWw*DSp%XvayU73Q2Hf{B?l zYc(0KY=oPHbdrX2f{aV&DnnNko{L2&l0>Nz_7HMXoaJD%03jTMbl@RAyn4 zjj+N54e!?f1A36_?G1bj{r`8zvq>l#ILI%k6ay?ERHeitI+pKtcAb=)DsGfp6Qz z+5_nZ+N7C|t$IxJuErF0_4%s%BnOuWX>2cU{=?gA zKr-Z0+vd3sM(|uY;uqc5X=C>%cdz?a#gNuk?q099ZjZ-eyyKraA)$U-+azg7-YmwZ zqDaGk6}Q1IDtj~bmb?s!^xJoApD!ynGVPg}uNEclI{gq){Mx!-AhbRmLA#@knEU>6 z*mXG!2Tj#Zb8%-XD<8vfIZ}m$rtavs@ocbIxMo2uO&ch3k5K-WNykS{U!ZhaC@Wj` z8BS}8Iz4@PhpNTwS&n-Dad!>x9xLrWm9wM!_WhU5$M;{dcDXwiz zmTD~2B#%_@bCc4H-=s4#XSglC+0Zy0+}!-V+ScC|*dCkc?DX=$)!*K#oKXA>5jnct zdiQ=gy4^Yj(13rH9xny$+ooJ9gM$z8BKcp8yL#ome5IzD9UT$>mS#3~F>>%6vMZ9mis>-J!py!p zw}5Gid-izVt9wUU5zs8gqm?u97!~4vNBu}=5Us-muW?IT8ZUDDW6*%ipzX)t+1Y(x zyvCsOQ^DHF>{Vcc;9WzI`tjW`*wlFuU8KOa-`N_9de;V@)&P zc_>?otbrEPQZ4R%Y#KU~uJn->k*(lh* z1`;2Dx1o548$4z%(pC7=7BVld=+TRKf1MyGia2>ZBQ6NP;@k_@e2Lu!@bb+CHxsep zT{}Wui9Lv2ine|07ahnNrA9@G9DJNJb_Hp?{zDlxh{-LK-uE4Eh z?qhCdP18@>^#!{gjRo8AN4+~IDj~)XZ}0lu=CD785zf*TniAs$RuZtN_5e@uS4nm^ z*KXz|sG;4@aMKTCr;`?P`_6CeY;;j=(h#@3$eZ2I0oI9)2<7{mMz|ji_@sEZcgVHZ z*~S&I%_^4j^Fa(cQ4AaeyYf$jP^!Jg)gyGTg`A!v)$!j}JPJx;>i1XeILK9u&|bZ| z!Y&-&OVsz$55P(=q4$1_i|=#)4yUV%@8WQ}eWAB=f4qtZwbBRiN6geDzFd?#KlE8W^2^dO#&kS>DPyLskaU?JAKT~8ZRlqAn6amsi*0-7 zP#Cdz80wo0EYkEQP=LSvqeo;i+9Y3>NWI3<g?kTN8+|@Xa^^I{2z-od}$3Pw4 zB(4ak*HfRse}lmTR@DU2v&ysheq)jas}K!eL`F+F#sAKj0GI0TkI{i#k__)oD24mSE<*Otc5ES zM#oo5h+jiD!EZ8Hd^(bNLV^8(#b}wsmO`i1VYb2#l5(W%()Qv&i*_3`a)qTqCo2up zmJw{RG!;lJ$)ZkrNHf5OsfkZwV&hOd)}}`ZLxmh%|K96VlotG;S#5;ZctCZjAyE~$7Z62j-C2)jKo;|rH3RcAvGI{cXW-Pt4=gr z;<3!oo)&U7&>^pdkS(^?P*-Czw6qk3E;j{}C{{_jt(D<;V7^E*xF&t`~ux_FWry<+esKE4`J`d~&eEa$Ccg<()T+P$nuOIEw$ z;oZ4OpAz^zFu$Y_H&L>3%DmI`Pm6V!E)*fs$@Y26?%EyOZ-e#;)boAID3J?3bZg2uex6FB!*wcby@&&C+=K zix!8HMY$P2O+r|J*ike7KN<41Oo(@`mI=&$A!pMyNIu2K35&01PnG}gGcb*hhEDV* zah^-Vd}6`z&iN8ome@vlre+eE+S>C>lV~z>CnKl zi)i2pd$01!u!ZVVd{b&&Vb>8G5U)X>g8v1{6vNS_Nye$uE92b|}(%Hje zX4Xg&pyZ5gO;Lt4c>^vtY=8=v9P2QrED9j)jEXi<>uq5-mAt8J9=pHT89BzrYvTU_ z#|X25><~%nEN$4z^CoecO75|A!j{vI7&&DDuT2PH{54`IaeAdD}S7t54sE zprbTL* z#E<>_q*P=il5DVejHY8aFNwkKasCou8}~N1pnKipZZT;7NOryZ+n=1NUV64FnUV&AX4it7VHIA62gAu=PXQV`(u+rJ@B^2Xts2K zVob+FTVT5hoEKu$5+DWg2DWejmRc+`$N-$nNf^qm-ByQdLyP8qkN`r=Ra#XPENOVE zieHmJbw3FCc86I1pykPv0ss|^<#kf}>6UJlnDPMyZ5x&_JY@la zyS5W+8ou(;R`^uiw}6D%S%J~OCF6rlj3 z{$lZS&dAXRe}1J5YBvayF~k z&Rt+*0*aGWg7b4y2?H#gqXEH}=poi|=I^mIf({=nWg-vS(}cHd6vLfd$u=+NFzw54 zh>!qS=*0sRWzz!1KimF_Hl>s6eW+|_tJ28QM_JZ1qA6=F=TClDRa6C6mHUd*7a?K* zilg8I#b02V^jSXfwr7ach+}_eL=N@KNovzVERDk6{W$X-%u>P2q9ZU3XQH;YLKgV5 z22QX+t(a4e?qSqGr&OX`r(U{;-5+Lmbd8=u_s@3zY@JWS9mxdAIRb=HaL*_|`|~Cs zr>MA}zUr=n9`W3#Ws4yorMTqXw-;f`xa`7s$(0+}lBxFx0=flC#ldo!Vo1?<=`DN- zPuXY=m@K3GwCB#S`7dMZ5Qn2A@YfYF6?1fn!&?zR1?+g#WgJd|^gs%7#VZ2jBxcYM zFY%e#h?P&u<4~*-cMP@!SvOKkFE~~I8&VwIh>ll@omd2p0^UGT$((cq-VDY$ zqDU&~7+e8-Ehee@8)x?$$1COdDhVu;z^I!@xP&eqtD-3FFmxffr(OZ2F`qY3FPG6g z>vAeN+*gP_N&0o@(2v$2oSn$!sFT)4|IFq*{|0)HHA^FU+U~U`A-#0`{Z9*!gg;V; zwRidj%3n89vW8CEml(vi)R8seD>9Cj=;4!0eT2c3R;z~OO+ni4?b%RN`OYl6&razR zC@;0ZQQh9PG$5DqWRZr7o22$*c61wC5BB$^ZEB=o1fJ+bgn=zsMXKs2p$k z4`AFqNu7+lwP=71dO{&Tq0@3cjNYSf|7(h$Ez@hQS*VhmCQMcw)^FP@=P}Hvbx^6WnN^og71egNJU!^w+Dx;yrJtK_0Og<%}p(L5G z!b+0G>3~2iZnMKuHE&1X_9V>Tl`?OK&zkDH_J#@fenHdxIm4D2uGUzK8!hZxy&2Ro zSJ^YHO;;eoI!#L8D$s3kA;64H7&&J%G}t^vE{eQhwP?_UIjX$wgAIQzd>6ZKE3cgI z-2p%^E?uim6gHjOO-Gf*tn~XC_l`h z4wB4UYiK9{>nwuEo$ih9e=_Arfk>~(Xk#VKu3+aWI5gU9X)dh1j|T^bCQxzC^RH#F zG0S?mUDs^}_jw9I&9&XrWKnb0*gHTqtD*PQSLQ+JGaBvr2e~K+)*}FLEu=w}X9FSE z0SUMeH#dv4x~rPqZ)pnSb+(ILb^Xcd+O;nw!Km!g$8}@o zex(N(-+^(M-aoxPK3gyz*oxxz$WOY1}nc;!4gOXwM-kqo=2l)^N(BBFn z{)ibL?S_6w2yw%Og7|G2+X~C#Y1$3yU$A+h~Z4tk1`+frO zigkzV^(0Vj{F!9}wKJ_U+ROup!LhYmqN7aI5;k#RUv}+GT~iC1=nRXBmGBuwVxQB~ zx3ja&+KhkLc`GI$f2=YxP*n0YRUmbl6H{pD{yrQQ>h)5hj*9JOW8-c65k|FGyExo` z{6m0L1EsRmRnZQK||KeP%%r!%+b+@DH5C0$^`h9D7ij2SCe5~(+Kq!7he{w z3e(J*0zn%q?m}-l<-Bsr0-R}803Bj)S;f0-W)k*{3Q+8RTr!*~i?b@R0wTPFRibryUJ&XUz1_kfdWjFYyQ4k2Mxb=zg)W`880qgH=#^laX~^j zAdQ5^{U6kRM8>sd(!rtwTWbT{aY6cIIT7gduxKHBEtqs6^wrCrSvRLVXU@Z?nhxO(io;EY;aUht`k@f^>|K1> z{c}c!oR$00LrlUL%C^VCChCIf9KfFFED!;VOh}{Xs1Xwipk*?~>e4E;j!wHOwtXK_ z%h+9ZkeqWAfikGCsjcW_OGn5bQ`zlb!bIb~n{B}WQ7E2HJwtbYx<3$P*GX$ro$*Oa zp|G<~jy~rR6#r}xz2`Lzxgm%!B8u@F}Fxbv-jnWp7v-8dB z@mopa;t;$+nO9J5azeKz{7Ny%{WpV9t^+>*0x2Ea7+!#)vSxVdG#O3QfH7l4zN8`p z@Exlvk9Anz%+LXe`bWMGQ*R|#Q{#TU0=omH+U4b}FPm$OFR`m$0*LJ?N6j|_)xeX- zE!*5Ipx*IM8-)`Mv5k;7gzf{Ko7MMm&kuD9NX@N+djHT#LveTnL~O?)m-+Fp_!ov^ z#hcC6YfOFW((ddNO!N$CN6PyC+~cvQuVtS9)XjT=hOUmfU(6dIvojAh6-2m*@$4Z| z6cgs7XtLHntrK#&D*=y3`1j^;Pr!slSzyODEN80x_E?iTWJ_#I*VH)3XAy0;o2hwn z!d=D?@Vy=f2iiRY_V0noV4dgtg7J8!{mAM>vHc-}9png95?HxD!lqcG^vh6~Kgc|z zzx;5aH14T=8+DD#vHO~!pS9vFWZNiWtx1)V%n$F`(e8wSIvuM#I~(|3C>kzhI}6(+ z;b%C(Y?$eHaI!33eTNq!S{_{k*)L&JO-Q;tX*`V+h)ac9xW|Dep??Pr;nkKzVcf?s zbB$J#7Clxt#84aJ@-PXkWq1sQr64|J;nncL59JfI6(=bQ4=I7Gg?~R;iV3oN*B#9xohC9Y+Hh} z8sTAw+Hi1x)PlUeDF0qTMOX0NZmD23nzlQ8=l^ z6-E;pOM|ZPAeU&-im6-|fUrkph79aZY|q{o2P)4p?b@wvdW1l^en@UKQaH`e)69Ij zN%V9-p*R9u4lcuj&2)qrrMG;z>T(eKf*mx3ZQY|>!bv#j3joAU0(&u%j{(7y!P|2; zWyy_nwbC+i&jK`&x$)w=dm*-NOXn90)?)pQXs-&~y}YeYXlX7dzQ8&(JuDtVH`79G zi4|!WRRdY)y7SkijA2{YiXhAcY580EovgsWT*c!e(i~A4KEFCOOXVgEOIJMj3@#N$ z_NDN@h~0r5xK>LtNoalJt#T42E;(#$uRs^6!H%k&VCf_(omEkIgM7?Ln6hz*el+kd zeP_AfPo(lDfeEwI$6Y3-okBhe=EpKnJj+Uaz;@{>-Wwd|5c9P$dPIm@tHo(qI zk=J%OW-3ALjUq-Ftdbu!+a#tn;(^8k)Ll zseX!MxoETfyQ}UuTf(0{Q8SjNi4;C92Sfam3zgLP{knrJ^Wg(@X0^+~-&WYUxn%7!+@+(Y<*S;^YdOk}8< zY=fb?Y_d(9>99W^zIN9}6YP}J`sI3ODGo^#v^-`f>Tk3PRByT!&Zg)(WCvw1vQeQU z)4tO@lD@Nzs@NsK+B(0~qgb;$WltDpCxg36;s9}a)eJ&t4Z)#C8j_WiC^%EsBX2&@ z`7iV%E*-~?AF35^3X5>%->ZJ0%4%EO>{M~-e%J*ZKz*FNCw~9w?bZ=JyQ?EeG%hik zYB)m#s17I;<7ZRp+&Gi8M-EY{&nMzreug(saST;Gv>Bc=Xdwf@_rX7|=uEiWF3)lK zm{shRD$KZ^ld_1cmZVltZ||r~Q&JS&H!PK>j|+h7wgq+Ohvmsj;7wr7zb;s}fGszG z_pw(VV*YMx8(h=bo}-Ii$hYJXvm?UYgI+rxZO1s+T!z=#7qZ=l=RA>aMO%<$nL7wP zx7__uw|BriL*qj3A>Jl`6o6s9qOd&YM6TU&E9`6iYd^?w5rJA~e#OwjJjD~j(A~pn zw}$x@+vexl^pV*FA_)-%#Gkevb=an(qvptFxbTN>oijW<;|_4fpeu#p7r43(P0_@= zNhq`~LIx2|(N*ENhl9>TrSfbvk)&B%CxnsfgZ-#(`@P!wg3gcCRFW_JXjO$e3&2j) zX}8(%S-0WPdBtg~;*E}T1TS@eu3L8tmb~zU%j=qW=0Iah)&Nb-CHp{wjs_q(60azn z4O?&)L@hmo`jR8YzrdmY4aW9V|k(>?mh^WO|dRR=amz zT$KAb9BBNn?IzgaijA|*DKN~G^h9mPtI|n(mLivVO3DYD*l1Eux>Z76_CoJ=y5*_= zJ;^H$tpYv$?Xu|%gCJFNiEv{>9v=M%*CWc(f9H6&>+pFN3(V$j#_BaFPJ=8KId5LZMgt-)b$ zD~15^OoKkEphy-a!=Zl1o;0oGjr{|jP0eQVDMw47Jpp`D4 z3;B`0x}*OW3Qnri+R#qHGumnM*&xt@^{SjX9Of3x;{1-DPF?n3WIco5*2^GGKLg&2 zl83W9AB@EApA!e09OS@M-nfZ}-5E#VuVOeT_@x%`=VE@rE_IV2--^GP?BAkLc<>oN*Ow8L){ z`~|yVErs61bNKaZ?22XFD=BdmmKS1d{c~_t(t_a1XHz_jwUD?i)YB{JRLV*WF|1Dc z;1L_i-=m&3mZa9fwp(2M(D!$IiVG@ao{hGL96L?gmgq%Ow()a>V1l95Lu~_DOpW@N z`2;A>#HCe-yX)X?d6e#(9kFT4%!ghKNxhTUTY{Z`kGR8Jb?E0qvB3(rueb*|a<>d! zL>rJor>UKXovC5QQ3!LZKFDy(HtWO%o7-Few%za{5a@rW$vJBJQ)>I6S0D4k({GN7 z;ZzLYe6F6483yqM@8KljRZ^DzE6Y;p#1mUH9-fTJ0yl3ytjW5q0F1vziGPMl{s9hx zjgxTH)XvezcET0pw*l+yjTHbkJQD2%3OGsxUQ#_C3@dr#F?Flj_4#ad*P(O=JCrir ztA~53u>Eah8>tcT?eu3`ruJu_j3iV?KSmO6f-^@>Zyk{LYgS3|59&MA2_sjsqU7+L z5SBtJ>#UQr@22tuP%ia49lx_n*^p&;dMHlzV#fIjNlx&hsl^-UEHLFyDN zhcoCt$Opj2lYYt<3rPFhLeSst2fqGs;k^7IeY~G{j+JfgI0E~5pDW+0J{?nELhs-b zFj#|DuJg-gIHkVBMU)I}(WhyFW#V0PcvZ1ZsHC-3BjM<|MVYA^oX+h=+ev>u+lnjW zoMg>$b*33d=E)JW-Rg8hRO#2;A~r6i<7ca#YHoND(2YZA+KiuI>?guNB+d%RYZzCnd`+ur| zF#m7WKp5$n{ug5ZZp}?wtX5PXv^>APLurNit|Z_rO!Gxz@p}G6YIc<6lE6|3ZAu(GKjg4v zENd)aqp^XFM)t9pS*||_f?xzyT)72C2<)Ksr&qmyN~=#ep;nW_ptxVLvCUFjpQx2zY^p0&cotDQE6hqX zc?A{DFEo^%t}EIgqJh$#WlIi03g6f_?L6N@q*JlLIjD(go0NyH%NxLl+Fj@ujC2Gl@E&EgO(rdU+ z6)^)lydpi3+nNR&OTqDCExJdL_wB;B$Gq8Smrf&jr4JV!8<>2!YHx>O-QK7L^;0Y8 z-WLUR$PBY2w3JabV=#~R4{Gv@De`llnI0}NG%4R7;i zeuWMb@Gdh`KDaOlMPgm$OYHr>5iKpVo>QR6}E)DPH&(bMP;X_dT0?rGU$X%rRaXb^=wn^30!7z*$0or zOS=#(e5M`4Nay`&A%L~c>D8y9%?c>4f+|DAoa!@(H+TzNDoU|CKh|-369+pl2%7LP ziE8o-4LW{LsvIq__u!A$xQTY3XU;ecG>@}KTtKN(k)ox+EPMlUzR*@q*^~sBwT)g6 z$6hC?2{ula*A!ynWa*L}T3X4a^^H+!#YuAh>U@&!(95g4%=_bm%dYuK3sr14BT5zl zx&CVj5cDvqU`dw7lBr&fzUxH{8pUY&g0OQkDe5oMC!g7HSg5wp4Y=V?>cUQef`{HC z?P~E84r;xeuwc=L|B6FM2l4hoJ{zG;32g^EPH_GY(C2yWq0FLDX=ycK^|j0%TcBaK zlU)chO%`x{0qbeVGDc?;on7mgc{GG?w*ZdfemAuj$SJ4NbPKh!)BWFv+A;7d=V|Cc zi0D27Tj9BGal(KKp6WGl2T{ipY=iCH+Y|jGl56#1D55Ecd%J|zb(dXOS46cPm30Z@ zccl0GJBO>TbMF`0%X8EzKSoa&tcp%obv=pfW;rUlpb&R9DVuiTsQ8iU!H&p!q+TLa zSJ+seUP@Tw*6Caw^)+cB?$!(T=UsHxQg?MdWTyNVq>X$~KLrjf=nmfQL6;mwbf0c>&;|6C-3O;mBUYg<0k&qTC@3FG3T<<;t@`WtH%44#;`8i{!60Y>pEKCA%E@u_XbeOx`G9sAwCRh<>WQ;Z(S&{{F z=mTcQ@9@7jsm6C4!p7pDUTM)kKho`?NO@|WmL!6W1gfh|EnD)K*xfU5!rQP-$?ohY z25X?G$_YlXP8Z0_R2#C&jU+0mn&xsI>P*c|8=d*4#0G_bhzZPbLbmQ|klGg_>uDG# zJQvaUbO!&Kb}(k6&4^$ z3)@dVA1>)02IsYSgbC06qiq)}y8lnnZCKebls|;?qE|SGcMY>Lk(dkTj2Q=FSUY8g zpX5O-ilD@32#;d;4=`Go>e&CZeqj0ktRIv;>`my@~nt%D{-v!SdhMR7GB1IuUngF(qdMXOrJCVoL1z z?Ejbj*CQxs=dSg8Mvu?JOixSCgwM#vM$5pWgHI=8;OuDepO2P->G$)0j-jVzWZ_`@ zPq&c%cZ8^gwX=yMov8J1Vqp^_J7W_%X%kyBXLEdJdRDgo|7O=~?ejPsF@&G*qA$FY zLSV_#TOvVmj_G}nIyP_!_`nz>q#Ocvi2d19HJ>TxUbP3;kxncOipXS_RaG}NmDO#Y zkMF1D{p`4F;t**8D;6C;2N!V9#8)`J=vBh9@o;pNj2b;%oTEn)Q*K|>Qo7#!`NPV= z!pqj0-hti_=kX!`^i@2d_gM_fW9ZK!z(VPHwzEd)FYu-=KOSqm6#Dwz?|D2mg&2BI zewE5HfwO>evD=yg()>?<%2oL!z)IkYTs8S><$*YmEBJjoOnUZOnV|0$f$o?Uy%8VA zMH0}lx9{{5_8d$KDL~#p831Kc0YNExW z<~suE+8ndw1e0n9ZUacsGy8;NJ=QW<#q&wa2ZYIo#dNa>C0t?;U*ru^f@}U&y%qUv z)N$xCJbNvl3BcM_)MZ6sCJ!n@tw*^yRRTRhwWX>p-@`>?;-fo4QR-R zV~|lKm$j)DJml_NmnC_@kPKKB^`nqwq7~Ihs&f9}!s0g8Ea%<=ibu09cZ3xb9{W&+ z7*r<0_}nnNqDJQ+*em~xfkx^YrMPE^GfpSwmkppB2szSfLN`*nnmo0EzyRk+48OC(5WqCFP7%CXKm&Sb=vUetkjkHFnKS}LM}o+VEb zrfhDM`_&))J7=>g%Q7)fYCDvw$%CKE;ErTHFz(x`jNQtpqbBTzEfFr?dbVg&?J$=_ zYnMzkUpRi^v(NKHE@$|_-L*v`PwCDX=+JkN-LMB>sDja?%F1e#!Gs%5q*6rE=&5>| zDp(qN)G<7BO~PYF_3DK0=b+3SFT{U-nk=!vZmUc1WQDVk?%^~RP`YJoH~ z89hnjihcVg{O&K&c1tJM&bs4^2$$otPWtR8tja4B(`QmH(kXv?hIY>nH`%gW>7nMD% z1jx~5lD6H;0pLOvr5u<3F2P(f`~L;v?Get$I4OJL7r*P$PmcyM^CpH~itPiy3wIOs z+V4y#&M_A0AvnUd=hpG7KT6u-KUl?8Pk66In+f5u;SDiT@WAnO7(EIk^M`@qa^&BE z{H{Myk>|q4J#-Ir?NrHJE+)f1sa?GXI|2^htW8I~1B|0vL+$mfn@Gr^An8)#n5P5~ z?;l>Vt*pyXFea8!Lo7-hhNU((yQj8@m!XP;o+OW4v$q3p#ln+QyA?!v41$zrY+0x+ zl|s5K8yO5}kjNA8!NMN|h*mc)1Mzfw@8?Mx`? zFx__r!IoRST@T4ex-Jecn5c=dy-U!T>ElBty*->Ldz3Vw!dO2J zUXwSFWs;$W4z@VDHAVepC##?iw=;$fu#7~ntP31tQzQh;k2#}^VH6o?URz2P!bHVV zrvCwwfX>Ek_DLXlWu+y{D}SQT3+5&Rzm~Ru@!|~P-`XgTi5~#yB`}JJm%vcHr08e1 z48p2Q8jM~Joq&lxocqU1w7!>{@%&KiNaz!qD?n+xhs@-!jXRH@ZAn?-q>HD6LG+X< z9!RoH#5H6P4{rmCuMv%u^300rUpV-HoJ@%?KMJAJTi>o{+eZewdpKqSYQ6viFt(ha z=T9WOrUO7)+##jKEV2+23oD*<6Hq|@;=vq>7|5i=LF7P%80sj3u2R+5h4n{Wp+yha zvr4-a!Wf8eH32Z+d>IL|91fdHl`xSsiPO}J9~BHhvshN2c?D>bAq%_o;h@b}IC-SoMd(C9dLSj!AAf zwr9NGE3=Q9yI4M;~l7&D)y%p`}5dttMz7#b&M(4y+%(-vlI@bew;T>R7l%rpD z3s1g|mDBRqc=Ao}WU+N%D9uZ~OV^pW&ox7^r35Kd;a3i7pIy9k!7G`F4~JZtzX@oW zYs&$r0fy&qLvUijFeNgOe%^2!vH|JBgFLm!@Ya4FcONREfm8>(L^Y?s7^0Oo8>pv&C~H&&{%#hn8?NXXEe=V4NzG^0?!*^dtUJ|l?O#6AR1=s$ zH{>`lCJVVmZ-4aBQVZ6h#D1=XnQPS&r@wnni|u^(2%c^;bIi_H>xrh#Pp98sGt$W4x^`MdR0-aY}LiX>d_KwJuK^X-VuWlZvg%6$%h$VGV0 zpvjdy{Y(C006K%NY2W65(qJUG@TRs-ERhkd^t0`zGxOVc$Jes*ASQNcpKOrY-!Bv7 z0!++!B%5mrsU~9`&x``F=hW=J^=o7ks|;~iNRV4&?X>~KPraTL%i$7qXL0=jzFZ!C z7U!jksi0J_GPy6W3-vfUH;^3+xsyEJii<0aa>Jg7qT$Mjpk&8 z%u~=PVTJYlle#h&U@3{+yU>mRH-iOWnP1_IY2E@v?zl9}?!zieDSSzsd+XAi%L1io zdlc>M)S>O>+HNQ3!yd1=Fa=bXx4~>kIo0ogp%;&wV!Lv}9iGUZ?PWAKA^sjbrRhe* z9u?{()VBTV-tq3xCeU^#h--niU1Wr`{=V;>^yZ?u3uXyKM86Q?OJ0MLxL6JtsePg1 z8Wy|&(;q?mBn?rZ%05IRbsFz6LsSO$MLrhaL^;7syPD}Yrqi6^ifYI$IR=>)@lSk! zi&&ilT@>b8NN-nhL+#M%kyfC%Mf< z_SFHA<3_xu8N=+t@RW0J4u%#&j$0=giZidM=EQA zRfV@BPDh27wJeepgd!Cp@)S$)_=L^v-$|$4P8=jE1s{m_XY8eFz7$VTACAZR306zB ziuYiu13L_5Cp@)Ip4?`Te|1Ajog7M}TWfgwW;Utga@tz(ir@GQ;`Myv7;l>{y37i1 zUH)c&wD5XI{;%5U$T2rSd6L~a$dtH(rOqMCHji@f;dt;4!}g$H@rqmfN@;Cu{ICVm z(7pPCe0uf!yQl2qdF0;$LDSD`YT!05u%3Ki=decSQPkt;d8aqt(qPUUZ*50STqg&J zbX**irxedP}tCTP!g!!7@bj;zuu=@TUl)M(yTZWmbNR&SzE&qjHS zS-mIMQwFUJxosXUIG+i@Hz!|LzzKOPqUB1S_Da1b1z{NA3X>+en?Qz5_X)C4o0Zsg z_lgQI<>{-U8%YIcL*#k76?%g%SME}#+y5oGO#UCglqB9 znL`#b?VG#!vR3iz=V552(<#@a4g-1^E|u)lIuw*VaZV07o>9$O(HFJau-+cNtGduz zNCkNLaA3#W%-b(drw{bHY`X~0o7(psmPO%8SN3MLQmbWytd+K@JG8MwwVgW3to!}L z61Hj;G6zjdj?k@p7k;rD`i)0tm2Ccqdm|2#Sz5Aqc#i986B6c5=_4op@az0 zn?o4P&A$W&NT523aC9@mbYv|NO!JGNqFLCMwg``9R=eaJlz+gcc#gPK604ZjZ=>B^ zDPTJ?<7bHcCTb=Q@0T&+CRc&w&=Kwu4qmQ4uPc2=?uXToDjAF14gL`HbkbERAV&-W zRVLu0duD7Ge!HP8FY4^PRlHaUyumnNPxRjaM}Q0~zps$;t38}Ti6Dj+YLzD?ezZ9L z06(+02ib}szms|0iOMm z+c;0g+9uWFzs%VPJu7yOpTMNACVo@F3(Gn_g$Uw=z_h}P!`+7qviOC~zEI>a3A^U{ zm5+JP*Xg^$!5C-sVt>w_ylu0UQ=YW!B2T=*?rNC#gR=$PK6gg^gRRru*C_Sn(HmEtst)08R}xk2m+ z@n~=Olctj#b>Lm_(q@#nvvbo(fQ5^{<)V(_0zfCHTQ+! z_f&8uBYAOZ)TDO+BRi2Ua_1ibKkjdL?vuy5UWI9>#cpRds@#J@iYQ*$E z)iVAoN7xx!!a)94p7@^&1}rR$|Nnx)w6>O=wg{qc>(PHA$7@d#yL5=cfz)|f-jO4}~y7Z(*b7jI9W(#w!P#mFwjl({}O zGAUU#jpaK%?0g@yV#}8gjkC0~v$)>-722orI^WK|e~eYmDKj)N)P{+3wUIVb<&2*0 z*)ZEZ$E%l`dbs(#S|&ekD<^AbW_e2ibF*^4I&uQkz1Z~q&smQyF7B7V39oDPuC8yc zzXxtAD!)QDyFGf{I#)lUy1ZTvY?@i*HV~AgsL!X%J+v-CVU*FB+hWT*x;$Hy;8@9U zoUf<8BrpZDQ;AiYRYQ-LHYYL42MZEx=*$#dAjzi6)^!yNEKtRFLyFdyCFl|wwUU@5 zmse;Lyz=%bvA-O-;CAo$!=^dxkLVu|!7{xFlZ>fwvIytCBu<-Zmk*qMB^S-v zTGh>Da1Ics>Db6lm9J69V6+2RGn1Y(T2@FTe%5ld%X~VpHvc&t-8V@6s8eJn-|z2- z$S&ENyBG690WpIp{rxV{o8jpSUwY2?mV?_K1)6yM8Xk;EIf~(F*thNJ%Q%ZwM%m(# zk7|b0QbN)`)v5M2k+yNE0ZM2|nRlUt2L+dX4Kj$M0%?h>&F%Fz5PE85TSkh1S-yQm z>G~68mcV*VDPJ2;CHS(m{EBMN&gV6e6)$Pym?uEQp_VC}sV{?IJv(lpK504C6sv8* zau??TeM-uyBg6(4iG6Y|TOwDcz@Azb7FEugz${x6TN0v43BBxqSzupclVYZX3^|cx zfnKOF`AEd2)9u3nz|Oz%X#huzUaLJ&eV6uxzd7;f0AVzId4B-7R^VA%-R?3GKG`Ny)Z3rY>8f+ zYU)pX_1twAM&zoQ^;el{;fh}@!S*a^TG~JM*p3nnu?WG-AnDc6&%m`-w`W(+U9#%> zX1L>8KenkcEI2pW+e0H~FRESQ#r7?=q_PpL}dk$m$= z4HH0iNV`Kk9}Y9l&3u#?f}l0xeWAPkp3L!P>!I9xgvK(Y{&3X=XGdjN;+ ze|C%1vKd6Ta*#Xrz6qMUj{b>5+jdCJB;7e#ZVjDGF@mfsj1%CvUmY4?Y)}9CI#~e5 zS!cLC2Y(b$@(n;$F!o1)ODoBnJkRRA7cYRgr1c2;_));WH@~U(fCy;;>`xSc=wXdK z04Lb{F8(tr;b`a_5m@N~xnt`Oqq=YF4?C~{34;upbHRC?ZE!~(SL4!;YW_*Q{v8}I zZI#A*;N1rHdvo_d$qxR@fUrFHT-F=_@oKRo0Eg%cGkt$yLIi-dYyq>+F)vG6rqqjR zm{ct$Y4VsPg$Sjs)9WR*OslwWRM%<kM5Z{kj(ZVpKLAqt2z* zNO)RK_F=9u*8OSO0^(d`S(mo?zoHlZYVn&TtG1W_pP8dkMznSeG1!5%{w(zU z=j~W2`oFy@8;($C(yb2tLRurN{Hy~>)E_`D4>)^s!1O*1C<@r-k^phQRrvfZCL{m- z9scJ@4r3{Udz}ASmw;-qs@QK(P2SsNa=4LgaEGy~nEDW{z{KZU{Dt81#-SQS7H2O0 z%RtQ#jDHAF`TI-&O5{fJX%tccaKq}nfstvD{+|Elos(%GRm=G@Uy-85DD8_hX|+w< zuNp94;c$=a5?Q?YR8iq6^bfaw^n#48z@xhNY^W)gL!z!bU*jw_jVJr!l@orQ?FmYN zDS8RFZj^-y3lWK}?_lT;rtPK%I#xDp1!$A{x6&l+1A!jLmIu3gBeo>Lgodc5*oAC_ zC3_(62d{_A31DPpgbX+D?5bh)CJb6lUF!=W#sU`2Yor*YCQp`GYM2?iKEeD)PfU|~ zX4&u&a79|G9HxQSvT!f7U?OAXh-UriFTU8@ucQYQZR=+uKpo>K8fzKouVh#{7GTx` zryxD%T3}GrSzugY)e3;|JG2hZAKQ3?YD7@|H7H3%^^tw)wuywF-(ZS zE%RVeQ)4noh&8i1WNrPJ*P&|ai*X^WHZ2_Qvimq0f8x8+$lb}c4jQa420s0iBkZy4 zs$l+%RT1+CzDl4b1pC6<&9rbMsvXkNnM#9=3v=0=M&t3;zmqGNZoy_k0`vpG8M%MW zda8#Rr%*upIAOhAEK>FYMKQ z@m+rKaDM>nuCw=9XPL?sM6uw_uB_nph$^1b#XkgdVStbIgP+xYTL}oI+Q@0sJ;?PvKL|yhIB=D zSRYviQZb6}z_gYo->h)Vi4!lg>C3yS&d`OAgIT4eL)~|>QbP7i@K|I7v)0(D=nPiFB%cI%3?w2gBrmE(-pI- zKu8TnO%JNVmc)-s1b8E41r~=oP(2ejScMtf%kC9fkdbu5ZQR&@e;u~ zm3X%xlSi&$8p3T0+6l90s>u6Vss%MBkZt(fx?8#(Yssbfyyzv&VRqr>X-OCZe5jPf z&EL<{>XHaIH!*DBg0S5nT|B5{nO5vj%EvXl)e!t0v39&Lt7BBP8bHd^n+caBkwXQs z_9H~6yT=oI3o1>r7WyB1x`pc8HmG1c4L0GctjykpYJ)<^wCfU1)-KqSRP-LE7uNaS z0zXkm)c#8aC`EYaUsEt(#J{r zF8yl+OK(J+!VguwX;FI;h9=BZGN)c+cF*+DwLID;=tY?)OH(wGDLBoX!YU*%8J8@V zIMwnz)Iu+lq&ZP%4M{<<67%6GpsFAlrFcv8v>b6jGa;GbGOeAyKFYj_>k{6QZsWUe z?#uWXme3Ib>TMB>B-SvGupwZcGc({{>l`QQcT3WX(W=l0|$+G z@kD0)mKhD;#*K=FHSskwjnW#mg4i0($TZS{j83_6qJrYUa;*h)^@qZ#HPISoz-?+o z()BP~;3L?_VX7?f)oqW$iTVoD6@Cuu z@4rQy1Q%r5$#>4gl7cXS8RF*{SPQ0JB+%Um0C*I~NA>$U-Gh&bQ;ey!GyLRE&TWWL z8;k^~F$5m7x3UZe1mz2hkyi5AY75pI?zn172FH9ycRGh(8hB1;Xlqkdy^mBR#KRU~ zwICx@e;C;$-yOnvNjFp~!Lp0D>1*lf*qb(m(7MzNV(89Kq#diX4o_p$9MZeXc^j;& zkrC52U-`vsJ9J&JA%U04dN|RH`^lDIagebOipgD`_yPb?M;sG<&YLD?;;IUFD}&X} zUGFJ-aW%?-?}>`YzC-BmqK*T zu-8Q81pb1R7F=@rxgg%2EX&%B9NepJn0xW{(a`9t7GGld@NQs!GZCJdP|gn)7kVP?>GGxN%vbzP@GGfTU^R# zsE+KKuS>gm$SG{qRBgB&vUL=HebQ8Ky~XYrD@L-_@z1eEkJ=?{m`~lS?W)nL6anJw z>s7KRHvIlB!&~b_;Ojo3H;p1gh2F=W&lD09PajR=)e;<`2|g6Oe^AZ5h%83e_L!Em zRms={4)wprf(k$tb_&PHDyihK3{qz7l$qcz%yLSDSThTnzu10w^7PHVadz6K2y*se zsR>t2+X9j&n=l0e5|6Cg#>iq=oHla7@qFoLB4?KJ9IPO9LFEcvN294?diVS~-_}p| zv#Jo=uc|`7+uXliWYfX~KGCtz)1ZKq(Pt8YjrXy|0B zZzwOqPseZSU@vQECtz)9V{K(<<$%vlCua55oML1BOLGdok*zY*|6U6F-+~sg({=r& zMaRMLKe~5*>BspWJ%pdv;Fx|>f%_F|OL(@NzeDyR10lNpImlm{gZ}3r85#f1ECW5q zzkph{UpkrIx}SbpXk`5-kPJX?$hvcGWGvwj{sHpuV1NJn#ws9v?x&C7Z~w!;>=FDs zh-Urm@c!vD@WBINy8JoPU!TtRS4K1w{r{e8Ce}aWnu+PRIN#yf<(@9Y8Q?KL_{gH!=Q~;QkHNG5n@UQeOuhEMEr&Rt5k7S^GJt zKWz{F_2kLK{I6x~m;C;RjQ!>y&R@?W2oP^`=eY&U&!-QzUvC%v|Aw%?q;`Hq7{mWQ zOER+mS(f~x5c`k(p#=h~_VdyBmsHKK^2hIh`@ajZ2-&v~d;K}6zocS*#n|u6YyXF! z1m8eC{S?&SM#BGCm;4IU{}EHaF$DV0WEcT#0RVvF{v6d`(jd(L+HA=Fw+8z^keiIZ z$?zv#|0T7cKm0N|`y>M)xcnU5UlJdGjPBns5E<$JyTbZAXMS=%2mk;W`Ok6vCDp<5 zk5$6IM0K$Lsp|MA*8U+J@iu|~CLBB2-iiJk-CvR&e~j+m!5YKQSfhtV1OUL%e-7*~ zNe>2gmcMHc=HJO7e|g=`{-3Y5{B&{0{7>5BzoHG?`(N|C&1a*De6q|Ev`AN9yE%umJem@Bg3Ad4CA*-$390 zw*>(EUs5LwY#jfHLZ<({pk!kGWxV{+3gC~T@Nbm;P7ngvvDBD;Iz%~s(Xjtx7Jm(x z@t*|;T(TS#&G=CKxO%7gnv1)a{QT$`J>X{-xhWMNofN( zDap@S{7YKqk0JgWNc^Kr^BI|6ro{4-vIqpG4L%=VHy4a=U~3A>Aimx z^Ie!QdVdb^*W%~ry9SK(48N(ewyCLGFSDX}v2DC|inxBpSQ7(E_a&7IhaIF%4d!tW z$R!omE3a0Vx2pHE2`wnkOx^7&5=~oow%XxPpSJcWokP8 zV&C$@P7j)f%)9F0ZhMlU{PD`=+SdBL&*=)td(bTU^_?Ip9yS3RNgE23^z06rf^Trt zLL1U>Ll4SM>?M3(1Z*tm*q0&p8ZqKd)d?IByPFqh_?C5+p>6l|vi*2-b7_pGeYU}u z?2K|udo0w7Xs_Io)J5K$TCzk$cx<3O#us1Vq}4}n@HJBLXwNr-H`vqZNT+32W{@?@ z$rLoJu7+k%%4jSohlgsZS#LO7pd)~#-9i*@E8eVHMtz_cP~Io=PMi|L*9c(sJ?B(k zNtglSO_;kQkJ1%6dQ1#lN*tglgQPEkxR*Zpy?M2m#%jx-HDYrII65`rXR~L(6Q81h zw@d2UnzP5yvVoLcpS#{<-)&LP$g3F<&@pnPLRl^1cyUnKRwFg43}tL~C&KEK%e!b5 zL?LWX($%&r!#a@U4g)ETChX+a*&GXgXRb>3jC$A^l5$isAJD2$F)-hNg^dDA(EDU_ zmO|acPyyCqGF7D+2=*x1Bh#aRL=|~rVuz=KxR$Q95H;GqCN^VpYDJ&vDJ~o1bB5Nz zvtQ`zX$$aNmn?#iRtpry@maQJIu5*A2_k5GuFvCThX7&%MAj%W-xeKsf!>jVF= zDCYSO4Xf1TZ2X;g~*o_f+5jha#79BAi@;h7t*<8 zSX6CltRQ6wv91DY!jV`f0MXzu1f{@`#lkMZ6wP zN8Z$6m|X|;8b?H}5kPH(I|704ejQS8+k#gdH{9taf9x|VLD?f2r?&K-EVSoqi8b9K zIUIyz>3(f^{BSXrN*Vr~gl2|*xp&Hfo?{fta`t@)QPF*XRO#C1wER$(ajh;f5Ru3u60ren zYV7f*cqy;_xE_L~FWFCi{B>3koomX-@{QMhz!rSXmApC+5k(K~#tBEv)vjwA^AnSd zDuD;gvm4b{cH!!eaS<)5k{Z?BP{Srqhsd)BK2%Tsg~Za|vf|A1nE>I=cvcOOhNdSo?hQFPL8($HUuZAX3q2p+2eWmu^zl5uhtisyQ#(Ot=Hd(I zptg!LFt^J$X3Ava;h-DTX1S~WI2e?~j~$>2=JrbU4di=MZqGJp)aslRr4ON;qE;`G ze6-_gWWsi%=;@To^kad@apUR=8Tw6>f(L21RW;h|;&CSX+FS`5gJ+lPEEx5thLjU- z8`Rj)Z%{OjVOMIK?t<-pd{4;Wj69haAvQIVS;Ej|lR#5F(mM`kG?tj+oL;0yoU`_& zEH9>mJVQXsxz~PDk_g)gKpz9jYpm~ap-+Hn3>HUeD4kmPj5^Q;1F37DkUn^4>JOYD z=ea0*Mj=VhX{=Y(Bjf)-4I~k`D>}(lV}4M$X@|N>+w-OX))XLgsz9i7wQ-3wXrf59 zjRPtF6jQPfkC`ED_~nEka_Tk6@ih-k$Ush%EU@06kdr;FB8r3U1;gi^vvq~DK0Ey z`P!rJYe`9}h-W6`Wk$Z)43J1%ikMz_nmZubh0Qy(Io9>T;jfzT^e7dc;y0{?9-L#} z1s74B^jx}(CwxU?)v0_4`0UXzT zDJBQJg)Gt=FyQy&?c^&%D+7B6J40Q|zkU4M+LM8i<4^ZG{>hl-C(bMXFl=F9duwlD z_|H9>-*<2M?=CGYOtj3*_)JU;v<$y7X`%mR3HkO#%gDgS{EKk=V~-XV2Ik*X+)p%2 zzZf*wKCgBDKzNpu-k>@SM*=-3qzEr3&XPmKhXUSHmB_#yh&^Hd@L>-nd3bqr`HTDa zwk~!=P!rs;aD!@<^2oljB$Fs_4|b2I#WIJd@6HGij!(y%HZubq99!NUytods;o~Bf zmwHndqdk1;U(x3Q#lbyg!99F?tp<7}B*FThBorS^M&9Xhd)*~&JngU;Jtz+3t0_kH z?{6P{!GllH-2CvQAE6$>VRrQ1+2c7V!Q;y2e*XqR>lM?R?i)n*#KZlgvCoU6f0|B$ z2RqHYjXXUVG;L`4fBDiOlRIyUXg{9G_>8hwGS&jU!81?`hMK; zN{DwgsJ`T%#W=Q~O`KuPP@)O=<8sX`EQq9Bopcm^eb&`1vA1nWC*)!cK4SK$i^>s5 z4nDheLA-p||9sztO9&6nHdfS^ZhmQV_{8XyxX+=~aV(1_-&}B$gIqs|=c-tdvFOHK zs2N>uo3(6$y+DHQr*+ouWWE>7RRMK4GJ^n=?=7q_A5;}V^GVe ziY7`D6`;PKYaVk=6iw2r2#(XlZ`5b-?wTa8Gg&?y%}{zu&l{-hCTB#UxZf10DVcUX zVA-B2R&r9~n_}xIcVJ3|;>u}@_l+&G4`edk;SObPj~S=KOl#nH*+V#c&D++6J*Z0Y z`z2Tj?Sv_XIAk%Gi+-CYb{orhC}}mO!ksS!TXyVhC>G4RKiJi>1QY!*wx*T0_OwKucC=v1C`c%D!GllYN&x zn}L=6ci_7+sy%&3;sfTMVMB#eQtbQq7?5n3B-)s6-OUMNScj4@GkIXc_J)jJ|PSaLFci-=(>b{<)Y=Rxy)Lu_MD`iiB2%+9mc#l@5rGQz<#Z)jh}u%76C>$u7n%Es)c z3ASh(n`R-(!7h^Nqy~iejT}ho2)HT8i6b+bA)_=I^NGKfd1s8!!k5zLx?;0`{H_*v zmw6+*al!9(GFe&H_EuE5^7Csg2WXHT_$bhga}R+}R^dKVQlUNzlFsE8VGlZt{9E2s!+^qgmAvGhDl& zvU@x3pp7&@X*_=&Dkg-M1_B5Lt^$gZu7s6Q#6X}u-^v4FS@(uab?4Nr0 z_#J0K37pC;_o-k(3ci6K8-@!MEmf zAi&91X$;0O;>J*Cs>2rAZ{oR`E&}1tfa^Eu_XHpb?_9a?&LN63Uta3vxd_1{*;||_ zm~$zxCC)5ELdOY$sXeB^jx{*J&8J!~=k!jAoC0>k1hIifdx6*|)smtlMdrd~J5003 z!13OLNNH4tJjtgbXg&CS9$3x^M_t%Yq3peuV})|cG+>CJgy`?@R5yx~rA@Msw3{79 zmIu0rIZ@oEfazdNQXxkTFgWs5KZ+$TC;T{1i{b%zl81+0Go<%c8(qgUQPf>4=-sz&@%(LB*7f|-$yw|bUtGah)OtYQ!M7w> z86Fpzsob&iVyw`Tync%z0hisV$V|2YXhSl%8V1Fnq{l>`f4Pr)4BBYE)ub@|U?}#y zz1A9wtKhq2B|&!)dI2z^<>M(FiwajrtItNRe|WisZ^);-VeIi%zRt9{AY?!2!|ewh zWSDK7Gdk-~r+7+L$`Z8}^{MYKJ&>@fKmHQLx;pBeF2X?W(2_YWdhWJ^t@fQ)B`Yg3TmLs?kU*gVM(QU z$i~pPuyl>DAbkpUszuI~a%%}v-6FROx@3F7Yy7mXMV+-5Bax`j#QbL{7lz~S4M4dv z1kav!h}V$2IP-*TPsX>#Pk0}<-uyTT`Vyh2AP~Np^im9M^Z5FG* zQ*k%UZOK}o8d&61$Q?tnXGV8GnU`^!KE`a#?2tHint~O4?;Dc3F0zW|>y*pOzp@{-Hjx94NTl=cHm8>2 zC>o>TbPiOmD@hpUg_GvC>1TKLplTqcUsc2IAGz!5;^cr5zb(~O>S%1Zz1oK;g6H6j z#l4p^2b`}nmsnTP-rN(V+Mx>_+RDqHfX4S!5?sFrCYZH(n>exRqoOcanYhGkC%;ky zDhTsy_{IIZBauap=V1cTsFsx>9omGE9W)}ZJo-YIBE&A4pon@P0P_Eo~mi!sFbvdO#DVZTKtcol8J%z{y}xCpZm?4B2Y6A$hHKr1xNvKp;A42++YO z(&jB}6@Yt$B8})7+*X<)BR~QHIH9)DzB0>Dl2zukS}Irmqe&3 z2uj4XYw~bl+mTAoQZ4_^UT~dKXEW<)cs4V&71&@QMD`@Zgz<|#xqySc`tHXd&}|$3 zbwW9}4k^U&v`ricikuLVol?7RVPRfa-d@gC1|}|onoCW4gbr3kFO}wc$qw_q*=HO= zshdNh^B>N12tP5akMIN&i^pzl0pCW1*Nl<{%i#1G@9;O@OsdE7 z$p-`Zn4CeFoogU*lR7u$>HSj~U*6o9@>Jtnni&={McbD7cR&w4{&jAR zTS}a*VGW3!N89)AkGIWLU(HL3xNfZ`;tG9k@R@$N&nM8`MJ9sXGmt8T z%S6+UPY2$Ta=j4oNNQ!2z>{L3uCi@SF)jzDm)0_`W-ra<756i@%pMC`i)`r9A=g=> zJA5iW(Cbi}ZPQ+-9>ZB|n&z5!o?lytm~A#c(codzKhU_)Y1);wX+5E7vbC_MJR1>z zSEwh--wp4xWEel>l~lcR3kdFux>g3bFL_1N!hB9ayx!_RCvlB}>!fomAch9TT2?bu zA#JDfQ5wBnXs!_r*-bIrnR3%8PJ8C&OS|sqY{Mv4EaovVFmL zw?sfHN!jFvrrt2uFUw1hOqPb>f!6*>wkV$>KvK1^gnF!vHu0wL{iW(?<%irM%1fzFpYLvSu`P zckaWnT}v14(uZz*?h-@cOuaaR3Kcq6jP(yC3PEpn-LDqSKek!$w6zyn1`DXu;t?|;_5&ii$D5@|qgcu~8Ap!$oS7Wbb`mzj*f!Dcs?Oc@}Gt=M2WR;X2|yo2^J1nWR&dl4P+Z)(GNw_I@i%Rq3CHu;spO|s>LccZ07JNvA2l>3Vi@B@24cM$t) zT5h69DHCaixAG@S+Ba)giRWP&|I0Y06;>1ZJ|z#g3F>v|W<%Melm&<3oVkQA8mI7X zG@+d%fTKdk*88-aCh91t#V@A&91f>H#w;h&u0OfO~!I zlVrC*K4Y6VbsA2rN%-u{&6F+m4!dtNk_ol_G!$}}V0Sl=b?o++*HgW9?y?UFNDa8P%aeeBDc zS%h=EffB*R5AV7k+$8rr*QWa#9GB@tAnw|-ANo&(wG?7ocX4Ek<1n&?Bx=reQV!Dc zxQWcPm4zou=*AU|cLnGg=o2xgKa5UaQ*%zQ4l1-qHboZ7W}LR!Fn<$KwB91GHFitO ze^9+Pc3`uexl(|BBu#P)2)yvDnmMAzi)r;O%vztFM9vzMrGsC5v)yY;j5|WQX$Lz; zZew~mORahZ$=zHq|5-is*NsR&-#ukz{H+`GsHSB!c0=q-yU&Y&cgBt3@~HDWQCRjU z9CG%(r@R&kdJDt-IGSZKua{YczEdOTuOe;JZDF7$&Z-Iq)q{G~r7Am5*ZW20 zTu?$UuYD=Y>U7w#ON4-1dTRj}Eevn05t-bcLmPoa`UY_J*;X6l~`B`yU zrX%jgqXpa!&E-&;%+W0KO}==pxd6WSyJXoX z;hd)Yex;Dncw-rv)gQs}x21KDC$@*4>2vHSYO|)_6>!FlbD+!kow&2B&GXmk^B-NL zLyNQso)I%hmxb$u$FQZOoi)uzFq`gB6$1;kZ+CLA)|6k)aaH6~Oe-LQ%?UI4kCc@r zH+L*VavBCQl`2m@6<-OY3EMaJs^zQW&6&Jh*x1#ZpFlT-Qp!HsOi549HTNHUh$h=p zN5d0#(umYhQ$FZ8;Y4m3dq6l)3V2V`nSW@6QFID>89+F;21_{q z5sv_mOI$n}2zPf5mQ=xoNole7H?=79Fi>?xK5tt1G}pmQpW zSU;{vUS!&g2Peuh#QL4S#HS4SCUa)%P%q-LwE6W>fOfeCO=r(ytw`Kh&4_>f}3{N$L_w>Z<(h6Y1@# zi+B)gC`sptgBPt2x2Rs*{@;fX=&a5XxOluh zkDN~16UQ(Omry9%Jcpj24g<6=@6IL)g&iB5H?Pydv*P^5uhWHr@}LZcl!&V^UTa0} z=BG$^lgoBY*WsMm`H+X+e@5i?prqDysw=sFB#{7vYdUn|+-9la?Yz^DZ9?`8{n}b3s5;}8Mrq9(TX6s$PQq28P&po1tsmsQ)(Zo%oKgJZ$Z`hN+4~2z0 zJq9bvQv%~OU|8^cnZ~G)Mbpw??u5RCj+PMKw%`;TPb{gHDPgtzU7!AO98dd0Fyrfk z@sbFx3&>0uDZAq1CEi4nx3?G7@_7Th5PQcXMDy&^dCfyevw`%m=95CVci zd6~L7vt;tjSn^XsrX*l*6&>|vCRde z*OecEggv6PW41FU+4g@O}?_N>JHZpoKW_ks{p%;%R?eCW^$AI_WxY zov29Kv`9}AnT2=e+t$3nngfG1h&(73WC}-ZbzmgC+?a4YAZRGf?ddX!J5k%E#sH*H zGv512T;YKTuT%N%QZv)`{EVf^Jok7QZQT11Dqbr08j7`#E?Axw^NEfe@0%85g+G+J z%W|r)nP`-XWCy2GufupqH+_bJpaFgsubZU)!4PL;v3Kz+o;<~;s4k~SGYF|RV(mq& zsFYTY)Kv*N5}#D)C`gB5H9JvL$-VH&sBl|9Vz|$h?L9Q?lbOK;=B79f(>Y7{_}&l0 zekGbHi9MS`8C!bC&8&mDP3#~v>H0zRmxmsv?(l5e zoZ8oOh(|z_GI0l$UBO6}SA$0P4|L`jOeJGPg}9oY6&jhLyLV9)S_C5melxEjPp|7y zMKa-|l_SSJj8?#7r-uR6gbv@ttPX9mXv{O<3J{E!T<0*+jNgu>V-Efrl^@5#;jJ>K zZz741insqRi5i5f zpg<9VI`nC!m3rZdBDFRjR-xEVxr;X$P-?oYWR*uk}=!%cx+7=NRJdx>{?(8@k`#{`+^E2Yb(Rh-o z?7TveuFpcbtkzO)i>T^t_))f>n1ujjSDz{@3^yO4aCvby^)|8@;r9s@#W&>t< z-&8Q@D_Ft&Foc?^P-hu+)G7dv3oXO7;vB?SS9*p%IwLOByvJLgabdejzaRE#24G%L zSdS2l3iO&`65__%Wf<98$hh12zgyWh=NVRmyjyxXr|`>trb-d=t{2AsQe*{t+EXt3 zA!gLzURG`Tj6jm-0)!SE_Y2<2+Ywz#rSCkR5{e%$$j}X=cs~J0&m+(lcYKlk(ueCT zy$xN+VxPbQX(mJ-VZkwB3`hw)&`~rXbLtEtWzhJPzbKOBLuXTSLEohsGYZJJSt5Ci z5~Be$iRTWD?4W}J%V6R=yHHM>)r0;{8dH+I7k z&Ff?js?9qqcB^5JOU1YKtZbo>EnrU{KRn3e*k) zbLdwA^p!H`cDDr0vCWV`|EHO?ZAs8Mip3$)m@4Yq5wVZP98vCroV?HFah{*QQfql% zz!|Gz9IP3u0$DW?lpp-35TBq#;Rp&B!GHYeeb8yQz( z^=mpPb)LGu>Rx|;=`pc&FkWYl=wy5p_o7}T;?!V^`ToVG$%l6x(i9p{*g(hF-7$*52~Haj@IF_NHWoBB-X=CF z%)q@vGdA1k`5M4A`W8U=v&>YkztREeMFLE3^vH+(+;4MPAvCm7<(g0zK8FPiUUO3@pk z1kIqI>RQKd;wTG4V3eUK%0QGTzXAfDJ1a+5h^~T6(eF7QigM?}XTnkv7l^|2iJ~t8 z6`JA{$bSMeh2Ne6{FfVw;Zi1{VPvPQY9`unA6tmnU`)+zB}9aK;=!Q9`L$U1j{hST(2e+PnycU+izO$8J33 zUgV?1+_6pdd!dAI-gUPU=cb2UCUZ<{0p}UCKb4qf#bHdpul|rWLXGMAI$o3KwtDVb z?eG8b5GqHYRV<=3x)2%RGb{~e@gDm2q$D-3KW|arA+!d?SN@THu(9TW%i4-Kgxd0A zMUk(c9zG`4pu)fy>CI(9eAIo&3jRh4+U__0z50;&h%vpZ3RGz##>4tPAl7iA`}>ta zwK%SCHwBj%0-T{_o2=Vb`~ai6)ENz7rDkjU_?+91AYK9cG+LPeXd7=iQYmO~;O1l< zmh-PVVG`oU;@B1nh6Wj&FALvl6#cMuv8s)X38V%F)v^4Y z6JA{>G&!W8&&6*|Dei~$%rDAO!YkqlY-F7PHhZlWN0|;Q;Sof-VhhlN$Xz)bHSawm zq#}d`p^{w%Kkr6lK#**Zn(Hxzh->c1d}`uwlI6w3YnVy_fBlnS8sw4Zjz6A&*o~;npO-pHMvX~8t;R6d)`4Jbu^B@ z2RSk1^930Pi~h$?4>{N5XSL&z;2V_n!ZIJ%#J?eJ)d;F(s@JRkk8&mX;5$7k%P2GoV7>~}5 z!9y}tjeMMEMLqqvF^zF8L#d~L4TITft*$^?J74VX2}ceWV^82{UJ?^c5A2%Z;0Bs^ zKXfQ^HX~r&;{YylBsQf?DGe@|f&{L?!Tj@}H#&3cO7(lt;_-$yZ2?nxEe0BV_);iP ziRKzv`LTc;`~Ai}`Mt(%HSm!tpia4x!F>MkH&3?#^mnbAsLfuYe#izS`R{Uj2=3>u zVp5*orAEk<9)1qS3~+V?yf>v0Uz8g1#yt#BaOd#!7p-w)mNJwwLhm(=q3r5fpcIWxyhwJ3_{RQM=;<*3{DTOG?}f2*$I(^uQTOoIz%>O_Je({nJMJs zX&8(AB2^0NO5nEbpJ_N$gR)I-HI@98X`xODg)gD?OQnzf(AC^m?^QW^2$E+XZZ6Vw z#IO{-m-U%25t@lOmvxCUzSeqt@FHU%i+!f7UR^#hxc@A&BsLT1Ad&{BO&(K0?t2J@d4Po7Lr_$rLG>9Y(A3|gvo5g8t z`hc<`t@o6$4qdGu7`vn(Yy|Pt z&siM1P-&rpsj{@OW_)pv;?`b}K{9Rv%Cl9du?qsj zHm6t66=9;sd3^)xRJBR0-+9$=_X?iVb5Ot`dnx5K7!6^levzGl2()lZljPQ;Aj}Cjq%CVkey`XPk0+D^bMNlv+82 zBYf9=2pl*hTXD~!FlKosu97&L%Ij676n$7Gn?driC9~z??*ooUD)t_b zq6cfG0E7!u1B)Ov_F6k83p9o$!UR)+)WKt=RT8(G2Fjx`5zz_IqW{OlyQcRdqI4po6)!mrvT)PWswA2Ma0@Mql$!1Hv5xDFj(x zcI7DA4WSslFelh{d!UIv?X>SKr60pLh00%?4l8WDK2^U%YRw{-J6aJJ)ja?@$xC3@ zuM|H>^(YpitXqh!y@LDd4ld0qd=ldkzfJ11y&<%w9Mz~>?zni3rSpM<#6w~ zlYDODDQi9csj4U60Q9*B?J06MabbTpvzvj2!z3<_=L}^G-qp<(pv~~shgMhj5yn`d zGA6Ud%mNO>ONC-EU*j0&;v^YBr7Vfjy1Uo+j0}i!=T^3LvngS>WfFZMW$QtkT7(#* zP4v|hY|%tldcRNfUFR{~AfpBBk+XwU%Fs%6_lOxkJ3<5PM2c`+bs7j^s`n)>GntA+ z&T5u#mk2cGa<(K;AXtKC%&v6K=Af@>M!UXxa7#4-tfRwUZ?PQ*>i|fA#{W#d9EkKJ z=c>|#G&xv2itdH_n;}-2$A#uH%Sv2v-jBIx8R9WF?prHA=7Y&_56y;x(BLx1)8JMC zC_qZ>Ghmy$p8SG}OCM{22o+0B`qb^l{u6vc(ZLx*7z9a|GAx*FJXu3=|K0iuc24n5 zq=)&DC~ifHofVQm2+ZLisshOoEO`k56V2#1+kS3us$$yDSIh}{eT!CZd|4u)9UpqS zGsk=SDQK%Z#MAt-V0;uyL>CHp+OJMPWn%|wO0X{vfcnHQW0ru1E|p)TZ7nv~L_~-| z%}4SG-VS?Ej#-LEL(5?`Z0Q&yJL$%2HuzJ*nZk_X59Y8 zM}RAM``E+7bGrxyz4Y5KPlWcGx`eN)`|y?9H)!`!%+0!pMk)%hRpwCWXn#wb{?7}H8UL+E!Spwj z-!l9war@UQs()71_fp^2hOQR)y}GymI_2L5_#de3`*TJ0x5_?9sjL@xzdhD0T5n{##>`j{a{k>TmVHKQb!IpVa990belr;yqVd3iJN<@)sY==;NW^AB|rt zPyWeh`~wze{6T^8H;aE8l5sHph3}*NrD9~Je^=B0m1N&97wOXeRw4W&%l}yv=ufxv zE*K*4_tE`Q3G$E8{R5W#z9IUY3p(0A z-Lc=C(l@b{Z&URD_R2dG@a^sQ$LjZm%Rd#$`^i}S1CxO92c_BH6#@Bez}5}SB)^aP zrv+HQmp-Ip`L|DJ`a7!cX@91D_{SbrzgOe=DS-&^$zvTvgZ_^*X z_C^KnhWP$i{E{9F-8V-1mt*lynEa2$gWoi=|3Ycv%#>I8`&fU8sj>cBIpuFzt?7Qo z)Ba3Fzpd8aWU-y;vG=|Y@R!Kfj{*Jzrle>5-+FK_lCA%XiJoag-h3b1FHx;;vNsGY zf5$ERGph9i$MnA?pu5Z{Jim|emk8I7G5!OYwblzeKyf z(aFCl)j<+E9df0*zOP8o^J}8KOxI>zea_a z{w+lGceGGop!+Em`qT38&20Y}S6rX4+qCuE6lG)BNWq{niNPuR#6- z7X7m*;2+7KGt;Z-?=C*{zeJ8+lmP!b1j+Chx|P2ihob)(IimlQkNyVkf5hU>4AB6- z5ATZsnfSixevKCW5a0jrro#gQ08jlsv|nOI^xs+#{Vicc`kyhQKS##C+3tVty1!f` zGr>$tz7P7BB1As{{SO%Q&kC~N2+{9CV=ruoFygx)8Geloy)fPX_NOmY;QxMCGW?7T zG5%y9{TEj4N(59&`##=Z3j+NZ?>}JFKkIM)@4&&o06$=0Gkzc8FOi%dYXblB0?F`G zj`JU~+aJjuGyLl;|1rh+F~onuhTjh!{C7jNAITmwF#Hn3p=15`Uu}$kM?{|CXB6kp z&h{_e&Y$m0STGah@6Xy_;yphB{SVmm&(8MS67xUw;05yfDgYt)_d)&=1EOR8mJ{)} zSoUWWi0Mzk_mx$3%VE_MO+kemKV-v`%e`9U}Bv$-B z$lp_>?-%aU)3N=5X!fb9SYfgudZK1McMY7p?LFg0Q313eVXpCJ(G&{h9Hj&cp&9`* zAJP*`O7`khAW}0Gksw_tr~%9|yeO)KbyTR{TMGgfM1QgyxBU=&og(@+?ejGB7e-82 z?q`0Kp6(%iR%efr;KJ3Yp)qUkSoDovs9}GC*}iD-B**Kam|F&El8dvQ$)yGBGqI}% z#rUl&ufZ+co^H3SyULCAudC_+Ep!~}AZPwAiWuW+CAQZ7GUFi0>W{KEG8jn=Lg9l$ zw?;Os)Nd=dpU}5~<%Q4MzOzS;#3`RY!PK@> zzzD87=-zgh>0yH~E2~<7Pa{jrrY9fEbEd;6qzgQ+n}H^fd=8>r2aiT0JMd_IM*gYM z*Smt%9$i}jvAfs|AtHUy1#N!3Swn~YJ|+tfP@%me1J=H>i^lNN zy&*lewK_E8!Cb)cK50wVtD~K?tlTfgU^evDS_jcZCyF;>)CbYU)Lm~gbWj9v5^kFM zvsFwAK#okQBlV6@CG(?NbTF#HSs>Yq95|x=sR>I#xy#Ee3$x;PUGq|XOfYyYESOLR zJj>EsAY(GrMVH;Bw_{B>%MYyvA{$f z2A0fScnl_15Iq>7f_Yyax*Mx0O-gU7#`Srw8dj8HCb5$sQfTo(T*fO2kl(6W)d%O3 z=f-_1>aGt%WOYes06cMg9KNV#91SyM;J%dL-P&*uI)yka>_}Xp&XSk!EU}jY)~Yq< zgJW)DV~I^t{FBz`!P)3pPuam|bVefuYobE5X?>J7wIl;>#j-?G z=x5MbfdRtpDiGJ40SugX0UwIa@ZcE|Cji zE`ll6e*hvjv>CmEK0~h%CKs*J&L;qtPL3I*8vC|qc%Z}%npZIAi-j_Y${hW%uFoRL zQyu5gQib%XFQfAD?3g#)yLmi+Q4*`Bep%Mt@&NZY$idl;ChNXVo6*Y#h(qalAuUV6 z)@e;fZAa`_{_>YSw{F7B)ZK)9s&|;4{d?J79eG4BYr3>)(NThBN?rj|V_!%LaH1Y` z?(WeC#zn+s6qByX`TCNUnUYzlgJ7KNMLKa))X95Qg=H~Z$)BX6-qiQZh-{TOYhcOi znM?9*mpki%kv$Ag9k6SHK^gck%?;M~75Xp%5Bu}g0 z<10C7^Y+Th)3y~t8sM0qU2)FBeKaa1Pn;|S(#6d4H@7m zK#SNUIl9e-QEQvGd`eaVGLCOzEcp@j*5KL0`Q;K_Qxz@PYD`GB;&ez7bx5|<316z= zFBi~1h#c5k8i~^ptdyBXA~ULR=_CzQrLPVVc2sO!anI1gz}$rRiz+%3+uhiH*b*ob z2BBw4jAq;d;az9XxMQn)M@}S8gom&brJZke(RI8KC zb|r@c%ihx~lr#ovBY52A62mEH4WJG4mf-Fay^!F6CPaSN<49WXPwSNW;FA{?O<&ZJ z!Rv6Ig-_wDnvy}AJRu^2gm*^{#{vkN-vU#ggKHosFLUK#4Gcs~s*6}uds+`F%!`0P zLlD%5@~KrhBp%$)z_vuC9iY^-iNn$}toih+v~*n|?=BV0GoK5+Q&VWW!L=sK-QSp9 z3P-N&LO>pHh|;icZulIcq^-Mx{OIQf@>PWsz--(&QHm1hi7_Fk<%8BoS2@x+xMo!y zw{7U54{mLik-{*sVuD=GLxs1R1KT$9@L6gFZZ+pxHnn~r-%09a_-RPwm(`m86M<$% zT54JbJO)NaYDU&SC^Y}qUhpM|K}}D~_Fr<%FW>k+|6*jM{o@W4%OsMR<@{dw0{2c2 zC}i_K7+!XKdmd!a93Bx5geKMhjM-n}-Oa6h{PD?w=M2{h2g8uBqOiHTT*JtAi^gM} zsN>Dk-NBd;i_6VJ>fswM&Xx|0(X&#(r_+&*;k&FdbaUzcf{g_S@ZP+f$e!D~gPpsB zbkeF1;GUmE6ZJPLrMO!=d;^#s;GS$IO7sKuwRN#FID0g>J3CyDhYqDW{GS!skrH38 zbGyK0X@yHy3atlWCpipN^I1XMJ;jOwF41Cc)32S?^Hk)pZy&+Tl;x0cvI%*ZA=i_2 zZ4|k_s%K?AfVukkrbrVLc^3prd&7#IHo#aT=&6A(_anFECbwqxNPkuhHV`dWv*_Hw zCJ=`5><&--AiGl*Q+6EeW1;_@66DRk@O1op)d2bztq2;j(tZ^K4{&)ECNfuMf%q}) zFv94HTtsriH~eeKKv0fPG~e6kvRAw0$8d&(vf@C4n65-xVdhY<5Zxke{xr(# zP$I!XxKS?^L|yd(0m#-FeLhqdrZ!pU+S}@pzy`;D(i6?)cAe_I&mU)uYcD zXQv$998(Uu#)lJ=LIxU<4hR5rwIi}Ph+Jj;H4w2wXf>2T)O4&Fg45*h*lh>u$x^!@ znx|+;uoCeZ@<>R!Kr+^!wFbtzVx+xEX%x(5suH^P7sFC_i>%h9%%h{|1Q+bh%yr_b z8J2sh1?XyT)v7_aY_YC4!_BKtm3{uX3GBHO9GL_F#MbZDv^j6G{)x>hVaEx7)xT+u~#`qP{{nKh|ShV zDGn#u_Es>XqH26wb^H^&DI*k4c-dy3ezcSB#(EdiT4qn@m;BiX2VM_R>$TbP(FRr_N*igikLc=5t6P11UmI9BQIXa1PcnPJ7idAKhjIp*uW9`D~HGl6I8 ze2BS|5#3ha$$j4nXf^IM1ZGR1AFURHO{ZMNFoco|+G?8yVW_aX@KA3IxTdsT!FI4= zykR>7A4lz3fL3EV979FnQY5oL;o}lgnCFGzXt$)?HzLL z5hz0Dw%dnD8SRpkPeTON`(E)}Fn%;90d5WnsPA=XB5KO6|wfNfitK@ju zESHXRfbd{9C*JHZ?y-b4+jwPfw+E57(hzdB1G=_?E5;X!2ryk-<;n+1`FFf8Y#-~D zn7}>dg`oUH*IH1|8@A6R_~Q-sLOH-t=mB%oSmB?CRL>%rN`YMb!uiezt9x>&h9~tP zFXqgufwzd(Yqe;JqSDjT$|M}GhEu)kmq1Q9VuM+DZAz5q_}h9+8Q%({w6aSR9dcBI zud=0V6Yx)xl=KSHR&Hj%Cx(9Gd6}>PW0+=QOh|SnEU@jEAj$`L$9cB(HucO*luImQtC=1{ zb53SP5cf|XisAJ)?zbR}XTc3v_76sfW{EI*8pcgrIRm1gfebP7Tm0}p!+Q^L^@`hM z6>Gc148ZuIz&aq6>U{PtN5B`#!3M0NV>LB8CF9l$K+~ zWJ_UW34`!#8UZAN;6*e;q0mQKkS8qHKXMftd0dqyIP&92y~|_b*Hq3_%iOP-%i?yV zNUCa>b#KC`gJo8PQP57NizkVI3j0JE;)|@M!qJrd!TfOVn;aap(e(pk4zO$~)ZLt>&ww_I@F$zHnbPBfjt&Lxo^-O~!wA#uNxxK9c&aR3hjF)G_89eDu zpp)k%8gH5&zAa)%N^1&!gOF9U7|g#xx)|Wk1=OLX>j-}(L%BfW%@J@0N4QiXnlz{f z?)#P#q>HXv0}P-*7wK~ZAhL)(4%`m9-#Eg$XmRQ#)7!#xHkTYAXOVL7o33TRB4q0- zW%e$#&jD86(WUV#*gYI=*bRZCR0gd#^X$It*xZ|dXxV6JsGf1!cgS@;^t=Y(0E-ym zI&Z&PMCS%lExN$!I3-yI3uJ& zU&McEM{L2B-m@GeK)GC|I@L$1)_(BJh*FP#f6#(~7~uxJo%05nE2of<|Kpdv!~$5F z9mID|798Ng=?kOMCuo|L$jkkYOa)qBHEnv#8hp6moVv(J+C38PP0a%+vjWyOq4136 z^R*drxKc^v0krV-R(BSkOh+yo%YM~mbYp%N*8)dE*QS) zfr+B6)0gYo1Nn$rp3!*wMiTJ;vm6-WCgd&QbHN_XQ#Ds??-6F~Ua6SE8SCEV;O?mYb2aJole? z)fMHT7t}dZ$i<%f@kq*2M?2`wiWMKcQLk%Ga!0nKyS^ldl_D|d+b5E~QgL?Ne&hb8 zqH8opEKzaa5m7mO0kzcwBH6!?fV$LH`E^6~+WR-|?Qi+Umb)RrC{g*vS3kw_Qu-Ck zfu@o27R0auEh2$c?a9N#V|2+Orc`5g01=o_FgH&J6A{^0F@@&aI27#(8tGMGvJtTB_ZV3!@N@DiO=Ty&63n%N-Pi07fBzhRp14)QPmbeW&k{P{DyA!d~7v z-ILVgOf|}JL*x?l6vssJ_0h6l6W{d=XjNtwt18J?xg56>h$11##{r9cC&hiqP{Si{ z^=*qUYglfuLIMIh5D`WH*||$Id#x{Fx3w6PB{`b*?70g@B2MW_RhmnQNnKttZtId# zApa2Urxo9}1oF)}aJf~O+L*)lb~(asqPvGTZR!u4&zb}g>A>ahDHY#J9xs8bm7-wt zYKi9!6}^F&jIP$#KSX`)&gRoH)X3CTM3mlw&{b4Q zE1BNiVJV1xHY+s^D0IcI0i#UHMwPgUL)RYu!lR0J3*jvyb zeNn0l&bcLB3x#h|H3kxiUL0T=r))z23AGA}wKQ@=)m|D-f(o5DjMy zk(g9Yvlt8 z>gF(GK`w*jub5nZiprk($`BRJ9fBfIOUS2xqCxo5ZY~(`b?ih_7>PMZ7-V#D=JlC> zQ72_&iLW?BJ}Qw(!m%TeZjp_=8RV|r2%|Yh?r3i=u3iFNNXp@hM81df||vE zkVcSkzsfV&x-Y)5Vg}>A^@^*(0cACQYXpGwJo6xIb2-ZY>)`tCm5?mvu#Mbknm-kW@2e<}?EZ7+v|$$#ol9*~{3!vicOx`w5E| z6PsHZ^c?yrEjO-Gm_p~`bE){-N^CR>F*x7z&&A1BeUs^o?XwK!buOvCGNigXl~-n} z=x43djJakCZ^|j)Us+E(^|jB|QSf0vp#b21Iq>sLZp~if4rYN98E~=rHbR{f@xFq%kY9+Cz$it^^vKaQ66& z;f-o+l7xNz{JE_~oy&r`#=1M5LImcZ{do8J)L-*9A@;TL>hE=gt<>lynFz55dpmLP zux%~xed9(js6wGnKSE!&I};)jXfST1GQN|rhp$xv6Vn^9ZQ?uFCCfx z_;|WU3Q|JbiCHCvPoAXO3`_+pv!BAqiFmHB@3c;6%tO z5d6M;By^(V9jd<`yH*h!_cIo~bVGp}%^Dr1#!=EJ(fU9%|J9S-tf%Fr&HfpifEh^; zd1GG|ybvkD7QlYS-5{3di1Yc|fl!T!&`BH^M||Au_KsP0>iiYNq!wkO7RxH;>3reeT}iU!YWuvLgp!q ziq2;(omtjbp(O4yUt19=jzB&+;+8a)fQ_#RO8H!Lx*CRq5@x8@?)$KN&AUS+W)e0u zK*E8dKYXn7P@VC1c-rEdwTcX@S zUBsj!Qq-H+PSHd&H z)~>8&g~{K-xu=kfLsrC()3_Mu0iWlketEKe_bQ~B@0fZ>C*fp{A zWT!@wnMr4|fq@nhrx-y7^-?f+^+Ge0wLT8M7ECYe$Qa^QhEM@6rznXc(|ob=n}sB1(_Nm}_tZ&vLcI>-_sf>c8P32Axk2$)z+Nsf1LhV~p@ zTNd^+TnT9b@B_3TOM9XLF?^PwL5)lK+_p*`#HXGQdO*+iUEBxYy0_1xp8C-e!_GJA zdU~;AB3O1T_TDF$^q+IE@E2-qbqGBb%DGO(*3=ml#)of}yRCmH_w1Toz)q$31f3;7 zo6W5HQ7og&*?@|5l!CTS4h8?1_L@IB59a7&Q(W(LVrppLGU(M*`5oSw=HnZQGcp3^ zXc`M-XT`yg1um(2Pre(sNq5SaDiIBW?)CWKE|LxqABOpIqR34Q7QG!i{^9I19wa(4 z?DoKW{5=3uL#ZNm)XYI|3F^&3-GD)V6B_(AP- zzj*Jv?NIWCkyIeEncHC*luh%1>>teJ_6sat-KZ{d!A2r1j|0)|Udl(*BblYfWn+gP z*(e9^r=}U?7gk?3&`_#bTqn8OG}gp*yL~oyW|qc`2Sas@+J6tY0GqM*8L3*Gyw{8J&3E&_ zB{(X9FgkY-tX0(-4q08yT+0K=Pqj^-(m7}DXAi%om1m9=qR%)QU$&}Qrae(^+A+0v zG;0p8=bULhImjgSUY!gJrN7Bu8|E|qK(p11Wb=mnpu{T04#6DjlbH(9Ltg&=S}ET> z2sIq-z{KeI|AIlPrk7 z%m4zE2_Xn(@Qj?)GM%%3QpYMh{%RZl<8SolRv9 zz)i$S#!Y7UH1io}qtWOt!~bnb&SviouHAWR-}hX|Pjj>W57A|Mynkg8a=$!i-o3MO zR(q*Tq{U-mp{IT+d|+WO|B@Zj7)5Q?1-&l z_7Yo0c;udZ2r6}7#G5$3#|M;shXYT{OCRbF0@1xQW{!x6=atEB;MBT&++lY*c4Yfn z;LUNwN5%NkW7}r+iW3<*#-LM6D^0guYHaSu>uW*m)#i4#y`EO^yTj0)@GG5=TkV|m z93IZj_UdlQA>6QwSf(I1pqNa1GH)4TBze zF(t_*@+}9Y-W_Z|%N;06;^t&>GeB?h(jibg2pI_kan)w>ro|4c<22Gfan(ZdeZVSf zWrpoK3l=a`I!QI{9dAu+uy)^!GGtk^R>=34mrK|0GQS{wZfO66**Db;nx}{@sEY3D zXn--cZ24|)!vO~XItV&8e92J{0;;wJl)aq@tbH&eCn>gh#h)mpjuXHTR!pkoLqj{Q zGB&9mxc78vk9Lfrl;j>$V=6plJR<-KY-TkX^Kn1#PWmyx-enBhWlmwDY5Wes2XcmF zRsch=!k(>%h84836kl`z*-}`-@-#bZHVIP;7JP0AHdyZfzYMuni&nZk2)LTxPA}-{ zB2Y_mnX(iLU-^ji0YI5mJR@eM0TY<3WD+>*0)Z%g*$nUF(JKx}#Mdh@Ri}`YbD!Kv zz>K}+6L|3ov5CcUifa)EQFxe8a!oK|K*BO&;&iZH)kb?O#ZJT!Pe%2@3dh351mJLq zpeqK5m|C98MR{Mvp2q<5m_U9?n6V*Z$%h?u9kvCiHWDUI%Lb2DWi2E<<-j`+oKSuj zxLS@4dPtbH+=@_$ymjA(=POH_DM6cJ&G;_rzdV0C1)dIVpRd0NGPEi{O%_Xr?BGoU z2U!YL<>babX(J1gFvT6;Gtt=7qHNIyoKz-Z(Zl8S&QPYN$6ep?n3@!{8{r&r$LmX} zOB|EDtP)Ztj=Vr|b|+O%8@D|DB@%}`&&aqi^`!{LqbSuH1M>u5#^Y#19)q>$j!wP< zGCUiu{f|x}Jt_89>ev#NdqTX&(RYK_Hoo$eV@UViHEwxz7}F-T`8sw%QLM(=!-e9- zd%*};76}JumlE%IGG$&9md}K6SCWC=AVn&kZ6-77M2$qMgj_xJ$ zLFz3UL6@J~w-xU_O0QG~+72K-xwvVdAD?8n!)Hu^!Dyf+K(j&!`<0l z+g;dtS_o_hOAZU}v!29>>wefowU37ff6z)bz84?h?SkILy#Y>+O4v?h&yY(CSy#5m z3Mv=43~SG4`9hb}{`Yg(?gg=Uk4Nh(oXq{!&Vie>xG?U)5Qcc~+bX{X%YDjXIyPx0 ziCV>n_*@50<-o7LEJsqD;aY$^rX$y@xHVyl1X>5q39el4TxMiR6<*b5DP#do<6HKQ3 zCl90$j`EFDk@LxzEs4V`%VXM+3}y1b?IBOSrnY_(o=bQyo}SMG<6mpX>9yRJj(P?A zWOD;_khcdsjoT_Za8$37u6>Nyb>uK%XO#Gmx!H5(wHt+E-Z!e6PVyC zFJZI02euMk*UaEWH`tp;V?5aIk5GKg@*c%+*qZBUUI=%M?{SR=da4#GiJm_ax}G7F zFZ?4=34KSd_Vn4TU46#jfR_`jU+gcHI5M^7z-#0_eh06uK4js z*{)Uee%64aesbuL*HhPVpiESVNK{UX;5L=-o^MZlp6)(t!=A3m?@E!%jVU4bo6Y6| zY#Wy!goicxWgjz>eC8ANX`B$gWV}IcvI0ndo^cn{qE(3H91>>+fC%Oa4vvs+umvm} zTb<7r@JmL~T^x5?_oV7vEB|T-+%Ne)A~O0RZf+pq+*uCOYG^axMG}IQo8X~Bz|8@c ze^Oz8$WcToo^^=wf(Tk|q)wqBfwye{6^h-CfvD4s+fr^ONwE?w!UnIES>#q zCvQ1&h8UDwcoVi*%#-wXZY^%Tq5p!y*omrsr9$zY;VV=CF^YuJqR$ydQ0;eCnYW7t zGV0`H z2W9I~W*B>>oXmD!>Acoj!;vv`1K4diY92UPNQ5m5HwHr?cRKbS*G_v8Dy;)*$9C<= zLaKqr(^(qpNxTEbcwkP*U{R|7qsCEsPlzybt4N2G zLftihCCjkAyDLzaLzCh@j3b}xvk{q`qsjR4XeXc&HZHgIr3SHU9$6R;wVABI5|?|l z%L6z~piZrkj2IAh6FA6H0@n6Hc67c|&{Z{P`B>pzDM&A$@L4qVl=x(3BjY3F*A}NW zwUyGW&e3*JK&9&061R9)u60e~{o50V@RM+*JoNBK?`P9UM(M#ho)0d~*ddC1j&m$5 zWI#-JdCfi}y%eZ4{9njr_BFu4I!OgN~nxfG)7M z&vg?AkGR87ca>>6{bHMT!C>8cv6xn1=F}!g*i&HAV%{-$fdRf`nc;N$!0omw=#{;T z3{;`(@G(Pa?<#5+Ige)bB4j+GdFsmfc%_O+b-pC^YYLY7($4MPp5%yRsnN|?h07U& zW=zMV8s{rvd9pUzvvSD^f?2)TH9MYAkVrl4+S{*za7-0(wOSzQ`4ZCL_}xj={rBn`nYY^nEFP2;49i=zY-wFl--5i6} z=&gP34qgYSIP8Ged9EGpkKhZ9w-q;L4$O#B%J_u`Gpkw|3MOU+sNI%pu#$@`Pe0(d z6EMQCTL5-{eyz9#22U9c9QCS7wgNaakyUtH*o1{#k{qjonRk=7DR24Z3XyWqYe8LS zT%)wS*SXXnsJ){$33FOr;VG|A{PywCW_!`Uett^@VP4`}0we*OBl5bzTbmA&ZSCb- zSelIEUH zinM51l_5BZO+LDAcB%Q`aVc8Ca3JOl9K6gqH@|@P<$@`sENnw2MIFn41zvuPgVCWDdjgHQ}Z)#U=+zS!Um#`g)bH z;Dm>7u!L(WwpWW4J$AW`H!r_+rKS|TF=Es6`&wG1rsDTmEBwuzd`Y;=^UV9T+%2~u zd)|kzq8=MpO+p_11Xn%3eCW}c3hA&i4S>;!QXw*a#USY;&oTVN@O@gt=3vokA|v2a zqoVtsp~Woz)#=OE7Enk$2QhB8&JN@#`EbrEA&q+AGZP=uvF#h|GKOss0BKLf;Pc@T znDWyl(%^k2nMz_P@3;F=S~f8Vw{eY|=^%UABI0o|)|vpUE8UeyF`kx1R+eI38B#dp zt-<0x)nTZ@6>A?ChaDN%PHcMj2ECksXqt+>Kra3E^WMriQj7+5j*?ZU36zIYd zLSd#3HD9SSeg?HNn4!{R{?Jm3s@!vQLoM&vpw=TkK6{yaL-_J}N~CXUwG8PTt(BKUF=j~?TlapUi7JmZ?~$t1dcwZ?kBUG;WjoUsQWnLUC6#Z!lkEk&A$Xtc^N3-% z=n(y`=AjQ3DN&Gsp22zzz|XZ-^@BRTM9(ntXdW`XRT1}kNxg8l*mgGuG+D@$SSXgH zYOqZAGT_%Y=cK5#gtU=F#MCzDaJk;i;s9P?LEsF8-57@67v0+r5s01np3Sy&BuR!T z$wmmW2QipMVmh|(ChVS@aSMheF2#vj);f}NK8z=OOxTOa3lIV8cT@mT70c6a9bQKh zy>_YfPajfM7k-d$e?XfV*@o4%foAY#;zaGFL`8K>2LcXNYOtnb4^<0Tc8odCgOR;VY$xnt)yA$d>4~_eb4eb7MgMYX&0w74KGC zH;X8W#s`6-U1$YRMS17WDX|hD7`fu!$X2u|3gmGL6=qg4CLTX1e{)w<8`YtjWL-Nr z_}3P@IFfIa6HuW(;_kldo`f%+xe_XV&FtLWn8B9H)X297V?0ihLr~UK4wm-PhU@fP zm9)w*HNvEptKUw|k!uNBav{$7H&?D*-J+AInx9EH+_IXKV>IQ}-5u=YLPMpJQ&rU|MQEs~9ZTHp5_xt8SeV`C z1zh}Q!3#MfuD8&eT&1>$;wx*|JVmhjx=Xg$$Q7No{aA|-LYsOvDsK%#8XS}@EjVQb z&?`g3S7K|Eo9W*dZ4~OWRkopN?VQt8wy{cR8ib6*Dvr2e+wYWgA*_kHQrK;G%*g^s z4& z22s}>$Q|JF&rr1@=`ZHCQK^x-gY|1(&2vb&EVS9)#srvR05OVOBQK}PNNT@Hw*Rc{@*i)3W=3~{1`AsF$xTkBQAwM-|*@)CHLdWA4&YL&vn zxm++g#)jI52AEvS`u^=$Rt3Ld!?YV@5bX<32MeH21`Da%!$=dDFYdgBrFI$=ouRgm z9(NlU4<*xF0u&w>7;ow}u zhQZue(ov9`{@%f;H=#Gy=MCt&KAGw47n$TzV1Z#b0b&mQ64q@^pJzbxcC$C%i){VA zChrHqah5+SBekopSS>Nbd$FuP`$T?`wtGNff&pB#pkmK5Zp7H*s{)@(z|O7SX1}g^ z{MyPP8nGGG$1FGGM-WTzHE@5ka=umBrur7v%a?IHd%8eIPlC4z0{5M z8GY89r+OXaN~~1MKwx)e+9A=t0Q#~^9m7|V?YGJ`+t_8J3712SnX541bA2PxXA%5I0B)d*3XZO|e$Q$6sS*`F= z$HBIa;c`Nnrt8N&GN8h)uW264thvOA^q`4c5#f%@`$%bnutrFUA_lh1D&hSd%5#Jo zM}m49RSU-EAv?4fiG7Az!0`ba%eE@TO``o8j*8VaTLzN>wPq_6>97~i<)f52^$Vl# z*y-4aUoY3VJ?xhC_JQTEBijW+E75sZi`rPU`Ydg%6P9aHfVITXZt=>G#ce(5Z_ozE zO!f9mF^KnA%}p7UW8XLHyj2CB-oOSX>nmH&*Q@#5pGJ98i6gqCpbVdBa#O-16{05{ z4}zOpXIw&>(guRUtd&A`^g8v?iBv}1S4O;UhYrJskOLXEz@#)0R0?Jp__?r)MgoA2 zlYB!a^Fu1$bGbLf+?Az1AP)Dqpu)uZ7+^n(GqAi0ph5~xuUyV&)xzG^Fp6B~u6X+Q ziEGQE=qCm|J5Pdakw}kmsai3R1F}eNEfrl`8jf)d>38m8BDR5n&-55e5AQ^S9qfmi zq8NJ3XYpnn8(Rpw4_Y-R>n;&Ng$L<-#tP14C%+FNU zB8G9G1P`4R`UBkgN7&soveSaL4!0S@k)?uwP;LN)`M5zzl z#?;S%02E)0mdgWUh?~_Q#%Fr><{FQVOdRBP zdS7EewSm~f0UlRlNBQ4}Z8VUMG$mZh90t8Y)6qIL{?N#r>h!*pNHyUD$^}L^%YeZ8 z2qMWIFN;-SBZoM~@rSxWD&;l}6mzkTiENs|NhXg@2_}E!yc~Mt$T%-?;KGYIM9E2F zD^!nGD*=2s&a6ZPVuaJ9Q`(`15%Wk=84x@w`}>*|hTYz)9D!SJ)f@jrC!cNCFN*kQ zqfVB5x@z*~>kFtwM6>?pNu9~t&Ek+TD^B@P^>48v8r-I$ps~3&^FG4wfpNJ=DrR@| zAZciO&Clq#uB@Z(E?nh>?OF$hiQ-7Itb+S)v?INtHp%Nqn{x39`e@hmwdhg;`@;;Y zyt5f@owo_0MQC(>C|J0|*87M2f-Chx5Djl>sC`lvW0Q%X*G0E{1((aENLb`gywmWb zfUZuFdSGBm*oj+C5=G)!E#ktBD@fWoHHTG@dmQNavtZ)V@ zx}|gY!({P+v`3|WZ7Kxtb_qcf4Oj~KC* zjJx$*#2K{4v&YlwO=Jo^3^jKtDl!$NzE05yTP?5wXQGNsAEn8-xSl6^=jObZDMm8w zS%F!}+F2+bQSOxrj^@EcqclJ?ek~5h64!91ZYmjRrYsz%A+Wf154|Oth(zm^`PS`d zh(-q3#@NS*dkCOda}0x+7jEJ zkmkKSE5cR%9#B9J$ESBIwQ(iIZ}(x`bZuO4I_HN!nnO|G-K(1pIK86C|JvXMb=hRJ zBzf#3ggcz|@akARg62s)d2aBdi~-gOa6u3D<6d@m!a{Y-@dhX64H5M~ zEb|5QHBR6B7SHF;QqAU~5f2C?ATD-T6^Bsa)s^UrP=&8r;aIU%9k{U0c;OU8tEeW9 zMKU8cb|Wmh)jU%yzq0y|6*F1jd1;BdY3r;=<+=Mo{rNz(zmVVIi=uJ(d-qyE&YVh1ZgEy<5royI(kubazlte zRByfk(jX4FBHW;s@#1rdJVu?QpNDqDC+mCb;qTS^ey|=gG5?_?wO!@V4D&^u z-}3A^E3$Q4vGD6ASs;;wDBNOh`3ATDruFNASK$^1te1CdYhiVVa}_ax@vnoOh{G>a z(ZhGLAGxt;htEE;jX)AEno)q-gvikhnzCa(JcdvP`c0i%pWO!#WVrJ6v-AKWGJJTC z90w^-7D{M`=XSpkv%pm*ZkWA&F#ED9)U0pw=s)aQTf;(oV!?KNHR_Trzea;-V!|4E zwM%3`0x2|f(@>^Pmzhwb93M|PsGw4;dn>Kjpj=j%U(#xK(N~|x*^OGPZDWazuBCxO z&??#_BVWK6qanRP#dO!Vj^s!EY-_D9mmt3bnG`wd=^KYMJ&&}rbYvYO6YsrLD^Fd+ zX9hb?K)mmDy8$zE!rGAAy3QF-zOTtZf~~h0MsbprU6qZ_!Bj2BiyM0e3zsh#AJDLK zb}D$_aP;M-4b4C?1|^2#To=47LMe;l?DK$7ExziOKxm!ln_)%-U(u8IfW{&qg_c3I zjs&DS+Ft$0fd@_(L*^8(TxyAM5j10QZ)j5pLxD%MF`S(YIRR~7$pu)lp9K1S^m#2^ zY!5}JO`Kn3?e7Lf=Q1)>DU(05w}C}Yz&6RVLub#?bk%(o2sIVHwAit`6pE*AzauM} zo57YA!`+eROq@ZTr?|)z)_DPYo8!F_sPT!V*F}37)h5P>nUm?kK%tFtO%y zTa%x~vc`svj{&^pNy<(Fk}gKPh|E$5Qy}}}xj!OWVF#)FJkwjMq*1Y`%ky1WwPaJSj8Ve|Lrol68K&n}K)-oC&N?4SFkNNNK%I zUetlv2)8XDY!gqLq>ghVOY1P$^3HUY`>b6WKNkgZdKuAn{KLdyKZa5Nq%u8s{#b-q z+HTeXpS*Pn2{FFB2T+K>ke*M`xS%qG?wIjG3PLE~Bl~nnd~YGPO@x$8qoL1ySfi^On^?7Z?dOh=#*RKU)CS<)*8s6t17 zjv1er5n&rs0(&Ya_zzZeaZ5FbRRX;m_dPno{rHUt9!iz1arHF~6qWThw%$WaoB*L6 zGR>i_bqW&gqbhMl$q~UdPpG81uUd0f^>GxoR8avvjx>kh+g}@%4ZHToAx3Ji_#HlD z$l^Yz#7^?b>ggV`>*r>JjEu-&+h~1AWqL{}9pDv*0Es z)-1M%atKvu+jNRjR+HpU0>b_5z0l-ehe`jS{c+{RkFZb;HL-@K`S*yDduOLWg3`JLBc0O+_QX4ts)r(fWm^J3ASAz`Gh}U1yaALvV@7Yvj9b&>-HkzSR zIl<1KU!lQ@sHJ>zKCBDG2k22_4$Y*2F%Pjl2luhme4J#ZSBsn*J&`evptL}(T5a8x zomQWwfaK$VTF70$i8kpW?R_?i;hzDI!8UPxPtdBS3DgRH#K`z+S}?C70EgK18e8U` z0+7U749S|z-2#b&g}D~o9<;RPtAhl19ef1EsxKIRcG0kn%v1m*`uh_^)Xwv9nJsH6 zU!d4p;s)F^BOe~R4`rXD$3_#kw7oRkui8t@2_du0%^qvCw=Q8T9a!IVM6+!8ebsMB z@#Z^lqgyz?jhC4qKCZST&VN14#2u*vqCM?*Ty6-ComrzE(Kr?ngjI!$`3&4ldTMu7=P0@*1-Es>eawf|6hV6bPS9? zRkHYh&SLmu@&Yq6H6tA!0|Om36Wt$@7g*?iyVBp17wBKKtA9&<_;Kz66Eo`{RZBF~ zDv26xJbAiq;V#ICty^74FANuI)`cBmGLd!h=zxsM#L`p-qOiA43p*%cG>&>Q7;;yx z1iL?Y&z6xZq7LbPtOe+?;KG%=ogO+R4dXR`?MIS90evlJup+uoN6~GI5?9 ztl0R@G!*HC+^(1-^`DSC+dmZxifcWR90rKy;gqlPzm6ZdF^)kP;_YzmvHn1 zC!>8M&~{Z6(~cix=L9j7(2t+xms0pL3s8^k>}kUdG}Fk}{;pB8x~`oOfyrmxjx!LI zgwfT{k~K&QSR-lTeC`j6(AO8tYuI#RfON+aO=vPv8wu!TG8hCRz&h=8b<`Lc@_->I zy)!{?ODw$J&(^`fM!v|$L*M*-hdpB>ViZ@Bi$o*mGA%>Vf}7qGPv!Aq2?^r(_|W>+UW8>KF?)8skSjavT7g9 zA+l!n?H%7_6YmZhmg1jGOTjt+aS)nqEo%a zYfKhkXgsW8rgOB-4dVBSTv5bf6qab9_88)JFxPGbZ&1Q>Cq;);9rlz$H6@rQGi+23 zH<+^;V($-_=h_e@+Bi@IXQA@45u{^UHnBg==ShiKrhB$emi@Co>7F`bi*m)C-`35W zVZipj6pcy}p;${spcmqQP5@tcK`(&oI3M|xYa1J@VM=er`=(FqW4N-`xC*@@Ie!+f z;8xjMW+wD77f;M5U3704!EK|X?g~(Ryo0SMkO+@DLx=Q~Yn`E z7QQzldgm`kYyvpm5qub1ROkWZ7c7{{90;yN=zNpZ&4?L<3*U>4XI* zq7E&^lxlDa3fi0S_i70eAguY&bD|%OS}wgA?Mt260|!5_1s}L7JYDU(y)CPXl7d?~ zeD%R}pYLD|E*jLL;SNbE=x3BpksO?in2KGxIrj4)x@8Tfkn%nfQF}3<}d{ ztOUE4VaU2iQl0rB>FFqH;zsJpPLLhy=d7h*YDj(3+;rmd$f|=i6#y;i~oC;t%g9}^x4_LwrNpmN$hUz0SgC(6Xq2OCM7R#ENC zXcZ{g;{_KF)&@Te)iEIWYrP@GCnyW0nPTZ<(4>!Z2uX6>L3v!G8+UCKDhL&qx6r84PWW%;{aH0uJl3cjFQvEufwY zk}J0VK0>HM3k*T#psJ2`6)UU&k7rOuaw9#nlfd9t6H6t#z~0U* z>Qm{XTL(S$RfBm9+SFEzP`2#N{pqrtqm8tz1!l;)2I;mKlXiv2sZR~9bo=K_h9Q+X zb_SNH71O?I zXcVoJ$-mN@K2_%X?~W$%O`sKm5q)go=`0h(&mA+|&8AsJNGKyJx~l_%Nw6<%Y&`*6+WIAVR(^Ng^_Y@Kclz$DE&zUQ zNl@WxMf|4Jq0Tut=2F+YRYU;v)(;T-D(Le*5dA`EBuMRtJu1OLTb9D926Te~Rx|** z^29|Z$_W&FLkm(B;O}c5mjFhwxld)(iR+lG{ zHqTT*50)nS+7GB%XqUZoWE-n5geelW2t8t<)v#DZ_>79o#*(t!Of-p%)0AINLh96bm`A-p{+6mBY*V=_DD zzDn9cExvb8XKU*0JVpAWF6~NpV!x_>KK)fhXxL2)?QF$PVf}#~juD;J6XYiLO0j(( zaK$L0wy|x52H_8>GpaNs0n)WSE(AHelI*B@L|0<(?QfHdUf=Y zUx^gPvh~QQ?`f`q4k6Aognjc^>w1TGmyJ_()pt zz;>cS1RQmeR;Z%btvX9Ni!_BkOi+?5!?)$rjN4G=@T_7enm$NaT z?}~p*!EWp?*T?>pFxgfnN~3kR6QIFK(sC!8V4mTKVOV9&GIT~Wksx4Q)71T zD7CEwarLk(d9U=flnIK~0BceXiB5DRuv$>d0MVZ(T7d-Av!PKAw_bLbTX=Fc?rMu7 z2}QE2nXiaOG`0}D%rXn+5otg3fE90pQIKN>0mt<=+^}D4K*d4odok{SX!5Qr!1XZ^ zEU{L>EHDOC;CO05)HwDIZ5%cUjyK6S1MLn)O;_qL_x3v-)pi4^C&ytz+#d~!U}Q>* z1Bu6DhO3ai127qezyc^F!iZb}jp=j|MzU{XK4}E^jFAr`;7eJ0V_z*Q$|2p4Hfb&F z2c^`!Vxl;rMEm8C*^Lh4&#HatMP|73c}Q&+tSZfff|(#&e`^YF0~9(*P5FqY@DdQg z+7Rp@-klIA8NW5iH*RSE+|v&eX9T#%HFilarHG}DuA%>?58s})w1`rNBsVO}6IXoP z2ZC&T-Iq`(tK6yMRUdpm%9Dx*i;!yjs%qW+?fw4y6;;;o{dU}MqO2JXuQljOqDiy> zkWXX&h5ft`Dx3|PX(oK(2qiEPAjmy0Yy9n1vHMs_pyY3Pq;G*=VqC=#6uxX>3PR7= zK%nwItb#hvYxnA1))J4+q+&d+k@a#&Dpehw-j8^TG)l`gd740jTg zm7Mc^JMKu*N%R%w%;tft5Lf_(Pv&P+Cm*yYDFFLop#e2=?1&%1Xm$jl6ZA(JK8- zchhYqIafHkZ71p4!~MWn`!uLgHd@Z{5}nfbO*?}>i!czVo2u3K)kPXj%uvEUE~;(+ znHcu_Gmi9J*NsO_cZfulo5GLxb6T42Oxkk+4)4Q3fy)T3IPm!%4d#7#)(r6V&iisa zL(@HhjAMC=dr7ho%GQrK6V`+WRS3tQoieb@*z@WSnQ*_&(eoX={P&?Gz^BtHED&K= z;iVfYX13LQ!X#hm_~V1(((&ScOi}8>ai9;vcsXGX&p0D6r*l{_1udbX2v}`3p4oQn zLhyi?T#J7Y0>`K7q6?9VT$qaNIuogm6t*R89YkU`=-`Ycs8t=0xiV0<(k)T z`2pqIV$B;kA}+NKyFFLqBRq`u3t0-===@cyflet5{GP}SBCVIVNa?IfIkvstJX9Gs zL9}Y!b@UtCOBZwulQuG67JlByVsoP^-m`DIzwdd%>ksbcgLH~AYXAg`&Vvbob>YAf z$pqqCxhNB8iD<;jtc^}k)yl`){m2|RY0u9Pt(Rx;C-G9kbzqUYPAZ&3$6%+HWLzb2 z;#~U**@sr79D6SKBQwa~YCt$NYSP)PX&ATyTTiN{8YWK$ zU^l2dOVehSRME87JTHnJUodR^Cti4MB=W_j(WKz*A#7|NB*S79z#H$lB}Nn9OgiUH zHTjJ^*KKhm?a!ji(A|;999}s$cpT^_pI0`dB=n6SLD}MX(}$QA^MP{UTuP5hr@t$dX;Eh!Q2&;M~s-Vf*U0Ui%cnP}-N9 zKYiES+SiCrRGjIsQ!bYIV5;MfJ*C~EU=fh-{E+uuUW ztQ|7-`~5v%9Dw5O%YU{wwK_TGOUOBO9p@eO08`e;-yFd-)DrYKnKa(^lSI2_j9Abn zia+GQ-&nKHO=h;?b~rsXFtRUVss^l)%bK|Jal0!2I-PUt9}K9bbV2RQL9&fqV*O5b02qE zxoA5z;#L?PRZ@v|$7%wyY#F|u*9qQB^=2V3BFBRh7(hbG8PZT0G@|W;@&14?(vWp~ zZ6{z^49#jKW=MD;3w{kqQwu2PWjwl5fm=?H@M8h$`NUCp~-Y7BU;}a`3 z$GkyV&QV}8eRs38GAaO~Olh*h3c%e}{kKXQq@FD1`|3cxETbBam}_KA#o@uuP==;w zSw4Eg(YPf9LFMlxQzXX%l$VR1b?|8 z<^cqd$9Jh`Ku|`bYIdz0cXyt-XSj-JzqY_9t5T;IbCU1vp$hnH$TGSa*QrWMB%)E@ zJ>JCX-DZ}WizaL<{tAsJD)UbuqR9$bS<5Am@}5fh%}97^`{A81vRA|Nmg1@ZdzxWsULl{0^qnc}g?K9#R| z;}F8o@^WT;Dvh;n4;j@_RF`hj>z}qNX9kMrr<`KPV~u_b%HvaKs$QW;bUBHYZ1Q$8 zEVh&-55aqK{_)J~C2Jgy6JsBwHcZT?Q7$@i$#LNGI5$~W{Ayc%9_|(5xHXx)`)P#; z=?tQMOs0%8s9R$3j32UEO>+q1nX?2~SWiU5Y2s%L2YgRrC-#28KRxWvnN=WeC@g?FlTK(_OXRO^|5JZc1N zA!2zN*WIZKv(JsFGP2#bP{CKs8kOW&O`gKiXZ&dF(q}~n@iO8jGg6}PU#;M9p)J^B z63~98ie~@KcsXvYe*a0wpNRhNKKK5wyvP3^pL^LD{3%#Y8J*I|GsZH-yi8y`ERw+Pyu-iy_s6K z*YAer8wE<`t$yAskuI$cD!N~z9G$GpofU?*Dmq`{b8d*9wB~hwh(KJ0)LxUj-CEzS zLEX&{v4YwN4m7Zj^E_j@CyRmMR`HEbl#g}tVseS<+F$ue|J6K2spK*9!_1nCmy(!^ z?vDM%KUqY|{+0d3KN-_=Wb8~j=4{cJa(bm6aT=vGY#LXTXy_VGJOLF_v&Pz_Bt=p= z?nGBps-kt`r`(<@v`8*&c;D#97-}X>Q5PaJ6`KTVJ=1+VsP5}02;RnX41`c&%&Hf) zHoFX5IXmJG)1d^ZOPD+AmzzpD=D=WM&E3z|3FsMO@^*E!>Y9(}R;f2G`#Zaj_)9WS zx$mV?w=sL_;{MKG`356+)P0Gds!7|LjoOnX&K^SZ7tbr5$_md@nnjoXccpaU=Hr#(iWOa zCpatCEJigD6<=?5SXG{9UVnIqn=Gd_>RmePJ1J?I+#%}(I-W~?y__7OvNojG5_RVx zB~QFDoq^rv%)wfRv|C$q>k#bkAgq!#YtkDyt9f7vjmB0!68q zMYA_%`lkhEeP-|F7*h+%+#@sXZY6k`)4JMKs>YtL#@-;;0wy^eB#87Y_hCO-aFO)H^FS=R&l-Wk=v3!}? zeGlB>FK1pT{ch3Xc;=C8+6l$XL^PDqv$)T&xU>XIn0R{Uua9nFI)BpkRB8n_bnD7b zEqWrDow@&JbPsL`Hfa-~5(EPqJSI6Uo)?RhB+}nZ9Q@PIJz1iSQVynv4W4V+QIO0) z3xPtXx_jC;@L-sjxI~j0wbzT1tFTY}0Y|(9(@S}|jUfZ6rik8}5vIwtrUM71iG$hW zEM$6ivmj#;j8Dnyk>X(^`ge~(p!1#vH%}YOP~6BF|CN-L;j4(HBp$}>8L=CUeyJM_ z*Z&k*u##cfSzbu)u$}-R`%@eZVLWR*@{77ZvNo25e1JI;N@!3*Bw7&-as}pvSgDAB z%`lhQOd*flr$4cxh|tEOS#$A4s8&P6V#U$htWh|@-QVJ;^l7}jvJetj_tg5kCNBIY>g_f2g*glkQRsl^T8^YPzT2sZCCA} zoGvpX&SmugE`6l$TWl^wZwQiT>_x>H+0Sd$6>vT}KAa;8_d-$$Y!zZ|6yA(oOwoR4 zz1cPby#HAJJyiE8&^+Y?Wp{j9Da>ls1+;|(B>81Ge6x4QIrZ+cB2x3ySdX|s-3^s- zr+7kyf3eG{>2Co;E4;iOvzF3rWH>QG=9m&$6MPKkII{ahu$ZdN*5%nVYE9{m{bdr! zFY34Jg-QDnHZyU{h78YU7K)8mMyaP8^TsNo8Uc})iLqk|NAQ2wXy3CE6Fc;Me4(&*JJPQOTC4sgiD2XQ*uhz6It^o-z6 zIK<_rj=#bMi|0G}XT*}~c0uCdCoQjVAQl#$>3~oDn2O`STLJ%93jF_m1!Q7n`riak zy0WzFwpfuyc3@w*PVZX%RTPO`03!h$=FxO=*;e@RB1Ih1*|z~CGS{!0Kdw>+qJ$$d z324UP5V&|TA7=JUUJv9Izqxq~Sc3R`cJ3loEajWb%XRNP&C5G3783M&N_n%gwY9Ud zz2BlMLp{waD%?uQ^d~8GU1X*(HC(#7?iDh8KBUyPHZS5UupX3deBYlR4_kowKHOZM zY8Db|TmtxVvOn9pfOM)3D}h8;#_GC`JQW(yk}4`HUd&Fu_70xX*f+DXqJ*A~FST)P ze>k~r;Bs)QX9_>uZ#*4HEMpccI9Ea*s%xsZKV8jT?U1&|HT7xgI|`;|m#REjImRBv z1|G&7RG1nW^v?H)Tyq2znO!yv(s!m{eF~XkO);G&PlBYd(5seIZyF{v7w* zfhC{c@Z#E4i)eLqSNVc@sC>chXb$B?lHn}$6c=z=g-K>meN{x?<9W05ybUc1vz48a zn4iWMR%UeX3stQgmXVsMx--Ff;*Lq$*Om~5MhXq1ZRJ&EuvTHY>>P|pDczBdDJlvi zYMwjnf`RE-)>&kVwy$gMw{MV&5D$a8M?~o8?wI>q!oBRC3_NXpP4D|?xyc<;0FFch zEfsAJT|4@0?K_Gs6t8q}m3c@vx1L`&jxnDaTH5V+_I9&w+hXxjxCoTqz&{Bt`9!f^ zk*z9s`UF-517*uatq*qr9=(`B9hU`qXl7+S9N*rRw(#UotpaX!Z{&PpX}p*p@O^?C z*Gz#`LbK_2s0q)>VNv;xw=yQ_6TY*p1-Uv`~Cthce-UR zD3b^lt!^1>H_*^}z`a<3$KqlxqIxP6aHdjqioWNXTu!W=-&!+(TUi=HT>kJHEmfu4 z3H*77R`Z-|xn04zuFw)9xq$)G?)ovGJ(7+6*wT_X&2prw9k(?KEY!9l)X=njsYd8( z79QEoXVBmo1*C1MGF8)P3R=5i3G7RbdeGFEa??vKH>tFq+TA?jwq1*$m>X2s&CQrhDOy6o7=1Im}6)F zD3O-NlhVf&BSOA%lMjFPGR;fhCQcg=JS>pOWUBU=DtdFbcY%e;t#-mHVQL^K_MQp1 zP_j&!=xW4#ALh+j9>3}Sd9UQsPJbeBAZ(UNcY-uWkRnqG^);8%jL6fm6uWe}bpCrj z_#I%PP_uL2i%y-|$8OE6dTPTo8M;bE+_q zb%Z#WMU1@hZ_G9+iX^zxxH0(aGU2ZM20y+Q*i6Zqc_|z#rqq~yY@N+S>inX1?iiVC zL-R(+@I8dTgbSpE94*|<4c;TJ;E2Qo?M_DG$@O5>$kji~A8czWSWZ+k80W{?_%atZ z3np+IBgRvP&f-^Vx5N30w znnOfPdJu@$)@K$e(vu%MC+_yP3W+#{%M(}%7CB-+7-3qu`Cz%$+Dy%+I*tnxbd&2B zs6z;VOUXH|7f(-LSmBunayx#$>|A#6H+BcX@5xXQ)xDjqIz_rJ>&q4{W3>{$&ctzw zz4#6`louyc0z40)<-D9du1whrTfd<(>JGws_T$Ua7dSOkx zwxW;HKp$aBzHYP3WZGM4xRF9l@E65XUwIjfhy(V!hej?SWnHf#Q1>#RJFc;U{@uoa zkP1f%K+r#L;vYY%Sf zoE+7n7v5w+wRU^ohU=NoQ;`29HkqRE*|WbzT#@wf6lWHSz;S>NKgxWZ4Eq2n#**(2 zmgwI@1n_u$)VEb^i*lRUI44Sni_yWuLSv1L#EnUBz-iDCkM$=-MbNeIT)cX-2e0z| z*qgK)pVA5L>fjjj&TqN=SeEGbunu@|`mWc+X~s@U-D7dgMPD+<&1d%8@Dns8!bQp` z&vjQTF$K-eU);b)0=RO40w_U}2WTWk0We%bcXR(GIGqFf)GeL>#NQhczxll#U_@wd z__AD+T9y{Q;=n+EO<#LG7KFZ=3cz%?qd>ipF(>-N3TSjnYj`z^UZ67QUZ|6NhuN(j z6oqb=RczE5VoY&Rwdnl!&)XZC?5XB-k9GA~C~^q(^+DSH~!Al*er+NTdhS zP^U{i?gOC$(nDpkQQ3b2S)ba19T1Y*BD-Lq`>P$}uq635#5D&);;JzzCHGB}+EUGn z`+$pq!5YaqIpH$tN)YA|H#>1B8@DnNR>0xa_M6z}Q&1D!l6Rv`G&U727h*I|x1d@v zuv~!DKz*n{+WB z5U*aPn5{0+L0!iv1BPDvOkP{P@YvhFNbIpBY2uN@IB|b&c#FD!&M6UAAtKZ_qF9kw zqB2h_RWT;f1FE{BGBR*}K-e!TGg6+WyH05zh0A@V#ys5CgQ!>`{j)S@R#e3^y)vYNu3VT14(cdkv1@d!;u7LoQ@>ilsN)pSgg477{oO6Z5({rGNGmIISGTXmEn zZL-#ZViP^T z%1O4ZPcCzf)7Vr!T|TX4_kP+t;8xYZPCMK+Mz>jl*v6lRfEQ_wWh;eNDhNBUe}-K& zoiKaq;hx#M3pIeK?=WhOITv(G?$eYY%>@_I|4mvV z`GVZ?7N;h7Ox6B%He7JI6gRg42@D7kYh)!9$VXox3=VlnMRTN``g=G}z6-5sLh+|*JRge zr2=)}r4%^J9UoaIlk#b|Frwn|&6^zGWap`)0w(&@lW(=lEReH92Ks7FRVg#>3a{#6 zQe%L%(Cu}6xPf>X#jR0XKvFMKuLR)$i1Kod>9)LpQ{5VGV(Vk&`UfZ~^6Yg?ih@^= zlHt^5G*qd6(~_p@h0&MDNd*&u)gY<1oXaAjib+<+o&P0EgBDTzX>TCz9BZmf0Nzy` z4Cv~RMX;3z5^bFh#@BAd?32||D0y}VTu_yaJ9$pT>uVNLAbV+GMCfnAi8A8^24-G} z__Ln@%yPS}NV%Oc8#?OT>`8E08>T6FRd2l!32K8tPC(J%q1p1Q1E za#o*iGSC;MLY3rG8Qwf`Z|!+)_baFHbjSTM+FPrzcxPR0)C|p$9UZLO=eIIH^V5+$ z!mHX?FB{)Nnxw%>`Ne6|=x(O5{Asun~go&V~Hm%S9f> ze(S3G7{I1dHvmTZb)I1=7 zjQw?mevn)uL!DV)pxnyh(95Mt#qWPq1Y4L%HXy>8yA^`AsrFF25!UTD( zH80>o8mu%XR+D2G28Fv-rP&QFm{p+o@g%$C% zdXlPoEXj(Dq;D_FUUjU?!TO)){T4NEt9QC3vu9IaKvNm!4|tc;W7L1Q|NLLo$^H*W zXr^Br@&Ap3W@7)9*S z|3Cco|H~~a+pmlN9e>U8AK3H%6o>rpx7rN!jP$?YZdfQMXGaqQ8(1j!%qyLXSnQU# zA6ovO0q;#n+YK4k50gWX)d-x|=@?`!0XT8E0NjhG{X!iLO_J%arxC)aOK=U9%xjFD zFfQQb>)+#=a&_wXC;T-&9v?+DmQOaAF3!a;SL+HR}?~}c^9v+|jkfQgI5;@;>nvkPy7ibD>r^B}nrv|K#arsL)mm*6a_wRE$HOZWe zy7I8{1!XQjUM{XB^)AIrxQ^8(4p$wM(&exA>WsYVjq5cRGe5RlFRhgvw{IpJz6z`l zudho_|C8bG>THY;D=zL1+&iL&qXwr7^U?~_*1s`~myJzb)n7xaX`(8U3YXKoF)|A( zIzn|7Gt<+jl5yjea5FbCD+5a#8AeV|-|k!5IJ!7BQ%eIQ2QGdtKkh~)j6Zj6N0v#| z%pBL#ycLb*HJ)Iim6HwOp%ofdDacN;W9Q{OpTNG(RX~Pv@9miIS!L(8 z-0G}V%PI?@@Y}!9{AD-22wF<2$8%9#JkC{NnS}U&7jS&h7wic%d97%nv$adVzTF55 zN?BelGdhJ!PU3BJS#kqsQ5~LyKnpnatFz&L$9j{fY3dJQ^<>Ax4sHo|F z+h5i5adVvxYBJ8xgEpG~C@#Uim{ZnNi2mNNi&-h}e!o^yhn%jquc~62Q|iQfdqc|PV*zay*BAF`^x&g;S3WBYh(Bf(%V%F&wB?Fr zs1A)Yd^z94tz30E^SU_T<_GWLz^)N{Ihm?*@k{J}zjgoqw)F&t-WGZ66)y8E&9!nu zUfZh6^76Dz>;YBb?hF;Uy^GE|w%xV+IUTrb?|Q%Mn|{LE8(@3hsJTMc(dz=~nGMnf zJO#|&`LTDyuD0D~TcyTjOxEDZ+|;c*9zUh3Odib7QBI!q?7lcU|E2 zs^awo$GM%>J4xId$Sdvh>Ul2Y?&t?>`uMH~cY84B^Lb!Q5sUc8TD~a-3ygKzc3}-b z*v|n^#1oPRcmqq-)%xS>*(lt{cJma#>sjb@8$NIZ&+gVqYK#l&TJ3_ynX4;ATfQ>A z+@}7Dv!vnQL8Z*nbFgSojlFB}uyPh*YBt{ifYYP6{R1mNvcaFI?Tnx8BSNwP{gt}p ze|emIY&U3Mg6~b;5NjDZedO;3*_QqR$0I~ADqPqdwUwJ&z^}{E>Y4hra?0ot-5s`o zf6S;hbbcJaJL6o8QB9cXKC$oa1~9zGtW`(e)d%R7e2P_QMGa3D*-<^Cd)(ovBAann zK7zEqzeeC^oo+q@9rMz9SeH^o$6|d+JVBPN_)Y~Wjym!Ayg>?FH8WI&txiwS`ZdM5 zfyW+mG+ZJqWqmqjPzvjrzy2llN!U&Px0a;l7id zuLG{rI510VZj+Bq7Ib=*AD(W9pWesGUSa9$?LcdYA}>Hg-7mlLyF)IQD)fO2Njv={ zrM_=Cl#+)57!=D{sc-G%wOC1Bf|$MLU8RqROXg*G8pZ~Cy1Z_A{Rw@6S_(Y@%JYnZc{M$M7C$o~BpVwjC<4ER zpP%87&#k4N<>#E7D{wHpWhMmgyy%=NFSDFib$jALIVs@)t)0o%Gf5YP0;b@nFGK?( zTpqF0X+q2j4x<$^wRy(dn|d{L`AhAvy5iCfe~p(a@sP!6n*bMSY7bbwX=-`c<9?z0L#$KEFUslUOK_20t`E5cz11t_Ol)?hsg-ul2$sm; z?~y>I8)bV>mC9zX>PMS}bIOQnV{5YfGn5|7xK3Ce-i-xHcSCyPp(W6NSfptAuDxCZ)3G(%dSvz)?JtNR}A>A z&#|a~_(m1;i5fyB65Vw1k37LfQPqy}9}DIw&MRZ?R&Sv)K^73$=v;Z+LlP)-%{&t2 zQ&$aRrC&V?g?ZlLWvBVziDZ@1CrZm9F$rq0r3eH@Ny^;5MhkzMOVDxYfX zvQs2%C=v`ZXh9poP=;wBO6Lw~;3rUx97@=PXNG3k1@}n7Dj`4$L)|=T2-HY)(L7M) zRM#h3Ct51z=>IB_z-z!WpOs_l5mJw@Om2w&8=Jfhldj^J{{+V(voW^rDIKN`!4#GA z^^BEqf5PR?1w;dxWP~&FgWhX zzx`fXESLWuHI>ULnin)`aT7JLrgR|7>lOnLB72+f?bj2p1Li&C5=&zgv}< ztGv|eMC6EjAe^HxJPKtCd}8 zFw|DH=m+n3GgY*=E8~TnW-aU`jv|w3&~zGMtRM>^dHGzxx zGNEBAgARX)t(x6vAjze=bYg4ln!O^%7Jrk)wvi2K`QHC%RP#k?@qZeXR{~|(b|Lh9 zhuLH&Sq3*!jY-0FNM9-dZ%`>;EMSLTxfKALFJrM=ANxn+bF!>P<*Adrrs6MXJvyDN zf9^N7aE^G8Es`*1;rl$j30}=zq7T@$MNK(wGj4P8Sl{$o&<|OouIJwl+_Mqi3d+0H z&@WEz<||zuxDJ2_yNwYWoSrMyze5kT7tycnKHRc;E42|Gcih(Zgx%GNc;^8h?+n-G z`Q24r2?9P?EjNR9D@~G!2ajL2G5{?V@|Rj}T(D!fY-aL1%@dQIXIGj|tm?RDb_cYe zKQ=uQ29Uk^Tnp||{+d_>zT}JxAk3Q-Mdm>2>Lw88e*FRUUGkE|&H%AtamTSPOy?W5 z4;>{v(IWY`SH9TDgX|XULI6>OFo1sK?9X|+d@Vsz^7zY;K%hrQFb*@Bo>p<`U4RAGrGq}Cf4*M{er8TV#_ z(gc-VM2MNP^AB#{qR>;0SNP9Em$GaOB)H-UL1EK$`Aq%lmCU8-AT6q zV8)B%o)ueK5tBuhBZ&VhZ)o(k^-9h{Jy+YsA$mGL4D~4Z@!|S;ryS zBM%SXO?Ian~lNyviAO6WE>D6@9d1^&9yKSjc?V#O^H(eQGRriPsmBewnhu=GXW3C_NR~il~8N2 zJ5l@H#bmb~D}At}7kQorLmZpk6iUDw3xrM?zPy zI%Wiqx`D+)6{&nc@{vo9W^V4n5qh15B&R3pOM8Q^RLS!=aJ1zT9d6jvv2(#2)W09l zuR`){GR?RKN*^8;!!=#J5wu8;1lVus`FJQFpI^*aFJ^Yf9vM2i$SAc6!^0lBlOmp9 zLiH60I;`;f2496Cagw#5(|QBt6G_wbo4?*$xg*OJlhKlEfPc7*TMqJ6UMQN~mdcY| z2as)GkT}cQIBIoBpy!8I6L1dDEbR_`Qz$8PXG$Z8Fq70s`gfk>nn7D9IB9_{D%;_{ z+5Se-F`+3iTw>a+FlA3iF?*liR-wjLR9*HoveEDQW^YV2i2^9E@-N6~JEI6bN^%^4 zjz%#=@VsN9e<@AE&d5IF+t-;R#3!$cZVXE4J0k@UTB-zM@xjmAjZp-LZ%!#g!cI<1 ze@09dqmyJWBhym&nt^GgFgbGqaWPRRF(frh!ZSNpbNZ49Icox=bP4mr&WIz^Z=Le_ zKfF5jq!Q92x8g|ZjxjuvOPL%hvSADN<-v_rdlvepDPhdl{-4I3LW=3v+FPka`%I}w z#>$iUX*lC~ioEbPE?bv-g$q3}X+I4?&o18f4ZmKcld@Y({!*)R^eUvam~181L1*|# z(7FO@u|r|d+ACF=t^;N38L6|LVmn&v%k?gPLEYP|Me+#&;qW;NY!xK!{H5xJ0nD%F zXCH#b=i~dmn4s|aY9R6Xif-{U5lH_j&0!vjRq&6&vH%`{NA^ywwegQ;P{0ckA@gsG zy2qh;(RjXWgSvVN!_}`E)x|=1xuFH`KZ_wn(a16`^9eNy+g7ahV|L;vnN8W0-Bvo1 ziJ%Ye_XqM2r*1%1-moi9jcukk1S!GOn%C|3lL8zjc2NBdlKz8yN!q_Dxl0>CtGbP= zx^DIs)f7hJ-FQpDgVv8<3=QELuTKkjx<_x?2QY2!z@@g3RV+;1EBBRz4j-L5J$ggj zm#L&yh}3Z05~MVN&e>&w@2cQf94a7fw&xbFKV7h5f9am_boDDtTsSCy9kZ3RsWgBu z7~#hT`F!~-4p*$~;KlytLo^gQ@XqWSS0QHxuYH0L#kAoiUTaqBmCq6XYrD=qQllNA zt{MaFlYpybhOeaK!-jZP>Cgsxt$zfMnPLSm)fcC-Zi~r)!>}zO$f>7Y-G-C7xwr2) z^mcD!oQPdfoGm97>E^A&DWzRqJLSE}u^E zYHb~4NsiGa^-;&L*qelGp;5rz(F;j_($X=p7}j`*FYTD-gyoHo0%B@ZwSOKIDm`cJ~OCY>!VYnSb7i}b2`8}JS2px0B~)G1R|P+ z+W{KNq{M)3(ohICkY$=T8?ZBdhPT<->rl;Dm#IL9NplsG*1WIIN=}8D@_3iO!E+bf zwYP9KL4wQ6=I4CMX*@VhECZn_QBqYHdUOT9nIg!rV=zjen;$9DW6Hl0rM9g(=WAS( z+i$^q4!|bv0d&q@8@>%u%L~Y1nl5{VfXEI&9~x|@=@m(pk-+4WKW7T^f+iPdLo)9@ zx!gnNV&E?I0hvejxkqmzqFDJK{>ZO+ ziaI2`(~-;7y)&G~=%Rycl^we;-rE!20HVgNyE8i|k!j0k@5}ajizE_kfK!`0kj7yE zfeN!`i*FWwPDChGdgbgzARQ(S^P`O*V01+hv*HSF7CuQqDpfj3hlxO*Z)VQ?_6-hW z=s(WR?Gvv5%UK=NKt&EHjT|Q9IbCl3Q|2D&lb(FG;#1h9l{Q(%-+{59WHK0wr<7lt zZu_WrItsb6_dlT3(^*vx99FPq(e-K5(~L6N0Jp>a+h~sM3rZZX0 z1Y*1r2Tacwi&ldk0ht}Ve@X!Ty5VQ`zdW2h;`PLt)z|_Ig$>F5=(RngMR()}l9)tGs#x)6p(72|EDCAhqCR zT5+AF00}?2QaLd2o(v70N0Qt2D(pY|p8Vmxi73%+`id6_H}(*y8z+Lov=7cjI2~x7 zELCGd@Xu9iKq3?Nt!&LZM)|ed(A*3!7*sKL`u2eS)_rbUvA&RujG9l@J#DU$k=3OB zzZm<j%*}5^%y1GW(FWGsb0Vo3U-ccgUR}`{o1HIKDN(kgv)hW zzAZn%2|T42MXT3EW^?Ly*QnyA)BM<}G=1yS@+GcbC-oy3l=*TUQ`XaP_%UOAjs>~| zyg==^YIt|~7?~hyb$J;W<8Et_Iw!UcPaEE4nUyWK9;c>d;j{1zH!{%mk8 zI@_j6SQ0c)TwB4cf;Hk(WdfeIXH)$ctM+n1X)!=Mw;k-EE_N4(oT!FgwrC%>oQ1XV zPgPhNYZ`^2i`;~bgf7{0cV9i@$3_?VCNiOpK5yTyz+hu;eO{YJ5_V+75tsq1ApN8< z2=CQ_L4&}q8~@{K{Gh{X_E`m&)hl;@{R90T6z!PVgC4L%!#q!ORYyErPfw3lo2ukh zSk0bvh97}dRyJy+UWu}z&rl6(e^ed8g0oyxdG!xC5%_@^*=T#zTuuH11Q^wtV)V1& z&BArD?qzqv3I2D)YJ!fS7d%2Y1l0MenF>9&Vj$?{i7y4|JEz8kwCQ-0$^vH;vN4IKDiMsjvK5WIchdAR0-G67h6TVGKzO(?5MFLgkW5g3d9fwLyP7K~n< zVLJI8O?>Rf@RucqpVb&fCWDiuQuG29;0P`m*@|}i-%?YbA-H(+7f7rOPmQ>{SLrph z3>9ysU2r?iLSfdEL&ZDlzIokkx(SVr z-r1=jlsz-yxtcr|Prcpfy?MBD&fX6q1qhXyogl64H?!2(IuqEI6|u^F)% zCtG0CC$}Gcoit+Aw|5{qEdCi7dTU91w=4dm{mqV+)V33zbo@Ic<9cCJLE?ru<`<)3 zxz0ImLd)Q7e58AVj@x<0C#>@P09!9XkEl)qvFG2H%{9-u>e|mI(0;lts+O&fdWp9K z7hsBK(H$hkRnGut)S-bi; z4cn4FBruyi!fbedXbW%Jqi;;gNOawCkfHl7&1}Ljb&{cK8FW};cx!KMv2dbW6wIoM z?5~CSyz+_p`GZ^vABTsY_o$`F-nt->sx7Q5@J5%lQy&XRN|V6DQ*n~{oYNrbFepl| znm0l(=ocU6{E9}!3k)$x?+C@%e}>LJvO~8qu|YTL20hb;fxFetq8Y-MWcKByF-2Qi z(t(lGl#!m)g*2mGUyg=S~dLAkqMzV<1mM2N|v>rFa;I!LBUKnISQ9MD(FFDBC&_alCP68*{G-H7KX% zHip}$0{T5mJPsHX)HpPnt`thX&bqm~uS9~at?~Y2?e1U{e!DgnU?-pORAXdJm1D7} z$R*gd^QZ`zo7KL43>}!VwG`jB4*tC`*80niG`Ics%XG?A!^BL(kMvFk%fz-ByY8cz zTtwqq0|x^w_wL;tzKjWAtH7`EiOKO3@{?*(E`9Zllb75V-d50)i>NlhWiF_b4w-z23+f~H8By7cy+K!OH?;eoEf(cqNDFU(z`F?Kn2SEuO$4`QJL1JRMb z@@iO+j;vFKvRs}0ow@1|4||(j?QVm(>~<@Dnx z9{wSYNju(WR2q>+z9im8XH-wHkS=-H`(?MS4ZtN93{Q1fjBQR3MjCG;X0jexM`zd% zLUU5Xj|lVi4^kQNK~C0&IfMcctFV6-R%lpO2&^6W^9J_5NeG>Y$l;d_6j<)93YG4M z523ui@v3daRa~3@bYDd#g1np3NS@&ivIYtwRPpGl~=W{f^8}$}l1b%c(KhoEq{c?j!(sfWIw7)^GM%IxhG-A?c zq|}v_!e^@s!1(6GL~eX@xQHKlfiN70p8UK%40lq?$CE1;4=zgqPgx3IsxDw2Eam?$T7bg#NOW*!(F zv&Wt%K5m?36O8c<6P0^*XiE(O69N1JFr`fN-TQ+#vEG<+5u_Wyt&%)903t~RT8cV_ zxas=`QU2XC!(}@4Q3P!C6EC~i6cr>r{huO9N&$*U_YfH1BL1Jct?bFT$M|mA`?JWE zS-^Dn`;B2B-09fOKI0y>BUC!Q~cTm<`RHq zgr}ZlCfTMTUt;u4pOJLFhn|!<39dA+6e2pdJ5;6M8IXN>6ufcJ;SMSMy83cjh4>V- z2Wgl={Y2i6yT77UTh#{x5Z9SQwEu2lkN!ELG6Y7(K=ivMHmSHH-PFQq^lqyd%sAnq zo0h^tWqnjyKxcAbXhMdR_*od_Olx=*qxm6Qo~oh6;SK?$6Gz5N1`c*h0j<$+kr*J?Z$21Yl-7;duxXhsI+m)US)@`NQ6FJFM&UL!hoQ^PR-& zMTP{m>xDzJjj9HFXkX~DB!%)6J(g}VQgM48=V8HC%d)5V7S+(%0J_()b);1gcvuK^ z7;0C(1EQx>IWD4SL<`nFCDi^>ZjNKyAIk2ZM0CdMI9O?xSPVq!Lyt_Ya{57^WpdUH zR6^Igv&36!Y9Mv)3yE*#KX_jO$G%?Z|A^h`v16SImZsw0AAhy36+lw z;OwARezJ-SFAo@yDu?>|lVMF>8MiN&NRHE4;3>8ps%^lSQla(+TcK+%CLS-fns;gm zGr4GC_T_4Oh5ijyJ%#vLOP!}3wW+FjfqK_(3*!&D)wLU6xOE{1;N^EZV#s={U2qRc z!3&wS%OseN$A*=1QdPLbDz>vh8m=W@?G2uJsHn^qhJIqMAGM!Y=(dCvmZ26gnO)6T0AWs?zgMJKo+6aj~;Q3>EaA4>YE*XK^NEBIw9VO{X z`;QC#dKN4@0~Z#mw82$Gt%v(DM0ipMg)RxRM$CS!=9>X7R z9k5$*LTJl!U=5;D3AY1%ezltEGeeVxc|&OQF|p(YA_8~)35Pb4s;1E%2)yLPC1t7A z+9)s##4X9uBLf8y3tpwBI2BHE%K*Pv_UdA}M$=2w^=bNrNTO8XiI|bDBYnEzt}EW5 zPn2~VE;h|pQ6mce6<2$Go^VPp5xjsG#{ptd!ax_k-n7-Pe5#G(4l&9)NDWEqq`W*Z zj=w@uY}Jz@&sd3(OVTX-2%hL>y?Q>*ksk&7u_k^BZL=*b-^G#&swZ63!!{iYavXxB zC9gHs|M@9HW+%eUnUuJ|jEujnwY9qy{b$l$;XnidaO_2xarlPZ5jc4hP@p+|9#H#*^(%XJ4i>k%`a zQ|~n^N`#JE=Byl2$nICL37^o^M+z|0+#WjYS$Q9j?KtAa@kSO}@b;kiwf0z&K68xP z9$ac7ASSA{&Vx=!y3~xuSXJmsISYt{g2Uj(APZZSVpZDW#(>IhjD+%&)2H|>^EF;7 z)uzf$`4STr6M3>+^g2GzjfWmOcUq(3rJ+#-|S;WPdbFxERR4YQ0q-<{R+p}RW6A=E~xN2$QM0lI5^kBR4`QghEqW-q8`Ceq9COG#@!&zkM@MT1M%!-Q#% zH|7mAM27Rk1|T+UkW=b+Z7WXuB@WkI3z^&McA}&{v`<_Z-3UkA+*2k_$&Ycku%D{N zG@JHlXiEr5RGZ~dX>yipf0XO#7B3-Lnr2w|CcIXTDO{>U$w(iyX`f?F%YN$NtLedE zbQP7j^Hpl8sG$xCV5F01E-^SPF1QJVle+J6r@cge`f?8U(gbf%zxEpVk(Mu2p?z+rqa3QPwQZYD%WvGvnjwG|Q_Q<_1 zu?uDs9U?u;7HZlkhw&-xv){>BTocyqcoU;34|^8FFf8684%I&q{$`|bGo+#vvK0no zmiav9G>K@X>!z2rx5mjUc&uu-3215PFI5(72RJM`^{+QUR;h`&jE&JIH8t6*(P+2v zYrV9ZoB~rzY{$Rp_5`eFmI)J8h)Tw#(QVj45(#mop$8m&ATlqvS&r0L*J&_#sag$j zzOG*#yy;PHIh?zTo-ae{DVz(Z7gLw0FoBG%8Rt~91p=;PQv=MU#m6aVb?MFpa(W2_QP6t$sX!c0d@^TIX^K$$3;O zoTapiv3Du6(z{~|pNNJeSQ(>@k*G0KN&J^ByKe+-s=Y}f569;|q6nB0*pQKI+FR_W zenTfQ?tvj&hN`jPn$lo zi|rXJvD52jLB#FB2AvlTi23U(S>IJmRtn;B}xweRdi->{Nwyh@8+G3y&zT-YD|#KLkyEc-iC$N)mO zus(b$(dts$VEzNWt3})x1`qD@+XwQQYhILo0T&2=eizZJ7T%gOgsL1ZT7t2i-G2UT z=f-{BI>A4ObTtdbxMj*;7dH4uqQ55oNK*C$2fay{=-TG=IQ%RSak}$N{bz$kuS`Jf zp}!&yet8pKzvCDbY;H0*<77i}pjrsFxk*lpY0`Roy-wQ*4-?06;#}$sV95T$PTQBM zf>X+gk0$-nJ3;gp4}+SVL$S1?!(v6ps!)BzW6>*91oTf@wkTYRm#ddEnlF|dL)Ie! zzz-8w31y0SVvR-B9)g@n*A@ds(lkwYxc<%XkEMf6SoTe36x5bUhH(+&D ztq8QxLiIh))z9#%LM2X(P2J7-ir{cgT!N^P(&2>MAHB!fxS}tdqrUtWAH)JFkr=)? zs9NWys-d^#^Ny=26OF1-svN@kkMz+vj%#72TLCq!>yAIPL{={!+#d_iMzhAW`v%k zSZCxmr&FXS+qa33(J#h1Ji&#U&@JDoJ7^U7L0nNg$KRnsi*3+Kxsr}C`M|_kSOR92 z`}z=6vNj{8y#y`A%z$OTnRZKSO>tIZ#&t+|{ zQra6IPnXdh9dN15*Cp0eJ-|?(&sIgD_J#9G`$4xJ`}A?@ZJd4AxRGq=NmEu_SGn{T zY2*mD>_>}mg7RlH1drk1^ugaZ>AO9s`c}AWZ?F7rbB%!+(vTeK8+uYJ#0l`qkX`)^Ptc`781(~ zYp45cjp#`pQAi7qB%=WFH8exd;4@CTSsYZ?>5H-e0)}M5o!EiMc~e^lc(R}lATLL8 zB~UzYN}?(lSDNKJzflt8-JoKkOt64l=|SwRz*>CUQ6TRcJtomCJ*3K|UGOmWD*F_D z>U@=9+28+)*RpPz!l!Ya|DSwG5&tApY~5Z zIVntwq`C+?3M{_WoGgBmwfa$l3W#Alp#(XSa-Z~3T3M&tf3X@Ee1ZGh(2<@dva6)6>dHDsSKbv>}_b*j_J1W z85F>XVwO_P;Adum;!mTfpX;RGIU;V)NCkDb|;q^7SH7ihxUtt9q9AiH;C@XlQ(cj7_<1O0cqa36^wFOyvF&5c9o z$rYTvBx#!%6~bwGO<|W`kRQo&QZX{{OwnjgOP>f62x%9i3?6h8S<$tT#JSQ;1P#o}pKSY6z-D_-aw^ zP!3TNzrbwXFf~yt+Uf|d+j*97RjX zM&avhdXO=%mSc(z5HNbU{glY^`W!yv%A(uq?)9)$quVm$0O+6DUe|30vvWOCh~;zb zPcOi)@H*D-c$jf|+=HF)dZc-_EC9aj?S^-(w6(js)_1&}&ujzRy)FYyU$#eZ-}to= zNWF=8^5MGZ_iSy5ZIZ{M5M7VPy-mMF>%Q%l^E9BWxL&(+Y6}6I-5b|Dbeil6KWzqS zHeQ}IF1`FZK3Q?@xj)kX19ZK(%RXLek~K)Egn4)oda zc{!RR7+YN&SIAYOPP??WCCXq*t9fZUZ?fY7Mx_%^W_f zw|6wSlAe>EtR5ae!MfhPMBky#th#2dJ(VK?y^bA_+xQ4?n_Ao}*#FG98>C*0sgg$Q zl3uSGtmT>g;U;`O?p>`mT6O1rVR<$s@*wkMbKc+OYfrX@9D-Lne5_XoCmT^4qV zB*)JGx)UMrRyrN}v(HD_Px^7FCotfZ0MOI%a=XfNe(Lu4{Dhe>_fT#~q97c{hrH@e zpzz}0!c3{+d;heA+iO){K}Y}*jLgUBs_g{t)K)4>U+8Ov_>^9-m?5?;hhT9GGva#-k>V2;3 zaC=sh8ced{ZeslUxVI^hSy09GLXuJqEW7Jjb-kEZAiH~tzUw*7q=y`{e9+LWzai$V z=o+)Mc+m^={PCqzXhMBvz5#W0Vm|}@fyEWr;?BE0o?tz44muOc8(PRgkMaKUb~C8P zP;30jR`_`%Gigm|iqi09q_bLQd#vXC-iPNJALdHoX08O~{6(vR?lXKpA_ftJ! zzv7HEfz|Q)A(2*O>rfIn^3oxEpZe1C(gU`d52S5>b9>xa$J(Uj>7ai>Dvth0=y|;v zb9h0mF~7HYyLkbvgnBoR+P;~+R!FMHiNLe@CVF*O=&G;%$OAOB5jka*+mB(IZAlF# zw03iz?eRwbjSOsk0|(4z_xYj#UxIP>U*KU*eRhP`yj`mSNZ0t!S)?zzB8c5%4b0`l zkB&S-T8LG`&JI@oy*Li-?vwWX3Xr&ea=LEp%&*cl_Ma!6{iMbBxl(A~h=TQiZ_p@q z&~ORCXt6*Tj)0~ps&);psZ|$eNDTx!9W$fWVcftPj zT@7x@^<_~+gg$AX5h`9xJqH^T8akpWA+QWL&$C7e&LZLCq@k~;V@CPpj4Mn(CqWSs zg%D+sbru0mwx&LYQnqO_I1!?iM3Ubuq6N;q$*frxMF>alLrjWYS1{Q;^;hj;}N zA`JeO;*3G3l3X9G+T?HxV6nmyV#PpR^%l2m^%;F%tcSuDqcZEg@MBO9@Q^nhF$&NU z8>yPE>J)ZlpRZNq$lTATE`p;FqYT1T>FS;zx!v!wfMObu0d+Atq;qM)E?fqu6Y!@X z@x7NyTMy)L#R_m5vSq*9UG+nCy-a>&HKYW+0?t43Ie)VUcqgY~SCB(t?c1b7rPGHC zUPE9u_Ut~kiJ8#VUj)C#s8wFvqx7d2{&cw^L}Km}jB)ApX+ZJl)&IbAJug}vK9b#(GJxs`=Y_h-A5DgpD4{ksQjgRL-d2C2ylFyMNRdc!IUc;)SXz> z)vJqerXE(vk0o0n@`ET~MruCJElwapV-s~>g=x4o59?26tM|h(wdZ6eSB}JF7~zW} zNFY5?S%Ri`7Y{EtJ-wtbtbe3he%$y?B}Q>f5sxC3A=G7Pb69XiDq)}kQals=Ku#BP z0%HJC(CI*|g(a34m0|BinNnA`n&rs_qfs4T7j9pVb--t$B8->0GP;aB2aW;IL_@d#pQdEPY+~5rD&c4ME_nnE5#9wrbcgKeP1v@myxIrpmf(8CJuv7UBaTS@ z>yk;|O}IkVv!U^kSB?N%Sqc?a}3(7?ltsx)kmSf7YqhAs{^-n#@U>4tr^D=WT{BlW&CHa6=3S)@<%ga@@%1$^95+id@qlqALF-M3~YwuwZ#=T)BEUNQ4>P-7pD z^;url-?4Oh(yt#t58}}7+fh?_Qfmv|Rn{0gY~|*B@BIUVSzbO$x$PMa-7EY7Tr`4- zhT9Mx6S2kMqdp4)COh|VqnG2Abr&*BN(cV65dWJ+PCcQO1SCA1?~#C&ILRiW&~2+v z8bDfl`PwQdYeIa4^NWra^D0q#S6Mffixn&E&x_P*cZ)pK#E;c}PG6zpZ;))X@a zGIH7i6m!gb{fx?^THINUW*-Z3kTia+HEW8R!YqEP=JBaK{zBHCo3DTC6YEM7pD}?<~+Yn9o-5*(SVB?9iWDLwbmnZNbSoB8Pw%`9&*T z{ASB2a(FtS$YMF@-=OaFL+dJ3bhR>eygvEvO6$HRx4pG&`5EG#@cinvt_NH1aN*2D zo(s7#tq3kNuTm$Z3<)@pv!HDoN)?4`Tsy);BfS?i`Jjvj9$wotw&-m5wdS|30tI0# z&ryz0KZYJYPv9U~zm?_-7aDM+!d~<6)V#P!A#xS&MR@HR#bI^)ZW!=j%j|PuT*h?& zadK_}JOT^WZst#yJZ=QmSNz(>0|ezoSVF*Lb`)a#t-~0gPj_2S@!ki}yTbIb#=((Q zWCS8!_crQVk-wM~evySPLe$J_cD_1Je@D5GrK)JV%6)#->;qTQ=Ei8$+sL-}IRl3P ztbflJSrH_wwH=XZl3x5N;m82 z4N{k(Y@@V-`=(5abtTtSXj#4~o;}e?!D7Vk!xJ&WB9T~^b%~;^rna!V3*${h{@_Er z^f062K|xq1RDJozS@B)9|IhG(5yq_l){U1}qgX^t*BAZbJ{VC|X{=r2q|ZI;ci5-a zF{9#KMWm8k&zY;*=bE3>Dp84A=J-xjhK!zA-t=ByFA;BP=(~S=VDWT<4o0%u6ia(f zLL}+cy;@D8I8a=+U+$u^P#Vy6PEQ7OW#jn6!+vwR{oQj>;^YJU>XZavdgzkT5teMP0-x5(bN8ovG`-ARMnE2KoZS8$@a@L!DG$a|`{0t{Ylpp9B;6OZ za@4moPZfOND-GOM-ROBpI^gEQ_B!Epwe4tg2c4tC<9p^Z`AVG6;AdyIw}?+jtCoJ{ z4Y6mG{f-rvBDOu7G=>0XC^WAZ>qqlw*EMa18~8BvzrXk>`*2*(pbZC(HSi&4M?9*)lE=klY$64W zWKB3ei)s9>upu?~WwABR#CAJ|fMHgp3g8x}+G z9L2}FKu^E$-J|NLr#Y4RzoiPHyc$~yDEc^Dm<~_y-}m_Xu2S*c_f)wRv)*sc0en~k z<>PAdvCF+Ht(ZiTIkLtQT^pP>dvFabNY_3mB!qTodED>n(7ZlD#D)U7D)qK9{cf7 zhQ5t=cJ=C3(3VA_5xy@q;6V!oWZ98k-E3ORtqu;>l>1}ozP_tS7zRHn#cnucm9A;_ zOEtLRl>2ieBDv=qkF9cF2}o?QJX-w#m|uG4$@h$ZjO3qPVI(ktZCQ<;Ia8va(coq9 zvO((;O`2B(F%8VOHs8O7w4s!)>QBj_2>C-b`is_di>10&?it&on&wpiGB>mXpt!<} z>0h>YiyJmf_cKnEs#tG}<@&u!4ycMJC8&y~>XI(iT`ovjW3hRO{L+6P9n1Ki(Pw`B zrDEYJ;r>Pj^&hs)(*JI|`QkG(LWU8?`kV^r0r_i-IGhUdH-hHXL(Cr2;M4np2}1(y zKe_nZ#$vJ^gDXZwryn2*_z$A~Hp*K3mxdN%<`dR3(>Tfq?+YXJnu46P(2l`>c>cS& zzk|!&o(%1hXiOfIjCCBxWup5#p-S(B#!P`?$Z!Ta)Xo!MJOv{N2wEC2VFCklN<-h) z-UG}+XQN>Y_je3ItEzuRBHb6%kcIAS<-gkq@HpRBV|4mZV(*g%7?=g>bG*}Rs{$9x zn~1jj#!a$e4dhopw>kpD!YK!@)^jp2N6SO9njqXz=RMDgHY z#Nq#!^2a1Mzpb&^VzCDWHkrQ)G^q`;L9rL%WQxgrm;E4Le*Wdl|1{rLrKf(Vvxo1KO5Z)M8zmWeh zRR+EUu_WE|h=Udh6#7sgf&VYG<9hFIvfXnG!AF7AJ$iQ`4?LX|9r@??kLW*CsD&p9Ja%4vO{^z`IZmeYgYg?7R~tN$k8@xr@SP-3E$FgMq(BC>=DhD?o)W(+hTvkpvMy9mWumE-h#v`9 zH`CONRgD-7m@7i2c?^h2!cjJ`lW12G0AZ6e5^aLsRB;MNC{yY?nj*3ahc8nyrb+X% zV$0_GH5yQ3!!$JYK2~ud4kl;_IiqhgdLJ!Ss$ycfEc`1~bR3$MTngd7TpJ-X3|F6yYJP?6H`;EVl_vE81V~j&`zqo%6KnjR?xH`Pk_a=Z4xxk=90z4ICtuK z=+!ck4v#*alxn3Wk!k&GU9FnuHyGzOB4_?Ur9~#!YMfGQ_9MTmSF1o;cK3&bOl#kA zMj3i+66eYbss7QCe0eV z^5YQ;oBn&%iPfJwNud4@Q!9D{LK{ZoguP_slH9^01xJLS3Qh#bo#nK*Rw=rBwMw;b ziKPrc7dFX@^Jt+ARBH~&)41TFaG18q^zz}dpjIPt$52(`pl+n%#(tK|S#1#~FZ8xt z4)*vf*cGudZlb#rtQ;m5eJYY86%>ev+TKty}WGN@Te%LXj zYCm%Hbrt!_zl$GixkHctMzhh^tbzF1>mpmyRT0>AWit}%yV5O;%^znA-N4&LW&~b^ zOvKyO$xMF9iE~zWSl?quU21%J{=8w*&Y5bFsawfm!rQ*Vz5{^PRIQQ4lQsLovY?f2 z=O%_9%-7o4ZI|(pPy2^*O9?@mol_Ul*OHIh(^2PoWKJU=AnT$fc`Qt<~ zG4$2jpVGEGZYWohqxgmTTRH7YQRr|}9ows;a`uN^U$*2 zF)rRiysy)~Dp9!g0BeeRM^joV;juN6+EnnayhV! zHh#QGlErd4sp#B>vVw|?mXuI7stLj8qaE&)S^};=(w+3eXlR(Mm zhg0P0Hs)7VdW37Jpq+cBHmtqdpq&>Yj3SC@T``Nvn;H5A2UDM&i^ev8-=}pjN6#VJ z6Yp?})wNi!lxLk%@t=Z2+4vd4D-)BePfJ=g{4pZM^n53IDH*w+7wl1Jnc|r!G-lV= zlD*D)l%zi^b|n*ytER+m-$EUFzY>3znBqx>tL7`(Q((Rh5%__e2F}$fI97+VYinM& zM7ot-qUIjovD-TAIv02{oZMXLvRnCu@^i^zJS0RyD^<(YNClNimEOTjIA9ma?D{6s zWY1%*MBB*RJwEnwlDXo_+jpp>l|cQ1L7@w(!T~0LT9Zvlas2NZj8MjH!*|d$6%KV- zwR-H(CDzz+eAwDIO#lULJ_a5|d~pv6q-9ai7juO}U`DCh z!+7U`YB??z?i4q{-+4pZcSWGq^igrH#LIMJL$!P!Qw@{n-3ZEN|I4WGZq)n3Fpn5k z@{902Q4LC3f)drTBPH78bjPagJgjc<$2YyZyYzE|p79)ir=TgfRhg@6TZchX5wHuA ziXc>z$sXEBG@kK}bU!)Y_okOtD@!Kj@`b(NgAh8trz1z_K!zK|I^zS6`zkzYEdc=c-F%#caDgfpkb^GBv z8CM`l{ckdOXu1B$V}n=(Y2SAir%JRzifhV3@SnW$yEA_Oc1CgK*W5n|+;>0T0|fdp zAOs{7SpYKA|B4_RHfTnG)W*MP{+mfc+?p0zx4)^F;~sX89}#?yQV}RhYlEODsbSyQ z4NtWFJB9pi%y~C#UN++Kq|7t%eE3UXK-R}k4^taDE^fq zZdPmRow{N$J?aJZ9Uchk`(<)e)aR!$8a*D{No=V;h%JsA15ngq2rFdhhX%6$BR5>Q zt2#a#2^yG?2{fw$#c{(W{>cl25St$LfqF&WTR4C4Q*-|$jxJ6Cnh-hf6XI{cgjV_; zn}~p2Wc1Hyp?GYq?;`Sl;F_|6W^p*4m(~uHWbcmvDE%2iXvvd?k-wzpEsOg}euYTM*CM4Yq=>KK_l-iTj-QDW8FmgoJI6V=l#N= zhY+`y6t-n1nB1xTCf46ocsiF;O1zsjON7eVwhRl8Zx(tWSopGU;X7wZ)OIhZrRI;R zFHra6ezzYGv5TT*ofz1`%R*%dEaoGk@tF?4sKES6{9Ooj_{GmX&hY~T;&MxPdssqn zrHAo+i81SI8NyS*Q5kiMra6;+G&-SxPQ}1&MXtNPVPQ$#LgT^r==Ab=!)20&mifO* z?!7bqDrwo!8}9p}W?6Nq%uV{Waelj+B%4s3IbGK3xx7SrH+2W^`#gA^jA6ubxlmY_qz7aNCBRx0wC6en@B!YWwEEN3IDO+WJM(Z8;GJjmvRp4vQ zu=rck>e;0GuY%wW*^$37UPJtaF~cvG30FX;tgm0gUD%}=5G@3IuEp%qtQj5|yZDZf zfRIS;advJviVbpBKq3V>K>&`u!P*54zZ{^Q33->SvM*c54wjFC>o?WQ$f)iDiXdjG ze^P3^PT9(bl#-pl!n4tw&NW@_IE*H@FRtwEg+G1cf&ZN9VsL$OVo~Fpga4!Nb z`U9@-aMRTP4l9Q`repSec-^4BOb}`-puC^BnR%pu1=3WFF~*$29~m@_2F`Rcid`$Y zk|9O!!Svcb&Gab1q$HvOg9te~HU;&BwLV^R5PRalp=JqEK~|djhTt*ScXN(Iux(>K zr_UVXEe}=WShY~%y7&$j`f`Q@aCs}Mi;6`4c+&Y|pkr0O=dybiVckMq8e2M$Ks&i1 z!TUgm=J#h>i3|go7#hPoFISX`fFFTs>O#^tgmaS)t%=nV>Wnt~&L72eAC zf(|X2rfkU6{O=2K*RfpD-sKFYu7o5|Nt2xUX;mH<$$RYvkQQeebB@dvC8*2I6~&0P z!;%U|h=xEfNi1WEd#{~L&-5$y?~5{;SgL_sQKN#|JQ;)Tzb?w93_cz!RmGSV2ZK85 zvAgMeTd zlai~^+|vCR>?MJocH1#{44~J-jfO}bk#qfAYU(>G_e-GBZZ0`4eU5Ay>kcin5_T|= z5XB5`ysDdj?yNBCb-<)apj&Ikmrak$i=&5F((KwGDEx;L>&H5=Qb7a%&L!s)X)^dp zUU(=$?G`o>f?WO!eZ^%7ed})>9=T}l0mPqSkY+piXCk-I$vRAzd)f!<9j$guVlS#b zaKYa~p@AV!gOl}6^Mg@A8=``d!#BHuNqOV@gDaGxp{ynM;fVxkHO*ZM9L2&&sikvV z@a*HzI(7#0VV;lq#_~P(<>)%&NnJ=f4mQq#;}j5%x6RgF?mM0!6QLXw^{p~Vl>B^w z*wYWg7Lv5Y89&m?`HdRg*+l|PwC{NHg;DVt9A*^{23)mmz2A{Di9Y3%ul`_G>lD`5 zzPU}Lgs!HggPEOIlh;#flY`LO2501_e1G2rouz2wF|!SIJ%K>`tg9wL0wh=-tK0xe znpga~*O*#BbV?&{agMG<`^x|V?{)&K@pj*5mL0%st|V|k4s7!T#cu(gEQwAG&I+Az z#`%|ygmQY^MUk;F`=_KMdfKVZo{l?ud0uWZx9dKAabas?YpA}jxIdbr(biQXunGPk z44M_q;ub;E_`*TE+I$dGYcF-jHLGMGKxr+rRSBEwD%mz=grQ=ZoFZlDe}lxi*jxT@ z+pIYLzYg)`=HlV{zjx>N=`2SP@%#jBvjP?koPqO?SpslsVCK}^e3Ng?pho?xSdE$_ z(e#EYsm=+WZbr!bHIhGH{a7qLVJS3bz=*w#T~jG+8Q}_;Ij2Mt z;W$6qy*)3AygGS+{^7D@iaebVI%)w3&Sys6yk1W%CGQ*dCwz`w^$1EA`8H0g`RuJ7Ye;(4IeF3m z?QLzTwri{FN2#a{u8(_v9&g82o*$o1SDmh3XI{!SNp+W5%x}mLebUc}n$q@WM&?CU zib`ZDBsmU#QmC;MX%^_$DwvGJ-R15cj!FxJv;4oc0!sLNNpLic(-4v8c}rSJ^<1iVZsI67Zz^Kh&fm{IG*$OvyN0 zE>f+CIOewCCC2(|-6_OJirnu$)O2_%q~&>V8}+c^a}+&LC3cMsnJO$P8sp>6M~_O& zcITq^M1>Xzxg^>v8m`%V^&VIbXOL7jA&^_b&$HWp%YqG~wo+3bO9CY5lY|#Mc}Vo9 z)s`0-4y}wV3pih|>bAG1+W@pzuZSq}({?Q-WcX&nErHc42c)*=3908g*d2{+u}6EB zcZC(@0FV4JR{6kb0h`=9mgf$gw*L6kyM5@ndo5g^b?L*8M&8%SPqB>;S88Diz(UmZ znK$7n-E)zZrQ-%ECT!&Bw=kFNRU*3Z)2&Bp)wzLMcgOW$u3<07w-}g|`HGcwU{fKf zVqIFh$L^76N~&5RZf%PD_VFp@%SjbOKc3LR$38(o0BiB$%c~ouvJE-4Hpd{L&k1!J zr;prdBA)HPo0*jon26)bCDVrFK^lEuu-%*-rV%xEz)Gc&9hY%yBQ%oZ~z;>|Bdvj-W1m*0(5lrG++NviZ4;U; z=OTNaUcaG=hCP)AgFeN&Zj%|09o@zo?scI&$Fb=Z<|LExS3{i6Qmk+!|DgO78LiiO zIm#C)K_clTmXH&%MLorl&aucjJ2a^pM(V?qq0*6{1poTk@^f#}(EbC&L(+7|Lv%9T zYZW2Pu1p-x1=e@e;<(=!O_|k( zM==eI(&nEt3q@9+Qk<*p2-8TGaA7W~EYr2OQ$q+hJOyQi-&&T0zWoDm2DdW;9n2Cbt2xcIcX-5YZtV3GQsPBcZ3+}YfyZM$ zfLiTQVOXX*F=U6yvM+wb%^N5{K0X19GSl_cFuM;SS^9Tk>fbztew{NljaaF0cbvCp zjAHRfXiR&q;<+?rjy`zAn23{6QH_zfWt8c0U~`^OD7(>EmmLfe?=@CdhLptW^2Pjz z7mV;(tTdCh6vvvlSs}*%HCxRXR8;E5B#8PujZqQf4RvFR% znZYXG7-S+%W_fXcXGUhX3u=}f)@BAsKS`>X|Ltt|-lJBxC{lLjK{80P#AXr&ZUryf zrl&Jk8VV&o|Dcj@+};AL&ABXr?9PjLh)3O5pM#2aActp}3!Y*{pr)Fym@cF5uD|j< z-qrIAtG%fnHdt#if|_#*D$Th~f_ViXO|4JuhG%eG-J!44zVVw-*SUcY>Yr`p9OwcW z$dYry_{UMoD?$g@UvT4O_iv$Gyg!aq>11Z_2;6U1nOFAS%olC2- z6--TUj2LNmzn?&OsdHh%b1eQaYWH)F1df$>_!rco!vb!r_c z=;ALCqHD}D(!jLt#1#H*UVd>f(~pm;-_Snc2XZ7Kogs7r1k}8}*ql)ca-SQQZ4`sz zss*xe<`57*k;V@J49w>!H%i?D1?82L1)_W*3}~&D0Q9ONn41|)7-A~ZE!NQ_z9X>|M@8}$M)V7(L1Kpe{L+ZC(q@=!9a}7S-V4LN4L&7Sw_m>!CUD`> zrqZxqzXTzG$ZYo0Yc*aMGI44e;148)tow-ysV;E(tNDKE>_$~lF}0%><56rp|Kv{HdX{epO1wGau_y`r(2!`s;1jjS+ZHBy0x5U zkc<1+z=#p{u<5oBPtM0V2o20oq69^ya=~s({GokW}*tquqHFp?+D&V|gDG zP)mt=vRIkI-&y6n_W)Fh;$UW28M6$8C+Q4SswU2Ug+3p zx-(wAEfeVJ=u-I)I7)sf zyR%+59$?zaHZg9IcrAGrUI$)k@fSC{kX}U7Rm|v&#!9Bz$addU^+u}YDr9XSA|iKD zDM_LzJu)J&*`-P!VBlGA=AbyX9tfaSZ@{2=Fp*iA&>3Aw&>hZ9Ne#}0idtI`Gk24q znQM4E@d0Xb2G2~1BW|f~H6XnDF^wX&M`gjj2>9O5xI$k(@sR+H7|y2Q^EC350%%5Z zmWU7Ml3*|a*CN=BXIq$Uw8ZCri+-wFp}6cg)t^&rI=&vVj)Mup=bD6s7~2ekySnn- zTq)B*&U!v~lbnNtL*TZn*JG{feH$|U?E10TctpK!I$2PfSZwfKDCyM;R%EE>4F*N^ zYXxYUOUNG#K{9j$)4<2>$DUNBr@8h-R?B=w?;H3mw{%mt>H{_~XG3_F+psO7l6YfX z-6)fL@8L6l5ZiI4`)+f*(0*{c6eN4;I&Jt+lR;f{SSO%9s*8a?Lib&a%C)_>T1@N? zcVT}~JuZj;W&gG#T%6ZIWb>UqwLeDuY5x{Iwgi?_BMA{XSjc z9{EcOEw30*0sLwPLZhtC(icZ-D1XAIsns7f*Rg2)n`sX<-LMf}UwbJ~MBj&d9VO^G#mcJSr0;!tted2}m z(z%jo$73mE8M3#jd~ikbQP_rHv4`65{9JB=vD>Y#q?F_n?sVUbg>(6owxOv(y!SxlI8ZQDW+$qk>SXgFGAFMjFRBZy*WKt z`zhdEI2~+Fty$3ZGG}#H_11fJiEXCw-Y8yD(>TvAGgC>i4(p;gz+2N^F1!!X=J#?h zrHdKr{@j}nGaAYw8J8mM+zHEVDY#B^lH)X{>eZ$B3-1`&Dy-iC8(X@&sZpDVO2SJL zcQ|r`ps$M(WKMfg{$bzh*`u?w4=u{+v*jcgK0Je*tUM%+F^LFPp3=4As4&g8(oy@r?f!IW5v1vqxdBKDog|B2`ytvqfX%ag*OsLj z_bULo-F#cw@?%}{6o1pJR_3~@tYX5z$K3J!zJp@)>t4FR^r@kt0l!uIt#(k7vw%Io zoqf(oyL`6gYF+3&>)awGTYt4odTMIx^={yyW>BubAKjIdp8NtEkR}B7j{W4|v-HqH zEEtN{)~%`VK&}3P`X?=vgCR{4S1jsfiP26#zVe~5oA?|&HJiqR=SZdO{M6xN zBXd})n&F822**xh0HStzx|~QPUoGa0QWe>1PgVAt{G%C?8?d#W9nni;@viSKE7+C)GBBLKi5 z4CeABBhe3Ws10+KFFDXCzK@mxj&|L?ly9&5Yo;ZVK;B3}cKuJgp%udXUXt#QwYGf~ z7x(*nT;p)X9Kx<`Rc2lu-?!8zDqfy%Q&aoDi?jdc&xYgYU4C_9Z4l>T2z8+2cwHT) zHN}h)_6T965bEOkfybBR4Hlc~Q^v)y zVY476e@I?2cTRkID`!Kdip=@OHT(i5Vqv6$f{Z6M$7GXFTkiq;UHk@*=_cIpch(z0 zmR%0|9w8jAHP8g*Z1mp>ALt@v9aq`}=e3<5^M_F@FD63CJY`oRVgzX^4Fv#K_`v@2 zIsow*Irn>pehGweQ2y9IzPeTgC<9(BP;4_$SW4B2kLh^#6K24voTqh-Cqh|MM!C`a zKMUB{)2lCbw5b84zD?x*NGs$t$@9*U;qj zx~l+ZAiV;&A*?9#{@4vGfk+=S{I}OX%2eiR+T4XB{jrNDGll>81h}sD#XuoMz5-ja z4RmW1_H?4I@!!AiHGb=>AJmcV`Na!YQ=5)`bcaHgNMgH2EEZV)Bh~netz2JeFA(#e z!(@hl0`!MUq&WNM*b)v^N}h0Z{7_N5~mkjeJ=w~cp`Gw zlp<3d`O+jxzT!g_e7@ANZ5fo;w6kLRS;Y#{b*29+?`h2AfDsuFLC1k z$f$^%+hrTKDE$hGw3I`nKwmfdXXjeNZi$ISiIDqJ#L>&hw?NussD&eavc%T`-|HEY z^x@)nbVzo1_Te(;HGiIwYxJO@0a(B1i$`CUxc{rHP@hXUhA;esW*MIU4VqC7|bw^E6!XLN>cA^+-WzrYXJCw`Jx8!l(F8&P|( zOkXu9?LNYY2G)i#a`F4&*NE_23b^Q|o&NKvkrW=~Q8-nu!B8aS{&F@VfsPQi6jnt| z%f85sOp5I8aHYMVs@(WWYq@t)!dHwbOKTn81wE@-Yi$M^!F&wm^E1J0jM=LZO_?%% zT4r5vr2t0yBHIV5)Vklo2)Jaqok3RjFxw<_t&tiWpEC$CE8$#3x> zKj7XN*#U$8)8B3PC0_S~fG37(R}kc=zgG|0h|%yVh=uMQSjI*gAdJe4?~7~4jx`0z zEZ+2$NbF}t;nB){R74np$9P>e@%@I~cXHw^1D%nw%xr*%!@TD>= zhwUoG?nx$w4f18Df%2)?g9yN`A@#=+o2+cHQUJWScp9XCnn@hQFI5*2smeSVh+J&J zD;GDQruZ{Kc=ojYxn9{q5F0?G&LamL@UpIIP^I%e%O=8f_6nHX(2Qb>%nyxwpxuXRX9T6VhZqZLF2+Ov6n-?f(Oe2_Q~>) zWtc0-z}I;5;zwW&&A@-dxDq$65uJ$5+?4~%T(S{OtRQgFlo@7z%7h(QXRkzY>EEq+ zB4SdFXk%98yB?MUPDSpf1YefO#C`%J-kbTq4R-^;hOk&4L|&44FR@l6xzdad3E;q5 ztq(9T|Hf&Vcp!mLefc*aGB}g+KeTn!|Dttr#zcxtAVw}B&LOHYAI8ZIW^~LQm^@>U zatKaXB!}mNrta^!9!g3+Q?X16KknFJ!?xz~&9(4f2Y-5jIVFg`e>-eKOPT&=(~Y;q z^KtNds=phJVQ&q@IGsT_+Y5p37x#I^iVl^&7B4xq)^vW+`NAhdpC_u3Z(h3`f11%7 z)D9^YckaPs@2fFO{qfBS#EEx?c{CP3<7d?h36Z6a>QHphiFGm4=QxyT9X+}Bqjb*0 zsTRd3%X~GWg=*oN+@OWcpxog1pdCUT%D}&LA(|m2T$EdJ_$11<$Q5i4id zKhGToi_B6W9HA>99wZ;b!9bcqfy+2_+ zg>uLHw8k6<9ODoDU@Ns9!Ox#9goI{+ePau(P-Lu2kZv7kt-M$88SigK^~Mc6>6LF*kM$%%V1CEY_n`IbrKR zsARrD?+hqP&yH3Q`WDVaz0XPElT_}yHueX^RLR)FCQ4?$<<9|!-8*)GE!S^ddRHft zD5}c(S&D+-WLs|P(!39~S2oy?K>Xqoysz{&;Vqlvg-e&cFHl-l#?Jq3^M~#K*X9p9 z6F2Msd-KP}fq?V(2Sd+SplmrIkQCzq~d0K-Nj^4mH+jW&hKaVq8>b&sP?}Tstwtqxa4jXseIuBdV+^u+1FLP z|4Ij6k^7iWfA^uwwxF!>`)()@ZC4B!5lxVV8P3 zKI|V8mKxq6*bZ(hLsmw`G<4#AuO=!wye~=@=x2I=_456Ns{Yt-2WU*)=ET|qlSGJ^!Pism2YUZlF)y&j_V~r4nZ8wK|kK^9NPZ$^ls=Tz53ZLT<77hS2K0} z_IQhAK-6I3hOS9%ApB;fs@*eg>lwK?PIC;_>CfPT06E@Q0N!p_$m~&Ae3X((fL{xJ z`EdhlsBhrVoUn6_5S$;76l%fy4q>(>f}w{8L8#+ox%~Uys)-JUXXmuj(${f3r~n>G zM~i+A!>J%!LyfzkYya)oQGTif`|`GxAfd7>UaS(waeTFA&cI`L78W^~9qacn-VJLM z=mI?S5`(6VZ4)PMf3fJm%&;s&5apV8e!6q)@(ZoS(&kSL(g<7NJ{T0Vd-@&qRVEHM3EP+Y%qg{cr)} zi|`&#d|v6G>tbtOmC9QW@rIElmM)hSf6A(mhX=TszG8;79?ucYj+?g*Pg@P_jxjx4 zG?eB$7tJz&nHEX9H(l?HFsw(!wc=T0XIlCD2$^W!MR2cg)`9Ga{|A2=-Ru}rYWV+L!Ft~x$ z&uJBIt@5#w^VIJDKHk`mE3wfcQkv8?OYt6&ISgj1-VV1YRAxaSQb>)Z0%ILbygS?y zc3`#>tbi}4gLJ+O?%VG5xhGgq-pCmtNC4AacOyI;Z<^zn)T6G@k0sPzB?BT~4^4c= z@i=0vdw}@_LQzj)s^$UA2KZdJ)fuq#$|1nwx`KBprXb%r1}BM0G~UN$yFYbQL5a3y zbJ`(kf&s7N!1))`?`n5&>e(`4K8wb;J(Mzq#`Bm_AK_2ojHt+#1Tt&qm|5;XW%aeD zo^)}Td;fc$B=KB$o&_y`1T_x@+sba>8?5$uyjuNNgrO<^`7>`~g_X!yrJVqz0wI29 z(#Q|~ze_~0FF3c)Ewi&b(47xI_{rmsgtpC2#vdJ4?0=1Hbq9RjAS;~{@UuJ?f5Q6; z-Q!{#SsCyuwUF}U4ZKu+x1-(X50G_S&N1P++7XjG;$L_CBc$#H{q+@1_1uBMZ=#{T z`40F=+C+kUIOS_i*$3}v+(daMoeL983}e_Ft^o1dzEg@6Iw)5m9x;gvh3aN5`@t2d zB*0*#_!Sx3i05fXsCdYRXO?mI4RM41`dirr)^ilwUnX(bjTIR!$2te9c1es|H(%`h_a<(1 zf&Kj5a9~b!uN+4l3Y_AoPx<#jk(eX1i}52yuGPxXz=d^?NqM(wb z9srlqI2$dMEDAEmgwm1a?#YUcw{N*k0eoX1>usl%!|IP`a}B(>`F-Wzyht(=RxzO_I&$ zq&w-1zN@S6H`z^Y99>+1drnOkX!fIBV;%dX#^MXsVDDfmoO`o;);;TkN5a?myqv#1 zd|_`5B&C6SIcFK|=N-(!|NLn)bfoS(Y(4m;ul*J1z?mN*doL4FoY~8nO2se^L-Cs~1^hbSttCZTBl#q_}EUk1<6p2pn=`)o=6)f(Yml>@(s52p7q0A zy779WTWqF6D^piR#THNEU8wyUm4t#@Rh0vm=NVChfs+c}@Kq#HIlz zX#5z_%XVRKGAxz|o^#jYqbk1NDJtkG7aN0XfhI~)FoKVV%J{32ZXEcT=f%6IguOGy zb5zP0@4z&)J1|SVMEhuWW25JI{a~o>iXlZgt!l-k$^) z;u5=4AsFbHVAd1F*_ygvKh}t#-Pm^BzU8+8Hz~TCL^!NBB7%5|^>j5+t6F3>rZM&q ziPxU2!4CsZ9Sh;rTl;NW$^Hy@)Jo;{Xj15zHs$ZIm9`sdeaQNA6#GO(dT)@&3#OU2 zC5===ycWm`dotpOs!%G+0h3|~R|J|e!4JCrD2}?|ij3AuF;_i8_(JH^113VBvm_D0 zs*;gu9a5t+6$G@(wWXy|Hb(N&>}4&f#+eg`GFO(+ss_nW-Zbi7?9@p=8IDapYE}D6 zmc+p){0s)vD{s?N1CV6mQA=8PmLG$@xTbkZJQT8`nL=d{$3^MqQyAF<&jW*~0KzWk z{HxyxWq=x4j<~xNsCh1olyKLnRCt9$*mQ-)%g+{j^G|ZG>7V+xCS_m({<3w^hOE!; zf{k(|&*%rX9rUV6!9Kl*cvG!lp$8>;cO~zymWRA^7V*3oexzO~B|pT(EV6`nnM3z? zU|bH~d91)JPSj?QEcLwQZL0lloHP0zouaCmC$D~m-t@Jfa*LkJG5?Xs@(iH~sh0%9 zHXbVi#O0cWCXaBi5975jDduk_1$z|4HnSnZZ*AVoa_!NC?-bja ztA{f1j7e)0iv?9vB*<{;kXY1b3FM+wDXR!;%#&mMV3)BDS`2YJrK-v?XYWsW_YqI+ z%&+s3^Gym5pOnOFyuy&yurk$9MEK2_-+V0 zE`NimTSo3P_&711vfyI|*l{IW)3b*{_PER$1Y+>ZgEtTtu3`Pc8F(1enah)t5yexa|HU#3L#R?ly&?kVH{g z1)Yz)T6LKAg-sC`uzx_^zTd?+_+^0aM6!KA-4&Bx1~EUYy_yVrol@CL?o|or)GY|h zuWFlA#%9Z*$c-R*hXGGHqKc7H`xsX}os_*#ou(i?q>iLEcb(!H#_B3$tW5SZ!!B&$ zyCUvMgTGhhPrU;ssYzAyETKD)(2|YhaTu3<3eTw0dD}c^9H4V^XKTD$SnIWKNC?Ov z;}}&H!6fZbMe1YY8~h!VUq%pC>=_lxx=$^*Ggss%Ol)_cIsR9$dO>JBHot-Zpdo9+ zHE;2!wExnMU=^6WX*r4~EmERF-YrnJT%xI>vVuc@E6;%-`!U@N^IcV6rxo;;^4pAJ zf*?H_sehqbJCZ%K{3p~2#7KS`T;d;rLX?+z72{jZLRHD7XzAOQ^rZaviX=+iM@Yf? zrl&4u6UKni_#chFJh;E7yJJQ@{%Z>aqX;W$DW2L2$$t`7zQ53BE0;bc|LJ#RduebC z+E)~oPySP|{{5xFRYvp^Np&va50Ix=J!ARAKLHEhU+_;F6hGgC)u4r~Z#m~7R{5z* ztZuPWvcLPMDKw038MlVLPd0?!)U}@iJ0z_9Xhl+w0D0ksnNJWLhx2=M!HJ{r$C}c+ zm9&}SbZzf5Cp?sij$y3wQ(V(@F6~DsZkvPQ97v_nfyCUG0a`^W&?<6m(K5GXe0jdr zmXrkPRmf6}q^2xDXu_Q>IO(Q#k!a!p_K##L_c5`9HbY!taen_`d3GZWV+5YbGsV@f zG?0IN5=aqty$IJB5&}B8%)Qh=J9`|6#u0x-hLCMW2RKR0? zaP?}9EaWL|La_vPBJznWd*h8~;Bkm0lQjlT1Z+a7z)5{{dw}N{w~9R0o(k53PL+e^ zBwwIk^kUM_{wzG9)v;YrYiWo{1X%W{EWkaDuKaEA>@g{Tn>MIV6qxfmAhe?_K42HQ zV)M2(6ba_lv4oEv_)Dw71E@>8>gv^y>;Ev`&TX|a)QEtsDkE|-O04^^8L z*Wj2-cpVgcfep&EQ|)9FP7>cT914fvJRbqLSwrW>7okqbfU(;Q^U)51-+UqP0gjx%cyw()i&#*xaVz>Ut$ioDkB>F&lLpTF zPP%OMYd$7yl<#jm*O({{J)IkejA1_{S4Ue~31n&yXT1ZOx-LHuofrFPN^KSwpG!;w zF2EHbALq?c{AXp(N@u;TvvGQ`KyV$eYjTxDhX%8`WAe96392MR@ql8c12H>kN9sa4 zC+#x3>>Er7hns}I&UI~8$c!cJ!Hk*EwJYVr0uczBAIh%Nb@h{1E!RUS21!-lADHh= zAyqp}bMTLl8t#WdT9T&TDdw8nPQaY}@$CB5yj35)S2D_L$gU~hb&qKjFMw4Gd7Li_{8jQEJkvKk+gxGnRu36<;_j@{$dfjuq9j)u8F-BbU*Z%T zdREnHt{EDh2^sR>J05c8QVXnMN@iPfYU|<$`%s0Cz!Nkj(>^_7{qYeLM|WjkVo-Hyv}Jg1e;=Ci(EBUNnQ5U_ zN^S)D0gq-^&oZwb0@wL)Hgo=`!BtExdygKA8~!Ot`tv8>8_d=ho?geRRXCU7Qb-|Z zFL^61o@AJ==1wJkoL|fqrtObS9bh73r9tH38_6f#uypQ=LVy9nwo);g*;Hky^}8Uy z;|l)&7BXc2A0b0kHxpM+M>9q_D|;J86*E&;T@qF<76ukJ5>{?j26j#oHWqFMHWD@_ zb_OO+eG*0yRX#pOO%f&n0Y(WDHbyZsmw!Dt{vAPAVbs#rA>rUAVdDf|>XZD7H=5c1 za4@k(gkxr9=lCC^{_i>D*;(0u*obg0uFhu0c8G8uIg@(24uqUZ|8mIB#7kig5eLWwY{qj@R7vT1KJRO})-&&LPQ>tn*Pn7xPI(bmo{0GmCY-C&yCYjancQ?ZED zU5S3G7pm3eb>~&AsTq0O%+bO2yS;!XbhSfKVu}MoCOgkz5US` zd-6(y(jt5Hl7zEftyGip0)snRKk4(A0JmYsGgtH8;YjJ~dD3MSfcckIj=xtCDZdt9 zE5P#*5}2b5=QZ0;`|bYwOoQsZpg@x$EY;3ai=w7R(mbH~HCeu%piWACe~>s|XWQ}@ z1AA}N)KY9!V{NQVD&-ttt}+g?2&5PG4^Fd3u+qov?)^vR%_67fA~MNU<<`wOFCQ>C9a_1G zT887kzRD~o96qeRqx@V71qvD9M`r$v>r7Ks7!FmMS+ z!pb}($Ew6uMw;a1^ZZZ^7u)$ebtIqCuCxYvMVEc#B%FRV^HoybMJShu6in8BY>3?* zvg6%lw0Bpy*o$wPWx$SFPg40ANeKpVRAO7eCYAo7=8R4jsb#ei4@b5HKZ6rRAt*T2#CJ)@Nt6tv_BVnf-h*|sdU2wQ#M^8Wk@P3{CRIWx;?!xErVL_pf@1%&t_hSo5Dje0nyI8IiGh1eTrVZBfaq&QHUoi zd5rLbo`VC;UdoR=_E@%P&ZjXs)2d?nrY~XJ!Xq#GGOD&N8Nae$T(m}cN+xD|bS5zw zbN-5ozm`8AsE6`v`EW)ne3XaxoR)BULb(*R-o;DXP#~sfq1(X0fM9Ksc;y#&*E7t6 z{q)kbD;^!PU|_<_yR>$Eg11Mt{)hy=O%pL}HJ*yQoz3?YbGynE3&-nBC4GH?1CMxP zIV&d3r6-N3-!klEo2OGU%0Roi37a~7IT^->5O7!Ee%*0=f$M4MY-{n0h;Yl9?=ihF zt)#fWqjKP=laB=J%qQupLuV_3Qm!xIpPrZ6Le0g1) z#Ip!dl_?|MIkdO0o2E*mwe8ZKz9X~W%sZ&@2{I~Jn}@;XwD=nGF#L!n^WFSS>F6C( z@7^1xazm=O!EA{c+d%rE8~5`1{R8&t*9eHB%XD(Wu$l0aI>MsS!=WlyTE!mdJ~~?Oqvr1aSt$4kEmtA8;rHgcf;0#w ztnkqWPS50MDb2ts;a-DdJrS5~JU(b(bZsgPldFEq)eE3yLOHt872qgo`#F}_#5>>N z6|DI+#t^(Y-nMGz{XP<50k3JFXNW6*4+@^Y zv~A`C6SxUxHJbYhN7Br5xMlX>h z9(Alu>6ub)EYz?rIX3%yMu54zP3ORtb2N+*MJq6Wwfh%R6I{>#1*$jWX!-!reDyCo zhQq7Y1|ocZ&!2-653j#OWwJ~Y^mWF>EyT(aJ@SWf&lpouqGp)bKKia8^koUUpdNk{ z%*TI+A_mXsgsP0AKmV3s1yGKKUH>q75!>H{VF6_kU3djI3ga1A@|-5i^OaDhdAKT# zj1|ssK2Bd<`JuxgUW+7Z2GZ0wV|;e?v;?F6;J=v2T!A#V!0NlFX2;vp3@xTP&YC7U zcnAE0g`k9)aAFiX1z95!g11o4fo+Z>4|oIBunxq=rH#!dk9tHUgB7|@_yz4K7?PR; zg7_q=)eUaz9dM}50}?6O4!qq1hk!7LT+agp#?f!c!6^rL92&q3;|2bT3oQ9}R|{iy z7q>}gkk!kWfRgD1Qi{-M4Lqk#TXQLaA7gqQ-cck>|3?m!wdk|}2qK6qtRL*Q0RnL+ zladQ(h}l1Y0&7{hIY^AAN03Wst+>FvhjFcc5LBk@bMf&^D?|Z)Y$xVMgLu)Qb5XiN zHchK5k(jIsR)aBWI!^G%6UxaT=(Oh5b5Z{^N|mURo`}Q*w=o**!P5dZ$7sB!hewdji)PQ(fIcQSc|5X7&8vXAQEz6!Y#lKxu@r* z!OmKr&xNt)1}xbiU;(t59|W9I7(tw%76iy=6#X@+X{b{}k7!vaVa{+GQ0r_Djeu%G zBglEMX8xX=LI#k)rx9yv_}XU6v9)$x;OK6+>4A4zsRi-e6b8V;&7wy9D=U{%^k%Eypvkot*P`BYCoHX! z(Lb(2E_g!AwsDUz%b6!L(YRj2REr5b*@WN7 zWwv2>DETN)FcVEc6Y`xc$q-V0=St{Bo=tw$0^&z$|F>uNRjLn`X?{yw!(X}Tp7xB?s>79(RTyCiQu}THm@|3vn*t+} zh0U)5qv)j-FGYURjar|yC##R6Eg9|V-(=h;#V2sw_YyI9Y8aq^IHqubxF#JxY8tHG zgNsb#3O6TkcUXeV!}M74bPLlF>YvW5TQojFeR0kq$5bUUDH_A~2dVEweC|O%NyCN< zih0o47AGPbPnKvi-cH54Y?1UUk^@(4WXeWvjwk&(qIw&!^3?my=Migk(ai<#^h+d` zK%${bVj9GJDtaR4$91fsa@rj!2KKZFIrEJTu{ND1eaw8JXc?>v{z9vUEZn?=aF3eavJ+Zf?1k83gUmYXE z42|>X%kF$#tK%3BqII85*{uCxb49HLWymW;`)YYNp6!p6BkYn9 zR;t@qE;|~;j+`_df(c=bBP66lxdS2K-andHsj|jAevP1m!0 zhehY}Ye#G9jq;$Nr6 zDq5b(1K>7U8WUDro1AYz{JtKnF1WpypnqjcFsw1O+68H&$B@E; zIiYtlg>lBu%9`O_w3qORejD`SX9Op@sm2^XQ1W#O)efqf!dAbmzGS6Mc^DrQE;)VQ zowEQ;-4bYN(YUcBwyd8eLvwNte2gSNJRN@I&5%u~GE!ECiAb*7If7S1zvT9y4;ytW z9Ap~s>57crp-ifJK}^n~A?L{4cB;AnB}RikNQWieoK8L8)m-4I#Fy6jN-^0a&yKV# zk>2{WFjZj`MJESMYLR}G#J^2`98rz-EE>_}G%I;`u^&}-@!&J&%;!YU~vBPjliFD!VX7s}#;!1=$f~I_v)KziOfjrK?Nh zGIdXoip#UaOT$?qeW6nJm3Uc=U;^^YPGDIm)n}kpXhvML1G5q&YvfduEQI#Wlt;)D zLEYR%g>VvE@LL$kyJg1VC z@T&yb%Ks^;zi=BAFZ-`b`?5kjOZI8q^PzsUqu*f7=2UeIk{NoTCug=SBc9?P+<-ZD zZZX37R|h@8=QEKYb7#gWmqNyB+s^CY#qE2XpQ3q;^&jtFLAXmjsUt25IZhW-iqsCS* z09l@i{rASeg2fPyy&IjTc~sB^C2?d$6#j5{B9`z(j@wM*d!86#9;|R-QMwb+)vc3^ zu2hlN2qP{Icpu3@+4|N200%T05*EVREj@o6elkpw`R?$Jt5`C+5QaxjP5f2?=f69j{i>`P(8ak@?qsq zzmhlN!(#BUAFo}!B2vHha7Kngx@X~o2fDfA_l?dNJ4;En-5|X zBhlXVg9G>L?#}IK_Ep!*LH~h^+`~yWrkhIfMWo;?E_yUKFC@cd@o7KPCh21j#58B=_ z%(5oS`%c@oZCjPLZQC{~?aazb+qP}nHY=T#_M7+2)6euw_uVu7&eQwLxlUaB?0tS` zt%z7>?SHKJX)CXh%l9Paxb zY$+z$5ae~|9+IDa%H~@Yky&`hTNckd<@E$tShn)=s=xdZZb9&y&DqXORGR+|(^%A1b8k|I0eOX@b z&~=vYaHkqzgjKJJuEZnf<~c4eJE55{7DWv?u!!WJFe;qD2s685DdQ*^on7O%gJAIe zwD)*4Q@=5iz7wA^Fw`!|z-MG+q_zR!!ZmjKPJVY3Xl~-`G4 z$Qx!UFA+QRhA3mHU2DHp5Q6$HlW?k5!jI(fUhBNk4unBLXc}!QeUzoZ8iq6n^_Zd1 zXuIGb(ru;e(4bo>-%XrPb(I@MeR&Rffu?X+s4FEE{&01+|N46!tZ|mwC4E_mu16Xo zgiW*I3h2B9r$P@Wu)A>h_s~f5!vG;qc=~mh6UO4XrF!zrc@@xn?|HE6j1>X~?-12& zah`CVmM^&@rH~!Y*wV?zr&75Q(wjLu)a)t`5lpHjileqV=LSM%e2GQ3dPC@Fj#J<_ z(eQG8VxD)KYMWfm>$Ro5)04iIjDoN=Vkf%nk@d|x=3!Vc%WaM)LFur8PUQYEPKneHQxqQ;G>ODCgwA8wQlpTPqDwJgPOu3~ zPzQez9_&c=s*o&Y&4=K=;(J#Mo2O4D!=ZYf)ik zjkB%KEGRl=f)Hl%^SJY&52Ifr)R79Ttqg`Gz?S{5>SiS1U7b`iv=_f zFf^O5Z>&x{POOy_Hi)4N@Bt)8@>RF``WWKvgw2ymiq>B%KdNHX8*GOLw}~UkWrL|t zw&XjE0EKo4VL}_xH;g49zR6dWOz%w?s4SSMn;wCg>2*-a7Hr@sHpwtj4f?4Ob@r9M zKB()C%x?bT-|)Rh$cKGcF9=vUc+f1izo|+3GexUPGbvFaCVAmEfHS0Vd%^2MbmJW? z1Xa`So!=7yibn4T3T#@lDZQ`&_SzciOxF9j2!@7!bhYT5y2v{#imLeUCV^_=E~0D} z_V%Z?GCf4K@#1)vrhV;dcE;;k3xG1Yzk|C#j|OmQ4<_9Ogy2={Xktxaq}$aigjxip z&ln8Qh6xmhx)X8-u)(@KJ$jbp$)@iWO|)Od5MvW)`;AG76u9STQeKwb-(fR`9Y#FQ znjgI0c$pSy4g!;lO`S!aH(w!kv{3e^Ic7ago$G!FCWcjF(xza{@wz%O?3o!2Hk?0H zEiR;TsC3W_u4a&(eJ;1kb>;W$XPAEeuVuL@@($hj(O|1^srH{75#PS=3h zXyI7pj{OdSfN*wKa*PM+1Lx`GS&Q-^ndV%rueow8!ZYyp{RwFa-LjSD-9)XCDd21} z7S06+(fc%Mg>9uGZB5;hP!C423ms-ls7SJfbB$!k(k5s|{VXJ^M<3RGYs$|i>{&jj z<4RniU_(x&;^rdS)bmWOt!gL# zu-YbO+IgCzYocVjX_v3d_v&UM+vGiBv2NJ+Opbm#+iQ6wp{ER%>!Fh$=5uH*VD4Ff zl81K@{?fCt@qOhmGoS!!BX_c#ABlim*!sS`2z1u}`Kxh$;E~X*B|V$9N2#vAl+U&>dKp zsbI-UxiMX8ZU;PSNxfq1_!ee$ghl#wR*a4kZR@>L@co>MJ#5FuY)k@#sfUt`X;nZFD&d76F|bak-}Fb_QdNK992 z?j-P2XlqLiQpZaa9;Ml6$Dv5NrK-$$bRv&BT_jtnBYpW!#K_t+)1SqCoo%c;cW|iCB!T3XAD3ClIBlHeeE=sUz z&wf;pUu~1(x7)Q9f`h?Rm=2BZQsQ+>P1qju?~R|*kidu%8b`GG#U_NmvOy0vmYF{5 zCxerkqAtehFk1nz5`r5bXCj-G;~g!8y)v(`kR-QbkPF6SSnc-P{TNVpJ%nbchs09C zk`pe-T7)X1nZZ2?Lcv`A&kL&8Xg4w0#i&O>Qee>k?PcLR(qAmch0)K@EGmII+N zO&eECD2aOt_?nm)Zvc248-!a+fXC0)<_y1ap8`Q}ria`+CKiN^D39F%puKC0^_5D& z>5+q~C$4KJ!`2oSCNjEnBufv!5N$L%A#-V<8rlLl$!`{Wc+tt;Oj6uG9rx)S*2Q1_ zx;n(tu%pfBpwt~PzJ(FGsvmby*{1UU_DyCSdbE!4jMKCGH?E9trrFbmY2SjbTl#zb zW&_QYV&9+w>&%-ae4+~6V4aA0$)`QbTK;U!d6DY17iT? z4x+im0>B>HDBBWZQ3z`=x)B)o)3agAHrY)o<`&?okeE~`b;fzZ#AgFwnbNhz!`0wn z(^IZIXxQjf5M&&o;fcDSr@K;&`5x0Voa)Uem(=vt8o|!QTZv9<_3Vfkd;G!bvUP$0`afkvq@fhqS95Vr1qrIF&9q{Ief8?Z2uAJq~db91dw7 ztq`e>7j&w_5z9iwlumyj*2YZCXvLB~m;)s0nVgAK)KFgUG8fBmG8r&w&C9yaqy$=U z*nyDo$tj42ZEN@YtjR8|f{0p8v4R5^O9MOU)V1x78i3<`H^ZQw=kfo+bF14<@`E9N zKfrO9R@z^{v>p>tNgm-Y_y~Bb6jMaj@+!eCoQ>Rs@fhp4qWn zb;y&v)ifKUx*DE!X6yy^!n-w|bX{ZlcpR3Re>*W-ay+apP(6{YQ|k!a@Y$hRBiW{p zt;+OCh+T|YW&1EeK9~n7?YE7WkF3{2Dz31Vfr$*N7LZA)Y@-76JEN8Ar#Jdy3|Xc8 zW7m!$TfuUPj+RYi0J7d#T_a2@o$BzQx+-(i&Xb~-l#wEE8K3~JlQDPaxZyjix{jU0r8&QBi^?f%Lhp@&bNq1=s#p5 zFY3KuQy7zrR@fI+krL%t9Ld+LO{iZH`35N7=FhggDn@H-^>VYAAZet3BPYk`@}b=1 zUfqiD=U}vcZ56)gDZE^nr= zysi>X$v|Z?JSgA(YuF0XPL=YGomTd4I+8JcRmZ&{ZpFl0_WENM>Gqt(em4eZn@=2K zQ6)sIRPM3%CR(3CBVohGD4Ja|CSlVBY1$3IXKp}*PV+1oQK#foik4&78I~@`+Lg;( zul;G#*%p(X%tx~1Y~wq#FfMG-vJtP*L_xI`bgQ zwHnhR14al14lQ0#u3gVxX5GY;^(rtyWRkoq)hFEstdg~&b`M$kePE1#d81q_3CE_Yb-8+|oi=Qp{dU#Y} zhn}}Wbh?j|n3YoWmiOH@rY~uqwak24H*%dl+t*G96TDa8)S)dwqGlPZR9W}+G`;=u zfIqx zk091zNit0I2sLxVIQ%x;Kktqj4~9Tk@_o+)=^{2qcB6I(9~1BpItaUzuO&Ynd(S{J zcXUoG1;;);we7pI%Zb0U4Fb&!hC<8g~>A)(|ZS25qNXTtr@lq37$_ z8r%pY+sV9(v4yc?wq#H90c&}Kb2m z1qlbk)e)>bxGz7U*&MdAuV!;IB)0OgBjou=1z#dCHv=`c8vO6Hz7#ka%cnzI4wlkc zK0i_iW7TxrZl1GGAYp2|&p%6o{gI>kH|gM4&g$BUVf z%*>q$*cs@B?5yn^mFx|SOuqDqt`tB@+OW#b~g5QwkEdD1RV4dw$3Jw z_IB1^i7^6G18XM}dL;9(2M?YhOmi|o$;4W(!|#6>xL{G z|5>DX(Hj8pb@b;vD{b$9r~v-)=IIyXb2j<+{N5ia zyZ_N|@aNFXjQ%|Eu>Z#RC}#u5{s*HwE9}Cb<1zj-c+CITYyTeIKkc<_|CaCl2VVQPaQ|UI82m3d z|879&v_FUYe}U;3+5fZYjPx-;^Yu|+t7s_ zjp_fvK*RP&`G$Wq(6IiEKmLcw{;JP?`Q_g~p0GkJ|D64=i%0x#+5ZI=WoG%$7QN{G z5BPuc*Z<3m2SzjX=a_#jc>ixP|FPfx^PTelJdpX*spNm#DgRnm|G$L$7ntz>XQ%vc zAA$X+*`J;BFP)Nw`ENVrzkrJQzpPQX=>3mb0v;UY|F~r`7#`xEJLNwW;4rbU`~{Jj z?QaqPd9VCm1UUG+Kz|N3r{&|3NVF8)*m(gYij7a`Z8QK4- z0Ed(PFJ@)q{9CjB3lN$9CU6D(BZm7<@L6puF7WShtGyW(>7Vocb%gWhu_7ZI88(B1=MQ+c- z;U8%~py{>?adLPp+BW*Ro9&c@EZVTF47G<+tQ+UCdG&rDCH>Ng9_V{Dyd2?v3X7?I z=S49AL9QxKhEhv674_|W(o2fY&cMVG^HSUE>msl6JoGAr9?$HUZyQ&g-&H+0oo>G(8UvHv$?;39@ok=FCvDpNvJ(wscc|b`|gB8?zxEE^!X6RRGTb z*k*ni-T8U&^A<(>fkSmpo>>QDnq^ULMoGp5#^M3oC+4I>ZI;Ob zay|uf7C;o*cgaqimdnSj(ECaPeQG!%uo6ik4i{|b;cv!xWJ_~q>LJ@Pp~;Ob2Zuj} zo1hd!nL%^@4|z`HsABq14=ob)F~p@uGAgz)#bO!WLGkI z$A6Ki8lcw%uE2cKQY$PpGcqgpjTpK{dl<=O6C=eyL3l>kyFy82QUE;s{dW3C+%7>ol(` z;2BgFHqd2#7)w16b$)3iw5ezz0xP}ds10$#PRfGCg~>vS1=@9uetY(7vBz;=>)Sz1 zh3Dr?^hZ!S)}wuZSJ#?x3ii}llAmeBI7U+1%ceB`#$_7_IX0b@w~sCuKJYQuJCfil z+Z_-(Y}BwiSlxk9fK%YP0`{p0`8qH-ts4#_atPNH{ISsSt&EJ{DBgHV7KWU~OYKA~ zIQ)tYk2wO*oBQDC+wZNO_LZMU#k&;Av4svb{z1e!Ta3OVT59=oRX+mNM3|{$7>A_Q zrX2>*h{IMS0#_@ugl5tV83T8$^PV8U$=qq-cbb-zG7b4*XarByCu-lkbfSvor>20P z#UWemDA0cx@_v3F8;BP%r#OuSr3opejInh^bjUrT!XVh1=uZOFoirA1 z6Bre$|2VCHe;s-QWIJrNs&T-Z_O-xH?7Iz)l^a5%O(vZm1r&rxx+2ZzR|UuQbrS8U z*1}M(trsx3iL}8onkpOgtH5MBK~}rHC*DtiX-M2n1Zqq74{ux`M(<)o#wP0CbMk6} zmHJ}uK~lVSE?WDYwz8l+do*bbeir-T>0VAhF}WZ%x}xaj1U5pD?kb0>P7Q9e*Crws z_0Vvh{lf%&yo{iF6QCD>+TEN^S&J8gL^AeyPjRUEM7d9a9KLT9)*U=!dd@V;>6eon z2djbHp?1O9mekh+Wj0W@5v3)m2I@2fyCr)9xEy3swB3XUbu-Di{Yy99PEO#ZL z*USbyE0FtsIyk!Vhz#%&R3-}|mdAX1GuAw&-Eu-4iUxK_`~g3L|B zJI@@|jY!7QcMKl{vLGU+as=IPFg)J5~?ZFbM3fqcQz1Aa` zzy?7_#4R~mXqcFUzvUwGbYb$8rV}QsHdKSL@8Xrd$f^KKkSFP9p4xdMyd~lG(E$?w z>dBFnM^UBwAV`fggHILp*>f(RN&@J5O*$K{e7uYYq77U!Hn8m1*Fxf=!jT9`#3M&) zCJU=@Sw)JlUe@kA;N_A^C0$S~oC@xux*M56(HYe-uubTZA6qi`PTett!q}#*|2rjl zxA7OBMlP@>M5M(9-<{|$Wisv}=Y^{>Y$ymCENY0!>M}*;=ju|b$JdgD;8IrKl8wz( zD*hkC=%HIrSy7#$tsbgwQHxZ)9v6au*7&%B(zA4zdAyC*N5+o}>K%TV}fqRFf+xzbk> z`}|Tp4~Bn|LSg@J|DyhlcIr>WQU8sm>hEf&SXt@^>C`c>unHWvE}CTK6+{_e!pHtYXsq1(rr&rzPH=<#yzB8qR# zr0$uLZ=Z+$U{d9EIks4}8P7cu z((xlRqWb8r(tV-BaJNNFru;^Y*HSyTtNQngkxiQ$sJ#J`FzXBDlNR4%;b=1IC1bWE zT;I{+lTNmz680q2J?^uzPAFGZ*(&f-W!`DEXKAP8y}#Z@buzzm$@FZ>SqI)4;D$8e z+)%`W8vsA%8l6+XpcxO@e_suRGs}LfO9ezEi-^Hq6qbhtj6Ir1nmCB zi;h@A7M~QwvMKmkN$5Hs?%|j6D<@%A8Dk>eFDhPLlkll)`c2_2>YPVSkYtu1};g>u*h&2og2ptCSq&Vpm3#(Z{i4 zoi6LLo*sGhoVpZ0XM0)2YxBr7kKX>wSI!O53_F3kR|h!U`*~scMz6A6e#Mv_v6w>H~PA(mlg9YQTMsU`{$lP5a>SAt*x+BKEU!~%E zCzQ^T#RR3^8wYQr@oG2socdDsF^)m%fRK!Z^$C=Trg=Nj zP1_c1h5YCRS`CDnkU1ljKTU!Pr8gvhut1ZJB&P|^BY~)qgcZ{`?|Q|bPl`A&45c(j zf=Le|55`%@E~7`21C9cUE4P~Gac`&Cv%FHs%j;ohV|2@`RNv)}{gL zndlgPT?vg#X3K&OsfA;th{lZATwh2FtAhgQsxxqJ#kwkL(zeKx(Euc(1(HB+QYXPi zN#y9yNC&gW!6%l+0nqEeX91vt$b^py!%&zTNof2{ix?^P8xfXF!yXGa;zlqDL!JqJ z4oYAV{BSv1R0V^#x%r3at}=R>%yGQ%sE?{XpFZ)pN2F8z5MO-*!3#o~SJmR);T%`X zlsnpk(xiupZXMA}=BAIC+jhk!Z_{Q8gWZs1H$=}$Bm}t%HlrvJ;LQ7b^geH_hfzQT zqRC@-N?B4`9#!u*)WDBB_TQKhQpG>iNJr43$4erRATi)M3A>r#w1z>+?lE zo3!{E6Z8?GOx+AQ5G?>QHV?i~=x=JI<%<4de8hlWlOIOJF zSz<^2>yTFd2WlCV2B%+3CIeLHUP##%&VIQCn9Gk(_;Bk1+aJfH3l!MC1kODkfIk-i zU4q={#J8oxm8#aQ*j8L%4#`SGunVY^>m%&Qd+}UUelpM^VEZTIUnwF9cOI>!(cN^1{_+}>X@Xia&RK3j`)7WbBu|9q<^i#tD9(qc~9qazu3$Vqf#TOM`|2u9XqZ zJ$8U+IHMrb9Si;!3qtG4D~Tx6P6r`v(6??d+F@6WWh@TK``pDe8%$Z3%Ryjgthz(LV!)aRp8d~~RY=B;!V2MlbNPloQ zv?Pu!(}i>{N220stxj(~yVCJWy!itY-aH@#i3OZ-vY%ogJ2!(&RIDmocZ7Fl;OGV| z-xcKU0-I3@Pyj2h^C*^5|{CzXe<0!G(+EwXQoXMk`r}=-R;06xHzCi=))Q$dF`=a0u>-w@I-c)W6wZF zk~048O(rLPkvdS_ONLZV0ho9Ol+ToK_ZjZr@4M%R~R&>If@=`NV+f7F#awh zaT~Rd+>51Tm(D@&Mrq!Q9^gy!Hu%#qjVEMVl6l3Lw@|t2QYcsn7N_TT(fsWy96`29 zaSlA@n)-Yk_c%9^Z>q6y6UzW)UHk=lJ0<3h2W2OaR*9K$YlrqmBt9lBTLj)Z5%gUgcUq5pNcQ(lAa;{nvh{> ze0FtbWVjqVR?Z7lnqYFiFwt;C9P%@*9Fs}eAdq}b-~;)bmhiBEh^X%IDPt!{M)O_p zyUQh!+8l^mZSoR`8?<6RzR*|QBVR+ocbjXj{scG$8pDQS+SXgydH-)EfwDniu&tJvXacYvU3FV^VeZ+=+cwsA|RblO4@(mz5ft<@GvN*3}Y7 zN|LEj!i&qp>zb^OwC~AOIyy#NRapcKF*-^lj=^9Gt%2Le3n73b zNUVVA1$P$pMp@Hd73Ub!n6^m z@mn*$kpvdIgl9C{K#H!HKCWp}(mSzL4_!4jDwa$sLN4^%R3slsDirXl1Q)%+ zP)v`!%i`-Ct4J!HE`tbdlVohvPNG=BP_J5E)5VP}zVqNM9SQN)j>e5lM>6BL_Mx%; z{oAPWP1`Hlk~_7@soirDe!=s=R*AI|NaO(70*1*XIQZ?&)Cj%HJ@?I&6ZQMrp9+50gpXGVI z>W2QJI*tU-c>X@Yii%OYr|4~}>5=8}?Xg!^^HMF$cGM1g=|jm&Cot|@+rAI9n>p2I z$#+aQUR#lXR2Fgtcn`>*^qD%*%j6=wP;d6N@F+6k`=Bn^Yd15*A>AFmx+X9eQ!zfu z{8k+gnxq?S1@{d^_ClATNTav~O1-z3E*B&T9 z;IT01p96zK0isquupD-j)o`yxVf`L=a6ga2pahelFtRAP$>ZZN0ORbb{EigpZhmt` z`)MxVfFHpyW;Zo}kmn~2kYXvP^Pt!JXi&{*Pxolm@+#_QzYD-*^elT<0B6|2V)%rm zYXuv~2sz%wgy~`BmKy`%-)+#DW3aqDe|3{8bQXW8SJzfId}}DIGasx3k%^%9H$A=Y2IhQrOICzWgy-ROH*_)ql2TR8hYr-Fa)i-3RlvMBLqlII zQh%kz^3SMCw*rt4?STe^G-ok({`%$ln@#}vIIeBdF{pjUm)B?7*RA)AmI#nO+D8jxsQZ;@yMXmJQ?cchWU%b3tTq389v@rE2yTH<{xGFMkQXgeQBioFY`9$T zR4b07FS4P%LU1*cVykNFo?h+y5v*4GAldM;7|AKTn*!yGhQVbSXuzr`m~W%hLcR&u zqoVDghPgr`((Q`!uKM!Gt|!7>i&mmkSj)hZ&PC|=dj5dca__k~9fQl$EFc6WlB24O zcsl~f3BB;fpe@+0)uc3FtK?v&3q~A*GKU>tQ@A$gB-5GiRASWz?K*F9F(pbG%kPsY36uPNdVHu z`%6V^LkR6F5|$qaeY0g99Shg@9l_Ka$|;>YUJy$UGY^~9RA!wqs?6E?@rsMbx9$%! z?sCa^aSe$4;$2o+$^&$lTsetfrCFiE4~qS5ZPqZ#-i%2CQiy^l2dw;vJ|MdZxkpsW zDXAUHbzIBpkBwlXkw93YKGuPxnBJYqGMdqYGxVGp&dO4pXkZEYPXytQOQhmlMB zoe#bym(Hju!$+r-ZJefI2EXUr`}{V@6N3t@n=-wPHb-qT`n+4v>^24`gTM-ZQ-3sA z78qd<U^LcVK1xU17aW~D@NIP0z_Bt@GEqlM_DX%X1~l9R2u$94(Txpjf4U_IL2 zzs4JDEb~-iGka6$)fJS!_64><`;uq{ku!lJ9O2>rf`}VPZ8HyA$(V>efgQ zBv5O>p*OX%Tx=wnw^q!>LDeZKsO*GD@BTzqE%73B{Z?$Qn|nF9a?r}jh25{u4Q~cemJ_84I{hXno%8?_ z>-T)p2GM$y7IOx|&Uf>JShwJtL-yErrSf~RfuxfATW#;`;z4^h0Yu?n$3E#K8oh;K z#oEe;o9cnLl{XxQ(&*qD=EvqJd>RTZj>-!5nFxfSFZW%ANhDhHy1jk9Nd-Z`6r8@z zq~R!H4)MY&nP*ZeK1L5Fe0o>5aho1qo6DSzt|Q6s9MnE~nQgVT14N2&xG##qr(`$o zpk*ivH{k&!t(#60PYeDDVZO_GSHTv|5YHT19VU+~5rWW~qd-bp2D@j!k>j(+o8LC0 zEyOem63qv61{)5_vP+yw_vxfqj#H}lPgNgkiOwZ(KVwgyUQ2yFdqmy%)pnk5F%`r4 zl~Xs#cN{R~kyh9nVUDT3)S&RJ*X*KnrCmDv?BRCI;^f3XsGMQHho5n$YzQ+witJ$v zNpyCU?`T%p@*DM^?nk=%eOn1M<*X9|c{HCyB#b=9b6`2!{85{`m*AD0%yJo58%w`` z+P;EzapXCG3iPDEEF$v)nB6c??G_pHT94wlP3$S=Q*~nW<|J|j5_FP>46L^r%^t`s zF}0?d&?R&i@?t&v`Xu7KS^VpP&J%}rkRC#^>?d2Z0)C6kStZZuk&`3e$}bnXN6nuQ$~%_lIfjt z{uG0o^(&RFj(M#`=+a?p*Lzx1xq#7YX(jX?mN5e7BJ;+M6-u>fG%%5xM)p0nG48n) zG4cN)Hr>5dUD5}h`bb%}&!?tf^Tu9K^1zl$@eA!{cIK<}J99QszrjiNiHd!E0Vhna+yOt-6v%_Y|%ec+aqozkhSnHXr#s`zJ`7HN?rwaq}T*mf`nv<&bV5 z3Ff#fiQqag78+4m?$f&Y;WWiIm*)527jothGe@<=ASB#*?BL2 z0xkhkB4_IfjoDM5oU|mJNlYWu#~#z~;!H%kLR(zaw-Cu~TzsbSW?ye9H22kZxkXv_ zv%S7m>kHWVJYyJ7dXLd$rrvI!Qn$$Uq#c7WJq!aiQ^5Z%uS%KV!CusJk zkqY_Ffv(ji1;Y*DUH8UuuIW1>`|(z;huWULIk}aYd5KCRz}=r_h+5tzjut8M5F3kM zn%~#`Gw)LQxSn5gp0qf2)tSH3f0F?Ichw7XN*>_3Sre=;h*aEIy!yOIzeo zNz|F)4?SCmi~Qu;*CVsM4WIr(Wl4Nq-@E5vzPEg@`{Lo)EW}i})8uo7U=`Ql%SE@)ac76yBYk>bF736*%lq9qosRcIdrbN+zsI8;DEBK@3%gj) zLY+-g#AQa8YF$5H16Q?hxJ&b8mb&&FvSFj^tLRK6kg==S%m=Z?vI%lyKG#RQg9^@& zmZ97H%~ehpXX-Q`%Mx$1iP_T)P(vH;{Mb@K6avjYercrdN=2pGSIckm}h1 zF$D!ytWA{>++43ROKu6n^I5Ot9Iy`*4Xnl+du;A4Lx9&zxW`18xOobGiqcz#gTl6c*)?nx}$eFjr)x^273K@RKEi0#Bee$qzhL3VBqllFJ| zQ7#Ep?y}%8yItDX3z0~IR}?p~2IAV=pjM8G(>daK6siDZp%Kg)6c)nP4hu3FCK39p zVKMsxBh<{4mM{35djUxD*^jQb3B%|?GXY8_lsq*1gUUx8j3=5xMVi}un_E)GP#mWw zg_R_-Jy{GL_2@}{;;?DFWhNqmOrn^?91Bo#T!0Z;`lkmD<3%L#z- zYzaWC2}%tqe*$0ypdAaqWJ&Fe6pc~~#DLrPoA+EaZlVGyQG)t+ob}Z3Pig>UiSrL& z@ypL>{X;-|UZ9LYcWHp)XMhnQ(t+jC^XAP-?G!Pt+X zQVFpZAHkp&qVu1%jW%kOfld8dRy-1wNG)^;7%0;hxa?s%((}8m&R8Flw;ZfTzb#~7 z!qap-3YA*Rv_gH=5gtQ&nS@#Wmww>aXTF-W|GjDE+TeB~DL`cExA}OFV^`fF9z#l1 z;jYAMDlM~Wg>`DJp~*O}K7(XAaYtI|S5wtj)9((=D(PiI5?N*~Ff>lTd|F`Yr?Dhx z{TiJ!_q4PXru~7jBuq->rVU`vbz0!-fh0zacxj&Mkp_W8#Z$m#U;xq5RxH7q6U=SED2^htJ%^24H@ih*nY}}_?MeM4luK^jHm}T9Gh3n)AY~U|$w&~IE z9RgjIlUQ`o*lX6SQxH2i)d0VMNrMv+3-*0MH3%{o)^)M3lCYg4 z06@H{eCzXf0k;x0jxc9HL8AS{8enz}Fqtzsc;IES62?beNUQM)E=2j6sDYhQz6Spp zaPSE`*5!Vun$53nq6xPB`yiaf%Ot$m#MOP^SPpJi%GKRHok_n8C0l4*5_;)eRjk~2 zRFAiQc8fFJ)}~=MCWui_Dj%005@^O58gb`<>?l~VPCHL!!-@bLQKJ`hc$x$ZheuQL z+Ky=+be=e29s1X3YRCzdL--Ny&z-Ljw1qADA)w(peX(c5nbx4i2^B#mra4+O4pS$> zx>*=f{6`!{Pc$?UTWMLKkgbTp!Em=PkNZgh%IQ3Xhicg2l?cA@LnDT_0_5cO34E_Z zIF5joJA>K9N)as2PblB?y=hnhueyHZV0==V#QI2_mvlZ?u_?d4GKf*^^Rr+Ig8<*g zJ#)U|T<(Z+-J~~+bf*v!YN4cBl7&?pnShop!wPd&RANOW)N%264 z@crnBA$9 z$W%6wx@YFsGMSep4QM7Ra#v~xCd)Rs3Z#ZgJGUN&D5S_ihqz_KJ~+|K=VllcO<}+% z@P1MguZcWFORAUeY!xvGEeD+xnbm}2m}mC+{Sx7BC!q) zCyg$Xnihmdw*;${qJ>ekOFK3?HEef1M|ZyoxTl`oo!Y0;>w0^Oqfgg7-u+@4KlPsC zbaY&XIzAMA2UAVUg3dBYPvp|Jbh?=iYuZurBs|3!Qoe?_PA3R!XL&`IA#_20L2+x$%qKGeidF zwe9NRAU3)cW$EdPii&o910t;^R()>nZWQKSU}SxF!6W*LDT{=zjNQ zqj^(So=rOlK`;4;2o`~b8*9$*%+0QhNU>F>cQnbhLSGoDr5Obtp&c%Y2lAYfp!b0g ze;c>go?>tz%IG&L+W7;&{BQ{xjmrTo!_N_=` z`#$jlf?ASk@||1xh-BEm@Ki9TW?>6VHAz)nUN>RUb)C7xM%7u&=D-<>SOeamZAm=; zvPfM}=ro%M(E{LB9}YIWOHaHZUTw!Zk*wN;>QhuV_0b@$BPn5*O*bfZvW%?yf>(0N zm619e!)al-FEhoxVexmu{@4##V21`{VZpwHfg2y57Dho9YeVe%D27+4`Va+cLsL%Q z?mp{Z2DZjSt@6}g>v)}ykP}J$rtHDQw6l-J3cS1~mZ(+nxR#jO78oLFE8MM2gBN)Q zTMAAYgrW;ghL+Bv8vrbZ%H#~a!WXckPso?DHC%mgj-MHG4uW6-BqqwrQhfWDFo+p+ z*bw4rb>ajiXs9r{07{YyQQ;CE#J7!)x{eP?qbrAJKnYs=0;BYW;0=Bv0;Ii>F*&wu z%zQ_h_1z#BSP}v=u@HA6X{?@R+i;7J+#r5pe2($p*k!zlwpP5)D;h!qS|Q~xMaN_U zg?{FEG-@_qX<{P{y=ZG>Q?SCh4`s1it_BwtKqPG6YX7Ft4n1MBFyT>D z#x!<;Vtk(bFltlcMbkaovlJ>wxZ0!F^!|*;UCO0T+^eeiE5uIS@(RI{K~zWQn6odE zN&p<_8hnF}#h75S#tFUf?X_%aAAkkF*5a~GIgVr0y~h9x3O^{72$8}`^gJE6P!Yc2v3cjwf`=_=}BOw z*l{U`MBpOQT;eJNj4p460mSDFjU6{V~{uYa-YOdJyDO^Xy!HeP}2|W3413XWA z)0+l|S8&)|_m$6gA!3-;0NR-KQ?~V`J}Yc`PVM=ldreu}BTtg`L~gj8L)J@f4svm6 zGu#f*-Rme z)l^edC}HK|=6VvQLbJzUom1XEU}QQ-MZ&hAsO~5&7uUv3f`nn`?0c4tV!>+JCu}5!HA^ z@WG>f;!zE+&T-q5q!}G@lT{4!|NxELQSA`sX6AoHe(d7(*kbF2jYbjT?I*dWW167|MB(~V09%+7bs4G zLvSZRaCditySuwvaCdiyKyY{W;2zu^g1h@8bN`u}J2RQNdGF3kzAxwO>E~y1J`t z*REc*S6`BV4D2--(9;LlDBv5&M94u&b~=T|EO{x$Vr*)KY5qEr^wFAr*0*%$!ZQPVSjVY+hTNOf3fDQOd;m#sPiSpdxMl{L0 znbfi7pn25QSCKB}_y&pFo>Ea>n#FU^m+7)*5NKT;Jps8>p75mjzU>xo%f0Nw4sM2u zk^se|wNURo;}otRlr1aVXBlBpkvxd5b;ab9?7CrY^XfyiA2{u?*K}d^DMlSLB zl8zh&o&_gL%iJk^k}Li-9{|{{vjHSGMQJE)74j4-X;@N%DY_v@49!+Nxt7L~Fid_O zW^EGlNC>$W?wG6=klbyy6-H^j6tyyk21QN0mEuFcL;N1gP|a6WPld#?t+n)C5+M{b z8y%e6Zq2Jr!)PcLA`!;alBd+R(~nO^_PSSv_bc{sHp_*}>DB86Qz^Dn{X_6zUsV;M za<5Z*IaViYB}ZIVLc!Z5&F(k5u7(|bo3N=yL`7erV}pN~;GX7Lg13Nr%i&PlT2h;F zyoFO&3?bNeyXsT{dhUP!Qzd(js5Oz z{F*p)C(E8drxjU~vawQ@&l(_Jn+P_Xu>Q&FLy(H+d1#*LLNJxh{tcdm#59iM9eSyd zP1;AbU9pdMb8$Hl7Z-c9fxJfQK=teBYb?4bv}4!x z&6&4))(nhB28#ZIBi*JDY%|3Yt!)MTlu(R2Dy|2Tl*8OCefmU|aiQo&(b;O3>FUuX zuke{Dv?6z?0*K|_t|a)r$(};CnzA&%TFc&**T&TmrgMLtN*v->`tfQ(?Jzk^sLx07 zOO_rFy@B^GJjP=B7AxfYlB8E@a510!4yyQ?ZzhQsSFR+7DkYzJ-yG4VTRHI2Bc~Xb z;O(Eb#!MC7LoxZT-ZVpeA%ZB8SrCL`5n6v(Lii$rkJh?Y;{>!R!`K4M=y3AZf9To6 zE7+s`;|K61XYfvCijEwH**y9|3S`b(9&zoS#n_Lqtkom#sU5S9%t&OZAEKZWMo7-wCueyTFD zY0YU{!@iPT->?6w&pg&q$DRVCtFW|Tx8)p43}Neki9Qut8=2W2-B?vza2)!wnN(V8rnG7RBV)SpEKV$Bb4(oA#&b>0$|1SNrJ(~6tQydf*9fB<#- zU`Zo175%SF6WXXdOv)5KY#6X3fi2kg%VESPX*hWp(sfzE_-#kK9EHrF2m39OWYGY9_ix6=GRmi=Nk6Vt zjb6o!8DG1D0|zK+HRXw6Z-hg=0opy3sEh~DNPUGP_PnB?)w+uuga@LMs6AjUQ74~{d#xgB zZK`oqcVN~5dD$p+jLx%E;8vkorI{2EBPmP7jj(ORr2XZ0=7N2N$VB+X^p!ps$rn&? zh7Y&;CJY|aBEvZDIjUzk=pxuT!uEsk%cK&;_0f=?a>g8kuQ~83@H{QFX0k<^+yFys z1vzQsZ~OLkKIZm&XPf$5P{{B#C5agUnDC4Q+JZn&kTua|@<&*iI64T3N}TUV^B@43 zF1$M5s_0%vO7SisV5Y(!D%ujcRSVy$jD6Ru5Pf~?dZRE@tM}kQm4uVdITw*rTNmh$ z#B)94V`&bQHar%4w7glbW>n2cot$-D%(h(lIEGsHSa~(eXRS>Bk<+$PTr48!t|CtQ z)Y+MhGEx|6Yh)MM0TwQF|A}=S-4Q^K~Kw6>1d8m*@{e4!_Dg8dEzpNb+j@%qgwv&OWW2pepJ?*BAv)noRvi}NX z#b;Nl*QYwfU5v869#(CuUzMSvdean88G%!`t%V;LH<2hYHy=>_&(?48R**LqFE;0g z6eS5sVO+Is2QJ>i|(ILlYMVL8r*2)X_HdCm=_BzFL_2KintO(en-Hk!+w6cfU54WF;f@ zB_(=#*jnuk`K%V4!$e1g#NIms%ul)mrESicqw4^g?S%nUdZQIIKz3uYKGwXah08YeZ@dEM4U&)I*YVa%X0j5(x-W zV(hV-B;|J7Zu8t}T_gU)iZqpo`YjKm)#`GCIs)n;FYY3fSZ+r{!XN=F21|;#v4?#S zKa=^^1jya98ts~q&TO*S&evfGOh$92qBtRD=~$zRG?h9j&#yC;%$vn^hyXk-@H}n^ z`i^cn44hf*>IgZG9&vNz=EMcb7niTSK2q9(OU7Gein=R` ztF{Y##dYeLqeTf?z~>CLre1ggO-w4g1mm`LMV0?#Mp^L036kcd?)!lzp>B=DiAP}i zaj=aR1~C(}h!1{4HNB@ks@Wm@xC}laLNQT>vW;p(-3KIsFs;xC(z z@soKwDJmo*vp86l)aXg zCuAa9_~qJ8fMESAtExOiRpl{RD1Uu;Q4p$=OTQG!$UtV96guD??pX zdGyk4f(H%we%A~8;!Okn1;zY8J(lU0Cznst^7aqK2hI;sV1<+M!IxfP@@dp$_b>hG zv@#JF@I~L3lP4J-sCelIPujeUQ@3f+Z?GrhMK+Mav!LTHs{7~-{YA3gjBF^-=hsjw z(hn6E-$Nip_3a;#zyrlHEh3XrvygChY%rLNDfT2QU9>$-Wp?|B-d{&lx>_zc62}KZ2PQ{!pI3H2YsO zCjAZQe?XvrrH#MahCCwx@xy-%@^8=Feoxjy$4vdJWLfC{7n1!J67*M+eMw*Nd(r-z zMd<&HHvW@I@Lv>pCIEiQ`|%ilAK3KwEIxldhX24sVfc$N{C?a1;Xtffh6Mf?{m&U| zjKA&Y41YqJ^3%o|^WPe4e_;Fh_XPS+8miyJUwz>q{xRyGGu8eY^*x_%Xr&A0M@5jQG+gY#`9e+TE6YQJ_?(ne3;=4GIWe;p_i+?P~9hQ==m)18QUUb3z0 zzZA&YTUnXun}4G@{Y7{EduV=X>eqYyveA5l@$cUJLVF>hZLUxCXHt4$LN&C-rK6=1 zwtEqtE{}zwnLaKx70)+0y-=?*Q2(FI>~JY)UoQBxt$tn2FIPMchW}z~Wq6@56W4b9 zKk8N{W}06bRs5B|m6}TF|7v}^hwRJnDc~~D{qmcCr7NOfpkeu?ffwG_m(24o&3;Qq z^aA50B3PgH+aUh3?EiC4u>8~xOGE#gmBPUAKd@3*zU^>-Wu?$F{Mbl=hRyg@+x+LJ zBaI6C57*=`P5y_Zf1gI`d$a6s0RI!J=MTH;pQ)ZQFl@vhL;SfNl>WD83L4t~iBSKJ z+L7rG+V$VN%YS7x{inEJu2!|b0R4D4er~V*o8kBeL{9%-iTpRv?JGW~C@VV1vhaxnabbL+cj#^0p) z{TF5o2eu#M{we1N&0pjG2P8`OJMYJLeyoqHVBb+<&HxY@{|F^L^-uXhXueydH2(wQ zPkQRVbA&McZr*8qc85Td94J1+^{AMB4m@`C;gXL|x5o!pOM{-l}pmoWbU ziT;so`S&;<(BUzE4DaVW6)$GSucqw(z*9j_{db;;RVMlW=mpm zWV*5NW4134=Slf;LMdSYcn{q?_y)L)F?C31L2pDtWRUn(X{WUwJwRUjRqA6~2O32~ zEKB{myIJ*y#P%w`HFH+|5JXJXts4jA6rb$=UP1b9u=)(0Y)ReakYbTIu&S~NrFcSI za8dCJ(u#cX#iF5jef?y~xD(U!M?YoXYQ|*cS46o4`rfKnmafcX))hf0?6ijUR#AYV z^1-GH46f-Coa1*IG?8(>@4&N7atUBvAoB#XQ&}|xHFZ&+PPHq{s&%=Fl|N0lPs3vZ z6L%M{F4Lej(6~T8FGGF4vb#DI{B%YV z(LtWkMg%d7T>rwZm?&LJ2Y`hyL;}fSfrIWDqmU^GzNS$i7qJlIpMjNV6F#QwL?6J6 zR$t_tTX+xsR2ky@wGdM3l*J$Ds=TKc+JPY_NJeX12Iu{pX8)%AYGNC9`>-%r%~FK_ zR+Xw2GhYXpt!%e0fVfU)O3_iQMM_YS;e_jKU_kf zdlbdoy}ok@Nh>lnn_rxv)GlMTZ`ynB7-&)xa36d{OlFtqNr_nW)$*`-7^~KvemG>? zO+(h5!N`beyY9@uz&mr&Q0W*)inML$+V?(ChNnywYj|mGNZ%!vT>3f<&{La&cl2}^!5ruS7rVFt5NeI>Q*-si@uxa0*Z819H0T@plL<01?8^} z=R#sp^=kH)Tg2KW=k(f+2Zs5^*Gp!e^fq?$4QLh~C|g-D>8!MN%@h&H^)f8=D=Xaj z%=ioUuZlHAWLEOl`DeM`FKEBDvu0-Q*u|XZ8pv`I84XzL0WHfs!$hqd&Cqs&o|!I~ zG~jqBxF`XkPo0;mmGu{~A&sZ(B*BH;*XgW8Rm?|^^aE0i)`agdR?P)TSa>aPFPBT7 zr_@To66sn#yr-T5Ds7B;#Yo|0L;s}3T-!alYQ1}Oo9kBF_=>)EO4#V~(?X%q-D1J3 zlY{GmL=#nV;loynkF{@L`ntSL7gH#WL8P@GAdz}_R~G2?>#8rYdOU?#tqbacaF8Sd z8C2)(LI>wBqa?8s1MbZ9Zm{?OmlGbW`n5mE#UcjVAvBp%TVavOHRK0`r!vLPxn(Z8 zxuxiE!CjD6`!gcBb}2#>r?0DsP*^0ZCkq)uiAZ4+PvQDo%pyVE@ak?m+I^Y2{{Tl! z8ImVm;K10o6BSnq#IOA^hKA&^THaY(4>~12h-I^thUNX#J7@)niFTicF|m-ou!9ca z;q?p>C!ZO751`s6I{tUcIupVtm*g?=E|4<(h$m4;t@!Z!u zf)xfpLkb|EA;(H=&3l+cQVish&;z!QAU7Xz+5^5G3*sdMckO5?4!pgpN|IKJQjO|H zC+g=TCm~oiF)07~AvSVCI78DB)vu^MHbC2W5K!6BA=Q$nCLn)ar$jeV6{-wwnn9n0 zWa|qF_{pJLAq@#uyQo|mZ*8o(!kC{&V*~?{`uYqZ<+~DZGAT}52U@yaVf+PYxMO3U z3H5_qi-~pns?*XBGhdt7y?26KHsSgWeHR##n3`NkkJeWED9PfI8>x*=Wh0}V74c5s zY_Dp$MVm@;iW#9*mfxuAGH1uIp{?P&TAUu*vVOX+P1~~`z3H^NvSHGd@4YzVH|?y% z9yDD-JTO2(*=C?GhOg)*?XRSPCu=~9zZZy-R&o^1v{53+VAFCEuhfdQk|KOFZ{a|@ z-#af&&@pWsQJVtTWI#<15=2A-pW*0hWy*WUQ@n8eB*GM((x_^p3Ed8< z|46&ki`r_DS7d-&K>=H4x%}P9k>2oogkSz&uECNVobdev83JZq!VB`Tj1gIPyJmLbG0 z+t;X8DTn$RPoP70vEL-SyzBy>nA^0oJF@WO@y>{oEan%LhfyiF_H~o#>2qT6$mKFi zhna{2WTg5YoW;V~ho{W2X&Q#u+yNs7F?nk$Mph}$W4y2;1}HqV&HyD=g*74IQF;$3;Bk39e;z?bPyM&Co;zKud6F*f;qkI(t;PC!d|OO?L0)wXmnK*-zJ}>|a4jsvk>$uU z7#X@Oh3-=^K2B?#VwhUGJld1CrJrDeO~CPZO3W>Ly0Ma;*oI9Imh|B0@Mue;e785( z+kc~s!Peqp!%M%a4rGoyCG+wGQpd z462V06jqF0#84a0xnU8Uo&@qhZ5CfvqxUiJH(F;MllsA~7bbYWG-+I&Likp|cuT_+!O3N2ZS4qSuSU7-|uXau>1PrEd zxzxM5kY+@q05_RGW{YQ!nS__)^$g+l?C#H~o6pfUGWW6@=Y7qvtVY~UJqtP2Avt%5pXL;wTwjhYpkLwnaZ{^f@q_ds~06mBR zx2{9bA1`y`%IS7S%c*caEap-gIE+~4i`u%$=OAn5%O_{?Ezz+I`vYU69FkLG#sN2? zl(gf-@?5&%j^6pco)CWZy`)9pi z_9R9vqx&S_+VY7t7&$u|6l#3|2+S7S)E@JUQZ|kxl{LB{+1Cp!snkL1{>A05c<$els4XEs~Vf_OSHqD0Xe@exh4o;@UsBZgPs)Jnr4 za!*>SB_=#z0|uw^zJ~B$e8-&8gYYJdap|lJgmDbx=wJ{Iuk$v(S|!~FG?>;4-${a$ zrz!w2eT(J!BYtSMC=gt0+pEqzFTZmVbWAVeQusC;ZElSbYsvSh z@1QrX--c#@Pr@Q<_nF@C`C7AnE+-?I52wZioubjgGk+i6CJ7rcrw3-tvA2phM<02! zi-Y+9?r_4jM2k8XX6^hIhLDdDl9755K|(B8VR%Wdq@~c4I(>qCHDbmkt)UTrvSMcq(;nm zZ>-|lWYOLHFcQzAavp4c2r~@iit(p8Z*Wa?%wBdRNJm3yhdHa;>QzzUQYOh%+$e8u zp@mR+l3Wx4m6pz6O5aafQ8Y>vqj2vVYf+Iv_Vjgmf|Rf(`bTSwjvqru0a2E8#_Apm zzha`VzjiKLwd3@(vHo}UYhG;z>B@mhw*T_r|WIZZYjFCQfc5!C$MP*r>eVbxms z4v<10V2>8`z(KnpTBo5Rz{UZoSyI}kdFGU~PpK8d;2OKLvb+=qRTzDzsYn-aN+oC{ zyY*@K+4;#PJoyE4V`!q_a-E)0(sDN&>I@*YfaH3aMo_rB{tUT9iW-?AH2DBltnQyO zaWkU^(z+syB_+4yA+?T!BB5y_JOMnWZH2ZtjGbt2YX^xCH|}&U5`tOWu2o`2z;r2>^$1RU{;(O!l|E|(q&9PLO9>s2bsR6go0k(YXyh-q z6|FRZ?lUp-9KfRFMU5mE_OeYB zkhS*JlVY3Cc*-t!pNr(_4tWJVSzn7TioGzF+Ha?4;~iKKFff{I3eM9^bwnHRNjrJO z_e-f-R9o#5O``IqUE4v%tBzq?bf=uN2MqDES!RdKMAiei&tQ};>?TK*M z!b-a=t9Q8EiXhaOLNeNM$bgPE2`{WG=YbOAH=i90fl|Gvy7UVHr0#^?Kw_;9sMN!h zr&Bm~au@9}L%$#BTV4k;LEZpKB_M!>^tVjvS~L1DESx+1>=J=K3ojb5v=Fjx>C8*aJsb(Z6y@gS_twa=oP zQbX1OI5OTFCgbW5C+mG*#1JWh-U_(LO(k$FqG3E{g%3}fveWP}KzQ(!695f9sMc*E zP@fV~!6t3h^)mT4&6<_6J@DX{NVgcTko>WFXEmih_CC>SP^Nfv6q;nL+>E$Wj|0FN zA|bh{X{qy`0$}>Vqt+5+CTeNfZ3|;SQLdi(!mQBi9gLyAC~UV5T<|c)RiU}uLK5GA zBaK14+*NIEj=Fg*2XCC7!TGm1whwAU@dEwPNLS}xWz*0YZ?6bomddfSc%g3b#Lqs6 z5C)&ohc^}ng^Au3@$bZg=`w(0aH1;L%5lDqbChtXAjk8HTv9<^NZ31MY26#}T{K-T zj^DG{-v2;!<4mz$N$Wf2j&ny8^%=*(k+|O!YDbWlyFw5gUdWGZwGklN+>_Ruqm$PN z=myAB3|;n%uek}RR(_;sZ!Tf^O92N__E0|qfHAI7?}^wgE>32OODVlJiq5(v^y|?a zR82r{@}3VLXBSd(PvCHk(53*2UKxqv)|_2K(J)`qYuQk|a&9z4cNGpo1*ANV{JN6p zAL+UxYf!D7>pf<%HLihX#I^uiMxx{Cmm9jEJMX7dQ&kE85g(9G2*upGd6;Xim`jN? z-$s3z=ZP>L)nOt<;=`q>*yZ$Yc2?YI`n1H6@d*6|hE2#CwsK($BEL!DaNd#{7$0dr zZJ=UQZoHZgfXamIp%IOUXujGMj){EIM~AYYMTH2}R>Y0*AlwbzqTkEE4KTWx?N zqr827LbM)6w>d#2<|^s8X8I^rz;pq`52%ID(_bh?;Ka?nQ4;PYM!rv*d$oYj?tLPg z#z)bHV859K2qmw$$NauQ#LzE2J^otA139unYwEes=;@H*(s=C?P$VDvH1qZwm&P`c z$-7C#*H9xsMxaP#^t1Tit81B&$xH;=2SaS|((@PiH3aI;Dn+ zNC`_yh%ESvdT}o!7TI)XJXrfZHKf(^w)w88Ew$wBxJTXTb1n z;)y^(7)l3SE)(uGNjly$?L(B;LQjJfa>WZqDjM?A&re1JK$Er{=!0GnIUJ|C;9n!& z#$tUz-@dlyT0(@R#t|U*ZeXEp!t?wxq*ITgQ~fkZ=W31%mC&V#+ht1H^Rb%m^M(dGc8djgkx3b38OeVbqZw4cv?5UjM8o}1oEpV;e zZD-bLD&RbX5j?159P!r&5U;YGhL7WeWyTEEDoPmNPx6a(pcWRx9E%Ev8{dgWl{V|p zmZp|^5b|!V1DNht@G@K&nP=!ZXx*02r_m-m4fH|)&}vQC)WX=J#!KBXnKlc*hSw)S zWeY4PksX)~+CQz;K9bBRX~ln;rZhzW*egyeYEbq1LNDBy3*l6fj-5l14G`%o3L3Xx zOQz-ea*BmJZ(hC#F&e2rUD}!MQOF0QaiA5Pd751@16bO{|0*w<07% z;Xr12*_1m!v3`f7CjG!q_u*5Ka&6V|+Pvr*&Y+#dF?gXP&MSrRtaMrkCH)!$R4U70 zN5|W_w>Y!h0Av#Hmum1om<_38(4F*bA!ImQuaJSvMnW_)!`0KBih_N$>FTbL+bSAG z%OD}qxa_9Szf2S{F6@RrcmkU#JgeR_c zOUAV46KM|_=$B#1%6R3}UrxVGTy19zs`Pe=+ zgzu+5>?a_wB2k{$0+pto+|9y^rYh1_fKfNvg;c|{PK$gN@}@i0Hd+b(L?MTXCAL(i zs+9n8IV)w`W3lATIjhy5HeDMezoc_9GTUvUC3=*~X!-`M*;d-KX211^-4JA?)oEGX+l_s>nXmedWYMtu{qM_&a_b8{1>9P z%mt*`W@q693+zPl@e=CtJAbqI_cRqE(mo0e!&~{+N`aC<1`2%yEiYuX06qFA7De{Y z0|R}zzWX^x{+#zI>V6UvS`@m~S5rF)aITg{5&n|)H?a=ski60Ei5*n~>TnWnwSc@;;vZVgWA z#taRNI&%0mU?H@Vm%7s~1;GvR-6dastM$F=LHlx|7mje}L){j5WfAkiSIwol4}9-q zeFvN=*JTQBc^AddK1h$n^3M0hbszXq&k18be^|fSkZWVd%T9q#MBQ%GhWB_&+a#QU zIc5#C@JSFyb|e3}g#@%Paf4y%n(RRd< z35A!;;|&wGwUT}F*kk-!-Y0gU=*|s6p|-&7&KTcC2p#n1E#hUfH&Qi7&JGbn72yFl z#7kGm$x}}KFn~>J(KBXyv*QSoVoR$v>K}~upf4L_EjK=LTnc)Mr{ydQrqIT8$};72 zuJ=un?N#Mz^i~xNGK$B4Pyo&xh1g*fjiFYaOUv#ghdRL*8FtKabL=(M<}AJ_lU?l8 z+kWl%q@4L|@5tVCZ-E;lu{OlH-jG3|8ByO9g`_CTvHza2GECCwN^zzL3&bt#b6NLc zoV8|ozpiB&2c1)SlF_I-m*9N5i-7pjb83n+T50ED9XB|S^YYME0#E7DWmptl5UZaA zxl9A5yICCik!?aj_;dJlL2DQVB2!ROsS}na@g&}HzsjtYVJ14I``Rtq{$zk5d7Om{ zFpES>LZ3z?g$I-T_5*68tG{f74j@lE1rC~9&*Fk(ALJGPW`k`n=4~i^#dK!*1X0(K zJRz&a0rJV>;=beI>BHJVE7gpYBPD*fkIl#_iz;C=p_4%rrg~{^>8gwAq!fxWYn`Bd zbME~?9_XeUCBm{M*Bi-sEgDBI1UZJuZ7_LrcF01#6w=XXH^R?4A=f0jUWz{S`T~1L z^kkG`=e29+ef0Z|RrK$$M}rv$jYu}~h{Gp8LA`2b=#^rq`GRlK%o1`Fp@7rrouP)? zc}%I#iMf2u@Rsb2waf~;+7oY*kw)hF`?s~o z+J4Dgn9fvf@YU-NS>pqt#B2Rb^w z>A(yKJAD9`Z-v3k!l?EISDgwoaL!)C*G%~OKQz`2LFvRO?aX@E=HQDe~ZV?I@7V1@)i>-!ynK7jN{cfwu3d z^Rhb8Ugv^a5Xne~!I(!y3w+G+=n1gZ>KyC}KlBdCxG!dll>sLyNkK%-*R9vhVYjJ~ ziV`!q6Az<_`7FEg_AwxcrC11;7%++2sG(=$^-IQA; z6VWL2Gki5N1fChmG_QdS^ub8tx%{KHQv-3$n`4Fb(6y4A;jpSc#5YvN==vXaKjGSo z%Eq;zJP5^{2fuER1Mftwj;6`*ZhvJ*WSPh=S+o)sH4+7nuKZjOx;9Uu`^v&DLygJ8 z^xlMi8}|zoA$;oF2-(7k&(Ryk?-x7~LvpK)L!YOY-@#@|}hqC#CjY)T=2YC3^QF|Jvp& zAz&uo7r7)_5&nY~M#8S}xpe>^=)X?nLKNo>qGJz9nNtz=* zadj47;H(ri?bp9W>~LU4!->FB(-MU;H$&<_{eYC$Ff37Ulzzr0u?aeGpeGPE(t7GB zOx3z|2@Ok0`gnUiC=#S=sm^g(s?@p;JE-)rTVI3U9n#-R{(XQt7}8B?70sOn8dOFj zJM$eNwcNX&(tO7P2>1po>Sq`5h?5b4-l=(NbPqtGDxJe0tbIX-nX&53@5on}ymys{69o({MXf#asZgq9@xK6|2>KfeETv{1Uz*$*Q zpWMoVd_3RU8e!)0vm5IW>rhWWIu2!$wL>vq(!1D-^4xj1MVA4kYLepqNQ* zOkK{S(gKM%9UTc$UOsF4fc8b~daF8`Sv&Kgmmjb*1M0<@39Xdp z*!wGyGQ;!{4%`|O>T!{Ys?o-A@gb4avLSCq;g9|FfLt%YwoOst_+kpSvcFu z>Y_-Yn0VIq&)Pe}hHaK*P=bEKDKNN~Ce(@9(lJQRFZTx#C3NtN>m(!(R&Sz>bRwDn zL~kJgYuVY&QE6xhF0V?r+W~;|k$11OSSf`vNN+v@kSPMgFDb6EVv#p7R>Y%}AhGj| z^GTPTb8DjQ0)VXqf2l9%+>m4-zEe}Jh$qp|fB(|I9eRPPm;O0VD%*SZypNQ#DNARs znm8a35-W#nZ|$v86F)~o%K%J{0byr3#8rMPo)*PTds9+rBrpL-m@$Qz17&WUSOc=i zZcL~Q1g&i3$;?8fyfc;+t8Ks~JPhrAjzDcOE@89ELM99!vv?>yO0GDvXHwXV?c&HAE?`VZxQ*IOB0xGZ&E4p{7OVf1n$~j z{&&QpHsjY~n{Sm~ilzTm>A(Q8@KA?m3Og9|HLj|Zem}C@!b|=46&b8^|IvgHs#qAVaxubrf+4U6fw5+)z5bJ7Lj8Sl#zK#tlaUm< zRfyTv{K<>4YJ7L6o|7`%I;!Iy*wJ?syGCjh;-!}FwXmZa+pny-3uT=l4z-ftNlN^0 zXU#}v7rWoXpeLR|%Z}J}oy0Q^-kyEs#4V%cZj|8uFGaW(Leva%Z0=iQGh|k z{$J_P8ew^@ix3?cW!UQooTi*H5|oBg@fGHQ9DzK(s4pjMv>q@q6(>G1o(kw%tlUOn z^Lx#W`*>;VZ+VO{R6jMUDavnD-&c>a%NpKu(#v`56rSuNb+cMSk2__e`DwaQtDH=7 zQ6LGkT|g_zmCP=<^5FuGF#+A+U&-vkZOW2ey6jse&2duUN_Wk}c_bK%RV^cd4IthADB%G%{F*iAEaudHYZcaHQaesL0XxGGum3YNe=B);0NXyd;d zm8$F7@oA&XZ0ma}X?pOO$$4yMW7G`APL$BM^IV94u2CO1X4qbv-%#^otG+^vwmqVl zPJf4yrdqXoH26H0Rz}tdnE{!LG{&(ixBg&3?xRDZ|Eu=Ap+UQRM3+ z63?ikrakuju*3W8>NJ)RaXfGo<8zwl>IT3;8(D>F+wg!eqh7rN&W={e)Eoi+DX)X7 zT^H0K0E-<`rJ`YT;!`dW;41tB96Z*F*cy<;m_MWGpg2uw7ouc!`-Xx$24(03HN@#_ zxsOppjq6}{O(tCLcBl@=R5GcD6BYaxrXiFg@FYkwJkalzp%n))gCA~+-%V`xZuc3| zCylGjnaEU^Px%^Xo7xs2Ra?h|!HUT2n!cV1c)a2Vdc-BHB5hg}2Vv&6W~!*33GvF4sx)8)G|@@o74cCK5bOgtT`67b2rS>W75ms3 zy||a)VJCm9aoeXMPM>!3Iopu9PPVjqvN51y8Eg}Oua5FW)G#AJ6*fE91adt??!NHS zgR77OB;W)GAxF7Spi14;iog(I1XBh{g?+GiHc*d<>ttJ~h^65o<3nF!Q$jw03dAD9 zcg<-&J*c8VsE-QZ>gtBpN1>;^weIC(Ys;X*Gvq?wOQR6xH3NA_tNM~EFdYWQ^j;(Q zjj4P)G4!EPf1K|uZ{yd3WTCZMdb@UceYU{PbBt~_Y&2FLw#LtV;ZzL@2j<)EXDp;O zX>QlG^x%h|D4TPgh)Y=3oaWim0}Z$&%_?M=w8b9ta(MOQgHER^5Z>?vKE zkH4txQV`2$bnX_G3m&!DCX(Lu#J%ey`0Q6_x|wY{f=51%p+?g6!Mq_gA>K>~<;~lX z97=*k^mW8FtE8`yOdaYNCMM-+>#3sn0sO^TKDmTTQu*-#K8z{OQ-Z23VM^%v2;>EC5T*z~6Q6x~)K0 z^CG~B5~R&TRd?@|rgRVwR+xdsJ#8A`f;5jC;LSB4g5~wdGL#K>#gp8hvnB={cD8#{WX~aNt$24zF09KWrTxg~OC420l}rh*eC~ zR5I5gr(9dl{yv#(eVTXcqI>PlbK^*+a>#UK z*%J=j`ZS21{8iv8TPko33X9qzTQAi!9H@=}JJ#PSY{I~idjY3ml}ncbeza*OG$g1i zLS!3dMmzEx@G3W}b>S|TBF?|WHj+2x@wKt5Ww7yosb257WBt;uBYhJ%h^U<-z7@Dx ztSNVw44s1}kGv--xOCCgOU0c)#JiWY_ccT#{JlEZNz6sb{Ey%HG? z2I|%*#-qOyUR9rGKy( zf5k*tZ){UsH=L&t94kNaRk=AGwQ?M#8LryMcj@&|d@p4z9Jx9|(m%WBOZYtvdf*WJ$AYtt%TN*m_Bgs(F-J90IU zT=W=lsx(K8P7ED=nV-RikBzn7~T8mGht#Lg%V6gBXW)L66Nr;GlkE>1bUp?i5~adLUwKL-YHgS zwZLnA3r$C|dOPsQ?)6YWnI7sZSFZ^$vbZe9fx_Kn87QHJz9ADplRK&veX|9{aW7$MC{fdH)Jebx zkGUt&*u(9GPg5o2+@+bS16PA_GF?sT-4NDce5P|qbd%-e^MnaC`Q-B-aQd4 zn1N!~o%QBCSP_TahKb%b7z(S1-Yyi=*{nFGi zaX5>nY#DL##gn6WO9q#s96o5Xgml>Cs+@Ef;)$4a7=c?yvNOq5L$b5*y_mQg{*|0~ zORQC4?i12**5u?zrU)5Xk1qCFvbCkD$&HDmJ0-wu0**ND1fY@lsSQHT$9Ag^ z_6-Qf`BF3Drj%~TX0j8hYeIvVg0DbOX`CEB27K;iE$%k-Aa^ebM6KUDi>kl$WcxD5 zbLR9;#z$5|6T-1)Uv&I~x(La=b8e|dbb3LNN4GG!{pB`M#pD!DQkRS(mGJ2F)B=kK zKw4YzfTF2u;rs3|85B0QP3pd-Rq$3z{c|E(3J*ab`%~Kyj)}~!ua*v(X7JsO@mwY8 zJ4%@k&MjXfWkntFs*lgfTesm(?oQYn-zii&%CI~Oa$1ftWMl8}HT6sCLohl60K zrHA)j6zrHO+T)3%;DW(F{C~#vN zx>-8M!B@9?xd(U*jg)FBRv4PbcA{rk+D+DdgZMG=rpu>z94!yRQE`x>(t6ur?-Mi6 z4ap!928~+^@wl<{HJ2%c9~TujM=Q+k-j<%_y?0fq4<0ai^yZW~_u`tVD32;$)i)H* z5;Qu5vZom^W8>Skj!h%%1f4N8)S|PPKpTusvu;Q}2z79)I}9ZY_TCY*jYoksHFp{X-565xMCmOvbNM< zZU{$s;KPMPb(rN!+FAqaNwUJi!l+Gmj#7sA&eRvSFNHm{Fn(@3!WIb{BBgfyc*JwK zVVDDvJ4MgZUo?*2GC%2RVfjLZIQQ~1@|o+Vmk=qb6!m5sg@2glok(@mQ6n z)QPv07O3DJXXJqd5yk*!0pTF7oi^1oXVGXfes(kTkDb{^ng}Qu?jVbyZ(Cz;P0BoT zVng`urYRTIcvb~OoI{8>SnCeP1b8LvjI+w7Az7u|Y$b2#OAk?F`u;};*ZGIx-0x}UFbymQt7IwrshIQ$h*NDp3UQrf& z^#9@PouX_Fnsv>xwaT__+qP}nwr$(C?W$F_t5(^ztLs0fFLv)9`}7!nH!r@KGcv}^ z%r7I}cmgc127yi+U5TEQnLDbgV$1`0_I{$j=t*sn5-uZ6t;6LIbk!Ff=c%9Kmd7j) zX2$$)iS&R;oKX>u+xyvW^gd&S^o(iM-zBsQ)5552<4S1OJZYJET++qHwM(r0M*3_m zS}GK^h;}2THSN?~bWszv2o+A8pr4Oo4dJMYQJ~eV>_kZ0b+pfe>fve8l3f(1P-ry< z{{~41o34P(yHlJiJxZ|7MEXO1<>}9hkospwun4u1L_gw=TRDk*IiZ^t}V% zyA4q8Q^9Z07$tJzB``0J=q27Igd&7qQ~I+*0wv_nj~zfG&LDI)+3)UB+{T8=P~f)EShDxFqPs6mL7%&zas5z<0KvjoGPkm6 zs~jAWvO_+N1!n3)R6t<5O9U*(N|4iLpr1s(0T)wU+9g zFVIUW!Fu;0rXPe-RDjwbO2Gbm2?7m>WMmc9P#lFi?PMJKNfPy>T?WzvR)CBsYdg14 zxJoMj04{OXp+>>3_!%&cpAP(ZjVlTXQ_J}bq1V>+3{Sj-;u}9p8!ul&rMoHy1vh#L z1wjsB2%7Nbj`=ZA49k_)IogM?#+KtwRt8DNyFy&bsV6^Hlx++!MGCLPk29gNajSkd zH&y0T&UDoJrv;ahja|**i@ndUg3o~6^uLMjvYmi)uydKaT>b7lGyu5Bx8wsbLNN+g7dM&0>rYtGgl~IWI-J|%LgPqQjuA&xkF$#=36GJTy?0Wd z!r%{Pae9!w{=Vma)PFTqC<743ojcgbdE>wijYSX9`TBs5ZS%9oxd7BsZ zU{)y0Rp)pu-&H`>BmMVdihsg>1XUDo|K4B;+7%A^GhO6wOs;#q1*>z8BX~d2GtQ@H zvni=cvC|I9j{mepOk8($$!3mriKcb0l8Y+^)0^W~xRR^aCQW-1f~>u2w$@MYwi30>Byr0?+IZ|8#^pEm~Y$u9|1g{5!uC$NqKbf zh2m3xS92?UyhsCk#W7gSvGRlbt3Eu5?YApgjUX;Ee;=~Q1tG;`@rgH9YzW$0IuN=H zeOAp{6ll@=@-<6V?9Z>jZCLQKq`lo2=pn+mSee=|U}IEi#=3U-(6@L`)c)u9BC`jt z^o;2Wl**Nhz1>A|)Svplc|!vn(yzYkuPZtLvo-5T{`PU8Xv}D|&|~X|rQ}2A3{;Qi zD#@P1wUGmesqvZOJh%2k+B0($`a+}RT32S9I; z#%g&-GEHD9PnO7Z=Zp5J{yStt|Ne58s`wco;%_z;^wGU>1z)Il!6+(vd~ z_A5tvzz~9O?l~F%PqDD$V_u?^&@w-l_RmO|Gxzb_b<{#^FR}?XP2WEwhT#>x{tc$$ z`bjP3_r_=bC|q@r$8HE&b{%?5w`u+UWK~liVDJH;iYAmUYDo&QwtD|AChjeRhLFk5 zV;?K1grtk=N|_tHa{ zPR>KuWB^t+Z!+caO%<>fJJ=HB=BJGYQXV4>YH23*>BCB-R7CVQM)m3O7i8+q{>H5% zYl!8lz(Tc2<@y`vP%+Rkv^%!UN8lKCbY9yUqvc}t*97g}1&2_5E7jrgtQ|}5 z(iyZViC>b)`u47Mcw~eSZ|1`CV-XNGa%wOUT}Z)!8%S}0d%RI zu2NW(gR{gNYRO8LHJ~ARl|)V3tRkM zQ#N2`2)%GdQ?rLsd#3gr`E6swsVB3SeC2txeJ=-su(_FftNyaRp|B+_PKbl_hjq!) zm;cosyNc>o!+cw(+&ftFd^*G zKqNj)W>>R7WZ~XE#=?>-%Q02t(%e|HK%jwhQL+pb5G4|jOFSf>KUc#R;d%Y0PVBxv z?K5Zd__Ak?KNp$4ZZ;qOzH?81XAdbGa`$JAhIO!g_{J)EMyqV#$izj>=X9hq# zSWA)s!Aw2hj?hr@Hm;8o!c^z(57TJUr6vV*RTc<7l}Q@?B?s(dJt>OK(`gb zc+_o=hFJR5NYdumhGNGCO1KYg5fT2<7dPxh-eecbdL!o+_2LG5O0BV!Rqm(3OffyW zb9mmxBh%{JcxP?K^fn_{;OF2$aGSK(HVXE=SP=2Hj0?@XpwER0r@@Pb{V4SEb46>J znRq522K^EB$9~pPOKOwaI#!GN#?P-g3X4ma4YPdv;&Ln5arNh)tAYg;3(onCSl)YH z0S)ftvIj(u9>cdC$mh_PBhQw!>rv(ul181a0&i~taPdh5tA5r_8XU-)-1MLUPI&8u zpA>lDnLHoH{rkcE#5G)hykrJbYq+S39%OV-&T9MEV}B+^d+kpxZoKV6rumXvG57%o z_5qyPvwsL)VJx3ZpVssA*Tek@*b@h)Q`V}HX4YShHSzh}6;8*fdaGcHVysf{OB=Y3 z&IX(~UOu^8pF%@hi+OjAQPu;02<=d*Tg{G9Wa)K5u=;+uL5IrPQh?f?9|{GNCmM=G ze6g0d)ZdcNW|X(A6(jSjKHOLnm>vRlt&>wcxyKu<0kR9nK@HM>1JjUqGEcdC?en~5 zSNID9rKv@cvej%5H>;;0*TGVhoE18`qMUY8QpD2(n{~kGq6~65_8{n?oX9D?uVv4} zi|gwcn-3ok!$M<&4su&=Uv{4RwL)L>lkNlw<(SYnFCKKo!*DP4Xo9-Y*L^o3?!j4@ zPdFX~2OOWfA=uA?=1P~v=Kr8G_8RbaD8Ey&`{?-cH*~NFzqdMulC-so#kaz6zPGP$ z`8JRQ?y2s|)UEGt=myTorL$?u77aO*CJpYdbT(0Bp$X^Loz1fCaV2NdAMPT(TgnnL zzQBkfl#X^EOwOGhz1ZD`yoUo!d^v7*52FqniA2u#vdieZ7QUOi>%tcG%{yhLKU!sh z+Tm2mryM;4kbC=lNdF82SuP2E^V6G5lw9!fn!OqlZ+5-4V#jZ_8GBS2m45~#k;Dah zj1EA{f=Fg|*IivKJR|qLvS;H9&C~=WD@h;P%EjLq5K>}3&4FMzrdyxB$MLl4mG{?T z0k}BS#QQINOHdqo~6FnVZxiwzfBBaLV0z!=U9>JWBOONh>YXG@z^{&}%(( z9_QwjUHJ%;5kMDHqs}P)}~X;7>9?m2$P1 z##m5Dpwn{n%JBP~I;Nr?J-{(TkqyX>QO}Gh@rdErVs2nQ110mJZ+O3aknLkJ@M`P) z$lc5ww~~=H!hO~RXAL-j2regtsyz<6T6hqkH5{wncZqm(c8HKxb8rxj%*%E_eW3RV}VHx1#;|H z!j?LPK1AFA(AS!}qJdc%K7o6hLj`l%_g?kurWWFQuh?m~pnA-{A#lL$(E&f}_nxfj2kuE+BL-uRShrF6EYvCK7m+ZatW^mVJ#1T>Q z&CTx}&eY4zqq`vPfl!b9h%mvG+@T1Tb_>NiF)B+OWqd3v57I;)I*d77kVk!smB@18 zD=dmxcE*=gxo0mh*6i#dwnp_qjFs2M@|)YER^5#;oAqBVVjdKn5A!)E32jZMap>Fw z?)p?g0BP5hGBpP^h%X!QM;U>03nN1kk5{75T>C^}yo9@@_cf+)&M1b^9s@buYMP0` zkG=mU1Gtgx*xa4F-E=xJVtq@zo;U%vS7I)<7*qn~Z!sJ;wg|6OB5tQl0QW{iYtTRg z*_G9RF(7-ffP%MrAi(Qq*mJ9)RT}=XQSpo}uz8_bRaboBMq&5@;UU7Z8LTY*!$g`u z{)JlaYCw;#A}N;9lvYXx&q}7?+iP^)Aw@Z{o`ivANW}4H^q)J@{d(D_)l3FDs~02^ zzN%jGlhHB-)BaiP%R+1mSIYvbe6WA%ZtOb=Q!HvrZ|Q4r5d8JtjY&85Ks3bU8H7xD z9D6a#MA0jSBPAp@9+{6{ z>I&>nOxZ#_3v|Gqm2mzYD*$;F>{oz>;cV9)*w8|qN3CKUT>-4!_PCsn4QkxH14c^- z9~q2N64`=y->YbA%))q*Ww=yGhD8?QuMpP<`1p#Zj>Y$S@A*kPixA9d=*?J_Dh6hb z;|JevdvJqLXu<|nW4D$GX!tVJ?`EyA+<;^3&FFuF;6-0 zP%L-Esgog<98p-uB=0^N4!urQAjuSow#cmJFY;(6Szdu{ju)+TM_&yS&Mpp~@A9Wg z2)JCRzbwW0GNMW zN|#s5y$-)U`Sf8)ljQ_DbO^JCxxTcbyiOg;QAojitwTRSO*VmsNj3(QOjq#NS7Vpvvj({`)?Fv@uj`rA#6OFoXY`Z0Ouiqi=)7Hv4cWX zq!DF_%otGTA^Imx(ftsVP~1%|w@5Jek20Wv2xJpBR2=koBTA6wmDsj2Ra(RLZvNgH)6 zbqlI)6(eS8QOCJXXby=>>Dmz~&n=`MjH>|E=Qyd-P1HzQ5_; zQhv^%L>a&_1v91Jowmg9%8vbjSWVLA0tc2Z65&GU$WjXnpvycrt5tZ@mR;yE0~!Bm%DR8EQ%$YEP(P*(G~XR5{WzxktK1{Y0jrCZnu`*48Ek8~ z*E-@1Ha9s>iB)Qi5^DGKY^AFeTXYoZy`I?R zI)cQua6>#KII_dJ`^{VSOomN#NDbO0P)(gf!c+KqbB0;r)j3KD(1!*QEL$(1%tSxNHkJA-dx7Ei^Eck2yG?anVM#7 z%o+uQOziUI%yCkZ?8VQOS|N7o>6X?+Pl$HJU7hj$w5bOF6HS5!~N>saDRiDyvsdTli=Vwa<=y1 zGH{Ny!`K|hBG&e53V>7g@oWWgJ0Ud|jkst4N#lP{! z=xev>ILF^JHsgXy&y*JU>`)h=NAeU82T_oetBthVc3HQ30&3o7k873cY^AtDg8Nbs z&dotNQ~H0JQPpWJ)1sy@iULx?jvRLp?(9Gg1!=Kk4Nlrg^xqz|3ENTdWV`!DFsl|D5Gix zHTa@+Y;mB6go1_D(Z6QVsLJ2P`nH>-wbDonFitxlXbTY(ycyQ5o{lV>zbVv{JXLzO!a^K^vF%y3jj!$fVUGRT1{29bE*{lf z`q;HVB9qi)=?6Uc8=@Q&sd0niOHGd$^WPST!xF2doKPL|?E*F8lM zXqJL}HC{L7j-r5Ql79quv?-67t)_&eQXY&YXp~=!?9vsBGv2?wn&PGd2)RN!s)(Ax zuxjXEj(4X1pm;+$*f4@+X>xMEJEW0F~h&85f3WP*kT#x^S^ zcTBhf1xOa{A9==VEbEo9t(#VL2uVZnmiMj$-psC74HAJKWwPej zIXZT+82rl68hkh`=|*dcpdF9_MX}lHwFEa337{oRBX-MkFibR2CYD{ucR<+!MwP~N z-7~fkld!WL_i>s!_SxQ?drsY|BgH^Dmd_cN!ah?oE-}was>ZT$U%$sO6(6uGPYUbe)9syj}`3UaeWN6>%UqL#8lP3_fBil?IiQBMnxsX zbs9k@G^pwt#H8W{_oGtU4MT+nHEV&E>vSfCqZN#d2_O?@<%7uso_28mP{nPjN_R7B zGITsv0=*{xZRFSm#!=Cvq#q#P~2WP38xKUG9fM@kbcWEIfRa>%a6#1)gDw9X|Zq9!=a;%4Imc2rt1 zMbU5CI&hP%vD0o~9LOA7a&@!^GmCR7f<2Z*D()Ry%f}m;>9#T&M=d)|oprsPsb}5l z2TvC|8t#7zLjG5l@c$tv#zz0&_^czpIk8_E$A5BS0eDA1rf;-R=s?XDn#TN_)pznR zBfQ2HNuW}f%ntS6pW6wFGFqGxElafg$Qs^LC)eV<-9`5%oPV@;<6b(91J?%~Ytq>g z(IR=M%aY<|fBCE)9qF>UeXP~HAx2SEXCS|P)>JCmWP^@48aDthr`)CsvC|BlFuC5( zM^nzXB;px>$?kQN1&c>)*NpPYtVYGHX{*yI*xzQGHQog^3Kxs6v$fIz7xfm zS?W-;Ifb8}oSZpJ2x^hBu!T)B&aqe%U3$TDx)2w!$8guMJ6Z&~ov+dZOa*(o*wZ|% zSnX+IV74`UnP^8u5AWue=pF+tKMV|(`AYOJ*T?fAqEJ4LwPzJ9hz~{}ZzGQ;MlM=14-uUTEJh3oxZ9fV z2LG|p`=gPmA`hBNCY3yxi9-u3O!Wlqcc)J5td>9Fy6H|aE~BTlAxXc11jiIccmXJb zw=r7PEPKYfHLZv%kkvU=v7J)|e!${7)U~y%!{qK8$LEgrLDH`dVUWx<#6jx-bO2_M z9MGxFyPr88CoGk$yZW|q00qd#^>dGk@7Acp{ z*iH`w(!-SmP5;n%31a%lpTj3@X->S`1lZ6&Oxc?JobvOe8CmfS!U(C!`*XGCFOspQ zFM-crfPlP1B3kfQ^tL3z@ddpFDu@de-dJ(ii!qwq|M?CH%?bvJ_#yVnh=I=hbqeSnX)b!ER2B5yMa)P%Wgoc>@6i^||I$S^HY|X#3--h6YP(s-0 zbIn|FlzbBWN8_mextE5NT#C{`sL29u^%3flXP$$dGf3FVZ5}Gwsz>z!5}_26e;G;! z!yG)JA5wR%IhHVnHQ04uIms0K(iT}1{|K%jiRNX9*3@b_OF}*raKk}*dg|6TiiMxt3t3zmkXjbF zvoD>)X%b=#wuqZq+bzmgh8gRHKC?b;J@ZeP1vj6WZAdh6kESo2i?^n^+HOkNNE6U3 zoRS2#8P@x$uR*U;#Om5L-KkGQ!t&(H(ww7=(aY8iX?6R|lxiB`D-4v_5pwzlgyESH zElQ-T#@8&JX@asl+K|}!d3)_~lC+y5(F}wkiojlU8$u&Q(jGN%XLz(+g@ z`acR6|0`bpe?IY;SlIrXaB(C@!|sq3aqJ)LE7xfQPCpby0;j*e|DEfil15|`Q410q$%*SZT$-Fm_2#_Fm< zr0&bA{cC&g$4$18lY^qBsDmQAUziHrM``=3vp2|S^{$9kN;RU$W(F18#N~FKje|#> zCN1rgUC!6l_TBsqm+$?}{=VLrn4NM^A2yzk&JDkoB!dJ01#gPBT3WTh(Zv4#`C;?s zHn!~f_V!xZE|;kC53=cvif!*IQk3d`&^9f!NU7o8Rlad)B# z%&75WoSOGm>%*qMkfplkd2+Fni3J1R6{T`LZ?6%Zlv${Y-fbP(`X&5_q)aJlSyEE9 ziJ&WseQjx0lIuLvTL}yuz10mnK4a?J45V3TW!*}B5U(PPt}E$iR8N>y%d_WM2z0Vq zPhE%SKi46zGu=x=FKu+JaEaCDipsOC{g=Kaf+dZwi&ZV2ZELF2Yfu!Dq*0ZWRy$}h ziM3IYX*p)*x*&3oA!mBt{x$C(Szkv5wvnrCBXsz5QGL5wL>%qzON>uulwD1wbjcmc zewq?5gHLz!bsI=13!O;$1)7M3)t!MaB^ya%a;X}72A9j6h$7PixfAFzNW;!Cw*Qh< zkXB(sZ|)vSDBV#^CL8!43VKsbR`UzKV8SBfkhY}cdpQ&8Wl5?R_YafRy0UsWpS>w| zL7YDa3ola0cA` zzWAL+TF6*r&fsgSL%(hG={1KYeRRl-e8!ubw_<65<79*G_{!*| zwQ^QT*_;GS=aUJq5}(;U53dwWW+Az$N6@Pyu1c?!KcNwB(ZdX#We;{8zPu^HcZ@PFs zDAz7(($cow_Qg)RNG9QYF&$9WYCGL?TqL(e7FoP#QdMDMzqZ$vEP6Y`y3!n+a5tT$ zpD8yqQ88f+adn(SXpsGG?}KE}&(Z&P5yV4?o_kqvy7knnxfmuOu8xss>Edg!5Lc#Y z;UTk^G-@H-<<`nZrA8y)x>-fESV2#P_PqOXJKnsIR!YU8hihqiLWgXlxeU)zU5%Xc zwoll+%Q*$-wLKFX0qQJy@>l>`%1%SC@b!$T@Rh4RUbzUW+xO?r9BC5+OV7uG6R)~1 z7x0y{rGXO(%kVGN#8}$2VwduE(OIR#)L75`2i{J0bC**OU+|en4x^boa0Gc+ghvSi znm>Mld^7cF>RdUBhM?3{_)w_EbAa5X-I_0`QdKU)TJFCv2R(|K@MY6VJ)e&D4x86?wnI~nS>Tqm1K;-B zp;|7OW0oz8ERq-*b|6wpx!U%#)K{e20-ncNaBt?{25Rle9lp>g4L>gUhB7(@^&FEZ zQ$?5fxY%yzBt-PF9CXTjoVJg@B0%kyfX+Wrfrx{M!N-V<3O~cZVM^yp-%HD=_CvLH zk2^K?lz>@O_L_rO^~)MNY)|fofkZB>s^i56w1whin zaAzKqjBFl@l^X|JSf)THwwYK{?2<^hToMG#YM1%jSp$Od8VLg89go@lcLm zV+B@^UJX=Abd@NrcDFwI0Z}@sWA7)s(QQ5{wHp`xC45R2zlGUk2e>e{jaM#JonZy^125NzP_1g5FPl(eR%Ssb z%9|xG$ct@|6vVce#4zZDG3w-&8g+J%MmUR7MmQ&HAfA;TG$xv~z{vj!~xt+TgJ&h$j_HB~r7v zngJ(F-VWqxaq0{M1dQ2X<^M4Y`d2c z*4S$aG9APsXR459W57NMyjbJi*ih9@Sb>RMe4nTgZFvYycOlQQVC~O^upH(EV71#Q zP*w^4ftB&DpUt-3%@su$=?&6<8DOdWHNky7lGM6~E>U=#RAszGqg>x?rXzs=k!I9y zZAOki610E>U)RS8FkRC)kxwF%+O;MO@`Zj=EH2X2;8; zfcp;K)2}t(9uu~}Z`S;6pd)OUU;qV~pj;mc>BQ40-%c!4t-7F|j-3FT41*pjS@*2> zxV_1D1BZ(b6$fvIcUs?Kc3Yu0Z>|(>KBDRDr)iuPI6POD-PNAKo4(mDHMnmOP6aJu z<$cVla)_nHU*$a-O!;77>u$EbcP-pJ7sg7TD?Hlh*t{h6I280$aE(dx{AM#;<>RUk zPG|*m`#_DI$O8EGXL>Ch0kw6kIsR&4SbQpm`_vyE)nbu_Z-e%)uMPCg%#2YVw@H|9 z0As9ghG6O^5P{A!@F5e9x6qJYX}d`uf3`7SQ3^HSf~&;671vtGK4eN!+=WTx2Bto_ zWMJOBBnqHJ6Y=wOsHp)8z0#sGAetxdy$Q-k_KQZB)1zQDXauRUOjnNsIqp9t*)Oyvq<*m*hDw-A=#zO#ESvZY3UW zlGM5GO))IU&s6`u3EwOL&BtC$yL~=bZCi5WMU0(L^4D+3vw3Y3ICX<$psvE~hBYlR8%Ia>9;2cuaB@i|$JW=tR6C!9`cX+RfW zaxiyZvWG2*S!!^{+B|jT^`6-#!tQsgz*rV1`IjZINn$|Pd#N^{`@1BbQH_II1i_va ziyCyt2X*lup}u`0Y~OFBxSxA4GI$|(hKr;bbN=t)7MLx|5h3+S(PG0f$62Ktyd_oz|+^gGO;-palRuq=9wMb8LTB@co?z7eh!bvaBsNG z0$i-t*EKYR|5;nB2)Kv_E03MjoZ^a@iP_pJ*gsiExWifi#FF!H!eZ<5Zs7>=V#TdM zqWPn$hxeku22v>nhc|DAnDTX7tXodvz~Qxry}rWgSaf_#q4mSYM%j`wP_1<|`U8-~ zsru)C3Y`Ae&RzfWHIjjzh2g*T&>H!LL}9bV{wH!;z~@S5OG%P)Zj*r3|Cc1-CUM#} zam05V7d4j0HM+?R+p9ZktBy~hP?_$R#iAl-8&G|RfNeRNmUS-IyoG*>0$ zV?Neu`Dj32iTSkmHGLrF_c65hd$E}Hb^km~qMJ6T9=*ODnjDJ*(8gOTSXHT+F2M7i z)Pnvv|M}nMNhQ?4wUko%v=?^5hnv#NY@`COQdZ&n?djlJ+2C2ShU3~`<#gFOA>Z@S zT$@!;yLtUy+2qG|`_fv=ckyYq;j7B_eDn0Q3~)92t)qkWvFh61i+M-%aP(B-!n~xy z#2p>Wc-h?2Q~R~OmLaMlsc=cl8z-}R@7TNDr8pKi3Oi3Q{}SUycfh4hv##1`tq*tQgSJlgVMrbt^&(6 z_$?H_#Ug!rf+^hGdItC7;C8!!$yFD2t*>z=q^0KLCU0ai6|Mg#9Cx*|Wgido zV>zISeEBJY^rgNf=SC*ghSBr{E28w_4=@4e6aK`^dS!(^&`lO6#>#DeAvJp?FBvs|&&+Dgi$@zhs9|)U|Lx`0P zk&oP6Zy$G8={Z*9_C*`p%|Ild2i#sc$IhVpzoCDZCuyn1yUD&DJn%X!iJm)YN+)YE zo#*2_I$MEH?8=eYD^XO7rNhhgikG?nwg;6RTpxB!Io$L`?BL3(=D!|}Q)K*;d41da zx)oS@0?OaAJj?Z6QXf+5|D{+f+lCr>(6WB{XopKYvG!K7Q_aVC|iJnceAg?CfwG zeYh@~jZyLP2FG;l%shir{xUefjH+mwbMyj!_r0?Ne?8do`Fto|_y~s%D%l8YLhB;7 zS+oEyvzDV75y=#eDDYf(p)EbASomoOh<873t>-z+E<3QZ%P6@cu=-d8) zH05z~aUcN8%lMSlX*~m|*d?QP(Va=#r|+`{{ZM>HzgY$K&O9)8`z<{&R;z?{|W;2kcS3p={K- z()oh>h^u}Av^^P@PrI7&cVK5)d&>?E+DGi>=n@9vSs`u(zAx-qIVi17V3A`s@#ad1 zq77@KQictWwsmd7_HAPXb>y{xH679w?PX08LtO&#^4r0~)n1mr;bZHl^B)cbnY}v> zVn&n}8f|%#BMs`(^bzW^ggT}wVd`*3M;MbzprsANTO>I+KOn z%72)r1m3MV4VJCc#9uP&pL?gyAAGbb_bk+t3Mm~+ z`aoyv(LzL~T3Vt75;dhnig8DvWY!N9T;{|~kuUf#ab`(nm)|ad+8$|dIj)(NH@C_T z+oeecEf53_ZJh(6lP7QBwqrEtg=DENmDTNSmUCmCY-}sA^XCB zE5nj)RAL{}m}1HUEtmoZ!*c;$9{;t#YF8P>oOwNUY0TXo6QLMlsm{zCDCiNNMs6q` zlKLN`6J!)fGiwu{8Co-vNEKVF1#MInsC-j~4drtH1#1$a%;|kFcNxtC7#CF*XnR>L zEVG!LAt6H&qmw`jSb;-XXMiZ3`=o)xpc;8qI;A(p)<|UzIG|b}L9L7Kp-cqI=lly$ zH2Fi$)NWkUOhQU8ihZJO7K&%X#X29ZQ`N|!1w+7KYJQ#L+-JSA^yk+*%sOOOM%GQF zLLh_Hg5Wo{L`~WY!$I-|fJn%h#nL3o>Ao)ZI92=wXPg#z2MsRYZR!Q}@JxAd9U)}! z{~4^~mbyUjxvD~?KycAzfp@f$SE?Xpf!1Br)W-Hkmfs_Tki5KE#`Pxhl-|cm$37t? zlQz}WafMZnORTE1E*Uw)9pRi=UtCO6Fyw4i9ah9NlQ!koJO9pS70N*~J630K{BH4; z&HF(@NXPIU5Rf#6G(-L8X1fZ*ctuhsT~ZZpYv8Ow?%@_-DL!_Y73q)*l zVp0CblzCZ-H{TMfyqu}`CZN`UE+NZN>p2dkLxu8D04Pgmd>>YvPP13-$n?07}VVfxsRNP=snDqQH2OM?D^0}|`Pe9{dy)#5JGNuE#q{x)DH>u*! zY*T^qVgG^?XF!xry&eL1YCrDMs?40J(5BdV*lgBmvFhn+%ikr&pdX01`BYi@yD;hs z%HWn9+v;BKFk3zx8{o!jF-d+g9_0eTbE@Qv`E)QVP`NLEd?e9mKim_-@;IJaDs%BB zE+~xxs7Ira2z3463TKN2+#utN-xo|Kcy$jrUSPDX>MC(-aa%Vmcit}zJY1A$=wZ1@ zob#Vx<=tKstY;s>(KHLzeHi{-mUlgqxG2TASmW(gy}9DwWTG7o=?DdSS(CO89X}Lf zmA@N60L;ByL4)l%;tue!B7N>@;;%v9tJNo^fU|$s`fv=oXTNCVQ3FACqs&ZL;JIYA`t zJOJc>!nWI${}f^x`NtWu-cHr-n+u-54Qtgkv`N{EGdNth&Oye)Pjrz56pZL(FQP=s z!V*M)P)-Iwy}nC=AgNH0k=S4Ry4M|tTMmE^M!A)N|2k$G$j6O_D;nwtBL8`p2{^*X ztn_&WqRexEPvmbOzo(wNkC#dDO%Lf+`}6zzcybLC-vt@_b|-stj-SB(`*C5t$Uk$^ zcQ;=axYK+9zAn_gCY-GPv-_9BhE;<^{WCmLGLXD#GXl6v!Lu z>gSws5dg?8SMh@!I0Y4J(syd#V7OORgn{=ed)iTn_{>U(r}H@g39X2L!8O4~_>q3* zrLS0heRAuP*0Y`Vpizy9;$YM;K6_(8@m_nQWYLnr@V<}qz6I6R{PB!BH)bN}xt zNp{$De1iil`)zHN{<=Nz|03+2<0NagZPD(sZQHhO+je)^w(Tz4wry9JZC97N?5dab z?S0RA=f1b^{VP{S&N*Yoi1Eu9YsNxPnX{^6JP}Zs1R`4qr~da;vm@#k`^h3yM6ofb zU^;TmO~)FZZ}T}mMNze%QYTbw%5NDSHWg5CMmOIMwyVv4t~A5EEoYN7gMVM@9>q{D zOZ$d98}rt|>b>!|-~~Uu?4!k-)#1;Gi_~kYvY0&plEJ=6%g0)#G^8zRPa6T;?{M+npZI57W>=cM^X$7bD!-CyI;uo8Eoq_&B zsKMqVht<~B?Ak%QMDn8anD3)eO?Xr26vkZ;GN*lu>!V@pBNmN9HVcOYa!mcZgXLnf zmKOpIrPX40F#Cd@@%Xk;FV2~&GG)qwspi+OKc9wM8C*wxF-oEq8 zSG`U^|4F`7<7~Vj>LeYV5ZrnacKJ{tUaa?na{Um~Faw)0B}~@xXzY>S7Lr71-+fK&v88hF9v_E*HE2LczU#SGP&;WF=|ZX`-&V0ve4>(TUQlC zj?d{b(CUd&VP&<($7B20x6Ny?`I2a|LJlhbE@hq`i^VnR;-OwnwFQ5x_(0+^cU>L! z?>;Q?a};BM?;hPM^Q1@{Hy6BWm2Wmkp~SquF11^?3Bt+24f(Ql$EZN#i4TY}5$Euc zIOXC##;SXR!Rha>mg8=KN_Lb6f`T`)1g&7R5r{a+TsH;Q^w|d+td70C-CO7PeRcNG zc=em>{nkht1DSHs2gSufHA)d99xSbP^$|0Z|YL^kXB7&O?$?5tEVmV`p%LC+)^?ZvP;={ORC5Vep^x9klJc`p6c^us4Ih zrFP2|6&^lHNpYMV1GrYCy%aD>$^o3$C{*=|#MgEX&Mw!>=xyXrxM67!n(y`)I6-(m zMFF@VX``#UxIfu%c;7%^I1#H~_EX4BWAyp!;qEL8-9IXW$url)eJ#USud zZ89(=QdaFL@*G`a^Q887Li)oRb|-3f#haOkul*AG3>HjNmOTC%%zdR~UllsV<-#BZ zz~->|GOtmNn@wSyo*#owg};vYW_c2|B{UIo39-!k;Hn$e15H0eXNfAe_|=w}A4=3| z`m#>Vu1S1rMuPf=uaIYmy6tew7Je3lzAUxO#_MR`@}>KM{m&Kf9D5g0|J8QT? zb~sYreTtU^q7Wz1pI0^zI+dAf=P>TKg-78Zc%R-q-&;h2l$fKCXh5W76tcpGR4tIO z8p(A$ zLzSAJOu`dwJLxwy5Fy`pHvEa=ELRS4DwDH-qxU0J_%v4z_TF7yQsDt1u;GneHPPh; z61$X8We9=xHd{^46}Pw18HlSJAlL@1j;Et2MAg@pdz0D#Pe>)#_Z!`S$@YrP-`%u` zRoueI7Eeu-6^ONiF=wzGL{sy274&Lx-Pz#iy7$!7fu_K=6RoudhkhcaTPe=!!_)kH zMy)H~nk7(}baLA}&0c`g^o=#xQgIxtw6Lj4c1I@0ZXfL8X3_Mm#J= z<*N;eAj<6G@luoNfY`LgCwEo;93o@b`e2NUN2`(nWwFY_m`T=4ErDllzmNugxZ=44CghN1Tas-@ zE_Mz>a^tAS^0veUg_F>We90WE*Un|ll5PELxAvy8sxG;m-A04}k>JW9nep3=`36$Ra&Y?7ac+=`3%`m@3ApWBrA2)>=(riuOfI=4C(^xLYpjcNdWbQoV zr;G#gWFzXT9EwA!PeR#%>^7;{TFrq;`X#vB4|$-7j&z)&Qy*;^V5G^$`>}gEy*a3B zM!~^NMY-J55XC!BKun=SisvaGx%^c&YGDhPWnzyc5ax>^CN8My{#UYN8ntAw4Z16a z6tjDu?b>gdHGr4Q6ToQ^VA%r%__Ivb3 zUdkb(`2^q%pM~-{zc+aeVu1DP@~?~iJVPF)EwBp_Foyw#QsIF~wcO2g zY*Q=eLTmKumG~Wi1c*s*Gv`~!V_u4XNU6q8R+{eM&So|QVF0GHo!VOMwV!IlY2NIr1eg!(#%J_k^d3; z^;@K4)pRnAv-ToZHijuX2e*1OgnTpF;i(yfG+DNHl}p#=y>jRd^!aq5A@wF8_mcJq8Kt`hRknZKL05vSVr54={$1Tn0@5f2i+4?J1U7YwmJH|&>SSsyAr7P@8pHm>qKkLhEDG!D zY8&p!!YR}xYthBM??r`c5EIbcjUN#T2yDv1>c3et7IyE!4?0VCD^(Jl`wxSg;@xL5 z&Bz7x8m^7t$!&PrPzSSi>-U6Ew<#GGY}Q$~;p!KH7 zK~>=85b=7?k{{4C=R{z*a`Mpqv+>f%o*!h=8%G^PTo5Jd21v;2rROq56Nql11tRdt z2v@SrC?iRP&CvZ&xMhfnFP%AEFy2gEz5`5ozOBFF&_``E)qEpy3+BM0p_e-Y=Ylvsc+>fDqpch60D4dIBu6w4o_sSuAMU~2eQ*lI|!+kr;pH%bQt>4E_jr;SC0mM4JI0^xK} zoLaZh+U@bW|>CX>f7Qts~AGg+s`2+-lV3rkKKz;dZM%k$rO`w$Ljv3jedy(t==W z`lB5LnG1t*NDIXhW#f-fUT(H(hM(D=a$Qx-DuNcAJUYoGDvTrxj!Ui zZ1y?Ij5jAg;9N2XCg8Ui;o@yj2Ce))cr&6|Uky*6_gyE}NtkClB`5$tQ{BxHN@qXM zra#U$#}t@JnMoh+^6RQwe#7b?$yua&(3<4=JRx<6X!3-lgRe0yQYkU)YYS^&>K?I@_NKfhtaA zmJnuraJcj=8a!fIv_cU%w8`FcDyRnyuhgHarG|}i2%4#J1Xz}Aj^=ffu&~M4HYTTz z1FZ6dw0E6eTgl2o-({qrmBYkUHUUxLMHh5Y-4+6LF#&%bv%ZPMCoTKgIBV<1iNJxCc zj1qgoky743^ZqE9uao7_s{RZOm5Dm*xR zDN91dU{l?qttSMA-uBR-!S>QG%%ClU%dclpJAdvZ9m_sG2xH7HKEa|jRquIr+3uRs zww*?fMXz+YGquCF|BV+;j_z!0WKB+Y)C+0pl8xwA_JXDGd z{WkY{pw5+5`@`)Sd`aJLR*kc+?v}){_le-hoC|S7|A!+`kg%}MzHfItJN=#B!O`^n zI3LSnz}lJKr0`qL&vD)wK~_261+ugm57Ea*Cu>9-0(AnAQ^v#l$F`?8#^9^b51#5G4~F5? z__?JOR89{3jm~A7YHtS*GCI(oj3X~A8l0#OuAe}k$e&EP+^o;FnAz;Mn?!aE(MP++ zk<{k!NU92XBlIQ3rOu_Wf)ipCcVzYFCvWUUNJHv}vy4eyK9(Zvu+kzzIyBZc>aUQ0 z^(%enl%;ga2ZeH*HX@Aw!K%pzi7h!XwLj#96>GZ>Qzo}>wT$UYa$EE8>GNbP;dA+Z z*`#aT*^dL((zU+;oFG5iG*tY7rQFd~TG*qWa#XM@C^UY2(eRpBUV;;|%DO#_;aCYo zoWV)VJK_9Gv`qmmHm@8aSO=VPNXPtp`V?O4_k{^;Z5)}*mA@UtysUMnynfa^_GUG1 zP|Vnx;TbwKa+#~)G7OP9yLs^$X88NrsAA2}EZJFjr)zY2HvKgZ=G)~GqS-&%81*C_O4x<;O z1dLAnc8iTS<55B~@0^k;bJGk#I`-V0@zRaEaAT0T0ojd z=nqK^xK3|uHfeM7yQ_XZ*$vik8qqqtf7V*SuTI5N;?%jgZKskaxw4Nc&~3t z30?xsOQec9!IFBpn5?+>sF?TcH+MD$Q;Dv?{>do!?wJP=N@)%;1R(Er-X`&GRtxf;Hvo6WFKF~Gz$A)4-7RaBi zDOFCH19qa$+ApfXUlliX^qU^!=k+q=>xz0V&1uox76s?c;bwn+j_h3PuYJB)E}BcY z2faH5`O=nQ?3Yn|){x)YYIvAUe~#V1Mdkp{pH-0Ue#=s}6Ee(v@@VZ2SQ2ZiA%E{w z6X<_f^v1~;IHsVRqvL*FvA}e@A=DbyN6S-yCdUs$l;Z;;Jv}JEEI0HRR3Hzxhm_&K z^2@=?j|H1+G{BekWvV@*%!F~%dvYQy2m(rVqKlJa;YJM7^`{@b^|!XdPQgH5C_)p9qz4(<7a3g3iEW4>!LRsX{?wBmXNjCkP{?;7l+fD9RXkG zviQ#lTw?7+Z~x$sD3Ria*{quvrqT`jB~ky`y87;xU~Bcx@u1hhx#@;t$AN62 zHEsxRQ=S!snQ{Z74H2OjRE~sXdpJsdlEDd#6pPc-)N}AiF8bX1ikqk?yk9n*u`JHoL0@e-E|&`e{988Fk$x#LH$BlO}CRvu8%4 zh8lsS$Qf^_#5o=*V<}Hz;|xl$ct<2I0fSvtABi0^$wKU>&a(oS;KuOq$W#z4HhX*` z3Oi>i20L>2hLr{{=3wUUO=a){L-3A&n0?HYh_wO^S6LQDiVUD#>I7M}}ojG@B-N&Zem=1pR=$HJ5_@muz>p7gBE< zy_C5ji-kOa$axu4OuG0|C01qfMoC4`q@g_(ZE<{+G{bB}U`p*~_iqcjhM zT+5zlK27aSxic@Kf@`mkX63Q|WsU{5*f``minF%rO6+yb5Okl>Q8yp`5#t9E0jWLG z4wJ~=Js7D>puexMo2xC-Ep>yKwMLYa-wsIJ?cvDQe2HdVpRAa+YCwRaxsmBL95+ZL z3!E1ZN%E=?!D_WL71IW>c+J|ntdJ~4q;4-vnOnqkkk5vvn0KQhLM5r4=BvzzRViGU zv3msMpK76=?NgiO=UZ78lAdZqN97x5^4RS(?vpvEQm)&3L;r^%B-C0+>DKnQ0B=FlJF)0NeGRMEGS%L z-hFC5wK-05S8U5=XQWsKyYEkuOL|Iz5CEtq6>M~l`aTlI#@m`*=1UMcn-dj#W#0@# zJLtXuL1YXu3orxpAS;0U0EAM}9!gq!dY15q0Wn4=3*?NqHGJdmVI$ z>ybdA#U;TU%8gSYCJGP*e;`K^OCU<7gsW)VsTkW+lHF8DhM<2UL!d?~OQ2FX;B^tq z`*O|4kJVkx_wx(YAFkm?1(7N@YZJyKw_;LC&1;LK>nS`kqiTyYm1OLyeh$poDOJT@ zD^`oQNDZoRWTq8mBKEI0<@`R+_mZ$9bs@^Nkz@TL`YBWg zhOUe~Ubp9qlpSE3^Vn`?n@1rjQsRBS65J_x#3TiHgGc%Ca48Y_0y<>l6M;5hr(ueX zHPZPMfk?CyaFV;zhhl7e5oA^?6aYLZ0C-TOOQ29$(@6EaIozl!>^*Nb206wqB;1(p zMxNJgv5jG$Er_WWPH@OtGSUI`zeXX67EK6IpAq06yDp1vGjU^dSlg;Uq)Fp|M3V-b zxFP~1Qdb%Tl}$@OcR+pLVk(~x!YAyIW$BPj%ex9~Q4k2OXGyPR9)IG7K&ovV$6txY znn~yQXMEuy->||(q4pB^>Bgh3Zergec-_B>vz5Ga2}1)%PSGF zgEtiVS0X%mmjVTQ&^;{xEDq@uh5lN(tGtR(`jNQfu*8F&NQAln_w*+}WS;8rFVK#l zC;pD7J?{T>ktGMjhq)yesojHrcFo0qX2AM35E${gIpq}r{ z$piCbl+AlaxNQyKZg%FixA0&5^5pz|L083zCHtr|8WAx-c#qnBm@(m0{f3R5mPcj} zwKh-ZZE3fd?KVe7&F(*rJRY7*H^WxEes+}}q@vvkIi_a*4E(i>Z+?D2mM3MPS3f$2 zK3+L2<-^V)m^AMSMF#6Bf!iWqlaYo3QbMEmKXZ@Y^(de~+fRa3BoKVh+RPh-JuZ zb>|+&ApX_Jlp1E!9ZV|thB6b6pUPu~7hc3$bpn3I{=KzIdgi-=z152>gHUBD8u3D# zgJ2b@9S(1Y)xx{>a?tseg5c=A zoO{}>G17J?pTw&YgjsTjx_(DrdF~VX1;Jo4;Q{3m5^8OAu00FK+35z&cq0a%x**wM zLQvrPH&$NYtZ5}iYILcJU|N}|=bPfu)fv(`2=?bA9Le<5EDVBq2LorA%|>kb6}D=F z?>$XT*}uq#6KdSjCaoK-1J)G>(=3^lQJE6`9T2O88uAg}fLKSH$H!x{YAw8Od-7c@ zqp2oK-yd0E{DrnJRpu9KlQvpp;?x}HQ!dN7PJ9eR@M zHSc+mx@;3T1;3t7ur{O)tBPdc1<{=gW|y592H(G>9Rj6pwYsm;WGSo5qC?h72JIrc6qL_#-S1zyx>4V#T8e*I0Vl zGYvP7PHq>u(SS+X(Im(lK`Mu_+S!7rB`(kVMhuX0ILzZx50h~b;N`aRl{k4S*C_Ct zy?Bl-O2^D}WwO$|GaX%Gli$W;0s()7#Jfppx zdB^ACf{a75IaMOg?Xr8xVAfNyVMIDGXZmGT8G$_~4ZCrnNzr{Ej2J~FcIfky&$+BJ zKwVLPv#S>+b6JT1wcjp_S_|B)D%1;$LSm&t6CKyF0&GS`k%~rGRThg!vHpnhcnAz* zAbVXL1ml&9tQ(pXwikMsuq$7s7lJkGDc>-7m~dJ{WtKiY7Z9-A;5+ua%-m`na6y)< zs)>H_D62YH7)aXLsndyB3%?jMTg=3Isqn!wEA4QXFu?;=+4xOITnLu6;rq@Nvxf_Y z?8OsU_TnZ0m9f%tiD)G3JR?)UETmCN@{j`e7#Z9xCXRON0$WQhDSVm`p+IDfN+BGc z)O1#v09N+yq33J`O9a( zmPg35LnT8HOyf_8j!i{Q*4}k%dKK?$dV)2j5)8LIDUX!@kM#_7N{vGlW8|JOot8`3 zU9}GPpwt&}nDe1RD*kV?fcqh6=lgrZo?)XP;fGIPJcdCn%{^4#uG==`-tzsUYUcb}b z>v^wX`>y1$Gpb~yr`zlM&ZUW5BCl&RzbrG~^VWdRYsu-|=I`w1JKd*cna`Jt33UC< zuI{U=%(l;(`F-C`uj^p*_x%ZUUw$1ZTW=ipB8cI!BU@W*o3v?O^UH-SS#$Z=Z7*l@ z^D5X38@||I703KGHq#t6)aI8?qZ*(-5wb4+n!IaGtRbRZ)x}Z zXPKX#kADn9j=MfP+!tSa;BG5IgMAi0j~1&~(>oe6a>NQEIL}_UICwJJw$d6HGutwH zM!K+n7kKhHcJX4XP2Qef@4pXtJ-&x}x<1ao2X=6KJATe=zdud94>&yzl)(E?4B&6K z>jc)BPjtGLfXmwyb4D>d93{XkU0>~7rf(Hm+?8RzX>4!Tn{2vsywksJb6^m7GCCh0 zvOFBy=*VqN8eFWxUW5C3KJUr<`__}!BwzDmc`I&?rQc>E?!)ID0LquXz}utS-k%>G z@HRZ&AN!Ef>#vUiOKeBi`m^*t%09DBOi9J;#S$u2~s-sDRJW))?*hlz>OV^&y zJ)d?@SI3Elt1&B4+vHCQd=09obxxV9kfZ3v6yIKf+6=9{YY=9=sbfzvvx)-p@XkHqXUz?ZukpgGdsW@^bPg!4%lNBi^6{#W&lENRdD$?^nPp}yyB3jJ{al1$g!N4$(O8dW--^C(up`0ufJ4H;gY5_y!y4l79Ay1FA8vnk;Zl`jbBn{wzt^ra z;Xb~$=bPv=&)b`^$$5`q&psTUB*xu1F7Rnu{Sf->_f5X+darBVm!E-JAD+jbPobZN zSD$C~pWob+A;I=_BeJQN-L#bl+zl7t0^19mm#cfqG}jx+D#Fb4I(R} zdB$hrdF-pJz^Y~)=RxGdVn!7-MfhbgBqBIfl#7Mdt=Af#htNn3!fWD|*40N1!kXou zM~`{y6`aciA>C+{pX*n*Twi++#P8J%C1~At={#9mcYF%P`)*)hT@W}E7!%}J53B6RglDD@Gq`PU;pw%AC4;Vi3*g*s2%>VwndKz zL*v|8K~aQLOhzwo)mn%}EJIC|JoD2`9Om<`m&0_pKjf0a4S^8^NGwKAaODQOXRIzy zOKhOHQi8FVdecWxyyU=m4)v@{cPN5|=7S=g=BLAW%;(f5Y3v15 zCo;rzSbnG1zR2wtp0l{!fE+gO*LCuztR6yc$5eJ~l4G{mZX5sE5+JMp?OO3#I z{vOkt7ryYyPWhEf6b2HFp{D@Ihu(ZTa2`TyIynaOAzRsZC}qr}g$M?5E=3RQD~iu4 zD~(VWm`1ZT^w06OImUr4XRPW}n!XnYj0_O~I*?e5ow-nGd3qD>W?P+AxrZjFsk`>W z*Fw~#kJRTg9*6&!yiwPD;lt@=oe}UWvB)^&-Bxz_P67U0R$2j3BtQ&?zBE9z6#c5p z3Y6AddIW}^*ebCM)Oo9DbQm4H1Mh!LHZ>H6CoQx#eGNKylJ&nVu^lgKp2oR%wx28< zO%befCFUTsUQfI@qsrZa+M|yZ_aoCC#+_#B@5ySO7Pzm}Qxb^mf)CxZ-jB0tPO?2No6%_gias1PQTPiZaaO+L0X7;IHRv|-iPGx@y z78(rJ+SZ2z*Px&G)yYY6-f~)4b&eOEdjgjXVa0d=i!zBJT$NV11u07v)D9_3yTNG% zPEe>raKfxlCH}NU5hO7l{M)72*RO7QZiim4RpSuiIHU3V@>pFIC*_u~)N@CdC+r{+9J-1i6FLqVkRFWbmUK_K`OTXl5*1 zWX8ETh(-zXga%qW#1IZV_K9g`7xq`LD@enK4+c-mZ*!;WmF*Ho7|ZWFI0ImakB6LK zXX}V)xtg$he2oMJ!NqJy;MQIB!G6uZxP&);nn1qY_DO;?HZ>#3OepzBMb4z!vrF#z zq3hFt1Ni&XW0dAzsx_s}dM<$2hPo0bvg_LnQkw$$F?RQF&f?2_+aXoM@IhfQ$+%Vo zDtot45=f-w4-z869lFH$7mdtQ36gH>BC~LxZjoK>?HDBRwl<_t+xNS! zGW*;La>d^GH_hCzY`N*#l(_RmyX&oisb6L2`lz5J?d&IZ&PDi`J=!z`F@})ejI0>z zu%%NqR#1_lJQY)S>f%QZI})#;-wpC!*BN^XZXd~hdiI$$?)V{;`V5F2AiA8`AKc&G zMMz`Od-r|vhqMCMtVXiEo^#vf!J7B%BK-!_OS(sfm32A2Cj!AfMQYfx*!_`zCB<^4 zonXA=iNhm%XP};*M>FN&Eto6FuSO%o(?DMv$4-q#hNr6kWAB?oxE9u7xOijmO`JLP zFY;^|G>e%YUF;1NjXedz+QIquI)>SaDZ#VvD%s>O?FnpWb<&ClC)W`&*PVF^1UH_d z>2Bk4xrA5hh2iECL{nVl`uW46HV^j-$&(`4wHn24=@LunZ-()dK5`<(_qDPnT2|rV z=Hi2EqT6n!5k3=ODDbkQDhGtpi`w$gqcR8NkuvrfI%Y(+nkEsi1N8?7%z%bO6*HpW zfClbhCgkVeO62<9@bN!3HMGHtk&qsvO^9xaipHz3$(fMd7mR-Ew7{EC-Bk=R4Usyf zg>_oSQ&tmC$Q&3)$~5LvA;*^huECv%z*H_Xy=w_C!%BWVFh_=J(!^ z)#MdK60BX3T1fFq?;@ECH>E3XC>(i@NAu=)*VSMJW4rBAqn1_Rx2Lt)BsLL_${tU! z>f#|IYal@q5p!jJMz$-UzMZv&S%U7rZBrV>1VDgC7EG=>S}M0J^2-xxXSn{!=O0Eg zz+?{|C0MfYcxOe}K3EnRV7zQx=nshAgxKe6b_egaITkmqd2>bQcT+!u?nZE8b@6ix z0|pto8@5IVqAX;xuxE6TKg6?o5(wp@Q(2o}_Dp`l0*~(ha5r>pftVWif4p)KKAhf~ zg>q&Hr-fB5h!avV|C~#p1;5?y-fE;G(5Kq?LF4QL`#sXmbma5!dh0GZIV*5@x40+= zj1Bua)(PBRtT8Yyc-qO1WShO;D=!RcBxQ`NH^tQB?a4a~11*3K9s$y-)${EBdG>P$ z{c{`sqeq@I|GW%bnoZW6KOu>Gt?_>8Gx;Y-@DC9-q zu+szM?Q{JUwJ;LHN&*zo7mHbVM?Un&E%kuqTk%rU+dC%YeeD}EwnV~RiZHkg^y-;@1`7rMIt+1_W$0IF_(GlO-<$BEwmc#Yb z9z5Fi*S_Pg^&7uWxPHIwsdOvfGtx;veoq(s-NoC-h;4WRKI7w})!!c20Vl-`wO3Pk7{Wt_`ct>~8fA2uj^# zL&-;PYkTvrm^+{BVoWO+Pmj7rpKF%hc%19ensuq)@4Y2tZuo+fe9;rRVC0h*4D0@K z_BaOz#}=N=i{CUCuxE_tH9o6o;z&u$C->$xqByX3KC6#z+G#c)ORg(W-Kbzq? z-{`=67wNCRm7HOiV>mvgz)EvqCNV4{s(=|p8j-51K*t_9IyXL*i;y%mRr{Oa&`beZ z>UcAeu~WPgRRzp2oX8Bdu@$Gv@W-K<1@!o_*~$-&1GAYkNpZbFIDqby?+2V~415Kc zNdyF#XE-vOfsSw`z{35~>_LcJr2$3B8G?iq85xEpyKxzUHRO6=Kb!y>*#k-JBp2$J znhjWRwv|mtRtO_|pq@e}%KXWfmM4vx5k#e_+JJ!)T-|{2gcY^{>&kZHrdY+Y^oSss zOJu0X*0BML|B9VQ{uSGBaw-=c#a2+%*m61mTGSLpQi>o!Wps+*7JvYSh^Zsg6Qes$vY1wrMq6E~p zVY*-qZ@_p6UgH@Ge~Eh}F$9&q|HACwLuRKsr0;?u5`-M^1)N|261Nt7-hknTH3lS} z>(h5ycHi^b+YS?fRhD@byE@n`SOf{F!k+?wNm|L*d{6-}t1$&& zrjA;(GjRpTTo!Vm=Mn3l`N#*%M_0%fV? zK}H_&{{dw0LgW{BFaX?%42zdMp#0L-kOT7ZEMGv%bgcjw5FNY$^AnMBL#mlcE5tUj1qZsau0E}X7uJwEpvIz+ZBMK8Ci6Y4VBD;SX`WGP?zX-_~w3&d5gD8wd z6y$1)f)foGO>!$>G_qf#d8Sy=U_o=lu)0tDq9Y+FfG|V=0st%1e-XeKKuB66yi&-R zl!sFv^Dqh&(HVii90yQouSf~gb@eoR|9dlGYmxYPT`CeWNJI)9wNN`6CG&zB}x&FRaDE{cmTe1TxW<*e{H0 z7id5W5p=e{L~veM3pRrFnKTc|wc0`mTLU(c@}PDaq^tc9kRjjz?2%3sE6R;}ekVpZ zKU{DKYN2&>N={pqmJHCC0{Lj6rKKE)7Y|9i#g=LTP0UE!Jh&6#Rp0{1lTlG;mKGu< zUt0y^TCEbVs;@bfVXta3C^GgRXXf2qGTCu06~etL9kR^S#;|`vZn^&}K%cxdA|UJd zu*@b{?3zqC(Q2&cSlv^!`Q;?=8Hu#-6wx9fdDHYprJaz-%CZN+ zV*!2-1+WzvmN&sR`xER6>#suH^Q)3Dy;Qf2O0ojJy1;ED@UPK)i-U5nNk0SX=slli zkZce(T?0~6f94;#NwXZdiDQjj|FRgpmSgGMr=2sX)vjYWg#wxgh-uMDb-Ky6a2wI4 zljpLZ{soR}+s1R6%PNhGbG5JoFs?5g7_qV7*g}Hm+{$NLrBo6$ap*KHcJfM~Mc~?E zlI3CO5pB8cn#*pWvnbqBJkwQw+J#QjDfbwTP4`ccS)F_)(+XaVp}rqaqoOe z_K@UvBg;T)(b`(z@7H{-tRu}Kh`_lovKP*q|H~oZXQ(5^_Jb(QCrEGGZ?J|_Lkly4`Ha>1;5F|*>(!7Vww!keF2;rGmRSz-O4DszqJ0A3oyp1azbW(- z6PJSMD3DlkpsCa=#6jrF2+uyUiu5paX?~Y9dX%mhs0r@7v6)Epe+l-c zcB0sZwuw(Aa{FEfk0T+22owfSewJHh-I5hTZCEu4+m*91nPT6*_Jh)84MvlaPWDnY zSCzFTs4a+$a%k&MP$o(dE*W`n;3-eqpKAfRDpghvLgFDDoeH6SCb>O9VGMyrmW62# z`#~C+JWo;l7vfFPOoIUNW*zk6T+}I5j&2#c&MVV2JlnXtJ&my=#;6+6F)H-PSWGRU zx2`#b!~P3FVPOq1g(yOCSXo17k!vDcW{~CdqqRtIW(2IgLetYl&@%ew{VLwBe!a!OLN6X#O65s|z|@;N-w8m}G)o-d z48Zthhh7PgQQWJmZnan931J#g5r|rm3qu!k$JP9Bcct0}ftwuYgmTO3R|lsxLGZ~} zr*K;}KF>{nrd=}tr8?{_v4B%k-g zu3Np~Kzv|Aq|aH3(WadjZ#UGm;FBOPOim#b{@BYx2;-;iM!vW*@Ozy}(# zBk%j`>6;(V!k@c`_fM|?guy&m!gye?hU$?P=x zm#JHD#Q)=)cdH^BueflVJsf5Cc!-oHoDDz<#&}q zIB=GtN$VdW+8Sv~@UitK%;nj=cuMb3ylXarSc$xEE|GC`_h|%bJlmdfQR5HPwqe0zr0)> z>dUW1G(Km}ovLP}eZk@z?jD_ssFVNR;4-wbZJug$t_};$_>jm*ILrqODEX#RP@o&@ zoBSABQHK~G{+C4sXi;GIn8rm!#aCr4mBPY)OmzSdWE(9hwytO1F08I*8CjlZ0#^kr zJ{xhsMFF7ShP#F2*@i#l1~82E=_&9&Y`(-Lpa8r5r5`a~0s0Z+l^Zq`R8V(@+X2N#40iqSvSf7vr+t|0p@Sjd=L`k%X z@r`r71Nue2$4SF@1+3Knqw9Z)-igNNjuZ(j0SZcl!`+kf5p^{P$J?It zrU&+4npzEpJLac`7UKhIL_On!E7mX|IYImDs(+Lgpr!~=mtUSq0MB`b;gx0R2=r2{ zfPd=pZvSIc#!=n?ahWXhF*os5v|pj`3IQbELrZ~g>|0_ORab+KLchrR-=*8HE(89% z%WE6M{bcliHVkSy|9i;)_N>uh`~UM4iv%c7xE~I4K^U(v|Lj;$j}NV&YyR)C`>mHe zgrdCR06PFPYvOGfuT1h^fT;lHN^b%%S2a{yyuY?RF-6kFU|zQXO6}ipJYvByKHLZF zp`M5QS5f~Ts1^QumbXM~zr-bAsz6n18;#DXK}?O$uUMk~aSVR@&yK^S|ESXca%8?Y z`f>~bI1(92fVoGI1WF8s8J0)@gm9EMK>tO(cMbPr$~T3fm=kGZ(y*d1YGk9NuRf|oLK`my`@MMHA= zjHM6(;a{NV+dqQe=^f;tBN{WMqkcT7um8ELu;w5;zFnJPhVNUONsW#&TYp9^I(k6u zyS$!U;`7w3|By@?a_vZ*6K{Z>@+`xG~o$mV|v-e%^y>RJ{S zGOT%=`qpJ<&RVkjL7<)2Pb{X`E@$pq7VbJO_(V1jtV&B+*V~e({v!!Ru1WP3W)}EB zEbi9(AJab}&tKL`OPkmB*eGqed282mO3$q+fL!S7O@$P(@PHw9TucUsql4MFoeIcc zbEFqb_ZD9GzW9D!1cWBFQK{tr=H^3AFQ^6}CJtfs+Fgdaw7D^nm^m}?dk(fz`<=6# z0nZ-bwtnLNmkr`jzfVspr=#2HXgtC zIE22#Iuu6b-5`toy81o9ZbE4l-TH6?hLtBNrj7rYh82#;Kza`)US6))^#>hhJsn+) zW2=Mfn#*6*X~|{l33&5aoC?@8m!^%*6}F3H$$zh*$it z5l2x}G^l2DZudhE7@0qeS-P?Y3nT*oHp7DkBMelulDc?LQh42G{>^8r2yC#kWBT9+ zZwlL!EvLAZ^jHKsPBZ*%v$Twp{G&N>*AE56up2p|xwwV6gWvNip4QX8 zf;9>_jj>7)#zV+0H|5UMESnZI6NLl!CJx@VCX>%@pfvQ6l99+YZ49K;V14Z;(;9b# z)RxrAScU|Rl+w(p^xg|c>>h_6JtSgp`Rf^d^GCE7inNV27^P{^hw8zm-Hv2lT(-+` z;9`v#E`&#`79NCP~bFeXavWfgmgs8{_{IhXwrlA9#zAg^A&R3&_RB#{U0?V(YBO z;ISwCgJPGBUV?H>S?WSHLM^Mg`QLc3fF1T@VKr=!!ql0lC%8s`yqd)IS51<*k6Ecc zVa1U-<7dMVYzDNJZRPNz1?GJnx+nawZ-~Ti;sSP5jO6O>_5D02^yTXI{5)?=oyqmS zP3EK>WXjR~JbPgVi%jJC`3reGtAx|*HZsur+=;R-uDl8aAP zi;?4DieReL6DILJO%OhRcVgpPWPYzYF(2;Wx8%3<*~^(rcHopUH@2#sdJpBM^af%v zUOy`3YH1QwJQz-Yb79S>$x^XL@b@(Tp21jY#;6;~5L``E3{07@a4LC_{B5uaGWhK` z+E@RlURO!^Yo?xVcl*lTNu-{fFX`RmeeeCcIa&L&73yVf`{DWEFXF8+Ov7uot}&Cbkdv8-G}rHAF?8i60h`?aYNS0^dR*=$zmvW3**2@7cQ$ zO)jKlMkGg@lwkk-=8>m9;KI5Tbz*{jSa04@Yi2wtc^1KyGTGhLt;D|d{xGqAayYz1 zJ438Eu63E|*l>7gK!ed_%QTa&rHz(K=E%RXNz1;~u6n=wC|i+9|2Ma%t)ovW(;(xP zE(@=9ZtZEAU$0w%5>s;$-b&e4$K2;fapb_m)Q?NK-NQRQN}{i9J}>dFHwoWvUti1G zt>b287BGI5g@yU*=m-bx?h_04#0kTN&YraK*D)U3%g(y_4BJcoS-Y3l~Jc=nw=ZmDN{_1RHH7Ze@JhAg9+w3E}+cK23wKdB~$TF*mK#)^&(Ej4kTQ|56dUN+^@L1U6 zDKlh#iRYRS*PGorO))!Z<+)9v#|bEkC1)>#-CNc`6LoBg-wsMJXnv^W4^D>igYd;- zgsm4XGx}~HVqcRPSxv|J8chacW4 zGc`yeUE*Vhzu&1YqPI-HL3m96MZ5t#79_-dlD6`h<8QCPQk5cnIO4^twfuz#r}=E4 zs{~&U!?HgD}PBK(?LO@rNfAGvfy~gM;jA282 zWtw5X;!hOzW-w&ldKiu6oK##kW&EM&k>xEZkZkhSXrB6$)2Fq)CGB*0Mq`X3k!wlJmvFhOQf zA&(ePL59*aQ@1$iARxB_YE|uTh!3Rv}8j7SAG0o-b4N;qLUQl1(VfAk&}R&u&_m!yu#69KfyhDN`+z?rU)%e!8B%A;=ldLf<=q4mVWuR5E$|CqqGQ zpsbK4Pu(R=bDyO=OUFfL(ik3}wDO3@I=cPOBzJg?DJ4GSkoV?LAwX{`@>fFs;m4NJ99te9(Hd4kofBaf-_ObiD1e^F4TPKe4D z%-%f)lvoza4oYLuYeG8P2NP!I0O9>^yU@;tT^a6*cP~-IY0}t$v`iek*NA#^p{z52X>bc{fP@rP|BhrFor0kB{YWrC>A8x zQ{fM!Fj>4uOzn@Ar;zJP{@I8?Nv(cFk!k@7U3-F4G6TqMYaMT;ajL8-AcUy*Ls3$# z^Dp+YkjL_Pm#0wcusLC2BW(X=Y;meqNgMxHdN6N$HUbtW!il~*7*hKwt1mj&seIl{ z=J$?(*B-y~-Uv&mbg>OGM&QnuC}>6Q9pcz?``{%%znXrwoHG*z>qryx*xh@wev)rFa49Es1f0>+Bp7|N75Ql|SG; zgAU}#Lb^g2gfU6k+mX1UKghpW-nA2V3n*vFAeq6ydWPye1X8hF!rUnI4CR%V&}NAV zgfL;XRTjck6oTB2;ex)0Wg|o9GGk=ttu2T7%`C;CAvvg0jrNO6h7GEe)rAGh$svbU zDv=3Bo41nW)Dhmu>}=32Li#<8qCo#O-g1yht!B1?B}Ii!R~R13mLJ+7nh1a!?DVA` zZK6pv)js&8+-^Sz|04JhOT~WqL=?lBMFXH_w{ZnQ3Xsv_r`uq#A*yfF+|3b)4_N68 z3!ox=cxzh}#;Y-%3d&H|J|RLqBXk83=a?18Lt>&HLJ80j*+HI&qTpBt$6H>iV(}v# z;nG5Kgv~b=jTb^lMFZ=${PQ!)!py>N2(mhPglJ zd)eJ~BcaxKE_2n1FGbqU*d0vu-uZW0=*_;m%&!b#f-g_3anRcIc%j4L%o*C+QuN)& z3QwV0d%nJ3{H@DhJEgmpnW621uGQl<`!N}&y*gM`zvs-LrM}nX?HVY7K!#&Gxvwqu zfx8?G2xQW)AKZ1^_mxkysIX)-jdy4{PbQG`utF3d*|*oh#9cR?qBizmP%R7{fG$)y zE@CwH#8WN|i4I6Hn=;&3z~t=|gWZ$!g@Lei>HBRCxq|qGq$18{y1jW9hl76*pksWS z&3C)OlNx^7K%{zTeEUI9j*Y=4fBS5Mc$Z!RN{MBL8B}?gRIIOb|8o? z{)~DWmJ{xFZu;{!*JYvJNM*pRj*oi|A?o)EQ#l$i#h+14y#ts9447cJWne3S+jp`=UgEhZZlY&=Ibs2Xik6hwh21Y37I&3z{a2Tm2Sx8~qD9qB z3#{Wgl_gP@=|hm+DeuAOi;9P$zNKWCiD6kAD{6AFO(=@y2Uj2NiP{u}M-te4qnU9& z&mYwZ)7WaYN%7YzRmQKv8M_TD!=eecw7dbK`SH3IBMRp1!uDGTeooUa{t)gsd<69L zV?l;lU)COlgT$u4VW^JC{HDPM#%P9nk4VWo_Wmz;c`+g1lZ98Wx1>eP*Lm;P_>3RlN(U}Je?H#(Jl{`L zpHHyzAM!al-4iF2Nh?W^-tH081;s@=ql>7|IKh}twqAxGt%Q7v-f}=dUF8C$T50U# zhhWo3J3y2UEd{QiNI_CJ=z(AF$s#$o5;y}qy= zUX~Je-}%D9-jaRTzwf`g-&E(>AHbf9Yh7SUTYOY)8QOgqKktbcSp7oV?|-6qXPFGl z4ZbGu6_L>rq5H;11WNDs0o#QR?~VUcjo=+Ro&7Ukr{9b5+h?GRhHrhPeni6BLdihu z<;n!G{rYzXEy)Jk@jq9DyYMExrL;|1^vyIJyr#mhZvjB?<cwML}Vx zDwrrkMoUBV(cR0agvpZm*)v+FJm@4aWA`fvmjbFjCyJ7N76U`RD*Td({Wx9PcgrY^ zDIVV<&&j{~zk0Z;j9`UKDpx8?ik0-agTs5UE^w^oMo0<7T#rZBR9H3j(i zVXFg<$kajaRl_;S4}*1;VniZtl@SF5I08`_cRXtY(3dOLi)(g@jDM2SdN`l@hK9BT zG*AEm>hdEXg1GA24+1)2FlR4Uo(P>|lK2++j{hCh#{#Nj_YOgS2EqVe@X;WZS{dhI%6qR{0~JAdiRSZCd-Ct z_5W=}p7z0Uan4uIC`0!d|E$o-+<~cAc>B&%fFbf$irFMigz4?piiW_arwZQq>A$H+ zORkWtLy~>!M6sDc&A~;Gnas9F1BuwL{Tia3R4N4*wLjnJCdTKDR$Ww*do5$$c%kY` zq9~uXv)u&NwCm|4exm?G=HV&sv%uV~kq{S7bwf4f`&)$8Xq)fLnK2xyjf_!4S&ki7PcqWv83>%hx_>C|g*S!G6jVWl^*pMUlnoT|qVs;74)T{E$i5R^#kLPs6^i(MEko(sC-@ z3G?}ND~xph8*2!TsbnKjOT7$lAw_WDBbh^28X{EDkW6H9b&}BpMIK5Bjc{Oe2n7G*Cu^=iQV= zg8o@5Fa(8RXFnArJZII;#CiP|MLW#=?uF!a5gSn-hCD>V1QoX02j=iLvpp?JhvE}k zFB%#tP)#z=PN52h7iItpUWB*FV&^w>U*R=Mk_yo~x+vlvn{m)M?;9w=QUa9-Yr{a{ z24Jkp>yY4_A5-jn(4bJGgY}7JBs&IGX$)J>LR%JXklx`ng#WG`s!iFG(T)Q5S(Gg1Pn zC`y{;kZ+CDBuX|iWYjV{08B0s#KL*E=X2C~c|{SOeApi@W*JsKxyRBlyUY8Q;Jez^l zjGT+gC=u+0mNNGw74r&iE7j!fmQW4AZ)fGe3Q7bej`F;BF%9EOw4g2?_oPu=HO;Nl zkeQ)4t`4UbrTp3kfF6@t$V%>ELq<)Th-tz~wE{<_G76uF6K_fNtBN7`xNBrTW858% zhO8+o^fXT>?+&0oZdOibTH?hg-JG2@j}pvGuhOB78zIaZLnw-aac89obuG~j`b^`= zjlk{`X5c%MmNw&iHc6hFj-AY}*%;v=Lz(Lf+w4m(5n5Fg>a1QG@Bk*pv=K(xXi=gzLNk$n@NCNNBurf zs?1Fr=KnlkmizZ$b!?>$oT*wo=&VmUqTN!2_%I|`E7tFy9{UOHZ$b>ovHuh@fS~;+ z4d4DhX+xfaX%s^napr%C{xYB&U5+V7wNvZRg~$;b-VJ)Oald2B|3;EC^KlA_C0W2T zCfsz1_ge>cOY`4_{&+yRA(DI-p0p&Rz!qEfV(oE$oiND`^(NCEX$2ji(+OaEz;ZKm zTve)XmhY%@k#acC;TJs_{m==zBk6lp_D}bq>3>8V5T$Y8nz#sj7&O+O+hgXM$F!cp zp!LvwcdZxXnQbbG!h~E{5;J&jl?>6<8ZWqLbUItAm%*?u(ZHQ95o#?jn^}%1FO!5A zv^cF~X4Gl=O|z+H^yhOn#G!f{N2BngiC|qoeA)aW z@O(gtqrS?+CDjOLA}Z30tCap?**p%qR@dk#x!&)#|hbB(j2 zKl0*8GXS@p1bpBs9X}3pQyI(Ztl311PddRJxrIK@jM(u0cxU}K^DzOateT_E_G5Qt zMJdntMyFcctP+ z3(BWY-v_k!hP7kEGf4!TCV03Jw9P5Gv-WpCe2BBohwQn|zZ09fS?_Pt2$J12qLRys z>tN0<&5m9ngFDy6qDa2n{1fvCU!~6d2{gYac+h#~5{k*>T+Z$qb3YTTre}J59DN@5 zgJs5>lz(S_J2IolBBP;n%dk%eti3xx8*Y87e_#0~@8IxrtLfwM;^oi!u$pu`vek?9 z?IGcj*-ZYTZ*J~~OB04)jj}X6SZmtWNC#Z9jS05grgo1G0|9QD36~0w@(h2$ zAS0*?Vn3O9|ACGjRdW8?2kk`Abb~f;xBRun?h(&KkLn?Is9Cz-%%27L=w9jP$sDQY zw6jvoV(;&IJki#q*~LOLMukWmNIj*uiT#rOiX%dHb8qzkDwSO)yM$62^GO!>JA3zh zOxLqw_45==!+32v`hCNJ;2mH{dhKdE0 zQK3#N{-s#u5t%v()qF)A9ZPksJZqN!&AvWlPMxo3nG6DuyQQI39vD|17xOO+8o0Sy zb={ZNry0_x%VR_LMA(|SL>pXE$r@4>TCAzP`}B9z6Zl3)!!UV`qPE}{?3%8`>j5@Tp54IwiaA@N$u2~L*{kd4d(NIkDEt*g9&-()c|V44^?7dL24 z-mt=ewtjn=CSls?NEYfqL}Yv#L;+8;$kEUW=^gORSCp`VUu^HD!8vyD zd|%KRH@bCIwk$kxCuiq#p z*@FnLNjXYXq99fdV>f9*IP`czw{k>Fmyrp_Z;f-Pg7d-$!+BI9m=5}Vo^2%Yz@sP4 z`wN%KwkiHbH}&XXM6!NB%w?JY3|p2B4q@#ok~sSLt3WvCS-}e7Qf1}HyhI7J76xM9 zG9MaVQEw&GVB8<~E>X?Y_hTaruHqu8-%NZL-Yv@7N@RiY|5+`)lvu+bpxnr(-V1%l z+Yk&nNuqCqU3YC#yz3KM2x}4gE5Wy__SfI7uM@5}n8KFoJehi2%Yi3#cPoaHLq`h4 z#H>M3F8_x7!1?!G{HUCI%rEr}Gtgsfp=i1R)@^rd1{2-LlPx^!0i?kU)2wy^Pbq ze-Q}8%qTdfM8v7=DJ^R6D2|n{A+K}@rJR?beRCn0FYpYZuW>os$LLiH+}uQD5n!V! zf3FMvp-hFyoJ&R0kbjdH)Dy+0>X1Bch*8-MIfFvGbXr(!RL>Eqxg~-?(x18N!sXzCT%ni=eC}+FgRme&mkJN%NZc;j;#odCFR5uPCsCJqUbFM zE&+Gt!O5vNdn}SgZ|>Buu;L8(biW@48^!9d{s>3ta5(P#8n8dnrb{rostN znXv4I;3n&&qrfad=zRQ)c#^3g*artf;MV86{MWbkAlfuDM---pkXr?!<>)F^j=A5D zd=3sLRh}KC$0)3K=fS%f+=o<_dE+g*-C{*A`B55m_l}~YgPdPto8Z>Hs3k-*Tm{us z--)1byM7^V%C7u`S&aOM4lgKqwxoC-!9eqNRvCz*<4-@6${NU?b}k->E+lTd+7sU* zSyf6n+<)f3$*iB87s{eq)E>VcUHS(o?l(f_D{M|r!#eaVIA67oc>DwO?o21QR~0k6;>9fd z&fr5de$bfN;h#-Us;|C_ZqZqMvp<^?fruFV9Y+in7h;**ZPc0&+$!R65u5N(+c^E* z*jJN7ab6oVGP_^B*$*a8NQIqaypU61qd1+$_i80Bj{5NFY+|N;V;T*ed~VlVLh#Pk zso+vMg2qWEnh>Tul~1i_&uysMB!250SfnaW`Ws~Fp;S@aFIRQ*4}+;4 zrZyr^Kn;eITP<4aL~1NJwNi<2vQ_uNvzoSk3al~o-{gBaA%>KlPMOGI^_;^s+bdAY zLR3DCg+&Mn6uSo6f3f5He^%8m)G<_eT*|M+3NBbSfDaB&$OfWMMRoM^l zDKVDEWciR-ejT8UH4x$EhQOi_T{*1VP_Nn#3qZE5skd)x(^N^9M#;K-B6vTWX`6g< zj**q_z{w&Q7twC?nRnMF-bDf6Ese4DbH&3Lo-%_d8^OLIKC8BcMS*v#y*YbY&Mc(6 z;x+YX(=2!Xm}vpD5T01&{;BK7tUcvTwojfQPTQ_bL#df1q7i0U2)m!3%u&RNWE*5@ zEX^7@_l`4F9loEJA!QX{wv2u?FR9k1pR!5;kjIt$9fV*4deuO^52qCMm^jXv*Z}NL z(g|_g^&l45pC4=zMCn*RiAs0W!A^GWQ6<1xWr#cvpkRwi7mUF;6}|}*@v=tH+mi~X zIsX)CteOb4Y^3wG<3w7nudzqk7E12NG1l=m46Hg6C}cZ>tL>8=Y^t&>Lbpm|l^?9C zRI`b5cIS#V)mgB^Kf^JDXO~sK!ZrMlp>l@QLw)7D%xDJ>b&$pi3*JNrPZs%^hZv>$ z<_Oy7ViOzsac>^B~?kPHFh~@ zi;CsqXp7Z>#~;}%){@osY5_L{)9aqt zViAZ9)ltzVT4?q(>$i5Vt0}<^1X~IZ3J!r*6AKnp>lqcH23k2d;8vDY875i;8)K|B z;dL?A(T*Uv6*MWZD)<-tVpeh1_~wh#$=KR^8DSQGmL;gu7%cOx(k_?=vDyV&4o+B7 ztbYzUTUln&o^t@NuN(AGI& zEWR7GS20dz)6YM2QCKIy8$wZqa#CE!Tg+m5ItXY1CX2Fb+yF3H6xD&rVgO7QiYj2T z1Y4&7it1Ya{swbyTuDLtviZ46svo5UA7{VD)Uq7?-`}uWPFjp$jD@2REY&!MStyo& z%!60VDr{g}i167nZ9sOA5wJW8)CDzOPu6={xDQlayI>5*t?;d&t_MdL;6jp-U&pth z4#$nSthgGFwCz^V-@r3x`^9J|40x0;WD7>5!;&3h0k~A5(}=Rj$B?+B8ANR$2R}ID z`~<$LtO>_Gk71+Sx@jinyag~Cf|3-^6~C8Xl}t++cvXdQY_s498F=L|qNK?t)7V`1*t_ zW#m?^7KRk8oe6D^14f)T0-{>o@5Z!DNrgA)v@YK)6NvamreY-0J!wy|k5^iQ5r2rG zEnFg4^^s5^FaPrs$t25>b#5P26F4^KDRmnEO1tc@lRm*E_CXjk#lQ=bh?nbisFw+H zrfe>sCA=0{WAg^-W_7TMK}}f)D*X(vD@eWa&V8+uTCgK;Xf3pCl+6t@p};cLtBCxl z@Qr;_Ude^rGan%3(n>(4!sII`nUBEU#K~Rs%VY$tVZ1$7gGXlm;~}|w3*4ug=?VUi z1XS~%s}?8V=@p(a(NQ+VDK0A`(l$LC2uH8FU#V9D3{?9GX!91>=U z&OXVV$(lFT=ogzz_=IZpLzR;B>S%;~!tcbe>zA0_9`{7JH7Ma$o_;pP%i zYwS`{{y1HVn)YPIUf^Y3=P_?qxw;wr?6)d&O23V$N*}A)TttX#`C<6j4({#59csRF zDbA!ei^BEGXrOdSW5wNcif}dQ?u~V=Pp1NS$OCax-7M`LJ3s!nU|xQs*Ul{G5Ww(l zwt6S4Lf{NG_N}P{vdr>(#ts#t)ZpbAfPbY3nM3$Gez!CoU^33-XWG^q;0oqF60IN5 zs@dx-uD0?am&<23+QC@peotco=Lm|wT-uF*$B&K|r%ldPg~dDXm`$Ah7{B%%xyq}z z5F%%-WuJC4+4#z&(_wJnsv4e&!b*i*(tbfpjhu>|&R{TdG03%F;QibpsrVTpTXP&? zVIVtCNjiNmMvAc9-4_(=K651vkHhwXncEKJNyqw7UxKdAvST$bU(2Y^-u1EH?*1Hu z+q2Ws@(8zaquvZ{;tg&j_BNu_9a{b!2&$sp!ieKf+>pVdM2Zq>%{2@NG2r~{~Dbwu%BbUUUJ%OT|WEYN)A$I`uxr_x^3+FA$Xp= zE7IZE)ASOpZQdgKbK|A^+D>$JXSqrTc2g?t#5&8Ud+0lE=>>IzUOlDP2db&paT&t1 z7Zc}hilmKc=vrF6TF0gZ{@6n|*w0|L8;noSgrO-gC~af?J5PUkNHRdy7QBey4;HT? z>`UEZoWl zw!K%08l|~4-)m1+_(nWL&bx7)Z-JJ)i(ijgT$;TBeU-S5c8(f0J z`S$JkIvO2?-uUEwvt@#u9TpbpBkqbLHN8DSHuPGKKCQ3HdU{#22j5_(C%wZF?cP7A z+`nyoS!qu`8^m(tss3?qnke%WG(=AlX z7LUEb2iPriHkO%FzSRAPKOT7f9)xbyla=9BPN;GH?07?UV!ZLhJlETmoVUukXDds_ z2_s%#LPtl2vq61@1V(!7sJM#8PA^s+|tC zp>_QDe&mX6Y^`$xCXk}8CqvYk5+Eb($dAt zn(6ht7p0jL>!6ywP;@17K@zi{revnhLpU z@|~QlauIYSw3>=sHwbG#m9Xyuy=86|gojJS=kSk5ZcwuK&zs)^QVwfLs=oE0DSPPU z5naza<&ZHL6N+fsXI2{RLTB@3%5EgqaMk0hf&e0p9n25Vl$ife7{b-CT&4^_^T7;$*)7UI+uDs zN!=+)^>P$HJtoS}-rjSt+w-orbcj<;*ra`q)aY%P6YBb4SZDh7Y-=rr4Eq*jC|~b> zz7fb!y4F!3M^P`h8N+wl+J?u@l(HY^-R-jDKt$s9fIVQ7r?h%av2h4rf~3fyC2;+ezrOOurUWjQT<7H5 zN_f%@JDkTfLaiu(po2tJb7M8~jP^J2{t(r!il|JL2x?)XoPO|=Eu#7#VifZuAbcDX zZtgyw6;*7UQ^%<8QDaKjE>Xu$r+~1~DuZR_V za>-5&IM9)=GoXZ|ZtAhpBdgebmgd$#0y}CC6SX|>r2g^;%}Xr^qq=D6J|FmHV~9&E zkxa2TeVZp8t<1ryC6ZU4VfJLiVGAYPIi=tH+yAj855luWe<>rsER{+ZC6O2vF^P5g z`{L-S)O;R-I%@b}C>; z1v53v^sjut9W5Et(&-;o5LY+PV8Yg^x7~vWTpx-}UDAty5A-BFs?LtK?+DXn7#IVp zDczS6VZ+=b6%ik!Ve>u~qu}Vy7_F2sufz}=Uk13 z%_Jc+>R{S`>cN7KNvNSzDN}Av%oo4Aal~z{+bmu~)>ioiSi2P8nI)&l7WgYVj#6$> z5PpU@#bDa}U9--Ie{s#i#JA(Fsh8Vu zWt9#}QeB_0@yk*NxXQH7&|BU=Wu)zM!fy&i=kqCJYM8E(l#=GS3Od?+2W}`v66LLb z>bmHa38YPpZZKSf$L}ic(-c?G`M5vqBJ0L$=totP*tEf)L)1i5zX{YwDdBJ|g4Gqg zxG~49RU^?jx=L|i=+RfXeKVBtdSTrfH^s#Lmf?C%~pbxPQDn z%pz$WAP9J{sPDE3A#6#RW`){STzf9?2gy7A3UBcBj! zc(xA5gG+LsrI8xJ0KKlzm}9hCx-4{zPoJe-xEt0s7DvYBd|axx3F%t^6p*tGZPm4|3@%zw#wBL+X`3 z+Jc`^8Ik%#5T;2Jw)#I#QCUqrUy2yqtze>IXmij`BhH4!f{M;X#h6$o(1{biSm^T0 zJz@9z`R6_9!Z{Bv$8*D9w2ySTou07F6v#ZEFB$g_rh@QkHhD+5t-hi= z@c<5?KsIUh3N67fqLAo&ie-3;L#rEW#z_V{xvzp)y0{O@L-@4)6-AbI?NS)Zc0Cr{ zws_25hQyJIe8T?-@2uKVcWL#6Q7W6@+_iTt!0C<$K?kMej)|3zppSl7TN9Y~02untHoc$GXjJ+ms7 zkC>l8@AIDyMc04oZbA%Fni0M)00k3utTE{HNtR>uU4Tr$%CCW{g0D9D0pvMg{9+l_ zG5lOuEK1ELGQ<>SQY;0GI@VU}X_-}5Qm`v6C$ML6$W9N%S|-(Xv`nj5-m8o{GxqV; znCv`S5Q=hWSNuktn&pguk81v7`Nw|EK&l0$I+NnR)Odh1n^q(1VKou8)NC?xy5@jr z9yPD*^1pt=4bE`2SZ;?vw;*KY(1MqnO|a%M>J;v+{-+tp@{irdrQcgi3~PIkd6H`v z%=-}MpZ7LtK>AdRFTvXI_1!@mfaL!I(;>G;tcDb52?7j&;lBVFJZr>V?wQ^0_uNfZ z>xWJ=(KNXD0b~$Yn?O!^=s~%zA3fl=UHr&Gwu|xgS^a*(!+6Oeg207W07qdaO!Car z>zw{Msw5G;{dOO!dviF!g-bpEO=ID=ovP35Sr#WG(i|ZBOBv9s$R@(}`fWTSf_*rC zYEQH0_iwPwm>J7@fc? zxg%Ojh)+BG3_N*HuP`z>##0dH9(Py0W1-#MbF>78Tv0Hp9Qq zZWuZ=n>YTR0K&iorazhu6}StX{p>FWHP1rdUbaF~wV2eWF|Pk0u&lmFa%;{(I;ajS za2Pe0YW%Wr6u6&~i_rQ$oOInxwY?<&X>R_jt@F3)BSGeHhY}#u*{~5#g%*UtR-Z`YBd5ByA%?vA_G9O;>i5VOC89X%k)=_sA8Vz)F8W7F#4CTysy!N!jUC!zH!_ z^oJ3|8K@?32~xYPnb1vHyr85hw;AiDFKKw^&{OrDHC zkK@S>FUfhh)0X;;V93;85wPa&#x+j12aaHrL1;9{Fm1S<{w-8J$8Z~qbQ*!H>gE5S z?X9CD>Aft$G?baK%*@Qp%*@PGW@fg_%*n0fc1X*8a{+pg?Z&aTUy0nqoVo)wIHnoIc zx-bn_97M0^j>ziX^mMex{HKR?*u3`!o#XEj0j4#pJD3><1(wC*MnJioXO)*JHS-0jh#Ud`W$kl2!6anHd9U`|>cI>~LF zFg#9oS_mz;11r21uwWh6kc~IIprr=1ESEv$u3J0(gSm?)LkswJhmb_Y*$tDrF_xy_ znxIFmjex_l-e0htE?=U5MrjqPPA?hUN2o4~ypcVCqBP~#yl)Jw- zG3!j6JczNj1eMfJNO0NZk+3GD0*cR^JbfVoPvVSkZ}5>%=12Dh-4ih%G?eaREvvP& zwbapIQsS||TXD+uB^;qt(Zh|P+-=?G@{TncX>eLnBUpukrryiuGGrMJ7Y?xlcrp=` z?|UpY>lI@gT*tOuH4|QVBbSV^yi4?9#|Jj!5DiL+)2QS3{J~eF{PL@_JfqM0z{uu!`8l~Bc9PCpCD2y@xBs>8@qGIvvbud0Gpv~sE# z4>w{9WDcP+!kdFoTawj4q_FU$LJLXHn3e2Zdh!Y*-+Z@){9i3I3fd7O330<=319Jp z1qHcK%)Gwaz)QBAh)*hL3fjNdp0FqM^5)Fl8Z8j~`Zh~c*+ZpUOm9hfT5HsJEYhcqInuUhr3wtCQIM(EQRYUh|9LPhMUO!IKx~N%o6I4Np(1{;+Bq6 z!c!rp0X&J4$MI*|C*^T*%)^EKny9TAEF$t)70VTO z47w=TqJG1I7xch zgw-YPmi_{*z`FUwtpX4GU8#=N5h2geZ;XCa&g8eijWHA#GL#x*1|joYmMV?B*qlb&8b#;W*md>h0qlbH zsy6qb;~Sfa2(Yt_xQB7P>^UgLR(eWzS~x0YQ+LDJ8qi0}D@I5?+@^#7$)uZ(Mfm}) ziRz%9y<3rJOUq&P=9zokz!UK+cfso>Dr1*2#5c>XfJPXLcQ)C>H)pv3Q>SA8NE9kK z;d;!fg&rrTq^G-)dT$E&UqEQQuA=k73z$!_eK3`LPl?E?szkEpLkqrf+3p6;(?--p ztPmNydy9mZ^@9EsL^cu?F|ke|HP;&$1!JzmKoa?H$#d+3&YYY#DwaY9W!DX6u7ZfC zNK8RsnhAl3+Tux6qPO-?iQ)@BiQQ}h42DS5gX*-0oL6xCqXco0Q{@^fr((3jf`b9d zf=dk$qX(*#EpkM!G1kN?9W6-~M9(ugv*-2lrazX*qRLqnaOUER*Tr1PK?KSXO@_*~ z)%d)UjO2J?rs0avezuS2B}Bd9QO=Yd)2R+j*~sHbt;SyBIgRS0&v8Uxfr&Ny>)poL zVN6L%Anfq>*1+bp?58q(DGCv~oJ|!Mo#BO&7O!B(Jo2C*1u7q0bv?w+F5B~k%#!PW zSC;yc1jD1<=0>1@h@~I(|78Ign#PGiPB{jHo~bj|3y)%C7)OPNzax3YeGb-hGZ1#$ zK#ClxSRpRd%`0zcGIoCYmQA`9=GlT{#341OJ!$OD?@$1#OQ%@Oom6DaK{nhWj?%{^ zE-fO-V_gm4CtJ`7Jj^%JH|ULKvwyk`P08hPhD=wex;Isi z>qEWGr5o%SXdjH1aP(4j#NpJ_r1&#JsY~8NmKZS4wEwlad&Gg(L=ZdG>(7Mdu4>4B zR3>L~Lb&!Tx_c$rVPJ+=Ga(skEolrR|4+SNQVw9L8qyn%nNfEw%j}Y|$MU{ja5hiL zmf2#Ct*MeB;QE90C)uGF#5l{?!C0;nR_Pqcb_2rz(Z93L`yUMJ6c-V-OhwgUCz+b#K?(G$=T59 zZ(h{a!J1Cd#L?E-!N|n%uUMt6jnm&RW_$+LuOBPYi83(abFlp7w-tGL=|tR|#FU&2 zoJ_vTh$*q-|JAwtI{$S=P|(&*>nlf(&%(?|%g%<+$j(m7%&vn^Cu88`VDa}FEdvuH z>)%KE`p_~me`#m^O?{R4XN62=1{T);eaY;(w$-$;2;v9t=qujTutOI6CZ zIGxBa%!2X0@cN(lyVH-QCl`)wW+xL9?i&2af_)B_d;blq=OHg<5%?hX^| z?FagN?m_xX*eAG9{qOg!0r!h9M}O!uKejuYcuO+{*S4=0!6+s?*0Xb(Z}*LCQLuM zW-~r0xHFo(jH(A6D&|%FB7s&*UQ9eeG+=XJ*G1E?r z!4aReLGRIAuUCKGl3HdK;L2}lRtfg@oGM&O_0Z@rg4qDjcMj)S-}eG#*6Hyz!xZWp zmsdH84c^N$O6YBPaz9i!Pi)64Epr?LKcqTNC4$0lUPGN0myoU;A<1(-r3K#|qyP$& zi!muTW67cZzxh9Rf8>d-N!p{BkSN}#j2GfEd(oXSS-NeD4{4t_0jD#x3pbS-r7Ia$ zK-qjU&H>VBxLRb|C}SkPYG@BThcSatVz(Wze(n$1f_o0Bg~fQ(wDM66C~n z-_HbNtR>&r)x7!V*Us=fr^F*_zD^xlJ(dzFfz$DZ3uyGP_sd+7wH?7pf&TX*ju$Bp zYG~1>Rh*P7Wt6^KmNw>Qt628XLzlQ3OZ6>LB=x&hL5QRRHj(H7gpV-sBA8A)ieIvi zpK_Hpd)rYosKTT%d`|kqy(xBF^$s?KU_=UYyt<9UqQao&t=Tx#z!;&jDS=HSu;U4i z^jS!aY-P9#p2lMbSnf?4IS#9SnM-U?xZ$YD-$`I?g1X{Z zqUl;zJT@h+*TjLMRMhZyb;Z!;=F{Ho|DZg%!-oz_R@)(+bp07RqW6}W)o!ed zw}t)D4Ez(ECDuN?-c~HEw5|l7>jqRqNKcSN17jwn>b`D?D0Fw$uWkG^PN>#KolY-8 zy|H_)s1(d*PKKhMGotrGxI6a`hfE1xlEji68cL$12-^?4;QPSF@YOTT*0iWP@BZ0_ z(q0#Tcgt~lB;&}ro=7rmXxA8NZ6a0=Vb=tXvxaJM?~U-cJEt!}j!ZOyxZMg?^<=t6 zIANA}CM84_)u}&QjArqW2nCbT^Qx@X-L{s2IV{=;>qRbVjNjIim_Satq=8aku#T1@ z7O%xu@0PSA;%2W#*-SV^pI5f{e&=QtFFsb( zq!ThIwvvAjGR$z~RE#z&kqrAYv{AOUr3(V1D^bY~fDh{?bUw~e<;6i-@Uz%k>%`$oQb`oTHKW?aN40s{Gne>jyqZY)AvBk^IrpF@`cSA;||^3)IyoqHHM6t6`<=%o{-1!fZ% zBH_c)!I>Fr&E#X_U`V1$dcX_@Ag$b_NwCKe3fP2~a(C(#gV7V0BWS%ywneUqo?uv0 z15|UjYYTyw4T0pBGXV1u+s*7&R6REo^Y|rz#P~1(O7sX0KOTauC*a4bQwAryCLSjz zHmD@L{m;%|C*U5Zqsh@EAx0isq3`5kSomqOyRaY?iR4>NeuPv@IKZ_W2V9K!tObH% z5-J4a&PGMCFRo$&APFXd4}=dhj771KAUHI$ZF2$)FV%c|J>{>q05Yt@ zM&=GoOFDZq9afHvcr)>oP&aWWRz62DyW2}7Sy{lxqixMyc>FH*!p6gnLN^*o!&yYh z+9LC@jT2Gd^7sdQ?$uDPWKH}kfE6FL*94mp*`Ev(Kweqk{!ZxzS;m2xBxlmeF zU2rrKv%ak%cGWex+@f+3G5fDq~9beGq9)$KvV3&jb;49DWkfW6Tc&)!lU7FCrsud`|mG7 zB#;#s7ag{M4wky<11fpSE_^bmYdN{*Q@30Sp%b~3>o`uAqwp>+RT<<6XdM6wb%Len z&JPT%29bWDsNImw%v8WW?>&g2z{ro1^Z?x9F@t)?)wbAmbESEu6ALT9Y}SzbhTrni za#)s8qF69=nj7ZhKMytF8yZ29+2OE$v5sq|q4^c_J^8>WvOYDUUbzYMnea1>m5D2s z08T-0g5oDXXjY^!eTjA;VAK`5>n>Q zs;jZScs?u+VXi|2lk`X54(hzm?=O_;Lm4Z$l+bmC%hM&*lSzJLeE6YM-rbqqSHI;8R*uQf?g85w=u$RA-FP$&p<|Cp9FKCh#;4_PLZ@K{g~4F51Z#Fz zklvS#d^HmnoE#6jkE~eL`qCXoS~R_Z9tR^B^p1D;(+C(jT@Pn zdw_wZLmm_Zbh`2R)&+&4AvB_OUIFac7rxlc?3NqP`A|ocj6r#M*i`U$<)Jgm$f=8I z2dG_`nufs-3pFLBYq3((kH@1ne}dfAgQsx}{3n)J`xdK%YoIhCZjN3hFX?*fTPLQ@Yu4MA<1sV0ew5;s%LX`U@NJ((H=6?`mADMIQaoF{cu~4 zM=rUuQ(yC5&yioVwD70RqRpie#gnjVM6%RlKhD!{im``dK;N8@QB9uwTAwM)OE{K| zT$s8KTv3yAmYjb&))GsyZGXkZO_a75m*cikrH}q4^)i2OaHi7sz01jo8uscoK?Ud1 z`K@We88%tb-(vzrH1$?Z1A?0peGJ0)gfqsd7oSF)`OQv~0aRlr=zd*{@6j%IYMii}SBKD24^TN3#pYBZ_Wh)EK4ykRzWrWF*<+)|h2J?qv0R7# z7`?zBH~rP9scMA)35+RM(iE+WMub)VW&`gwf+_`XeZAPDJ)O78k>@f3opP@#BwbNF z#ok24lh}?c?9`X6s@r=h#)bUElnhQnX(|vw4?S#S6JIH!c1!=(CQ8J8-sr;&nMo1W ztLu1N#W&nx1va}l19m|fX27bpB8GUgeoo{#ad3qUl1<#Z3M*e#M=mgdOxAS=Xe&B9 zcrL1fZQZj@H)Sj5zD;1b?L_^TfPY8m{e*S%n!0Vvqj4wgrFsfadd1~CIo-P#2Q#fq zzU%`DDJ*wd$b_~ok^l#)8|l>??B^*lOioqm##-RmIGx;w_wCDtn!=D}_IAfJZj{oz z3kljnqvwy7>|yFhJ!37oX@r+MF}CPU+*&LjN0nc3;@&{tR&5&ign_BYL?~gzCRq`8Ilfaio8B{t*iD+m&R5C^RE4Pyp)I-8TVwpmXqyT z$-LpGPG9s(===kBpksAwzxdW9&Z(Zk~RWZpNC94+g+n^dejPy=q> zSAo8GzbR|#7%w+Yp>$k%*Y4xQ72fD2QT(Z1H|&-)9x`rn%(Gl^YB8X4NfXK1Zoy2! zkrw(9exi)(@QClr1x9`ZR{!$x?tb}{mYpn|6ip?f{@;KN!Pcyx* z#ac~DZsf2@hk(lCs>MXD@Z2S0@e_Co{D|nEND}5R7UJKOzW+m#M8ev@%!E$O!q~|i zpMjB?PTa)8%-ji|jh#-&_J^&5lAVE(37xQsi-nPiqL?6^poNp8yorO5t+k!4jfssD zK0BR+jgyIko$Ze=;soE+;D@6Losx`!qZR&Fcv_aP+P_#9iyvPl=tTdP5H>NgH8!D> zHnB1Ls*r`@U-*w+1|R?c(3g8JXAOgw`<491gBQ$R9RT!Cu>V&4-vwLD!NC15&*NnB zKPaHTq|Se-8U7hJ6XSmkw;LwO$UlSp%VGW3L9(;_PusHmci5Kg|6p6zf7uRx{_kuH z#h3e!hW(cdE#LFg{<#VMKE!`l6a3$>^S|+k&%!ktwPJF#Vaab|aeZ~tJx*1ntso~i5{CJZo} zD44bDW!L~0V@KAG|9wnGX>6h2%Tr$`H*Gt(69nA;&>PpeEsdUO(k9og$F7#`XasKw zy@Xi}`^WZ&WYgL)(k_30(KWd9h}6qfZbKBe*%)6;6z4YaKsE~<*smmg z!Mk46X`@Q&`jdn02IKst)W}FumGk3a<3;Wm1ZiiZ zT#EgNeb4F!#`X!{OJ5wXLRn}8X__%X8g)~Qhtdd|pQbVWGhngJ{M9F0U_tdG6Q$Gc z`xfl37%nb}fwUmi>-bd=DN?sq*=Y!GpY(~m!+CD{oxFaNOKna{7?u-Mcai`|!geM! z2!ZE3tokpJP9CY)_;bkJ7WmK<`bu%6E+{${N?LRiO35Nl1knQ{v>>GzO5I8aKJB zH)ZYaVTheKO?{$2Nq9s`uYvKJd+w=6hh5{gzaeEuvB7}4!l(5ga$13rBDQ%gXtl$6 z0JfZUJj32h#Q_lR?pyeC49o9Yv*7DYI=$ykMD5}oWVhzIcajZJe;P!b3z|5FHYwa++eSpL7IGBpBgu+gQPTStdqu;BWVM#^7Y{?KchDF~e zC5;d92rhO;%dBTt2(4{<@QtPiWcT2WrXvP0D+)jNk^5uX}E>UAc;(UTQt;KY&+P4iUWKFY+S_Fdds z!|2KzEeWJzf)lsE;WEe9W*CQWWG$&V73^Z<7#+i|IzU!5*ip`BKLinBhmBwh0J)rv zAmG4x^^5&0+LgWfFpyWs4fx{`;Lv0`#1~!7I%F2}n^17popSE@fBcvn2feukAohzC2hW#Kl!eTT>^*>dZX>q{W-opJ>3QV zn9}oJa-1jUo5tKopzmX7Z1jij?{Ll$XjSV5C? zV$&wv0C!qsv?}3BYGd_KJ_>WEXs2u+>ML$FW85zZySDpzZ{SedH&z=&x^bq~#F<+Z zbgg#AZ|i-;(#BqVLw#0;)ein6eHEOlx@=K8bXZyCHA}pWJ}S(>WUT|1^avSjx+>K3Be4p6%JnPZ(~d|!1tezIG~)%lz8|VoXcpBegWvh zDLM~a*-rQtQ)#C+&d=hqTcfDVSS99s%bF1~j$U7Tg!47lImM44GB^^1mxCRra^t}4m`Md))e0HX9nNy4_ zIl7_xKpsX?l$0}cwd3uWAiS%N`oLdy@8=nO6JSbs*(`cAw>wYSM(g z)bpyO*9uyf!831XbP81Vml=7!C9dXndzzuMRUu>zm28}zttZ};eZspc>={o$-Rm`$ zepsKCK^8e3`IdTmtLB}&yoa(b`C9exS@3&*$T82;J)q%FCP1IcJomy?^(zoQOC`vt z1?}cnB}p#eRV8>Za>zF6OM;+q?!Ggt@$>ES&H)wivCjuB0EVOoS*eB07K<50d|4S} z2^dX5jM;*PQ=0}T40({sOoDXt~d|yhGIMsxY zVxW_`Oph1W%-Ce{VPyAi+q8Cor2<7cJo-f`RtEd2T%;JPyOLNr`+gC&;EnxeEC*_o zot^@YGniq=SKsECk4dC5%e%>gZr~7&lVBPbOvtOjRiDApA8B6*9Cq8)NLK*s%5`{H zT@m`r9@ZFnGu?g`!24_9iCzGK+V(lr@$X5Sp{%yFaTTx zRcYS*+0WKVPp8I}6xkA~mE*L|=k9&BnUd?Dp7g8e%dA zcu(kQ@L*w7D5gVp7Os0Om0joaqN?~XW&HRBR13r(mOCW{ zAo!u#3o@q$P@^j*OabiTdgCM0&RLa#ZrC(b0U29o6x3R%xWPB5pII?gxr)N3$QlTu z?_>n`S73ssF50b9Cy2~S`Gg@9dMZhHTh^|8E657+LJsf>_tumPVk+PE{rne6?ob6= zruciS(ofMM6Cnr0@^S0*3uQ9(?w6WHR0=L7>tP$C{Q0?#MwLRj?VCU{CyisIckg!1ukDOg zxq1}x&7B$(4KRotPbH*_-YW3dELg{$(;K-Mr=}>`Ff#rnsVN&f_uxu>~ zKw&9gmZ=%)9|3y6)HXZJNo48NzU*M`xwtxMCr2+fO3b9Dh??2h@LdibOWA5NnE-8k$uiAJ@^Pc9i|4uDc9na|!JIUY8os940qm zZMHFG$EJ5tu9Q7g)epSk_{nrJYvAoXVD59F`yvUA5o&q8b}r?V&-huUJc zZzlw_ILm?FN$(3XvkAW4@^U$Cc`uZm&4lH8D7~pglOl0){tq}Wj89mkQ)DC78hNx* zyR#0Nkx)FBuo)bn@%_xI>x05tq9aa^ zWkFn*<3;_ca4Q|))&_YO1~bSnIT!r)83|`Y`7=RTL)9ZK zHKPT|WDKD9@ZU*Re9_X8?w-cvq^@T0&Fh=;Nj|0#lnMuNVTWEqQEtd&R%#$4{R}Z1 zuSK!B2O|(-Q)D!dyLk_YFLN}%z9!6Axd#~L2Z+Y%LFxWk40y=p0Z=9CxU_M4JD_c& z`2+r?TDU}uX^-%4hM)Alp0M&$4Hu zadN73$xNQP$(eXtKs3&Z*kqz$NG749!GX)E>6`-9itN53OM0Cq6lAQiX5m}eP5qF# z+7!mrPvE9NKiWj45?%eLOI+;mD6k?ip2b^)Rcnej9loKoH8cH$Ipu7dSc+A)i^cRq z?jI^b+iHvaUTF6N6HBx(HwgG(lf*GTbIS8v(EZ3mW;0%Ya6!YvWd;>{bK+}Vn2F0- z8kAqrvO7ki2@@12H(KK5$n8FOkB9CKuXWy31NJSzm@3&zAN1z;!FTH4hG->FTEsZ= zq>gckibJCky1?MJ0a`#Mr$9a0`{4pc3l#g)`7H6K& zvs&n@-iI{RgMnVdQ06ztM^!gxpWzNjh%=tW$P443)IvvElmJBQuRuNFgb`XP;qy7-4z z-Sd0PAB}AvG}s+zb#)+u>8>_V*$nq)Yt<6#i9f5TD1rpd-)$H8In(f?DDOD8r)jjdbc*hmbk&%lFA{kRMg>k)^GznNO`wg3*wLis>l%v_QK(pfD; zJ?Ulk&uDg(a1Ygd3%3BK1f%byR6e^WM>au+v6@!-Zc*l=8PFA8xsd>xb}505(htX{ zlQw{R==iRZIqS|`)BYzqymMHU&w3~x!=KjG*xpLE-@(M~n>HH%F)ls2QU#?RM-PL_ z1{7|)yLv1>veO3G`XQH4lhszo5U11a=-1CHz!ze%H0rBZo^rh83wfNs%Z zaUO@xwB<7Q8P@bL(MQ zS{P&aE@;ja-s~X~hThNwoV8xoiMONem!R1;m~9UxHN)LpFbQ~n#&B0ta~6SQSkAJMK|7bzDM33 zzNh}r@d67xzW3naTDa?*PQPN|%R?T^XygO(48G2X1s@^aSdXXZk*1ZkVj?Rw53miRu=kIxe#n@y+>}fL$UEQ(^<^TaoxB#_OV05}k zsq&X1*yk`xLE`N?oX@&8kkXhgdw?%-za@0ZR=8f`c;D8gQ6A%)xSaZo&5yDqCl|V zeD6F^zrtc9S#k?q`g-F&jmzExjN`n*3=svo+X`(jm2#Q-EX(jpYo{)#faI{#7a+Fo z%3;LG&tAfVjEV%=v#(f;KReYh?4lT_CeAArBI2Bz;CQz28^1jFNLNekC2<`#VQpGK z2KwWRKf(fhO7I?7H5JZr$RC~GZkRJ>7($+Q<-;lamDyM3b^k62{K11g2}IXdn~ z3&v4S3=SlS8vKC4v%|N&9aot;Y0ST;SfgWPc1)@7*X&dDyS2?qedhf%3oN0%Yrg^W z^KoTKx7In^4~+9;4Z*)X#eA#XFzB&zAXQ3|k>=)omiU=fVOu`4hPqRCP9W}`l}El` zj8r2H?hQqgK4Rx)2re(@u*;a@Hvi}Pr-fm>-!KEW@^~hR0I9%CW^Rdrc9Tvv)1%5T z(mF=le!@CSPhS>L$ID(+`?sDVsOVW1JL6jNhwN}&H>)(oS@nzUYO`!YZ8o3J_FUX@ z5#h|iZ@;8I`@xDfODBG|mN<|-;}7IWx=XvdG;`2Fbn!Kxwu(wR zCP{4Ye!TO;D)-krcrG*(0@;LTp5{Et6Cf;B$v?95gIm?*CZ=7E z6Q69t)evc`mUwFQL|=K-CeyGVFp^-~2;0U@E9Yg{frG$!tIRVcA<{7yAge~m?N!p! zQhH(aeV;4TWn4B5F1L_EaQYpwDi3-9ZI!V$Uffz^7NQ2M zAVJ>xHC^Dh2|cDGk?UIAP{Z zDl!C>*!O(H^3}2RFa^dW9RUY{R-&4BI0Uc4OpP`|pMh=!{q}TZf1QbBJax{a zyHrvsp$65oAJ`*uYda5$u!&3CP<{Jdo`}5#mbgxrTF&zem!;b7KglBYQ=q1O#EpZ^ z?%P_&5(`IO(qm&#nCkN?layftDa*AH{1#1|Nv)K+g7Dq<<

    yl45Eh~e~l*X$}v#O_JOuz?9 zIe&7y(kBniOADllQwQEuu@~+nWP8En#saiz~-3YButjkYo9YGyU0g zJ`7UFYGKVD5*~}0W_YG}dSa=!xp3T9CEyV+@sl+;UoA!N2-8XuN6(`NQl7-tBIg$Y zZJ<(cwLPXq#f0TTl~R?qFBuB8VKmtN!@op(Plb@E2Dj?kM|e!rmcI?@GvfGL1?jvo zkL)c+dGZx1_-fS z0x4QVp6M+><*{=eXQRHyHld{K{#9P5v-a7L|An-17_*>8?Y`A)*wTY}VwNFrE*)@uS1+tWvA&@z{i zUA3YSkvdz4^zQbqXS?>b;YDFY2~F-i`1$vF`xK#c$B89-X9-Weo%@Q1PqD_&_W~a$ zep%Pku3X)O1gj^bq{a7u%{0dN{LhOnMKoIRiO-F}LOOA7yjy3I9Lb?Q&C#FL=<@GC z8bRfUQktb667IqMWdx4+E}Xy@25MqC|xwTWf! z0b8*Ql0mWUvW`*fW`$7n-L*zKoqn!wd>ZxUGGUW$w1*~V^D>08x!B>0mZ|e~1$TDq zbdfe0r}1ca^7h#-WG&clp+|bQnQ->74>IVR;o@m*n04m89Fx?oc_^Lzs4m@4xvrE` zUGgYjrB0f|Q753pk#(h281~-5Y`oZ-A#7Y3poF9m7s=8|wEb2^CIycgLmm@f%ne0sQ?qH0>>f;~-+BKFc<@h-cFS?+*8au< z9q!g-dqE6tLPX*)8KtZHWs9h%up{_oCm;98hyHm_6P>NO@pitupNHRdwmPx-r$Y}N zafFQPo?~9w9ahA=T`)b4R^eg}BhMPvG#BVTi&~haJ-j)>$IEUO?S7AU9@)Yxw3_5n zDQC)!6f3WM{D%+i>8bASO>#PRNt$GvUXSsq?X$pSFTFXN1=`AOoWhO`AgxvQPc}8G z_W@L~pS;fcQ2OV}oI&Ep+^xY~<+!4;uX+O=glAJ&%gfEq9b5hT%3HJdjBksr_V}ef zD70&2JH@eGCjU(T5iF(2r7N02AV%x*N0ormPPP9glcoI{?3z%~WpwbXIf+W*ML`l@ z-N_{u%axkSy}~Cvj7l`eKar{bwOB%|j12!GSUah68iPF?^+~J$8T!GV&_A{C3iJfS z9*#r)6Nk^q6*3Y3O5$d(hU)n%+Qw}RUtL>!Tf2vgi-(IGSVy^GeYgl(hDe=yC!a2l z0(kJJVtAWU*7S$IOiCuN@!b2}%gLzkXO*Yp{r=;0b@tmUS=D$bcH5sVZ62Vy6DRaZ z0gRi2&QGz&H#s#q-eREc7LS{~2grdy*91uV zjZngrGl5_ghtP}X$Jf(_cJI#S_MC?$m!-~iz4nbYy@w_H$HR@&PO5FGX(><78LHcp zDhoRtrN_5}h^*EYaVIACQAoy&`%vNnt?U-nqv{p*FV*7-(BL_^qOM*M+Pupw7rgRgq(xp&SDIv&?93gC z-SEK7pi0RfGToW4SDcD#RxfUAuSGt}vCn zkWih8POAhjAU2fL_B?wg7ZR%7NQo6Qu+3-0r*NcCoOoxlxu^D-E1VfjJz})YSgv9; zB9G7*Hw4*210k*3A`Xx(39ymw?XD!b*RV3Ukmm@BOW9?GI;5g>@+W7KOMkbSyu@W) z_`JA)Jvo?+O(0BuBMdjL8u5vBmc0SFeL8d{;{m5TaNjWr*l{M5SR{(2Xl0X36N|&( zDjeX6YQ1? zBy6Eh$Gf7JvGKSSpKvnD)7ZYW24fJ|z;GRV7r@L=nzZA(^cpeOa2%U*RyUX{FldZT zJ57m!krGpD+04O8lfKzcp<8u!e?VbU*9d{%lYAlx{0iahlw7hF1}CjgVt7x zY+qYX7YYu8XgA8k)bK`%GN*YvzRso8&Mx!lCv$7>Mc(W8%#YL199<%vyW44$i)q;X zM%DK29*+;oR^s+ou;;>z=I%VH$M=j2ik;<+SzuquC)?j%BzrpRv=~0GDL;6WVSeqT zwmMEueq&VN#Lr%3g>~s^B=IKNT8h0LiBkn~gW}H@DlC#2BFZ6h!2otEqR`Z80_n?a z6N0@e1QOXG1nw7^T?vFz4V8?V z8wpYYWXA+7o>Li_fJCAFZQI`Oz;oNW_vY3H6u)!?b0xF)0Akx7B0>`of9p%7ZUI=2 z1en2(0=AhKJ$~HM^Vb3I8+;$V^UFX4s40XnJm^~jh72mG`7yxNOToX&-tZkcgZH!v zRRM9s83;T8#@G>(8*C&a`aFn;*fsmhCPCDv1<|*y1sHF2K}VqWfvzA+3lV$v0925% zEU~pWnvk>vr15YTw*qVA!(b+LCE zKLwqrh#GOFcN1#0V>+W&Nng*N+@ELl?P(v3swHxwj_ zeE$~zI|=yFPuC=(HLHZ)h2+2(Iu6H=Q)CUq1`Ob&;K+t8)r$FrejHkU2xt+|f&sKo zVS+*C_LNKHHw>hD=HL8{YN{Qv$1*NB+AeRHHAaxV0oyOQrvO2bm1o-&lzmDWgrAjb z9)Jt3o?k8d|K6i8LKG#HmCuR_5F?~s3lIrJ6j*X(#nkp-|F%U}1?HW+0`Rjp_r z40`_ts8c8f^HO;$9BF5;9`HSBL*IXmm3<5J%Dqq#E1u$iRhJ7_;#cHfyNA9XY{^50 z9Ii(~Y8ISZ9fPUsnjQ0CiiWao@Cavy3B#BVSujyE$RBK~ZNb9jnw-gwQL+cd!u>@o zmJ^3&VTZc2Ani4r0o1d+`aSNk(TTM7F-CbtGP3e1J-t)l#&(Cq?M$m825w5frm$V& zj-j?Vu0#aKXuuO$n$qQ&D{Nw5yz^p1TV&PoFi~fUb(6v5Th1V?V^f7Ds9iJ&cevY( zqDCLjlgQgc&syXMzKsIR8?&d+E4JMM;xNiL7Dzu*h#?hIff;dg11*LQf=(}CjE-M# zzAQ#~*ei}Jk6;W-45&5YwDqemy4FSK%(uPVo-HVvb%@kBJCyiJ&|$*ka-HlwvUZLP zE4r~n5yD1vedP$;-VuR67E_cVMVV|F|C#z=0(Z%teIg+Z#SxqJX?#({OBmFa>lTh4DP1r%5 z0B6@!U})MSII}J|C?uY#sk|Jm3(N*N91Sm4B67(Y>R*eMjR7+;qQPlZLCY?tC~q%2 zC($t#U}1F*GP=!k;A_xiNUY_G`>HA8fWBb3K>--auZTx1c&3~T=m~lmb$CFcISjtV zrIQMQNWPDx#5*KdF`PRNlc~Q)r`&F-kV8n+JFP4N;vk__Ypx$^G$c z&U@86vcHfn#YD*rdCFLzAIhNWE5v^z(z93DK5=c9P5tY1=NivD47ka}(+#;fv_bSU zp>>vvz+*T5dUe`v^g8PdiR?Sn3W@LIq6+LE_{3PBb7G)Fhux97#Rd7}6Tcu} zDO^9UoO~vU6XLkyd1#mH&K0Xh&*tt$4>ii8g14t&lqc|tqjuB#kz|ZG6Z_OS(Qtd- z0y@3VZw$vaW zKTCQXP;1qIMbAs%20>;%_qO&qgw{$OTNexxXl5*m6tXSwVDF3r>9C%*Eg5mh7H=xy zh|@y+wqgigs^87O!(X7ZaW>*(@CK<$JU{|2)fBAt~tw% zg@vDqn`_7V)9^|YMKME7DfT2P#*l!B|4~{dc(8wq7YfW`gLYw^h0^}9SlC!Gxg}0T zl=1ujq3s=@BaX7UzdLvSncMH&S>Mdc zwK7j0WM=Hxdq?Cx@kA6htid!*Gk`T2tkySn$vCKoi(}>vD3hj_r##mwU#Z^=4{!P6 zQVU1XA(7VXBD#J(U8RGE%~%ql+Lf~kQWGR+L5$a^KsAsAcOib7AD%Hqxq_Jgg=N?d16$tHN#Bj(h|$3}wblrBpKoNFqd8 zxBLOs!iuRj3xcl>=Z>v%&ybJ&7Z!kmpRzOk`*V3tQ>K1vIz}!~D?yL~pAwxXpd76v zJskYGy}(4jm+YA2fJSr%%waD)0A)*=hPT{(~C1vEZLep$W(IgIaqNYA{Z5IAz1p} zoul)K@HVJ)N3D^gQ*A@!4@Ck$*f&2;Ot0TH-#neSA&r1_jg5gwQ?lC>Komvc)t3mI zpH4|2)BqbEuQ#H)*3w{#FLW>JEy&w%$#d4GtK?I~Wh9ojw;Z(1l^ft_J7{tD0I4ka z_B=O3&qt!@0k@EI0H>0U;I!Yqk$0QWpbeJP+%WfGNQ@I2%B9h^z>D;!_!3_Dwa(_y zvf33ScLEH)xR6hll(ac?a?6RPcw&kq=zk3fV7!SiqhPn^l-gH1{<7R1Po=PhWLzWm zebkz200*nfBF~6252Repf&=K{np5>9tCn}VH2Zr1&Y&^GueSR@muYwPVN~O1>1ZM^o4?_0IO0m`1?Y`HQLdGJwIyTbjz%W@ z0;2@T~v=Yo|)Y-drZY4x9g&#K&6hfi(io zI$#}X{F-ZBpD$J`eOj;<`?G<(jm%l=A)iE+YXn*JiB2DeTU)7x*W$UUUz5YwN*UVG52IJsj4!Mvfu0ZXIOy=q3i{OcAax7U2% z?I!vu`>1ip@8Npx_vG({_d>F#w{1v^?e90vzfn(){m|ax{FWTK)}#)S>iK1GmlLeB zm0{OhOR;hfGku!|aHgQEbB8UKC2AHp*k%bw8JZ3=q)->Ow;~4^PVl@|`%(8Wyy~Kr z`3zEW{;Y$dl;BbK6!LL4EnBM4iF56}BGkYHS)85#ncxv<;cdJTlTWoz?{dLYc+i6< z;}V@_{xpBy7m;FsP{%s+$^ro+V(*DVI~_Re>@yBr#bkXJ9O)Tu{$xoHrPs31wiFCIBsdNT$I#zs zKc+W3tj<*LS6vlR%Nko*n@Wsi&O4VfN>z6%JJXALJM;q1-yTZA(>ZQOyrx#K{f|N! ztEyZ!;8eLS73uH0oEbjyYsHy=Jk{<>izKa};ln4lY}$KrixQ#W+(3v_7@Zdqck=Uu zLs<jc^T-HVt1dq;g$0zt(R5%9K{8^xV`7y5iM`%=8u!*?tBkw~#rmiqVQrm(gKg zCv_PamxkP*{hl?)Rds4ciw#SuOHU@o;D1XBA=?AaVtx3wjQue<+4kt&{i0=@f-CcQl)-V#QP^N(hSMF7tNU zVMTdxJUsV%M^5D-qEPQJ?iaDV^7*c;j=()8=Hm`N+zNTJJn8S8@}jGyHtV&X)~&0X z;vk{Q+LS!H;)y_RAL^*Br8ZJhHCH7S_dv7Di}Wob!zMHh7DPy4nQ!-1(1R0&kbXsL zg)Ll8_9$tAKTQSpSt8=g3A75fahOP-N2@Lb&9ULjBU|A?-F@R^Jz%)md?<1N0|7A_ zg-8lQoBV%N+#$gz`7iOn)bNY2(B&BW2mSDD%^sR60Wd*qo&YOZjTHu1OBe36m1$!g z5nvz2Bqs}ywU1RuQR!5Eji-QNOPJ3pbCT+Xh%~2_wjRaYN^@px;lm3d?D5LKb@{J4t6bT*=0*vK3mds^M387-N;m_83= zypexxOT4RPB9y^fv7OL>)xLCkTJq^A0>~A~URZ2s0GKJ5go=xtsl+M*hgpva`V1}L zo``i*$1%-5M<1TWRPG1F=qiP9hmnjUlrCNp;*=_N*}6DpLktZH56jcYsbI`HWh8(H zP^`JhU>k&R4j8KXx-55HRfmOea|Ca2K|hf!j_UkWjWEua0myjrB*~~}kR2s2MeTJX z;t?3;&MVosI69?%fGQHxEt?E7OiK1|Mz) zN;%hRreO#he_&>?T$#c~XabFOZ))hRfyA?apqwFU@16;GT4j0-VR<}fo^8#}YrO}* z$%L#223K_uF(*p7T>Lsazv9rnUI@+G_Ud`5X}()W9=8yv947hLk#KL7+OiymKa$cI zkv0;3k;jndH0{{pAudDN8EITc?q~LX%`FqIIhe@HQrCR}=x;ykvsVqaFN z$u?q%jS8cyb`ao8W*OOg!8;W`MI>pv9vtG{DN4LZy+!2_B%EXW7Qucj2xdyN;Yij~eo#Ptgzi?`6ykVl^;;9WG8K1RF4M?(jgpt|EoDHkcAjzP1Xj#)45dFI~+Ws>97>q!mEanlnZ zQ`VB6$=uXSD@7jwQouVoPlDZ;JvLVr;yN%GJDO7>C;_aZEICU`X)&Z?nt2~P80Aq~ zG{!MMD7haYgCT7K2O-l(mfC%{o8o_sGw0`k+?2eP{p~Vd{v>9qtvW zPJFPiW1*+JMYs$gu_?ciboArT+01Y{0#2rhk%#G|3?JO@I)-0UeofRy!L$$e9+tWMFkqD~p&A+D=H(^L=7U$XBM}d|V@OxLEzRZs-Pg4gN z@49pXPMKtT%=mNybYH!-Ve```-1pbVtl-7LWxE|ypdC9%INgta*XsTF+Sydx!&B-q zfjGqcN3EE~8dKtO++XHl4GpipB-Yozb}ossq?V{%Xh>%)5OgZHs-cLOJo}T{Wm{a% z_o{5v#x`>hbAI95X8;8K?!Lr7O(ylXoFoJ5U->Gwe+OU1_y?u=XM7d=-^XwN4NC_L z|2K@$f73?);?_4N_^#g~SbzC_|HUT#k0J2?LhJko5GJ~Q{Tsao*Y5@Xm)KGIKNt8v zq1Aur%>R>CtHAJ3elOy`#E5=^>HnqEKZy7LcRrTs4|emhbPS}ax z~F86;x$&CMy0Ko4L+4{1d6|=U$l>eIw zUj!gE|Gkv|l4tg}eVLx+-!ZiRnQO-SCn+p`J752;j{kq#pNx19#P8eUU(4q4H`Cnz z)E55EhlyAws@XP2k|$@ulU|=tkOi z1TYT-Pg=lhSn;{r+yY~lVc(&i7t``aiVpIbBNNZNmd+0IMl3Pzlk!Hg@)`h~4l~X9 zw=i#|t(%Wp$b0B}3goO@o=aj&S*lU|IF)N}dFQwD!MYN+mdMyRK@OYA)gSW^I3V=b zujhK|dQ2(%OC+tKRsw@f?YUr=Y`4_$u)o!Bf5UQ&XXmUu&m(At3%Q;KNcUfId}!;z zq;B~^+6kBO;5k|hP8#^*Ut|C;ax+Q~ zY$CJH1Ua3@!IO7blZ%$$p}H!oE#)=ILBCMn>yx~Krd9xnISI6PRWnA+KX}mV%RqBxpTdM$2)!YirXUw+3wx(j%TqWbt zP5SMGbD;Jb5MtmF$`~1jzFEKd@#tCCv;)$UZ_@Ba4UfvQr)A>8+#%q49uyPgG9AJh z{edN4>=*3HMvpCetZSNy^z|KIG}(b-yLMk4`uIT(^Yi(A>Blnk*_HQHdMfq>Lao2& z7w9OQrfs;K?Ht=BnH5{bW}`>NU^OmRU3cgc=%QAy<>&%NnYn33a;geR&rpwED zg3L}5Q=iDrCsh0O#Zi%Mm-Y2P$|p^I$m5SAzvBmEyEbE%j`I_|0%0vI*jZ(qSS|GV zBzRK-!WOa2pZ&;jPY-Lbw1b-K&0VUd9XziF?v$(ku?IcDHqI=e=Ac<*mSyqd0L3zC z5BvtcQN6>uZi^z)plSx;NOae=zlORHELzZYlk}N$QkYK%yQNybi=Q!#9rJA6U0+AHA#B_io}?m z6Q9TH1@oEf;e4#Nn=zj6SZflJhZh61)H-_8B(GgpKXyUZ1iRIjFtQ4|LaF9|ZNWvBgGd^x6XghpRqeWUw%xU4@yF|?^0iIQaWg={ZE$zu6c&wxNW z~u>PoUi6}&feLqHFgg{=$p3j(nWyhWZWF}`w1FrVFVchf zT{RO|R{DixgbFl20*q<>-2H?Fv&sXTcCE>}bJsCn_N+vZA`8)G(MYDTo#nw!u9g#5 zOj=tkb3AID#KZ#`Sh}+sQ5!(hGRw(GSSr7*RR4;Xe$Dw}w21z8!w(95ZUe;U#z-~)X(a(5 z7r`K7v$k9XIPbH|);uMDA`AG$#cnOeX7O)5^WN`P;8Cpra)LYd*?NJI=q!>ieC4P3 z9}=vkX{~jQlOW}HF}ICXuNZx-P)4w|qQzy0(YTQ3Sw8}e-y^?f9P;OzO6roCzve@i zwB=32OZl&)GI32o3oz4I5aXpV(ZHna`Uz}DRG!H6ZLejFeMTfRg!lX*W)U==v;n?V|N% zb1r^s(688b@gd=5K7?jtl$@nHIF5kXv+K;44(J$~+~>D>VRqLS%Q%TIZ`d{vBh=P6oo$J+;(X`7#a7);UL1*yCOp8b-xzHTalpeSfv5uwtjp*)Eq z0{#`zLu{7PtMFGUV)5-#K9VP0-eK_lH~EterOo^OXV`%ZI(i8+!Hwz{_ve zaGCz;I>&!9Q3n$X4KvH1B?BjykO3-Q^(~)|TAcDpiPr%zV<~l=b!R>)iq_in3%Ezukfy9Y2;RGJ zl~y9l@te24Hei_YZQd&%8V=MXqaX8bZXZyj&qo(mgSV_%zxFS#CT=Arr{hOMcf0f< zQG?-iNIxTefdNvfUr?0N4?K2FGk8wp4Dq+TEq!qkQox_aNi0-Mde`-3jZUoM-3^%MH_bf zafO967+7gor7c%&=YfRX?}*hd$78nUcui7VP} zD_;v@u!fDo++5v=no=c?QePyc5kPwDP_p=G9XE#R6c7N~OE`RQU=y$0nhSffsc0CW zrFiT2w+ zUQ5WWm(1W?3Rjrv*UrkdcdcBL32OHZsVO9Xd?emSV}3|Dy5?z7Z{a=XGwsRJ5qcl0 z&dZPN7@jZEbg}BFtxne|Gi&w{c-gtZ*c#f=s`c;@b)vhpt=S@k;H;@0yIkHH_Q!a? zWR;JXFcCmyec*;{-fyZ15=7&UQf`EOS!HFh-wiw>;y*{LairJ}{m@J+wu?!HypNty z@&LcTs{zPhPS#=b>sdDz>Y7lOIk;Y0H7U+LbSQh{~BK57}pVtBd<}aQEz3jwy>?DrwXl2jbz4N}t z^Fh06sXAp?2S?vV!uG6Vy$0b>*}^oh;y?#uB$k2T=<*s2x8{Jxq1dEjjmO9}%^=+Z z#VbP+YtMUlmct#wH$DXA(J;&^(6)7|%H0V9HIqQg4WXDFp>(o3q=6fF2e8=nnS$;pHt1C*}n@|^SkT3ln)t>j_W>5O+34%{ z08_*LZ~B^A95q-qhDlj8ENo8-r%N2g258@xnL&=uf4NUD8V&VcwRj0`Cr#5VQ? z9Jc92RgZ*+s*lgRh@0oz1D2;KN)oJkT)>618||NofbI`v*J7gi9wPZY-adsJP*PyX zhV-SvmzcjD2pmLo9k&_+Dpskc&U$o%pM_=`&iIlbD=gRfq8Pq>K|D0EMnm5lD1^N0 z8x$6_ei5p#SrkA*H<41pEEj-eYp1V0{!v_wGfZ_%oSMUZ9rb(TJWsk}&JJr?f;>H8 zfB0$ei)G;*LS!HeGWt&xn`!s*%WPwRzkSKX`=T`XkBT~AN5naIwdS=#Bts*4b{8Rt zS{ELEsAW(xFvhfSIQWdvN#qlnkdTS~=TMyuG{Q8s(N1X-mWOxDN z?xNPA`B2dJew1lhV6E7q+?ml0&eF$MRJ157eKXp{7~ds`MDst(e5BIqGOER4B21>>eyOn1 z@>y2`yHe06x7z^qAQtkq1FPD^Jn2LLWWl8Joegiiu4ForZOZ)u%U;?>-e2RC@#_cz ze2mxyu5hnUQ^sd;c4tdkMQ@k9Z(6Vd3fA|Wm4wOigbpeSqR0jX17v;GgY6t<+Sl*f zQYsw})x0kS$5yZLq0wwhP=;0;8er~VhLH`C|}LMEdU-5ie& zRJ&v7*d9NF{z!o2c@ri0RMJIS-DNw}7C^Eu1PYSvX~t-0Yzrj?oz{qQTWZzspoTkg zCk)CGyYgLws=X$`ep+-tIWpmgB+nG{rplb}MGvRmB|5$~Tu;9Nn~KCIL{<4x(8ZMO zxf{)auw_K^VhX>5e@E7~?gI3>=>*n@h9fhwgMkb;Xg=M@b4#aC?rDuLfXn?Tuvg@$ z?ep&3eKt!^QXq4_Ct`d7!A1rP1x)|*kQAV$@oPx>*o z?#aZ0AS6WOJ22mSv^r-ii*;PwDCsMPewuwlq72}Ma>ic_o2G=dyDb;imDT!f-D`ziT>|OelaTOE8GiG!vzDz;)?F>@h*mca8H-l{Q-C^J0ka zUI-6m5s>NbZ2JMtKLEH4IR2H;Qy#(P_|nE7rg zpQsClz@`m_+e!+fsJ7A3X$pRIqK#ovloWX-a9xv&J~V_JQfE3!v1xfXr-AdA^&w7i zsR)~td+cBWjE>}I$V<|WT%)=ctdaVvQE+yiDeZZW&PJ~vfT<&4Os@6;xpHGqUBKX?4hH52KdlZ zH)lW2;9xD`B0@PH;Eb!QQ;+;Gs&s{1pbEmn`LP735*55h2s%B?$ecjPIcK8P zIo-7wSLauY5x&9V+iyHrxBCG-tffyKVFP-NVD9i{`J4JvbC$XZk0GUZ|w z?Ff>y**Z}G2BxC+Kq|o8Zh~NmihBuh^q@X`fy9m{M&`INofIDPT4|}^=Kx&fbr)#z z;dW0%5c8ZZF2TFuIj!VhsNV_oO{U}-&1dZkDtu?3kASL90oMV{l#%OzyOS&ECd$pZ z+ah_@7A6vNj59s3W3zuI?97ZW&BH*jIC zLPG;Jn^~abEb>ZMNxAqA4Cl@AM}b?iBzpq@`XY6FWh#8z*xF; zVc|IB3sDGGvH}ex|{8i(Ox7?LKRt z@?#_hC_C6{CL}=!+@j|Xy^k%Fx(!t9@9r+^0qH)G_|@&-ZIyhqFo0}EV9T9bKxP0A zkKSwz%FE1S76qOR6WMbQU=wCFZo~rt!6ZYkbMe-QWRZ#_ci*OTQk(FlSx;|C0OMeL z2GX{{;zrS>jraFuzGyyecGGsP=JmXk=nO9gtXbBN2(Nw_7X- zXB=Z&>Qz<=U11{NXhqS$YWe~VM(_KrMX^A^Vhf^|1AN6fO1V5-W}sZ@*yY7{g4NZyJ>)fSRRZzw90RzbiNM|j}a>Jjm{p*GAe~;m=t0Gm}Up{GKIq-|>$5s0pOtmrLonz$OTIQ7?`AqN{nC%;5WNgQIXM zEuPUQ@f{$v%=DhP5~Z$qyJO*9LYWE?+hYymht_x=vD7{X?w1VsHc_!*JAwk2)`*H_ zwAyVf*4Cg$$mJvYFJ5wa^?h8IRnwf|#G!TZdwh$+#?eQynSvW6?tNbMN0A@XxvcIa zttPpmXU(gG9BBOOk-4mEqTw4ys?)|7FvNr$t?@Z&es1H{MF)6y zbZOwTx_y6pf#^bLQXTY4g0wv@x^sbmFHsU9hC3-e!*!m2^r~fbhcI4$o-~v$y<=Nu zHF4Z^1!&Hyt5j0A(IM5f(InE13337KxLVjqhTuwffj#9#BN906|uSIl1(e-vr?SB)HO9 z!IBro+A$XFqExy~rDV2>IEx$VCa^59<|C603cfGhCOnVh!f>}(rfX2XmNg;Bs7gNl zKH)g6z-cZwRNOIsn`KUj_awMj`*hLi z_OgQ}IH)_a7sF*qLk52I7rB9Dnq28;xxCH!hu0SmuPNOPCYq04R5fJe?O^-KEFO7W z{)zlaxr~ebj*v3D;FIfzk3xRI3v3r>xppYHrpQ!eG*%nTdGowA>403zp@~3Eu!cR7 zJ^5e2f%$h?zgu zK_Mc11-b_8QeG07nf)EVRH14L)*A5<%n?sCj7@PEUb+`E2cbBMZZsVAu#RbG!QFJg z(4-mY#G`!_co8F#6#TkMVJ=qs%2(8I9?4%YvWMNleS1;ox#8Kb<(!EM;N%t9s2E3m zS0M>E{qq9C3?;{`9TAwQnVr-#J{T#x8Ys_R99T=@D&}-2^DJtPIhTtO9)mxkPvCD))k`wIH6fr&*$&uxvxk=(><~-m zozQ5bfHW~FFN^j>Gqsd6eMvgeOAb(B08>K1@SE6cAfXk!Z=;{#VGtnP%__s#Xz*-> zw{^`id17>*IgVet(kkxE#Hr0@U|2b2 zB>j}dJumz%>0bG}7$ID15s(yW>>cE1y}cH8_h~lGxd>J?>n~L9Hts6WPZ)ib-$lX) zZRe+g7c&X$Doh(@Y+{C{jBh#G}&rwo^z^XVAtmf>jJD5f8>a29oX{Cs4KMJKDP1MP5n zh#Zn^y&ku{{AQ=JU9?$6iEy}4+j_EI?b!pLNS`@itRvJ39n9Sp1=j{cai#5Hc%_C3 zlikYIyh&UT9=g)Mlz@$^1t+)}IE({68!Ne-@1WBiQTj z%fhlU(9p9JurjjKF#cV-W(H=qKSEeOA2f9Atc;(04?>Ej}o24DLqiY69L@yl;>O9x zv^^!a&FqvUk2V@ah?P7G5Oiz%BWekVkjD5ENe2OWskuo`2CTagLL(2BNG(~&DQAe6 zUCsGEt`2tIyRR-$53Q&G1fZBodU`();s&LsP?yn8y%>GZQDAODQm})f46eo=k*Tnz zs|tpFmHyD(3)q1LBjNsJ6GBxMk)Qsb1G4% zrTWF`mcrRLq3>lR@ZH(?iqXUwp2=Mt#yyrBl%90V85PNVMgd%n4QBoL>~WDYKwf{Q z5LoQ<3rNi8jDmDNPw+25;_M#XMnW67Xl00TBb^1r>L3E^IJzj%cy>V5H|0K_0z?BV zdox=9Xk|!tyJ-a$tH6q=uU+WfS1Q?Wg|fGo$N?_LampIKsG<~vxgzk$o|ZJoPf9ai z2-F$7r|2hVb=w3~fy*c~dss~HvvF3z&Avt?N?0o+ojhaWghWC<1FF8frc#~t6ahN| z92W=5Om~T)iY=TFBfxx9QLoQ6|Bf_e5vCB_c$eG&vc_djtPaFoI|X3xpi?_lAxhr& z(>pE|`|W^OZ!JG#uiI7v2&EP)%B*M!KwMg!hp!)|Byiq|PyyOYa zD&X*&9J@k#J*saS?(0LsfO#|k1%M#yS7SC)^=qha%8;eUC{9AdzaN`|JS9tH|Yp!t`hrmUKB|FxU_^Phn3Pg_@>kVE0GBT=QZD%b+7i<<*T@%_U`SNylbrcF;bpT|Ddl#aNv^i9YY z__^;)atiZtCx9g+@iLKU_)Cc7%Z*X6CD^fXv6QnhFMuUqG)1aW^6iCE*M4XTzFhmx zDF_&7&iLTPy-*(?wP>VjZSwfJ37r6~4gr?P9Fy0>k41=?Gyfbik?c93;c=Mh{$ZQ! zP)HrzghF*VmIe>`zV3g5U{-;4SCai>OOZ#Tdy^)ghrJ8x>DFICWA~@Oj!5D*scaf* zNzz4_vbGaZBHnlZGBg8Tbx<==LNvhW_0@Ml*Xqu|UmYLa(#dg?SZ}AjStf#TM<;4N z=eb>%xjyQ0h5@spCZ@&I)bV?jDMPZYhF)uo(fBm^(@XAcT^N`GJ6eilcH?khj2GB| zU3eA3l78hK%a^Xs@70|8d7Q)1Q_tUH2=KNu{qI-=bH|g50;Qmy9_Qiwb;e(lw0Daw?2%L$0EUjgx|}X` zQOJl@H#MOrnN3P|X+GJ9pmgi<^bBS-@lAl|TgM3553(B>H4|L!4%Z09@DQx;0>QHr z?S|?MXD&Ld(32qK?me-Cjry`Mc3vfVkBXG_%`(RPexmJpnvW7m8jyDBiL#M%gv;}-(-!)yI ze^pApx;-v?(zoibBusFNG>uWN7#i3|2Pyye>ofr z@AULk&6SlI%(}S-yc_1LH2ArHC5huI-wxl*sAS6)1)f_JqU$>Z^%D+}3tFS5Wo|aN zkP~n#_6-5idQ)l}NM<>h&siTbDhEP4YG@v zCt5B2&DYI-&*!^dZn%c-Uq2=xB5XPaCgGtJdX@R27%Ixxh(z(e@OJbg*5;5JtrG5M z^_AyT>pry3m!BTE0-9tZ1BJbob^~utMeIgY-HuQ3;JDVWNzZ1>57EWSu2hlLRS{e@ z;Is^k9%Q(zC71!Kki$3hcI&B0MP!-*YH&JvCQ=!h@(o8-3cU(Hg!xHwqHs}61C<*j zmjMQe#93hH5N-w*)89#cuTnCUW8Ok`gi=^KX zviRY=6%q2aE1Q~Sf`!{1%08^R~~a^I*t;wCg?MD zFmp<;wegW}-sr;@xJTO`m*13*K+caK;F&x3G-{g8Uq=p$=inaJS4VMBgtfm(-^yt? z_T1LH*?Fwe-!4@KUS>5$QhMO(Y$dwHT+1nI%VkoOLVq|iJNJ{fLSr}Qm4YxGqHomz zEfD;o;de{~u%+T6jaP<)lg>}>9D;WON87)8T-nvM`a$jXmV*219B*@HX$~ry_XLd$ zPj@Qo_DP}p^qXf%4|y~#DA(>a@$osHPk0m_^|Q--={>FCqK&nryQV%Ri4%6m4iUwG zN7HS5u@fJXml4u-?<%vy4D%rh+CJE3xE-66V{zJ6 zIV?9bMA6crhEjzcpT%^W!E|vVJ~(Ka`Rg?U1f6b>(DTXD~ElnHf?hmaM8ISX*ds7KGQdrsRxVg! z46c;;<{u4`lP*bC3)zE--~a^WziQb7a0=^@?QoPLxD98fY{~y)0wJkBo5DB7(7|^T z$baC5{1py?Dk^%TCn|KTfC2d=TNfzY6m zt}n3D zct|L&JMu~>t_ejt%W1!G@?+(!&dI%h#)HDysnbdxyhxGH4;hd4zJfkVmla7+8Rr#A zz)7bS$(f|ndevC?>*zTQqGRaKLp7V_G9FAT{f4&mVl#^O*yLMAMIDi5SiMa!3OwyD zYYNdqi*~RumvUceup=8?FN7mnCNmjfQJ=NVd8VS!&ro7?)p=r6ikpucW_9{T0P2j% z>bEIR0Ua|hV(zZZ%f`%G_F^&~>d*6IELw8##M7^FTEq#{e{AKC)NHU^x|1uaw4|C| zyBiEk=w1mBk>a71?uNm8;HKhB>`$(E;%v#y?l{?tT&N~Ak~oAyNF=?<*G6EU!#b&^ z@`7DOFPq5%)fnPp>vlo9%{5nk<^Grx!zISou;)t5*LAl22(JWtXL)_h_1MeCF$;X>%9W^0GIYS0=()n_{Uxo!B>>7?eW(U>6}NagS_$AC$8}`xC(A*mXkHj za&FI)!RNz{^ES(kBE7>MO)AB9WfLnxy`}a`B-L~{DzWqD1Ycn28@t=inl-@jhEDd= zp6+Hh{7C%+e~q-=$|;2JVzk|cpiEfAl9%3oO37?R-SUJM=__07B3Chr6kH>_Z$0J> zIp*jx65A6L_a9IRi6%hjJZ+Z;DR!iX!NSn&w9na7qOzI8hC$`bgLhLaNJ zpj@E)Qa-lK_-`yU*mv2{lO&_12AQJ9Vc$>|JBWJs*P8K)4=R;}>3r|MT17Z`I?K`2 zR%h0h36qE}E!pQx&wbTBiinCdgIhb+m$?=F`0fT?wi^*4Y<^s}ewlY4*im z721ECoFyQk6hIlSj#;l^BG^Kc+9}?A6!OwiHZnIYkL$owwjRSMU^RfM$u=3OG5S?@ec#% zx~Lc}YkFb`8xwb@1gH(gwyF}H^fWbe8mA5%{pp9HUZGT4kxh^9fNr3EE4B|^HY#ZW z5G;~?%wCS!w5-a);Rbp~^SWmv>?o+x`7~%Re;72cPlHzVhe5k1K5nxq_+iLBt4b?A zc{ks+LzLM0avQJqauO|Na9oy@Z~A(2p3dt#p{uf=$GkD0w<}T-o`GwDv1fAyyxCOy zjGU6lOX%16#J-qmu4r(}+BVhr-9&pVOGUQ6Le868$FaDCxE*2T(JgTSs2M4g%&pO= zrJ@cGAKq~YD4dAfm4Ol@{j5AXJ`LGgFE@4ZvE0m2!^k7USaw+gYN*&T zn#x9c$WGeM_15H3`t?$=IrjAW6VF`e#tJ4I0>cvXVq~?;N8g{qejSZL8PNp%6ov`5 z4F_Y#;JLzU3P3F0??LE%sf@>gy_~QH4l-Y+ zI-w`u#@wx&EQSThfzDlSzrkp3hT{h6 zVsa8jHH?+soDEfV{L4WcN>|GEPgce^E^-Xxr%CoPNWv9M3Q+qnMcU!U6qGFQuW91; zJZUIZ8{|7BtVS-}1zBba{aB|#;~h2JQ}s_A@L&&o-OZ-1NqHVlN8DlI`6_J zUD9E?+jG1m1BZD908WOTv0r^J>1iGM+$5EuqUc6b2bg7+i`%I7E72vrqUl{%$jImV^HE)4o*n(lIlf?W7L_S+iCYC%c8bYqNI;Ip59S-WD9%@Eu_jP8DN{?< z7F#Gcwv{&S7h3v`z8tSPn9~%C_2ZUo+u3OAVT{xYLZ?La{3$}0bVRikE1Ug z0sf#*-PI2=o6c zL0%4~ycb0NcFicL)M7t`w!Rns>~;vg!vS{Xn;^e3TxJg*Vz+W8Z^w+Ag{_`zT~@)` z+IEzGt{v!sJ78r4z`N}>v;esVxm6lXS{sNJLIXt)V~Bm?)Ep$Tdq*8M1zvmV<1YRoqtNp0*x&$MPM z5q1U&utJ$zAI?26VDR7`?_Ggn#k6)`x_;^H*MmKP% z^{Qq}mWiu9*;_SFsAOE0RDK>;$&;E3i_R7_U;YcyK2%-buLV&DYq{ED-vHOrH*KOT zq{O?{?u9Oiv4zRyM$hsk#Vd<~05=dCafXCnBvi3k=$9ExE z7-Cr^?NpA^B2i48bErZ-HOr@w3EHj^Z@#&m-B=Dz`-g_w)(ah$9oB=UR$u~k zqj17m(bZpKOB$kfeWivN!kE9y_HFR_Rd6DTp2| zbMcVg4RuI$$nTG!TCR%e0%*YXfzhWJ=TJ;vRiw z)fq{#pVM`pA#sXXR;fGEpBxKcc0%dA3Q=_O=ax_ZZSVa5##U!!Wd9$yjQ_aqHrSEA zZSeoO?utdGBWjV*g8kXO+i~Y~T3O_FUC z;%2Ap#@xm3ViV;@m_Df@wCbWTOxqHw<^s6JStAqYS8`Xk#?3gnFuJ`e(+_4E)&S#> z!AzuTwCs%YsC#Ey$p zihgz=S(jKfa@>vCc3IOl3-<959a^=EI8k1zQ~$|J;JR1Q zG11)nP0)HtDKBu=EowTr7drD7jy5w)0~aw++D`(JLrk-0xPx!yyJPXIiCf*#jyt#a zoJO1>Hg$?3wjpX+fk`82C4bKGW*ih@khw}=XY2vSTb0y`A}JVL7?HrZ%)DvTnHsv} z`P7QHST-86BOKgVKtIF6z4sz;H@0LvT;pQH&0Z})f9_G+piJ)e<{c*fd=TQkqX zBqmVprGc3Z7ww7=pF+zcd(`V504qLy0KD4eQo3~-brH7f@Z1_j^CAkl11;z~O_v!E z*yNNm8g`$J9)p>IQY!?Bz?+I#Qo)d8gk)-1+_RKgv2db@rjz*JLQSH&%w8YSwM^Ta zuG*rnJw3X_NR6mc7r;%+g}D8@1ongRQh_ns@T)uRW3fGS6%XzMTkp4C7RO%EddkF3B=E; zMF(^m$mz@QG-=@!g9%u3KF-@`P)Nxa%nl}x7xVxqzHZ=RxB=+7*-#F9U_)H zUNbNLQ7a47oC!Ab57Yxx?@^hBzrfo8?y;tgw&kc8jX9@>h)ua==Qg3_5%P?oY?eI= zZuRT4pC*B5gwi>+>;t+Szpa2XF$U%hsWv=7cjTHoYrsb0RXpswx z3en+OzQinLEfBa|1ojmQQK28-`-7aKvww7SCfOyen4g$xY88x3N^)ln^+1eRVc81- z7OV6UvrUVSz_zptm)onj$FuC?pAhxy2bjRZV9!{q!8l0tb%O?_ibwxfIvNbFc*gC` zZg_Qla%4j?4vO_E>^CvV>Yv&bxel`(Qm&Eqx?jh^=MFZvK|+7?On;;T*IScn=D>`U z?40K6cH|Z*PZ|^l^}&&AVDZqU9MbxiLO7T7EJi&x^(sET?1dmRIk1K1$?XWH;Q#vT zu3oZ$OX3{}cvEp<=jd!HV^*^BV^gL-Z1Tv`CEKU9LmbG#B8OU+2@UIWsbGiBy$#Sk zTK8g-Yq&X?(epx0VjBSUUJeb=2s7np4>gXXyZ(`m!HT`r>@L_EVc1IUh2}s64t|iM z9sF9lkx@Zy&OW^RXuv_db~h`fX3MV$V?iuZ)3zZq#Cqm6ES56_rC*v8E-TxsXc+f8 zQXs19YaUnL1%lBXBNX+$0Lv?hOlEL4EOxcH-2};Ov#aRKjlg73+-V`-(%R8}WCzq2 z0I6{~oUpfe%w{LomVOAr_6CI1G}n6JAhSr}GT8L;^)cCh?dC!7To5Dw( z-&dgY*!f`q_gXhc%~~@HP2IlZG7UpaVcYUn!svd(N6yOdb%$i#VeI11Q`d(rjPn@9 zSZD98V*7fmKa+J;h|j<(J*dYP(M_s=kI^@fw~cjD;}Q>!6&Qyj8Kd)vg_w)rkPkZP zU74b5690Jo?9ibL>h3YjChUaSDV9<5d|fNE8Es{E;G}wHs6n|Jan_9q?mO&AzRS$( z%s154*`JaklmD@AymEcqtugT1j%KeBJC0DH;XB6C5`5ZdTu{$xr;WUxjK05Gj(ygH zbUv`9$k0!CupkU`;@d4$a=9MufR1fr?W|4lNL79VbmT~s<(cH4;5SvGsHMm|tMa

    8~BER%5ua_Hko}QpVg| zx~RKdT!F7^x~)v)T<={u_=Q)_cMb@L&wF4*!jNWVGd@3crR}dJb>A-b?&VgY^!H4- zQe)^PPtRFDAVAHYL+!R_sIF8;tS?R|M);Wb|H7_Q`Q(MaOb@$O7q=*@2p?C&MQrl6 zsFb}3p^P^dm(q44D!ugu=hOB4ZM^rhgiLVs`_tz@V7d*EpqYFu9i58WkEM8#OZDI= zi!QlF!|<1Y#|PZiIUepF#72QOlor!dbhrYMVV zg08U`!iiFCKFaxI&gO**hZSlJe&Jrjio~b*nOOOeQ(1l3u=vS_hjD!?x|W^%wmdrr z(7M9-2iu$kvpK1ukPKbwt!C3r1$|UM=7B2IDl^;vZMv6&>hdrHG9oeAKyF9J(8HVGpnK z07vSmOOk0ga8sHQygTjDg*iPf7H$`|?0L_*$PVyl09Y*uTx;AK#O8?Hf^|fAIpXG; zOO_TEg(Yq-R&#jr^K7eOx_RcvkQO{eomrmzmJ(ydn0rfwz_bXd)F?vx!e*$bU2}pL z^@9)KOqpj_3xf9%Fr-k@A32WN8lkM?ChQjtsB_A6^81|>eoY&jT6%5o9l_D@LR)=i ztu$&#@PD!_oj>q9W0eJsDM;%++GYpPI!ouB(X_t;Ykx;~mk?b&9GT>t7s3NKW7o~J zS)IM+!vTrQFPX+Le!l1SNBwf_yU*Kwpyy3RkavT6AZPi8Cm$<-{BNbx|0`tV|A%6P zfeoL5f#E+2kpHO~VWVfIWy5D-WT9nZ`@dQT=^6g_T=NNm0<$)j^HjyTQR5-z|;LLO1o)=07)EM;Erd z+{~iO&$=I@*3RN@ND^vV?OtCvc28HKp|zW_J>R9zFAXJaDWdOBc&tXEhq65-8qy@q z)C6l1J@2)lMb6_J{O_)fCExOK+r=7SAHJxXDkor`=>|U%b;SUJF<&md$JC8l;iC8N{q8!%Uo_ZT|L^7EaMhN zLgAb!>wAtgN#3{OrZxG42Gk;AVJDrFCy#JTzuerHN7y@fJlvi)9J6~IN(-rG<$y_Y z8!WqZG)$s*!GqYrU}wKM!q{$EH=bwS-_{Ed1{5WTcVo~qqY_>F@N&%HpDh5(`8wes zCV%_zo_?w--4Ma8KM)9UArVu&=GuO79afetk?sgzlveZxGz%<`U^sVW0EQVxyk-=J z9sT2;rz8iwm32~9N4#v!EPdQv|F;<+re4hwb4^00fr`n;qsS930UOJ$dvb1D-gE=-`3pcSXJ$LABR08N$rBdnpcgBTKz@vZ=;FHTv@II zvVdv($cV4E__;^Kpb}$(DPDUXO1$-^TA{ZeN3o1l=7jH~q?Pg|-uGW>H^s{5Dalk{ z!>XZ0<*=Xpo(^nJlgFX=qn+j&T9{&d3pSiL16Y|uKKHZgX)_PKzHdJ4ID^O+RuY`H z=O>LNB{;WNlcBpS0nwT+*Q~X!E{9u`W7h8{_5h`OqqBE8>w19sU(80eLhFWx%SCmA|J`+hiqsC<=MqV}HJrs>>bTrkesdo`+n& zTxvuYyf(@UrURRz&-J1zCYpR-Pfl>!l_$2fU@1$Bts}&rI$H8c{Yxc4mf%q2K_PKq zbhqzj>2l*1Q9oaf>-;z0rO`+R`ip120%wnqmcPBhST>)IAqK=z9!rERZxg*TD}j1w zKJJ!g!)WbZF9dqz!g;E|PNNjTHG%u3legE|*fQpNwl#-{b{$I1jRo~YJndtkfC_#U zWc!q-IQyF)WmW)W@L-w`R212Q*;}t+^Ts5d+aKZ}a*jPdJ7}sIbl25BWQ)2&P~TVV z>=azL{^*9@hjv(Aj4uOH9$&TvT&+)fngQ!@wSXN(osHiO<#vXMY#8Pqbe}^0~|9TBJ?c!aD@V8N)~#>a{Dv{sh$qE_DP{OXW&e&n;Dv!rN3u z(?v{uP%+}tnD?BMieTM#IDf=^zITz~O-b6;oX7)!*iAK^`#QT6A-p!ef`I`*y&YWV zeh|pYpG)s>)nKV#Z5inEs>rVXH91sj&{8VM*OfJgEuFp--T;T&3~xY6Z)2^-LOZwH zbkBK)5w&ZyU{kHey6RSXFgY1NOzI!4-W33~A?8n!>*TFM6{KOKzCAVDfGCU~cveoJBiR z`*hWI6vKv-Wa~6!Z>nh8u1MNol_+^QYCieo@pM<$*pT~L>cZONe~EpZH$nGWRhG5_ z)JDXenyVX(n4nrBI0Zo2D3?4}Cq^pEo$aOPLa;9O|5@bfTb9<1xor(9*PtxXUP0cT zQr=TMa66$Tkr26c+! zD5>broaU%Xre55F!lR(U*j17xu&7DE2l+@Hg;CbmDTxqC7RlCp+<37qZ1xbYY_Yrp zE5Hyn_N}Z$%cKu8SYy2JTNTdWAgG47d-vj{@-=RG;l^1LT8AFeUClK;)UR_(PbaKY zp~mxwoyPrqkH&k3FU`G@``A!_Bjsm7aTv!9Z{$(ZTd5vtVE$4JBXbe zvwV*nHwGBT+;iQCPXhF#<+8ok;<%bXwWZH~_uQkxlfv_-6!d`%d1SU|C-u89OW z*I?G#ZLE)MT!)J7Ye8~CYC=NkFCCxK1hu5Y+U-2{EfeUqcrM?13Z3 zBY;WC=kN7v2KjgS9e~BBv@z>H53wX?^Xb}ZwP>vN$f;^I3?kzbMZ6DkBiVq^g#>R} zWt;+egVI^*wOVQ_7OLR;HMs$Ef@a2nZ}6cF$i_nmBqgDrmm_aiusho4i^Ig@WL0BS{2yE#h8=x?U3NX8*3n&7=rzL*eR-~ z-c@cA7!&_g%DnHui8F1!XeJJXA+`sy*B_=gPgqq(Fnbr;Xar)O2kU7FcNIF6rst83B6bRHlLWa{r$ZUS1WBQ z(`uEZSkDKDIq~dYvf3PfeXViN=DDWk402|aD2BQnAFz zpg;;*c8$2XPIvFRkr!MQP=6|J5$_pLk4SZuHb|)IHKosh=Ay7V*VGZ2mQi;W6vpy9 z6u02lL&I{Upxvk&;=Z-^*jDzdmaCV=gJLjifG^oU@Dchs)fIu}AiBeJjAyJr%3k@3WDmY5bZktL#jt5g?F4@qjUlAW#RMUwG zr~)l|zm$Xg{*I3(TbCKzqur7f$j>?gXe{JxnDuY|dWEso4iu1P0tAgq1`V&Ei>W(vQ_wKj6t1VBT5*5f|&OW^#~VFw35_x$N-&iS{^rXhLK zOGx=-!_LNt`5>Z_Ph3D1SV0A}B;?-%_CP*PYE6WhuYMuI5t`%kuOJC-11ZSbuH zRZ84I4E{vZ+VeongMEkc`Yh$q4#r|c-AXK4oMSl%D>jupwCRt34n~6xWKi73?cp-` zxPHDs6NyJkr+G1mQKpFWLJ12^rvLgT}2gKj_7?-3L_2589S?JZlgtSTO z5-zi0=>eDn5Q4-`mO$pJv{2S|4>Uv8;3&+ScGebgn3-G+eI=)Msh}5Zcw!}g8yfXX z=r9*$54!p^q*O&Ab(#-=i>o!G&FMlH%=4tw{vGj9(^|^?f?;6R&9ryqbUK;cm|Zds34t~pT5nWK>v6i7I)HXRZF^QgXNQ^Q(0%*zuG5z3 z;_uW433+GDavpGej@Le%0EOUr)|14x%3%`r-%_fk8QiN)dsigM4~pUo3Gu=7Ro#R{ zx47{u8Y+hDoC5pjM;#y8tll2fo10zSa%M#Pk}{l@GU8+X(J}sHI=aD#gFVY^u1*9$ z>^Nkmx3ijaOgmhS!^Mh2-ljocFa&Y%caxIz_&023!c^4T)YyATEtF()AEH0@_wh4J zGXp;a#X$Q=fnj86&onq&%b4j4SLNLj4^ZN%G^VcBw{p!Mb08cLQ6BW_tEkV6rbUK* za?E%gbjn?b(8E1&$fvm~QDK)4-4Ed1oH+kj38=0ya>n_5D6}|BSW)quQACFkv?1q9 zwd{3O5`eWkZ9&Bz5o)%kS#rGrzV(-`y#W%NzPy0;*iEsVw9=z2X4dL2b7wriZOq+p zJK7AK1$pa+xjXSPg=?Eo-Hlqs?+T)u7pi*YgwZZwdMwfbzGrF&5|j6@JH96A3)74v zk+B1xcOg6#oDfZ_blFSrJ*LYm7=*}(^j(*gIsR4@)&^-aZQc)gM+3)fr+8P z3eXh+%GEuI|IXt@1YbC|j+zb83%Nlz@T@%G0%DBPkT~9`dP8K5Oitahp19BIw{18n z8@e0Q)_im`w()Wegw$4h{VS!-m1|cSX~o)&?0yirUDaoFJG>Po_b=yueWV&0ZhO(+ z59I(Gxy6{Uu?)!~6N#h@I$#b?MEd&3M}+L9<9$8lbL=t|88iwWUCAP|x18Ew#5%Pj zOY-0Sxb{TD(4d4(wGLNRjrV7c*A}mQPNJ*)!{&^=ik1rKY8P92rgdB=>~T3w`!#xL zO-HjLJA*vBI8^M+)h1mk4Ml(VUUqL3M{xgLAH!Z#(VXp#3gWiLFTG2EJGI%r3lc%} zv3vVSAlN-GwGsB8B|UQYGLKCd{^G+|`3u=JW{^_ix1E;h&w7ihZvYtpv}STHac~I8 z<2K3JcKC!VOa=2WG1J3BoM?1xK@64g4bi`)p`Iv^+-1&30N+Fp!6Bh#1q@>h#;Bw* z`UQJ&YbDVl25X-40{TI;)c)Y0m&GF9@)C`@%Kwn>*CU&=^#RWNI0>!(`mLBO$?DGI zN##eS-+KP7a>sbrH@m(y5nJx*%q$aF@geixTE98EA>rJ`M(r;A9pb7tjyd?Xi5QF5 z6y@^J0*eD;H&&9lXD)xG3OT#OiO^r&=E{gag2-gOb#?&Nzt(z9T;c=$W4SK!!eyfw zec7j@HX5|L>5OytnxDGRLo~|r&<~cKBXuI6kW+=z!r@jemtUv02YjoxF}I!lZP_v3 zvHWt@+fK-_qlYJ5du-9!Bv8%8UJv_X`j^{B&*N$;>#Fr6=xxbUc3=`n=c8sfJ5>-@ zDx!oc67Rf%?sBN)yOhPdfJ*OnJ{$!41XS!IujOUqK2)`DSm2mVk`r&!oVQ(78)z}E zO}{SoCG}VOPsNt*_3INasKd_XdjS3=Z)MxeNXLd@UoYjlIRu2XG9-SdTxu`{rugqh zS`kga-0J*LA+cCZ`949elh~-|HhU7!)IcKrN*77@Nt{n|iYmgpL$X%#YH8J>sK)jv z5_0du!94LULu0NKaFE+jq@XZy6PBBDIy8u?E86aW|2dPh_Nh|m9T2{WaM>L)P%vZ?DVJR+HK5rtdhf{@oM_<8z7 zEj<-IY@i^}Cwx3oiDaH1R>+vGyIIi-n<(j6YF`k8W^Yk*cU4ONX|?x8lG z(voc?xji!x0zVGb8gwBVl*v!LnbtPhdU$1klhHs$)FJ;18Jl=qcHPXJd_Uf-g?MF4 zja(0yS+JtujV3KmbDFtoAXXiufee8=CxGR{ObJU3#;s+Ood#m`vk4sTj_*TeW{4 z7Sat~jj7S^Nc!yK7G_CkEFXz5V7qTa*lp@i8%MfG99(;z)3rx{M`=m{{V+)3)CEW?yru*O(;7Zc z?HgYT;R^ePPBXHKpznRLPj-z@ZKT%oIukzVQjO*UM5YjKQs*iwJHhsf;Q^i?GGF>nwVGC;Zmo%}PgPq$hI zj1v(Cv+j=hZnURkE3()ga- zEAX;R#M!S1pDBbamf33e*W+f77gc5`sO`)@@OMloeN@yi9@)0xmQg!oH~{5$!73CF zivCF_j1ns(T+pYA)O&`FY*UTld%3-p=}H8ttqm}iPX$u&Z;%kFl5y~seQlwfQDX!Yt+_&sm!p=9u{L$$&R!a3|*%eO!wgR_BL^fI;VcuUVDOdEGGD)&9T#QU8) zB>+8`IH_l!1J}1{#c(s=7`_u6h`MOe4G-}UcHGN4km{;Z3KWl8tIDE}IGAC)FE8Ig ztRMn}BTNB`&RayVIv@{igcmBIQT)4RxSQX-xYLtxq|Lsy(i$semKG~Y^Fd~yN+SUg z@@sqhj~un@bt~wx*B)+7CKssd^tK&*n6`6EzW}qbKJ2O)0{0fbYxJiz z&Yc)ah_yR}@&?ci$|OtIJYw=}K)N~VKvPqQWt>+@h1c|rQ%!(mZV+>?dri+*Iz-(_ zu*cfw{<)_;i>|=e_Bp|k87n9{rr?qpM68|l^)2!?1=lH0k7kIlIxh&JsD`tiWGJP< zg>l?fF$h?eSQ^R*?Ll*P^;C9PyI%MtjioKZWI{nXM+=!dueU-I7rGV+1v_^wq5 z*S=1Iiq{<&m&th_{Y^F2-gRQh?$#AMv-6?ZZvkVjniy;v@85N>Y70bvC3j>bx8aLI zZ#LQ9_BQ!FlE48%R-)a)6Cpl}#eHNFXf|T9I4gM&1R@0#KhHRk=@Qag9izNOk+LcG z*e}vWL3)upPaF?L82#G3)>b_VBlVQerXuK6!MjeWKnHwCGfD73bX+XH1mv0L+8TOdk{0!zKu>YAuYv&&Dp1I*83V zwOoQ=?0ou}a8h1g*~+x1a%JN0fI-?E&0uhtZl7%}`%j)^i3@@S^RNqYnWRlWgb{|M z?Q}fIxn!)_IJF}9TWd}2B;3)}NaV$dUu<{l=J~qKQz4dmm*q5pcB}CDHK@1ex_s!i`ivn zVrRq`N^^6J+GaiV21;^y@XTy2gJ|(*&nM(4fbI^<+UYYM-IxQLA(S-cCuSBHniZ5q8R`eloW(D{^;V!w^-Bp zU8v40iT^m_quNes;p-pup}JLrM*JSXql}}pUU^>8YArg+sc~%Ks94R#kp}YOh0rDK zT8BySHB^LmhJ-De3RW@B7Y>l<4+l3!21D0*P`^W!QA$mjwoX$*&>_(O)lfxcu729A zQ7)-cZ9O5?5g|ZOK({AYI|3U)s$QxVt#kncOz1X<9~2YhB~Q+u;6MOg8$3hc=OSo% zu{e$86;5HCD)}NTl@qh06d8zRXp9stX7UlLIMU; zWes4W$s|}5_fy1+15NW74Yjdo9cA`nSL)MW0|sx{pQ%J;g0uye)^sJ{`6}jXgJ}>P zm{Y!c8i0^I!yWhRRlboz#@aULbZviFTNylx0Wv=B(R|a^(P7Vd3G3s1PMo?0*p#%P z;mptBw1#8)u-a}K?C@VMbvDp#u8szu!Rkk)AFwO4L|V)CZpp7hVKY|tC<2HaX%&aU zhP|yxs)L?@u&ZU7_;B-}|Lj*5QUFq*c%il}Uwee+@c&>rv|#<2p%|2gvJ$!@dV{yu zFwV=$%`1JuAhQ5>C<{XL`S2j0@M9d7z@-16VF)X>H^Yzbb0mESKcYN z8n9@={Z?mIAAlPHWdg!2QB7~1wKrg1MLj5R)7XlL+j}hi^jHh@Vr1GAqW^{&*6(=F zg5#ECNFD}!9wo-Bpe|H7?#m>ONW9~p{l5NXwFXQYsNT}NIlsHLziY4^my>9!ClMj^ zhPCbL>HnBIvfn7^@dq1R8wf^r`Y)CXc$(gI8XbO4vAr4j?AuiJA)LSJ-Uu#72W8-2;SF_^!l-NE z9YHgnaFDZd`3n5MA^Rr{18u0;byi@1vu~D3-@zvar{M@6z$Xf~UEx4BXwK?^{pm{7 z6b$)mjM^R>V#oy`L49$n3ZZ_-;9FHx?{u++v4IgUyO_MeR>`WWBQ;C~fKARZ2@s6s z^bLXUQD9aL>ZAP36kZAXiu$*P_c`XUCO7?kjnNCYJikCn$OM59pOn3jxK6#hC@ZAr zy0jH$Hoj7r_)01!@3*V1ArbMZWS88PV-;gs9r&1a-weVZ!%Sdd7B`W9t{`7_j(-~ubpe%TVXC{hz- z=)lAt2D^-H?Xn~7S^o*yB>^U}q5jjQG&fl*)7f0UgI+pUj0W4%z-cFjMZx_YONEzNF4I0JXU6E!aJU1k%HAF}s95|3(Q}98W zaJHW7$|XEk)8G=pen|vV3I_p|bZ+f7L9%L@_ekb^IPs5zTD;scqR7Sec&#nkMjKMO zTYOmV|FxVMBmFvv)lzbq?#!xBdQe%?BG~Dsg0scPz*I#?VQ3a+e7qn zl$jqDd0qdAue@WZKNyr18`7r|zagrF4_6;8KnUsu2jgVbk-%>qXig;+wh<=k@DtKe z@vqfduZifW{gI+AMc`M^ipjq?YhNOs0)dBYPG6I$x23Yj_{&bSMAAkS)Go~)i_{J* zlu7Ingswr!KgW;VP^#cFYOqWMV<01bmC!~Y#Vwc`dO=1wF}zLy0SP(vp2-&otr>3> zWY@nfs$1xSegc*gfB#n?9pf@|3XnhjG~Qx~@Hj_tP0_c8kfn*7c@#agAcNshn10l+ zRvVKje4t-#dy6YV4!^`G#j*$G@QA)JK9nOFgIHc{OowR35C&UCFo?!R5)&H){&O?E zhd*K+z6+CRgNsX6`8QZ_*cNassJx#2g+~|!D|AmAciAX{RQy(vVXy;v~~%@ zBnzfrL249t(sS&dssG=e-+;G~DZi3(C^+*mC=CB~U0%vUyFtsm01D+W^hLN7ZDacx z*ZtBlmcQtH+?5<67z_2#UKKANsmwl-xGtgN>(GuY>w{pFJ# zz2HQm+ZZeEvcoOlv42%2-3LkygH|q6tn%s(4LD}?*kKz5pr}e1WVQi#h)KMrV5CBm_f9#CifXS|&4dA9e&_;{bzg8ic)yFKRrkTUn;a z%aEfCFUl_Yz?zV-hjT@l;I9)IV0v%aY}p7kB|-}^cHp)iskBNr>Fm&1ve;48l8kON zeZA^f@Too>cxIZdYw-29j&pPL@)@}S6dz!ZziE*zYX~gUSmZFnl(3}JL}x2QsAKps zw$CT_tJ686<9QwNI7~HVh4x0gEENPFa`?U9Ef8Bv#({%z03=hc-ItI6QV~sF_HLKK zmjI#2@J`JGt1?*}yNYpU@<4+|$EO*I3}RAr&|F(`v=S1B1anD+@m&X6RLL=-*o*dAZMCF zf)i(B=N#KgKI1_|^TaGAJ>D%Iq;b*l>Fh(b12`qI+eiHFp+hl+xQtye)!m3d_IzMU zF<@@qWVfONvRo#^@y966y2?{SA!HBiPUQ0*&|+oC;RKCRN9bJBqu=L7Z;faYD{i#B7?}yvbqkXyhn! zuR=ph$SpP7GY`p3P6=`xP9Z9QKLpZt(@mag<+}SYTTLAU@JrW3X_vq2Aigzgk8Dd< zb#dIMlZHWl?6qrlD(t$e+Xw4t`|2_dCbC?vo49NDbW3+`9bSya>`xd;(c|JS?^P2WF zT?s3NLR~v8futv82dyBZOGS|yBHhSi;UIQf$-~xwLTJU*t@umR_kFMd4gz-`U4u68 zNv$k1rlvJwtw%5g$|WC8zbvPL%TODitmA z>2uO5FVNM=R+i2;;FPuKpYm|NZY^3L&Fq?|iiC@uH30nNFqqnxdHbtZ6I!3mRSsGX zw?j`>W=(bb$Z6WH0=di6b!)PcBeLYYU1c5nPkOeDN}Os`433Ct$SL)Tn$r%nJc}T@ zXG8t1PUnkg7!y_vMH?xl8=VYmwC2jKPL7$IWk#Yj7Tp;dnd3ypoqT|!qv7(yH z*{R>H4)NYn16PW`=(n6SnrxPVi2>ewQAW4RRlc1MsUTNxRVR(j58k!p5zE|aEJX`9 zP=IwITDMDF33JL+Zb$RUN;2y5TV}tpe~*hFpAZR;?^d^6I(K1XD(<}cQTGyc47dMk zcfRvh-vDsA65ZJH@oa8SV^=4@+RDM3#HjSQB(R7+f6w4BIw}I!2vXE;rn`1NpKOoB z3l-2{dvrpGy)Tn_oA^=|yKljLfLt*@dN^Xca99Igw~seAkGtfXP*v-!lkGLl@h>c# zzeYo^KD%hff-#PzLr}G6rK>83UjT{ZGYiueO)Q0;7~Mu$poFL8;-}A(Nw(YX3CpdcSearS({$d2 zWYGsGXOh{bFid%tI@`@tU{f&cq3_~JS@*RY&R%S`E!;jpjHgS0R}U3UFE*7W{4Qg` z`r(7%81|mSF(QMp=dVIcn+zW0_wq1W*u9b0;xEqgePJMNe4aj$hS;-DF$NF~ghZP? z1ctPQ=%8d$duT`ft!U>hhSL18O$Jx{nDSfZnGyai!mOcr4-`{N_l?7>u|v#B7C8bz zcBBwKYZ#4ePp9zT4@$TfkfNfvuIhP$LFU9TzPA`f%r9eXQ8me4tJ^m z5^MgAujt(X z45x}9l1D5PmNr__ zUrcJC2a!L@Av_Uwt?BCJjXEtSLs~&vPnUn;n8z2ltow^XjNY1%b>2YS7pp@4^^_Oz zNg87J1WQ5cVTCgHwgGZW%QcK(-BK>fa72w=~f70t46<|IB_g`7C<$sA#xX zs4KWN!QC6+iY7b1{%381dQmc7E7lVMkXZO{wfl;eFEOJPh((J@G$@yk^VJ|H@>vjY zsGg1U)%!_*BMM4=dy2-5@0=vbkyv#@vm|T|4MF}#I^!jW8S?E=6G_b#kSqfcQ~yh^YkIq;_$LCGO($#G^fC!jUezb)2p10B%GsAGffBu7?Y&}Itnn5xK+i*f#fmwM6 z_i)a9_7XD$=lldVz6h0FvL^+SDoXVfnN|}ilE^$JnwRRCf90l3{J*CWQSU(KGfst2 zsuA7ApRM%IAHo8R_EZuU%h{iJH1Z!O0-+p|(FXvOMQNwCa{MQ@@O@lWC_+tY3Nab) z}_rP)(6|yKEV$GznAzGvlk;Mtmjv z)qGn5wLE}cC#B>a!O2`oC!t#-;~BVUjuX)(;h~iK>dB?-nj#PCO3?!9D%YR{BBULZ z-|H)0?b?Oy?dfk89}vP$EX-ukIT`31eTLrYjT|_rJ?-T@_DtjNknbE4|5xsfS_D=W z2oQZ&$d_!ImuffisLViUKHtopimOe(53=c>laPevuiR~Wn@{LT11j|YRu=uoPV;~8 zVgGws#KOw>KaA4D+Lp0fZSh~*{67%hT{wBD@4x?bO00i%0UIXhfzJLH$8V;2B`>CVcF zyW2e{68IO@m3z7F_70WMPCC_75uEC+9%$hj3h8hZl?@Bh43(kv9idPQVgWzGk#i{l}ql z_FN>`@mcS4>BWW3C#PYl)#b-SLkXL4uCpBIg=q?(tLCiNAH&Wp;{ znvx94-6bi?RObx8*5Uh+9QD7V+2Sg6z1g>OjC6T=E5CsswVz~P3vWQv+!&bOEj^rV z-^&+nWqg~jJ|S-C=)Nte=LwPvf#J3i6r8zK3@V&ubg0LL_VlwJxfPO8{7O}#7-Vct zZe_f;p3S$*K5+ST)+L(6X^J`3id(#ZorIwIEZmpbqup3E_PS1_Bf_M_Zjp#Qy*mKF z6+B+xe0VZ1xvr#mA0Dp~IY|3$SANctFHWu<|1!op3d7ILUCEkp6?tYlI@hh5=7v|d zd!B$ljJkMiUyD{r`g?lIQnh)W&CK`)O7^c*S0$YCP8N$;+gkfRuPXxG*ZaAvYidM1 zU+tDQa%532{jPnsbl+MlaOZdVK0(Z6O+Z+Kuyp&z4L(Q}#k*BdnC3gaCj zp>wcC+eELQFE4VpNQZpk`8*n$6|lW!Nvb#>>Yz_37U4%v2EKn_Sm*< z+twc2wr$(kW81dPJMZ^K-1u(9JrU=}il|44@1GJF8u3OA;}XS4w^KXq*+BY%3WFfY2E5;d2o2fuH%kXe?^5aQ)ZVc zTipy&!8OfEZ7*{PBZXD^2DgX&#-feZD$4ocWWJ*8xBDkTWYz;j=|5!@gn_mqfTe2( zsI_-WIH14=Hi>Qr%MOMdPoyN)V0f&Vc;}n5Iaw+mw~RrBo28oMY-IvY-che+W}CB7 z&9F~-cH2+EX7gF!Q(GHL)^&VdLCh5c=e7%5=PHEVBn{gWG^}bt;;?5fbK#F}LFX%T zstE()^Erf*sL0y(COr7*WdMMd8pas2V8W8F?ZMpzGW1bYZBN>dV_v=a)k^%HUM#rU zIZB-o3uq)Q$?Ker^en*u;jk}hG}13_%{HV53Usl{(#y?QYs+uYGX+-9b9UIG8NGdM zE;B`|VHP;Zb9Ij#iN)qR9b&G|aIO#OdEJ4p_N?g~vnGO6a<^>toWE#wN0jCu8ab-b z1Xi)I5{6fI0A*ju)Qm1$ZI-o4HFRV{m6@Y7H0B&=L^L>rbFSf!X>kECc74H5y&xi)*CL zx+bM(`zA_#<`}rC-w90%PDi}q_0M7bo8v7Apo6Vtn2WSKzU$Sw{IZ$2&q656 zPOlp!tchgoRn=*hj2v`r$&8|Vc?8Q?W$#jF2sUbNcVmaxgehDbp6jHI$6p^x+#E9o z%D_oW4}q%|xn@7ufT6hs0P+~WE%*jYnf3TqCm-_j{1JzPnz#;`6SsVag<10}2F$~YECJ+7u)AJ>>C?IXS&XSYT-IC58b)QRmfK!DWAlB zpWNG3)0R3~5+Z`((ACqz`by;F#52ESK#~dJ#IHX_0~U!E5($1O8e2nM%kv9vG=Lz zr%?kaCC16HNkoo99Jwr&w7m0NE58;NI;277Y*?n$2=tqK#Rh>A;L1f3fQ~i~XjYH{ zFf5(%<`zs8paAx@d$JFbe;`()8$JpEW`ln*`%0~{TY0ODA_uxJtQK`76xwDe6d2Uq zjuP!gCQJcaI*-vAo%O4V(NwwUP8_H5PP6`BKXo+tC;-W1g`U3Zm&VT#Ctbui3}Qt< zJL;N11d-H{ix^HO4Gwk0Zaa`N$}qd$H1Sgb1re!8{E_20af}dL6skyO%|BETlPp=3 zBUtcWC=IIIb0mYY{`vw69u+3xcoxp`cV?6j*_WH^%l_k&+r7Iz2s1FVPj#pcHt~`nBdkT;{o*+ybV^_$4h&l!aSb=#qUJS9W4QNM=*T^PY^^) znG?z-xxKMuo`HGy+=ha6e2B^^qdh$o5(3BWn}PhUkW_=gb&t&nt=m0spbE4iP z^8RkghIi9J7)1T-<5>~<$lT#Z$X&A3LZ-fcf+sIbT-v@n83HA46GNqLNTVe$qBpel z!DPHC9(biQ*IKen?OIf9?Me)6?X@4I?5(K^9h*6932jzsXy3vPj<2zrs1O zq0inqNu1llb0$xPndlq!Af2LE>5F`6Ta>NQO7*SLcCeJQh9awOC9>crvUEl~j80ab zZSY#-2;xKYI3c6=zUf8RyloW(1mOjoY6fI7NT1slafzd5%!QeC#bX4D&7m`p@z2(( z+DD4J8ips&9AI90!|gNi>T%@`KS2FYyqXa4aZz@+O4PfA2*GAvw#>Ji5f5j0vu`m8 zes2A=BI%fq_(+v}%SqWzMnmK!b{s&%U9fYw2~wZ?EAj`IOU%@_=}l~~oqXv`i`?0A5#05no;{J!e@buMOA8* z;;#AAiD+=hf)24IkNXR9WxbdPpO4q^st) zgoaW)neF#sadneBSk>l(x&6e+y|@YhBU(sJCwwf}Caoes?k+ZTdtr%@c^HIvQC;{w zxJ`})C86WWM_SUrr8_DKY=?&S^S1FMj+ldTp^Q&_m*3tUr6fx!OV+AI_1`2m|Z7hs`ZL!(cO+?g6chZ8_EtRb%M0SGr@^~Yi_58+HQ04_1U$z zi%u@}Uw;3`Dv!yxE;{edb8PWvv5yM=k0x&JNOc<6ac?E4ZN5xwyWYQAbgEeL460bd zXv6cn!_W|TJume8H@jcU9*F4+@=9(|40Q;i7&KS%1APS;2K;F(6VAZ-!GKFqsYPG| z5Wwq=8l#vfv@ioH_6GW1ITUa-09_pZZ2N_QKwTRsk!}=22*ZHxH?5NtFNqwg7=3G7 zl${*62e4FNbvN(2}&%egy|K;cREa5I7i+e2=jr9SkodqTJRDv6WuGGt-Mb~=%9crdy zX{e~cQPOwE0WldN9iw*8&hZCXhDH3KH5$I5P>KrlUoZ--ms`t7FKYP(Mh2vzl;5pHj(_?cRiA!GRp;MPHPi48 zE*OL8;zFQ(la;7}H{7VP2M^0<8uL4M_u!{1fbwn9I|?0m9Omy%Hb6TYQ`qEBO61HB zNzByGEtt;bm_y{w4L$MGuzy(6e<)KwC{a^Czf%e=Sdv4BA^*1htyE(1{=@GRdhqj{ zK0Nu!1-L!x-^6&m2YC6sO6vH4s3pCJKh_f>?NbJCqQZD3;!l^m9d~9{ZQQ?Hv6&c# z0)iTW`a4!YBp`467a0VTF?}I6tu;^`vrjOMsc#-m1+0#u1m;(_)K2wDXLU>fzuGMz zqTn+y0r=H#Z+NkFU^3u9$}N-smAfDU4S+U6Ui9l}9>5LT@IEdSV;h0I67r_LrI$3q zEQcxri_SLW&xvW^ed*7DF@HX$;LXQ6n*kUpFiz@3Q~0d$o;qfpjiB61>*<`opdvjm zk)k9R2OU=-vcp(FJ(KQqUsFaS5j#RFQpRw!uYwVuNfP62{AujD1*QPX zhtr!R?345NDZBjqgNbYZ`Qqr;*Cm2J2XMk!MAq(b$_N^+X!`+onwB|_A7j<0I)H;w z$yabqJBM#V#_<);cp7MZ!d{a0{cGHQzsCJOSb*^*kcM&zb39H^!dGUBcGQ_P!0;vD zg*GO8y7=*}WWtSKcY@F)OEt(C4m=m07L{I594E7JVS!XHDDSLmlICT-f3-@DpWA%j zOP)uM7c&|djk|L}ZPO->>vh-XJ~iM9xw>a3?TI2-Y3vF`c1VT_XJVKN2Wo^et1U4C zMK&H%b?AkrsWtNPhvD*D1c^NRX}3{9-8)ys_S`K*2_M&hHC} zmEX5W$$v~l!?!=5>sKih&(-OkVaTG5iep+JdBBznM(Lm=w(29(l9K+UL~;d645*hk zo@Hp4D~+5L$^KgZL}IbwjQ%_an@8!1OwXdn)7hfN$}A}6xM^%)F!ekHyDm+;eI{^>cm$$S@j{N)0s zF|%&6f4y*8?nJ{{hc3 zjJ{6p1?YBb_)+s>cE0;G!MIQfzk5z@|L~~V=?g+?oFl;54qT2uB~-C@6STU<_M3GI z`%76nfRfaWG%YMENh8IYAN}*!hixjDrb5y*VdE%HX3X``RYQJhiwtCtw6X|Q)I=Mm zpdBFT-PC}nZYnd~JZ(e}wV2C!`);7nv~CN)uUQ_8`~eqL_#Y&TX+n*5O=zpssh- zVZYwDf_Y3LcRgp(VZCl76-bk%=b&fAQr=F6;C4roblr;9pkQ5&DfMlk{%8^tK zvox7IeXze&SZY`b@7LeHdW@n>aD0aYK1pQ@+GHcAaOqIlPefr6k1SBdF=_%_X#yGPHcW9c}oM3WTw%aVuMO#`|lMLgUGkv zaTY=opmw#zld%sZBf&hJ|4aqS(G%Fh$G^BVvqQ6GsVwoXaGFJ8jMO)u$wK6#lx6Pf zIB=z0#hQj|bgQ@Hb}o;fkzXFg`>3iC$m`wZ`*|9= zgJX-&=Xw8mS4iJeADWM~KKQT_ix1ewTP9RmsktGv5=wr9dl|dq7RsKBb2NzkqZaG; zb$3_TtLyF2gf5Evb8-8rhtK!EuJ9E*8vEU&1vT1jgRba)JoXTKV#@BET)c{VF1hr6 z`+1~CpTx^Dn?dm$t(XDt1*SX5d>1tzAzWmiwBcrz>+xxC_@upZc@)Ax{#XIKSEur`|zVvBoov81}_i(1w z0^Ya18^pXOd+o>buKJmflA4Z}ypYLNls;nE^-71sK7N!}^NDEE`GQ1uXtkBMS)+9# zFPBf8`8s}mop33bR41oaIuzM0??JKw4~(o7$%T(7(V#3VmS>Xw%cpxeeb3kRg^}Cc zy(eLt%RrS*~yC2jw*2eNxGZQcYkqck7Cit%(m=Fwdxmty_bg@ zbm6Monb?W{rT};^7Y;r6&&d<^NhXEQ-P!l&m(3q&=Dn6;_;^iBic zlwNR^&F;Svw{O|ZPAj)=?vH2gYua7iPA%We-mlZ$U*kM;Ps4J%O`x+<09*YJ8!POi z-}|ti?{5dqVil3__&2;9+owqo(Ve^Ua;-c>@mK)c^?cwVje zqWJX;st5y@G zR?Ya23pqL^t^3^NsSE7}#3jP!fYo)AhK2;NyM< z=dgFcrT zfp`A3`TZXUc^42hn)yjk*zj#R^{%Pl{=@=kc{+b z(c7XBWjAaL7*k`My)4Rj;9p_HKqy!YA1c%iooad&bP5*S<4z2CeNS2W z(^<8WoV4~;7G^;Y`8Wzg`Fy}axnjWHdJp@P}$DDbgZRBGjR%-u_9zW=hF zBK|rEwy8pFr0>#O0Y2W@m~6sgrcdQsH+uK)#sXz>Lk#aUc>_(AwH*b3>%~x8!>i7` z3>2zm)n9)&m?~{V^JySZBhnP|{pU&*^EXhjl=EiUR8HweqOrH%L4w(qwIFNS-+~H`_Q)))8#A;2hV3iWVU2>NS7>QxUw|h zQwrc+;IP0y$cLN|EEgn%C!TiwpB6K3{0BEvGtow~vN;yTl`(gpYQ14FR`J&WCsW|0 zUV#E2s&Pb~LNVU2$qTj0uqM+gT4K>$9ad%cx(+a~t_S~{rA>-)1z7?Ukb1R8IlB^FNi3FJ?+*v10NzD?S^vr0Rh05Cx&nol#7S4MX zGx&d0UZPRE0!904Jrg4SBGf)ulvhTZt}1>5igq0x=U5W|V>%e3`Jl?Dt}bA3vZxgQ zBPrz17Nt^;9Tlyt$A3W`L6^;5VOg5mh!+-SPg-N(6iedZqxEf#)M3GE>#-cnOjIHU|^;onoVg<$mp76_-VSOI@J&Z|3?j^6 z6^H_bSUlpn(xJAQHhZ_6b1f|UYyYYh>fB1S6J?ldGci`vlBp6v^YIXw&mGij7yl!! zSsi<-g&Nkum8sF7l)oHlqb@lqcYy{XISj*87F|AnW2wC_NZAl;>C$*PS6Q@G-X?)< z`j$YM$nZ3p`9A`la8=|dvYU5Ct!DEni~r!PTgHw2f`Vbx7?0vdLmmo8Sj-JQMYfog0U}RV*25~fJYbc_#&JA#;d2++7_QE6^R?={C}Op;;Ve;JLF_c4V|!BUta23m{O`L9-|IacRd|v4?%H1&Qxqu5Q^PS_&P?g&__rhtwtCY5qMwmUxQ9Ty1TwPeN{6Q!H(fO^a2(+@dZ$-{*5)*y5oB|d zm4{NmS;3r#jY_yVz&`|;aN=Y=mvWCHEbKHLMC>1*<{v)?+zf!(SyD1hN;qV(>#(~9 z8b$?eIOG|wfWbwMwOy8I+dg;z;MtA#QOf?5P{XX1OE8#%hEhWi!O7*NX*c7ofiTU^ zB>Swhb^NNP?~_qK9PFP7>hrisRZeG{Pu{pL9OH7_HPFN~OAUU5_qGt~Mj~^6hn9H7 z`3@;=TkzE9c2V7m!F^<6{(&jrm77jlB zo674SnT1n4jpkDT{Q^g?#~K&U-n=F=wli=h zpZcCzg=P@RF|%BQr;JxM>BlY-ADIIvzqRJ8%Tw2|CWs|`6a>sq)Z%`{X3QYudiDnQ zetE34{(24&1~h9n$KrP!eVR9)doUH|AMVKL-N{t*Lo8Oph7GRkM-#R_bJ2#Ul&i1o zD4WFe`vKDNf}R=dCSH5T6d^#HjRVjSDBO-EZMyTR2oXgL6Je3`Uuy<5@{mk~C}mL` zX+c9I0R(O7w{X@~^~Xpf+S~6>REi%ywAJCq!W(r1q`D@ygnV;zJeZDlre{)Fjf0~! zxq~(LXYS$(%?@r_&px5)^vLT)lqzYW5f&g%vPsgEMzU+4#*jG*hp)74yfTpL8^q+e z!5DD|wj};?LR5;AnuhMc$-*+vo>`JCSYk2aw)}@+vGJPZUBBPrbZ(_5NTrICGMlEl z5OyYiC5vpd* zY~TS^T~04&65D%>5Q*I6C0<9aSzb;>i^A20bwip;jVL8GMwyhde8OfS&i&~DMs%qm zZFn5vx!K=b?X##*H6~92l_eNY!Q+?)E**;IhNvI2ju{pq#geA5XIfMDwFd$^0tJr+ zr?)3Ddv`$HR?$p=|$> zBqZ`h^=f?BWh^EsE=Hy)$>{?aSq3HB2t-QRX?^I=!>sgTj-!&&@47%)QzY2FHvwS# z)dc{YDHZ_mGYANBN@u2LaVQ7zc$9tdd`kVFL=?l`1QbKJcoZ}X;R{#>EXgttdRYeO zG83k`=UD-3rubrHH0Z?6)b*A8%#lv;;7@HV_6SYzrA+kt}Hc;ra z(**Eg?R|jZ`>=nH;*iM;@M@a?EX4Un|&)T)#n`vz$-2r<{O16><-si_xd4@j+|i z-Ts$$7xTYpquOM(zGzL{Tm5Cd{^QGyxS5N3G&7LrVjhx9_y^SrV52+=IPjV|=Xpzd zf+iQ~F|_COsioRq3OR;PMsX1rvG9sY!}WRYaY9n(^m&f&9+2HSU-18F5o3cGrl4`I zWsKM`%fs%AECa5*;PImqlEKP*37)%nKtv~jvG89QLgEC=n86_<>}BwosCN^h(%i(l z@2WAfBfcz-;)+<#B1Tgt%#E4AlEvq~m@gzMa{RbiDA#wp`EQ|drHXG6%dRJ`WS?i5 zK_C9LEV&J#3~DkF)tw4#gq+DVUOkd~!7h9RD(mEIo|pL{>m3rAF`k-4d15+9FqDxuY-xN+*R2c{21_QpSmPELWjeVk?9%=n_-1R!L=)WgMh@Sy4sV- zk^7=Jq_*hei%o5a{1K5)2)}=7#_k(>@*=YDQ#g3}8LW}i=)i|Sgzc}RW}ZSm>>I-A zEZPns?hEG4VvBD6=cXKDYTLYnjUT>B6Xd-mtXBbNFpK6u9EkOp;=jf-1`bFs1k7nd~xrTTjcQvjZ>E5uFb zC}YZ2b6Zu%JpjYSz6qc?bi?TekN2K!q{a37c_(Uc(~J(q$8A##Fs&M%3vF0kf9c8Z$moh@43k% zfpvq#K<6JooOgChW(qY>=)M+QYWR)W2?H$B64s~h+lAR4Wv_(KIRcvK9elg3VFHI1 zyZTZkZi`=};NW8qmxm~%zOt8Th4;vG_nPn=)IHApey+a^q_5jghprAtUhvrv)~$B( zyqBc#k4Lh2ogXA4M)aE5=S|t;_RdFgX6~DFUu^=1f-jxin$)n$hY*_p(JN*=T(p0{ zqf@?L@y5d@FZdX2ia=%7(zu*lu<7@Z3si~ZF z8@`U;;`pk}x=g31e+g_2utT`q-_^+kxYPns7?Fbg-Vj4PA{oP|U9E~&Ts{P_JQd9! zkO9W*L@Dp5dp_R9y11MK7&T}d^cM&Z1hBnhbrLa!>%1~N_+U3l#Q*Vubt4j%Z3%@o zV7{XBpF;|+O^_k|dy!zvi%b8pcFTj4JfQH62j*sKSw?6y?9&1yfP5@I5SmFGuX4Jey&z@3Pa|PGzUt178HF7h$RM_{Kd-)ko0q4CuUh=y_o7!f+I-<>cf$- zuw4syX$*;B(Lg}z*pP?4(!|U@{ni$(`=$!Y(BI!A)Xji4-->r*go!iBD%al+)AbiS zRY?#~+L1vi+;kpja7(*i*nlUmFZC|-$GfBP5 zpTW-7_Qt>==7F|m;|7kQo_Du&rHzTR1iC9OB#+z&ZFa}3&KF?w-3}WRh{Riu|$i!?G3l3aD1LWgT4pfE@~B8 z^Y^hphM&$7BkTO4!M#1g&*IHmUF+T+tbPyF`d%&;ig>e6oAyjaw3> z>$RPOFNsRyBCvuCGyjTQmGzj)6pWu=V`;`v2t{ z?a06e;x&|rFDY${#`pk>NB96o#QKOyT3<#AN;IQdWfX)Z2ZkFBIXic=I`NJ3=49vU zTjl(9i>(?uO&$*a_90qmWk|3)zzIv-$2a=)P$rxF`@%t)RoE*D@8_ZXG~DU7`__Ny z@w1P|dpmc&!4Ya}YfwYu-q$;m7TUvSxC;$3vg-yzf9-!U!N~9(g_3r7$40xSk(7{w zP3}(o0S8F@1}827L*xwHVhqFKm6=P2Bb`Op5opNCsB^0BjxCo(N1Zd^ETC!>msXY! zLN1#sOeP-Lg8_bJ!W=W~(Cp4fhZkv4a1nW8SGzUufYD1uDI1j8`J3h*{OFbYKW*j`SDSuv3jOYc#dGeo{LIjMqOY4G1p5MD zq!~3G#XjgFA9R`O1xK3oC^YDf3HPr!(oI_7!hRz>I7&c2jXz9x6KK7{n;%b${+lF` zbF3h}r0eO`_?`$OMt$N3h?EisjHW?FN!+oCiuWTTyAzZWCBK0dP?T>;h((7plTg=C zN|>s>(Cui|*0#jDoHLtsaBr_kCl9UcyXFN?E`?aupRgd+h0Jf1U0E`LF@e;PuBfj z*WQ?9#DPcFA$%#*(MvN7dhNk>O5AKuyN{Fg0bc6cP})tAFVFkp$tHMX{V*EcBh5vy z!(U4WM3JbvFj2{Zm1rwed{9RUhq_i*6ZWw&JK{`+Wn{KXbun4N!_k4E_#AQJ>)rcy zv$~UQAu_Rd?#TEkXUAyF3sLeb&CO+J=#}LZmyyZ7Dc~vXWi&>?Wj4ix7VpI;?-M|m zvZPQ6Un3(~$@OcaaNmQ7(p~oDC+iD9kFuoTa9<;$Id-|+y__FO}z@ zPkWbTbAA?M?T6*q;qYhrU)K-i?k&ve4S3|=3nTuY9eBo~4MHR_gj7ZJOus+Rjdh>A zDZNaDoN-w3@k{DLF>j0J@wy_Fk26L9n_ZFrH2!4ll*(KAL%677(w-R0x`oF}QT6#i z>rjnYIJ~i;Vw`xNk`)5Yqq`|VeEQF!>%9URrIm1`620#OvcB(2wG!9t#w$KYVinNG z2o5)5Xt0N-Qm%U!k#uls%2dKIFL0)D&_x4QsvR!b9U^mQ2f<&Q5meU2oMh0t9Y_w~>bV01Q9kdGgG z(HDUNS2^>kUn<)R@yS*}UvaI(eeD!&GWOxH^eRR(?7s4b{u)_>V7pl8@;q@Kg=ZnQ zR*hj~FU&a(NX$7dF0sCi@TKt2{C5yCAMi0UIq_<_hZJSr8^n?^CdHCLBbO}@F0s4j z9f@Y0yOYE{^6A>clE(msv|Ep{H92&nERB+FF0_Rj#RX`qbueYfKU5a$+->FaWxPIr z2do+}w)^spPZt5@bE{BAG*yA+?96zfnqeZd?2bS)9*h{+mPLa`$DQ8p$lKK-5YN=C zor)@N_K=#eDO@c>UUlU$RuK(T%dA@!_0e|&v2(U7hV54h5qYER)TG& zqs;|YvdsQIfM$o16H3OVr%qu81M?1PxdN>M0(zl*BNvplVUK%y!JD9@6Z(v)Hun}R z=@K0pS&a6WT#8EP?}L>{3W7h`7g1GgjvSSk@SsxqmZUvd?TWCSg|?UW4OiJ6meE?6 zzMAt0kG!!QzmxJ~+o!qB8MEvcrR@(Ukx>{O21J_1p9#z}4j~XtBaBbr5E{s!%DUT? z-~T*Swg|BP?fE=NY?qG^Sw%v)zm6(SxbUKk=ECMH>B!y0MDxHfUheUB8ThVunzsS# zdf5-GH>-<_S*zoLlc97nN0DfTaPaWZ>Z&5Zk4Y1Zbo|})qKJIRV&Y0Z;Kha zSY%jZRnn%5ixTT}z4K%bbcF?7l|8KL=xWC7AJ29q*irkMQ0RiUb=bc_>#zl@>r=wv zm0QC3ZR^nlN-XT+5*1tipr~$T3C^=|V9vIVL5EnLIe*z84 zj`+uu5i24!^jFxGd|DNTAw$wg-bZd(NhvKN`rfJ1_0!6(%DaJISw>+|ts;-wU~z~U zA+cq};;Vz6aIOS1Nj}~pAIYlifxUdoC0X@GSKpP&5A*7*JMf^Pv_-K6BB3WM!;-S^ zg;3)gYS6Vm7&xEpbo(owA1=g-)ZdIMukY_`-vQ;z*bILJN;>leH`ZM~@cIEr@cQxJ z@&1U1KfNhXET5d1M1-JFhU-RKMs{Jrk-_mV(W@p5WQMWb!<;0paD&2wYlP#$MI!TL z36s59CZB$O@EwD*W^wgf>y`TawW0No(<~>dj%jR5_WA1{AHt-F6zaJ3dn^@;IL?Sm zYaMMcJBZDGSfKl`0>)54Jjyr|g#!TjeHMrR*B!K8Vn97My$2nBmzFWR&Fi07Af0KL zSPU(&C>H1V1Qut5cFMW|8fmN^%Tse|=^eYqcr%PXtDH}Om?{$L$%7(+ta0akf{-?+ zF4cY7Wnm_>)BRi70)Z^hE9*hiJCxN`*EZ~mnda;q5EtT&n_qPRDk6~!gz>n4O!*H% z?!MN%i<`010Z+WoFGzJznk(suNW)kkdF4{>cWgZerZB$JpLc@hXk zqZq)5i$5`<>T8oL0U(fwfQ0K2`-Q_JAqwQRg$c$`RQ!|1EZk#m3YD`LoTLG*-+0Fx zA@+_t&wpxz{8@W#U7_xJne@PD|4+eb?EhE6X-w>_9RJ_ov|(*6yUjLKU;Mrwf!JlB z!F#$22(aHZX+PRlNoL#WU<rcOqm&s@CidkmvjKdVt|7T@;b{-;ql>dBHzck)Rn{Zk9)j$coCF}>~veF=yGWN!tn z@-&u`j_!{)-Rb$PCQ^LF*g5QxjZl*(8;3-GnGY{V34{#TgH~RwI!|EHt}r|0?{>#9KaC>omyr*LOw!w*O$8-;TEz0@?mT4-$>eRD^ZiWXr4)bYMDv7cR z4)VXv$zbLR4x-XCv#15+&jYtHRyY-Y?p+<7h#l|O@?Sx>74NuwO@^oYCHeN)Wn4=( zpvO?QM^q@>SvK1mas)*K;zRx!MI@WbRiq4L_&n)m$#6!{9wgNLv%IAkWGPWf_F6J+ zIU~^>Gx>~LyXxWw!Yrulaj~->Fc-KWMll+<;|Nrt2ed4Zk4gi<90tlL{>b%MT-9k{ zk(gbggF%Eee-rddbrRJVc%aw99^gVtK^Yf#xCUv?P)lK$SrD)M7T-T_I5I!sdbCIG zI3O_O$hhOSEH=J9eNvS*jc__w({-=CZKGQ~4dlBOwCRRXTX1DeKYRD!T)E>I^R=I3o81g{eK zel*@x=jB>slg{hRBtPI-pl z;5wVb*3RvO3-mfdf>k`^%ZFe-?R81?B?{ahEyu~pAkLZvG9aOQp9;62m;2Jh$Nyc6 z?8B6t+2ujUx`<0Ai8r8+aHD`gCn+rcDz`U!l6HaAtP)y)CqOI@6v&HWr8aTKg!wW8 zCC1wmE7+q>qLz*U%bbRpMt2!%j;Y{Sq(zC~Iy3+z^6H5uTnE+z&Wcwo;8(w38`X=4 ze>F7&&<#9+e(eP0S)3GfIvw>4+vAbtJ)-%vvkWnyn|WY;A-4;HE0D&|2iF6LnoTO` z*9f4f;}Q2B6Z!?^XZ8@y0|xObC@73(g+7ID~SS&$ErL`O8O%iei5YoA}C6LIGK!ijOO;l@>$Y+)L9Jc+r~7wI+N24 z$`(lZ_2jq%K(k2)I2(zA??B8v1Bqw{CirEvl@&mkT}9CR2YTd_<}c(3{sYYT zE8(zXkyYK9gw>_E69zibR1pp%6=Q~z_UOO9X5Ih4kh|73MqAX_WdG`BLi$|N~ zf|~+SkmqR#D>=V=qDz>D&nK5dNa+oY|L`cL)ki^?ng0J*JN5ro3(GUM-UYF7p@Gzi z)qn%HA!r+o%Y-7AB3Iw0e~LA|z&%2nIrnV#w<9thi`$4d;PLqW>xt+pBCa?ao1* z7t}*uoA>-q6tCH0X#2BTfN!=SIIT-rOx3OQAvu9QUYAbG<%`3bwS8Z(a=_q-|A(=+ z4637R+J$l1xVw9BcPGIe0>Le~dvLcvAV6?;2@b&m1b5wNu;30Mxb8FLKIeX(?|k)E zoj=qRv%33Qy=Ftp)w8penQP zG5BC0(`|7C%f^(P-pMHUpXdDA4&Q1W!tyc%DP^QHr5O8tR1#!uQeagvdqpN3@lbS z2$g-Uo~l7!|$x(i)(L*?Z9`|eqa8{pS=2UghsK%feA-={yL_3&B38#Wp(@P{X|7& zK)r(VFp2)+K!AHr^fPNdOoyFMUMI|*?Vt>HcxR({t9um+xzA+C`ssUz5=74Pxlhxi z)Y4!SFXY;vojVp|9ll$hCF)_MdBVdQ#=ps&=F7~MT;r2Wj4->^oP(5@HQKWZPxE6!6n-DJDKEPl57 zq=KiKWdAnl>-_^TYz&@(l-Tg3Ue8SWgO^6PmAIEj<0*`~?zh<4*1H0Ldi6q$*h5qM z*tm$n+SAn)GfXpq8<7K8&x?rRdEATqI#WD0)k*xr?r8Hy7yVt$`uBjV(n$XJ(|qoD zh{uN;iW=jmk%s5JBb`9;lkjFSRAKfIm%6)5-^|Rx0h?Vm2Xj5&4>#kvjyQ*C%0db+>xwc}}0<`<2BL*zDp(~%#@=~m4h$C=UE9&f&Y2E2e~ z_f#w^t07Lk-=6JEOiWA}W8L5Tm^{GG?~t5rT|}%klH&RNmPgDF0!9@7avpznqT^(5 z{>8=X!=G)-tR7TwlNY~(usNA{=nDH3X5-f!c6L zW|6y`uRNDIV9klkV`96;H-Y|vZqMo08LjK<%UKRjFmk*%bIXCgeuorn;?spsx2s!8 z2jpUpw~OL_*JvGyN|4{8PrD30bm6({9ivN2HHdq;JKbJYb_1vV2s#V*?^AwG2Ao-a z#)wyK2z>Iwpa{GjU9Ixc`yhoFnM`l@o{ zu=?7P->&xZFMn$scuV`CtxK@$I*r#Y@OfVr=g9v~#*|t#3_>$3Zm<>^bk6=fyaMr+ z5RcGtT`Rv?pECQE`KzDd-h3Z+dnp&PyZYpue>*?v)7yKKI?-+=UPzJF4LNU^NUC zg;wB&HO)sdEPMZHYc-q2U+Y|e;?G0#tiUlj2yVC`F6|S&e)ppOJ1vJd>oAEPAl6}} z&1}D!3BNY+_uj70#U+FjqRGSJUhTwgmjc+5oKd2B94w6H$5kx0?YnPfm_Qk9p%X+o zPsIDci-YZ~@fwIP{G#&+`^Z_-7#U6fE_;+H?9#@coruocL(Qx{aGU~T1P$X0F(O3g zU=?~!5#vvNjfVLQ5(U%!5bX6Vx@$I469zXL?&o3BhP;VglVsMt^z=yiw)+Z>OjA;o z==vz}89_9=P+LAfR4QSgh|>qL$^?3xc)vqGe=p(i!-z8l%RI_Y)i@?kH6(0Fnm1>8 zcq~5c5h=0`>QiEPmszG1jO%#}tc>3jH)WGK7zRMchynN z;_+jJ;^%A2PU@@nczI(=)~zOp_)FOKrFF1zLu96+rr`m!C&ZcW(kHJ&O6q2S zE$5Aw`A9xh^o-LdN3((01P3?5w}8}E{n zQy)p$UQIaMf1yk-?<}ZPS45U{14ue0S6M~fiM?Z@23%>#O=TvKqFw2?I>w-X^6;9E zqYM{)o?&YD!>!XBt-gXD62ca`&&Y|2mOP#giLO-Z0iL2+Pmh59ZQHj>^k~TwL?z~d z{9n_ACPf@5a?-h08-lRqX{?FPz#aruo6rs<2eFdjWj2lOGh7Z?Yct9xGJDv+Nn<1n zZ2HRFtkFKMRZmR4TLQfXs~u#SF3hM$06t3WNj6d-e0eO`tl?3tb=G6G*^7BJgsfV; z#+`c=%P$mTCX(U8DY1CW+=1stqJ7u$?VB~ghrkl=w2Xs@`0G_`Z`Y6zsRSfq&bGe~ z#$)}kR!91b9AE{~ysN*aL@r>zwPH{d_SegwHC~Xk7H>f-w9oAC7u7k#R2lVs*tLO< zL6W34>)WRWoXh}gZKvX(LyVQpv%7A<|J^UkYHf_hC|FB6$}|vv=+DN5LN^HAxzP-! zkU1_$WvSqSj9)2kq6Sy#z%W#@z@)EC)BNv-Ljpb{h%v;)@v|}=Orrt!X-OfU0} zA412kXpguJ>{hp$e%RkF_me{imFbO|<^^uYqkhZwDWUOtxGJiPK6TUnD3>b3ANfY9 zCDn_S8lg@WCE~S3Y{!?^PN_s0MoSD3urzU57Plo@?qeA)=c8xQmZ@LatP%kQ)=*ag zO#k{Q^3bhs{3;|sv@rwnkWFBHCp!5oEFpqbhNT3ahcf)x1=EM)OdM>}8@}}=kD?mN zbz70{H%6;8`VeyI)md{@Ylyo;TCF?9wpRRMD8xVBFF~5+aQ&u>A`jNpR+{d27X^R( zv;pMF1__aWwu>E+5Fc?LsuvxLf14NK{watjie%~)w5U}ml0~mJT^^z+HO!I;oM`tw zqK%qUfoRj5BBatK2WJSkv$zt2+mVJ!{PE&AkD}f;L_nm^;VJEeTtXND-K|dX_=p-qn!gt>6KDL485tSNy_pbi7}YCn$)mN|OkNZj z*fJ2Lf-mj?g}z5oEg2w?fmBOz9{NMb#o);(#875~N;HuN!3Pi+Ait_3+@r+CNGF@p z!heVg!4^;|W38WmP5OL5a;Xq!Cpy)i6?k7(I`{=WZ~w`7`8zIJvHBwHSCkEuO-AWW zP~?KahR#E=g`Y=xkU(4&RHA!)v?!5jK?4fCfbWiyZsTx4<(bWU^YX~{k7VC&+@6hO zPS_VvQ@{prYR{f%+t3UqrM2IQ4IH%T{ zMlrg&o3tI$^0QD+(F@Q);-tb7fty6$Kd7?h_aj@1*h{l+sT`s0- z+AT6f3*@Pu58+!TwwRk{IE1`gTS~AIoC!;#h3XdSC$un)IqD^2Bv*ra6Py}{%EV!9A;a$rWY~(FxI}!&0NrQRLjT5Z$@Ns>)#Oxmz%=beA~Ab zh{3BfwV$}!<>&y8uiQ)7R7ArP!HD{*KdKh*cy9Nh@~sxPh#0=xf+T-evu8HNQD7H3 zzg=^K6_+t9dDu?>L^N8TB-iW zP-&9urw>|l%STlw3j0pJ+@jHXu*?g2k?0dJco4}2Y zexsyP4Ss8tab}C~D6eQ}xBp;WJu2S%dfs4SvmxTCa%gtkrj#{~XLx(?=YuS_8-b5% z`5J|l(>i3Z9TLE!X&rE@I`gaJvf1hVGV9~!7Q-agb06m#xK>fk8de4M5fh@J$Ok(g z8Cr4yQPEV$v2P%eGBM_!cu!67^AmofDfxQG+WUcx^->gaX-)6PBS$0#uqTww@cj*# zo1I*8J!c7aeYU#2etPoqK9j}Y>+)%!7@Fw=k&!HPp}q6%!0WJF!S*qJ;bo%x?eF=+ z0W3FVF8Kw%;||12Yb`7=@GJwLIs?g#30F&Hq}ILM$!x%>-{!Dpq~~wSKPBk-SN9;5 z7Za34J3CyyAE7Eze!5qdTldxG`V|VvM{b=kuCX*PVW;cQJsq0S@I!l)#k)q za?9vRDGO;D>IhcqR66p6AdF3aYmB+_*!AFf_DuoB?}FxVQhi-SDd%^cCKq$GrV zhBOpp&n%?_@6?3u%_Hc@{O393WGUkMQ38Eml7kpREG<=8jCTqL`^<3K;Ask;v4j0e z_%AqRm7JYO9R#?ci-l3|;FOb?bZV1lrc>ePUe=J#OfMV_%euHA_ES!4asUCnDN}J_ z&6K9HY~U0}X<-dPvML>c5F=c4UI;W zqVNs-<;8`O|1JI<8W8UYv$mH92NW~Di7tY{mX{Rr8PimdHKwY_`1D-%UPacps={FV z#|0x00AUqQ7V`d85c6O5zodk`q%t4`B)x9Hd&X2{fMlL2Aj!^Xe9D9blB6y(3Hk@P zLOWN-pRBszi5{kbS-%^^IG4_cdxn9Vvfmmoq1(~OZ;TaYYm@)1rbY(koB>KEyZ|ME zuB@ogK&k@|8zBL&$h7Vso@d+nP#7WI`QRgY_tsGIB@cp!g{v}Q+G(%B)Ef5!yt>y8 zc$J-?`kzQ&C78wa)!iwU?2B|7AZ_j`xbEMS;#%Zo2sOcnhZE{qy0`GYI^~SK9x$)7 zU%uNeZk=|qx`1Na!wMdkOZz9jhj0Ak#kwxK``3^*U}%;LFr@Q^ePvS+H&jNmdmjkx z|3WMPjD$u2MmqBR&RQt{IolB6fB@}C75{1@3+8`f~f>eEaMO+N7g85xZjOJQlsCEtnz`r9_6~fq&N~bG(0Ts z*S}}^Y#%tGJLF|tL&dPbWQ`NX31En5Dj6~6BK$)aSb%gxxOSs!QN?+f~ zjc{z1gbWY3v8mCbHo$n5+=;8Mh>5VUQL^>=QVtfG zq%+Q*ib}n{%?S|=`ewvybu5>p!;aDE0_{5^xNgA)`BI*Ww~O!NE4Fa}m15@z%F)pc z`qfGe${dOA#Xo3C2-Bjw27$~S00&M>AK8|6A7+|xL=I16uca#mtXgiW#?#RQ0MlWlY)lA05Af?1r?0ecJRz;=9Ix}w0V$4tdiZWTN*zJAO)-TI9bC{^! zMaMMgOZ5v1F=4rQDy|3_6{M#9RUK}dZ|>@;C`bFT3Ffm!bF30)`wn?;bee*aHsiah zVQjAN@2;86Kja@mrL*uu$&Yt+%RwRu&%OHlE}!(R_>dHPevMoSC4uVQYIG~ z!YD%{Ijc~JsGdL%r@okeRiMyJZ?7K0cs%LzTKb9s_+9RmQOHP-B(A5W=%<>QFv?f; zd6b~~ud=i4otQFIf^FPDN>*IeQVhriZ|N~*zGQ7ufJ(FTeg`kbDT^KG+f~I&GfJI6bYAvO=a0z-Y5NBdtU# zvys!fv>>HLDkIsyz)Jpd$8oi7GWZ`Y3jtG{f>9wdne1ypav^0yVIgHOng){R1y$OL zaQ0BP(Ls1PO8ay$S()W~-OjX#OM^V6atiNZtoeU_+BeW<#L0``l4Y6nGpDu^Y!-AK z(JGV6OPi4{u?F=C3J!_s3cc2-P{^y$saA0At4O9R!*?ZULXB|!((j>H%@!=-Y#M@w zQKnR@mOlf>tewT;+Jr2__^h=V>6bX>3;PQOUSEl)$>f%M2)!Yb#eV2#Tgio&^tEsl z!m=vy^Fps>ZP>OYf0DfG8Iy5+PyqtP+wm2OM~m~V@cK^^MvIWut9avYPMv;*c${Uf zl1%1P4rFB{OVfH|=Ye#t$loY7)GdhQ7N{3Ke*qDz;j|y48dh67FY+w#3}vvPdl9_t zW@WLJplsHn9>(Lv%urSjwJ72?E4QwF4A7r2*-~b)s`auCrHO3RL-^Qh%Np9hQJm7f zmj7NX3jKVON^?jeM~{S=NFY_Zob#* zHWGnI4KhV4B+6}8k01OE{X+}Kk$2EEgcUx7cJmvtTsgFKe(^2C<4|+{p|&=nwZU&! zuCL|sE6m2Ogk$duIa_7{$!P$g%<5ik{Acw-Q0c>V;f3eK?d2&s9-_*JT?TxR^wj<+ zKB<6tqn%;PwLa(b1Tk@s*Q^qMk$VX(i`9BT3?#pUu#JO zl>tpz>(%`oMLaiazfgHT4Lquf;HH%8dWN7hy{+qNymd}ljq$ojAhL}92h1ojZq5c@}`wep1N`_uy_xOW|i{9o|=1JNfz=MblNc_`7 z5NtHTmp@8b!&Nf%?l({AFn_A4(l+5%a)=}Ph1DwJGV=sHyOOK zgu*kH+`9#jfI*i|=z6&RBbvo&JHeIi&UUEla9G=u9K367~l~<`u6Xx zcvpkalA}#20!0JEBY>DzGoQ-ArflkWf0tA^!q*`;ZEHEa55Su8;@oB_>BGq``|tsLPf^Ts??6Fm5L%r808fGI%k~ zInUyBb&_T84im^$@cMnQA}BTq-7L_n4OV$oHSY>ff@JCiPg31A3r3`ED7WB1Zx@4- zZ1v1BzPR^CuNvk{3=3Zf((8q(BgP#&#bU!+o-UjzJm+6|#*(S& zH=f~ncV?hLG}V;7k9sc^@f0al)R#5~!OMO%t>-=^vX?mbq!m*0Tv1POPs&BT?eW1eTg-(osN!c9FyVyvci)L&%Bp=YJhkQ4 zkNYOabxLl4Qk}zW_On4R%txln!vb0WVRGF@30-kvp+b8rf3q5Up3DH!48ftcNM2fx z1jC2qZ#xxCS@rXonco(e&J+$_qj44#NL+U6?n3pW{d{vmdM5=MRKDVODAD{zr2kWC zkP?f-%pIOt*Mu|t?ds-;j;4UA{k-RClP##aG5C{Yfob19G4Q0=g5EAEfGe0t`T4vK z9XsBt}Ra<)a`vD9XT1hi2bs{or*U(H__VTwJHtQ+GKl&ED#3EF92qhx>f z*OihKHZJ<2CSW}ra)7_rmdDHj_JOdax`3o^x3^%XM;RpW*>Wi{Lq`}WiH9GFY}9sD zWoXjHb%4(*LlJVicpZ1vom(#qSD;zoZ41UFgT-^-^KT-8p`MppevPm*VPm07fy>GzDsIEXZK^gv>C zX7?^JHBF>qD%0Yf9`zspJTi`?uV9c;@~zv2BbR$8XSZ`oDYhn_0=`|nkA1N~k0mS1 znI;*f+YSQd7c4%)0|;}3Cd?_vCVQVfcllEAZLhD`GCQbB1V2 ztt*j#f$*m7(7igKN1ebrUuqBckJ!E}T7e+Luv|4RTlY00dO;8L7jf61XcJ4d5x;aN zBcHjaB0;9$uW6M`1W2U{l~0aD!?~BZ5lR0y{lk6<`}(tmHo!7Tn>k! zhwP0RlMGGWtOB@njm66bN;#^ymYY=3L3e(ZhXi*}V=>p;1LhXTjOkeQJ3ExFKuXU< zpZT94`aX@%bORc`a#;`1iXuYf*`IZ75%pB0k3_H)f$0aUAZWBf4YkdkZDzl1Y(>)& z?`<4`zB=5JXhgC)Y0{Tp^k8tiumB-($}3J67Wnj(D`*QnmB7~}sGmRgDy2o4mw&My zb3fj}#VFa-1vRsg8+R-Hm2-ssE4LX8KL#kYjA_44i#BH(!)>nS#2M6Z#@C%s{A`o7 z{Mx(~?=x19&Qma-xtV%|u@;dm&Wc9@$PfnHf$T?(?qHBUb z&>DrCSI@g5==dJd>w$~@F6XXZW`e7%(0NR&tfeSzhNjdS1Sbr{!)8LSVG8Lo#Cfkk zz&gF+miK&DQm30KruG(U6)q^!`%4qZmPDB-XnMgi^v$~!W3j*F;7d{Fqr1`<`?9Z^ z4Wy|!>!FH*qyl2{SeO-SzEO3nS_UzfJ;_YB0$&{X!FdU;t%h$#_&{kcj2JPw0Qpkm z9T4&kP7})>ENlY#(KKm4d?C@FAFjw%#`*4{Tv!AnbXm3bmajyUn+!;2JB*=*E47$Y zBnW-_!_>1Yzx<$enKh29vka7S?ZIqVf zv`ia&+qBNTovjsWlcnYNN>J1mi#54R>uOybMV*9{(69r&g$FF_&lqmUQ|@b9d!U}E zk|yk=O%3-)dXu~u>njD}sEAtuPe^*CvjQvqu~YLp60>RXJ@mKijAdpTQXZmLGzRZP zem-mTGO`D|Xoq8c`bLlYM(!l22g%HaRX9Op2BcfgAw}(ckM>xU&Z%U*V0rk8os{R* z7XpRsNLG2vi(7-#u#*K_oehO>0_@ba)vD7T^}$^=FK9G}j^iH5ObyR`Gw0kUoC-Fr z%kv~pr2v-I4pDlGrwR0ui(;D7<0IUPaQe=9ol*g7-3m<$)b(W*MwRnp3`1K@T2BSw zHMu7dB$;DdS|}!@e7!b5M>Lzi$Fn-DDBC@K+zvCQp!zwZacGQWTNPL*4jQO>iFWg! zU%OkE2fBE)xuBmli8XQ|;4CZGt3jlo4(VwYx-e&}pZhHb!;g&Z%eXMb5?M~|kBpDM zot-76WaSQwCU-#@q0+GS2k`Ni1vWm(^FLFtB42a;PjoE7|2H}o|Ns5|+;PLDPjmns z%P9}CA@-K2tdcgVm53O+9={hM_VY5@tt26#L+c7S2*16Zmv8qdvKwjFby#3~{JSSAh0$mr+lUwsC%F;eWsID=OTNc-M##-_Dtl)K_YK6u z=jj2s{1X=Fcej~4h{BO2+{`3V%e;GBH6C};dZ6vL2R`z;!Pr{Gh`%{meRznxKD}Ih z3T=Nl*n5cFI30|SzfpO*YO8Xs4_IbE@hS@~YzNj%|y zW4~*#1+n%Kb1M<%uSm;%*afeBM{J$Xd5~PO$36#=KB+G7bRFDbR6!a_t{^9nofRvLPzYq= zwrg;5rSwr2=jq{S(vd~OYPq3da=zQ5^N+@z`r|d-g*Djt?@;nFIbfU z9hUA_totS!*!#tof)pZOU2pU7*VIED>*i-(`2?4L}0Kxx$oR%UCw7tDL>_;lbizIR9+f8IynkqR9T*W)X!`fm)QDfb~>ul z)fu=C%wydl>OqOGi7N##t*rU~=~unMC~1F47rTqUjE~>gJ3DJ%ZWa=btDshWJkjq; zAdRp(GOnsKO@CBcUz=pyJ14T+vnnuhSTgRslRMcTk2a{&iFaD}za`9A1UHs@{%I)C zODWa+f$Dh)31|!E-rM61_%%inV2c56;jq{{^0QwV%eXjdGUE37dbT~b^ehsW(aLU| zy#~hb+0xIrUcbYC3?7({k3aLj;PbpoT6SVm8gC`D6}ufL<6XX`OP!hT?C@>r5F{Ab z{odNudC?L5CSmvVGFS{={<(|xZtFmKap5l0ICV9ku#jZ(4eM;?nRUt|TkV9;=_8j+{+|6 z8RVGXi!-qOM2}+ElK>^WA!dfst>ec9`pI@4dEgHYX+nE%vvwt8QMB)5j znP;96ji3u&NXcladBlmtmQzPw%C{qh|73GM9eTc}wwI(Dq8Lk8ifz{!2#2Iq`=I}% zu6ahHH$MyV{9o-;^%~advNL{Q-x^8VQ-uFZ%PGhUt6Qkwzd9s2ZF(&UCc6oZD7|nF z&uPrl4g5Y~`A_y-kn?xZ9C43Nz0{=pmERbLPMMKfSDr4B-DJ{e)Bj0c6FM>wXC3~0 z%q3P|)|xd>DLr3dVvfzKXml};kZK-$@r`=BKiWq<_GND)%|h$8W{)wBlTlS2q(dFN zd0cw>zll?Jnys!xN5q9LFSxXGhO_ruDNQ!OHL5Ql`~PhFaiqN5Hov^aeXRC^dy|)S zwn;=r;@>iX@v}Ln4pL6@ zn9Z^o_ZBQv@>=Q7DQM~dkh<)-7I-wRCZ%mq`~PKGb+k295=#S?(l#ctm+c0os>M|e z<^Lhyy=0}cc0yj-4St7AWZWz9?&qDrNfvR?E#SOV5MJnT(?`6G?g55W)-NkW^vKz5 z;jbk0T}3(nCBqpd5hyz_mV3ERr3rg2xL??8o+GjDa>~iX5_)g~5Z5`aNe%JT55M@x zAjZXAhL!5c$UX#xU(xqDf3S@Dw)XWG)PlyFsdf#F{RGzK5d7TfNpz9zyqws-@odapPm)n?@*PLk^$-a?-{7bWj=8aBm$m^PgscAI9 zVxw|#x2eUV>=i3sQZk~yEt`LG+xHfh)g z!hf1E0f#K(l`p$aE#~D+?a3U+?&N30z5g@}9Wu%VtJ^sW`)BhkR57Q+r)z(?eO6S^ zWH@Al50CY6_Ccz5XhH7j7@U>;Nb|Pi)~)q|Fz~c)IhTDw>!NEt!Yb#Fn-Po?)H!8&M0quFf__>2ZF53>G*ZWclPQx-!F{`dz`+pab9rKX4CsnNpWL% z+bOxrKq+6ow#;B-9e><~o2r$CL7`C@?!hkILsNLf*3G%?gG!F(g_3kL!vx=!@3hTnIC)BCmn##I2UC3Y7gSAVGg80{K{MNH{(n!Fz{-5l@DndB{H zjhmL5@Y%*_)Tn@dC1)2_T#42Qt764(Gd#VIKZKP#=gEZrJi#P=6B@}9TO66I-yCYJ zZw;F^Mt>ts&X2y2=L}7{WM2>&d@Sg|F})O|z=?p}Kr=rxX{>U!eT~|&*UaVdJ-4~DS8sWx(B+H^~YBZ`p z<4;!y_n3)lc0@y#7j%k+mY(6v7IuI86H@HMyg)*y8jjGfhsK{1b4J7JsRa`vc34y` zV2R!M3o}QJ)4@4P#@QYTbp9<$Ife_uVIRHNC-o@kb z*h?oYlbOpl4o(ifUE_G;K4f2H*NtY|(>*(qy)13%ta%0v)U@6>aj z*)Aj9>AR&9{|wvJaT}`BTD^%P`I*3vsJ)Jke^xd5xpJAlZ`5c+?c+zRWiC^EAs-=% zLjidkW^xuX9-%4nh2rs5YFuXi2nJ$i=>wtP*5ODQoXKb*%KDauJafEM;^+ zlq2{AHNJqWP75P?=Cy32yjm$%R*&2xAJXKHtg=fA;OkhIBYupYFZ&oqP%(Rxsj+|Y zS~INHs$nW|8yw`jA%jmle$?4N3-}ZGy8-eb3%MEOr9MHD{kS{IgVvI16%s?%_)}wL z$^hs4hbO(5Du)W<2X)29s)Sc}xE@@Fou4(kd~hscYm8{e$Z zOUv0_l_!Q4rQ}et4;4@E$s;Gey<#^G<59Hc+BAzQ;W%J#vOWY{v0=v=_{9rnwPIM`M^4oo9{3pxqlLM zHyp;SkjmMqEOEGhzMgQYhxZIBMn|FU>E!Qx+DWNhY1UQ&$J`ZD9``B^UeF&QcXzb< z@DF75n1UZ;qDA$3dU44buGu-*S57w;ep;%ah#;Vo7&X{E2Al| zP~yQ@FcnKVfCf2V`1ms1mML!(hC`whzo;l$r#+biMvt@G8VL zC+NSJQ*z;I`K1~E3a)Rk|Br%y+#>o3Wj)(o+HGpxVv~csRf|u{DU3}m}3A0 zM?wz&w?lz$VC9eh@{2;a8o($6nbvGXN7m~}-nm$qYJ|Md-AsUt;xAe)04d*Lqu8NLLCGi=5%}Q5*%- z(h~YCZn%J?_KXG~IcMmR#)jnvx|d8qVkFEn0Vr+%qD}I2#n7O_1AmC|) zD>i!Zz<|KtjWCo`Qo}_@g+K!{C;tJ%SH^Hji6_OAB1n&j#HI)rirbJf$o-NsJA*w(k(0TQ8q2%`pXmZsS zrA7B90!=O!CX2)$yzumbb-HP@wMBH)fGsu|N*0^wnBK(ApXVud(ZE78`ZYTiP8VH` zvA#@uI(l@)m~`*b^1eP(c}^Us=HwSOr0}#X2R&gui3QiR6JF6#y@@>|(Kqj2OtrkP zr+EAKLg_g6?_$eMx)0GzVRZd6-&t6(0KG%BM~yH^VRUgyjDYEK5Zy=KccKvoChhns zcUp);x~(u_91$e3jSzU?Yi7Q3Xc$GkLyU6kD1I7~vP48{@+XzKu@@%1z`Vfa5}qoo z78IGS@9Si1=$*ipvqUULy5|kGMzi#sQd_P>P&X94q}qkn{~3{E%IwkZT~@lvzi5;3 z`!KbCBI$yBFp4G(1XrRb!LtdLA>Ia45}(`P6R(yA^K_SXhnwbF_QUuQ8|2)EK_@fZ z^0bJYuZfwM<{}W#8|fedOQKnZN`qO>L0&AVzilw%Z+U7pE({GMdd1L^y*q!W6*hhM12GVL*HR}Pf|H{bOW#EW zscIGg5({ibG~G&nB?4joN^JH+kM)V6S;my^rA7M_kKx8AaN<1hIOC=*xPL~z<0%sz zM~q2}@+a19y^}$E5z)64(eD9t{Nn0|#s5ck5H*bnz{Tj;WKMl;Lka$Vg9@Yq(Mm(5 zOdS32xHU^X61mDvwJ=jPR+_9VX;KyNAQdb6W3p{ZeS$4ad8Sq1uRUY2zFGt2G1s^a zW_`(Lb1Y?OCrZSnHrzSzAy3MU&B`z85cUwk;3Nny8_qdCxks1K{0ZM6f)9gxk0P+r(782RJvHn#n8YvIt0 zKilhSnowjM>+u7_;q&^K{VxHPke2`Z00iQbpY_;j%HKo%~xZ0(#Y9tu3~|kMC~PVGhBYY8(V|%E=PzW5dCz z5HrW7N&yJF9H$vZ z{_)?&7sL&5!txyoCIz`Grt={%2Eaz98{dHS44B4)3JvRz+!&8}iCq~Dey*@emAjr; z*ff;VNA{e8!bw5p`F=`}fhkS)y4RC<$dF$nfIFaQ2IHzAg$f0!h^RCX(yQd#Z#4wK{5MA+?#o4}zzeKzwQ=tm_ z?&9U7QbR7?Lwc`zetJN3=|}4Id*l6F!I;P5@x5s3+<6}1`Ny8%?K(bH zJUOws-Ex>=V20pa;*ZVeX9z-KU<3wT@HRKa;Q{P$=^IJPyZN+QEF_p=E2?@Y3M|LC zA^1c@S`+5hLWb@tWG|kP;O#GI$L&hZ+lRZ#sVl#vEoFaNYuhTR?{`IWUUcbgZrv3B zxZ-CqwUQdMEe^9ac=RvX{}g1#gmd~7M5h?d#jAP1;Xb5=G*OYJ5&8rs%kI}=TNJ1I zD%t&fG8>6Q0hx3@_Zl+X*OtgM7XnqyHDM(Q+eNZ4EYt zb3Ea<4a%32B{}ed+<)j>B*5$`(ly0th&ED2^mX7+?M2cIo==`jUU zk$M?OprJoZN4qvQgPuE-Dp)DVy3>U`oZRzjmb#;|&O=5PNns&UKqdS&O%&do*%QHs zA9JJhSxaADj}P99n=NG?A8LPDu<|DSyK(i%X=41}3KQYIQ}qQeiqOEysm!}{KDyjZ z;idpHAK-GmEK#fBB&|z<2UM&(Euy<){x8P9F}SiW+BUXr+fFB)j&0jX$7aViI<{@w zwr$%s-ub>;_ultj)vdZeP9?R^+;h)4_FD6-J@!~@0W?h31=9c5ykx9%pAw7QR1d@u zxOtmR01IAC(}x87AKd;wQ*QMuz7^~>#%ck$U2$_KS784C2K*zRcJcXwGujp3Lcx^( zaJ~31Zwwg!C5!{E{p$ZY4kO_Bj|+lVL&wG(qiFz3e)um1?v+%n5BxZ%#(1dyEe0n? zn)#p#yY@ytXyIvo>Pe1_7OsX#UYvhFu=xdlghSmInt_aRD2-#53c65Q+&qGAc;x>{SaY5%bCkxgeLIi5 z@q<`=Arh<89>Chiyu%ObRn4$so`1*e+D*3T%C|{35NJ8n0|v@D$oW%u>~us+-AZD$ z4i(>|!NW!NO@v|To7jl-Z($<|_joy5i|BtS zy_Qc@c!-vKpng;?(EpQBaCTqoFHp2P9%qGPKYt{Zvrl9Z1OPRCrHcN>757XYxFr?u{THkL$aC<`1V1#7o!EWwY|;ot0$A{c-~cXF=)0Qe_K8M+ zcjg;p*Fw+mz@I2D*G$PJS7VS%YcS)`(mphp$f!H-lohKB9>Tl?d_~aw1p%$VT;`8H zlvKZ(4^h;4U%t0GPS;Icp2k*nl>#cgo}J%I6(n8E_sLXMms>mse%t1byL3M$QPLb; zEDL5##wjU*)TLq1+lT~5L9P=aLl7TDMr=Hks_?EXhvp*ng#ax81vOSM4h}mv(liy zl<749^;TMu;Nzcndjn1IORapt8VGHQEmzt^bEwiYCRAJA#FQbsIpB1^iypKTDE5l+ z1dP$w+A>;i?$v5v;fv_xP^(ZwNEH;HhJgdYP`M4JL26nB1*^?IJfbX#urR~(H4r8B z{Nzv`GaOjq4taVe=Pr1x5WqGSO$L&K7oJKQYrfy+5QdCJS60R4LZj|7OECuAD-2P; zc^83MRI7kcK7E2>pAeZ9x4=>JzpG*E!<{hpyj~Wozk{PeJ)>L2J!!1obw}D~oZkN` zyg~}4KxwC32zgc+OjI_oVgog}3jMs)S`S{=;(AZ-j}c?P?Xj7!1Xr2HUx_l9Pn=BU z9axg-wt~h$yu=#RQB>gNX33Cln@Y_RomnU*5Cy{X~Z%X+A50v(&nkNb1kStEA#j*50R15qu zl@SUQ;34P7eWN;6eTzy8^%f*JxM4HQ{D4*rU`(zG5R82yves$^rS5fdP7K(fe^}fr z0<%mm_Z_(;K)k2fav4y#lw%YT&(|HF|4Iqpq@h~yYzF`L46{n@s;mhjRvO%xc=^w^ zgH&BEJ@=lcmDfJcPZ0z*>({-O>lfsBw%VH&4BkEuzQrd)W6BavTiS(I@4NP-i-G#2I|AB-_>yE%WwXu(1|Ys~WXGe0q!!BBP6spdsZ)#D=wX5V zM!XWMGbMzG*c|1yA84_&Km^BykbZ7!KkO2PPytYLLMSq$AiyR9-MkT7?2P zMmDupo(yVB3(gb;99kh*TVTp}1#FN&`ZW@}Kknjznc(!*KBC3Bq?v0414i%C-PVFl z4CIgk?x4pxvoQfXb4NDFl8O0^MmjM5n~%`cP$)lFcisc@=CL_Mnqh`7Oe=l;@W6Cd zz$MTaE@=ttX>5{CZBAbY>?PQjJT9hE-V!vXHNI*^qGb?$7=Z={}cKLYv*vfFA`;D8B{7~*2o$2&X zTcXJat)x8lO%)3jd_Dz^PMS9XB@-i_^vuxNKDJDLlX7|l0*&2KD#+*W=?$tv@ z&~Xsqum(GPJ}i!5;3wL;iIK{hsbnfyaGH-%P(I6*;a8LGav!naa`=} z0fGRjd}SW-rOy0?Xu1&F^*;#5IGFxd!Z9``4zB-iZCf472>fB>&#szp1Kusm6$QRx z4?&U|^z|S5AtSJ_`u??tjY#S!?mw8n9!t-Lou)IZ(y%8J@mI{ApIV*E?2T~D9xJ?ply-NJhUSqU*X z5+Rby(9=z~aq7%H;hvSsZF@J}&Mau-s731{L`$6Bu9Br>Kareu4mq)kusMNGZ10B2 z?L>0<i9m95L0@pyXqDx2MAdi>MT z{qy{FDpR-9$G3{k+ta$cLb;P32<~rU!IdU%B|*Hevp1> zdMEs1RSz4o$v7FdVd}&hLNKdP>}+}s0En%+;GZ(j_-UaD;djce5-z-c|L_jY3JLW0 z4mLVaWAyp+l@oGxUEO|C>F078f>5GtDsmkx8{NsA*egTSrX2+S{1aa9Q9CMbiy?tW z5H{7dNTQvp{xeZ{_BOPa*nQQ2+N{3wsuuWNNTyieS9A`FgjYqp*^ESajWBfE`+X+2 z*W=@{sjIuYvk4-5>c|OudojkNnLBx@w9of}C*|O2y2nN0H%i;lJ0|1pHsnc3$b3F! zHL$*1cixZcoA0e+VUN$dQPRikoVXz-`;UH$yrjD3=sclGXRW(p#OYj~htt9KXLbH7 z(iVuIolwCSs9nV?uY_xRgqzwmV@DGp>pGc_SW*aTnG^Umw=DM2D|#B=petF%9FUHm z+pDN(Ue6$Hhd0BEQo@2nLT+j@iw}!NQsnx&In)|gRdU5b@wW4yFCb?d?^pO3ATZxQ zEk5nKaOE};t83W2+rESc5k60-LcIS3SMk8wfK>~g=3DaRsde`-BH}}Azs#23qz~1; z#}4R)hz&zr2e;#Z;6mMfmF&%_K1Z8XZ;QY)9bdnfqard$yR8jiHu4+B1w@NrK{?=8 zfn&kWRs@)!k9dEP1^2;`y~QIT;D`jtzegVE5@>VYyJa+V`nORK$?M6&Uv>A@exKJX z7f;)NJw5Pbuq}`S^KJJ}qrSZ3zO?F@c@2=K2j=v2kPl9fP{$boe0kYFT_b; zCO(tFYFvgXjS9fasX$t5ld|puD!Ui2)qeOPd?8c)OJIWr4e_uFCbf4@9cnBfPYjg; z28Y?A8l6QiUp%h@rY%xD8hPKhTS%UoOx0Fbo&fDv8dE`zRz=LKfAG>dynC5_?lH42 zbkxT63@VPVnx%VPPJ!vrjJr)u-@*8PhJHZKxc)v?t;5Q<4W{yLUtd}d9TjvMb3+bp z#mwtmS+mxIlhiN7Tfv+BXJx=o+mps@JUIC;*5D<_0CWmHA*;r6e*`H3MC5g*3|q{F zw-q5{76Tmrx*RgIA!7mL{3p%ad-l*33pH?^Dn9};0*_`PIXJ4bzWTCUN?1vE6X_+? zf3o4$Vi<_WeP9Dz3K=w?Qd3k(9*T;FW%F;5ijbRf99uwM0d#@Oy1^aC9>z6%lc=OzaoBV@WU(BR;1OS;9w!K3Pk>m*e;n2c9_S8%NJvP-UIBA}L z5vd3-87QTOLy4VGgy+p*EOahN)CDx;QP_;k1US_Jc?T|D z!21JCAj5`N^sLYqMprm%15jWk{Y~J0QCZgr9>W?^3N>`J=8Uh-F#}fF{OPZ7XnjGl zf-~kHmxC>|42UGfb9Q!Id*;yU6pJ|vVnacQy~;0nE?~vDlrkdhOF9NG^bMAsbA{-> zz@F1y>AN+98htsXQ3;w~h&dAE{x;!@!jg)@lXP?Cu{+tef+3-~7{*tT8( zdh6*jUMCJkPLV5Vy4R;d_KvGn(Ekzhzu#BaHm8fe9!j5L-R^kQ8zn5u4o!ujCxDX+ zum)o|1)y{5$?m&l0iZFJ1fVC>A6;7f#PKBaf8jgxiX*^Qmq%wR201Ei`^EJb`@LV`nA57kHxa z1QEA90IR8V>>EqrEu7)~zqJ~zmL8k@>NOnl^(h60{xi<@n-H1B#2YzgrsiDjT%x~S zt&8?s=^C3-rvl=9v4v<`dSM*B={A;$?iw?u@yKvXGvAAXw|4Mz(cv}Z=a`Jl-~mmf z{&2Vje`#Xb0md=XYT>}fOG$Xc`reWR(0vStW=L#m1PO7_(ztYLGn(+o91jq@q=Y-D zj>iOQhd8(bLc64WskRRxUeBISJ9ey9>jdeFDIrtP<~JEcU`v@W9sXP(O(VX`J`9C8 zrVEZxKi`3vl3IBKvEh43kNOtGfx;H()kz;~fRcHp`>lkg#IKA&A}P$Du2J@oi}2cy z{813l)aBPx3iO}LM+tzHssulqxKoS4;L`=dru1I*kSofE1+K{vA71;x2vcwxmO@fnV zkQ0B%eI9?B?hjN^gfbn~Q{(mtN==j^!ldZnE9p0^`f6uW^s3Xk=aqPqzqRW`bNRzi zEeE4wqFB2$;^VM9HU8<9%C{<~#P(Kj@+1{gJ*6!5y8`Bi^-ldYuA6DENI%MBH zBQ4MiPQisUg{T|t@Do9VIgx6KB-)KK2m#>#`yq|HM~?JkqdVpRHLkaxST>kl+228k@|tPCNO5(%jLDuTMxIqO>!eVe_MJN;gsIQyp-PBmv^gKVUd9F@TDS%EyUxkM-dT zeKc^1g|OsP5<@s;bu$;X$#6jT)r521Gk@zUJ?xLaZsf$wA3eJ&I-*oxDl2+YgyR(E zXsyEMk@%T1*RSjW@z2#wXIV!oO7~`%W$3KEeV+458wKtmU{UkQ2v!ZbSZfDzrE%pj z0~5IlcgEFscc{6r|7TM9}3(b zrT_lD#%LOxDj32!%dD4{F2MImx$4dr$(cE7b5qr%hmWvTxGy-?Ov~omAQAPaX-frI z+58Z*680V)c)st$vzLyucTZ|-HN9PFx541)v92A))&KXcJl2aRoVN*ZXbF$Ib%b3+kshTxs~5^! zCi>oS^|#zHgIWF2#5Q_-25t#c#0hzp9iC1J2+QtJO^E1TDpHKhFY0_wZshdqPLt1< ziBQx2l%h_}I;9-D;=^ccLINXQZCCCwnn6(RT49ue#kEQjfiJ-jF3j`_9Khzw+J7)J z?Jfzj!<+4+zOCf`-XMD7KCye=(0+9IXFszWYIq>N72kHp=cFncZB6hYP{OH`{+R@PGHe{;{2D*N7YU0xJcUuvct`-uNLI*+&h+XRP5%KbVBq z{&_x5Z2BbP5Ip{C*v687Y4KM#D=QwtvA~o=x7SCBwdRX09;CB5qf1@XY;vvAWC7!L z=bw+0eLZbmKJSNz*L|_>4{O)dw9;;#@4H)4pk_>GY^V9NE0)OJcw4*+S05Y(#%=Dg z(F}J@+CmZ)+E#^9^1}I*x8% zXI};#??;23t$@e*-VGhzZopKgEl;0srj1VT=e@3eJv}Xk-!l0u4mt#Q_Uw_#$Jss( zrfcQ(SM5UwYz~ipXXa+^@A0+gn$P8@#YV+e^CpR}1F3&o-(b!nZQh87?_JeQmm6i0 z4Vr6}ogW9+H4ZaGYzp{vvvsytZaJEalrD;f4n&{No7j!k*ScE3<$4bZCZr2@gJsVmW zntd;=mK&b(oQ)QoPVp@rXUnkFdTyj*27GEhhmMiT_+F0YlNqIbUMJJX3H>@dKY)B5 zRsBN$1}}L{x;-~#Ga%S(sx=nsd49gkW_OUu7Mfi6hU70eM*-e_S!he^l2HpCF8*kB zUeJjI;W=g=;+;Fi?o!%5;hp46>)L7@0`VM_&+V zXujL4s~OCe+j5c@$Hf?RyWDZ%_Pz`p{4?k`6j7X(jtiPou#0q;^WWcHyg5}Unx?Lc zu(#XzRWhGnPMNbE+a+8WHqRX|s&-wJq;*sd(Na{e7dLDi_Im{%vk5NXo47=?ISN)^ zD-$spiTT_Q@m|*7Aw8b223;|aPaf~m&ut|d7%Jq*i@YAgRcW7tD&7dIrfsa=CdBz# z-{CUIN?tb)=_kNGUbb^?F){6Sv%6eQ&oO;lzuXB{kBKIabx{~oI6-5s>Z=Xd zyIMNh2#I%ojKUqP5VOjEe(e9T_LH&o^8E-qntU)~HJLo9SDV`Iz6Z6gnsReuH*(4O zDI|Xrn?BZ?sP*gOzBPQZsfMdiQ0M)Sv7~5R(4Qw1OZ$%=@dGmnT7BlpCWED2?gY6* zWiWg)Rj4=6>LKA(=Cm8(#CiVv*VGRsi_PrrRzAY7S6xe}#<8tmj@i9{74qA@KTmis zkXEX?a&Dj3z8MsDmZAfmbm#U%VYTPV9Jyg>H%q*i&S7@raD@3^G)Qxbt}=CgER7{D zF}Sb`b*HECm}Kn$F;`iMjCi|~<7jlk$z*z_dz<_@RVn!ZFcA!2uxzS;#` zt97&`Flb1}m&ec=`>90G025bahG>ws%%6;I`%5@$2= z=KvKYER4fXc3_xHI8hqb5~jqKi&{E_QJH$0Y)Ru;aa*TNfCLXSflH;-e^8)#wdXQU z$6i*=wa2iFu3egR;?G|5&~d4)$6BBeaER#ig-lnTLG zv~@^9KGsmnGJWG*>g_XN+otWcuM{^l>Mx%jIErfuocPfa%yHb+bar9roVEY6S5~Z* zcX-FdRM$%~n-1zREJY*Jt6&-g5OgcBvxxo30L-t!KWF-gx!So(+tL9IYZ^#22~4k# zy8J=d%L@->!nwCi6VyX(_~}>t#>)&5zK$vkb@eGk({Q6APart2%&k`TbedQ85>DaO zQgOk%z6``sHU9Q@L<R5u~kJ(F}py|+Yu3* z5^U0!%VPw>kW1_4f-B$c<0$7#mXV^Xi5+A8wS%zd&n11+HspAK{*UX3=lafyl``!M z@=?*SG5iI1!H)lBm|Js8!r=C=lPy8m664|D`t2R!x%OdBakoz5xib>E%j3E8VHpzq z;Hf4v8SJNCTgt+ed>m${^okbRRG9}AGOYNa(ld?BR0f;(L<`ggb?04yFsuxw^yIDa z;k1R0k&{rxE{8Ce$~~y{%BQ0N^0|S;L867G(14r7tp<#dYNkz{X=Ejn|F^;;IsU{s z&jdC7fd>j*5=*gU({?Ud-e8EmPYDzpCaN6Z>^&FzulmUhM?fOlm_NYPC~bqUq_=i} z`CHxwHA6BWtL-{S2g-e4P3=26fRmJuI7R@x%L^P7KdV}VxkoEtS>?+HMi-4NDKqDY zLj=%K$eM{2`2$XYPjU)LSc_W7xQ8ua#uyj$AQO@sdimeo3-}4p$kLddl2$KO)CI}; z7}%Mw_^SM;m>pN;eT2hmgp5=t-h}6-0_4L2iOHYd3pxV<5s5+;k`1G)@lvM3hk&?1 zMhRLBT1k_ifxs+^6DjUvk)Me;-5H&`ALUR~{gHL3r^%R3w3u77^Ay=A5-vCrC=u(6 zAt?4LV8{h@h;5U<%)G4;QW^0R+*rb`DDUQ8BN-a=bWn-nDIaMJ|KvBr+=*eY;uyDz z)umk~%xPAi#hgxs>V`xU%TyrT&WI{iaxlz}@cI?r62moYIk#GsQGl@PDN!ec5P%~r zz6J9e=k=;5$r2KGVGxl&a$Bh$F&zeoURux4sMogB|VEbN42-}S4S+*ZtxZj18}VNMj#}g z=4_>At*iGd$9AX_w5t?rpoPsuQlM<1hg5L8Tua!QrDhsqXtO^(YU+m;rXW zdcWby`0<-EPF6crz>G6_jEQ9j+ej6n1w(*&dziHG;(7DOw|ukNPY|jB@S@h-u&ILliust3E_pQCiClf zY&d=jS>lGx;ZcMBtVfoVPj$PHi1_~2`yiQc2%8%_haV&QTwXf_kfe}2cIFf&r2vj%350*A`DQk{w8xQ5t*svx| zc+ld0ONI^ay}<|3q`SPVM!GO#wpdY`g{rqx^ahQ^xly(chh`&4V^)I3cZAmUV&NLF zK%(t~F{J6tS<@IoO%n#oG1^Bvk;T_b(6oqayxjBfHJg4p5B;oo z*hRaGl=+(nUG38%d|lT!uO-_X&#vD`Ums#m&}lSBIMFr5aL*s39mnV$h6PF(0Y4|B zX)|u!Lwz8sf+g8XAU$6YuD0!sJmixnZKZ#{CG@=J4&?8DBTQ#`y}TE%#=qf;&%MVL zUwjZ?$RYKD`!VFY5(pPRXHI{B47K(yfF&Vu^B7is`k|G-Q;vduYK19QcqT73^s{`^ z7*x^)BfM_1s8vj2%5(9k1C}!(n@n&wo<08zyQh)c%tqXn%lO)s&hhqDtgfKcm-m0- zf^!DL@|hVo*DG@PQ)6fO*P!t`VfO11jAp6p5i`E#D{D~xz2`)U&*3M7RvEG5p9ZgO z_3^TA?EJ5FXypp;KPJW~3)2QAt359o$C3X!$+y@kWAAZ+)zez4~ap z;3MQ0zW6sCBEju6a19IUQRpFy+USiXwx4t{(^i1xi>vP>nx@#kMfIifeZLy>Yq;}~ z&okCKyQRylDo-{v>8ey+P~IH{3rg1C9P>I`3z#IaUx+t|oyTnH0Qxxn-EXG^XbGFu$Q3uh`;( zdrXe>?zDGLF2%zyxAwUHLFSq*|8W^ey~u;-I6+F}oi2OTrT<-iGyK$E- zwOmk|e9YKJVBOF}Gu+{*Jna}AKm z88Thzhy4i+axhF&Nlo2M7$F}`hY2Z?W*Q6!RvVW;GSs?Ciov8B#X+h3JPhaIy$tY0 zO^I_*d`MP|=|L|9Ml{SXj4AnEj(`4vokWC5*Z3j$0LLT;rKNeKu?#Kgge9GDBy>y< zLl~QRNy$O@gUDhKJKu;bE87pMB?~#-j$sDUh%y$9AOp&fD?ta>B|B#!NEFQvg#cZ= zqy}?nqSlW@m9N+Uj0Y!)^mEN#257C3yQp4~t9!Av3p5d0$g4^)x`r zSy(9NlUJ99|1tO&u=LkW!bvYt9$I_f)M`i~_BQ z`FBw?)B&ANQ6PIO6R$eLXZSW6EAb`T)$q})0P3P4Ed#wYf5~wuxo~NN+^JX1 zRuU1~!-=DuF>J0GM?BEmPpBcnXqaHQXqYxP1plnmfE?CCV}b8sdE(?jd1BK61%dBg zfxhr#q!w{5g}%PM&>cg4BI9m6FzaaK-}6ThutB!6QbbMgHk9H_&4@HJT++8+3+DHD z?(d|^wX0iAFhdM(fgbIO8Ld+s(-PziJ`0?oi&#z4}r%!8H=Hq zIIF3)A=NAD-9#nE}bEb;o!B*Ne?`$ZRR-r}XuVLwzu&=b_1EXyW zN4&Z^vkLFdFFNVYe7~!Y_ANj>dOqi`q+L2%r;{VpWj%E|{0h}|7CnQ7bR#*EL;r@^ zUXPNN>>jg0-*zP$HZD5$wX24shqN0HP!l)-qNsHU_x;l;Svz-z9aOFo?u_B`R%c0j zf9sGb`ItPYr5x_8GET9Ptyn?5QAoyX?PM+nI4K=)lGAU=+P<>a9cSu6n*OfnkI;G` z3u7(`-%j$u^BiSBMgYb_v5a+%9wE|GOw_Yg0;gsp`k$29$L#n`DY0ZCA(1r2ogk%; zmCkuOq6U4CLjuRS6J$3{nNr6kT#@l(~5emqX9is_+y2i$Nh?Gf!u)bk^>&c(%;5`8&VjTWx5sDu26pl$Y zvROi8+Y5O+h4MoVz?pU=I|=n87WkYOCUF}Ewk>ibWSSM%vEPxS#XJ``-OV&ZV4sw!0Rt2V@riSbXm?jUU zB$IhGlqMZ0al`?UnO!8+xg*!lxAH#bGlBh;lr~=y*!7H=Rf%5RB~OGSJsF=AEJsyN z-~HNnzs<-2gG|`IubQiUL`O(xk&5;O^GtuzDl*HX287k15p-HLe)?mVd8Pm-`pkV- zerY>?^I{AKzrdz;hK_)*{J)Nrp5!3TV&G?5+gA#nZ(@Rh_jT%SD3MdZ@N9tHdUs^n zlU8nViQy&$7M1a0PkOY$!p4qCj0+O7wz&hz8 z`12n+BGtK@Bm64dwR({AMA}D2E$lS7oBVVyug)-gh^8>tu87^<7z~KJVHZCg6lO8-Uzp-PY3`0rB zp8ONDB}|Tse=YQcuf6ma|MN0~j=t?Uf;>p_P7CL>Vm1ijCwSd#eVBMEj&}SxcU=7c zxVV6!U)4}_rVEXZrq58WXO-uth+M{NVd{Gu{?IYwiHpy!x#K4kbY);Xe#CmDZ4w*Vv5xH_ZPO7g} zY(rv0CI2cNIJqGb`XGEm#ZBgV8=?n8ZXCT$49vN@G}&``K%EWLjmq$c1VLz7vjJWY z4HJp$;&T|oD%I8DF^o|p) zWaFqmwUrH$$HE*8i`yH3JYKh`p|5G>+0jlg2>LW|mNvb@d_kQLa3dRr^amc=ESGm~ zp#Ob)8COdo|Da=yxt#o^r$=ir=<|X(yss4}p&tJzOEw8ZsLkSF4VT!!unmu3P_d(0 zwukvq|B#E9mm4lVVWk~W3}e>Pp4rVXs#o;eBv9Mofs<=dt9Tr;EANZdGH3hnUX`7M z{qB}|<|TgWvhWyMPYG%XW;Kg^rX?i@(}JHmyezAcA2e@ydwZ7SiN{$L@g zfkZbf1HFx@ASruT`gewIQUiKYR+WBGVZXV=3V1TcQgkjeyJ;`xYGNy;|AN$i8-MS5cwJY8SIilOR4v_hH=K8CKeW@S++?BsnIINad zzQKSFFw34I=P$~ujR_(%*C45g@}nTbQ6_WCp`{Llt(EijTUFa?AXXV*-xtl%@EKR* z!K%)SSa($k;y}8 z0G+ic)>*)s`XNRA&;+ifZ;ab>*C~hKOEPQ20(VA>NSv$R1npZZrS3>?mT`wHrwB*)((q72K#jQqxz2<6QrLVt*QPmr337en{WIyoID`6 z{rO$MhRH3AaIBJk_#3 z?zYZO@z_f-!@dSG*@Nv-A~Y9b%e*=9V__S<#LfbC9kNhTlYaffU`L8H&2WIdF~fv+ zzhQzjZoQ=fyK&_5GVojFqhXTBWo=A;yDgH)YA$LY2)@%!-{9eQpqk*&UwmrERF2MW zp&tqj0YPTSE{`8lr~1qDwQjBNgJPwdUADVhbGh~ib8il_l{!8f%YtQ%q4H4U&(!@| zX^c(RM1l|sKF#f;BLlW?yu%I;8n0QVl@JBFz2x;YtZa+hCT2ggN-MSX*K)8Ec16&HSDu$K{sT(^xB@Ul^3VFaXOye^CMBz zbxHmdxQ$Wmw|jUzpW#!1Rn2wxs4Nrqe0TL|J?U+ipI+gA94#jMx;s}-Y#!gt7mrig z>i+z#dqr716LQn|-9~to*0TLM!6ePuS8gta7O`}p3c@qMqzLz9W6Mx$7YAx~Sn5jU zBgT}MK@BF?**jBWB6*-oipG=eE7*HD!2wd~6ey)o2ZcbP8OR><`-*O_-0f(Vz}qgq zJ!Q0fnQ^oGdsN0{uYWaIlPQ{TSM54LqT%ZK^H&G0L^;q+@cmH#Y&eMCb7M2EWOgfI z$E@3omZ{n|7@zjZd(Xyqzt6Mf^C9y`N+SM`F86aEpnWgsA*qDEcQWoFmvS@&IVo2! z!QC)1L$jzCOlH#Tz|5Se=F736O39%S9?@`;%uz`3-;N3e!Gysv_(H^i4KEH1b~Cdp zG%mCbb_RRI6~lZAJ1=j8#|KCsWj+B} zC8?O7deJ8+@LLj1Y3 zS?IYqz+=$TLBjvau#$6d&5kMC{jrA9eqW*+^bS$EwnLv!)nm9z*_qy245+3nmFA%kccQ}U|Ydg zH6V8fP0P2}U*&0xPQlLpfRbV6A1nP0B6WPI=Dm4*Q5jum{?qQBd0cUuq)(JdU0g)@36n|qaQq_|R zlfv{YT>N!Pe#3-B2QNjT;z8zWAymIei=Y!w1|>$qJjtGoe&=fzDH^CxBuJAm#F=Z- z$9=w0SiIVNo9;s zPca*mEvWpSN)l=G?5G{^Y&i&3m-vnRH{Q^aZeC6m&NQ{_L|HD2G%BH=jv1YSVWw%m_s-R1;;l=zf+Dj6ch-`ppd?UBz2=7{)#qT`ErsZjwtRah3~4xg;4Y z?Y;P>a;9{PxIL{Zofw(<_J(HEWZ`dFsVuNKus2W=uL{x1)UF5%ctSO~JMO7TGuZVx zDkr_+T@6^0Y>j$vk{QjU*Uji>idXIcivfJl^g1RIR`$Sy3?ndgDejoXC8P}=fZF|f z%ekuNzn6o1Y3gU<Ckxbt0sq?jed z3NK3!oC){yR0wO-SJ!L}+OHdhA~y|(#?|~Xv1;D*U-O7WNr?$bHCUk=wI)dNP%KIM zJ<|Wg6U0Mzlh&L?LXOr`z(bcbVoEseDa2M_5F>D)RLi|&=U76c0=ylqpPM(f*L&9OzBP6TXv#)R16G7HygP}@}OKt$ZmWF9e zDc0c|OG2O+w`NCOBYp@BiCQ`LRH$Xx=;^N^>Zx8YtZL}ivnSjiY20Q(p-PEcXbAqM z=>}WM%^!I{7aP|=UgSKRgasR~SUbg{WmtR6GmN5Ci!Vov4%;z6&x}A(WEd+vPJD=J zAXO1yG}IIR0f>Towa=>~G6h~@#B*T59aTLUA4Ius*<2vj3o zvU%tDTJBvcTw3`R3zcvIM*V`Ekc0skV510mVkW9t24}Sna@Apql-~ov>{170fc_!P zHYT1|PGP8$r$C^ZQ?aUM*2)isHL5{EZXhKsS7s~~86x3^rS0FO5vN4)TxPOgZ5;k; z*NS-;*VL)IZ-ajA=v@bz|JU6F4pQBYlT8B!6D%%LItg!Vw~vCQn;=UsGJ%@ zo5&8OTZFSn4BLcnEF3De#A)*W&$CC9`L4nSC`vMY z_l5RCt|OEh3tnE(2<%#Hb^RtQMiC>%UI{LBx_M8uS7F}pibMP<64*xp-Ck_jugmh1=3lB+~4Y1n|f zmS;BHD=g$Mr9IBk11QdBfZLakUx$*9AAy>$LpHB6Un9B8ga{}|7Algv2+VQ6Nyuke zn7eKofkRL(>(rv?rTWfVz{)oq4Wdx6p%Z~&QDqz$+e@q#$!eCgf-ZG~i2iKm&G(6}Fq~*Xz044WTrsrcYj7v=6piR4HW`xo8_b*ICow zx6eC{Fm?}KUhFD@EYa)yAh2!CWSrdOGyO8&%E`E%+@wERl-+QEuF=}Pr|&7%AF-KH ziN+;(tu3`TofjIOa#D21;s<=>J>7pO8O{r#h07qirH)V%lQTo5*OEc8Qq7{ZyPU_~ zw;JFlBLzNduMkB>77$GUT15wDXty&3CTzK|@3>qA&FpB$$&fRh^tw)uxJyWaaC`p^ zX(BnGv+$_p+hz*zewQDhS~2eR;}F)NjH6&srsM!y!=Fs=qv9H1BXvS3X;2gIpB0DZ$fmLFY0 zJrgJ;0Nu=3_o-7G1T50nSzJQ0*Ila)f2cnYO*(4dX7SL*s>)>Fu_gsEJrG=X&IM4{ z;%e0MWH6p0J#b~njV=kn8I{2+U7BSjUHboIoOqF>B2XG~bOc(oDyOclc9~G9a^1+j z&(Fn;s#_r0|AZ3|WC*6>z|^9$Z^eQhJT&x|K8X*F!-9s?LV?CkFpHQnO0W(A1RGp; z+$Sn%OeP|TKq1=K$*;nto(YxWkA7mT>!cbP6dHShKpo~1vMW{s3>YQ3+2mr{ge=}c zHv#%i6rzR%JJ4U$NyfNR3e<8`KgC**#tPLgWnd!FI=+I0CO%0o?p8Rln1eUaaMw>( z@}D1cW;JH1LvoMm{_!yyDBRN?v}dxp5)AtK8?@Q6v3*Ua(r@O zw|r_RL5u&B^o-?yB|T&N!OZgiO3wy$H2&g=pm-CSe+m6^sZVp*N(@q$!U7$KvFwe+ zsDu+7f*XoMtQp38AAWWwy(`IQWo2nEXBH3eXzLE6B_#nI`Z~Pxe}wz4ciF)|e<<;w z<}Uxk7k>3Pc{sRy?aau?c%`GKyYVXQY{Zv#^)@^X3sxWP8gP;-zp4L8$q4jxswVXO z055-))wXT*aydU9A=ZxgY(e?@wSM)Mos3Bcx(M*$y-Eje$7wt?CNbaA0PABWFqx4it6jE$Wh?7__ol&;g(q~q@9-*~vcSD;{WU-u+kkC*N$lNfSN(d=W+XT8pXEx zsg{|nDxj@34@^62zJyq`Z&bbDua8>7Qzaenk{QU?m>_2VlPqbY4V-i0T&ZQFJ-vF(X%+qN~a zbz5vr35 zJ4U{V2HHe_ngbv4#u^+79!ebwewHF1EYQv26(PPO4mFRO-ruixBOwSRt$W|j=)=i=&?uT$&QB4_RfXrSr0Vd~*%Hqk< zTMnNpagQLAF7L-ZzA2aZGZ2c*u6~SogGn@Ha|%Sl4~F#?{>Z5ws~9F%q57J1SxdhO z8XEi2CB7KHB_W0(2bo`G6V*K=lC;nrr1BRB8FH0WiI?%1Gx3oEbJH5JCyx5`CBJjT zSnQxGi#+iHRq=dRPk{=z?3D%$TePS(KI$~0NWkA8#y)=$MLbZck}F6Ug3os9(@SZE zWq5Od`R16GnCFlH~o5>ry{8m&c94t5@G9VEo;Y# zTaU}8K_uc}SS3=U8l;O0C=A$2Sujge+H6S(j$Eh+#?Oc=eu>KOTl%JsI|~K46hP+p zTQW_c-X&HaZ5|aZct9I_n21aOqrp`qs}G-A=KL8QPEn^KsPDY(w46{PtyI%3My?vC zsiYrYTC#}FZ%^b(QeH}_t2d#G3|769Bc7XUN(mJ}+F%e(k)i<~OJCbSpfOu>!Mw$WSlbb_dW*FwD0ILo|I&#IlmR%jNdZ0DP=y(sb2Pn3DAL9r@1Vu*d!+WjZ3$v!-Qoc` z`=`ob7TDXKC%TffKd$V(EiPA`q^Z%OXRhgo#f5;vQG~hq0bHkEnbiR{2Nuy8rLlz(Lz)Z?LEL%1>+oGEV-^i( zGmq+~Px=U0_eR=H-jF`Epk{{GcX;nRN~A?<_PsqU?k_x@#r-4JKq$LDUst=3Hj6*^ zbpdo1@vbug*#@0Q?DZ&o97(DekP@yyKvZ&*kh~c1#KKX$LZQrEA+#}@Cyx4*GMIai z0g#D6#IZ$+jT8F%zJWbM%8R^iiyFhp+4PszyH-sE)41Ck?yE?Zymv~R$Pr8y@u}wh z?pB`BoWG?MYZ9J>C2N}a7MLwjjHWeeY&rz%=7YwKO~LPxgXRet=7J-@MZYDX^HYcS z&s~BdnKq5q2Qd@G-6_M2No9tFEfg5d2T}PNL!k39Wc27BWvu*dyhDbj%QkZo_cwn> zexnxkXTqs?%t2D>b?2*w*fCkVD(YhD0`c!LAynJdRUya(`|^;KU1!0mgLTn8XTiNP z7IQM6E%&8@O}+LuOUnO$8iqIJtr!7vaP1K{y>&Hq9LGEKwbPT0qnOE{U_oLstdxd> zbt;fu8&fObK_w>V?86FdVENU8Kn3Cnn5wVLKpwfuV|M@%{PKDDLAf?GL z;DA%#muJz?7<1FB-EGcJnT(c}`M-($i%rxc9Jp*|u}yT9<4eI>o~wOZ1pEJP2ezix z=HP;@1&NWD${MI6r{EDWo$|)QzW}F8%?<2zhe#YZ^(`hof0K2RCg+E}hO)qD+W02SHK9Br4ev_S*x*G%5Nb zP38)94(D_;-B0##Q=4)z-wY0J+HUIuq1mJJ96i=VN-Hx}g6)c8X4Gs+NH<7m%ZmP} z7Yw9>g7JvSaAo~F3`&dOHtQGGMkOE1-VI3kv^!0A1gw;pOoroP*58IsC}h@KxHTlK z`L|H7$Amw*Q}bYulBY5LjC@0fV;$$-r8`QLvS4V4NI7uVuG#cnu5?Rncq9?*^G8x{ z*8i7Z3LDAn-cIr|8>2E$;wl^1aa5}PX@l+##6_5c-x|!ou3EyoecyLs3^a8X_8yFA#*6< zux4VCsbq@IYzRbbID^k{^D#>XQ)4c6+2lUx_b=#(|=DinY@;-!U62o0vngdi2z zScXC{)@8!$(GKjvgw(qTtCbPQhtNBPBwio1=d%q7r2a?-pinB$(X$$%Nv+eHMgWs- z|5jaDYX2`E;xJlXzOxFhj&_0Kh+NtMTA^M!-~o=v zglQ7wyZ07eB#i5Gm-KtMDzvD7_)VANlD*9FtNYWX#!UdV1}2*lc=EvAl!igbmjW1L zlaGV`o9Z>f#bUM-WtVZf6+h`xWDyWwVe9TgSfk#`o%$!1Gn<5;SFBOXafu#lB<(ze zcTfj{hK&g{Wpxmg+89MR9!hpnB*fg1k~%+vC?x&L9+X)GMR=S=PnU8WB?D6_=VjD4 zA==JR!r;*9RPDA>^h7 zB5X`3RP_-=OPTWV3PK^|p(=L#Jc47W-^P@@%>EFiVr5bpm86wDTMvP%ip5Ta&l*FG zk~IxfI(MPOp)Kc&obRNlAl*Dufeg_NyKf)L!C|7WTOn%7S(^tmtpIxpUr$Q2SyQ-+im8XnD1kyTII{1pC1GPqw5H5_DU zov3KUzT$4nB&DfQdOup9eV$#I+~tj|uTLJQ9<@UlCb_vQo7=9ECuamMwT$;UYw+t> zNLX`)z0nkj=(s?il%MyUD5g+dlUVtoaEF(GA%M9g2R$G0Hj%i$xKb~9;H4l@M@Wck?a6Cy96ZFQtyJs+O7};>bUZT84(kvBN#lSEL_P58mQJ~vm8#cpg z2x3UF`I*x5v!Bxs^x?<}Ipl6Sa}{=y4~fRWDRElW=6W%#+UH`})drdU#cmT*OdIwa zoWx2YV-i*erv7`Z{i;uj?)-Pu6ZIYMmJKXeaQyrO(Fe1(D@JPxiig8fbbmBbhP_F8 z>(DrH$_X|B{CRG7?95kJP|u*gjvEycL0AfX@ePpFH6)d+86^up-fZE| zW1<%47(IlU)S`OCGy-D0OZ@O2ha74FMU8;RM7y-IY0^;Kn}`U%bj((a-g~ic9;ehu zHl6=lWsK$jsWQgF#LWJGR>rhya5-XqId;Bg<4Peo(Kb(;N5LhS(3PhG=k;}?Yr$IUSxpefBP(>9>YcU;o)`zwS)ll#hT(G}TNvGOFWh-MJiz~D z<>&DbRqE}5_KAI6Gn32z@+zqJb#(hK@I9PFd7oII5Xi>Jv-{P8On5EodboTJ{s&M! zTHVI$=i`Hmzt!2f9+q1Z_qcm|@i52N`S$R-D$wckb$fcfuQgGu_2)QHJ5^j>`)T0d zrA1Fb!^LSi+say!go6Np^;Tl#$KfjX5;wdZmu-uqs&Y88<$XS-9^X)M@`^k&V>dlr zHjK7fMXS2)ebcVyWu}OIV%K7}!ggU#@W;aGe0cb`*i*iet3vq&zvp7m*FYaZl~S(r zjqtvJ^72>D_lN()zw`P=Ife;MJJ`L=+CzNM(AJncOlEr)IH zHE$3vH&rWM45ba9-pqR}KN>o-(E8s9$CGMn`0Z=0mgjbP+?*C)(EEA2y};DkF?_-F zw5tV$%X=R59Cme_4o8Kxd{&ecBlx<%{;d&2u2JIQ+}Wk#T1ne27#Y4AYan$2)MJbH z#BK~(_B!DkVIpVe?DDwAoZNaRo&2$5D8ZF&>vlLXyoR6SV{H!55X$`n&fM8_tA{Do zIYSqN+p!$iMDq>C^kGGq>3%+67w~p*nihslam=#AqCU`w+xqeSYV_;j>!>@iiP&s7 zD^x?!;}3JcU&ypt(^y(1zVd?+yuMqp+j*Ke+dm#Ke7o)|)g}G7hA010e?FpnzvI{U z?QU-25UTKI)J%)x$qZZ)4sZ7@JuvM8aW+wQKTFw|LIDym0QSuy-?=^Mc!++7jgWgj z56hH3OSXA!CcRK+(Chj<_!xJzWeC8@_>|j=yo!K5!%Xvy!$xg(6VsGp$*BTSI_vH#6N)(=XBE`wZUr`MH-;sa13Jxcl{U^Si1m z6m!f&u)o=DKL3FDiUI0*L#Hv=A{eQ+<2UdgWW4R4^1ky$kv4Jg(B$Rr<+NLQf|DDM z8r*<*@0(}PaKbM!@Yc}DgVsE>J;rE#1Xswpm}jH#_I7v}?L%%ExxXP(7Aoy4e(JNl zAlNoVA-5IqVlFyze@oz(w^$AtaZ6aBZW-~-^*4)uA?lV%*@qLWw>189lVpuGH-P4C z?=*YeOd;rF9H-`?x#)dn`(uWM@s^=RY~b-!eXsPBTmMt%#n1QdN0@%ob7J4jt{eLb zFE=`M(BF~7!;B)$O?r)VF}EaJjPPY7AvTx`FVLcvO@05SWg-q&*=?J{Fd*3lT&89$ z?tW`C%_5~m*(+6)Zd|d%HBQ=9R%=`fCGW_aA4!V(S(&H;pUYE|+G_c{lv1n)1wuOI zv)I%J4&Nx^a?}iPpHfV(mSQQEbY*~^L{NIVCSZUR`an>z015>So9X*ayVu(2X5TK9 zYbtZ^k$5#5E!@S=M(Z!f_)RtS?@tU!RlX8_>dJsd;mjgL?!FbQvCqRRD-h*z5?DSz zl=89}*f(%6NbiOX`a(G*$Iv<-xLY{i^?t&jPsR=Ff8hd|yvmYC4*L0Z@@MH)kEw>M zIP4mP^KBG*Cu#S8YQmN$5f)8Xy;bJ*MVDEvW9u}R(a+Rg2=#U7rfk+e(o&a7qX&tCu$&;Co>+7^;}WMrc8NskvruQ_fDg8LA|~0su^>RU zKRKr1pCRzJ7iz~WvI)~nkBZ7I;`w;(T59?KfeY(}Xui!@Iul#^d(ADIAjkZ8^3#B1 zlG^#FoAl(XOyuDIpdBCopq7P7d1y1{QNze8|1)>+@1q7~vi|Q0qEx5be<;vME;G3A zU{@-oK+E10B}I?uKsT)q#w4XRwbf_)M>5M2Dp_QrUX~vxj~pflFV)K@6(?oI#H~D< zFFn(GaD}uPM?mDuK?!rqDivdY`z>~SRW)m#LH&)a?84YW3j0;Mo0FFJKWI_Sd^DBu zeMfj(r+-_MJk4Yaci+82(`b`v&<58`UihEXWaH_S+P7eu1Dve-fy9E$3GRNi-8!Fi zw|Wd(D(`<=Z2h02QyZi5-`*MDAkOoi;wRb{BHS9p{{d%G(KH5ryIrXMt4=JzRDvSC z%}0$Z)}AIk?)X1s;!t>ZDD(pU&Yo!^o_Yp)wlVuK7C@ySh}AWRsU|=rjFx z>1_=Wu;e2k2=O6@-gDXt=l;u7!5%HeD2qs^#PleRBg>T4-47C1*#<%tVuNw3_J8hm z8C~|3XiiC*4pe7aP9v<-n^jJ!u(M=*n#BFJ8xy=9wGp3AeKbZrl#j z{iWYW)T(+FXjxulx+P;8gi#TDGVy?(A51(J z$zh;^j*31O8IG|l8mI6RWenOEE(-{CDJlpUSB*CW*xl}UZ}{nFi{%+Tmn_^YI!{3@ zrf_-uy~qK5At-brKLY!fTu!}ceEe{+D-N%4j?Hy}0CN>0KdPUie=M%3ymz1EskguT zSnpH$Zc`m&rgd-G)U>eQU!wJFx7YSYz1OK0KKuCjuzk8#{Ir|+{lec&xASE5ryo0@ zWj6}-9n5NiB(Gz-_-eqVbxGx&f6JK8cUv#4;OYLu!DztV$Uy^1LCTKM7kz4U)c|pwpy$o>l#L*(Oj@&+y;S_IGWe-D&h68tPp`c#}`95a!0=n zs1bhU<~e{&f(5=00xkk*5|9^Ejr+l8sTsrm$~>UO`gMfqz012xEeQ;i2|Qiv+(=9@ zQN7El{U+8s3hIam&}7^a`363rFjWLX#DwQ9fv6-h@$KdFy7R^otQU4y@;kWtJH=$f zkfmNId=1d>;tIZ9nXM4zD7-Oi!F9$d=&anUqV>B6g@FE0&nS-tQ}khEw}g=4%yAE& zyxi?q7VG?K>^mbB2d!#<+}+Vjiro6cU*3b(!4lo{-0}0DNHRMbmWdKc| zyqt5jSsk(-ZM$o@j>rvhH*R2sdrF${VtpY((8$B}&{GlHfxWM@9;gC|7``+R((_gE z8(uAV{eqQ32s2qLwr800%+$(#IW_HI46Fol!YsFGCSu+<6)dUusx1t;9tw@87l0#p zQ@tMg&as~s;FsiTc20j(syWxg+Cg~0a6=;tg*Ci3P)AiBW1CcU?1?vUy@{v$KK_!TT)g;im@bYO0tT^Lh)j{G0q6LYibS1<C6|JE)5RzYTH%n`Xi;jX1Z%JYWd&Hg%3fxp zjM&fQG6lTfg+fOtta^Zt$G-#{(EMDiq0E*xg%~-^yN0j_B>pIaX zfbP)1WfpheOL#CN5Rx&r_IWO`YkJ?yMa7wjs{(^7F^~IR;;uLZP@xO505pw< zuL5GOjVOmOxDcE1Z$!~JqDZ(uhul9((b#mt80-jJAvq;jwcrDOi^UV3gCkc3kp!}g z|1?MDgrxq4{i%9|t>sW3u7$~&AfA=KK_OeW;o<+j*fdo-)_oYP*fs=Ks%#+G*&ON; z7|Eomhmgy!PIB!vt(l=~r0Ax9oIVUopg!l!U1is*qTf&mkCUA)VuGX&%Oxjr9apQk z;G{TDtWv|hj2@)Ib4Frx8_6LiWFJR=v1J&rXjGmt5v|#q#qz*0I3Y|N%~*d!EpnY)*Qs@ zz*Q?)A`wXn72IY1DBbzD)jXgAHJ3GQ=Qp54iw%Q7rYPTc&i&@xl@3<;+ zYuhh{L=#-B5KpK8Pk1$`X0?SENDw&Cmp|mt8BUZ6Tb-Q2h_(q~HT86~jS#`Ha2!!* zf*Dj~$`e88)MN7KoD2%_#$@oUS7Eo#t<`M24rQP}EP(at=+RmgH~2Sp<^LAHD68pJX?mYz3-2bY66v9 z#!AIN|Kl)`kqzWAB{w7@w^3G26yDKmG88hrv_?Uxuht4*4+vyb5!BQ?7&j@97Cpk( zvBbd6Lk;-qa+W+(#%*Kserl?|>!qGn9dG_^!AZ5yy>En_61!EST*# zT1XUP5DspIYQ_e*Zli>g+A(u{FuerZcby!O$Hs+{VEe-3E~hz_=}C8`*)D&8aM%GF z-xS`V+U(Bqu1~$~`I5q%<`T!tf=``C_kBGC?C(I1!^?Yu6lNC*(~TSZ z+2fPQgknvkLh9LA4^Z9J-SOMq#kc+zq{bhOj9E$;$C_Gk32Vx!Qr3L7S|!wCfQMzB z9@m~@>qdjOxlTz8u96n%o+276B4=QteLsEYncnsbqD%h95s%>WOueS+>G~w@GfD4b z#_P+%KLB5^=av7C@D(Lc2%>gk&FXb|I?20#-%Xjnk9q6;d7cJDcm1{htF!AANw)}l zMkz=Q_}E5aSjgiV1p76C{s-&oidNBm%-74V$t(V>vakdER*$-gP3vHn^mQzHDks+% zm6Gs8TwaLYb{@C6g?O?a!CXxZBWrmCGS@yR+hdYK-H|WMuVcW{)Q*$ZCgw;bGB_E1 z;<8ZYqfkORM?jV#N(qNa(i6NwcOwb~M=wnI4YQl5e{pJsfzhUSf-BY7qT}P=dilwb^yFY}+>GlhzZ?OCY$0<%f zMy_QbYEIW=+lo^Ip*-LCyU}=$a)-mB3AjmW&YUTE)K?$=UiBO4?cGYg1CJv!kqH=w zUXx=M{PpBq7<5O7wk-jRQZ(2TXl~{E99WAXneNOuLCriCKxVJ}`YCspOisc8^yD5d zQZo7I29yc<#k%tES%}PUjgRIL;eiT) zat9D8Xg{jV+P9(WWT{fZF?P@4HP@9Y;!Hc~)Btm~A&<#Hw(BQU<&798N4*Dp6ofq_IyhJiFhfq_EC-u5$JrE@0XN%Z@& zchvV~Z*xpl40CG-ujtvd7#4a8>XFsKpCkqY;Mk**@T|x?IX_7fPK?WuAJq&z@V6Wg zViwEjD?6bt7}Q!@_r;=(4#;Ge%upS(r5b1`elJsi3OZc>WqZO%yvJH#rP+a=Gj8G3%l32g%>a<5HR!L<) z;+yl$BWW1IP!#pGhez2F=cVsJBEbH0byP>M_};<*VNWa;v#&KG(R`!T?KXfq3|ZDo zp-FW+$hyFS!dhcNOin0oykBHMj+7e>Fl~DA^|Rw~rPDwl&}1OA@5DXttt%wztDba<5j7r8uM|L+U49bA|Ubsr#?NzKY$yz8airUe!0%@7L$x9;*VnJ8 ztKYA!zH4vQKO1@`p)4JAe8IB~IFgVkM+6l|emc3;Ju!H1Pg0QFQA$E`!|_kI>4b?w z0bH|@&Bq;KX6pgD^(q|(m!uXw1WL<>NI_B^>87nV{S*pVzEMz6w%URG&!UzdaG(S}#I?gUea4aB}*^ ze$t$zTo|4pgH0vbS7@7$-we5;Cca_mp!94WHdP>}2k!Y>KAHeU%=#TOeab+S`4Kw{ zQ;?i_&I;&JBpyPv7qMQB&N#Tag4)fIac-tq3JG{LF3R^h9#^ex#}%0?GJ9D3#aT8t z6A0F0%)sYnue@%_)8nAIe(>^C<#%B=#3zi>tdE3(eO6U9^B=A=)Tma-#K6n&y`anQ zgX3JNU_CoEAu^3i6>$T_8>=%z)VG|w)H|4B<(a35=1TAvML0Pa0jGel!Xij6R5u7E zC|RT=DZy>HEIV|4|_=yOdM|p^cy!tL`c4=+#Z|g5E z*RHDf;n>$N2c= z82bW3wE9l-xEqUsmxAOOPoM5FapJb~F~GWsmxO+)@RLCdADt_%)sbq4uh;OoP^C`w zIvjQNuOil}^$VnVOm_`V%krT;9)zTN3Id_(F12=R`TB@4<^C|~ocL4~Z!-hK(`1Rp zW27?KYtyx4zTKN zu%S&Uj0^)oO{QhGDglGU&i^6`5R8xSC5)8SHKq>j6QR^EE}=iX ze*_i_1>H6QsGzmDRTYa3Bo_NsBO$3>gXs==mLeE&hPGv*<3@A%o&35e?B|}Ma`T=C z`g@+Tq}(YT$?9iBL^c(9e>lER-!Ft)rknIyE&eBZ4&21$6QrEq>P9kAd}7obgkrzy zC8&CgspMxBsL%_dArlLt!}kiKzmv)&Pr*95Z$gCnr<7<-(~(8Vu@LhNOVe~O&2gN- z%)OW)CdlbqYE=Gtj|z@h*!mV1(JbGCZEnOo(1c)EPvkH;ED;`m>L za(^4tm74i^y+iiyOJ+5|waCg10rFeUue6j8KbbG%a&v4{!o#K$$ zex2Q)Z{rKRZlt`1jl}tKPxec;JVu9L#4`7EHn*B!vyxr1!W$-+nr(l)h>cpopzrf) zNG{#2R79bSpQIqWag$Cj4z39*H@$sumV4mFboDe`+QIo{I(Qonn9cjJQ$Ibq`*937 zU3{WHt$$b?zIA{J%k?Y<7NJ_qWww-!yq(5kW}acPwPn zXv;J_F0QL0dPStu*j) zDc%|n8`M+O!TvG>a}79l#fvHQQ@X5RF;g_ug_gxfI-?diDPJv^qUS)C93jCDJ)Sp^ zTrq=S`w79%$*pb-Q)+|>eOe#q5s|@3k}nD6Fk^f5cWR+VpeNh5yQ}^0S~_$7U#r*B zjAKy*C54pFiH9M&fg(x=dAqAY_q(YEE9sPe|2x7WUm&_SwD+q8qXZk-dRICg#l)|% zIM*57u7GU@+beXA&$3K;elG}P-+-^KkT0L>xb5wXvp=1Q`*~2l2-Y6sAwr>zoo6d5 zT*4Gudna0@#+mCse7!;5TiJUbuw?HD(U+<91j77J4wlFm?iiCkc2Cik3au>a3%0x6 zUrvt@%=mU*VH+PjwuDvEB=-(@f1bW7kVvxSyL^_=KL>#55H%7EoVxI{$Eys{@uyTr zb&UU1N-BpHu?=2ijQ94msu2(-t`t^stg-FsA3AJw+^+F?IG`~b5ZKv4s?H8Pw&IFv7WT-Xd5WyiWhEX{Lc@Cw==8J8$Hee``m6mDt!t8Bzn8r-lwFO zbrCf8?UO>b-x-s6l7A#(*tB)7&%qt)b21+>u1Bd%9W6>is2IHF=^q-CCipsQdp_Wk z?6Hxb2@SDFaETabNZ}V_+qXo>hr^%?aaFjeZqBJdE%dgbJ?^+PmRhElsLdn`-(I5L zJfOv%rm9dGhoSdz>t}c3r>0WBqHFaw#uP#*5 z$Yc-(>TqU|g(QZ!_3UZ-?Gtm^;%T&qz~nF+*VYduDVVeCt3dlKMuXOW+BKoeG9_O; z{LbdLEh=!X=Fz6#)zvuRp>pz>Ap4rc95@S;7gf5uNB`dvH#@Edq<_EiO$HfGEwkelMiUO1>5dwCqG_MXMwB- zetIbAHyTQSTu_Bnr!EZ47tS!#a+0famwH6-7rRx%`F!_K%m!y)&nZL7R?a=WlBzdG+1VgPQ z2*mljcuO9BIVv{EqJ?b2Vrq17P3~Wu=!fw$&q-h9Hxsxcvr~z*yl+ z{-V>|69g=TM{v_A73V0WdD7LY1B44r%AI9uGd@D+Y(u`wHh|wk-)y+7hUOuz$wDjA zR;#dE`LoQ*+~ljH25tJrO2fTMM#}`oqW?Og*i2S6saoHH{fkzi7ngX@bS%Y2fft}& zYv2CG1X;TNW15Y@To>Yz%0FYHT4i1NA64#;6i}~dr-q4jYX|>pvHnWCxLU3NfO0g!?;O6u`z$aksx3&oQUK#M`_gaL@}(d=vNIh9XF*l6y0o@2%LqrB@>&9^Qa+k zE*kO*TMPC%Wl(jJ#%RFN42KD}v&8SH&`B^p%?U1o)kS?P=v)|tp zBIWML9u*-1{9w=MG{A@n&g=d6PEEwtPKAFPzlV!jGO(vzgG^UVQ0J2YmXAyldbxmC zJo}Kr+5oO)$_5cKg+W(t0iog%l|F6_jvz!PCZkfYHf{P(i&YMQ4!?<{bi|nM-qJ@k zEDG=UZ#+lLMM5ES8tYU+J4{?KTqVL&6>|C!W32WnsRyiY#5kS%c)sB`Z|kfkk)R(w zF#~N-H8P>(LyE;XlO{|PPh|=JmoxGJsMbAtk9Z%~#oE;-u6Uj0PiuSf-sa4oACDuC zMpEPLrAxx^Em+}D;Z8)J8pF@-;Mt0C*+0I#X$UX5j5&?tu_00kWN9E)Jg4IUN0wr} zY9bqDBekQ1Z0PiT8q34bUW#Q$z5O7OY9k*uuu-r|MnIWogy4D3349bTNE{&!w8%x{ zLUIFx@frjRxb_5SBSeDbxP)R^>d1eE<@0Gb0I&+FxrC}O!~F)7zo1UIs=zkt2I?f@ zsiQ0=&jn%cWcU%(xYR3=pIQmQ#Zu`8JJ@>5n$@LisdqKs@)^oyr!qi6yMPK1gEJ*K zhsY#S!p>@HjV0LiJmuK+Hazo)XWG92)2;P`T{u{_o3>g~hk&X|n)qX6fjn4u;?F(<5| zVPG|KlajXn36me|6GLchvEqR$9-|&r&Z?cOr0b(v3+0Ae{=LZ?AlR9M~(FML;n9W5rFY;f)ysq@t*@RVA|M>EV}ew za-MCRcAK}1*oQr8UG@0nL28;XXJ*Ti%O_k@*k+Mfn7#RFBXP-Z9d;O1L3d~g{)?+N zvw(C7-@H>hpM6gBeW8t~~IM z6ek&H{ci(wm~yT|VR9&+7G(NGp(!v84UWqFsWu?r%D}h<%+GlPmB|CMZbvRo?oA~p z53Nob=-|wZC$!9McOMCS?i${1FpxfyS)*PZDXrUY`w4ex9wr3s zyMM*__98&mEa4TSy0j1A!0u2hs5kLAaKDMr`1K^H`VQG>E%~`XKOH@MEfAc}#Gb0B z$`OQSfE{f&WKnwv6Vl!{Uf<>L!Nn`VyzbC+j8 z*dg=%d8Gx@nwbWW!59`H-8VcV>@+n?f3P=B58aQs0^h`=>&??k_DfG7q$6;Y+?`Rk z8qWGoC|e-dO1s#m5hyGoYOLYPM;oV6x~;L=v~erOKIXb;6B0qZvIUKBLvIO#+!Xqw zF0GXuFO;|hja#a%wchbQfPDozmrZLIDB_{HpWQEkogVlEwa-lbQKF+d(A57NI>QpE z;qi%j7+9ZRZ|&}UJmvIBM_$?JwL939AH>)#S_Q`c2t}B%L#go7$D%7GVOyRRc5WP*tmQP=wi=RPVUmSHCn4F;Nhwi<<60B$}d)eqW6rfm0c~e zTF`Z;?bXNK7VPfBxx3}l=LvU4YpgZq3fCV-v&^3{HBDHXW;|p!KGq>olrua%jd;Fq zNC8w%&YDniA%%Eek*^-IwdI$|RNKYuS}>?)lC!_;aD$7P|2ZSND)`Uny-8{wKM_^2 zwsZ3=PU4eMILSdl^1hS=x%7D6Xt>hs<#Fq?M0mA$UR-0-v&3n%cwT*>_$ujjHTj#_ zeau(~ME2jZVhN%}T}Ljdg*qK{o!on%z{**;^nsNN#0=T)@x`dnI2JB_oIT$X;UZ~=4tz{oPxU-yg&Zd;P9xRig|1ePCj-IGt zz{4rVQp(#fm-6=>4FY2KsYKtn*yPG>hElLr~q{CkbVN3jfdFC zh@Zz)cnr)#m5w!ZjSG@v>6#`**f8+pW~(IQ#X+=aXigz`{-H6JhJ@}sggKH}sTXgY z(22dC+=^E&QKEu6Ke%o*Y1u!U7NmhLQWSkwS=5+iT>;d#rSh+2G=0H^yYz#6ziUU8 zN#)E3f0`3yGcN?x#W+#z8Zqg4F;`fy>n^ll6H7cm4LhXQ`6hE|sd z=i%Tz?-okc@gT#?#$FMV!E?I9TRs+;4KR=k%I1d$i7JfTY~MEu>M2h|e(4#WE+y#S zoc?jufNCJ=vDK`mfR@9q5S?xt@QtDFPh^A3ylr!`Z@;ib&qV&{~u6>k-zGM4;Y+IuiT0n3ODxLs34 zfMPQ9c-CwVLAk)M!yo#U8|I|JM5eVi=%jHJ%)C($aVPKVxh_Bf|C8v)YNfbrWHA4H zwwTU7&fNg|b})?hM39n!-m_FIFLe_zutCRegB*Rs>yUAis9VTVQ`DbQ_4+0jRd$M~ zu=3^wRNj>|cJcbNn+&+M;EIN9d!_is5KuyEBDt!1XQmLlGS`r$v1#&239x@-lbJoejdwZlOpZNpe6^69`ev z6s9Y0C%;>=U`MtN%#JLi2TyO9?mm>bB=z=xJ9tq-tLbUrEcIyYMPGp>OT%Yqrcyc@ z@jZ~R4v^&NpfwSzYsl$|sXY2@8W&IAyyPzsB_v_+ z1SBiUnVnbhEs!K5VE9@Txy~K%Zq-PM81B0$tIsn%w$IEv&`u_#yI5)FL=93q{nP;q zN?t=94iQh4jO*CDCgg}Qkqd9BnF!rgq6PwevFjEJpJa7~QDvqA1cf6`(Al-mB|GD1 z9i*gX)nBW(&*}$kt|P`TNa2WTV)=wK7F!vVv)rYM>Vgo_MP%YYnG#UH{xpQ^ZAQKv zDsw`s*ftA@fox?+%mXaNvA?+KKzKSZ%r0Q8{T?AuryCbQ3N8A;gF*@(&X+Q;Jso`R zKGAj0FF%pC+r8U$Y(GCRO1lxh+q0KRdK)tkPi0{NhTohu`L_ibAl3#+Rpb|K-hEGk z2K+rF!5)Pkcl$7!tkaLRJa!CbBO0+~P zHsh34sPIIHJ!B0-r{nb5kABK-`RLF;)V~$Tw}Lb~zp^&HyAp~^7pi$7J0sYA@2YkQ z5nfEe+ucgUcQN&uhO}-rPp=RqPWK=4>;*s2(?FM=N68Xi3uG4VyWzkbSAq@Wt1a22 z&d%yfeK<2g7>9Dz8a22;7d0qUE8hyTTDR$=(gm7hSAD`Vs^EO&d)p0Zu=qOC+dQhV z4T&UET;5P5Qug})7<p0@3twr$(CZQHgrZQHMY_kQ@l7cXwa z{ZJ7Zb$0DMxpSYW6R~owr9J{_rWFDG<%2t2`m*x1kh={7*4yis`@#L{sq*3q&d2*Ge|Tm3U4{kE0o<2Br&Td;liSvL(gW-CYJ?RGNk zzO&=gwkodv#kGZ&dEs*^F@woAI1NO$e=TLn9+tAP8lm4~j_Td_3o-iOgqitm?_2bv z)4M4NcV9wLGV<9~^L?K6z4HNygG+Bb`gMNSK(exTGiH%!WDXwAwmu%{u1*acG&D^{ z_h-VhG&US+T%IU&(o;c==ElHax~o)QW#?V6go~TZ>Stv`PDi9MaPz3dC1tQbBT%;- zhOOV>=NBvQOMQpaPtSwc><_UJ!&vMrjv}APtD~{drw*FR;sL<4M+M)>%Qsh_qz)lZ zO`kx)OTRZ|2>e;X5_S^Yd3De!q6R{mSV#VdbpmyBPHZ$UgN?l+`lJ{o$lFjTOEt{k zkTwYwJW;BfTYoyfPXCdyF;Hj9$Z;-zvbhyHH?A?zq_2qk$x?%T~jgcjCo<1nq%MLm(jd>pK)h;zmhCNRBn#NYPM@GWGaGkpry!c;d5!bi2#-)2 zTq`plke6XqQ)hUGARK9x>hYRPi%S#sKakZPnye;E7M$@CtcXj1&u|HLIVs(8SW%lw zVL#Ni7Hv6JTl=14bkdkgpfGFn#zeF$g>rPZq0o|Am_Z?165ux)8ct2WF};UWj0l`$ zSUvuxJFBN*?yV3ZE^35^kpYMV2bia16ZM#>9{RGGtcHhTrz%FJS^7=ExGUz0D&~ut z%bxbrDn^rMtty8E%*&^B#3OIP({2cHmY0QS%FzMnSL>H)|GLZSIrKG+7*hZD^ zPcjd1^xuS5rll?GkAYwd?Ns_5-8D!<%jf-c?TNA0H>$_~V^1@JSo4Y4>CqBA_VeNN zdabpU4T|^8+UAGxWd2X%YpGz**fDlRIUsvwy+u$W#g*xU+E5Kq!Ai{@|DkBFKa7Wl zQXvkFusjV-#fUaX`B6keiFpK>8Pe7S47S3;v4(Bs(FE*cDCk!u;vl#NWqC51eciu4 z4Tkr$KmBz77->%DjyLqOvsTuy7^>@0q%Du@9qKHN!Dy>28fWgZI+2)Y)@Ud&ZHPjd zHz+HT(QeyH*3q;0cCJZZdV2?ZbY4%NE;hN7_2~tG+kMMRCz3@ZVzEo|BB*~-P;i^_ z9fI^$XQ5mcA~*#FBcH8ie9uP)s0}rJ-~bbWj(EJRYWVCKL`Mls!{EYDOZB zA|^Sm1rd%}{^qZ%Q{z%#ilF~Em4|p9M_3mq6+1{{njNIf@Ql~cunMa*FN%lql7jc| zbfY}+)G?!Vj~k_Yo~WlSl(UGQJDnNI%kYMB>3zZ*Sfd)j zk)jHTMpslK88$GecIYvFL{iT3o?;w6n?K&rqHr4q>Adw%OBptll#LvoZ%sb1uPd3} za0fIYs@eZEA@i_-Lv?U$z@i-t!B3i`Tqqjj%DCLz^j&qWyc?_!8eaW9GSn*@~HXzkC&OiAk%5(xRV#4%{+@1r`G$byV@)JQ8+Wi7d06Xl<@brnEGcI0I;O-8Lult0Xe ztt;|GJ=so#oDYYf4K;HB%|nYdF6jxe#?dx2sJWg5L#x%v4j%!vt^)W&C{a+m*yDl$ zqysCwFJ8Nv>~K-Chf1!X%9SnCXYBM2$PQ*5ZC9eYLb+TLXp4mOnv8%(;ws#rGP}1e zk4v<1ue1s5+*_zFrXODy-zDR05zCcv44P5%DjzQRW%(N&47FFIDP@Qborub%KI{Zl zhdN~`!y`3PZXPT92+*(;36a}TRK^L7>M^a!O7Ix8Vv5zIp`*b=lcnl{(1v!K$CE|XC%TjB;cen(j1O`bT&cK+u0 z;$K5sRW|WZ*H%2$xOZ3YhLcp1T<@yf2_cPFVY{h-LA%W=fUYazndJ6#A0ulO zIW$d};GN&=+CSdzyb13?hO6FRxc_0sR?-+dkC}hfJFFI&`#(X(tp9hAF%!%GPjKxb z7O%DX$F}c3uTQ!8>?mF4LSh|4mxuGKLpDalyfe{#E`R|YKk{Zf+_j#sWOzm@sT8vl z8(iJaW%_R1f3(ujjEBWTaht^h-@&;^WlyFR7O5QY-`F(rH`f4^_aA#Hdn^I3`}6C( zcqq%Z*wQV2Y zu4Yp+zd!#CZi_CCuBJ$1E{8>Fm*No8z3)F>4!4>u>t;Kb;v_GQEkjV-?Ed|yZd9uE zI`-{x8Dz0h#l#Qvps21Vs5xG8;l#t=%f-FT#x-bbP=0V8sg46QL(IOQS2J5I%f(&ui9TvyVR{V9NCSE7Qj>UWPO7veL)iyhq zF6m zv;x)eMgUO6R^E@hw@b^JYRoo9Dh`m;_lNS*({eMd>Sh`3&2Bs4>-v|~r?+c+JtBJL zgE(OX;z=vz-0bTt&gV(sU{`0_1x~&tQQFkhC`e`ia$sbKPw{a{AJBOK)mHE4{<(Ft zrAMNXr<1pfH%Y_A#pEi#A(P9?wv(AU{oLiXocwN2zkGIbJ`&f3r}Gn3U!A%M>)rCU zbQ-XCkM9oOJPD|CSK>Xvl)vznYd??(IC4(KSU79RYO^M$7cGf1tdtl;6J|XguYXP-P|GP zTd#15T9Ke|D8g#g!2}4Dnvf%D=GC6bxYGFb`j)*S6o=IHm7uN5gL8ccW-)GGQq6t# z!lOIiKhVG5!00Rjnwi^*W_iO!s5@Oz^CuGUMYATrv!R)d$Z+PPHM5DL;KN$d&+rh_ zcwGZUR)qGp>%^b8Eln}!IQsDmGxA~5o<7mbjhz>1=Ad>i#S-j~psTU)+_gP;hcImu zmD2HH7GJr@GwHLU5_6ZBO`v5_P;1q^X8xXca@4Zyl^x%Cf4i%MAxnnzUq zQ%-?eK8X8f{GZeX%2?uL@VT-Dx&;fk?9VlQ1!sH~pHru}M%CymcJpNy4f>N8S9oD; zxVX!2-ID&O@s83Y6Ppp|bP_MJAt|Bd3*<le!!8~Y($Yz2fR(3Ul`)f%<~fsG zH2ht#hNRtEEa?!^!apx(1yC4b8*mvH{)+s8sLE0Cd$ji`=0c+=A~oz5N>oFr#Z&<6 zQ6e7*VAlx-*bxTZi72al1tc@EQB2{!r42Y}fHn#P8Gv-FWZrj#rYRVVrM`MP)s_Ms z-S}S?LnWLR;`R7W6SV(#7DB^w=0irj|9zKecoIUbgxx6cf%oVW`*)g85$^ z+Umk&{5Afc`il7u5r3oVm!sDV^Lvz=_48@}3vq|3&I8!ET5d`Ok4P>Ej<_TWB_sO0 zz)scOaW9wy9h+ba3KqkYvM-Lu!o}$T=p`a&gl15Tn<3efv?nda{&IV@5X?8%1^YC~Bqf43Rdp9sTb-=$e9n zMd`8@SdNdLEijJQt9P1=BXrak82`_z2BId}*ljfaRw1|g@c*U~bC18iy1qm*O}jhrt$(*=95AofSdP}Dl1 za>-bsL^KfU(y4$WGoT0muKrywg>l$$io;onVdKGFz{Hs%*xwww9tf0(1wwf=U+t9s zFInB}@5*70SegN`xhuD9&UXyRv;KMj$8gtjMu&Z4uyvf>P9EuylA`#bxmSS0i#Z#$*gWnd1=x)7a}D`yKnQ2-j{D+~AfvgxEdK2H|<5cYlcXgsjrt<2Ygil7Wdb z(j#xzl1YH#ddwu|0!OwONM%f|(mu}GHJ^Rbq>BL~Mr3wSKbZ`u%1a3_&ph9?1G$=;#Bl+2hxn3MB)83YueXW9#_ zaHhQI)J_hLdnghvv?|B@M}A+Tf8^k)9qk?UaUQ%3)kqnJ)w?kBp&2sDbr>c-(q-<$X*PU4OErU%*g#3St1C!*nV_tdG@wFUE z^s%xY6+`aB6)KVpS$F!O(XOx*W!Sh`V4FxlT6^Uk)BO${t+SE+g?ojJ@`)J=)xu+# zMJp{6mpT}vtVFjJU?Y}|x`uC!uFsR5Gg`@G?j9$Gz(D{SFmAC*Q4^7r22atelYuTv z%=ORfY~~fd>gD!uC-|xNnjweJHS7er6%$^b7Uda^_0*Qi}O1B$eRVo-C%2>kfmmZhF_I%{%>A@jg zX7^~;TZIHw`d^TtQ&ZpxDU$|5-ivL0QJFzZ$r5A56*BMyxg`S)l`t+5msg4^l-zZ-(%WjKf!z zKX^~zL~T-(F~dO<6@QaS#JMxN-Y8w2|a zqm9ZTP@0Ohi%Q#^YK9X}bUwY@ADs4ngB1Krk&R1Sg|VWQ7@(y>ijeNRRz_Qw$C? z%vn%D*YX>9qYxvkXm@l%o2cm$$-keVH0OC3Kq+{q5vR<08bhE}G2o=$_X80QwyeiZ zo?D(ph@fu3&7Yf|K`H3Cw@9TBP2PxC z8NiF#uDHAv>P{&}-C%J~FSF!^qi5`x%N?5(Y1UFJnJXHd6hYGl49Z6)MVz)6X$_4K?lx-4}D2Ox0ed|vTL%mw=pAG5 z-DyYSq5F9YWIjaZM{foep7&tDo%|#cWuT2gLe{5-Dy?s{AQyF~1J2^cVEM-^2?Fml zVeDG)f+-_+cPsr!`B(r|Hvoy03P2)N6dYa0zt|aPmmou&+;{^*ww}jr70&8&zHc~n zvh%$=6K&D#33R27Rcx(Yl-2T=y}IS2UnJUgOlRuG#kTTC{KO==*y&B)(U8Q1ie;%mPC|u6T)3qqgZIw?v{{%>hjc zTsNcblfg2i9B4o@Mr%K4oVMQ)(&O-Znc%r*EZmb87U>NA(ISn4`Zu z4r`_+@L=DXqMlur(W%BPyM<@t#f*O~|2#++}bwD4SMy_jK;cJ>5f-idJEue@Fu_W7a zD#(JO0s~*mPY_uevnYMeIfz-9VM)z%0^M%{59_m6-E?;G8hxj!@Ei<7ecX`<2O>4wy95NRb-mm=t$^S59dn_O)Rz2`CZFJPmWc#NtNFLOxxsx zRkac0WFMwsyflnn3GdC&^PAwgm|AO>cq~Pi9(l<2{3&BffFgOMQ0RV*mA4n%a?JXs zW?<#W+iGwBs9X<(E{L*?5FQ1)=Qi>~<2W_ky5&887;D$?v02D^jvY$T>Xz>yL&PtP zCZ84`?fy@+Cwt{1HrFP1g|=qh>=A)No=4_OyD`8PaVd%LB_lTwaP6bQ!%Ie(2$dbe zce;21fmmU+qJvx+`mOW|S?F8C6>KTVUdso*;?&x%2HB@}vmPE_Dev65PGVD(8(%zr zO(ovP<#?wH5BP9dy&g0u$K<6FbSn7+PiXJ$a@d(-I<2_*;epa7DRv5A(3faT1fLUV zKMTF(e0iDh=aYfx@$dJP|~VaYAbPNsZ|aG z#l=_UYw6iLP;u!y3QVTM#RY zZ=A7m0WM;v#nmAyF1U&`V}o~q}c%} zO6AxwT+tW9z|$HB7gB!)%6wxET>(p|o16sAnDN)AfPrb?mIOx7cW=3bt6)or-X4Z9 zC)-tEYtB6TeoGACC>M3a(^~J6eOi4`Y6Duos1_Ok=`{I53GLi4SzGvUOtXk{nROd) z9C?F0B7w8krR{yIN$jt-=Ff1y-|Iv`C6d7}fXh z{H@Va4OmqCOKg@Oh7B-@yKJw63jfB5&G2@bKjE)@Cf-&#wB5Ha6!m;yF;rOL_rD)VBPNUsafAfb&&K-y@47}X|?wUBFL(Fj--ck3kh1RTE&4=-BSUq&@^%%F_AeGr6ql5+99lp&( zP@IX(r4Yqum#f-LBiI&bM(wXg8bVi*E1dEnH~q&D!-KR31wr(0XvW+xX^&jo4ZN~y z#^!bK;7;n!BHogwqHrY_$&WQ5t&0X<0p*OgIN|u6r;iv>B?aXuQFoZa@b?)`uJ%6y%1EtQYy1=O% zN{%f(nmC~~sVYvW@{}c2x}oM)MkTFj2M1Yx86`BSADckyCR3t;knO3WQC2%>tt2H? z+K}bGTpAsM6ogqnyZuR;;044gJ1tM0Xc(|r@DkEU)wJPRh*m%k4?z**& zOksL&wOl1?f%F#jbkE;z!nR(k`+5R2InI9mMw7M{Wa=!)@0WIVWAVAHB9`!XqD_2( zuPthcv_{Nr+sLciTMWR(q&Q49n^kze&}=m%0P(e~bwe~jg7tP{IUvH-T6A_Lo+78l z*F2gR@~nb|i7^q7zP7GF@@JGPUhD~i%vDQYuAmIM2^vf`!^Bd#3l^wI{p}N5#!RC` z+8OJR`>UZrG(WE_K7IgwW8oAeOcQns|0;a-y7_ro*AR1F?DdM!dh*9H3Y|}LDT{h8erUtHW`7-W3_l$U6%%j6y!#OLD2&h zH}k;(seVJ+d9zu)?t!Cinej=-;UJ}(mmV%Flw)lS-fyVycbF9PZyF@&e?~nzh5cq# z#H`WlczOtfkC(sAhVhjf3=3463^$Cu3*uj1aI4HmU!Q&@yU)t;?ZlDrRS}`kKp!Ft z*Y=~yaweQr-n|vpie0gobGM$@7INO;Bw%k*mnl9>{f=f$&f@iy8P5q+{#J|tNSL%`|x&@JX8L05~?CkbD%L+yd|j@hO5ieewGciw;u zG4m*W6Y9@_t%C}J>| z;#J&lui1PDgV&Ek6>W=+Z3l7$<45Q-I2-FXdao&kbzIlx&RHYk8vJty*tt&AE}sfR zkC`7Iej0pt#I|-PEIuX6?|2DqB(HAttV{u`6Cuzae*;33jdSNDTOtkENzNKp!{&G8 zQ~NPO)osJ+udkDUv*#5|QkZwuqoR09n!dx89KMtpl*ZJtR2-fv^D!~;j1Dn;heC8d zhsu*`9qSGjVoBc-Xum9qFP?-DNMDnCq(R)m7BYgs?_s>nCj9N}k~}PKRoj%HNwas>yuT?4azR9++Hk|t-att> zpRu(WVIqt*y=x&bbw8K!A^u1cu&$JoVCG)lTEUbAF!T`coISeh&)-m>4Uh?Wz9~|p z-c6PYb#j(B^&qg*^MWmRb$G)66a>zkh;f6dcMq87jVP30i?(On2o_(C6~11Y7Y&(; zlcHwg-7$f+N+w0j$1|*IiPuyGN~6`zI$q7@cO6qF-T zwesE^nr*u#4}H2kaa|?)dt_Uh8fc&OiK7aE#!{_wDN?U{tR>=!FO&b~jiuUf->lV^ zXM6Y4Gm7iw*DjT%0 zw$OaU#oGTlx3xq6t-Q3{^v&~VTrE*?TizSamxHv3Qdz!e8M7?^Ib7NkNs};2OJB=* zWV>k9f7ww#g_4P~Dq?I+`!H>CV6>`%e!9u&sAra*Nae-%l(HQWbbo(k((rUjLszG- zEF60_Z0)~4@aB**!;dgI;u`RI=xAd`EF%>0IYVZ8&E4wcE@N>*EOnMmW1N89s~e4= z0;K|}0yPX?fkH;704L<&Zz)KwB$$`9-PPJPEm))bkI=(E7-reE?4KxUOG}=S^;YGr zA)7BindGI_X1{o~OP{(}^7b9C&9{6bXp?_HZr~cCUv}y`lYowwb`Hnc=j7X2JbYXC z?|Zi=`OmoB_NctiMN7#^4}-ZuC+uFS1EhzDlD#G1G(^I+yThm$76YGL7r#h)I`D@Z|VC4NU#4=0H zSU;>_kT4QT$L#--a%qNGgD|O&J2JWgvZhLzx#oI1beBc@bBXgu^!X9Dw$ZQIDP(sk znzo^0%=Y{7QPRow*4KNtT-eDzkQ>MQw3-Xy{r2qoERp|naDQ--LT{VT`##gRIdq?= zDRlEDmru~%Ng-4&7sm!chd|Q@bdA0D2L6U0>H3S*F_p=Ytw}&*i4S6x1FsspIa+tJD;;sjKFrcn~&PtW~Ab5w))@W=iB*Z z5>Th(C4A>vJ8tK*HRsiLyM@dyOU>7PN$@N>e`m>C1>CO1YYpRV``dlVs0&Q)awVfFN z_C}ul>u$6&k<*83JMD0P`)mZC|NHpwA|5}_R=)~*Pfv@s+*zaP=e0e^km~9O)(DHb zSvA~EAcmC(+PrzW1HsIb+nEH|!S^y0g<_UA!psl3n4 znd|$n7NuKNn1YNzwG$sP4zKWpj}WLY_|-8xU$2MnnWj(-0t7)#7@zG{2>oJ&8cx?M zK{zujGtf!_uU$ICivn>e7)1xHlsi%Jj7WNv=oWvm1 zTvBh0-Dv6<>A>7~K1{=Lxl8M%v0Pe9alAjDk5@~tU(e68JTWJt;%hnik2MgUtI$dx zb8BX8Ib1`GUM~L@KHd%grURa>wKcK1Y`@^x(R)okdHxpv{OMer{$&-<`3+8L88gd& zkm}oybPM$3ew!vw;rbokx{BLuL%t z67%S5{2)tlRj&U7*G(pPHGV;I{_@K4(m!y4w(g})rJFGdpjTZm_3%P9>MNGQLw2Ghu6qX zU+mA}$p*+jOFKS+6R*&;iEIWAD^1L%Ygz5d1 zELBStc>K?+=xYKah9P=YyE2>E+%9Qd-Jwt%9FuQ=LTlJ+h^m7K8lcc(0S@E;Mpxbl z3@$3UBCL3t>iL0?x^a_;3q{mfoI>)ziEj%DICW_c2^bXS+hC3JDmlBpYAwxte!U0X zKUwAI(#_r|^v_@F^Ysn*$?4yv-{Xgs+`8lR5*q4dxt*PzvxeH3@+mm;JpA$97UgCM zCkyT(w?M|cs@RH34%u>Vx`f@g7GXI_zv8CN^K0uCTdC-e2VK-yt?>~56`hUC0IsY* zQ1#I!5!@^b(bzFU;3>F$WI!%AhZ(ghX)&s8pE)slM*l0F}zUB8I7`+e*}Y9D_fHj zOC{ktBJcF;N_6e!@_93Bj;@Hq0G1?Oz3K``kJxnr3I=mcB8`#tw)$AO=WrEh*Ul61 z31Lw8QHXR(6?y#^pz#T&e>Jh0Wusi~f+ZwvG&Wd+kYp{W5(JH>!wewI;Lrr=CAKOr z)BlNnU<#KVwP2ziKpIJbqX`<%h3&%uz{OP0<$|Ax>jBOL4Q8a!lNmcQAB1i1t}spwO}%mkjZ@LmZT{F zx-!)wLn^R@YC&$~i#!m!c;Fl>oij5$9lDHg5i)}OiLg5zz7_|ZM^#J~r8qmQ`3~Z^ zqPoIhB$qz0T}Bc-UY3M>gJnF)vJBD#&TXz}punP}DYpLXc1*Hc@OKcn&UoJcF0LR= z*{#Q)K&@aja9QSJ@2KpNhv6r1XL$B~)9*N{1whM~4@K$r(k-k4HsO4>gBnw|5fDZs zr=X?XBWxf=q5>K(2-s_Ys;yf$mp6WeHlJ+jGvoDg`{u!Y_we*=fS@QM7K@=+HN@B`IfgwJ%snKN9w_KTd-Ii4FUAazpLhcZv25_OJ@!CbbyJ4u zgmRt!OnaIHI9Rxt%vQe)aRD}Um#!tGw7ps_%gg#GtYMyuaQoo74?aO(EOUMbEHMed z*2u6Ee%T7JHmu)M(iT09iGL^_HAccTA{CiU-9QPO3!;db#S~ZyAC2+EadUjSV5sedGSF6y#|e{Knr1Z3o+$2>PpG^6tG@hRXOD0Qr|sn0WqKnweBd z;2>%gOM`VF9_-zlqoEFT4!w&MRKR2O0*O5b3>mva87rUr(3GYWqJ^7eOu#ep@+QAq zec;A|Ci<%zWD^cBI+17qdOS8hgN53liI@0g-0-*Tn;xi5W@>s4P8b-98lvJs+j|C5xHj<)1ngA~0yBp9GWj%FIqAyV}@Frw|P?G-}>4~lRDR=!c@ zc!qG?q-_buz3YyYzxjK*1wh`FRe3POEyz%?0sjnHtW1R6DB}It79W&`AjRv-l!<8Y zF-)3E{A1BE%#(;1TcGMw>@-JW9i|O|9MExqa>kKSAR21bSYK>=l{V3dL-j?w1;C|=s^Z%5j^yXy! z+~R!@PMav{6Er9t(a>VTxSRGPpnycCY3SV{7$OaAJ|Q!OYqCGm;`h)&HFYY64zss7 z`wU@+w#(eBWLU^;-_dwoU$S|ht&l{3dC^Rg`p>LIiO21C#?^WR%~G^1u2q?` zzh)3==oF``*S*`_G4`dlQWP`YaC@?ZbN%!Q=&+7Xzw>cxlgU&ayTOEw&?~Q3UFtJ9 zGoV|i0ba9h-xm0Ra_heep@JUN?%Y>*gVB^*!49Ruc);8M0rbi>P@Kn zaYJ6tAOK02w?(v0i`o~Fp(U~S(GJ!BhNjL;UXG5%1%2ShnED9=MENR)1PpuBDXT^H zA9pOE67D-}u$p=aB~hTjh>4Jsw>pizYLLp4r9_8KXs~4%jX(gqGu6AXKqt;ycp=IW z3X_ovmfqdb`$MB8h*7Hy{Lw${FO8Ri{aMY+PaO`I{~QY{D7ZYjXvrdS;n!66zjIh3h3^~F-7eU~wqaiB8qAz;-brUUML?XzIjYi^BJsk2W z_*eKpfCzpA;Y2}1=oib%P6K`QIAO|DCmw0gfX)R7Le_W#ePMK{Rc5a6(yZDg-QISn zD$A%A^{TtvdSN)NJ{M$jq`)1lif;0fIX7nTgZwfZ%!A2MBJQVQMk%vA#!s8uQu&5j zY6DbgR>f~oC-0+0QVJ`LzQ1i282$_GC6)ksM(7NSYGxEPSJwzFVM@IVGGZ)~JI>O^ zrK4CiJ=pRFZB4s>eCh>s& ztNzyFFeP}js}D#z85{K(qH{B~Tm+^T^M4(v3!6_(SnuJFD5ct((}&K5YO2Qb%KJJ; z&~AFgyl;x0)v*E@mkg@fCY9N07^dM9IRwCKYRz_aqx4K)Mv}^QuXVUG#C?u&^%+qS zNEO3aGED$!*DKE=pZwk`5PZeFcRt8@gK`ApCDeNtwd?<&HCHJIoQnZIHxd7e55I%> zd3(&*q86IG5=4)~yOPP1RX`gRPJ)#?*Dyb;G1Pqpmbu395A> zCo5t7S;E{;HO{toH#pOVvs;IXYrRZEl|G1B7yAzcqoK6?@U*WQ80s=* zq{g6=rISyTw|`r)Gb4u#^o%od$)Wm*j7w8-8>0=CeL2ZkevH_)~hTL{5M@5i%U@T)ekLupiaZ_Rk4SI`M!W2VWMJZaWVaf(Hd}}0` z!5#+&B^{2ceN!xM4Isj*k>9$Nl|N-LrjvEV6)MbEH3WAZqhADUy;IxcmaLWU3ms}R z)xS^vV?WpJ%h%7+w#yZ+02S%#WxO*GiG~=O%HTpu{ZRHI$7Y~H=GEYeMqS6A!T?;m zvPV^&b%4g8M!ZeAMJr7fVMbtQ{oM4#S5h*(8A3gshbJS-Fxli2sMq=}Fc+7W4?ZFt z zTgv+#re5hb^m11zPq7_To3kH#>w(7e?)IxD2g9*1a(9D_pMLM_1L5`y2lc^^z17PJ z!qqig*-gq8E+c)c-08;7cv%fI47h>=KD#&D%yewZ%E`6xZ-sSeN~*}1S8IdVS1mhW?_UH_c-1@E0-EKyY#sfYTEEO-}PWwz(I zWcqF9%MocoqZ?_%w_O_YC_kUa8iUh$l=4+Ql$x`uDn)e9g}hX7eH^SKGMPR{=>Q0< zk0pFkRWGfqJ(woM=)Cxy@BysV7P?uTDQl(i&UkmEtbIsO^eOC+#reF56MWk+9&-Ap zvo?pu$xdH%N2CUh7iiODT@GiKS|;yIt#nr_9H&{Q#mP>Jo``#Hr-bLlWxFly)IU9K z7Li<-w1inCvXv9_Giz}E)Ffa7BsJ)uXx(YfbZPA8dMJPU0dd$MkXl1R+WrWCdt$}m z2fGhU9N&tr1yqM6Aa@o|D$Er9VR!a;b2Em|P4|zl(HqJR@3(*ZMc7?i4ZPo2ns=Qy zsgpNxf3EEK_eIOcyPTffUWri-NdDH?dW{A(+`-h~B0?xo5yDe)65>;Z12IA^2QAq9 z*e04pIN>N#kD&BbQs-zKwnSM~t0!_3XzPuT51~NeFy;`-9lH?&*@jafr~72@Klx@a zgah|{-%U$4@3*pO^(_rR{KEJ%;qtxEnz55PjW`TRs4t}}loX*W6lqHYgr*=$&~Nig z6~hUT@Ks_!>=EfeMM{87V_q0JyaDMY`i(nekn;w!k27VG@&*HAebnWI-U_fwuTaEvQEi3%HY6IcWkSrIaW3-3WZO}Sf)!Vz8;cBY$ROd?iloV z>{Vz46>Bv;KrDM$e1F2k5J@cy4<-CQo{`x|cdWDYjO{F>V((+!xtNimMk_I%y!+Lg zfS~a9Y&2<>R_E81u97iBRnM&2szC~^8FKo!421+GV|JWL1PrhuHJDV(O=>+gwj&zE2?+@3F@W9DQwI!X_1O6k=-|KW^%cC861QG|h{tSrjp1n$ihYtOMdA;-|s zbt%=@Y-=ya)O)1F&c4dDEy+41$5bg6?jL2TYdRy`gia~tA;nO;y+5dj?S6DXw&|BB z=`Ojz2P+YgLq%IOXo<7kbcZO8wMnx-t6LYuGz!*iuc~j1;g6V$L?^JPRTVw5UvXB$VY=#PASyF=Opfunn;Uc{=T{O%$ zSMz-Tbi$v#j9rLw<6OzS=%za9Py+62BKp}gcOr=X^d)I$QKIQ&732j(!ju(69>Vlo zJm=FHqiFLOkaTc3P`9^%(sZ~H&)3r39CUvW4;$?yQ~s|C3GF1`uBW={ki#77pbgq- zk8L#-S)c+t*3{c* z9Ob{Vs0vqgTF@bkt@>v**h@$zYyk?upSLbYSU1h>DQhp~Vt3rZI~q)C5S`(YZk7uX98obbG2FHCM+u16TP(H=Pm6 zC!l8UoDS5-y2w?h$KfK)?HKH^^a&%FaNW7_|1h{r$K;7I$^+l7K5-q1a#v2` zp>j=}J&ZhD(<$S2oLek|X4PbjO5BZ|iTXIov6yqk)yf!R5sMx0|LlMYwkPqrZqr(7 zZleoQg+Mr58ZMzK7%eq*X!)ClOHlbr1BuF#szhZpkOLknazZJ0l+UKCCvcA^7m($* zPI>D?`kl0=tKJ`os)1HL3K9zpW`+hAEYsfI;gOoPQ?ZkEF9#F09z7+tGtiFEXlz)% zQJWB#eQyXa5Vwn6$zmnn-O|(nE4CHb+Uis_@afbB_Yf4EDq)1&>%k@jnxvl-_@B3+ zYFT+{P&)0ND-c>>yW2s8S2V>uJ-9ip@2x<5hNf-=de%IGMfuOv+`|JPz1Q6TtmVKZ z2t{Yf=Q=>d-{AR0+xbV1@PA&Jn|7u(eLs-H0k^9uXRP^gT*+TB?PX2ZHLA~wT56DXfL2qkx_`Tov<*b8b z-Y;~2PJYM}7vTao<|8ZgZ1k=UZE*CyE@--SchOHJ1s5vxQExDGk96=<0%$Rq@fBk? z%6+>N>5H?-D(qti;YVEh8 z@!VI>)wGY%hAE}3rXLO;*&d6{u7G!N;`U^Ir2n7K^+@~Om-+g9aSed}nlX10GUN;= zNZ-#iV@T`G%Eom>$SJo{RX|-_FJd@Tnt5He)x2IYvhQi8+@h|oz}ZWZ)F~?dq{BzL=Nus2?x1|n>(7UayXU8=nf%MrmOvjuj>Rp;6kr$Gy1tU z<_FAc+}w9wr({!)hhHGKa%B?_MutOBIT-SN4~Ib4t8Eakh@D7ZT;JU5?jO*{@$~X= zbM^B}7sWx(ec|Kv*O%F>J1&EI>My;kES%a-br@Uh(EPbd@$_RR8P!0$J?)3Cf9A7{ z!=12B82}l|hAi+dF!JL^Sg||Rk~UoBlbax^bY1T;$`iXNIZp3;6w(ymn|^=(MRHV* za~%oNlG<9j#1N!nX<=&bzaI4JU7JfLwDBuxu1@!&!%2%;Spjo32$)mgC_k~eA_zXI zh>^FuME~B&Z&26RNEQI_!5BCFklDmS7RIH`7&^jBDSoO7;)H%3W{TEw48e!hWQ0L0 zmQQ#LlbfoOtpor&NM~sqyq+R9*r3^>|NZXcR_oPPsd7jY*bz9a4(0-Yu)hp9YyF^s zqw}7vw;>lY&xTAGw&(rKd(ZY(dC|WD4R0`pYoA|{^(OzhPU&WAa>W=KD77+dxA?Te zg20~e7dKwZz4bv@PmaIP!_|Faf!33%Fr&yVzEIk8?Yx8^Hw|NDAkl2Y5!<>^JPg^m zFZTtdd3f9r|Mj?QyVmS7(rV`T>mXdD69tYW5=d>xHI)RF*jcGuG_V2gQ^fl1md14S z@^DP7yK1p;b)pk>r+XY?koEsC_Krc4MNPMG+qP}nw!5co+jdXewr%&cZBE;^ZR_?t z&yVlEU&I@6qarHysgrfGGWR|eRco!Cd7kw9Mome?^vm&NFpr5sg2gaE5LnFa2ODPH ziM~?-lND~)iBbt{0Z>^-4wQq4BhzK*ME3fdM=%o>{-k{V4s2BhVaeH<+h{G%TQtJr z#wd0W0$8EX$K+$+_xB&rTL;`20#%!8d-Hn?DzN1K<_CNBSkad+ynzeq2l#=>r^9x^ z%|TIhY4@+&%j?Wi|M3Eqw{!WPftsNf-UfaV|ADW88v`Qp)bKl-&1cwHPw0kgxGQ0w zoPl*SbuoTG=L<~64}j{t{>sHYE*+!kMxL%%hhzG{3U-Ph6&r4&r6aKafax+U=9w`6 z0o-kF)(Qlf(bX>uH}*Gg9-l6}XgWo7!Av8w1WiyhSONk>A&c1OcU1yg#~9jaZ8AL2 z@93tm_Q<;WE^pK1%%E)P0|P{eLtNgme$e~f8ST{q3qo`JeFd-|m&S0P3jYJ$2mY$) z&r45i@4yh=F>Z-c*vGd{K=;HH0gJ|P&9D7IZ%tsf_>~Q2CYa%VOb!m%4y3ROp!h|@ z{y!E$bf$6uS zEisB9RMq7F@&g0d|4ASA#!vcKG}cC1TaFFJAuc-@{%;?_%T_yusl|fx#uh(c5b*nI z*b6(SN9E5l%>-2cqBVsPT?U=SqN>t$cTddxn*#P9&>nExR{b#gPW-!ci@8mu+>a{wV+Uvi0y1J<7FZpMI z(NESvXw8J6$WmPQLu>p{4eb9`U@f8b+0mm^Lt*yBTEl8x{kque_@yZE&sv`iGl*6f z7UhW9$$d0_kYXqbVU6ERR_|H7I>l!?WxZ>;*%RjLU2|bI{=v2S!auZMIKol@D$9#fV07JT#u4qiI&5YP7H1yMx=6?i zKk~*X0h9h92=sqhMroG-yW;Y{e5RUzz=s^g9;&ms+}!uzvP9v(NKwa)vMfRCsr*t( z1y6B|t;K2PuhJh}T~t|eY#%SbO0~I{dj9xGZco03))RvRfC1L+Ai(1}BK^z&(#}y= zHi?2eLn?3{2woiv3-01RNm`Q`X&yLXAxMUe=o9tjemPsp^Fq#XH1|Z#J+I zY1-O7($2b%`(^1;)|0bw#366fvB&z9yl&?cvfpeD9OfS+nM)5y&BJM5hBf(W&da_w zF3I0^8PJ~%ark5I4yB%h4{^#WyRwbo-h*1CeSg>+oTCp(K<*Xx_a@cj9rB6I| z`?(`~?mteq8X2eWa@OuU`#x`Q5YBU%1-AJ2<=CkE7IISaU}2-4l&s{u)*eheGV`u^&}N)Gg09$@%O zx!CMKS@)B+)O{~!E%c$Wb?P*`)YwAPo~V=FulkAqtbfmVSFeC0VTU{tla8K3WMB$1 zhU>>CYl@zRlI+iX=r>&++s;*e8izm-u~>-|H&cu`MAh`(<~)JbNz27_q5pjGO?Jm$~guYb21vdajS-G#u;;( zjyRMg15N%d2x{MN)FwAcOMWZxH=Tr4ujLVN{SJ@hz%77w*H2736fPdyKD%G6kS#OR&8iNVs`T*rN8B*JAA_!&I+(80 z`VKvgr!9B65Lb4!GH*CLiOL0w&=>>K>lRaXcCYD9Voi;pBC98N6Cki38(o#fm2d zO2{=es9p;En+YZ!2+W8#901FHN_9N|5L3g0p_6))96zZuVUVK)M}l=nur=z$bd_iPRe^G=RTsG3;^?=yMSSfE_4RVz@3Sv{Ksm<3ZAGRaq^y=kY3fiWV!@SxN?`&aTN*ZOU)z@s)0-=Z5b?};WA~6!mK>2pYS&Odey)+69zkek1|32cdp@8G zHwusy3NAaFsKi?|4|%@|VLkZs$V3_2EE^FKsfm<=30W}mVl+ryLdx7R&P25_GAs_! zrMqL&?@z2fQ2Q&U9`0}n8G7CDak_&V&4{LyG!Qjo;;3NC=_nmhzk%ktQoM9^TWFKb z$DFd&r05Z#@alu(5hB4#U!bG_a}Oi$xo^XUq6u!%7>h?wnF^)alW-|fSCRG~^ zwm0DOS#(D>Htin}P21VBb2CP@t>G#?dF)l2-~F+GfK#|^>{3nc=$Hz`9=m)NQ4*zu zk1Nn(@`9iMQ>X*0F*gDuC<35cw*$ewx-RG0((Qzh6 zyzfP*{OOD+XEO&9+`=;Vk+jqmnCc0kWq9qw$?tcAd8}=dwSWw1fiT)mp>TekKYfV{ zP*iBt^Aggo{b%beY`1tA{WlVXUTjXj2aqKbX5g1432liBER6s2TXt-$UugrY@D;YdbwM)daKzN{2?kqdn9z zsLq!gb5PO9M)MR^iy_Bs$Uc%^AunXkeDF*=ZKJZ^_Y7^>Qi)v|X8Z)5 zQ#rS(vp5<&l#cGOonE#TFr%!URE<3jzMgl)4nS1N#X>OVl9XhBqtsvw?=liM%l0g* z^l{2%9E+~+FjP*M;QFdzkV|mcPr7|=>529WSW^|Tx)#{*Mmhy|;7j1@=K;{mRB)c_ z1QGol!hh=Bck4{nq`KX&9^}*)Wm1~T%NsGb51$Wh67%5Pd$UN7Co$+hEGl4ykxdFU z6ciVN26>cVlthJszN4K~7#4>Mk*6kEAuJB=Y{gCwo?IEU1Nb*s$s~~eY%tN<)0=rl zH69No8>iKVyaAJHaY{an3iKh9NM85rdx7SRdg3NCYcuGKGWV^*Zb(KS$*7hXh;Z!= z$*C#>Qo;W<1~6aW2@Ka*Eso4`uK|`FAFC?gw7U=c=ZEG^DOsg%fOMVbbtd3Row0!~ z3IsEA-|puBgr-cL2i@5B4zg8g69`A#Nj-u+>pqy2Vblwic+HRBdf$3`z?gedU^Zd zw{}#?1H=aYw1xSUjvm}ThD>o9WTNfaz7~iWS`BZmSV$C~hgU%8`1HJk44nX4m)UaJ_9`rTUl6_m@>!1cjQ zML_HUY#imXmm|bZ=Q1m$F{-cUhzBMLQL0CU$wk(Bqjt=(hKu7|Ir&A14icp$*%P2M zvt`icFYs!u_`4tYu=Yx&4frN^;hLoI-r8cSnV}#>9#kwjiy2x+IfGO^@YuCQtt@tX zdC<$j$wDcWi;2R7WiZSa34or_8pf~7je4Z*{*X@TYsFib3yr&4cl&9(`N>oBEY)ri z8{`DNK6V_O+ys;n6~L$KK}%F6$Ao`k$7o&Lxur7L`G9WH%@FT@9O<0^C-@Th)6iCc zOFbv?skfEZ=klvI6_SSsJMDiA0cvtmDKIfJ=UFi z5kmNVy&?xjVk+Dia*CjfgrlkYDu{-65eok@q+P-oI_VTrg)V%Q1y;b?w=ymUhz(zw zrKFCf`gqsi2;;G-#kic>=TaHini&H;gDt~TwN$Zq43a`)RaFipiPr*Ah z-bmG%6^B?BjY{XfW=P8}VsVjV9`2wo=hmoYFSdue37?@(OCgolr!uubHXfesr()a9 zR*k-O0TQiW3LQ`;b_$%E5OGRn8+9(}?Pv_q#$}osNGFaCh8!I>+M^Tqw^}2>qEm{l z^$0YP@x_zXo8TIv$KxcbJonSHF=;L03t3xlb_9vpGv^~58d^ycPklx_0=xjUBL_LU zL~>aEWiMHVFV901xIO#xfte~leUGu#PC<+%jvm-%_e7T7`xgW9Az9are!9>|uv(!L zeFqg*J$Wj&a%82@NP<=cPEV}p&J;UWHVFR2nh9w-k8bFAg>mr(%17toS|W4|H=L6b zOW$V90K^6t|7rpcD|%}_1y!R9B^yOH{Hu#nCJwWI^HBe*VK{!t<0#4ZgEC`H!HU@! z@|-pUu5h8uCG(L{;{tEy+b58)&%0uR;8|B6>}4eoJ4m0ILx;=0PJoBfIvFX{yW*b6&AHggaWR32MEH)G_EYQzCX2Q3$k$CwLF=ll%qSWc%kd0mljarJ40-@Y~ z4i-?$;56#-!Whc=Y@TH_@~uuXDLD|@R3XWq8D}fmrwpDf(uD8gFZ;k54Bu4WtP1xA zQDNHk3D-4deX#q%;`>(Ce+GpNnrAj9t@*@D$u+x^6Pmd?NvZ)!=ri^BR?}DB99sOG@r3! zNo#C^^G^jn6zl3&bh_G{{vsucYcRpWGOFyw7F7JzWbBBK8)+a}+P9haW6mcnj4}NP zYbLQ3u|tNeVaz?Z9MLK#-6a~C23r>?v)-}}&1wFX?xNHz-5l;LrzL|$bI7@!_}6NE z4IsS5qEt8b7f@{;g@0-%837oZ1y94dSlp_4(jgVznJY=;w4FA?(a>6rUJL#OFU-iy zpKOHE$Cc<76=>9OzK%H;+oNIowxRi9_D~*Y67T>lu7=h^q7VHB^-Dqn@%or15$qYD z7{@j1FXoqpT!)g^pCTuh;FX+sX6wHYw^TUFT(7&Rbw;2vqnUnwY7Vf5{D|M%)lSev zgDRmzfiSguA^k5>Ox|b1$x=aOJan}uC^a-@pDf;k#iMGL@ClKfO6}ERR>$zLY&+@A zH50D#R%d01VXxpba4%UDJHGNXTIMlXbd)v)ohB94I2v)65a9|zbRT}AEhjsH2t60$ z8Ze-9g$mU%?%HKo<_;bBwAbcFw8uEx6;MEjb5jb!7elLL z$8n8+3>ok%%pTbNT}Ov=RRVDhf}?E5aZz**8>)jgiwO4&;Z7peV}^*L zS^I|V41iluT3GZ$sK`_kPFS}Uh%*{#$eI0h$;?fF!I10f;cxBbBog#e&Uq@)+(B>g@GMmOnYPOSx94{D3Kb5nw9u@riBf5`f*C1i_xKG zL3E3=f7PC>kb31#zvE~%ipWvLi(&DWSh((p^PQ2d`Y|_4cH5>}6nPog{TkEAkBnr- z#h_!%=S}u;DHkL!5CvzBRv~V&@ucJ@?eUjGijYtxh|uS}9CzVfdhL*?Yee!$)&>v8vb_i*M7i@|8%u z{UBdE18AB(BtjDJuZbXYJAwssQf;+?4)UG+GGH?mzM?f4L8p>C_ zJbGiK&!fa~IPQtSK&G0EwM2W?haC3lxg6LM-fRuo@+4Hc`#a`kS)(gqh5sHI`w}Tg zREfMPlw@KH0A<%bCDevMLo|!M*u*$ep#*{MTj4L%5#B?>1YA*L-ZqzlSbE!;(c|f* zFex?g1Sw@USLX7`{ReaJdHzmfvfAUTIY2|}V_wlAiTq@>>(OGV)bsdI67-T60o5v$ zMNjSkRBGCj!j&7^S*=qHCevS}S>Z-o&B@_Hv?HQc0gk%BnYBBRsQmp#wWrnzp zkdIMi!EPbeH?|6~T*+PG1p1=(jJKdZT*2%a8A&J;3)OK>xFK*Y={;L*wg{4Sp{nyv zAT7ORm@K(7T6A{0KLP=sa>3{|tLL7bZSV5kVLP;N&sb5Mc-u7LQ|MahtQn;Su|rzW zRGI!F_@&XuE@aF4@R>cggR)Q7CL8iAZsTSOFqe5a73e0S(reh%ywQ$zW7+Z=js0*t z-rBFr2$UX_1yI!9O=b0`-re$gsj<+`9@)4YczN|UV-aY@aHhGzt5Uu;X;so=txV!9 zta<)AYRMMThRH5T$|qn>rfU19rFW7pyf|I{GC4NwFYAlLeOfhs@1>}VIMtN779>i% zNbnnJ^}UPxe{&~)-wn;*KHcMPbpvW1W)@T(Bq9$v#bUlu`83Dvhkn)JcP3VQXm-eF zXtJEo?eE)kah8|3>?ZfgjGFU6Ze^*h?B6t!*Vd@PZGJKMPkmM9jPT7Y+!G3S^bT}x znEt+ZanM+XMaj>)CB=6TlF$}{F2<12liFwZ(;tn`Iq`-4!>WjOCBgim{hHS{!6sg& zGm_RyHf0c!hVXjxD)~K@yA7f1GYcop#E=A1;_szRKd#1@;{-9Nn9&CZ1%ej!0*t{ zR;q%@yQbIzGXmFy{?NA!X>W6X5{e{==HLcWwUmpa?QNb>pRlCFtk!Poor{R^LzvKe zJjeIrUBR5g7d@3^qA_HpOqsEwIigCF`V3sWk0;@J4jv9ZejfKPO>!4i^s(2WT|n0< zq|7e!coo8C&-f)z%ALWgP3x_CbO=Z-UaTLT5qx zQ!{m0x$+;jRorc$i0=bkv2JDZ@YA)*3Tf5s@bP1*y=V_~am?9URTMhdWImi1uCP?x z$*2s>PyomQU85e2^;(cJ5xV+E(v4`MYUKYL$Z3wtT#%TiWKE0jQ+1_BE4O1st7LfN zMZ2_bL=Bs?t4|9gJ2+W)M#ZIX5W0g3?V|VBhEIVuF(ySitSNBZD;4 zGIxHd{SY`8c@qs>l+G#WE$^n#Bh(uLgz>dTQv+x?b z_zR?2^Sfv%DogiwmW zMRrB%K<$7CfEf5^CHWBo(IDwilOR@Ku3GaVVyR}Jw?H3wk&(N}$*EB>mt=1=#~zLg zk+c?{^fm2#F;dOxP3z^8dAI!RR&iV+xac6*53s-hTYVb&Ji_s#5zyBcb(`SiYSlC= z30M~FctsWkRGBKD*qc^1rh@VC3x~>|4c-L2`mki90z6qMvYT0|k7hmf<^-ja7IX1r z)`%6!2_U7Lqjs0_NwshA>p72CI7Rmk(kY)F1Uj_Y* zgP$=q7-Qm-`#S(~YWXsVJ!LtUxK@4_O!N%Ucq$?&2^AW&*{(_^UVlQ9vfuwGGM-|c z{qIOon65eKST{=#@vqWQj^0OWAlR#Fi}#~;#M4jpp_f6W3ZYzj8J;lG*u{ANNCg}N zJSPQ9-+s}Bu3UG{r3a~+7zB?9@p7GIaU*YisqV9g5f&Ra4VPT}d#jCVpBfuu>!L`{ zQ$r(tJNxwKIL4;vN?OHQ2aq#*QT+2nVnKR!`)u-B$W{vOfg~O6%UU>v$YjyiKW#{Y z`2zw}g6Vlu9HKG&*pTVnLRJrGFA9L)hd0mQAKAUv=r4ZOi_$NTDH_Wx7oOpcAti@! zp=S_L6K(s0;b2(FUS_T}$0u^K=`AC)$NTmJD>yKfgLFb7YC}_nL2099wf=?T01E!e zRIu8tt-ss%d=XZL;I|)e5SyoI`@8VORP`Y`hyjj$+|VOKNnv=;;!G+-skCGSe|ejs zw*br(Gw0fpN7{j74!S|-%8^4)$Xbpl#6G-DQRso)S)9c527%az)ny6F++rpD=IYs~ zb1rvo)>|V5(3A>u3I=aaoTYslZwb;5$S?mqP1ptvbX3S0%B>(nb2qN&ck_J;wty+2 z`%He+$?YOsgy;`_2zNJ+{vIZ`C?61zCu^~SV+Xik$f_KK%jX0>h8ie4Fbr1<%E_9x z6Egy23+`%ODN}YS0fyOhT*{Fg2aw4=*1+7WdWoDkbyJa!nh6OqrY)jcT*?X(d9P)b z5qfWH=gnVY)j-9!e0YTv4rj)n;~YDIwI}CzgF?QUeH9h(qg_axK+-Kc11R$FmOynV z0k`mf&@SZW#E!O71bjX)3_uzMk3+DhGd3S)zqP@rGCURRZ_Jy^P@Z(;iiAVuspb(m zK2aek1&msoV=bK}Jguq{isKTk@q$vVnT;SBMIf`8o&g2@e%JE z$0|<4=JVN&z}!kKx-RVx09{4OcJgI2elkwSuTrL54P3>ur@8NOOWxzvt`6&)LPbC3?>`yF!5s0A6mO5i7qE@bP!r!aGg(*2+3nlzw!gs-Ah z4oscWh8_e^vRCV-w3*4rtzS|Se0NMyP_wTYNSh)zuSGC1szKB5DQta@x+fvA$=a4~*?tMH5pIKcXL%;hYDV zaN{>a|Kha!q_6O9cgC;Hcu_nojWp8<>g*R|qsZ`H-*MAAx_H*WTUN6x@DZTt5HKRF*3AuMCoZf*21Bpab zBw;Eh#(7M;o$T0(7$XN>M?~xnc#%#&dho``8i}O%0|O8D5w(jFqM<86&y=|1?Gi^@ zG7*5aJ8#j!R;Q)61nUQoa`SSra{Rmu=J}iVghrQvc9y#}QNoF#o3Es?3zk=bInXO8 zTNGUQvJrkWXBzY^_ilETHZP{CLPnZBi16)~7^v&^d%3KVmI&1$Ep)lE z4{xG46T4`(qeYAsm(4}gR3Ks);83ofNtgqq|H6&$yV@xnXxtujTacD{eNW_mM^(E! z;3c3?d?m(ej6D&M+Ji&D8pnrKQeW7AXG%j?Ch{|MHWM2|a`NUQaW%c@97AsPkvnhl zR$qB^*&2EhL?e>wjqYW=lp=P`w9Yu#y)zB+&-nS1Ul`%5XDzEwU|Rd2dNnot7J{sw z1!YydP1b8W8o)W+RoGr=w^@JSyxBR%T*wpxOOQuK6~aBm8vrdDPm8iD^8J7?_Btr5M{iHOx_FA}P_X#&P3jlKt-*nv{yMrP zX?-WmgbPCnwX>?4ZgX!s0kSh*s00X9`At-Gth{roz$n&q@oQYcygEhrJClz@DRe@{ z>KR@#EF~pbrCtn+ikdd(y$w1zaA!x2qMsSM@x4?!p71<9z2t`c4dT@!c_co6my&4{ zq>Llxw(^ceF+dTVUcF@A9*RW~>7n9`cLo!$&V4e>$w7?|^=~?YQt!A}%MW9Lnwz?E z_;3mbR)?{Yp(^B)-XyzYi8Rqri1W(km|@yf9K+^WcyI*a5(JXcFs}Pv^Bg2Ivn-C8cO_DHzjQ zeW;W+cNjKc)pN~pi+3<6)#=qK2TLE{VJxW>rS}L7nkwVnmZB6KiE9kkg#8m*O)!3#kFU33N!9cox7YFD;!hssE%73m zXO?m>@}zsz#S}^)ue~rgJ{?hUHOd}tT1cC@KuCj2t&U?5YQ<)gn%>}@9nw4#R>l)! z_mO~l73dl8IE!kFcLR8t_aOijsU_R2)SFX5;@0G#PuOY^sr^EX;AZ6HO-Ujiu!KStCjE=XzQlBhV8@_ZbDOE)gq*>%C>DXmTL7&Dt~ z4ntDsk&a0Z>FW6erC?hzKFcpHM!w!6@XTj)FaBYdFPWW;H*qDF^%Crqe}SuVdsJ3U4C-?7AjcMAh+Pc-15{c zn!oC&>B`RVvlp$Ezwat51YJ8DQmnW2)tZ8B#EIvVR;2=%cMw@N zOLT2BbX+KvpOM`=R@{k=w?>kO|Lc>@3NUnGP#7sX--5x=FDeE=z6C<6Jt?E?`Ou&b zI%}Ko`6S5YI?6H~8tu1^&6L?>*quPi@Ei2C?aAQM>dCL$NHid!dl>Y*`KzCYZJ;2dGfypXs!Ry($TEy1liHxxuf z`$t1AM4ee=f>&AaqhH3Jga~APB!1doN5wt%P4&o6&2k5^)rk$>(T5m#@RgqKBj+AM z2UDWp&y5_oec?nIx!t9!=B^HK5z6~eh^e8XedOpr#muU-X@S2*{pLX_^fHGTKEW%h zWqM;|^c3Q|{06jhN5+U^XXyF3l)5p7*rJF4zyiJj3xNidh`kS4j|85$jn~+tcD);X zbsr_#frslQcK*GPJE{Pv3d_^xL=RiS7-#ZZlM zm2CZT4z-1>^3}5_BiikEN+T?cb%CGfOiJ&g4fcuI)7YtKsa6jj?yGv&VOT{+DI$E! z{T~m~*kSkN+Fkj3v%R3Ak&@>$`4;JUZ_OC^9&E3(O}qg5SB;kP9l`a$``~w1-SwUe zyfXF#Y-+xm>0F?NNzTuY$0tr7oS~fAYr@it(X-&LBjK#!5j+0!diia@=Gb$r9`Cu( zJ9LLaRNb&otf$Bx`R*l*N_gI}cCqE@%pyXq=^6Kh+Foe;?40$BkSEz* zhPN`77tHP)skx9wu~$~ne*EKuYfC&%6+`}b3ju?$l1U%J#3t!Y3UpPPif_QU4;Scr z-zr7Nx07kMa4>|{&S4)FJc5Ka^hQ*3^C3e4uZq&NigHFZ#GEsSeSBA^yUe3TsY=5d zLDdxaa3vz%Y@|=?^#1vGyy4qye50GzEby{fo!c&20MxYAhQS=Whgw`CPvQmN-l=Z|Bl7%9Bt?o zO`Pmp9F0tzczEbV-JQjioDG~!e#YWT90dQ6B}G2IA46N`pO?f~2pIoW98;thV`L{_ zWMpLc@A)4KAt5_=t)C+X0ya)YIu2F>W_C_GHVz#EdRYT!M~i>w=olGT82-s>ejao` zx*0a+f1@7@F$-&F6GwV6>mSD=CPsF~CiF5Uwr0-eKi6XT|20&)D2)w{P3Lq}^9R_Nq`jPggthtaS-7 z9yrkpt(M3FnpZ1(tFOy*;_}S?^oFPnmAKKv;bW$n8$nor?+!oL;bds!Q}3#-E`PrA zc4zy$$oJ0U_3k(OVRnST+gqt0Owh-N%kJN_gI0~{bnm+XQVX$Fj#FPLoliAktV<`` zmG9G)vPO%Q$gt0mkm%k*!tX|e@srj=N!VQPc9Kc0CFE22;+iiC*mLgiJ9wmGp0a7% ztJ7&D#S2N*vt^`HU$^WHOk@W-tm8H7Y6zQi%@Sx6QR6O7t)r%Z zaC0n{S>Qdl+N-S(Sl3rL41WbT3IBwUu!NXkRYarw#lG2oO#;uv_x!|myihr385>Y; ze&Vb10A@OL4JD=0h9E!G&5X54R1UNi8#pxOAW#!}+z1Y8YMY15snpOu7?xk)94;d+ z+N5;jNw9>$TMN@+?|n5ptq;vDRCDXJ#&kY+S~zp1ji+=zs+lp`o(w7t4?00V4bJo5 z8aa26?@YBd%3A1iOG9L+N*OX^aZi1#)Sw8e{}7z9Dtdf|EB<*jGXQX@;J+mQ`V>U{ zn_&2hxV&u7o4EIAj=`gi`iO+6jC*gdhR@HQ#gC$cNgc|l&^_o_oQfSNfGpWVO zhs>MRFV$JwS}?z}=w6gXg|x4Aa%n|){NDwE)lE{K2eOCkQ(K+hoZ5W|}s$0D1deaN+qn#K4Bz>((gEZ3Z{2{_Cd0f77ygLoTRu*TX>q~=aL zyV>|%JBi0V_9`MpUZrKZ{~c9`MVK*G>l!TAt)m}70Z0Lso_ z&OIG*Q+<$6Y&3D8)rU4Olvtv{tlK#pWA|K;eLF#KBQU54hxrROh!{}5(8buZds*kl zmd9D|Ard`VGeK|kL`k~xAZeJj&}6T99Uq;VIybyShyMyVhngSJGdKwe z0+DiXP%-KSJ;yDyG!UK``UpQBC9^5S8Ghe1YyPuB{+3U0rggDFat7>a{&`^xUwaLl zzW^^VpA%$G}BQ<)83vaTZ6MiS>~Y)X-Tf^?KKr>eFU z^Qqkm)KrpcY&RD^+dR}&dE0(T7Ly#TRIQ4u=_0eYLMdfi@f<8Zi+s^Bzj@YLB==-0 z(!7dhk(>k%&`pc1gxnh&azab0lR{5BUF9r-vkqpD`TNj9ZYN>bpZLznFzz|=ADu`! zzLhG!^Rdc2TfXqKo{J=DWxS7d>+W5FY)m`rFG%EhtY)H1X!u|YtD>2wy~e(w6+)RVu>j=B6T$(ihf=3-6;0iNmWKBL@(Cs;s11x~^;+9!tTyJ@ArpdF+uE4>fL?Ii~| zXC0iLtJCLs|H)eSkUTg4ldr(8>3r;L=PgF zDe=o&=p$W-BB{@JE@Qa+$f-*PSR=t4z66vT)T)0fNHjA!OM`}q*q7Ol0f9|mYIC8? zc$hcpN3f)K4b!-qRW8F5O=SOZ8SY5R{=ZW-*!h zjB2$gZvB!8CS^K75QWtVMp3rMZ63HR?`eW##q-=Fv}s(X*$9=YWnb){Ixh)UMo6^8 zl${NR)j=24@|(%1MAH_zL29>LJn~VaEqP>8t7dMn?0`^Hu`=Q(i7!0{SF5g>_4s+Z zf>FB6qiknzDAg1Ql57G)yH}`YC2&%!cEMn0T-0RuQqT!1wp6+8DCpTs_a&ID$Et|K zJ>uJ*Ah&UlCjFk9aujQHHd9=QFsK%#W@MMH^l}aD7QkyZA($V9K<(jq*v(B2ESH=lJXY>2Xz*O^?U5X?vxD5-9F_a6&j>N2kxbIDOlNg7=fExsH4n<_crm%{(XOI^!2==@pBrU}#dd_pt z#EEU(ZTQoCe0xEjKGt3E40lFIi)T*-H;D)Ei0)G9f7J zioW51Q);F#cor=sBSjfcVN|+my55zW<42dV4g`& zq(~6-44OZt0nEmy+xb_$cOgGauL>#fB5dW)J}%QOZ%MS{{ohVTSWlW6bALl>o_?c) z2r=Cgqx%=w82@zwOIxjO{ilJOWE& z%Fh_8wHE%R_7#WZJPK-ub^$0PTaiCXS`AYnf@*N<`E>#Hi~kNxgo?YG(jJz9rk=I!H)Q~gNP()F<^vZh-lv1E!&LtxQZ11vdj}v3%hqIgA1=RtKniNm+DUY8-(Nsun7j6b)MHZ6^mBrL#Y; zXCzC;!bq5p`SY==tDHC%VU&Z`t$5bu3X1f+0ze=YR)boseVW8H5672&D2v8X?$YJF z+*`X$$wpF~pvajtDi7K53%q08ZscJ=EL`C-=hYin!7D> z9T03^7Wtfxfm(3kCQ8hf3j4iTRh9Lk;FbXNA|bR)#k5O?T^`#^k*Jkn4Rs})Q^Er{lMF5YbixBjmPxg2b1aTc zfIO^FJ4;V|t?F4C$$-2-2l8sa!eze1gk8BpqlD!#iaGqNRjo>SINzha3eH7R<@S{3 zMyIehsYC+~lNL@s=bPfV$SH#Drf84>^}&=xbte1^U6uD5zC&29JCtW&v(W)Ws7GBE zFqj*93c#y#-*2@F{m~`W0>dSgMkRWz9=0CE!UamDLTn8azMm73NLAcQS{)vOj66g- z6lZErEb5QRD$Y9S;2iFCq-@7Vg>pzxmu0au2ZeHjRkFn;%Z!jQl3{uDDUui$>(zim zS}6|E6LoH9!{QMv8mj>iqtVSnl#3r{8o46%?^+W-05L@5im1LxroK-z@;NG3L z)41?>ss}diHHY}}@xW~A6kXYhYU<*1Sal&+Rkqf%wmKc11N1n!+ZEKKhp@}bo$YZW z5zS;fE^nYVRst{UC?ASnBDFwecEmuZyl%(97g!65Aj+W5G_sEkDe z3XE*30JDy3jpjc^oY@QyQgEh&PNCcNX_ZL+z?DkdFdx+4F)cSyDd`RYEU6<| zi5$`*{^<-A(cG=GNWs7n$T+u-$6`0`}oGfC#x23>g&5Pi!XLh#An2! zIaxSsi4`rEE&XE>%P(woWtp~>f$o0NiWe=nlg9`0sINnF`KBc^E&Av=uO2xqF`ZuD zNV;L8?scmzM91~PD*d=~8j_R|y!#7)IU7!M$E5 zB{5a6CJV(ob@--6wQW3T$SGc_W`dTFhe@(WN}H^6_=59LiV)MgOoO;`pE0V45CpW) zcAt4fT3r!kQ^fO(+9diHo!##%%M4Yp$bOE%UpXf!J`EY@4hWKvx+^h-?!?! z^sL9kHBAbr7>4xDkq4c7E*+8`F?_EnC>DRG!|38n&Uc=&1mT4xB<_ENsMKy+@N-eX z@4Ez8IE((O=$=-SQ97ZbEv3q5rDa$v+^S!_ww>ZxG;pv&qxp79V<+SiA$SceaQZ)=T5mrXmXeHEsCK_+QVkF6d16gko1j#ngLNU-UEZ6IBH>{zC zN$rUa8U^)d#{uqk-N^&BE`-AuFN+IqZ9xtU3)7!)*Ng3pn~>=!dbV79hO$;kY@fN_ zrG6(-tq?qcU4zu+Z-_LnXUKX;^1pkz+*r`vkT^&?G&A%j&UqZizC>?13JfG1!Q5=w zAxYMTyZ~yfSDMFGc9unx=HoVNrP6^)(UsmQa$K_l+2etJAV;J=j{l;Ws~_~A725Ng zrvv}x5^EB+s{lA!uj|V}c-7RntgDSl4gZqySBfSzQbl?If-A)RA`J0(fegn#WWN1M zHI@0~Pi>InjvhOYP*QZU9jRcO1$B8HJ`Jtck$xQxS%WLrQwk9(_6W<|h_H_p1mWem z79otPyEY7W6@S|V&x$WXatm+66o>%gQSrF6)NuXZz&&vB8v%4KO71)Y&>{A|3`$EUPG zTM4hahIxS4eVu#8+`^RiF`p8G)DuSYKDFgi>?}~mU4M`>!*Jbgq)gSVk>$sjH+?C0(s-DL0WO6y){O9gIK+EfbnZk&8St$hOK-TzSlRv`9Tw>;HpO{ z1>H>U`QlN6&*x44;X@C=-uFj49t`@%V>&~-s@Vrtb}Cn=4#==xF^790ZpA}64lF#t zp9H?C665wI&$>2G^i&;c1zkacwuuVH1TdFy<1B7}uTixSwTWh~I^(N@)CLwzJI=a$ z9F}B0stf^&dWBvu_y}9RZK-$~Ocl)&MNzse42rPA5$ZPPhQXl7Bm#?~slY54LdC(E z)N<^9jrqcbTZUp;ZdCXPvPP)}Ia^6uI(xn&?IqFZzd}gGynb}Hljj$XZ<|H6rw>>C z@m_eqyK~GofI1g&-@Du|O^2H!Ve00Ip&?vInxRrt1>fO5pDlFlBgADhEqSr^EMv&W z`>I+gq?6VTZgl|G#uP8~nQgBxOCD(yW$bUr#LLb$?Y>2C&iuKX{aKo9a=@IRc$msN z)b`>>n{TBL*aU@K#wNLqO!ErJ88B7swg+Y9@l zVLqGyl*?5GHB}O!3+z|XuJiJVn1}uDNXvSiJ3h4CNP4RWIDuMxu3FM!tN}p;_cwwa zjw5sB;qT|`e!kGNwIE4;--FkqeO|2eUYAEWU6Jr=xUw)%HD!YkC?71ltkG!nvue&Y zfzu4`hWLg>MNkGjUgc?yGOz?49mgY5b`UzHh`mR~AX?^;maJ(<6&3{fV9$1#&6x=n z6J>mg&+WoyRr39FbN92C_YN8F}KHA0+LUx`j^4d1E#LdsFHO zQ`Tpyqa4ZepcldzyDWH!UEG=x+adJh8its%iu5VYWJuX%W`KwuEoFnD$k^WOJNsxhWByyEc8Hfr})HuM^?noS@%|K#a;m~*0R1Exnh6^YcQ>Yas zOW@fOSFith&hqK)y85L&FqkwsTG)+1Je*FfU$q%d=Vww+*Dw~ma@bC%Iuzv9gk3nA zS`2J&r+U~Bn|Rw1%}Lo~VtOXv*&7(i_Gz z;!U}p1-~xVKROpoEA(MDmKHCh3`xYXNEQfx{aR7L&+Xe+Z@)RSrIiiv8BQ$Jo{BPi z&-!XNC43iXzrmok&jiIBo~@E?BX~HldHC)D&w`FwuJ&zSjDTk9sP&GOg5Tfu{?Sq^ ztD;@-LdKTl)fA#!!95vpQEV`**S_t*x41O(#wVo!gLRB($)yV{veqMj5!<;dn;Yk@ zZI=Q2gTY&dbN|!cT>xv(9Ox8Q8Y?f3CKP|47!D#1Hj`^8IxE)#E$be5KIs_2un_A3fpK>x;Mua^@%R)2+txIZTB zhnn5rd3bYtgs!{n($O}~bBm$m^`L3vSEYL%ncuD_0|{ z=h_ZJ*x7(jJecYQGY*2mfCmbM0GL%iyN`@ORc9^1wG7wj8*vm}p_K2i?+;7_u*fMX zLwm@V9Vs3jCb#~iqZ^ZPz@^QabuV>)qaMxY;=RjEhHEmLQ2OmGpuj8RNA`yw%$uuN zShN{)_4hKf08Z-yUX~%fc;FGdOZ>MX7e+OetSqW58EKa?A%1GyGN-}l0a?K`k;p#0 zqI052uDxP;QTJ`z8m?+lem{nFEZlkO)OY|xd7;vadTcQS+{<7!$tvB$YGW_^wrZy5 z7pdVQs|?5E`|2RrUA?OIPU-sV&Rt{Gxk%d{dC>HK1zX{?B!v8dKTy*1+x3%4a(@ZmD zHwbnrJtaCiBr2UVR(>^XS)uv8cyA%>IUl@WktGDRo-88v%JUlBLEciC>S!!fZP(D83gla~m6ozMu8YC9joF>%qvY@t4 zI*T@y=i(V=`?_rw@5H`i9)(DxCEZdnJ_Qdmdk{FjZ)QL1WdGS)$Uh+(@`sOf@sr_C z_V-wS*-5j}H;0A#Woz!|duYrozX?&_(E3(|Gg$lDP14=}tod;a_t85HV3Y+R{u96& zq6pzkoI#{rofWtN+!wd%YwzN+M+R<-HWTAXDl9t0reYf%B_|gpr(2^J+i&5wFmDcD zzDGN)e$x*BLb2&F)9LB??d!KKrzsn)4L93wUpr+Kk34MJz_&Kq`uZyNvQW5@%IazM zxdQZkI&9j>c=^XSkRI)?zQr_Gb+KRWZMB2@bUEG9Gu3UQOgCJN3BBca7 z)R`1PF1f&e#;xAiS=r0y>fq8I7x;1PS_wz%&7r;4f2|S|LrJQWPE%zxh2`k9G|kpr z<#BnO5nzZG!cvw(9j2Knw_{~<^S%oN6aTyxu-9fHrMDTpLqy9Hkciw_OFhA>jV)cP z&Acs4l%(VNopO>-t%1$U%Z+W$;)n52bXD(zc2kQ-HvI|u++n}P`L@7Li1BGOWEjwF zYM@WQj<}br)sBY4{gzkBCcGH#+v|QmG_)A>%EzlkQq=|(e9Gxv8{EnG#uh4d^74kS zY3G5*BtNnMO;;c{+w*iq1;xn?H1|HFf92)&xZkg3+7O{_t47dv;oZ{_b|AhQV<-Vz z&BEB?vx8L%l7?h$t~y5|!IwWl*8e0Se%t4?{zEqbTzoOfJYuGwOFnB~)mz%Yl{xMN zSJTE_?`uoKIj2uYXt-T}G>~`F*@7bj7n^oOf4|Q<*Cwm9MGGcJr{7xbXGXs73s06Z zu*;X5t6+4_)D75=P2F5`Lw9}6fiEQPmO6WQ**dr@<=W$@-#0F11U&Db+1;aKY^JkM zeK8$e{a$>oFArw0KX>){ydBL3ihcCh!1f`t*X>lnt;Z>*FfIh)MJQufu%l}LylUV2 zpjU4Mr1Zs?KvbyUWTVMAed6HGsk3`w=k|;?dPx(H=ktgYJPjHQWwULuc_8%C6Uc!F zeNvxf*eB?elKcd6EqI6HXW>6|yeU3Z_)3)UM7FWKxCU!kMkONa6!A{Frw z2DGDs5!VzN6f(t-yI%dXmJKD5yOe;`B17LQTuXf;@Lk-=nVNaJJ1&@Cf-Oi zH`6)jDxU5d75jvX4sQXe6i`|f(q9n%iLIDYk~Y~)@trZ`IIt?oC;TS-;U=iw>{8c9`C)G*W?ZmqbSLn%IuzI=?~wHL{yQKv3VQ zD5=kuu6rzc5=EoIKvu63XDt-zbQ#2CF`A^rjgv*ed?s4zIIWGkT{jO$W7Ij*B(TAB z*jSu;wHA__^K2PaJswAcETe#za5f$%<71QQFe$RvBXYs@zK7M%g?V!V&OpmvCYEr|tFq3KqcIT5{{Qll`J8e^NA0@Hr8q+ET_epuVD^?Y)){!Kl5eywL- zkHBz~)J*IagU}>u9^2XjpE`TDRrq0OXvLRC`D1S=BASf6R{e;gk(GraQzB_PA*4(- zg~XggsTs*qA%zD23oW`CavCLzW`RH>Q3&o4!$(Cnkgl}Ix3-#(a)BEPf5 zB!q@M&EwwJXBrh)XU|l>STbUxn(qufiop!MShB+J{B5Fx857e~JzurJD(Vn@64zg? zM%W>c*iT_t*;43B_LhnDQAm#Egblb6I1uF_4T{=oxHNfGi&esCB6qg}&h=5SBsBif z)P*5DL?uBI0Ob%1n%eqlw2-mzhNQ_pVZ_r8MiDoi$?~WWYV*WV__OUD;M5$BesumC z1GaC_;o~!xw*8z^27v<+Q-{oL~VYf%dxtLqx@6`C-dANJ~t+97W^f)2J?1h zq)<(TE*4tcoQmMm4nn-N(1*A%q{6^&xw(Gt$+;;% zl!oTzRq)G_kpzBml$SU{5z_(^0<#tl0%`@OPITk{B1`&-BpDNS5}89sPiE?9*9qHx zJd5_|fy~wU;{EtPTmNn@|1FOP4JdKKLkdUMb#VHWZumUrO}TX4uB&ohsF49I^a~=MI$6z-tahs?DQ^wvfjf? zYD#}9UP^e>Ct&+D48H)FDJOq$y02+5-u$31P;d8BaRFrBDX)2P-q+|Tk6Uo5qjWpW zuRE9Uk05FSUwnEeKHQfIfX#bHI~p=`ch)RBXs zydWP8kLS5E)hi?>@C~0}GDsP3zSOt(mFu9IaP*Jk$WoJ{A5MShfaHT^6x*hw=c-!l z(=uArXxr8_lR4S6pgb!sG*A#QJEFT`rJNL)k^3T>cpNX7;zC}U0J7#Lh@gFkVry2PiFV4&-xL<|l@{1DT}hnn1b72JRwZ@7$rzs8#{TAcX{g2(NrW_SR(( z1D<6QwDi&KhMsY)qw8n@z%t4ZAd&ZO;#;(dl zjBdPFF-V@9PCQ~~0DMD!jiHS<$Hqm^7u7n1t!9sd1V(x_iN4N<`5spNYr#G7<4Y~L zM=(O*q)CeR9ACuz&272A4BR18^?N0KBP^y07v)pgu|PGB&yi13IF=)A0*C&Qb7_S7 zVN(z(8QTFi91Rs~N*Hn)z*|j7M|w5|_2iozIn}r(PuZwLf32k?0CSdkJibHw4azv8 z<(Iqs^e)T$Cj<|4%8!R&MBz=Kc#3-748yFzOxjkZ zbpvU~0qpOW37T88+r`^DR8g8IBcpkJx31y$${T4WNB^agn8r-rCGsj6v*x^hs{utzUT z{EusPf4N4GSt4A->pM*iLq)zFBA-wOmk``*Qz)dK*To4Rt(B|h_Bw4DU*5=qr090O zd6R9_6#PkWdq47=ZHcemPKY%;ew4pP8^rM;VlK_R!yMTN>6NAk*4-);mmi&5a)h5& z58V+kdlZy)-(Cw8y;H|(++`*C4TmsrAtLT(a34n5F~`UTnh1>m-81`nm_i`k>4pvz z&ydOzgWDkl$YBS$K*h1!p5t@^LOC^mg)CJ6K(3-G(Y%h~@YfzVJVKWPQDi;hy4A_f z$<*jM``Tdk4?8F-4SotGFi3}8x9r3t6kox|d|@exlo<_oO4D<5R7J_lR`lb<-2+1e zG4ibdH3mo2M_4Q&z8-L3Kz-Fc<(W$`U(pBk2*T>I4h+0{sZ->#W(Jd30Hf1vPgyTE zH(-LTA4)c@kv?n^WYoja4fj>8V#(S0zM5>R3cIFPl5Vr>PD6D~H<<{nz$LMJ-N(dc zYzBzY6&!RDJ1)ZPX5FHis*YV|rf2Tix(%x{*ylL%t68=*F1}jTD^@f@3)=(5At{H4 zDJHCnMou$0j4TLEJ}3QR0dNbFiNVg$!a$Z|Ux?)<<9El@pPDDdYZq~UF~`gn`N)Ey zp#2_ERbx`&XH(V3D|GVrk7XIb(~;qrByu&h?b-C#kkS5Vy5*aD1<2hgX0NTz*ru5Z^Fa+Y9o zk{HuHz5z0TQ3y7odLfr8AErfD2=wF34`~g?e1+Lk)5;N{Ta6wufiMMr?KCQuo9(^w zAMz=FZ7@)D#6p5+Svtf#Bmowz1w(^h8@F6oUWrh8KQ@foVWXs|{Fh!W{y$ye%uaRY z3WE$OC|!Xm))>|@5E_9RYR@R9SYObBnEzl^AHP6ag?D4BmxugXBT%c@qcV7Q(nqX} zl?-(+ylq;FP@V*x09_H=2?3aY0=1G75-V7vZr1p9kw%%DuDX2?)cyeRNLiHYcEAef zJ8U32$g@y+_zFUQ%RKwISBI$XeH}<~H&h+4!sWJR2gwI?9Wr&9&fx6S6B69++PYc+| zczIBY)D?KwVoe`Odgd-E7(RtuVP`4SQ&a@NW-vg=D)-CsRgrpy;~+0sV&KY$ySIJn zFW)N@?AI~;{;P3;Eu>P;=r_`*=K?!{Vg}1Ph86V%PoPsPV@>Cz)AZ_BB38`z3Rhvi zBKuJU^WLOX!}+MJ=l9Cf#0Z+}ABciz%wxW6VINPwk+pcRHR@*&*gEMc$-QqZB`U)7sJ}!zlvMH{rG=$$AfL$zz3uXLGesmS#jTacGkREnve>*y z&!N}sqBzKl9$hE}*_>|21hKzzWNj`xb~PLwzI7hMLhFVLFBs!lPa$f_-_wYAwI3~e z26l0616;)En3C7EHl~~7avvo8s^Q+hF=V27jLIuwpN6mg?NsvzJDX>Chx~}*16b$; zB&z_B``nJdKucY0w*oQCdYlBBLpT_t|GM|Qm7@p7q1iMW<+?tW8Ma5Boqn1pnN!f6 zBxQ(2gU3guFQ}O%4qw?|v+6;~D6=@eu#1}jyUub@Uykp9G%EC~3g7Y7Fg83gC=UpLS9&|Vb) za%PIm0fEKUN`Y0qofn~@eIj&=S`9liL<%W;un%Nj79l-TLhsw0-LiWQ+=Nbfg$g))!AmwPY{_%RdK9Uivr^fMXF1p#GFS-N#nD`o2wNdk&t2y zQ>*5y!fJEb!r!lzh!-cG!xY^_$P76EqRkfXkyxwQmyOQmf!__+8q*Y?hMzHqx~PDe z5>UZ{SHcl4FsG!(4Y&&_-cgISIROtn!jn!7{O*DfI>mOy;WwBkrL+s?A$wKv*($8? z6&d82FJyR50YTt%E3ug?I~JH{KtpNm8mLhD8`%6!Zy2{M9h{W`HtNRn9?k0n$b3$} ztgpYtcSO8~I_&#bw}FeN$PH#Z?RcZh0V@MToi?IE{_$zwyi23^2X$~>gWf8*6dT_& zwZQCF8zjtSS$MJmCe{=*v*D}~K3*vndOpOjrnRB0hNYvZe}xbLg<+Pj=n6%Pk&uI~ z#N*-KxGiu<7pLEUNjw2B;eWefr;Lc<3tLZsVLtXz!L{CmpbZk{tp$uxf64dQ&hh`! zg-zUbKm09r5abX=s|9B^&u3}-`(ykkTvlDIPj5Y&o{vx-_1|&loMlrDsr?qUUQb7$ zI}Q)YwW-X2+)_o>?^wF}L`{ZAw+N>|!+lBP4}lyc3v6j>ReduDh&rjr)YQV$RXInQ zaIb`2CM221AH|wJX!p%Vv2Ke%Z^WD{k*zALo~+eCBUybU<_Q_l@UN0;nEZs=jE?n5 zFK?$_-I2GNW2FhmVh#B#Q(cOlBa8!hp;uJ$UhV+m3 zk2mS)_eR2Djty`1bmID@6JNsTOzjf4DWF)JO%}09H0b)t)dno1Oxjr^eZREm8|piE~~bL}XX1by(EsvPGf?3>Gx)#}?1a#9h%aV$4h z_r-ZwSv$Ef_x8s))BWfG#Z;TG60np|Q(WQQs=<_OcntSWGicf3y&~Pv{@6IWo!S`$<;btZm4_{iu-h~5Z#^vZ+Y$nmM< z%;d!EGVI3tSp+P%Q2E6f;nqVxCLE77giWyecuADSH(+!m53P+I;Tc#(Q_$ZZS5FtE z6xQaGJ>C-h!JB$!b=I(fX1yt!IV|2YctZMl*ti(Gg(Qn`t?=t9Z=4abJ z^#8K$^FM}liCO8H7}2Vj8NNqQ(KFH0iW-@jm^$LKu+a+GSlZYt*yjkj8rcijSlQZG8(BNzv(buKzXxR5+WZobg>S59>0m^wAf@ME@m?A$!~5Oe z!k+-008LAxs|bk!OMAn`?HsCF`%s`0N~GH|8nEM?{@ufVT;)7 zx&0ED=4kZ)#jO1jh4vpc!_RRu{x(pp*Y6jg{W%W`Iy(SLzqG-xuS-l4$Df1zB_!@Y z2FXar^mlF_bN`JiW?=p; zz~AtBX$gJvb8P>bR_=d^?Z3bt{rgGluuu+;_C|VEuu!g<2D<2=`MSuFf&c)(&p!wC zhcVIrI(YuYgt7hdz{bBDJO6it{aXBg+~58^w*O}cWBXHThv}aKBI94+G1>k|>@fVs z2+w+d)58FIx&c3c{L~zOy}^G>*}q5kU!aWPHy-lueav5uZW#~&wBqNu{-loihhO|l zSod#4&f0!zjH)?dLe-QkHiei@6_i%G5-67fZtFK2Ua@x(?hr{~Y3%&N17qYhmFU*V7Tl=7YA zpJqkhk9RI$Oc+G+KwxqQgB40n=}kIqLXl+X_72|{sN3|Iag+CkQ-H?>kC@lJ!+_xf(&6E^r<(^KkBgb% z@`bmn&HOia3rf_xML0z|`|an2#+gZP8u(n!AP1O}n}_SSmavo6Py_U%WZbVuI#tm$ zhl5KY(^;EPTAhJaS>pnoPeu@KfwF5)GOLeT$1botW(f@qv;5lK{ZBGr2PwClT{??X z9GgB2af&={Z>wCGJDJ1nJ2Rk;W~18WKCF%;!w!+~x$Tc!G_6@0-VhrL#R?QB*m#DA z4>u32G1-wBDYUpp85elGqpZb8G1-Y3HgC`OV%=`HkAw0?@t#&~=8{J@Jsq6fYEi>H zs{Q-6PV*GJT;N+R#VQ1_5>i@iU787XwpGP%5Di($FV7 z4Ep>A^H>p*Fqhe@WGXXJ$>{}XFb?jr3Iul})Y_&F9;j9UT?CYz4oyg?9Vv(_6EJ zQPzX6TGSG9^LDxA-7n2=E>4=A*>i<x6f4TWqdFG7Kt-$Gum2dHRT+@*(pHG;&Kv zE0mw@$P;ibV$CMo%g!rJOIf@l@cedxo;s#-0y?$Jt@TDJ@l*yhaKmD> zyWO;D`_bSv^Obf2(3^4TrmD5Jmd>rBI%gI458O=#iptM>cIR3i7tXgNT73l?wY$n; z22Gx<&bVbBfC`%BP~H7a7VS!DLY?*SgPbtrEYk&#(kPqQPb_v*6=>$MQ^=h1XrOoX zR%_~0^i*E3(h?i;cr|n+THVBXn@W6qiUSW;0Q`3dwtLB zs+OBkD+zaE*83FBckE25t_3g>Bqo~t(mf{O1F1o^_1fpv4dH8ROu*nT6DnbMH7kx4 zCueipGciO)$!T<>t4`-Rj;g31Qw^E3HM)r&lZLyW<-vy`RCdT7BUND#j!cePT-W<9 zt?kM4>td{W*&(9H+dHyzH0|Y3&>j$dv{nPVg~vd1sW=a?OvYsrL{}2ootMiLas8&G8LOalxLw z{ljBBZ$wbLD4TOUlIY(g+sya$!+~UAckdU^HktjXB=x zthZII`0e_W7Y$8ZD+lRS+_|3nEim-gAJsniVQRDWsss)h-ou{P2S1qFyGYc$CdCd8 z*JvL^RzVnaGPX5=C|aICbY23vQiS<{f6%`eNfmwpl-3<*Oc$^2x&&F2pzT@|>#EBP z$)3I7t*To(lA=gR`Qb8^ArB1-qqQ&9=>{b|;C{Wq8pCOLG7%1;Da$PghG|qQsV8B0wr^y(EsZpRN+05wmJ{`VaB&d>sy(LBcqp1gH6t9 z-r9JOjbX#bqp#==WE<{yduwOBT78PMZkoHhw*iCVoslPeLWwNgf<{%<#VO_V*P!w5 zZwy+g_$wk5rN|h{m1DYfK^t0XB^Ok_V|~Qbo;7 zgDJJ4Oq9S@DLZV?Bu8e&apA~7IvDZ~P)%4O9)%d$Xs_=FsK(H(A<;S|z<8^z9 zh3MhE1fwPS=p6F4OSX`D&uQy`ARyYO$#t!pm>n zr)u42a}0mGJ5c93#hYUZ$>({uL3z7zX8xnvGj!yoI9}&c(Z>jtw=j&;PU3|Wjo-ZE zHTjY&pOoS%*|_gS;Sr5zz=5a5vxukfqXS=R>h;2)162@L^t#8A2LmsmS!LVDAMp4@ zgTi1B8VOe*jt#NW&x}RLj{!G{Mk6EydYK|>pyZMH)CL|&ccMe80+*`7w29K?e##}^ zzfQfJg>&lNmMfAMD-&h0+FTzvAdY#e#dT2BwOGy@m2HaL?KH=vU|pN=KZHAB_|ZZ< zyzKR}3V#OH>x_Apej@}g`YtTT^^!f8f3TNrp?S-;Z>pqW{o1N5CW@SaX`w+G0$xI- zykK5A5Z|C&oxa^zfl?(j{OUBkz%VH&o+=;F=Q3^Gtzku?T+R|}TVj0Xc}E(rCPg>r zHaVrr8C1};u+Sc#hM$J*gHp;l@L(^k=Gu$pAc|k&7~H2DYGhbz@%giaUR4$(2A=~B zxg0pFDI`6V1OI$-tGO=yOmfP;>Udy_Ee?q}-+J9N)wIKjAhn0{*LCGk7*Id^eLG1@8x&mxf1Bj+a{@JX6bXix$-p2orblpbkp{ASthgW}0`CVh_nMx@z?xgRyK*-34QwDMGD~nEi9Pp~ zZ4@M`NO0hDLlc1#lpw8uHeWd`5;(3U6|`z0&B!oaE+U=QH}@x=;FdjAsHbnI=9Hbz zlb!^soCt-iYQ*| zr!ITW5(;uA(4jjb0#;{+l#tUTGl6OZ;HFQ5FPXp*;usird*rB5lJmMR`Q zfk4Q5jwI?qbS>e5i3~Xapx{8#z}<{vt{?2t?{)4fGE*wnvs`Q(!NAYJ3`yxBW766W zLF_A|sbpN-WLd9pHFl$zZfX0tQEL$rce~;i3mKO{Yv!b5;gZtW9zij~_^pXAgRG(D z$54VMm0%;J>^Lj}qH4WdLo{=rQ=1xO4-i_+(JG3S1+Z8u7MhhDO*=Ci ztuEFw(3K^?t%H@mbzp1KRY1Xfgc>>%Y2+5ON&Aw<0G``))Qa1%kT@o5{GPqgd<{Pf zS~PUkc5D7FkU?tEc5}Wdw!mGDd~^OTM7J-fa9%-h)m%D3DqNX^P6 zjq}(n#q2B+9eLfvTm$0$C_rrGM(#wrvL^7WUgJyrwE9u*o?HDF>Wo#~o8kF8|7dfM z5rFVjTq>usXqed#{6L8q=KNOhU7{+T3sP3_3jXT^~OkAvu+*7>^cZp^v<{2j4rB*yjwV#1jg_y0)v6OO~X6nkVwu4r|q*&M(w=ml6 z(A8M;;28kfb7P_9w2;PF>$>qFn?HZrSLKg&YesfD_3IRZoF*<2l_|)EGEtAWA<5-W zjaNi{T%1N?a>mj?bEjPcc&&1*MoeBN|x$m<<7x1T0-^_{zHA z;5#+Ck{z_Tn~Gi5g-S`Z28@4apTOz@h+pzGh`fU$vxZISK>+jy{fy8gq|_JTqdM{G zNf^^s?@K~drlQFpp2X|H_ET#jzM1)T|JCIMY!X8y2N1!GE;zkJCO*>cMP%ls9a!~p zx<_#5zzrK>clcG&cA~K|Jg$E0Hc0a-fnrtX^)h>avCZ#H0_gFxic{3v+iZ6fM8xLN zIfvY!`;j$)ltAj?rR+?W>e990$qM0gEcnYI)@2`ii6Xl6=UsArb0Q7zejtp?AZkuV z;kP?^08xRt4d;e8=>$Q<3+1m>Aom*>3CV4GE`{Tt;yLbYhVDj_5T@cw!pi%AjGC*- zvWaPk-N7ZO0(kPY@Hv?7kRw^RDQTia1Om`eCqh(U_&c#(pw7clx{}P-nWS_Yv;4p{ zs+Fe#9YBDHuOdw&G9aHS3muBrzr^z3=(C|x(n{P7JZ#48!3!^k%vT_f= zSCoVi1s|HvlWJKE4Ec%|g(I&N87RnuA~1_nNl9cDFeeyeg2Cy?4JDK+JBRs&p_p!3 ztifU}n8BKBm<3K)2E<_ufN2JRaaC1cJHoOrJdg8g+vZoP8 z=$6B#O)JF>?$NnzDCI}7S@LRJ!!=0`kzOkxf)_>>VVDJ)3& z&N0d5)fkiT)!K$+ZD2lT+zF$0)mFz3L1-|BoTn19;+P-y>q|nOV@8(3g^!rBw}5@j zZL|0k+YScBPDGU(uBz+;+hC-@gi=kFE{+5SPW-eiwJ^n1*QTVQl?QKe2$RQ4coue< z%#Uml#d>ZX=Nmoi7%`UD`$=r<^N~DiLY}y@gEDQ&k{7=5dQnLvQIw?{?MPXoUtt+eE(3{AAylg)8Y25C_t;U@>I{l9KxaLc zhZZ0*6)dP=mV0;9wAJ zYH;4+a``Yqx$f&qI_f}Z%ORv5INft%I$I}tN5_tlXP7RD6}LIdiZ4VBz$Wn|*Myq@VNi@PbBM_@8t!9UkU<|BsMQ89mf@yT<%YtdPHAW{+ zqLU)TBYl<3gMtJjQi*0y!G?K~^&IJu%3P`Bt620*MOpKUQS4m|Wt`FL_9bf9S;61d zuUHu6AlOpLk=hUR^APq)!}-5JNa*rNX>||XBzt{GZ@ZEt4WA9{1sr`^PU;`Q1>@eL zmy;Lmh%#?aNtboYYDrQHN@(GPY%tysk22g13EZS5sR8VV#F*QK;0!M)J5AN~fW|tR z9h2s$4$_2vZQOM;NRb4JV7QQ^a;unF3~0mB@JvQ6K_>C&a*R2Z)>Ym%aaX4_q+j}E&hWbIUE5pKtsZZo z6>NC11d-rKITeb&Zsp~8IsAbL_tbl$LosmuszGS8UN}x(Jwt*I!KL&H<}XsKtlUB` ze~cu8D#o84%AWrO!o+()QbBn&IVEneuZoe^dbJ*r`~Az8eQ@|6km$_-Vw6I=(ubR2 ztK=oG%_41aFt?gCwL$&FKAnNARTOh1;VqlbN1ZdS4mWOTD)4%5m+-`zZ|*{tL3#1s z71dlqZr|m7d|V#UqSd-+w|D~rJ$ZxMNA_BrYKBqn2?EQL!seZv! zUOJ^bRl2u#IMDC)mfo{=!!yXwGtYV9rJhdAO|Jd}Wh{e8+>fi?B}TN^e7F4et)$c) zgR>IS=l=ex<3aTBG;FX`$7ORGxW!1WM{m)E;=b8JI`f7ovgCwoY>uJ!Q(#N`n)3fg;#*Vue<4?Y4|tB+xr2XqNUKe$ z!r&I)XBwr(7wkpVB&j7|mN!(ekC9j~#|72cUknxL&07_)E468Al$dvdTyxhoVV!|1 zIpr5#@r|oAAw~$adS(^}*(u@sq9-4PS_uEPvr{l-C4?9eSki_k=4(2PQ zeCXo>2BL5>;`SrLBS_+0YD$~*+sPmDnIlh}Cxy*+v8=>|qX?+OL*+RDx4pmnV!{JO zkCGEKy(etB-Jh1!ct3~EoXw&q*%k{(H)UxoIX8r?R9sd;krrhj=X*x`I#cKAdC z#lDOmA8@HIHtJ)wTg@iAysB9!>0qXYou;aD2{UzsgdHE$6KET;$(*MqQWBKp4+^iT z6*9-!>*^LKI5#yBZ`5F026M~lc2O!)HTX6Ud(r%yCXnGbMiY{4>s1vKMVwLHf8aJo90-q%7`)Mk4q?1xoe?$L zCq(7DfG$71OAQN&iOdj(QE%_D(bF>hY~rp|CqTCNPY{64Q( z)d)c=n)rcruI4sV!PRH8I3gidvcaUxw_l~|2CX3qK0b6oOZw%@wCV~$GXa&KMpH&G zv)j1w0U&(^7eb+N=Jctu_TjSNzH!7?G9nwk(D@M5++yOhfmHm?#5&-))xl0AhVLcD zO<#-yt+8n9vi9PW$cWj|vPP$a(v5|+*{PnPXV-dw3O4jd<{XJ^NBQ|B_=c3+7;ow} zfQbZXWm+-Fl_U9CL_f<061C8yg1|?zqPvHy!f=zk=OC^rU*^aOmHarHKbfweom#6x z)dsxsAlo=^(2vOmF4l|U*NXr{^l@!KHwPs+$JonB^Z*5tE=*48l$*)&@N*uDhm4p% z4xImnI6P)B`Nn9IJx(D%$)IvF1R6c$HR31}UyF63(PA$xg@OsrK(5jk+!p;WldmF-5v@SjpbOMM7*6)lR|pE!US8us zARrRg0FN_&P*((r__Ww)Zlg-~6pmp;k_Atyv0}xBlG3M;A|hohKLYLsL70K6wwfnk z_c1b%_^XcP1tGIu{=GUKNrq*s{tsGw95)mC0ZbPiW2IVQAkG5FFQ^ffLaz%&TFNes z4$fPdDiC-AeG*gSN5>1>A+F_W0#F)AMg9F5oJ{2?L$D0^}?U)@?@_CE>L4jRtF8AaPS}@4I{m;pO^4pyEg}}@b7vO5^IjjyOua-NrOgT)S3xK+ zWRhV~pfTq*Rio)Spxs9SL$}dWNYl}Zh#(!Vo$bzl`T_S4*RWx8PKh*!J&{2VR47&t9GfDmA@EeIRXJi@ zB1I|@k0B5+J~hhjR@BB%^L-j{Bc%OcO&JhOmn)iSRIZ|;!!Wd_;PRTe>+lTc3OUEa{_-_D}U z+&HFd=y1eB56)x|!O$SHbq&Y7>W3ducz6}_2Z4uThwJ-N#$tzyvwQ<`Eu)B-ixJ)U zcxs!|DF~@A*5xiI^Fay(6H#v1HKHrZml}FQZxfu|B^rvWz1yiOJT>5}-X@nDBY_O7 z0{e-y70NzC-M_RJSGx=j%}~x%^5S$dA0kn}F|HE=t2i$bs01%;mDp-Ulvi4)MD^Xq zL{{|lli)qPlAq>EI6us2OxD;sr>7Hnc;P#H$zR@Bt0}+7vYO2s-(cplWKfox4K*rl z?+yi8YiZ(D3af2~?<-3&yuv8%tq)k(D22>W3!(jqapkPC3#LoYTQMl%KQn@;1uJ3T z-~z5Dtwy-XH-0v_H?W0_cdVpbycdFz(#h%N3~I%N5S}&$@0~5O8TuxjrlUDV6UOjHAaO2Xb|}RWOEz%&-I(}Pob{GGgyVQr zk$ZAhC}&n;zPqIyeavQ~tt7wHLEwX|hdS2sS{dA?IXERA(n=f{PYGbR0R7?nhb5na zVk%l9bomwS3;mzMs%qX(Gh$N}`aBbyomc_oi@8!iet?YjGoexI>ROQLG)CV8tK&36 z5?N^x4mcL}7Z$i;`5?~+TFNGpqaj~J-twe};M`+il2@P@2@H_oQ&57OypH?0EBL5! zIjLhfbg-QuQe~BchSyp0fb}-sla`4FB-)k@$Yj{_i1Ch+&l9h%33Td0w7ht+w}c0J zLL+w07gZ;b7aSax1-IIkfg%mK0x4(26abE_x39pa;q7_Ad{n^8M6uTRO@pd35fJ)Z zXTX^8`)BOEic80YbJ7p?i3a=LcY|zw!h4UX64zV)R&Ojk z+u9B__efKQ!QeJMoVwjVc^b9WZ2O4Ll{Kt?mL{yMA)`n&$Fxac4?+xPK}N6ZbfmvJ zm+qaMPy;l+n~>ph0X|8ce;Bp~wTNOC8g=!Cq1$l5$Y4Ez^TUxcaOBQ?uZ(gkK(p=b%LOgMANn0^5P-%Zy{qsBTD*_rXq|QpOXZ3VjbgYv!}k!QH=&;SSMW zGZH~VvJtH?q|S4mhi$M>LQR{1KlSPX7*lT{zn!ad3$JvQ`MvEZl4^gIBI@#8DP+5M zm)qz9VjXS<@F>cpG|gth!ShVUCPyEnY&&czfj)y2Cb)a*B(9ApP}U10!Uc+5NYY0` z7M4^PWNZ?}BvD-HHe}(kjU&YuC(v#B*<2it0pS)EeMpg)jW=)f2yfrwRK3O z?%}YX=Mb{eY$<2ihm2IB7B0d3eEU^p*Q5Vn{BbZ5mJ%tX4s0eu-ZM*_e=_qTuxuEB zqnU%R=ZeT>b8wD;w7WhCpN(DSEnuITc6c=)fuv7B^)zogL3MI9I{_G@$irqTbCkY}JpBdDjcDsur7-U+oGxQ|~-JD=^O*X5pLJEveWPo3H6N*{t< z&E~6-vBp zg`khr#*V^ow-|5fR2iJ~v$OO-aeVkqFKw(uU3Vf`qH(8san?GFP)kDFS1|2gRPzRg zqv5%w*y! z$}Q`~0&7M-*e$7YMM2zaBt|jya}p)9HCQsF>LfNMzPFCd zXrnO`b(S3@!Y?f-1XF_wkH%A8)URbPsTb>iI6y95rcW$Del=CF)CeXSbKi{ZFTDUf z1Hda`MCWyeGsRq_6U}T^AlVJ0;kKMb+_$<`U+@)(fMfd2monaMN=TwKVvtM6BqSfV z?XK?5pjldn^yvhmLZhNWO@&pWdOM;attDpb)Lo60XMvPftFSPV(V1ITwi7hkp(+3t z>YKf^gJyQZhvy}mCRA|LSv9D5FLh|%oJGBYY1N|UF`gpnPw|wLO>8k|;vXuh1}xNB za6iI~sS&%@4e{f`#E5L2*dR8V8z}~Al8X*#S43!x5wN>pS6g!otLb?-Bmz+*9iAM7 z4JJehEv{DaLs(dF3QJh+y zV}{kCQnU>q(vITH3SV2d^i^afnBc7Hvdsh1%PPW~V;D9`ScxtRspUY+j(B0@&W;$& zm@b4!CY`4cP)_T2-M>7>!M-1;-fzCvpb4Gb1GQP=*c^%!@F^H#o@M3y!0yzh2~+Y* zR2UUrW=+9p$FW?P^E{glqz}`VbV(9b<<`ph4op&;_AEOWpQyCLx7@Z81JE7u`pKqh zcTgsQ05h!_>qd~)jvi4PEHiN1!_2mm!Bw78zeKYdNS&<|;MUhqA({{8&1&;zXrGdV zzNdAK)7ANJq^Z^TZ){@5BwZMAu#Sc)hztHLmY(WDC^XrkON}_pl81jufun!#-fkwdN-(*R`T4 zG6D(Uty;PON6q0V=;Hpl-Yq;{S~QH8t9M@%M$pj*D^elRRJ)e>$vC}dBhSr$u*xhO z7m42n3BzRUIWpHO6cwdJ=esVr9ok(9qP}r4kc#sP)v>vxDmosX?+&2Xen&empzoB% zPgxcl=_cl4_VK`HsV|8}G=!_wd@oY(nIT5lb?_KLYvD7ckdzS(Sz?MW)bbfdsh-%j zQxF-vB)SaR;-{(Pdo|#U3W0Cu^*HH{>|fl~ym{h5&tGUnT6w^J`Qt#=)3U}4R)DZ2 zM#$FVq75P2*5p{gml@_GGabzs?EtF1ahGu6BK#1z_x%JE=RQGnM5m~t>pJXv!Or5E z!sr^|l7?^SY41yK&r-&|Y_dv(PQ>dykORj_xWr_$mGI{Y>F7p(1|_*{^SGGWBcqp5 zO5u4w-*DFxI2@_KG&c|Js@CB6ExLgB;4~8W8aP{*)razrxnTjj0=@o;b9C9Kh&3`h z+XB5J{?Usl-@`7~^;apW2z_5KUg~bavigQW)0xBBCT+8M9w#@f>u^;IV6rbj7TBTZY(`j;Y zF863DCq}J|K1LM*(y=P6o06ega?hDV4wFdK6<9%`)D>Xep-}2UPkE&RL=T`zK^wcGmf&hV$%}hE{Gn0vX(&R^T7Vl2Zn1ZG}m(M#`M$wvxiK zK;v*em!TKwSI&i$OlS8wS_25!mmkfj;VoR?UCH2OX0$Qp7hEgfR0vilI-;BdTz~8=6#eXM%oc}5|A^hc#4O!}K=D~7GIM`R*?GTSW z$(tNW^*kqyNbD}EwEztMl`e;Wl6IX4SzI<^wb6f}$n$Fz4|+p|0=Y~|@tkc{GRkRQ zbY-(DrLcEuWI1^QSmJ`L8_I&b8%{};i>&5tUbXqRPtx5i1DEF^ULf-0!?|Bs2HMfEo7VXalOHMb>6D8;04IMVbtSsasNj>?l`O1F6u z><)Umi<{`c;zaiuOZ7Ag1LINiRQ)Sr@X5D@+3$1T;I~w0G*m;iUU0}M#=I0oe9kPA z{U~%0Ocp+jWpH6gT~YR@;RW2VMunN)IqcRI!9MO1n5Y&e*84O_4D4D)Jxz3W#5h^v zLj~N;Ohrh>d$#96roe`*mdbB3JQl679$G`a>h#%P^Ku`u{5u009Vh1ZZGHO@_23=N z!*1xlHJqmau~XR&9(pjs8M$Q+JNgbX%ls_DR-4$Bmv{UYeT0$I&ZGp&sVpbaDWOoUwFi)Aw^Xpgto}A7D2W6mf#}o3 z0t6MGJ3EYWtGy4+p^O*4RJ;3B7&X^+IUFLS7&LnD*J(MBaB`%JX$T< zY@lqBz_a=i9?_cB9x(vqB@Fh|EcJDH@X#B*=5iFiw3SyTT(uZOx~Y}3PV z%7mu|y*}!7XPy<~vCRk4TTn6VpO;vKR`E#1{cAWWL19;O&nf3VVndy|JkKb^vNiTWr1QpGWGBq$7ha zqkPcD-`DLh_;5@)Nwt7SPlQ;*&VwA#T97_R53{Z0dRA~K5-nnsStpXL=R%sVfM%Bf zgpzNZELcM{=H)(%zg=3V>Td`0u^|=>QJ|eUH%OzsEshW?t+wdEu|(8t7|*0jTJG|c z1fwnF)QY&DmTi!57}uzU2w-i(Bb3KV?H(_uqHsb zr7}_`T#W6Mw;ROtJMK06up@V|^fd3CV>^AggGJGkm#4SIV&c{-Znkb2Q+ozB%#oA~PdeLb^i(JHfTI+uEA ztyymEXbS3PIv3gX`?^-#n4pJ5fP;sa9}Kf*Q!k*rPaR9=8`{-L9ZH2j<6 z#*yi!fQLlF$IF<)0i?AC1qc>i2n$O=h@%k8WEQ)8-Zdip!e+nA32S4OvI`fBFtlVA zhkRZWXT;XP2MCw1m$?hE+ye9$aZ&qeqLTwhQ(Q)up6~a;#S9Eiyq5-xW^>*bZh8wP zL-BAe{)GNzePV5oQUVo%l2FjYoWC)VAMSZ$I#=&NGqRV%V-`@aQ@{-tyAyx{^@5;> zpp3XK4Grc)g4|Ddj=R&XD-N$%1UN?0tcF(BVQ?QFr9aYx2V!0jTH)kLHP-+oK91vQ zbmAIgo_pW|j*B@|&CVpPDfj=J8W)4sNJ^?$Kw8{UH?{68=m@G)Qv@TF!s2|ysv209 zNdslH)^0R9g$_0DmtI~!qR+(PAsF--1T|K)3Pxl_p@~x}ocegj0=<)-A`I9=0Ik$A z2&wf7t$2x|#$DpD$(@Y~q5BY29;x5`LwaF@hPYH_+xk(QX9f+FI}NlNQV=!ASf1Cn zlL-&3LPkue`~vxryEJ)pu(diewE{N4%AK>tu`7F8;s(bz1d+m^q&o?W93-+8Ck2Ed zcj!21-#mX>HSg|}0!++G8JTnxPehwKXGURAP^^pYgnCJvt29-IMzx{36_s?hCEF_8 z#jH)3ir%$gYjPqwLC&XV^Y;z9=d72ea_zEFwgxAyJR2o8msULNCMHjm6<3?&4hh(K zQoGv-*iC(7Jrz$^j6T#+@;i_r8z>Fy3vU&!G&`oXWZ^1w9xZ3Tk7+C686_QQiiqZwjj{8dSs+ma>|M$Ql=$8v*4%Q?R*+jkMIW za$={d7`)V2%rh<(X( zsH3dL@!D(eLXa7D3o%5_e%U}y;XlYt%gDQdKpikUmcqfeya%}>tc$S< zAI6C;9}Gun7mMIv^KW9Y+`e-orZKM$Ma>Y&i9nD0)DE{4ON%!q!2#((9rBi=lTU*4 zl~b+s*vlmWGB1veF<4(m#)AYj68p|7C;c+ZR-yoUUlV{*YFl+ zQscl59bS-+lsT=xvVp7pq~gG96nmfiG%TVnRgEZ}cu3bXR=w0G70YhYqI>llgr7Amys(I{ z+%FdFOP0pQ>EFgn+p((V!(axu#_b3X6p3?mS-|WuvQUHOuBte5AyW>y(i}zlY%fFJ z7ol|u8D`kIi8z9b7+tys?Yn3{A3quwbQ5_tY4WOKssxM*`ZskV^2}9IDk(x_rkd?5?Fw=e&{H|M zCs?yDcqGOmCet5fB zPzt06&{E>=A<;HSU>w@KnKhqfA{HO_j%wt;_%7`z>Sn)?d{hg4$sJuh4M^;gXL4N(Bl5eqXdVw#>vX88IpZ25vrw$5>QqzV(u|Ctm-^e&pWx$UYKn z*|4_4`F_aR6E_XZe!p_7HWEELr7?|OsDV!ql*(?%=SlZA_r#FiZ7Z?mW?H?Jy#7s_+`Vj@x)}_f?KL6~s7Xs7%di9go?0 z6(w|8_59uICb1|{D5d}dNQ?`KV8+g9*ne4A?356WT+n3Si1p}@7~ z*sjecwBGvmu?s9?v&BqM)Q(N~p)@t#|5UZ}CNi@NNF0>}$Y4M(U|IQO>04gwClkAN zj7AP!+XPF@lFA=oqRyco!($lZ<$K1rb=$O!&^!na(A+WMd)c0KJ0NnUz>5FnR{xnbAJA&X0~Gb=ZEyIQ z(Sn?1@qnAo;JL(0i0-ktUb@{Cl-z6KocsrKO4{y!jOM?X1N5&4Z z)oPNy0Vj)t)p8LEvP=6>DdU6WC9gQ6TslqJQ7se#lPYv9CB7=m81JX~XVB+N98;^KVVI`j)Wl$7y73tA*ho=606bXVT$IdAC zc}Pii%{s%2{hcUjX`rKm4Tql)NIqe%XaHsoJbeBi4K}$%J>-dT2})_{@sh@g>=1ai=tQb>up3j`zd9JNTHJ(kYKh-o z(r_^$(J`tflYRMv7iY}v!)GU4Ac$Rg&Nn%{=H!|=FpSI|`IUtENsAKaoX&5PcsHTt z>U-zfX-dAaCXN%cp+=EDKw?4Tv5|#eCgKO7$4AH050 zogF>2k8npoTV2oUJW!6l8p=E^1d*Kgm=c8DkSCSIy+M?%_1@MG+^3IA4U@fL)`)6KIqvt>8~_&kQ~`;}U&RSJ-s*lUQR%vGS2i#EAT`6bxg6Z$7)~I! zy0o&C9^(poP&!P!fEfjYF0RM@`Z8X};HsW+`>dq z2I%kj4yHQaJZ%g&tg|T@oeNt5Zl5kW#}zF$C!0z?ahR^zWN(5kaKO=Gx5S#S?0#xJ z!I!Wzp_z_eO}GHO-PiGxILP#QXOJH`eYko#yAOcb;tU1NJB`i8&y0&Q`@r=Ys>9Sz z%FAJ7&DOwRq4Xn>nW(`uufja#JiC7caD6FIen*PUff&b{41kUhK4z!^ zMOJVQGa{T5bq-VFtHGr^l*Z;hSnG&~_LB>%9t=?1$6fCv`jV z@UH~rOXS(+aB2@ny)r51FCet7eu1$!PhY-Jm3><`=gbMefDMiw>Lxy!`vI`*)=Z4O zkOg|Mu&T;#SD@}i+2ow9lR+O`(4^6(w{v3WpS#i1jV?QXU$=DBl98l;l0CeGr1S|z zXl?4tU|(=-(d-rQR&q;4!gI*feT2K|j|v$8cPMp7PS^04!etS(Zs10+g|b;LRfX!{ z8ha+@kUnGl5i_>8PJ9WS$0;v1L=VhaswpR;Rp(}OnC~BRhlg1;jkFDS?pYmRYb0R9 zL+m#w!4fcP!3}Lq6 zjc}Tp9p3Hkd~U&xMhKLK^m~$Wm7iu$%d2qn0sxIzgJhj8NPrrra?)Tx+lpw~mIvqh zp_^8?x4`cc{?I$=a$H@PiP~D!EMC)W+U4#(HNcpg6g)m5vTzi}50(}inxhSlNb(IB z*35BfudO9{i!C-?oqwDMZ&H+E)VV+ zmqq)6sE>66Jh1$(#@dFKRj~`_HU<`-aiajsiTw|GQJ8WaU#i(-pHHZ8!el3tDVt z&~+B-1+OAu7P(;9eN)q$ZXU1#SS{Y#hg3YQ(R_Ovw#7uqZyY@kS~ z9+gpn^?Gqkpn|B5s%hd5`1dv%ZCgZcu-p95N5&!08pvvG!KmtXS$8!%24>eQY`^s8 z59QbAHN2+uOC7Seib-f>G_5;A=iAK`#@f)TB~gYYgXl<%u1-+_E{Mycc{u z!jrqH9f|SgM6h1d7_3OD^t}b!L*|gxBDauq<KfFqtV!466_ytabN1K|ylXsy7 z*M^_=ABCpu7NoOx(rHx-5+4{?c>1cr#M02B6GDJ=!t*v7(>-z7+#A)s>bEG@7o}r) zer9#-bj`3;n;bo{NYe~d;)8>Gg~y7ti`n{6fNOs9Gf9pUb;HQS-(uGcVyDNY1vw?N z^7}9)UsJGGxrBxWB=><18K_*DiF)#hX5&}a_ZvKm<*c(A5QWN;+l-yX!UtZFK?F+RLx{n+Fz!Hz^25u*`k6T+nx!?f{5vG9CRw70p$_ zGTq(t$~xa&Gwch*yA(7as1L>qLU+lY^fZhJCag&|LI?#gPs(I{Z_pdXDRksD#)a>) z5WZz`eybX@bwR&M;4tMYT+M2V?Q-_fs=la&L$R(ICxUl0OHg6u$!@oBq??P9&1GmQ zMIrA};?zs06v4+mXqq41*l)437mZm<2TzxIfHe;5Fb)`%uIjS4Pg*@TX3R*_>VOb~ z%1PBjW?+6JgHZw}GXOSf#G_lJ)rH^@rMg>~rXLjkqpGzqD1Oa#&1kL&*Ujc=BYUXArlY@1 z=bm_k>4an+HTlxx**CfMUL*Cfdssz>;sIvd2Q|II!#Wd)Q+bo~BRb^sDaOrn_u^st zs#v(fIC~)+(*b`|)=eIH*G(RJ_DxbC*VX7tE`*DBQN01r2|YfSpxt_2OHMF}=qMZKsG zsD+`>L-dtyqJCWf-^yg~42>;O(;nf5zu(g}@w!#xS%h-rWrpvrswOnrYs(vvSv;|N z3o_r&;U6cDU$O=!nd6X?)VJ}`fCR^>9|kdsb`nw{gqXP4#_G4{r2BS-FK07^J?XNi z!>_`o^|U79WaTL|MD=VXO|65?yq)o8{%Jd}8lH|Q0WAtQIs_c($uRsl)@mTwz|KFp zTl;9+o+w#Bi2cmw`|arXOV%X2q3u#?X(HqvZ46*FfORcL3c6IbVs7l%G)A%-am8hw03u!$AlTFlpGd7~F z7F4m{oZHj_>3{Y&bgSRe9Xaa{HTgd9tMPT&IP3SR5U+6osgvbvzfMN6Qf;wg8yf2$ z;QEssH0Y+^XnvCRvQ45_XIn{kmj7{BHQ1@5uPH14qw~nnSd*okoPyyK!^=a$kvRvEUKvt;6P8VmiN4HYCiEHZW6*fDN zg<5g=86jrWhm;7QWWQW3`Qd7$HQiy8A&R{QWeVHHeTF9fSOxmBxo+NSX`}nugXB;Re;ew1Xu9Ev%r+K{9tu$)+xC* zUUGURV76m2n!sEKU6*Q7ZJUeG8wklGWXl2gfgkFwptDSMVjU#;k$31jmFTQW^-ByU zc1fp68YrlgsCZ%-r$7CLYLvqlQoCD8>@tY~`wE*O>Ie*EC3*}Pu;Qd|vr^F($KfsC zbs_2*G%=e!YZ~mn0(8+NwRdx(B=$0eFKVhMJ{-1R8{r`EOzUwRyM8j>gCgv(YNY6Y z3}+uC$GPaQ>Yd+-SUFVsm<@vE^T9~_c+XCzAmYTUw7s8yyB>Nsm7d(v=C!`;UTK7Z79<#y@#x%0_%_jdSnq49icJbs`3)5CJL zwEMnKLzk6-NN@W7DKiv(rvE3iewo8;y~dAe-8x4$W6``6g^skE?kp-($?q{R76#QR zZ1NWb?Htx|%|_)`$;Qdv(6s&d7HziD(K0jxs1|T7gc~G)$dhi2U15nYY;3qo((n{= z4>?Al33A-R_+)m7k**|mO`=h6BnHmsa5rPHn;x>P?9NB71v2t(AG2bPZ6E3V!=tof=&SN^Pd2T=Yv5D85T?o=ydpt)+ zGFfwdq2j-KajHuT)wkzF%A+fYj;F(eqQD{`j5y~GG-&TR+9oyBPkaM~W9X{(8!Y1@ z-S-?Ahsc_?#tQxlImyRTSd#O_>@!Es`$z_xxJxgNG_gJvHrOPYDeNnh$;n}8?wjph z1gnVqU7Rlzw-dJeH^=DrGX#qF^pK(87%0bi`nR2nXiO$jchSfNths77$fuwH#A}9* zfTw(>_VcUCd6c$T^n(DUjqWzcY5T*jPx;} zYWf2_3ow;4ObkxAWX*C`6 zhSG&My;N%;X=pTkNebfW@BiJ^d>2|nNV|)=4qO7KJF$ynY=+QmJZuag4_)m`LP2_e z1cdHH)wh7WFDZ*vj=f_&@_pw9Lun4^Vok!nBm#_lOTBNK2b}@rsjKf-84RUM`&Eh*4~QzsK&@yviv3T(WsIzU}r3X5y~p-e2!HR4QMZR^8BW*Xhn5 zwFt76ZmzGs}k;Lg(vOak!t<1FE)-nmvcC=_WB`y&0zWShs>wF1ddw*Aou&??lL-LI}$-LAL4mGp&E9~Ts z^4B${k~3kM)m1X#L$20Tsgt)YKs@Ys*Sert)#w@hafL_iNjQ(&e;0C%a+5 zSMD;wNV-co4)ELJJceUY^Io)$rsuXIKE~NvyLjDqZ}p=)+Tn9mUr|lyUMjcmPI_@3 z5HGWa_isTDqX@Ru**7QS+J%n~daNRFlD5j;O+>?Bo}Gp=Y&GzCcONdW<4jL{9fbrx z90FRi&Rl%ZPCNIZKWpj1unR`Ve^^Td*s&uOcPp9=;ZAz$7}-=8t8dXI`{1 z!u(;q0FAkb&Gzz*$s*1adklUcdkmX8U*zk|?4h~^J_<7*tyqD^fUZq5eJ}l;>;a9F z5BD%hmYcTRI#X0viXXe3e+(^dt;K5u*W9`C)^(r=2%yK@?x{UHz0}>@*8SMm5bSxq zGU|SPMEsn`_&GiQe%nB)YNrZE^HYY9rs@`zbs9=S>*See0`!r59islk4*3Asg{?E#uDzQJ*Ky zZ_8u07#9{ja!X%7a%?pWSHkQpTX%=u4)`!8FQ0YjP@FsnGA5E^i#b;WH_?I~S2|DM zmzK%4BF(zKFT*G8*iN4Cx>s7sNHM-k9TPf+Mx?y}4&~N_G3}*-08X^asx(6Mq_W_U zM?WAUYRo-UvO#QTq$Gq$yLHCe2C(RpZGaMtONT8-J0l?h8Z*Tn1H26sIGVguf&)g? zC%TRZu9Os*x%tM0g-ojt{cPUTlC=F{LJtX?IH?rw0gQOSw&qfV2PVcb>Y@{1DfZx8 z+e7Q{OIGl=iQVA);m)-mWC6cCon00L02%k=K4GgDuMq_kYZWB~>W1~y6l^-{*c4QO z_%500wCs)8{vve?Q0tAeC+@RJ$E5M;MQpc`=kBDqF~&mb*bcFMFFl2uJlYTuk+T`9 z>|?--@wk$>7TSAh)h6rPAlETJKz86n2@CBffqoP1^JBK7vz5nJi0{%RffN8+$8^ah z{i+TJLXuA9K>$MF&3^e6`|)7n#re?YV4^VWfa)FB1>4>!!BxHMR3XmX`+1%`AL@O0 zz>D)KGh1r6f&Y1k#mWp;8|o>vh!RKzM{A}K!@pX(V4 zsX*zv;X*he zw$n-ypV2f4QDCb!g?vX2uu5f`%c3fYCST;^^0z}pe^5R85?^E&<10(vjVs$?x7(jb zgAZq$%~W4L?me!jGPL=*gy!&!Rb^0$SF(Z+NqmW#!wW~RiW@Ru5 zMyN;%op&~=${eEA&H(TM`@54g`paliRraue$`D6G3C=0NMB458^*OEV3ApHYMCakIH$7a z1HEbTUTvhln0|B&gnUnb)9kGkX!+As1cd(6l!oM?wh6Ym`G+tCzCs@nxlhk!cy$av z>-Q^Hq3zD3VIL#-^!pLN?bdq}_~JfM+j^J>$p>b3fOr@1m-(1rPE4?ijfA0Q4#hfr zD34-hG6@N@&TkdXZf520U`3043<=6KO7?x9Lnx%o_3F3@NJKmyoN&D$!w5gA zQvr4R@^z|$TBvItehp|suFVeCP@)3p0%C|-=8lN^A?yU_F3Ou@hJlu&56*9T%@!Zm z)Psk@X>&!M)oM@`gbr0e21#!@=DwS@wWVdLZ(L3z2b7MrsTi|K0@4*x3=ZUduOXBr z%_7b4aXFeLakr@lLwy!P$p>zi* zIcvj@HcKQZmW;UqT67HCqq(bq?c4C!D@OG&IE~^Lkv^ugW;S%22^hk)gINGn{iM3i zwT=7S>3q*_P410ljebMk^eKV6f^*-2{R&;5%i@XHa573s^e1zVvPc!Dw$cXZ5d1YX zZZ7R3_b#!4O;5H<3^W}mPb_X$`b!)<4utmP!r;~#d4}b2-g7B+F=TB_~Mlm z70m~E|3ubM-@Upvp;vzzZ#ivm_4;rtX4kee(uD3?=Cy>p);u?sC)g2}3_t&Q4c&(%t(Xhtmc z_{W-Cw6rJdT*02ZHq|@Je)O4hwN!iZN42*D-iI-|Y{ln0PD0b6*K))?@@o1Y_-8$> z7n^yDFCRA>iava*R-}2(bw4v$7ueq;AN#p9y+ymb?eXoq9$DxvztvC=eg`hZn-bjS zbbTNKtkOax5?jY>>j>*n82o9QkvZaqB){2J22_qto3mx-oY~LDfr16;wdaE z=^6Pu0m4(7jN;n(=Ol4|S$Rah#(lb%XARb|HoCm`DWON_F-}#wOSin6SV@leC#tdn zHp3Nr3$gV!g#GY~RnG==vB>SdOi}Rz#mu?T#WapaYnkk%B-jLh!04`QaUP$$*ugtW zL9@yI;2l+-b7M0*wyfZiL6mu2zmnoga(tMp_F$NrRFTD2^MFFlQjHp8kOg`_K=ui{ zZt@Ff<2WbVo($hhlR2NinL~y2x(qfc^~IXzfsTY$YFeH8GfwquS{8w3>AGtAhC?1p z)8`4AtvPyjM*fo}#`lL+brpGmdbF=et9?b~<>4I^t)C^-pTf*_j~3YS+4t-%tsq?0 zp_4ORWabQ0^8Afbyir>iVv;%$EmHD~jZ&PEtr>h#M(iw-W41cT$X3mH%JPA8XfO)a zQ=6@U1sS`sS55(3pm@uK0@#fZPIes$6u^HY{7v}TR;ii!zf9PMk8dQ!yl z=?pnpif!V2VvH@swdk6{;I+vA0#F{w5l!oFYRtzMQ^nj28%KYPKHM@OEGw!74}RN% z^LX+S^65I3iQnX-o? zjkZwLR|8Va&sGm{dnXb8;9VcrRMdxVldA}^f9MEiAF)cM8Em#q@eN^Jl}tVA6?Rk% z98tRU+;*qlgp%J*-BKVGJ?sZ#n$zb`-4Fe|w9`9(TvTsKpj*_BIUsZ>N>^x!pzuDq z5fqHuy8HN4+f%z&`z*L^t8ct~tM)u)5R##nUpx0$TU|}@a^ES?>!K$*QUAGJ<}0s9 z!!o1X1}`J3FuQ=+(r0vt=k%X1em33jj?gKS-9^$!dHcI%d>(!|#P&S$PV+8wyJg4F zY;*%H*c1N54&G4+1{OaJg{8LoqhAQ8?ZTiZrQ$RX3}rKWXj6g zhvhMMe&ktm<*GNX;s?*+NS5PDWPqQvffAc6XCF&)WwvU)JNv3?@+bre>$V=8Up~F| z-Y$h|6o2mQ+OqWGO+Bzt(=!O5JK%_WoAc0P@MGP)(evs(q*VjjloEAxe&qiiPguqL z`VmFlVY%C&LBvn8MLsu!uks=Bo1Yqb{P|Q)tQ38zf9%EyFV9@^D7GTcO;qTKPnFV$ zk7AY5Tkq!B$Ncf(>(C#sRQIoEA0LTJ(*-|kJ{qKp3RL}kWkAnA!t|!z9m#*YZ^0ov zc=}c$YdU!CI=dyCBc59vQ@wAkUS6W%hkOO7or7AP**p*n&@JYU-U3LRhr$oKjO_^J(ood`l>ovykF^AoBtvdfFra3t={jZygvNQc+X7YEti2Q+7;h(iq zVQ2cq+2i+o;r_YCjQ^6~KMU<&u**8@f3nv2w_Vo%)g9+|jTzaQSpF2D?EmV+@K12F zVrTlr*W>s2{2gDlMj#;Y=ik~4{$Eo5`ThL5=*AVrmJU@OP*8dQq{*;Nv zzw_Wa54X7g6nT7|L-E|&k3%7?huCgkM!0*Jl6bM z%Kxi~V);|5>GvD+KRtwD`6JEr4-@sbl>c`T^^b~)e}ao9JIjATOzZ;#flvOXM*3UI z{|HgP0_!gjjlUYbfqIYLeEV%g|EQSw6-0mji~28!i9aCfAC2Dp3atMjME#>;;#Uy; zd7}OcV&V^o`bVQTzXI!j2vPs2nD`Y$f1arSf|&RNqW-;$sj8Qw8Kb76v9+0rE8_<@ zW7l8KrR|I?%osJSOkFLB{$1DmE3p1&5XJiE#KgZvn*J#f7}h^h6YR_a0`M>{uFhsg zcJMHsIseY;nQ#Z>|F?Sn^+CTQoHOWew)lU``0ww-ejoN#nB?PX5DzoVD`nUwU8lpp`UzY_nqC&8}?^iKb;8|GgUv;IlL z{0}?;Z2w^9LLkci)6)+0ST|E5{>%EZ{Yyd^3$XhoOf1aIoru}~9TPR%KNZ6Mq=xxz zNc(3(*e^}d%^A-1*Oh-M{=Yq0|C7r94|MjQb)COWWJeaTzwhjIC`R+YuIBHBF>wnk zCld!IaVrBS6HyZ*TVoR@850{b;F^Jp;|~&VhnlP{Ix|W~RsD0%kZb{aCbF;O+kDCx zIC3N*awN>qQaDsJ$Oep_2{S7u$)!Uuie*(Pj>e&j)d;hps(ELVdP!drHwE!UbM_oK z1fJYhjrrLJ^s9o(?Xp&nMq^B8r*~I1m2ow1v-#cymU~gtsTsOlkC%PsJS*pL$7r3> z(D}#-xXV_p+TRc6@={WpA3{G}Iwt_bZ3^tG*#NWHgxaXr^iHeKY0;D~Zb_}5DIS#^ z{XBmXKgX$OGmm~;IJ6C!#D;LHSiuENG_!x+PQuDlZzL;%i_5PNq09SVY-eRmD?os+ zZVeUhPWxRXuj{o;@kgRwzE=cMk=vlnyoqpmUF}w{(*_js1&2Z%mqLb02$rF-*%8G! z*+T-D=RRw~u&lFH502@iil(xXcYW{Sv+Rgq_(V14rdep{!DvQCHB4$TNafkn`XHr9 zq4VQx%Bz!MP>in8O5;@-3j1qixok<2-D)#+O7!@4JJ%tT)>1uP9jm#mnTx7@*?^|~ zHy&K@?+w34TE!pRXe%4qn9wb)p=TZJ>zI<#6m-Li@G)B=z~v_Kx({uwm4|%6`Oa@B z7IXMK!<@_eX^**i%EIZf8G1-r*5t(mW6& z!?sjOdb}Q1-@P|ljgRq#7s!f6k)k>-cz`3xK_5{gy*S&-no|;5@xY!WfQJ{L$*ce; zGIA>x8zL=0tfA+2!dveK%e(gED}WW8Bqx$*UJN%~E1q1jA9gyK+Ouj@SdQ_)e_Y)4 z_r`o6FOuY>%bwBREv20AbC@=~upo0-{xDf+>a8t(5*U>q$nTd#+@pUi95py7N>+-3 z#H@6JUQ}?mw<%mes2)TA#UM!xdObHD+Gnn{B7?fidd!1lG{i&^Q;a998GvOX*J#&7 zQ9;2j+)0h1>jX#Mmer83E$6xqAy#qPUiTfrq9_ zFj||8C3cD8EKkp|Ra+KeGopNtIE+X{5#oWA_TG7S_%?5kn7g-rL+~UG^(h@ZnQhpf z%?ETcn^EL1C`z_}x_S_|b+BeqG;y?bb}%w=v4~rmGch+-;vHi6-ATvvycCSApX`DO7o$-CI~jj zc6TnsZ~c3WF&L6>$t{PX9HYPmHOZd6B|0QNn`e3+wh1vI9D4XjJFuaNy(JyE_`cca zN%XjvZYyxNA3mC1#dP9L9x<0(wdQqpo9&Df)S_-3Ld@g?$b-Y)2(iCRt`czfx*d%s zB=UK<1t7`kc0w`}wVx-;cSZRjB_k6OF@8HAh5vDOXQDJ$HWbRl%TQB7G3_VgTqB2| z$gdUW5_WFGGJE%KbWP!zaCI%tI0UQaW3w|aeO zXDWC<7(<5N0vBBt)KV^pBRffZNXA0+gcl5crza+@O$JaNPOPR z`VV}4`X$63U^Fm2`WgHbwo1?%`*EZUYJOH41N{1Q@cx|BtTAA6iXZFW@y*lc0w&oC z^bzi0O#FuZv@8@d4D5 z{0f*7E+RPtJOVPJ7}inWP)rD*uDt`c#9U8G{AL(T^kNGdkszHC5ey1y(Y|}BM`Ij} zh>wFM0Jj;2MxUAcP4}Op-{<|PTi_8rXuuTOPgmF8e z#U4)jLF9JSkzUtRRcc#kbr@Wf8GQ_+DKG(YJE7iCJ6z4O1v@Z(@3{5{wo2trP%mwf zFp8iaIw&0V6tHB2{AgJ!p;Ytz_X7((5=iQp)*Lm>%;Pt3c8F3|GJz6;wNSA<#)zcE zmMAqZmIX?Q$Q&^k&{yCWr~NO1h^EuT*BNYKmn4=bpc;W;6c#A_W{9Lw<*y-(5p!!k z#HMaq2X%~FH$MHlTMNJVf*=_4i3yzly2mPL0NOa##1& zS|sm(AZXi-Q^ySP`}nE9vji+3G>Z)M~%~T1bAk7a0<#q0g z&I8}JU2L7p^nieL>#fWw4J!t9>!wn|=PB>`Q2wi14U#LVFFQ{=ail@by46tSd#_#l zgKHxFo;A`UJWv`R7ouCOA1z$FlRAlAb70~bGnAG<*{Ip_ZxlTIbru@4pLO0)+N=>? zCSGqQZXYYA3KC47JX!s)a<(fEUJ$mDJla&{@)qp>NdY%ok}&f5^KDEU*c|L~V+cIjm}R**ej=T;|!xKsaF7 z4L`EHes-P`IJy#IYTrki<6Lo{QL|2ST;*AoO1Y`TULU9rybdJohQNK7{CGAH2VobO zXhnXx(_`yFHg$4QS{s?D&8Ao_?NAZ*)cipuZxxF;FMJG=UX}?o{DVc;^@Mc35Nl(; z?~e@x+my7ghaByJZyDnI=FW5V` zN*&5T|pY2TY3SQ! zk#MSwI?#(!TQS73tp%`0M{L5vLnQGFtb^UMVW@&1^r-xT%Ls5>uC)tU>c9F85%F?Q zZS5q94|I~GU4Bi6*B#;mWnfM=MytF<<8cn73k@rg^6jQNO=NmTY)_76V$R$lsFNH? z$MOBvxYfi9`PP^o-T_^tR$3wc$-YhgJ~TiMk7}5~J zcnX(zYJJYAx(xHbl3=w?Ld|4)pZ!yO$GZNUVm6U^sm<$ z8k(mu;)F8Zz6OeY=F$L$2%oF==k{au@;-wRAv%{cwtj;MUAB7ZDYH}U9Hmz!Lr5F?X^WGc2Wv>yu7L#gvGOGZ zZk>0kOa8;@>+g=Hko=_;mN$0D4CvYdxdsp;80o28kvi>4%mSD)o=uumjNgx(8%(ZU z4bw&y*Wjk457>*YN#pphcH`D@4UWBH31{LQBb~}(3zz9ePZgUBa5RmDU0?~1sQ2w| zExh$aHhRrnZaa8B(8aXKbb9Ob06P?Q0A{oHSqX{kn1V{jbJAxxMXb{d2Xfn}DSz$b z<21?kx0OX7`x3K5?41+a6y9q~3^#n0b{-YK)JK-;<@ogpSar(r6ejTH9+Z^3`{C9* z!^@p9Z0u9E?eM1cgu8EG9Ans2N70W2pRs)x(`3_Jjua8T^lfX_8$RqY4oyXQL@-l@ zbRA-cUymYH?AOZQqPZrXZKBvsW50IgnFvK+IJ}xD3zsK9zN+$-HCp*RMuYH z5?c0h^#WEUm@@G1>Xw)mOCTFa%$gB1OQGgc5EP8?=AD(aoxSAk=S_-qLW`O4kUKBs z>{D+WKkho^7iH_3o-PyfEQyirm*0MN$E%%*I>BV=OlUn!3uWhb} zD_gP~1Cg`V_a%sI@gDEMKi@vQ+AU!SeXNaj(^lu0Yy{ETRtb2`$@MS{rIM|VIt!=O zK>AkKdKAplmb!knIj`$R*H4na0bBovx38T5Ms_JqX$icJ&nhcg|I$cqY_}z{PjVOf zcU;1f{c2YwkAeZ|B+v5-?mdEwik5{=)(cVG$r`;ga)qeC%Bc8}2{jK@W~F!EI4J-Q z8pKq&KeU6$2?J^=BN-&;Gilye&SmCo=DXtaFgDt@W!mjd8iCE8CplX{B|Ru_^24;0 z$pbTfNC-cAl~Z)6WGPi%B|6Cl|3m_!gnL3;O3C#`KPuaOxEQWGFbq8=q&jCmG3r#g z->@E=2BFZj9J5A(osI(+OBcIXF?bgHy~dbJp5g!=fl4U~c*LTZzlB{U?iIo$X->vS ziFgfQ;72NsqOlPYO6WBXVkUTE!AuG9Y0`*dw>onVE;0AGY*rYKfXbzxRCEKCz8MR2 znrXv217<#;T?3Msn^v4@8>GfO6bqOh-s_;4M`*bjs=eR?27n=D9U&$IkZZ&NO$(ot z4=4mz#wr)sP=+?gn-6Hxjtdx-ogfz*3Y*6#MtXIz3CzW>DbEBNC=2^FDaZ7(S&s@O z213%{InxQ5Op&hAW&p)-B6`tZk}JmupATaCZ7rbIsRC0r?*@;98lJ7szek$ns05wz zE1V(E1r9bw(*w`kLi8!{MLZxi`nr=pTG)xrPpxF!#-Z_u#Y(}nmHa`2eOf+or>N_9 zyCJEEV?yJEySf1e{6Lbl0a)#+AkRY}3tZHr>ean|iTK?F?qq$EVfAgf=#gBkjRKG8$E2-@p=`^R#^ zsTuWnA|JqaPxpfoVZ$53l5n(vsq1xLtoGf5kv(ISGZDL;7M&$1;)H5d6JU1zNC;WlYZPjw}W9oxXJ~L4!(O0|@+j!ZWm<56vno1cs+ax$YB8Ox{}c(CHVy zdPW`+?#&1>g%lNagL>@cGf|Mq-L>=Mh8iO0O}RqjyCz2h7;*O@78IHNi^ofyo^Egz zV)dO&I!w;%y;Gs~X4Au?#60k7r?_K_n_v4ID^tTjH? z^ZGnm&P_O0;<=&TnCFY+8d^|-Fgroiz_hawQa|ivFz?Tbc&U1YW~$pZ+P=8^pvn-fRIDW;sFI>IXzzhxVoqycB<9mL-u(%7`mW*NUw*93ic zSiS`^20|#Cp6GA4E>H|G1Z=h-^?UCN=^N+a#ltChsLBoi+=%MU4a2sHjb&$;TOnk! zN!6i*YpBXm6vNhJ26WU)1^REduTz;-y(g^!`W5?uNbqkqtl4go-tnmuYBGTg_%d6E zLYIw>i5&nd#%d#)=m$HyduEmKmLmJr*i_)huhRrbVmUZ0w*E0 z`Ma*`B%kc|56j-?v>2*fY2=!Rw2vs@{UJKjs90K-{q>jNY}{sCD~bisZ!{kvaL*!`4bs?_IHy|w0IlV~mDf{=;$u`@x?D#h#L(Km3%N-T?45^2H7i}EW` zKP~l_EU>?(2Q^>Ky$!5q4|GvGgBJHaP9p|R$MX>G@NzonTVNcOunyC_Sib9qO&5H$w@jZ6hzhqKU&rC3(d8}m1ePu-FeVqGZ|DEh_S!|EK6UrLy?KXr1PbTjzcR#1J_8K`LoYblvwbf|9%|l*a%^HMMkn?Qi(y;%A|74}}04w}U z=9%r7N0T%7=1jhsV$(JsnGRg)rcW`ohDU*CGA*x%elVaM@lwmQWoq{7gzS9 zEx=GFjlZZ7cc ziw(NKLGHxIRZW(5lI+V$d@(tXA$&2$>yK@Gk?-5UY|UnuzcfEcbthKJ1Rydik)>8^ zwK7!T$a+wce7~%tS&C~Hi*H`% z&iYEWHTYq)w?91DLhZCi2V0%;eg)SXT7T>bl185|J8MdEpv_xsb}ZyL~yD z9Yywtfm*_zbxl&Bb&2YSJ+8M)vGbzz_o}bvd`6?#IY!E?HS|xSI-T5{cgHg^lRe(8 z<33lyQQbC-7=8E_Nht$X39)`zrh?6-?p4<8IuSPS_;P6AoWC7Sl0F_miLtq<8 zTJ%=FWjZ=xoxt`nN_W3HR9l&^>OD4DdN@?`miL)e_w6?vtsouo^iUzc(xJBm6VQ`j zzpGsw1!0Z4)S^LnKUy3XynP{`TtsCkZ%GXuu447x11ZX?o#y=_+P)ue!>8&~o25(S%_I#ez#%!vRw?^Mh5=&1U}zx(GV@n3KB zvi?DtNT&?om}Uh5heQ$mPO-D^xlLJEgqN00&&aeP+hZJ7DDjh zy!D;T<3BxentlW%2z_BN3FLP|Jj^-FNnpd6OL8Gt&JtYt*v1v9voxSZd?prm_(+oHJW$Y{J&PnLF2%&8*epPAXI}=%DL#R4EQtS3k7b}v5YzGO?K1v`T zNyNj!Tx8Siw2JIwkf!Ub`rZ{E1#1QUj|gNlW*)kBRR%8Ar3PZa9FExa+tUhqxp-e& zA%|d62jRr-Y&iZQ4V+Y}fx&yy)mWm+iFrDQ(_<_att3YRe3%5#vP4(E3d3V=uh=O! zod(Q%q4RfcHg60LTv=L>%OOtOQCx~lsX7)(=8zpA3EmAqsXvyVtInV@P%poeS{B8T z+JsAqf3&!=i<(is7?m^@XlR)p4JM#{hUGq zfsLsWSsm>Jua;cQHE~^b;31OprEAJYbS-1*1gFh*0u2t+nwU>=lxbwN{C+0oa*T8( zTI+ajv-qkd0wFcasD0iF>B(jDTIy3*>R*Va?EiF~@$cq=*k1r3pz$lU^D_Lh{{m&d zFg-6k5YYHF^o0jv|BVOYc;SJ7#@|ALd=Ak08xO?s!UF+~UqfGbAdY_<55&R62s{Mt zw>9MNc_0qXm+JfrJP_L-|B?9bd7yZu`tw}|P-{?ILb-s}h6Bi@T$%vmqXqkknvFNL zpL~fFnG{*mxE)QSZy00X!5ep6C8NZ1sipGWI=#Kzo;TN?Py2S(yuCfH9`_!j3mT3u zAIqwUh$5zXm*OuJalGMr<#;mlvAXi3; zUxZ9VBzJz9j{f7I?2C#B=RNRd z^2tNc+_JqTa2c;qD9JLU{n&bkD7w{^QKlGxI8O)hXEb6g^9_XlK@Fz*tpL{UE&Z+# zV0gfQAOpxCS{{rC>=@p>|0LIJgPwC%Q8u`-I#|RibOCjqr6CBcf)*|wU!sHMix?0?{f?Hx zUI|`fKZ%G=lmiCfW`_9=Oo&rrm&62O$UFkuWVV<$uKu$ zfB-)^OJH7)t?JmFQs0ge9w@)DX4sBa6OStU&IA0A{0r@*dbuK)ssvKM@#gyhxn>jx z;;@o<(4&;wCOd)=E16IUkq=0*!Waak*}Et;7zBnw(7)I6f}zggzZ;Xm^?6q+M3 zq~YoJD}Twb7&KdiNjHG=M%pp0yH!(NK=qpLN9ECY)l0XKd`(z=hr0~9+7HHt^5h}bn#MDJMM%IV)`_!r6+B@04d%44dm zLaR+lzy1!vuhBC>R}wzQ4!Wj-VFjk{7mzu3iP%m~(CV_6vtSWc`Cd#ZJjDOK_bdc8 zye&Q0c;CdM>1}OI(DT}{9X?*X)@j`c5f$Le^2z-L%A-fvlfql;b`?)?vxbIh*NgQ1 zvxAK`0lbnSCR&Th5P=)Pc?^<59Wu-AB*OBD<^zZyHr9;2XnXh3k8TTdS;){aqK( z7MYwGbd0&9m!{62N&p7NbK2(Ag>TFr9us-@Jd4EldUT)k%GjtHb7$W&MsosDz(o3g@!d zs8-W3i^vDXtYtV?md6k?ZWZv`m>0u(B)DtFQ0^K01F6U!L{L@B=F8)$MjS`@dcGDlf%ZjhPNxiIwDkWpP9+lYQ84L|HaJJU6Sz#B<7bgH?5A z3|Q{U6F>5CHez)YTVc3UTX|0g3gMdhvsUoZGJ2un-8pS2jtr0-)c^Q|C39I!$A_X0 z^5G$ID;RPT66}Ek;#P6e8}h!{IgUeM^p)i-yRY5eB9IQ+L~qqX60dY?;9UYd!JWU; za*<%AM2x|q`Xn-`DA6t4TpET`4HfLyfFE|6Pk2G*N4Ji}@>s-g0U%7goz>R1<-J8s z!giT=Z%m@#lF0Y5K8GSck{=#^n>vs)MYwaRb_Jdb=|Qr!on;U>R8H=Wuy|j{Gz9SkZ(W@{%k)z3Xre z|4Mq4yvs;x^NJ9+4!vVlHY56$LzWE6PmxHOhv`0R2= zkTlbJC{Jlc+GAlMUbo>rZ6)w=h^@nFmKpvq3oO;#OjH%fw2Xud157K*#pT+NOHq}` zeDQ+jdhI5#4A@EZLOYd3f|Lcr=}U1He@d!4`gG$<0fr;*jeHGo!fcwv6V?Flo16oRWL2bGB4TNBOh&CCTKQq@5cVBr| zV_o~b{|>nAmKb{D)D+A=NrTX_FnfUcr&iIXW0vbro^)L@Okj*3A{rF%`;^7IOETT3$(SO z&X3jlN5W&OQ!uaSs@cMYkjIlC@{pgpQR`p`6KBWhJDUx$-aln)7=vYgqOZlmX9dzi z>~)`jcU7wOq3h;$wr@SihJNi~p8WxmFl$e6qw%7J%RgB^%K^vW{Da2)s(F{PkeS^C zAOJKa{>klnRA^1g(e`1WfUrg{!?#@|bCJo(72M5o%X}Y>{xJC?pG|K;kjb~OyIQ3s z)7ZQF#YP|`H1r!J6a$2WDpQ!`cnBYO)0m!W8PeHKmKv%}!g@z_54kSByEtL&%k44$ zFzM24(386?9TnKn`l?zOulZi9uC;L0Ftw%yAwmO)q0gf0y096hSNiwfo@?6q-Ey?00;KyST6Yy?wqZ2i7l%BT5R zbz$d1>%&5Up3bn!K2lN_&i;L}$fXq8K$JNi#oJS-COU)R$_{zwuY8A&96LiDfN_8q zKYrHE>c=}x4w#mf_k#5uri#ecRk+V?p8QQ0)#;6J$mj>xODgMWdjo!T&ZzRlWz1oi z#e-ruy&RF!aq~;Hy#jb};GbPwC%C>VA|GMkJTGWzBS99^hBz#ds%PFrK-65Qs#Z^#Vat4h; z)w+5XmVSp)q}+VyjT0@fgd&F;BazDlCDKMa{m2?zEFw`5T_d3!n!a3U=?GaGaTQQP zvkZT>AcEQAe$i}0Y=D2F@=seWJm4=U7@znfv_w0sZeTV&M!tx>Le^EPgb> z0@W0aAoU97Rno_AY4vNoD4{T`Nqf(F8`9UyZQo{xq6N8KIkNSB{Wk(1SA>l1o?mj!XN|ax9&PFR**1AzR7GT zp|yG>nMEu-Pns(}c$1cwHYgxBcHg6;5j8(j+muL9nWO0dIn zg``xhr|p1Oll(>MY@0CXnTrnHd42Nq>aie^ zIG`zI!|DE*u=-*qe#{nPBv{Gknz-vKn#^GoX~t}Lemg23ZirOL0Xg7?EQkGuO2jGP z0vhIq_U*ncPsW6Wg82kuK+5OC6N3CEpqhAa68B z^ip;*O)yUXYmaCeui>lJQtjBZH~CtLbi(qjDlMczQBGwJ!IuPks^349*mkRahttN# zeR1gXOjnFxwoYBQ5{D7F~NgG+t?po91+k?tCS-jsY$SErFyt=XGLzhQLrRjiF# z<)oZJVw!8E1ElRd0iBv%jl5C|SZJYXK*vKO9UEnuZRt?7c1r-~y25uj#&xOhaB?19 zBbc;78rYSYQ1;WjK%-_Nk?y{Sd2hA<<0g#uek>Q*j&I)x=ADp3?YEI$f6i+CJ>!HM zYAuoq0FEGK$%G1>DXrJd!KGWsMr&;Q8HacOh)Wv0+cG;HpsVJ~(kp4ztvZasu6>^G!K`j`DK#Ao3S`;-M25=$}B z0T}Bte$fftUjRyqI^HO7c`W8X(9pN1)-QrK@T)sPIoH2S!ky3^m9 z6|VZV$Q<(8y^aDgd#omj+>rT6=@NwuppR&%h{=~Xqzpw5YoPQQu4)f+VLPve=GJM8M;dxL~_BBYB)Af^6b~dA~yT}UM$W`-Ks(J7O z)m;s=zRFfins?TVkE9^k4XWb@szULJS2%+0^(>f>T<9^5-QzkdAHZ}qQ{i$;h2rB6 zR3$Q!E*o>n_*JIzm5-R}Ex9VecA$1)kBre*{V7V28rt3h3C5Xx9x#n`F3h%X#o6xH zh;VAu^XHzfn3ejMLzP?(pz@9bu}$lfm~R-@M=;+2;gw!Y?Zbv%%nX+{J29#&g$+2y z4TX&lK-x#K`CGF~LNBIgeI7>Tdj621;TW0(J@XcaOpn*T^jch>E2bGR(?S6tn52{i z%yVW3tWw5X&co9_WERK}>89UjxG-pt%RkEP=afuP;HTZo7ZaH56U|4lTmi|XR3L5? zS>(f$9X#LW6zx1Tb8`d*Y!WC@AFFQS<{uMkGE{vV&s> zcTba;z&C`&DX%ukA=t6a_i?Gjab_6qG-TCOoD>W6NY^G zNO553pp;U!@<}3Li2l=Hu-Mnrdh53<6%9b6@Jx2$8uC+u>swbdpwv4LwN6*_EEFhVe&USTCu{;g;9vv1%s=IL5FHiARNS+P{+8`3 zsCc%ISgX?qA0`D1g1z1E1e`Q@Cm@lJeU-Tn9Si3syr1%J+*cFC!ZqeR(eQv=E;?Sz zg6r{MQ$sa$pB{5TX=5v}Td7YW%a7!sjUbu6f8Zn=nIJFFxihU2t}C&YXKS|Fr)*t@ zTMKs=`!YCDbmOYkgrllUb#YLidFtS&jOJK3chabvr`6)fP|>Tj-mZ6hS}Dzh?rpyJ z{fFm)o>a21Tk^E+)!o<33t|k}T>y_3WiDJc#_UH1&T49u^0mv#BNiFAfK@l8HgHdo zN0imcBVmzGt1s2zx}<$Pe*8$l$apnb%=)BbqM`j%!9aIW+}BtrkhScXiD5nSYA~bX zhfF8duzn|S*%QTG)XE|r*%alzS&{WNz1s4V<-^d}sF)xD@pOcT?$ugIQSircjQf@^ zYjI`l4Qt!Y+ZL$b`3V@}?&ao8K?MQgnv^<_<6E|q^4$P(X7z}Hz#-VGLQVk#AnAi~^WnXK>T|@|3GGC< zpgd^$eTUk!-osAG41W&Ghwh5ss=%+p@hq19fTH=bv%f_-YYtmV(1_qFd#+eZQ zQ3mf`xQE+u($m$)B#dMa<8|)m%6FEG6enU?@Gvy=<0}4+;L~H-sK&%hcA6j#b#WQ) z{z@KNxNaWHv;Ok=Uq91=Ao4XBOmu&tDz8Sy_7-T##C~krvalcUIlgS#v@oEpzi!iJ zkn?z>7as1t^R6+cPDOEp@$;;CiTxd}^uqF|K1K+sWp(M z$_M1X+Q{C$J`R4o1HmHDqH zgsS)PfuRA~7buwnB_NGBb)4TvLMok*&?lS^6D^W>RpZ_}8 zv+!vgtZCkN%^H33=nwqLeLX~7)!*#^op?yPovifdy9={Nc7DA9lG~WrBLE`B39$ZE z-*c;L7}h%6j^OR1N4wLOm^{J7*{Z%Ct(4PEyVEN-NWLahdC*?#b>%g?*Nz*tb{RUK z-skdE+mRW7jux~c{(PHcSI z_OXxKrVsdq!J~k1?Va*A6k%wR@-})CKkPsz)vy}rNVuh-kdsrucJ1Y<4TV>4L5qYe zYSsy(r%Rkl+AHTq5X*hcX1Lnhy(vDGaG$BT@|qC%(S&cB;@cpatQ77Gs4|hou+E3k z%{_{b2F$4{OEsKy*Qgr|o`a!vXe#(_pnb$`@YuLvS~#Uv%XWhJUZk?!1w1(YeyI@~ zv;bW0nXL-SXF1xFK^S}ElqBlsya?1jfBER1$SAt`NY84oXYa0JzI2wTAv-@9*eQ&! zc3Ws^2YDQp(9IbB)S{fX_BB(GI~3oH*9YU%nL5aNK~5aQOrGsxrB@J#^lkFkkCr@U zIcozFozAU7Xkp_tx_YHZDV}}P#N2znK5kkXWE8B(-FyHW1V>*I zOcCsh@J(#UuqHr+qF;`l_TAZlmvQ(I0qni*ERK!r=+%wWQhqXBMBC^4qo+2MTHI{C?t(a2^ke$(#rb^lCk|T$QiA5Hr+xa~t1-9)veyhDINm zyzgLB{i19%&o-=z^1j{!F+!8gikltX^%`)2TP1USygc&qn)%@CXZkiKg4(7=sw~$hR@ZWW`4H4t6tHn*J!_NW zA>c5emoWWWsH{FXbN_@(8jnRI;FRsElG9XQgZQWrcJH36auyTPPP6F@x>L-IUVmOK zTB~brVv;d@_6QE6gDA0bwyLuaQK2At>k&81s#NP-`AW#Mh{2&lFRT6mlD3YY>&QSn zTDFnH2~k*5zzVJ1+QD55KVN156vFUJuA!?=Ox%8=d@>;211wmoIL35Vfh|8zN_qMy zGJxabeS-X>Lgr-@>2hl1nlk=D`tVd3`3ABq-RDkg^5PP=j?VgzIM(nwba#zI>`+<_ z%PfPojU@vv8MI6qX0SF*TWN)iU6u_f6+(wyrg%0crjPP(S^1F#pj{(3!a@CD(XSO< zzx70QjEUF>*^`n`@lP-Zuk?}M_J}iBj8lBXQp{#BhbfH3Fe#GiV}x=eT(}ectufU6=ROKG%uRBUe&7)J2II}SqyRr3H^hbxi7D*Hz!dre`CA&#w>pP zL#{jDeb-uF5Ckqd!GlZiw;_gfhUMB+6RFdwvL-&-K zSxTcm(U^tTH`msq82U5vv$=X1pJb`D#z5-7=`&bZT8Gm-N-ty0clUmf>zo|!(&2kG zjh7uh4cqul5>1YFx^SskCL|lsnsd?zACxtR8+iYGBt?5{NQH;4ZRoYmV0yxa5^dgN zT7Jl1NAtZU4!6D^EL}M;JS~NoT_zTXSW-bWwS3LQq8Sk&-WyWdqc35Pm}uUMuvGX8 zERh8oDSyoqfXpWPzkH%?@ z4DUM7e^9|m*|suv&e7#6uIEw?&lX!sQP1~x9kepg!gIWduYLV>DcHV!!{xy9Q<>~- zF0mPro$z&x&D{uPY#h-m+Q@z^H7*kqoD}@JZt|}DF*W|vRrtz+ELB#U{YQ^B9ZaF4 z;uEUCFD{lJyN$`**y8xdo~BM8EM^p@H*94*-x*gty$Z$Y!2XM6ILGgCvVXvFviy@cE}j}V}gI5Tj3k_d1%rY2{1FqI>Qd^F+MLlB^W!NX!2q6VO7 z8NxX*8MbOz^eztj`cLufeSD7>D_!xmTxGhm$`%^F{=*NoZljt&nDeSf6|l18sY>oP z#5WuXk7NM@4OXB4;1(>)A3;p&%~KKac^Zz2Put`P3JkO>L^g{JY4@ZFx)#RaeMcWn z6BUVKv^-9eiJmrOICI-9$CpI*E$1wc(n-mQZ-ju1N#SAV{aa+Yv(>uot_=z41P7Sp zjwng3dJmwT5r#3+qfaWscrd6Iu2E5z-a3VuF^{M+s^`2}S~eRIUF*Wrq$5tN4DJk# zNS8cbgf1R_XkHL%d78!l*${|v;s_kj6q$e_{)iHs`&3+R2L`S#Yyb`zqz+#CD))mr z{7e2C&APf|X025z6vdP+wGCC?cMAgsZ^DyLuHk!Lg$(T)!j=s7I-c+miuw>d@GQhr zA-z&@_Q3;0fDu z3R_isM7Yiu_kRXEa;Z_YD-Goce=X*9>%uGTb}n;OECc4KE;LP?f0M`+We(e}L>Il*rwr}LB4=Y=t9ttG>?w#!X4 zXDXz@KM)9lP-xwL!NL+|6TD#cKijuE7w8!N~1z=d?&WH4f`nO}BlxNF`pXEfr)W zMsMnjbgso4+t_bw+L9vCQEusCg3|Bi`ismw%)Z@786eetWBNKH*#1|q;GcHF{&RjNN67&VVCcW&gf|XOMlMcbE^ZD+Htyg3!{EyaZycO2Hw^p*p6~`>{rQEl ze{#edC(9oM`Xe2UDvB0spRVplWJtk=Kp~|i3SuO{5qro{#0nypI6j2S#P%?^(L@}= zj}wtn^4L;EhN63!HE{=Kp_^UHIK}rE4GQ1x_Rlr~qn|F1nzFnezn^ZW16}#fKDXPS zlcSL}qlK4x-Wl-yzzkL~h|!HO!s&e4I@|d2!gC&N_EERvb%HYs`eKGmbV~ZY@0%bZ zg5v(+;3wFL<6_d`;dNX3B@WOa>b~w6cuvaGu^Ok-ny6{`r28s}IS`16@hqvs6yh7s(B`k^ z{U*668rC~vMpZrp$l-`U6C>pX)e`urm!pe2`xRO+gvnlH_Z3HL%!q$2YT}VUPl9gGiH&6~Hs- zrr=lD7{OJd5*5|I028XHx-Ei+i3K(qLYqU=!f@Qq<|$CRqsDA1gW7pnCPL(yv<_GJ z#qmRDVB~$L2UVtiMGV)+l>lMg40YP;hzf_t`$By(i;sWH{z4Dk-cu4-Bf5*GI!ERu zsgJLjx+M$?1e`u=(0fT*f!xN4i+9tsfVRW5gMY@Ml2--Y3)%u=pYnACpo20OGA8yM z>>oj@rt@FZPr;Pn=)d8Xg$^E|g@g}+3L9=h4<}Iig1Zj+>~RnghQCh9X3vaH3O-CJ zdL1Jh=4U0`A150IIvga?vKWK|NJUu`;UEF4g+-PA#Tqph&i`s9Y>d1Z1oMefl>V1U zHOA#b0X$+4*hHx77qfQ=p9ic{tO|V?x(KoghX=v6rED;~CaRExBOGWd$ z-Rhe@O@47fMp?|2Qx=@En4bGW1IVBa^0i9OhaY#@l$4UCUx-)6b+pYPV)R z%-fiF!#+2xY@lJ4*u3kBhWEwqDYBlwTGP24WxTh3&2A+(i+WVvFgH}cy9$W*-J82Q z$aMcv9|L8*>*(8L3X{$Jg-w4HNtNvw5A?Z(18gd`p6KCiQ-$CjEs*DQ!0sI{JnE&O zSZEJeL8j^mosvH6ZG?P0X*F5Detf-VF1TubOcHSiT4)H znD-KCLmIXl4T#BY7{ucQ6?0xPKr5wsmo+@yD)BG@^JTHq*g)Sa^Maj|Wg{%w_VXe= z^(#%RFL{(o(MHEQM12L%Y{p6FS>W6G_Q!Ssc6*Nic1a>~v#Ei+?8s1Ettk zQzp0ZFXjgRxr=yi*abXIXz}fE^jto_jA}R8=6^XG=*IeRPJBnv*?fATfpD8aYUrVU61>w2BcFF>^Xg-cXWqXb^W4$$e6FP*`Thjz}t-U#~D8Caez6--fEMn&kf6;ERx1(r*m_A>8 z7q|0Ec0wQ}NbQKOCh{h?MX+#M^x#JEvx5b|u{@-^1AoFd6Y~PpLr<*7KnCGs)%dZY zi4GkE_U3C91>X8C5fY-xffKZdeHhWeHgjd=x2}+cFcwt6t^T+&nP0x(DC??zQS0b# zSaw?AfUINM4p?{6t10b~lO5dP>3^2ot41%c$_%;D@w$h6S!<}>53WwA%sxP2We=OS zut4|mF-H=aZ^#Af6RO=>w3Dd(a8$PI;QWco%7IMc1l1k9~XnCf}qE#p9G*2eLl(1)(;-XbwM@q{wSfbsN4`aqB9K zyPE4fgb`p!Mh_lQblrtCi8H+CY%T&p=uMBb)CS`%xgWU9O|(oWG)|wXjb8ubl<)e7 z2WnJ@UI9=raP$g_4?)WmBo;DtJ^~kEBv}%O0!jKPWo#6IP&Sz?)sy9>FbwiX!oOpU z)hBoYWU&uoe}|Yw1d{m^%nlY0uDLLIl+8z_rasVL*>kSFWygf_50~y>ev{;6; zRW2O{g8$Imkg&kSsk6ikx+wABC&EUENTEcafG@~iGGem2-HU@@sG^?tBGx?Y#_2-P zzm5U4ZtMivxV(%2x9{S)w_oUb%=@j8YKC7OHoz=RpV{#1SJ2IWHqTlZJ=HG9<|4GW zmIoUo3P2dvo6!%QaEjwSHuM$#fT3)3e4mwAV?nbUE-Pw)+qz8sFQ|d76@Dzq?b=auq1HxdFwJ-SKQd z#GWb*NyE7hrEcKH&*wgb{X$gSgnl6^xbaY{cVSsgz9MbL52CY3cL8E%PH+3UD_W@m z<2_$N8!}mX!-t|DNftr=lqTAqg%=6{gdU`uF4|B)q zSW^K28sMNNdz#K0(4p!Y$1>Re0J0dnadz>qdf4Y9wI1Ny}kIC zWd=#;uN98kGF*sA+lxVsm>vTVfP6V zBuNWz(7R-R59EiLuE0ya@=nvcDF2JcUnbqHr}=Nj;$G{f{CAhlIlWaAjv*k+r2OBf zmM+onghf)XMSZp$Qtk*jleliRDp#KQo7=hr<`@D$RM*dB+l)V9OYUjGTufp;{~+)7 zzD-khUEVR_lA%heRN^@X`9)=+q_wY-UEulD?Hd;5Gf%KXSwV%j=0B4Hi8#gNp*Whc zf!x{NDPkG6i2j(bJnW^(By^WdIfq{Q_>f6nM86b{hdK$U)KWc_>U-LCEcJwSBjzoK z@fo<51wcevQn4WQml)yrvFc&6JH#?*8k}~T|LQ#h$_`LR z(IV^#SHA&22(~Eol+0f~9kc1t?lc7-iXlvn57orb?!r(vFa?;7E8V2SMjdF^F=xFr zm{DF>e-Jha)nqcjgFV{{00r3g&%&ew=U;v*Hr6X=_I*WiJXug0MH>*XiV%eTQZFtR zZg;&uQw~wMN34JXL(GWtrBjg7YX{eXY)PDrlD>m!}>EFGO)kb))QUvTsZ(@F+{5CCrXvkLyyHnc`*^OJvP!W&mi5XL%C9?O+hPl>x zdK>aduc!-b;pkR5vFXWfk?Yh<|L}JKXTUogGw+2*<~P*Vi4$P);vI5db4M3_HeZr} zzF)Gq+le^m0$@Vx?!O`&*hCjQfJ!L*RUy9?wlj|f*jxkjsK?_X91ESbYH?1ko+J&6 zR@V0-@Y%qdY*?P+g~`=cbT5g$lz_Vvc6!y`32_si0C(57Z1k>zsY%{+oFY`q2N2m zy~eOKME9s_X>)|4 zgVBF!@A&V}M6Gtqe0+Naj-OM@BXHMB-#LoDRzesZ;@nDV?739Y)q8AH`}4q8 zka7X0#0$K%4|?ki<)H)gaRLdm;@sDXOF*iBmMf9}aQjV3l%-K&aNjzo&YF|)c~20R z{$Wa+9jr%uO%!-HVNjh_OW&Oov4QixEW&y4d}Sf4OW!xVdi6qG?k*|9hJ{Ox|(FL_yyG3DHCtKCbuSuUK-qJ&RM7H~9sN*1xCO)**P2VKsGOSqy{gr(2B zMJERQShK8k|j&eT`>jbA+7W$HH{iGm6xdsSLnYWCdU)wfGD zeoct>3y=i~o}U!CD)FLyd`_4Gc}J@9Kvp57Oc|EddMN?Wpv=9ZR$pKIE&+H?W+KS| z35vRJOwD_bwI)i{1iGcF+-+DjDcSxmK~4A&wq>h2iXCB|FAtg0XUgN@PGpvtg}kk6 zhk!XIjpLej7LDT|msTw7XIJWrDy*4Wm)jdp)4GCwVTmSHi zZ|1JPr|fk!Hr@%5&R{-p;8fF36+OcA)l7RM|=3ma9X?HCEuSZqn5$Bc?aj;gT+!?8ZGsMq#J3$Po2;XFdY`jVT>~ zMOtF|M2zbxE**eJKGk=&ct{5921YHb*eJQGI5JYe`bX`hyeUoU{P!iwmzL1;C%oeJ zWtQ=m@%ctE)0?W_@S@C5gv7}(!ghuOhJp6sW1K&!*f6Tw*?e{wTp4N8f-b$= zL<50PJ7~PC;7=5)pe}^=JzgT%GZX45V7Um6ttX%00U!^JgCKb3u0 z4f6j7>d=23!u&6T{GW2Z|2l^HUk3Rf4D$a7x$M8uBlBNJk^jp>{s#~Fe?;*4-^oM% zN5SX+G`8J;CxiTdCjp{o|IGsTf6b9SX%M{=8> z@4=wM?d;5h!{+JIY4n%8#O3|3wx`=Yqh_1OJEaD8MK<@SlJzEs$5OS{);7lkSr@Zb zZh=0l|KipP7FUDU%jfH)vPs+PJR|n(7qHzk$F^Rdf@j^)HC=FbAdNO*&nY>TEB!2v zmYSEfU*F>B_=cyyIEE7f|iWM{uOP5gTRY*YNx_UZJb_I_|H!_K_LR^nLsan@4Y zV_od?N%aU}1US*cU8{g@x|VXh^N^dx>+_F9Yv9xOhwV2g1p4(c8A~fwgt$mQiYMJ? zrz5Q(P5u4a$jn1c!w&9|63xO0}P0hs?UJ;d4X$%OLtu|tSUX}ZuREP(%pBrq*ysPeKE;`q}RoH zh_?zSXTlq*A|-*-G)3BfJj5fxDlM_}KW1m#B6Td^+3xawdsGioWv#9Kald;ryc|uK znf}=tE|q*+U2i|zmhrrsUNpTsPpKNrylD6MzR3I`a=bsjkIemxGGp+tJY0Hl2cRK) zz?M|G()4+;VY?mI|M|XvUNjeL%?0boYe$_?qTJ(K;VmQi^`2RJb#5!0o6P>v*j8M5 zv)$94&B^Qf^_*GybpD&rDdb{cGu~9c)8obCewaCV7WJXm7F^`UHU|}xnu*%&h1H~( zwtHOFcKcq}an+Wzx83Qi>_@9kf68XZOLXFxR13BC`}=8{;oxdzS9;|3W$9M7@wxSE zVMt05F4Z9>4XtSMPe_hVa@ z07v!4CY^J8%VAFhn_KwhXeCvodJ4!h3#G&~mbi1#X!TlF)ZzKcb?S{sgEkDlR^7rD zmep(0m;dK$e>v$ItAfjmKe~K_dR)Hu{YCZ%jgpIF-$zt9F&e*H@!h6u9Gg(ro4;Fk z8WQ(-@_?WC(lY(TPV&b~nkN`Y+~-JMr>A5Ajj0>@D+CHwQG*e_;|(mAiPhFr8hpvc zW@GPd(e&w@wfBSh>p-l#g?i>eDyzFaF4X-kq{2pR8e2!};8B2gStquM^+84FI@8Fv z{jXL}2Gn_?-E0NnM*{iJ@zoHmq4Q-ZeTT2$v~DkOsOb7;dt0j1<|L=odlTg>_5L%p zd_rpi{mHbCWydG2?3t`VX8mGcY`LGI^r-n=F8}L(1=&obB=kwi#~rdA4(&Is zI14g~a#Q7vm~3yHf6S74Mufju;@mBvcz-F^sx5E&$ewA}w0WlDCm8bqBeBj`RwQpB z4+zIW@pTuv6RWNJaZeT-7h#n!kGYWqJ^PvwwsahQvD4l3%!5nP2K%|LSEHlFXwJ56 zBdPhSnhDbB)71v6*8+C^eC$wiwp9P(N*LB@5IwFmG7$NI4`^hhtqgedCw!^tfun~_ z%smB$L=UUIlm0pDjS#mCJ&kUv2E48^$*C{7K6^YP?1E z3LPB)>jJ--+t&i$v9wmGj(fI?;#3bFISAEWw=eW#3yAos<;6B1dqR+2{ zA=}pw;%~$UhoMT^Z}ZWML#1H;L4jomH>AwYxcDuV4jsJr61X*-!_RVvQ zVP2K~UpUdrlOK5eKggu3P6jmF4omR5em z3NM(8U`u}B5_NmV1QZqDh`l3i_Lz2_wP}0Q?p+*#a!~r}O z%W27qXWkw^?|Uc>M}D@I4*V4Tk#GvO(-05dl{l|C=FZo1FM4EDjI0WAP*;-ZtbM#L zr&hN8>Y3mOr#GA&tmR-Po`2y5QfVYvlBBFp<9G{_QG7$t(nqeRIVs`1fb@h;#Lv=x zI{J$4e%Lx6{d+%#49zWjIJfk`Qhup(JM8B5HgY~q)8##g>&e;O)vqlryY1CEc&&_x zR>e}SW!qaY(c7!H>_ZsuFKa7Y&b?5c-KuEQcC>ys99?Y(!|gK+d3UuZsdI^Tvn1g| zuF8Girn?ycBS^Kn$fmoU%X@AQbyAX;2ON3+!}fV;-u(^{tZ}^c3Ib-*!}a+)XVBj8 z!%^{3ieR2h7gEm;5uVeTy3uNf%1uX_ZfrCpAmmdicVm=t(TT7bl5~ z*6N9kz6oUA64^2heeN;)1lZuU&mStp933)u8Pon2bn|+m-Kos^7vP~GN%nzCT=(IC zp`Vk_+Xiv_XS&TIe>EGmovq}-?aN=<$<`#%nA?(Yw-aCRb-UlxJZaKK-ZaD`Z#mR7 zWooccc6)lms0E-zzvq>B^iHRTP`~suXVUa8X!UHUgY!wt2*36DDYw?5`!&lP+pvq5 z^o{EzFCome>reF~D@?ij+!(d_{xbl68Wv>IJFHi4hzD#Vek zp7ap~Z^D;|>ig~e-O>PJ@@YS+)b<;1?=1k)V?e{_mD4lR1_2@iWY$;k=kt0cRJOg} z^)c5K(Yg0_;9g5jmK4>+9`Tro*6v_PijDas{0)g@YVVDUw%3U9RB(0@$!rR0vVPn2 zd{h!zG8^vnh4+kyEkuqS?KN=T4V3GAiS^So%-+)UZv0BEAdjwAzLB3ZgsptGnwyY4 zsf2ypF-DsZL;v~uh0*PV-IX+-8F!Lv>4Lu0S&^4CljH0HJK`{yFJY$GKRWGqblo7flNDUvD>EfZ~CMLXew|?F-L$ssM7Ma@-pcflM-KmGna6AHd^d1Y|l=6Yj2hRicJ@hbRlw0{p}(%{fzt zTy)j~%mu6^hHzklWpNTlVqrYwK_gvRY$TtREBvs=ge z1VU9X(kKNq*Us^qx!(l<*m0`-#)-H|(V+2K6Z!J26Q{$j^w8J-(G6V8ByWuz_t<8 znuO&SU>v5hD)!5QSCI?iZ>JPu5{y$4pr<54M%TA(u+Z4zr~>26R9;L8Zbn1+ihzB! zXc0iMEKv5a7#jh~1n|!>y9>wLN-MKTQ>$%9Z&jL`6xq|6wgQ=2rp)h|TINj0QrvFw zX-%DF_FP`3xYt?pjP8%Ns%cv`)I5nW(r)TZ%+Hh-*;+-OA1})+3rnCexsh^sRy>|z z+8Nr+M^m;hmBtDkRf3T!>ts2~9IN2dNZKyYJ>AN(R1EFQ(ZF9<>WpAnj+f3nA1aVW zA)il;Xf$7Bfite%5&{Tg$(GwTUsQ+J&Xgf*V^JP!Do2ZBDXyuJHb2Z}DGt}p1SBOi z?5dP*o@zRy0ou`jx#)zws&=yOOR?|6wJ6VXwnt!wA zfK%#mOW&Q@D46N9r8y`8f}PGp>ck3`*6MBHG;vA?p|uRE2D z?5}6EooofVqYZ>XL^Au{QlJuzdN>ZH`9GfMW~O0sbweSti$7Gl#x!i>tam5xYp_jc^ z8e=(uV)q}`oohs!1@d>w`fePN9E4%@jud%;n{vrmasD-FzEoxv7-#}U?yy$fJU3oF zue0;F7oMI~gEsfCmW0{ku@7C0xT~rH87BS`K}%#h}(_4sd((uEtfEHbYrEmce$R&WaDRYsQU|<+xf0BzG;$n-3p~yG(hMV*BoB zi7xns%2=p#ALuIOIJp-v*Uf4>S!la7V2*$7hioBX1*3SUc)I0l^BaOU*D1oA+YZp4 z3!nRRYsgL`PkL&>?6=^^=4PBjL~9n|xONiS_}g-jM5^SufT8sDu9-#PKQnCLFy+Uk zie3xV>zoQ3bcM616vb92`RZXP~e=z$iB;6=2|5f!H0oi@bjGG`2PDqXY3m2coqwcU1oMxy#MR`Bv(FVqZfz8 z$i2AIM;tjL%dS+uEf?ZV&_v>;cWm(tl#L=i_{aI*CRkO4q}ADD1r0}H1AOTCHj2s^9Oz;yARxn#8e@p^+GPN3Fr+^+>tv0S4H?%>a%~wX9P~6CPuloFD>II-r}|5u9m7 zSL(#TzJaRqqjn7=2&qsB+5tObVW@`L(-lDy%#cCNN48K4JW@ebEU?fX>VrprXl%H0 zi>}9VhRkMA@oa%4*n7yQ2$UHj$-u6ySj0V8jLDQ2>Bs%^GGbYtS6if<=#Ojo>gOJ6 zt*!OkSkZRx+PYFA{ z3=GEpw`E^;^|EZnBhut;Dq|}DK7BbJEh0RIF!AXKqMq*#bgbo&=#l&6XK#urwT$*JNv&j zWwdz#qWWRXq9#57vEUl``GOo^lz9UP)FVBywQIhO_v2(|%ZGw=)si|-0#kgRaHqgz zu{NS7VKoS)IqDHxi~eETaAG~F|nn=oyI@BACVgK@xfdKLz4ubQ@c-lda_gbBeS zPHivTcMUnslYAPMK4QSzAQWxan+-hmt5|Rl_GSO7K?8w+!~x`$KV9KLQiALvZo8Nd zV?hm8p2!Gu0W#h?;sBed3tjwTNP>m5nv#tDgHw#c&U`O_HvH&>WHVRrRtaGg(`w<7gwZoDegArO?Cs$c@1Zas>h$;W4KNT0A`=$ zL>4vO>abiSW#r&BshbY!pbp5eMFpE8tK>lNJ5ya5@p0tbN`arlfz6uv-$~WkwJ_O^ zFfd(D(DMgK4AAvE2!`ncR*@T`YY$G)-B07Y$Q!Gpd#NNpj!`0y={yhVHjf{V5SOKe z^rnoA1x+PaW>PvkT!tu@gH2u^oEJbqE|TDjF}5{TkEA263?O3n=Sz?zvVi91)!Uig z*;D@YmWPIRfj6z$-hD#~Li$5hb5h&@F$XLFiH*IWRE-5oF_0LgJ|r{~puneBMDL1prR}Z4$K+dAb8TPYb z!E7jy2Fmhjq8Yn^JWOh~V{dv9+D*d1c_MBlo%mI;3NMXFO@tHoTG~>G!D!)@mwNb~ zud3sSH~*i#XJb{3qOuQRyOc7jr^(K^X@S#M%Z$>JO$BLH){U>x)igE{{Z=fA3umJS zGQ>aZEU|n5xWzb9iI~80WN?bVE(tCIAd#P}V`L}0RPX}y8gkA?(ht)-4ad8yLtdVA zMGaBVSQ@x=oZ80SV=)CAQjmbX;eO86-o#50Gap z`F==BRivhSbVC!vbnxQE@M-&mFB}4{(_l@@cEB?#8cv9^o%{zmE9dnW9P2iRO*&+m zoNDNNX*vq_ZGUQ*m0Wc#6ciUQP(g&%nDB8dU&k_{4Id_ss?|eySxlQaJy~5eH@H1I z4r{6srJD+i~85(+h-2;r>Ak{YRyPEhmAGm z*YXyNQ0#>@w7QZDLx(l%ol@!q*aDmRxDcw%h`7ev#;%u3#Af2fCk5IYJd*|Nsw}P5 zIBlMvL73!TR`nSA{D+~Q(tK7%=C!QzSZwsw%c48k(<6}r;GEIhmxofGKqdosiPIMP zFtu#wS}Hxs7!E_Go9%={ign>e2oW({#o9a9k=mw~F*b;`?G1&^vUDKA7)s~Zq@${+ z_#qjXt&9#&^cq9WRy(XpgGV&uu>r%QSy|UZ`NoQ%S_~|KkUkl{rJ@;?6`*E1PyRcU(wxihw%9RsRO0ssVD6!!$ZQ?eJNO^fBO-gno2QPR z+aZiRa{ZQI-;JZR7IU-#9;FeDK``*l3%Zp1;+vj0ZJWy2J-BDLPJ|Gi8O?v z%es%PvQ^L>7?4{GT4#K-Fq`7k4jzkr1&}CZ#ey2XfGU7~phi$-5oN$YpCZ2_qc8@a z>)(h*=BlHW7($R7-3t?$vUOGbe&2FtHYyigS6NFkO6o2Zr$K1vU0BXni(ci3;5dO? zoFf?%G5fV{xElBf72`?H{1$KGs`ynbWnR0XahwDCy1A`JF&Kb)`+x&I=B3;_BwrzpLa~fd@U!4#3PC;uJLeoZPR9D*+G#R z{t@C!d{a2{7yO2thKnXdr|{EgfHlhlua$~lVU1P!2a4QnCP=uLuXT#R90r~2 zd^6KBYn4J|AtQYr2B7#xhqN?2vJD0_Kj}Wv)>N_#rgNpkXsuTmJ8~n72!G0m-Q>zC zq2*i{X$n#-?3uMRuU=N9fLT-~M#Wt=AV0<@IRRQtbjMj9A?~`w4rr-<;_^X|)w=rZ z(6t!rK11Nrt}bMOoPuI}LsXXugIzxWKk|scA9c=v<6+g`zkN*?5VQ(&Ew|Z35(!Yp_t(yC$3k9I|I7oR>7htQ!buq?gT1Ha?BSLca~|j2knnYmB_XSgacNuKxk* zYX39pDduYjJBJO1=OUCiqu5)`0VOSc+tr_h_^k5Um59A^Z==ni>o+LXi_KvEb_Tk zSRoSH>!~CiPgvT_5dPYU6Cf!8HMAog*UfX}-}lqPExe?Wur+udh@UJJ34_JHewAv^ zQ`YIZYJR0udz-HhB-{?Y2K1-j1?k29wq%t;nhkUyh6J-ka4IT=!Oy{Okab| zQnriN13;iQx*ezc79mGm@5Z>Wt5ngkgkxrE5FkK5hIjDsjfjk1ixMpxS?#;r6auQJmx}^qtRIeN;Wv41e;|<>Frjb2m5)3d4K1v*s zC63Vf%sg_-#gix8RBuVR?>#NT|0wf5&R$4@d} zt<&K$C7xxYy*mSm)zd@xoQ%oqZdv%{K$87U2;wcxBWt6jY-ApXB-}VvL*f*up2<;^ z=1sc#iWCH2whYefhcPYbBu5rx_eerHK7nCJed=;PBLcxj(y^QETR(3OZ3!#&>d##Q zego)=x}GElvP{pib96*x;~Ga+ss#jz))x}?()$Pz2e)Se4ry+h%jQgiCE%zfC>TF= zr(z|-w-!XHu>2I3RW^fwmxzKol*Y*W-ynW!Xdln;?GO>(1i;*T&vh+rw1-5C6EdP0 zY=5~2Fb7jG7SOSmBW{ef#bS^p)^Y-}T=IPpaGHrXB!`fL^BcO8jKp}=f(wh8p1iEo zfkkl+C`gnQxAvH5QYH^T!~m9P)E{2Gpf^Df2Fb$|&HGmrO3WYnhLW@O3G!S3#{f;%e7a@nOupN9j_IEw%5Ho`!K!pA) zK3>82JUDExd95ca2V-Cua-Dbs32Xsj&1=jUBu~4EuL+9(T6*^ROV2X$|ZF532X) z$e&?FO!$Kgk#X1#R7f6FdM%AsCO?kUnVXp0-yD}aixvuSw(PS1ByhXo!6@ikcWh5J z*IjqQW0S@uz>Fgai^(rr!-4W64$`IYi4a!wgFhaSsUP^XZ5|eA^>-Anrf%{dBro=Y z8uqz@Usu0Bin8bu=EM|}RMXGus|LviSoRgzxGcw_ehDxmZdT}(yBEwTfkpEhJA#CQ zF!0>n=;&Vr7o+l+jbR?C@0nN7Xm-g@k;oIE)HbM$?rUFsLl7=P)Q<5pGy1(C>)6m0 zE=I3DY>4O?{(IQyXs1a|@;QXczC?@ifubJvqO3hRLn0*ZrE&T9`a3 zxQVV&%wP1WIDU|{a8<) zZ4i;j=8bIZ2oX&*3SE(CvYQ}lwh95(o&)l(Q9~ch6=M|l7S!eEB|x}l%14rte;bc5;4R=ZRqsLrXT|4U z7z2-m)_rw~7|a<2=EHzYDMzGd6^)4rI7<9kNv;jjbO3TIAhseWFPGzG#cN?8cV$Fy6ZJKGF1*i} zAZz~l7u@pTc52D|;f1)>p8Y||nJ$&LbTL;FiU30q8ZuK0R$;VNId!20B8(*76tqm9 zQP#?f?KolJZ@L&?B!fN@5tFg9j$vVP^{Zv1S>W2UxTAwY(On{MIX(1;gKv(Gfn6KTe1}VKE763wY Rv4IS*&HJc;2=Y$B6#?u5g&If=X$&3n91-ZDtH`> zh1N}JEJFxsOjggjR=#-=5w3bD)&SGK%sM|Dn9UudS|~v6?0iVwxQ4NL9R+%PQz;C} zq=e?DE>@!IkiVI0Ot!>p_qW>eVfzl({1IPjRioO~JuBB;?s5a-FEWaYNGto(rm>;j zxE(ynv=2V|hd%%8twzLU-+wP^hWnUlspXqWsv7?}qhgEg^gi^HxyV_zm0Yp|=g@_! zfZgOus3Od?U$|N&I1sW&3FLiXFHo8KwBeVlLs0fn}X5=|Gk=&WVAXf!!lo6A-)k+bRt``1`@ za`b>7l3)$jkmmI=V&mAUS;E;Pr(=$qfTTi?UbCe0Omk*quYT|AGgz%QuD8bU3bHNk5XDB@^w@3Ty&i|#8alxpRE9z*p$v?Pt+`9 zozCEwE#lJD6tWl_I+NCA|6OD+_gR7n6>5zu?4Gxq(+K zYTqZ^!6o<37lO^7?K34m)nqO9>3qqq=TT=_J#ib_=8Oh4{=vlxgHBvenPnh|kxDq? znP?JRrlKn0tkAwp-?KGFXL2D)(kB2RzOLV`?M}36Oz%l_eb!G771+|bk)-%k3B5mvD~LN*5G4c(gEg)fLhq8!UdX&&;$yZMPU<{$*5hX;+&@Y=t~- z(5f==$H2~^Hg^EP$pLn!NfGQ2CW|{LTC-25o2EglOh*eIDpL1QP8~6Jg*h%Q=heDap)9Vehi9iRtBZ?#lE_jiPK7nH>=jWoOUK zE|kxFr`^L8Sk0<(<=E-0-&nsUS)4$gAeCN;T(z#WP~R_F3Xu8733)3_udqFh=Y$*r z&joxAqI(^Y0KI<6G77jS%L3k6Q5$H-!pxcJRuHj+M&fH9NZBvr-?BaTneHg#)$QnN zE)!3ye0)4*e_CtQ*{Rf--kH>4U5TgGd6m_uguXIf;MK84nwU0`JzkVLi*kfC%Y)|O zeL8r)bwo<)uKCk0N$ZEn6rv+EfCT! zP%I$dr?MKy?Qq#CKfp)?*l;147o5U)4^DGp0FRwHdjIUcN)Mbv*gM2Cjj>5r9E?>& zj&8ji@MeKIs5Q_!qNL?R+ilYIuI4o^4JEF5EW1LZ>Iuj<-FBAYE{kzToy5c&2qHto zOMX_3WS#W6k71tooB4&F#M?@M3Muip%g?yr>yZApZaPLSWcxw9yFIh>lu@gDv4M&0hU2>5OzhnE(-QO&-kd1WZNDhqca_}mT+ z&AO9Ptqp2BI5Y^9o8y5Aujq0crtP_)I)QyC4TvzND1Wapp88fk7k!shcKy&sE;ZDQ zFv0HTxkfebD1-ts4tVrav!}d324|A#00E=Xo0|y>GWB$(bI}@M2j6_g`Uxg_fM(uA z+eKko6!K#Ra3IiTb{9h+@<)$~C52>zwyG)F1F)Ks1=1}R*a5EAFB){7uRCAM4-1{S z^`VjekM&x#=`D#16c-cXI$#NR8za*NE5_?;<21TJQHAcQa=%$5z$`SA6IH-%mL8LJ z6!9-FXGdQ>&x~*|?Q|gOJ+OM$kgKYGLO{vyqtD{1>@IM+wFRr7qfj>)V4 z7k6&~6<4-x4Ff@fTW}5Tg}XZhcXxMp3GS{zf`#Dj5Zv9}o!}5ONIsIj_r1Q|o%GFp z-S2_M?$HN*0{iLQy$w~*eH#)pSNy(Ep+ns_DaiMbC@c{? ze@y3G7oeuS#2sQ0+2=}5ikfm4#e{k7b{*ApuHbr0@Pbd*7;#Td=@QqXOsJWoxQOyD zUWW!j>&=irwAXSduxB8}0)vX(=#&!MT<@spHRvc3QY7qEJNyc!J3y4x()_bE+>W-% z(-<#=gzX(v_qwarw$1>p2!>0(MfRD|$5#I_89n6kPwm?>muo_f^Or+A2Lk#Q`Lm5EtJid`jY(CgQJ6PiOT7OYR7;a9b-2AR;}bW2&Jc@Irs`)?`DGn zQ^yfP!X-`eNA;EP6)cOmz2~tcbK~ENCRNl1lN!yl-N5C*Xv|!2Qt;YfEQa^ybzfJ| zYzfoYbj`G1XM;nCZ z!)5$SwG3FZJcbkSRr$_!zS(Cd;P%6Jucb>5hF=0!XZ3W@PP0JZZwx$JJ4o(9d|2*X zp~0_SM@1CFQKly0CIqJo4L~af7*-*86@tEIX?U)w|Rc@3aD}LcFRQHQo4^M?+wA(sTyK+lksjP9k25 zdw@-0Eve^y#tq}3Z8;C&k?2dIu@8)gr6PHxmiRg<%V4nz`ex48!k%lbY8QGt!ATZjMdZ3B|^tej7|0O zl3cMO-bH0_%8VjnjYJAbL=Ia5tQ}$v2&%06U3XZGyqcJ7R3fbrD+cVzhnG_XNu#x# z#Drw1s~tu>#+H3}RL3O0uUuOf0ja^VhO{ey~|=s$5+7kiT6UMy9o|EKiRc&xN2mFnB^u$HNYD zfF1SLi8fXhX%wiS2&QcUQ4W=LrdtJ|iWeGJ@%W{wsS$VBF2`fEY;Vx?-4XWUb`)Vu zf>VDi5Tuw10um&e3BIVVuqZx}7k6Gu(TKa7bFDFf<8}9l(>%=``Ca{CorSV#IAF2Z zdF(*VANvBj`=L>|r1HV7E*Lvsh|vb+WHw>qp>eWaTUlzQ?7Fl{`08LeeqX20>az%i z^;{EMQlbSHk&#j`L~FcF-rXgf**gSXSL$uwt3HvdgGc{z`>3!)?WKsys)gdwOFczn ziT4q1j6Gtb7A-j^nnxJC{uWm(2SPE9c7TDTRl=9@WI_uB`%)~2&5P5DMORYS2hBxH z*>*M2Pq$4o>Zes+EkEFm99tfIJNddNX%p5x=!5Rbj-kme&9u9Gn7hmsUSUU+<)x9l z|I-AbGd{aW;-kk}o$FYT8^M`Ba0sz7ek;YLE-pzvu-Nc;a*v~wk1l)no2_V0?kV>k z>DRu<$rl41lHD8jUBaG7-oRAeltXC#m|yAzos1r`Wb$1CumKDmjZkmzU3gX>&;qj_ zwC{IYPp+L$=U|xwTjzqMT7PUX1`1{5n0WN1MFS0Wib^>f2Wi>+ET++7mr)Koz084Gh#3|( z2eLWjOTX{il56`8qQqf1>Omc7%LBXoEXd~mGsK=qEmAkB?J+ZwBjyjN@6%0V%(n!~ z?1|CQ%Y~^@bS%*O^3wMjN2W6|LuzP`Boc(l$B)2Y5gLPQK~9ce9S4~aHE8YrL`Q+4r-nlh1`WRU6jf##+0;Sh5>epvpJ6L;YgH; zB4SnFwv>~qFUUK(VRB)T;6UcZbr!EL0K2+xF!8PGPb45+x)`ZY7R?AFVO@NE-yqWg zi_3VK>qgW*Ph=+k^h4vf2XA)WW*Xi)Dvq{{&#WB8fEq8}b-ro24bHNFXPP3YrUS;= zHux+o&$m1g41FZwF%`FG>-WymHIuP@UTu_12y!>lr$zSKl`D45;wcI`$@=|z8=1nu z*9###bF!@~!1wb~rWfl=J`DI150;IO6;A1stH|60n!3_9H7%Lf(UhKpXDWMGIpW~*o5MFv7xS`WkQp#lof5F z3owLY;iM2s#~c84#Dqc-O~-IB45tEKaMs8_p0UGwdaAk`7f;#P2?u4!P(w`Q{z2T$aGod03<=j|B)L7xph#Jl!znta6{7 zE)W9h$hJ<-5YhmZj<`~b< zF|)ke!h0buUO3Hnc61|HN6J?kv&)=$y?oHJK3e2kUk(&9rNlQ(q@P3<)p@5?Kj&R* z<4BIuP@LEcSnCESv5a7GitsdbOw~(U9UtJYZ+u)6ai3*0Mij9Z?N>yqP#&^+{~$DP zr;akZmoVY{C6Tsz$54x<*vPTU3O3HNo7b^)ei^a?(aLwJJ65BCEUGruR2j4DGr9X3 zKO8LY32GI&47sB(kk@#lOsR&=OWomvuq&|qNh?MXsT${);)=L#Cx#;hgX5($qp4J? zfSXCarVUVzXy=fl2ol*VDn#;{76xznrEFRenXDAqOw3Yg@ zX;)md8GJbb=s`zhD`ja>W9)IZnnNx9tK+m~Tp0KVg)O-anv77DB_A?ZYuV~zPFwKD zx0k+44isMHv9t}Eo=XeGdRN2L_GXJc%gy(JZ6d+Mqbb{O|1 z67FZTD!|fQ7=?+Mi6&j#7xgKiHN8Uuf#X^zwtH9-$yfF08y}gSqEX-4M|snBy9*_B=u~#{Nc|KuSseDPA;LR@ zn*GRN+%!U8UBZpZqjK-QyLQ^^{#sevn<=tYo$c}Q*qrroX`$A0vGuVK#~W?!i#JCL zcj_B%oZ0ya|B?CA_yeTb0LfDZwF%rgn*^@9i`2W@P8zuQxG!Gx#_70LmlO4;y9Pk70m$^q~{e!y>@KgcOd;@&u1}el&Gow7AGZG&bAiVq8ej;yDybp-EH;)ei zHF^F>Nooh8U*d-`mX|tOOfNuDZ%AdVcbDh2G>vs%s;Gq)>0IGWr~-M2C3og%?G;?60)ygxOrCeoyxNpKqyKREe#xYK!N?R{N# zv-{=LX9P{K>hpExZCszG&El0<;Q<3Chnj0+h5Ga*8}(Vh#zCT$;_Pm9SCaTwFbPBY z9}07LF2xcEAKFbP<&$}huIxcLh8}eSJNcXgxm}>eCy$IO(cdgI)-WlJvgBSGJL)TQ zVs}NtJaR0f7dsVK16qUyNSqk5>9BYlk!#`F^%*M=mElEj4yPyHEbW5yEwNJ^*hgs@Wkk^7R zdODG1$t!zd29p3907`zERNVZ6ZxhqT6`8G25W;}eQ$xXV6h@t2<=_lZc3Ey_xEGM? zLYJQGX$iXox|FA5bvnnKx5$ORuv8XVzqf6xcyD=hVM~_kiQPxyb(KQVuAkkzne-lOyq)Pab3!&hf(b^9(3Ej@Q1TDl>s#WWx2L|peA zB0WILano-k0)UWPde{UvNi*ZjY@&?v>{Rg0wi$~>Y9J@bnVeM*kse`bLTlfG(}Nt5 z8d5km8Zs$dxy$;YwLPUpeP>{1h!jLgh9$y0AApe!nMe$RnuY ziJ$HTY5r$QYyl89;_-~O4pYSNLSeriltF50uqtmQb!9539Ue>$DIemUieX2N zqj;~yWL41;1fhZ=arB1qC#O%Hy9R9?IR;DM+2e~ zI%2t|X`LHWI00JeRrOq;oj-RHmab5s^s&boLSMv;o<8{u&9OqW`@m|6+wvr(uA*j@ znjq_59)hXPNt^Tg)J92WH(ouH5Re-9*=$I=m&IoO@)DcSx&pk(ViQkCH_wgf6nfK2 zI`x%5O~qzK%1QRrJztn$_129du&9N3xftyJLXYD}R_BNhFv-TBYpiWGlF`Iz1i5o> zsAi9eMr6-z&!s!!{ni^*#i4a;CarbRFNwGgemJd_D3k#!JBf8m-~JIP$=#?!OCSMG zt$x`XA!Ry&{ve;EoK0JGKCO%?l}#JV$iBr_&#zgJ+5XWtDB3)Vb}X%Rs2;F$59S7j zG<;k1aZsjOoxq`gw zM-b0$8Y5?vknnQ~>cs<3!S1xyMy=tb!;Lh}vTgHbO--cZ^MZOd?}9-Gy4|7!a^_tC zv;}2J{&lHgz;fD&R*`sB=x|!ua3!1e%l=abcg%`Z2VI+^?I9REXU5&KTrA|7@t1r< zw=ND1QjkkxW2U91uj|F*i_w_@#D_=)FiozDXtHf_Dm3zC{U_Zdp<(e7=+zfxrgUAo zrxWPcjJ-+Rb$L_?OMz!IvXQm#)4FvQO>U45U zbzQHcSY~Fc*OI78xbsRD>@~F&Me_#VZAm4Sbd5C1ZpaU4-foZXk#5duYD*~NE<~+0 zymDgkH|?*@XQZa+eSMeiN#aqqO8(G7t-HC77zEqVPiX2#=$<~JPinP}wK-0fq-2e3 z46mWW`Lg7r02_bM;2kOkhb-;V9p--4qUgZVj&*7#NyrLai&%E@s0EYI}=>O z{ZyF+`AF+!z_9n>rur8|@55Q*m^EKjT`TyHEYoQYqSibGJ0s08UUGDCU$Rp?3|G8m zC7J1ZGZet;MzA+FbL4yH&?*dont}Mt$j)$~tsDy4?ad8})$(2#e&)r#iM$*!-|hxf zi#2r5i{~Q-ZI5nFm(G$uyX4l4e?FH$f?$`fep=(7uGwX(g#y#{-d|5Sg%=$!%N(+y zrq>lDU=BnI&u2C~!z}|R3-l(Dm-oglSZ@oco)5GITW{V6(0&rx!TLN?G5@B7s~?rB zV_#ErEbk>~lrR_{u0vjs$F4}$OMY@5D*PdFudIi}|% zS z$pKi4OEWOn^tpoBmt~&9$j^h#SAG4PyKAg#%C9i8=G0a0(xS%r_~C4i7|6Q7XU(q< zx}}?WJgo2OCP4K;4MVv@Nw$8&e8_UZAk$v!{^Iob?(hv}YlgvQ8$o))+(DDfU|Nr!9=CBtt-}2D9Z; zw|UAP2EAbRE%(9eyl${zb2xeTp2PA?;vwVh3vho~$pj$`TjyqB+Uc>wp=_9DcEWje zz2zqxd6M=Ne&P%K5K*i1L`+iReNc+59K@O}#I&PWGgG`C$ z-VTrUp(=GfOQot8iT+9_Ae6#%=P9=^Y>X1~;GrsnJG3;`Lg<)iaJQ&}6`&oRNii?X zXW12AxXk&HWx51Ulc97YJ&&qDda46L6@gF3FU|zBMGP(?1ojwmAF951h0iJP?CkGo zhC=}*f^d2mtMUrf@cl`b3S`lAakfflY%}T1gPX=vMak~nN_;o$@g7pL>MobFDhY}G zM0p6zSfRt1Ym)ur8)=ee#1o7*B`)RlzWB1Nnfw;53YhE~;aFbNH#-PKd+ zMz?mt#2%3V2t5z_AoJL^Zv`LtKuon+55wezQU@Nd1T;hkgA>sOl0%!_Q=0<$5T4#dKLn$ma%( zD*;a2AK=Vt2ADXl;hu zKc&)fcjVU;g3i3(8tG#Nh^#9P^5*cKQ22q0BS&Lg*^3Sn0c+=6ETLkk$LjQqw%GW-Eofr4ZRwaQ(Gi9+II={{Zge%di# z$x~gBdt&0YIA!HEZDG#WCWwJEc%lX_V}m#tBvfSA1+^Q=pfV9ZbQ=5pZTLJx#mIrJ zD^^GT%s{q^@5t@8O5V(RTuWW@GLrdV_RvK-deO)oRVY(2GSAn>>hh_vawQT0k%fm2 z5N)%1gD*a&j~WAf7#O-W)2EVy%8V(?ibqhb2G&|9*05$_Qxp0@>Tv47O)&dw>+Ce> ze`@1Lg4?3LgxOJ zbR#QEIq~7(OLT~=$y?Pv zy7>-i+H`+p3hFlrUp0h&I}_kx4@Osv_L%n41%*zv1Tw9392 zdj*||OI$bbLMDp&Xe6YL(1v#3>dU5$paN}r`R+&|0MC*Lp_m31|i_HJ7~ z|8z5NYi5+d%CskHzjdm7#|l;$L(fAmCz+eq!U5&9y=@_&Y;-Xy?Pb5Z{86>@opnn? zr29Dx5z@^TB$2d#zN-`mR?wjO#rsY14ec+n1g9SM*OLM>P3POhA1rR~_?vX})nSf6 znm&^tC0*1(+ShxBr4D3*!_RNUa5O1_T&}R^YC0H@eK@AAC^v>U<5h(8XMKtMm=5>Y zmy6yo)J}S|0ZVZc1|1OQVblMtWW?dk zg$Bf`zzGql96A9GUg@~JgWIA!t&f)E`r^};rtx4|*gA@nTs4XOy+W{CTBzBWdX}<8 z&aDr1d9(odxtu8&L{v*?7}QfzqVm^o?I2Y4B2#3U&J!~8{p}QD@$<<}L$hMlq?a!@ zZ029Au|jZv^1pKJvFfry-ss}kdB8iFSuUF@;C6kL82v^lub_)9(O(nKDThRZjxP(R za4X8$_HETQ103o)ijmkma%Xur#aJ9*xdc?GOn`+V3UY>L>P{nqBW_WUVo=R5G z5VA<)o^lFO!6m+p5CeRtfo5Rf$YAgyh=kyP@f`>ZnNltY@_>&j2p@20dw$!y4f(ug zL6ayCfyzF;@jY|S>XTb@4f+ZaDbiLYF||NzS{kJRGH7s8{ansNVXbvn@58#_giE`0 zDcSteYdMC4?Hc(jgJpuL5jPB{lX*0#V_AwI(2^_=CX=>T>AX4S4v1>b8e8oBHjvx( zM0_^D0mX_Maz_P|TKJVukp^GBvNo086aKK|lRyR8B*y8lVTGUh=`Nj-L5}sn$drdK2Kw`#4wDw`SF93s|RNRclw%awP4>$Lm3*?}f z6%2!0j#dYIg9S(*APQAH8OZAcx!p$PlPMzu`;3Gsqw$cK-`!wR`QZ_V5EIK*KNkze zfV{Dl7o<1!8qI*pue=pMXj`5I9SEsEh#6h@BRC-uz8b!^UuAoF%H+zfAwTjfs>r%$ zIj^q+E#%s;fP475pV3}@c;5zoA_L3s8z>mNjJt8C06&_Fu@>mf=g~Pj?@r@by+W&&pCOl1ij2E=`u7&J zgspcXp-O=+IIgQm=kC2q9moF!V%y(>>CV_jkQ27v;cf>WR{Bv`<-+&1F0iYlf{Fx~ zN-Tc4$+Vn;#z8}!7Itaxnw<0yCvRNPP&2wZ^2a?Tl`y=jZR_J>FXy3@Ag^mc?_tAT zUDJU}&o2C99ghaQ71gPQftZDGHV2Mq%H=h3VMC-tOPrC)<>Wy_IL(Te2a_^g^IcTg z4u${a(>uNIsU_3iJZ2q6rv!;IIH!X?RHv7Aj=)GePw99DGX&eq$x$hu4?z$0fe|n! zeiHmD@VM=;kDev*4=ppGkDd|Zpe}*YSkDUB9?xe@zyR>eISMTz8haaF7>ws5rG2k) zuSE<3EKGc1`X*Be?MZO^*(QDMtjE#Gg20oRQ?aRrWX)7 zmZ~UU9!=99yk9$->wf3JRF*#tlqP1WYitNmHZ^cC!KY)O2Z$P)8k;!aGtmPCtSzkV zXaw7Zyep3f~8ACe(YfBqzD?=*>d{%&%m4l(3jkSfYgCV|=u7$lJ zKweVU-u!DAvtQz+eLqGb<8MMF9<>9*0s#SaWL`^K!b7}x`uN+|sgaBg5b*cV{_c3# z--d38T?Yq4^zl8-V&c=wLZIFT#CgX)A$%z&?K; z*4HclMX>$`;^-LvmAJ3C2+@FmfFHk$i1lk|y?+}KJ?($hJUTkIzkn*%U&0N3pDMQB zhVuJWS%ks+M_K%(ME=L`uUmmJ+P`n1-yOvIqoVw07Wx}l|Lxh0N9{geP0^WoE3dr{ zr1-V!{=w@MOLOP@n7@X7{I^R5-CtXMS$_#K`Ta8SA6MURSN@A&{S8F^C)M|NN1pzu zaQ@XqeLY@O4)Avn_3ML6zn$dzpTqh;Le$SGoWHn6{h7e|&ui4rNtqwQ`aeR{&nXv1 zx_?TSzeI|%{+V=P{7o1CYi;&iJM#}3dLSSdSjEHdH}yX!X?~3NZ=mo;ViX$iPrzQ_ z*zdjzk?p564jn7oKPhGXD@GS=ewhBrLuP-Mi2JPO?f32QQ%dGf z?C^I`{e43mS%d%95Gz2Oq2Gu4lLChIpOmvP`~|9(?avepGt+M=|FZ$*@0k82ffK8k z{`*Gw-2w4G?nQrSgujFD-yAyrG_3wAR(5G*B#4W;p$=gGrhz__uH2C4}4jF+_<_h+PQqcB>$Xj`Z4UkgV}%6 zWjP8?LiRn7%(Ooxoakw3|JjQ&`~|)tX4*d!PQQ12{C6)3$CCNStgej$shfNs@lQ#l zKSBI=(E1zCU68CTQ4&sdGWmd@(j;0l#Aj7 zEz`X1sz(UgV3Gv6eEQ8?q1r;@(~C+(n388^$zi)-4Zgx&0Fe z$`!l&QFl^wW0GVgv7H(dKZ9#Dh$8J4-8zV(%__g?y1bMCW)&wV*K&Tt5m5WeZ8?VB zkNvRuo?iwkilTJhXm%$`mz=;Wm8Is?SjDS+=^63jRN;D7#DiDtwYmt+Tj#VT1S*}p z3V=n^DB4{^w+2f(JRCj{?#uN7yDmwv-@LcCWzE7bwYRYVurh!dt8=k5p0FyvwbzGd zLG?1fw`Aq1C5pq}g!1~-8PU6o=@ljb%M-z~7k})%8JywH3`wVS~1{Lgz{`OJl=b^-BHD)jCLi zc-N~tLw}2HiIoaibhHtXKv@r4lY$+4o81_i4YdU`7@KCT35FHSD~vA{!kHBbo0d9C zbxYvjw88^QZ=`HI%iU0pIQsFGKm<`W6eU7uj044j-}XZIU12Q|de^hHHp(PE97M*{ zVV*CjgUiHXtgsIXn$(QIaEqCGX*B>}zws@7tUw`lO`p1J>sU*F>tC{CaVJEudw^)U zC_~s0S)8g=m)us!fw#lW^*kIn0EapPpxb(- z3+G+MnzmKKXm3M$5*<2fZEf3yb|7g|I&Y7Di4(X^{n((g^zvj=>z?Vodp75OA~R9k zTZ*VH$SD@!L3|1ygUm|N{!Z8#KBxg=$lO?&8=2mP=Z;{#8-4ExK5mWa3~$AH$cVzX zz3$&m=gp%SWOYNgqZE#n(c7F8e8sPSDO`Fsd7-gIBhg3UKy^!$;QCSZWK68^g(TIT z#?Izgv%}afA)*R{@S>-K6#VczoRDcU#vVGyap>1oMEyK9r9Gzfq@d38Qqm(7~mp#blKKs*eEirQq8bjL*WO6ZinaGYv`^H zf^g>){N(v9*ED6rInVXug_~>?Cv)Krg+G*zfD>O|KMsW%peg&fwMXdv6%9W+5MQ z|U|v>oder7Uawr;BDp0J*M>94akB*dP|;^o;QdCkjReSwr+; z(U2t^j}UlhXZCcsLad2*St1FjtfinU{Nu%*MH6NiBjhJ#+`6Bak!PvAY-EyE!0eo4 z&6hW##p{F>qg^JzQyr$PlJLkRxLF*7GuC&`NUdsE@Mwo-O$mFNp`G4Na)ff(tY8&; z%x#bgjGq`OFcm6C~$uAp@yO7^+W4>;W!+PJ`o_E-%^;xK&rp4kT?Wq^a;VxL2bDnh!Auebx?om9 zoIvsmb+gO(*7V3(P(cwN{QI-jClgM^<`%_#Td=gw=friOIpm0Sjq{LUmfQH`YYk0% z%#f~(Vs-Ywb7bDXsGo>Ts?Ur?I3faeV3?xLr_p-THpzJ9^kOzWj(*`ID81EwW^TBU z2o&(VY%|WVuC+XoAcH2ByAUz#Vq6*M%d4?w6hb;^aLNKMhjX|%E~v)ZaRH8z`YKjE zMvzZ&MjA0~Fu?x$Z>ry*j*vR%qPCRwTZ$DmYV=jxtT$*3hRwtzN{TNdMNO`W&gTYS zlQfgp)XlQvs_aNTxLCUG=jPv$^;6g(jCbbZX1M)Iue~l-aehDp2*$`A+)c+H|rI?Ip92 zNziUo{B+P*Mow3fC0t)&;4W^$oLF{|;@kz2A-p9gmtal-M8xf<(ER`HK8!nu^7}QA))enU1e& z`Q3~4gs=~)LQ$ej`Nz+F5l}3NWcSts4HqFTQ{J;?kwA619J>pKfd^r9HzkS~Pf;dE z93?BZ>pdV<#g4!Y75ctS9_>wbbkFZ9CZ3W{c0{8!n1Q0ypV@5aFomF1d+&&lmqb3j z^E}7U$*uhkoM6-;UH-Ynp`L+lx*tDf)d4oF?fYpFh~#X^XAXWCdbzA-Z>qQ)2$c@! zyr^s{UPXf~lIr%9jzy4F>EBfV9r11x^(wHzu-02x$kw!yeC$OYhAGYAZLDY?*}jp} zKc#`{nVY|NVIgva8tbC*VXnr?=c(PE_z2(RkD;9(y3fx9cc*y4;4T8(mRw}Mwb(}C{Rk4|mFRQT*5roza`57jnB_V8ok zTiJUXZSRnAF;g`0q_fm(OEORQ;>bzpVp7}BG$t`qugcS=b_cdK$0pQDHdIO#i(#`a z1fJ_R=^=s*ta(Kb$QnWcD+Gn&S3;Yxei6<(w91ryy{zH9ekksfm&-`&X1%oDZEXYr z>hWR4eHMrQQ#8huV>||qT;7|)?3n$l>7p@kKUBifGeT2*#3KEd9NCRjbg_Yk1%Q=z zw}4OB533?Gf{lGfpI}zk9#1`}1wX+cZ4pz=MVLPNS#wKkDHWNr*!X)@Qc8|O&kOK+?tC&lha{2>ETt#6`WSAmC^}=@4y?;d8bY-@BNK*)c5f%+)q1_dz`r ze9gxJ@?|dyCXE2jZn!B7rwx=j8+@kYxIHTWPN6cB7p zaeos}T#2gq{>>ASP19%EN$- zGt^w+f>x<{2(b7w!go;Hu;;Jt_Y;;&1U|j=`Vv~{Zj!zk1+i6nZN7eHj}nC!;d|`< zuKp&3+MTz@d-huYVwvRe128htUAT8ngh|BdN^!vZ4B0!>qopgSQo=CYiVK)7YyGm# zl)|gvu$e|!Hv*cB(Q%H?FHpZ|5LosC>F8LYUbc%ZO_||^#yrB4#>ad8@lqLP+Mjb_ z(*D;3(_b+g!%X|tulS=0P6oDr9U}dDIQIM139s{jdG5bI^!zy|=8vKN4MR`*-+AYM zzs%qi6Gh?s0DsP%`D1`T>-=M;{px!BU*q!U{G30A`hSwkpPTpn6~I66P5(TXUww{0 z$K?+_Lo~2_EZ;A-Kj+~5G1UJRE`RUgtY<)J{yxB;b7lSt;9oF3%1rmw$M|zx{x`m# zZDYUP?BDmt{}}533YS0G#?t+i|B~*<0Drn((tUL;{;zTQYd_|nuMzq&)c=!Q{(q`{ z=>9jg&$pZX|GHlOl=fkyW&Gz%3M1|Rd_4j)-JfY6=HKbq@6W{l@wCdXQUT!2I^XSV zzCFsn&Gerb;%}(6KT`Dnwf6nLz^<(V@!)?S>raU!CRT=jlKz(rUg-WzB+=3RU~csH zJ?npg{-1t$1lO1UzRiA0ZT*SO{)Y0w^t*Z0AE}XlHI)mNlg0Oe|4xMoTU$8*iUpqKj0Kcxi;kRUd0U<#lAKEOmV6A9n`jp=gpPq^FTlf8SMEjKW zTOIvJwr`)+zy9dkl&mKHzvTF}VS7qeuoe*$l+?BP zF9-lZWqdl?rwQh#f6BYsI~ZDuSs7V>eWHIzj;HH<#b{@0<6v#~HT{<*Sjt~vee217 z1MAn~LE6s1(C*1#WdAf6IX*zn(Ad=8!OoS8@5vH|PYLplHZ~T9mS6W0eVc>*m5F}W zPk)8+-%s-mm6WcfA>c=>30vqI+v7j26A*LIwJ_D^vof|Y#HR)DedW#J2|Fw6KS*@I zr~W$cETC)ik3CSVPv7{QjDOi1#rTv@QrG2|FZA?Gbl>i-{L5}BmZzL5|M(R>6D>gg z$&O0+jI7`C@bg={sN+-9(LQy?U+;iVN6)~5|8()E4Mkr8JxvuG(tkDQH_QIUoWJ&6 ze1A@vh4nZ6OtbPU=_Oi(7Lft(WPRWGf?n%aCd4MtX2fvu32wYx_?We#<&o##-&ywkZojKNAkXg1Wy1hgY@%Xtfgu>Zu>xWm1lT%%q!5Q zqw6M%Dys_Q6G!$Ae0Oq)sR-vSYx2WZy6#Mb2M;?{*ktIwHSL22DxPcSW@Y{S+t&g) zv(FvsxzdJUcTu1?pn_uL(E4ulnLv{)L|?hCP$hffyNt~ZuG^pU+o)EYb8 z+2WKKm8K-F6i|;a8zP*CO=!WLtcd-xWeghiN3$GR^k^g$uyU9Z zUZl?`-9+IMjUksPyJv-u4xY^B2?6lXtdXbSUcE_7FUV1)PU%aJJHT}uJ748CplKv@ zi}m_z7Ji6C{}4Ar#wEks5{H+}DQ&(K;WHWoE+qRUB=lvsQU4q`i%Pr`x-+2% zZ2)OJa5eFk425y_CJQhd+NBOlcgzMu3<4Xr4;~-#(g-eO3k;nqvkr?G$NPBVtRWL& z)kL>R+p#@galtuFU*xv;3T$R4BYk!^@}MSEE;WObWnmgO zL55IbPOvg?V}`p&s&;aO9z3kaQvzdJijLqpY-~L$#7A?kHCSpk>X=|7L8;#~B7ify z$`K3Y%e$5vOBU=l@spf;b{Q8`gC0a+uI!7Ipv@?=MYC_o_GoNQ(RuDWh}p`pR5qsB zE?U;FD=9WA$&?Tr@zR2Lt_p`N$q^9Rq60?>Iu~|5QKcx;ZdhIXb;%>*sYQ#---^@>k_G;|D%bYGwETT@|b@wCqp@YI|+7z!GG?O6a4 zhE~Q;+cw#l=zqJJi_0|5vO#jSY5yVUjt~F;QSp*RA zPfsd{0Ot+o&DRds>Lg;4(-(227K7}yRD+epwI)*DF80@#(#Mk@pWOHj@_EuLOX(LM zt);iUTRE0pk(s?GMl5OGzFkpZ4WtgTar3Z8TY^~?K*>N(_whAisL@&C_iGkY7X_gJz83Ev7a1Y}?uwP^q63M6KzV{Q)KK2!QZdPQc@9 zLqsOMu28UMofVvBKUec8LZMf3~dBMBYG>%I*L^mB+NFL}e zR*KJ~ta@$?D3}@wf`*l-B{*x#9C$MC@81$)$t)NrM+>On;659OC(+Y+R!FcPOxI)G z51&62G6Wt^&j0CZDr|>3S-uP-3t}ez^b;E$Y}A!K2206Pd?jO`mwKfM`Qe|u=*U05 z)8)-2Awq@?jYEjS(N&B?N|@`+;f>j-TS2Y4;c}J>@G}YLZhkl%*2E|;yjOnQi)Yd9 zihoFa_-JW*N%^_R+FN-_dz?h7oB#5P0}KPAA0nrw(B$eK@ns*I z1j(KE7g_2qR*~c^#8CIkh$p@r(l_|g!FAH+GRshA=Z|u`Gf`#qU?uO=1}8fh2&l{k zRkP1$qY@PMy?L~ih?vda!_7kGY+?AN1w(sxBpsNp?J<*Rdwy{>d%LJ7dO||hBE{ygErxRB zE1v-oA(d$Z`KB?%>m2qD+hcuz&=Q)8^pdfP43+_t$$+@|AZ8rf^)|!kk~1pBbyJ91 zq9cSHEp3f$_6oP>TTJ=_4W(!?&qL8Kj$HCkl^z)#`^0jtk0BZrx5lp^_GP>m;P3Ak zWXYhFvgNn!9PM9rh`W+ARC`-hcK{ngOaTJO+W-MNQ+W1oW zj*7WU@HP&`kPbp(Ej}Ap`|>#>FXz05FnPk`ZW$H1Ck8~?#oE4E+niqaY*^81^=|x$ ziRDAc%@KeK<1Pfh3P18zd%1-@boHby4OwjaJ$FJ164>eOQO4Re?19|aXZkYbncZa> zc%k7y({e9IA+^L7-q40(2MZ8S2W@qwR}kFSeq_h1FgS}!p7f@HS+o{uftlN}7*NK~ ztx)?vEhcR5=b+xy3DybePy#TRW1w%ZtnhsWc5Jlt`krz%l)!<7Vpt|0!uD|>7QFCjeO;4Q?&E$^>B+Ezh7)dXyZoauM65Q?L-p3jq zvO?FLoLO0%ZYcLw6|h=YKr?uAp;#%S6{Xo=ksj@^-6oKp?O+15)nF`{?orhB?T4sK zBRvxV;OUSy%r_#te3a~Jj;-r$thxJGW}qFk3C%9Xc5F@&iQ( zyv$zi^!r?VJrh99=Lw}DF?T0GN;TuYv|V{#gp4{z+1pSMb>_d_rW?wc*sZp`>>tim zZK6K6884g06#n_rfO~Ap$CVQLPAiS)oSlTmb%OQXEz48BL}g->4_u)rGA zrD}RdW2z=hX;Y(<6Q_xt&u2_52JF*k{ObJi-SA{ExSGfYYtPZ}iI~}}fCUILXuin`wUuF&F-<`zE2rGAv6Q6ecgARLNM0 zItgCQ#G=A&0(OT`7TRg*UWxNgisiAnHdw}<0s)wG5`mGmq);?UY4po zA5gY0VhOG4L2wg+YEp>V38CygQbAw&-Y3SM~iiX3ok5E$QbuAw^QGO z0wVbQFa2o|EacTdVJEU+dL#*HLP>QG?TSjx31%>7T_R)^OQuKiF%zLsa9*fX4g1iA zQj{D%ot9dSPKKfHMY+``E}18O7$3ltKv%fXk7#abI$;#_sS;hWL5-xcRW|MDoRlk* z=IsbKW3qePJ%wIhl>8p*?hL!cIpy;r(p&PIbDV7WN&g7uqi1*6N35YWwTT%$CU5*> zbn&uMb3>TknDMYwAo+$Q$VOx99oylHp$I-j;SlrF9eW88S@#IbA7ON&-EUuUwF3xK zysU?FRq-;Z5LRBcl`Qtx(KCFZSZoHEIX6Z!r($pmW^y|PIBq;oB_f$YZ)%TM`2<}- zG55F-{J2ts@#ynz0Hjrb2DOvs6bsG{>1fY#XLrS6;w?V*s?q90&I>28;9j4#m}eYB zl&C{Dk*_}ix2BDX#@qBtl#^HCPa6CC-Gk3hxH>$A6w;D1A?5;)t#$Kk&Z8rw;S&uv zEBg|zY_7%tyRX3rN;e-W2l4(mV(*az3RUKX%b_q#`6&=T7(bE8V&Fu6=UZX$_K~`Y zYz31>(Bol@hzdtXI2<9e`7=xWKy;R5NC#w@J$g<#0bYfQe)IvX<<|qg&>9YN&(xcV ztn%EtpL3Nb`e%UY`6e z6k%;Xf?=O2%uSd;orZYO>DvWqR0L%T2*M1M<8NrBzHy54vn6mC2LH4`-?hnmk)7Fh z=AVQjSJ*vVv-85A2grBhm4>Wb3MWnG2TFz7YM}}iJuJQxp74DOS=5Xa>`9*M7(!_Ty-$Fz)M}(vaJEou|_QJ=U79Zt_4Wx59I`Rf#V$ z-9U_=f;teDP`&6Y_0wO$BB~&u<1Rx4M6Q-@Vd`ci>&i(Yv!yZs%D@jn;a|N`c2pXh zZiV7;ss|W(sgbG9r@qgR$`_`-trG^yK_A&t>colU5rG}lA<=fCnUQ*TLYDkdpA*G% z_IiY$3!mhU+=XkA^;(|{7V{P2T&X4l_45QoKK)^=dL~$k**Ro>IAa~4=MmJLGVR+R zre`#Jm{|T;ft>Cgd<@Az2>I-d5bXIY^k~9@IJ22#e zh5eZ#k--@5p{JBv+cf#$w#?YOAQLZEGKw-ha&x<#3OYlc`%U=DcPk6NrtMx{aDM+**6N>W$7)|^3#on zj!Ez)By$0@AOSBgr&p*0O$c`V2uc(rR8(x&lDX0_L1XUMr-G5uYv5}NTY~h5ia+I!YZ3k&Z8s016mE!8 zi9X~nt4gng5}*$oHk&w6IFNQP+oij=5Z0Wz)<1lFgqpkBaMEBAp?2k9_t1t61auM| zyVF8iQPV05zX6ye#!%)+B(~uR+M^Cje&2(AGHKiJlpi%v40 zYQ1&T^1VFt^UHejKp96S&4ks1fedDg8M+Id5WJE?Vp8U^D-{C;yS2iNZ9|mDVMVa{ z?7O#$<>8DY^&;Muh`d8l-YuXSbi@)Zd>~11r|;n77BxTEkn0@|vegF2!`73_Y98Cf z^)YmLDpzJL%T+QDu@C^o;1E=s)15~XAh z%HTi9EhFN(&-$_Ac9Y2>%=K13riI3Yb!r=>n7~uB{PdRXBgND#7$?TYyCNBFh+E7< z!Nx<2E*%u90e;VP>gC{;fS1W*nY~bkwOnBSveX>e3=WgEJ>%#XZ`Lgppl-<3;Vmmb zaKZ*cU3{we45hk+VS>cKqX=9bn+wRh5dBvwIi|<@LS3+fLySA{%lR z(v@-WJ*osuiylhT4yuQ1&aOuM5_ms2Vr~)u_0K8E%jrkQMnZxxILS^sBiK*fh4SS& z4MYT0ZoD}UehtZA@a7Xpr{&5^2t%E83od2!%!Mow>WrkJB+LzmH}RTrpWDf}RO6mYIii zAu;Ctf3&@0lq}J@rdzgc+qP}nwrv}$Y}>Z&T4md|SMjcMMt9#m`rN%w-|jwtGGk=s z$jmusjEEUCzUO(Tmt|q~kej9R+gGrG<|iJR73Ee-(pb=SD=9@~)3n2H&dtf1(>>4$ z_ePx}1&@TTn54kIUB+$2oFhruCG~e{s6j8^tH!0+u#QWfawQo|O6LUVPz^UJIVR^D z4W6V1L@)=dZvhNDN?S*c9CX=*Wu%Pa0o#a@%ve4y8q4oYGE!lE9nwA(Z*O=8Q+_j$ z#F^6IC=&pl!qN9}#XE>f?8p{Bw4vEcOa>vwQliBy^U3JzZ-nd9GaM_+S52iX&TvP! z`G$8W81`-wR8`mvOdO@Kt2JRDX6;n z#yv@TlWW7O9Izx@??EuRvO^rF3?#Ffvab~caK@vGf~@EaphodvS+VFG$0A}~kJ)r? zvZVOx!2R%&x<}E)yS6z3^vxZo2=+%_U2=z3fCTN9F6y?2n8`8cm@4^sP z)gX1bVnol$TvCP;z*!5twk*sQf^i{#U8;*j=+a~eDy!=$N#9CgcwS66?|CI`pl%`t z3K~gZa)_gp>JNH8`&u@RU)ES)PrR1(b}8f#0+7B_Z+|f&JVA!RHh!#@)ke_D@Y+WjJx7jY=Fpi3Yo>{`spz znf{~{^|-;qMWfL&pR`)_XNfToQ&5c6Y0TMy@--(Io7q|ypu58Wy_a4wkxSR~eDMUG zdps%dI)M!TjO-a7R?6Y*SAP;B{|utbY~4Zcfmh+UTHwtT*=Kdv4%Vxpxo<;JFG1l%gaHx3SVVTuR)WS+igj^)Nn z7j;X}_XRAfVmDXTt9JmpDx;ZJ!&?!Y@t#UMJWhM`?t z7H{`w%45gNj%ouUP?CCRS2x2!{FFI3{Ci6G9#~0Vy7Z@J;lVPWxJq|71(jj$K&&>B z8gBmKGxZy0ZB96x>cKXKzaOe=uHaGP32F@bRDHz00_o2E-6xfUJCt10gX0H}@)=QW z#MmzkI#Q~JaPh!LT54M&m-Rz)Kf}YhR>T|ffrN!}6JPV9tA62J34gjy%b#JR5C$`ws>=q@1?6el`puyRN_06@8UF zIM%sS47bdbMm>fbORb=gU+yeY!=zYvuv6#7bqFJH>0wk3!qH@Atfd!0u+*EzJF?uk zMq?5~(mm_i2YiIkfvn-l!Vb5B&7^X?CEywJV+&oa@6HCKBo98nP71) z>V1YLw>1#sfeSUAzH!x>r_KIC_>v6?l0ZwMJc{ZM*;IfvJ!6Co$nI2QSK?R@zl>iU z(au8SHrlB~J1CG1#-koyClk@fQZrR^@~34kFKjB%|h&GgXDeuhb^?8&k{-)THF z)cGKtS&V1D2CY~1(&Y#acTqOHQs!51;;iyeLcby-EL9PLU0u9b%2}2OE1JuhWxAvY ze~qEc_{Efbmfbt7|5 zTBZ{Vbjo1%CzW-SQ-<7m3hQvMH&VBn(R~J7>V8!vTsrqW@$ZG+V#DEvi_7))R;~d; zq9-RCuI`|x5c8biOxIGNh$qRW3&?OS6aB121kHnuTqi`=BeXJ7A)p{-45ei_jf+T* zkrVWMrM#W_H&})nYm+_qkZnXRB5w8>GEg+A{P01;41mM17sIn6QeUgxVlvsE zM#y~Hjp&$%c+h-9GElwGOu&FzgAL>jw_^3A_%$=YH(jXH%yfPk1OMhueGso>DerDw z&1*G4chfa7uT)R2ISqZAVC%AHT}!iUY4^2BHJsl33+!zFYzb`)g9x^p_8Hw^|`0iy_*cdtV&@-r**f3t=~eGHNUlXFtFX1MWSOwe+xO3FXb!9R579v zW<{USScQASW1;jb-40irh}yK2Sfae1j68D(l3$we;vMKH!kHqRL@q_)+jIz0SYwmj zV4>pRgMTEjysQ(BxY*g>WAPzd9%J3W$80V)KPQ96Kf7lngUeN1i%`jDuV%`9U>)7~ zQLRuz%ane#j1Qd>rFQ7(-0;+2UaQsja6&s7^Juc0MqXGFQrjRmHBeRJ?s7}H&ne@g zX^6%~WFogstHf3y6P~&V1hI_&Y|- zM&X#Rc~Yhel%Zv$4bd47q1}h`nmV)K2VF>v!1S@9My~ax!L30T2 zB#@Xy;!+2b_G7z0AA;}doW@Y>V zA?+Z*z}Elpk_b!(+grtkLt)4emUt}@4Gu*+@+;VKdBw)*ojAUd9ms|Sl{?}l*Wu{g zn%)AryWYumL1{Y7sUL*<(V8!fY9Gd|KqK-lLV()G$Pl2)*-9@%WfOY5Ct8ys;iOt~ z>y~1VeQTY&^x}Xrt9|<2;H$vgFkMTKAT`~fP1YaF;=GJRD6r4FZ~sipH4bRG88R7Q zrL_i%mh)>yCBqcDyMBb$Dd=<9dQTXf&uBm+jmD*TO&Y1wTeTRjARcA{=x&`kZl=~2 zi0o+fU9GJ}^2%PFq5lLdLn7^c+I;)DK%#}EZTt5%>MLr#k=dXR9k3-Q^|BV3UN%H8 zWT@ljf1SYjf&2ADNLPt=XonG0?h$XpV2K<>;xN%d`0yDLz~GDtAePAEPR36ZA_@yo z(Z;uQ-Yb@YttS@;F7d3>>NJ!sT1MC$&yvcrw^_E^S}RbE$>>b~&Mj@6WpZ?9FV7uK zq53P-Wz*@?zarKpcj4vN8)nDZE3pct!_8x8BUMRaKEeA1GdP@@@&-sejNtRuIaaEpH)sBcY@amQqy{2FH;)ak?eDBejL(P1t z7B_n2tLl!bF>+EQLw$RFgw>0{?f5|lSDS*}?N(dc{R=Xjr~Vhyf-rUh4ArFU@v&?$ zh8;s~!OlD+?OBplc1KNc94rhiu~Ny-P|hb$KW^bH%cx)o_FHl*j&`eO+L>3)uiZ!p;s*rUeY{x+>SUnboa=A^dE z{hTn(w*}mz6;^#{`Y3quW2BGk#Cc&`% z9x~B$9&nd!4J9PYPHU|`lkGE9Usy8}lvBA2git#5H82b`^C_wc?Y%HAuWc;#ntbl1 zw)N*x2W;6lTl94WW8`xfR!=%u(GrFOt`MX zfM2?7HvI5j_W{_cul*cHxWe`{r~KQf@GW?6{A!maA$R{!eDJ#LunXf5*Opu~R+hwl zzF>JYEAF6*8z*M=Cc85&b!;9G6NDAk0{0X_cYyrSm!>Qgj()wNWtV1scMWp$hI)DO(UR1Y8K zRf4r&fZ11foC@9g!ji$9(3-E}5U-z{BuY;!SIx?nsw;9rpjeC9*RZP0R&V!qEV^@V zBO>&|n28o$^{+DE^q!@EUV6PtjVCo74xBDMF_$1`twJL~$2Dh`A@JT*QuTkntHn5AgYSs9b4T>b-lq33LPxJP-+`FX(r#gXeR5DGHXJ55 zPE+n11jI!;l6gsID%afs%FJ9-#lYnLqP7Z4>U^&6#ieXkIq`E)mad||K9~5FgjG>d zlnq6z>BAe^_Vh(943O(9|544vH@5v5YL7ZHL?|~^S~WQsiz`PB$8i$V}aiW zv*wSn)6KLWx4JR(EF9D=fk@Z5+BJ~#fQ8Az9)CG#>SgGFnwa6Bqtq$QG{`sAR0`MIzO=Za3mHf;V&m4eN3=eG<|%HO}=+2P(4uxHl4XH@#5zp>zaWkUUSQb zj^f;zVu|ooa|p6^$(bMx;@%?1EDh}IrV%OBZu*9mm2?fy_jO4n`9zxeuEy{Ti`Pc9 zVrcN%_7sRDcL#fb$*+{thxbPXW3e1~!kIsdp0n8-!qsVAdU@_hPN#>=i?=0dH7zT$ zFOryt^5!`Up5L9Qj9hH)+GnM1@vB%ceGX_VBitnPbq{-AFO69(tPqX4Cs@xeM&FVb zxho`02v5CSI@-p#X2c#wKayv;(T`Tjh}A>ffDm7+>IQ7GOwjTH0N`IWrWw$vMF4Ldy#qCR4wV*-=$K7sb&L|y8}Eq*LE=*n1~?; zm*>qt9U}v9_x>w~?;E*C27;z`#j)|M@mEACr}$I;?9AUJuC{$e>(}ZtopfvBVE6(7 zwIiz={aa21{U>chE;7I1mgwK>25y^)bz|{WWba$GD0lJ5c}ugIQf<+01do&H?>uC= zJD_1_Hy|Gvw+L8OkL;^j&dBZU{yvs@6f8*Z z;`-jXb~vQ@+!6C4gh;Z{MCURYS-sBT&RsddIT!rM523*&y6lg_#E#N!X}Es>x>c#O zNolC3<6fGm6S<=Ln^6)I>3x)PH`+dxW1wi^#nS3kjA?&9t7Yc;XR-w5!$OYAKZjlo zwLj>}&I#YHfegX2XfX^5TB zol0W#C9SFPn30LW!XWS}WhJkxt)uEcgCi=A^_s-(akFDrWj-ALvc zrL9<}6icYF#G$T=`#tQtJ9n5;u7<}7fzdHNTp>q7uLr^eeSK7dxs%zCe5$_Uz%l&H zl~X_Jq0H|uEx7iOENr<>97hCGuDIA^_HnN){(%udbBciha2yBEgFVf|*p$A~W{e6R zFuJ2|?}7y>qK%e_npc%*OaX*I#0<+ii(G_yT+h^E(CMmfix7L)ACC#RII9{0iV984$9LqouDV zZbTvX?{j6zl65LK79oXysMksYZstg%W$Tipy^p4E?t z4ql$07`T{HS1V@obbPom2pw0VKy;yQK_z_1au)b_FC*CT2bwwHLc+;wG0HSCgcb+xc9e=xH_}HNJe_ z;`-6i$!0QG<#ardJlLXh>}_$b`jC=BE^+yGINWa=*_E3lo@Ib#u-t3o*%I-w-*4k; zzFQm_lAR>@xNxw<7G2ML<6+w%loP)4d~J(t5^ItA9jVO|S94#(?tJi9=wt0#Wfr?0 zl>~n&Yctbky{J>iUW#bHg1xD;Q_Eh^(Y`K3X?(Zf#aW`wo8)c;Jgpj`Vi=>N)6DkB z+5Repu1IUo3TM@$tHiv{b&vUTFGtv<8gGlV)4D(oXq3HDj1> zr=_XUU!#Y@OicT+Iy#WaWK8q#g9QWq(EKb!cb~A(*QWy@=!cggfHpt(KW;sWz3X%} zk*yWW=bqi2MbH;S7*3-%7eP;q&5V#;IYY6~5E5J87{2WTeq#dK#RaqrY{ZIvM^4Bt zK4~vui>Q0!j_Rc_ZYJU7DLyU2LL6HZn$hW~u{GHz zFSLEs8w`?~b5%ofV@3&D{P{m)st11sx(!n_1KVy9cw_VEVrOG3|Td%07pJuD0N{~21&5xsdd6+MXt$M}!$Gu? zawFT>y81i4;>tM#nJLWcFy?C~gu~${v>8YA%?$hPC$ODSx{iu8Z9Qb4OFF&8EU>JQ z405~q``kAoo^Db#N1)%^ejwOw!vxIyn)WP{=C6bygT&kjno1v%`$E6EHPA<-JOJ*m zVb6^rBkP$Leq)aIvssHwx4OE-$HaKMf=$cw?+X{S`-E#Ko9)03K4*MVC|{Pw5{TcS zUO0I#HVVd+IEUY$TZG2xC)V7rDtdAxuAB1-pJWw>3z)(55HT8UhYsi`>E3=VgcDeV@58)~h#iY~l!U(ZK z`RCo&z_EDmSbz3%m<% z@_7SdcXE_TulKhjY$EZGMPDK+Rhd0&@VKZfVK6fvaJX0u4SeNKZno*5a5~lA-?HeA zQ1t0INN5w&h{&-FQT_;exWl}6hHC0judb$u zTYng{QI;DKiD<#pGzb_F{|NH#_}=HgwkLn`)tLtD8^AN62FEM;(1@)B!HU^aImaUO z2j^rCpE*+G=mV7KPXk1@&{ocMC9v^ANnfC`44uLigPLidZ=i$>Dj(O9mj-x*p8xEB z(VE?}Cf{Ell%a3*Yt)bxR(&Anp`}5}F)to3Kw>Z)6W6=_Dd7tD-CmlcZ}|L<-2D85 zs`XAh3lD^C-SrumpjhwOh3iG*r)EOnvt5fy?trFtVMl&46lD^}I_oG2$otVdE?R8~>Qn&YIPX#I}*bvfgH}Pf8du2i4L*zKw>o zRpDnK91Dw+qN|guTwO2oyByCUnH*;i0%k8TvWyxNAKtq@@h-p?eCiFbvpA2DWp(l@ zo$3|69Cyb=<|uZ$)B);qYgvf&n_LabogE3X1q0%d`K}4DPC&)QgPsGZK2hpaIx$5G zG=1GffN+s(pYj$VeklUp4i$6Uhf6>{>=nrl2Ev$cz@>kh9N4Q-LfnNo0Pj(<(lwOx zVFG}_S;Qz=sPUUUaZ= zrPT>W;mS~t;{HCf-ir@&E{Qa5xpfsBu)&M0O_&D6&3oLgt;RT@E}KfbjEcskQqh>E zOd$;lTBmdn1DeE2*O)ZY7uL|#%heFBm*LFc2Qzw|dA4|L&7vY8HApETwoq$IO$vEu zvXsqjV}F5AzlJyZmGgLi;!Xcz&3W zkH2aYhb0I6PrIY4ci<_{ZeKQ~<%dL3A{#0jo#hn_1{T4v0*p)MSu6|$R8!Of_ueYD zaKK`9#>J=TbR~OWy5kvl`0JqW8G59i>bH2nBd}iw8doUb;;I-p$VS=*h8TbYi&8OP zG!#whWVtm_Z49vhhT}fhn)AdgFXHM5qCvIv3OpB+MF^EC001KY^chq%vsVBs3u0eI zb!0$-vqsJ!%561ssU}eZ5}^Z8K}uL;@eoM@24(om%;kflMKBU%e2yZ9m-BVtfHOv< zzuvSfl=t|IB`e~W3~XvFUXrgu^wwGkW(5lme4Qv8pls$+VNsXWJm12&g|Z=WQ4Pyg z;T(B>NI&8%z$aPoAclDOe32pXh@lXQ9AUVp90U*y12nwPJSw#qjbv*&djrYENB4w8 zoTa|AkOswzWnc-v5-O-ltu%qWX;`6}RY6z~FfLn+ ze77K!%oh5^0IrRw_;$Pevp+Nav0z|{V=W?Jt%V-?(jgKBR!Vp*XNs6i@ih}Mz^`XB zsTTN_D3!{F?kyq|qgj=tOn}uGoW(#~Wncn37%S7s1eXC=_I!=xxcuz=)CFAwpw{)VImT^iZE*tyvxFu&6cJ@2MZZEeYPr@%J;pC!>gfmKPJH|pH4bHJ z(V;(u2>bLe9M=b7`?ZnZ-@u4}q;P^gcL0T{<7;CrDsk z(h5jg>5&n41nZxa zL8c?U3Ju4kX_Mw)WS&#G>k5EyIRO{ihrWSE1Rk&(5bZ!&x$5#A9_z8^k?mQEuR8OE zz&F=2;&Z)w!36BE@&>`&{%;UGh>3)H)VAqnw5qS5@ zoN?I0?~cK4)fan4HIE3D*|Rj$W+2u-9F6)YFZe0QcYje=nqv8*^vakx8f4%VD8z@j zB*TyGm59=0-kwkzFHv7Aj{iF7^JDv)*9M{8O4I0(;84NiEeM=>_zmgTjYTX~L86fg4~^ zUHZTxb5Xt?`uDzLxGrB_q1!fiYpG{==v88$zf^rAscVEsN2hSO`NbvdllW_#SjfxfHw7z4^CC-ZKwo-rb&f2OC%ySXrdcpfEN?Zye|BjhizEI;?4 z#%0d?VzB^O#+0bq5UJ)+T=gFP0*<)R@W~R04w8Q)9nPM;!F)* zLOhKkLhX`S>3Nz1bL>Ju^^_V$8&l!d5US2X`Njo?G?4h=i34c6RT5p6zy;J}0D3?T zUArq~{+4K4JL}nbBNC90pYcpQaC|=WGn?epDUj+}A4DV@nG1vTdyF?Fgc1>v14xrF zzGNr|@aVV$WCuUabLJMn=b=i|z4n{9R(}H=Db@gU_>>aUIy&LI58GJ zr5N%dNy5Z376&*nez$)0t65o^Isj}1k=Kk`X;}d{8(WuByuqa=HKlKGk6`{f*KL)E zWLQ~V)X6bd9h-IB)5q%Zd}%pmi0_JX;!(=W7{;Rnu1res)-n!N@8DdVeRQ~~+W!o! z@#3SwK%v$V;-v8~h>m58$|;+1wqzMvcmv?;C|3wOqZ5`{CxOcQ0S*P)lKp2Fod3Vb z=6}>o{NK>OF#Ts_^M8c6|M>|NdkD%fR}7($xO~Ao)LPnf_lO`M(3}|5E?L^dG?E zf0Yuz!u&r{0{+^{|Btix*qHx~Ap2L!5cdCDQ3?Np#qQ4nTyXlQ9QMEeq5tLa{!hB& zzhJys{)GYhzmNC-XzJgMH&!vSf8N-CWVioQhsr!g4w02k7K-td2<-2eF+ zBRj|c6zb8VzAeAWfZ!jO{5NkIQpwIdkDpY<(gxTT2|h;3Tfkd@#zjH_#CYTTGv{y) zK9ivmi>jq!*8IoMoo`q7W)cYSaLk(=6bcz89!XCcLTanc^xjr}dg{PH3+)!J1p=Wu zT`yHsMT{_1IY0{fFXRndg`300_%XsAt;zoIbyiL@L#64DzDRF+AIZ)`8QrxF$-&?i zD-gapRI%ld3vf+=6LGG({dQ4WQ_)$6T{$eeeRlEUzTIy1J-5<{etJR*8;G+{inXJ| zMPG_;j%w&;Q587Klen#bilgyp=76P%vI8fF)vsvYdwN%J#V(NqbUDfp*EnPe!VA=f zR>Aafye#I_h|%<9@5cc>+9!9FPS_5>Vz$jkI=V*WcZ-YdYN_g+@XTfWU z6Bm0_mv{C>0(I}nF>ysw1kvo(1iiBQm+?0LH^y7HWjs37rDZ-qi~^J-xE@AUNb-(4 zN)jW(1^JSi&Zy$s$C=N1A}X%!fMgK+o}8~bA;D-rKF!fh92=wxTd#7uXs?q-j+^XC z>4D4WPEITMK?rOwv)kl>sm75VtXEtsKIVPa1SZsLsH|%b40;mo&<;mms0qzSXTOUG z)tBuD2xSJTf2>>5-_w0ZS z##QV*KccLu9`xVh(eHnD3Rz)`Y}BRDxZ4pvSEzX7&NziFsosB25yJL%L6=5sR`TQ` zWU6|rrFiv9GvV%%`=})&tqqnZ)a1@@F!fain#Fe}i)EX0QYoFe2s&!8S9WIpJLFwj zxlP*wFQ^SpWh2m(fTWrhyJeU2f>8RH&;I+`iNHQHk>H3uMc*q3`>yeK+;D~GVuiVC z5-ughop8eAzPS%Sci!x!*hXa!+Lkl^?zcqI7R|Vnf^hC{zc61@!b4-o*)WzJL&QHN zvf8Wl{PkMw zX)663sV?w0EM_f08U0Q(STv$BmRG*gXJ%XG!uW&Ft)rPVBRaLWXn(Dg>dct=(A5;? z$9uVCZ=U?aSCs93&i!vhGV@V$Nr!|#EAf{+;zV!@WLSYd5WI>|`_TqR_2EoEN8>=Q z^4GwhrU{94gn{vRJ-Hd}FtGQix6{8J@dj-1083RVvkV!;Jgy!Voofs`f2whbGgE;Z zg5r6_WT~t;F>H!)uh0Nbe9$1vb_snS#V??Ei?APyQiF`OFT&&H|I(UT-c*qdQV9zb z(hP^~eUYLn*$fBw+Y*!gm#`rp)}S~gme{ay-7kksZ}O6ekEmanA6lAdC%$~zC%iP~ zm#nufkqPdG`S-nwgC91)HvO~`~evYp%_n)xw(#@3@JeBz~SXoi~)7t!lb{SSq zEv8NN>Z-@9G!dpc{K^db{fy&UYu)Axg-lidpnh#RtGeuY^UEH8&FVI^y7p)?PJW{` zg&{TzxhWe&&!x_}M0K6bPWYFQeqU2+D<3{YTY@@Y1^Rv2&4@MnT;>-33QklzG0{b&cg&QcB{I|1RRA7RE-Jvvtk0ufcDu7u_UPKnv&WBL#Qc6<&8LpJ(XZxnSH@*!0*!P~v6OHi55u8g8C#!8 zPDxGNJGjtmXT2Js&vfNhUGWhNZ`#ob8dAl6%w5`SSz|vx;Q> zQ$=!_SCwjA;Z4Rohu5f6kdGU6i&=YT7>q5ko3PJ?zAtY5kk6{Ap1n+Pu|h&kpfn~G z8tG>yGF>#OHKbJ_AFkHYa3Rvmz|N<|Xm3BFAuWB*vQK*^9H+g^)>+&}RG zxT^YlfcLVJ&fipbx!Bp)VYbt%Qg8CR)m3$Ir=j-ZKz&73_Wjq&at8!|ZPjaa)eyl( zb(L%jr7S_`FT@6z%QLW*Nk^_`Bh^Oq)6p^4XWg2r(F{Xg7QU+I`mgtHvfbLMx{9*8 zDzXgh?qr;zKtMy#2R>;=vZ3wsT<8e9u_`l@KmNqsH# z9DIr0`A#m>{V~($yT*$}jtI6Xt3?}KL>JXn1W6R^6pf)^yDgX+<&bg^Z$l#=9p&BS z%!^KFWOYV;jnE;jvrcpug5BDRx{6apAO#yKOtiV_-$63rgjeoo1ww=Hg!NewyvblCaetF?x9kcnS&clFc9_PtWK02 z#IvIZYm9A0bTSmdqgh^`?V46V#v>`knZKuWkFlLU6_s$n%+JE`#u#d!Y+;wJpl-~Z zu|w^s>oei2DZbIR7 zK3f7t7;3rjxs{3PI?DuY^qMUiai93M@J#{LrVMefzH*%?C&;1_N>%6al{;#MQxb;} zZ8H9BbiSv{TM>gh;HDn#8;Dnr`g{oHAh<0;jxqL=l{4z6MFL>e2(~gEZKl^%1!0c* zn5zH6c6C-C^#Qsy|Nbkm)`Q*<_s#G*K6g?m6Js=2rn8;qQ;C5Eskorfv`>W67ncZH z#lFTuyO2F4jHcmRZkqx1L!sCrU%8>7odt1O|Tz zwy*eY@s1UVEDvR;NI}~xH=oS4@693uSO!aQf%cP8Sw84Q7952@Ap}E*j14|srk17| z{*V!!a5N)UKc`du^18S5*Ez#c9Rqw$c#1uI_`#5zS9LhH{YSaY%^1|bud46jonIkS zR|+1iitOu9cL4%CI@6`C&f(gW7p+Tr#+cZomQU=UCuVEnvmu?Djl=@1S+d%mJyk zNu_bA*_zJ1%$KpVE8DVAfNP1EPnQ$9ggUoEf6>?pPHk|x*b}DqTQkdTfW(!%>hWyVh|+5vJPMfYQ4~;6 zh`5MOAAV(AHnkAo50U%`^uzS_i-M5%Uscjdx@y0p8?9s19us0v%#snJ6h;4{O|DfK zq0x@NTnXyI+Qxt=^aJ;3E=h(#(UeEZ4e1N4Y*({s@qA+P7yr0e-Ed^dz5e+NveWCe z;(JL_|3MU*kXKX)N2kXnOQ5mPr}z`NDKgxq@>nH3`}dv&EpIPh^~Ofpj?;__B8NXH z?=_9Gx)k)MJ(Fz&ow978k;9(Ek}(p(P`Zo5j$Nk$IxiV|7gkcVs?zmu{X{B^KWSq} z;OJGB;BZ80i}0r9ML9vkP`^3_t5Fe?(5$yRND!;2Uu;b32TfQ7BT*4MqL*XHiM1OB zZ;G%q{v>iA152u!mPCxG6UJ&%?xFAxx$y=}s-e4_NhyH!VN)pa5m6U=)8fA&7_}cX z3%wY@`5eiBa!7?5n$OMHKK)E*HQkjdNX22?n=E>CCS>3>(Krf2(tFlq<*< zZ}P^s9Amo^^N)fnZNp*sAS0UWCTs^=99H41)(ip)p>Eh2JK-TDChe$Rq?*!^)o6Ds z5(7LCuK4hHOF(0c4LUK2wMLQdkdUu$R9KASD;*}A1KrW~gLiPx3xd<>layPq2CxRS zA%U;BV72~8(wut^0yUZY%8N1yEr&^9KVmV(cunToAfO0A4?Yhe4%VIO`3fp%L4b2T zz!BjPI7c+P4oV5v3sTj}(`4fQfvWq0SRF4e1g2gV)-~3S`+V5d-X~<@txx{jYx|y? zprgZCKk-U0SW)zCXrFj}3D_j?_wNoBIMK;)D?zem&OuW1Hy$hx_j4GICzTq1qU=!> z+O?|V%UYW~EBQIV>WXs8pEHay#BGhrPek$)6=50m!;Xy%d)wW}9ZrKzp9+|6w*@5= zO6_c?`v5vE3RwyAe&p2ylRy^pEQEa`LK?d~g3$*Of$gHakmi2YR`S{^`|YsSmu}Pn zQXM#J+AEMuylA|hPv=GOF9vd7n-Z7cBEoKo8MMjPGPi+wPEn8&8qcz94JuT?#kG+W zt0=U?ntPY6Y>Y~76<`EJ?^PYyzjqVzp4RquUZY!Dt{kem(xoT;-vQ%H>^-g%=9exw z9Tsi)K7MTN3oM)}gpM?x-4|&r3D5Lm zxbVTpghPGj{bIO0eGq0tVN=rJIAO#u{9w8^5Mh5?7X40iq}+Vw3SK<^>eOYBzV`Wn zcgC)b!{7tHD;Syu@_yN1e`nR1)_X)?4%r!{*ymW>_uX4KecJrqb;0-@z7=Q@_~(p= z;ViEDxDDLyyH_+g3@F#Sz3%9sgvFEJ)P|8#s(U!@rIJ*?wf03rLFJ|1?&85Y^t;R( z6=iGu9LlXIrm6)L@0lG95GN_*gLJRTso@w5Qip3K%QsyJy?*MC(cb&*$(q=%SKlIN zYdY*0#%aqpr_LC&ZZ1&Go9N>b34= zAr=4X&+3Y(>OhO@eXQu*%7`~<|K))yt^X3)N^exK`noaL{~#FS!D*wpk6vA(d^ zBplWfYaS74pQR3b*Bf0f_F(ie4$tRfTNOKiF41K#g+s3T9+mrw&$=^h)z7F--@~1x z)}FRU*>%0kPv1&3n)bSa((Mp+%-MZMTmA*axI#@{@hMjBjW>!=qF?%P9&A&@Wcb0< z#;{OfH@OImI5AwNslY;ADO}J-soYgJJVQu`Xs*=nnh329&8F0if;#Q&*Hs`oT%js$ z6t+2Uy$~wg^*>5sA-%bbvhmIqd~9HqS4IwLhm76gafM{OY@R@1%;yhdc3AK2{h5{2 zYh9LS#@o%ufiAa|1#yUUs@4lVRbhMwnqg$y*EA)O^TijHgu{@6?`6~H2p4Y(5$1vc zs~TZ&hwt&tEWI%>6H(>*$S+|o%BXe-9>MGI1aY~s^(m+nLwv1>!rk_&ww<*MaC(u` z*3fS=EMld>=sxa8#?o=6~$OIUI`5@rOQHD?nE6aZ{hsXj= zV4b7KlINYnqOOZW?sfqtn$i5Shb!&d@_~C?5$Stcx`+lrA4oYk|GiO~B{4=Qy9S zK4xztKBc}YO0=TUDn@)%NHwHz8zxqyFdQxakPDoaN@NVw{M{I=%nmxBPdjN6-Z>d7 z6e8V9=bKJIsnH#aufb6&U{na}O5cZ0cAOL_U@yz{)4s?;>pUP6OXbQwY)FDt$9UI3 zPs2c0n5IF`pbo@<&+r`Gih*UMVToA=w%w>@LREOlA`nt70|WteJIUZtLS?uHT33aI zwTbPS`~avobtEFm9uC!I5U8%}#(pZ$k5ZfYq*Z=LwvS7EBnZJrJ5MjdV&28WJ)rk( zFuDy%MB=Tm9j5`&D^DIsWctfu7&ANlVvgYGeokBCtd00CGMy$3Mf&`%sb7={2k{GQ z#_~yD7wRSs)E@oW$Ny3HMg{)IgU#D7-==buZVW7hv~Ko2xS%*Tifq0^xeGtx4do(Z za)_1WjC70G0%1A@S!pGuTci^^L4l@JcX}??#nX7);{y>1RPHv?6vWOu)q7x{`bv=EjX@c$8r8sD;)oPtrln|* z-=))P!I+w*8)nj(GO0g?V1%&-t>F%~jQRh!St8{P_Se!Q2BukAhzq3}G=moGoz?17J2kioCY zkwxbS^t=n6llNbc`ZvqVcwJ=xttaCvEf^#>Ri@q*6Qhm1Lyg9&vyGYqZm$V+sVTCF z3zxK}C6ztWYL%ZQ7Nkr;SA+Y%&Nj6vw9gsBbkwoo9a3mOb&CmU!n5n2mPDN!P4>9j zlHQb}49@?$0Fc}(B3cGLR8iw#$pz_k0_&wjgTk)NIQ{>=!o(wM)FM9AFffZtH{`H2 z*u_|3>mlTH)Vf&o;bzYvrn>DOtEl^}bp_(i;h^+JmfufVhmAADV8>oRcMC;>B0+w) z@~ElqomQ>#M_Lh$DNj3HEo+u6)X>aL#&KAPxozax|FR_|l4pPp+V0BkM3Kl`B z1w|v#kYcwNFeFk;PWNW$(M@)v2x*x2EyV><*lXUp8tA5W$1qyEY{Nu>^7%T$g54ZL zSBLed7iJk%?^PJ(<2oFZ)><>i$OMb`#Q~7CVLy&S{h=H`d6oEkvj%%QD&1?|!i8h{ z5JDGSlQ$?Rp+uJ`@t6nD$cuAP2*so75=iB|9v58_c*zHn)X95u$Red-YhDL;R9SRW z$I(_OuBtm`6MV_PhC5XFSM@-@puMxF%4Ni~1)Nr%Pl)*(ZxtihS0L?E!OfZHHU36A zINLp8-E3VPAP9H+$1#wO>%aT2Y|n9@d7#$(o~g>UU#?$J2edKWpfRUCHuzipCn@^^ zBHYQVvVY|hJ#DREt{DXAR;%ES90A9-^H?kaK-h5Wk2C#X->eeYhByzep zhtCZ{HjnlQFM-Aah?!5#`)b-1XhCO(YD$8oT(+G5)!$nm- zP~03IiM$V#5W`ThoqNc`x4pE5`{#yEe-vcP9TA#3kSZWU(O+VqxF;GX=-y zDiH%4FZ>i#VEEF2F?lG0D>pbJA|cAsbp@E2{0C;v82_E6uu=mvV{epfR_s6BwYmmf1#SNu0tGVwFmWfN4hAFtD zGi|#OJ;olm$6p@A&%mHNZ93(`*;_~QE>mbxebFGSqnYj~Jc9+4Ui-bEqNiNigWO_d zS#POC77i9ov=iOc68V@^t+f%9Nc#bARYzI{?Rg2=W(fGBRz{Wk7Ne&H* zV?>b1lOHtbfxG} z`By|Q51lBh5W?dn!ND?g_~`zT5k)@I?WYn+Wo1X1)b#yA=w&47aFfVkYTU zeX}yxW~CHGDe=(M;c&xq!_i5W?5q?QilIEkBsX8prrcd3RTcin`FII5hi6x(Le;&` z#k`M)koV!dzOrHg_Im9C!L&A}>r8*Ezmrn})`j6lg@Y1KBmM}PUEe_O!+u1O2s z)%b&V8@b1{>8{)0xb4jHVOJPWRYG@FaXnx3$E_tjFj4Ycbalk+v}Mp$V4gSYBwaer zt-&5H%*}Tu6^S@uDo?0mWu@fRaf+9O~{Lk@#~>U_394QBdZg7d5z6AYaFQg2jeTEu_<>clb|` zwNLxnnbHozr-2=WLb1iX=EV@fs(SNZ1rBhWb>hS@Ib72@^$V27T+T5>)xAH6g4+mY z(`zO&+~BXX8270q?T9OkHk93ImJd7*F2vmzgu0MJnfi+Gs&u9VE(`F@9m=Izj3ar02L}ec zf8Ij5j}u$aQmXf&D6cw0M}QAp?beNWzGio}HmjO_rP$-A$)~ktOI%{4+Fm(nCCq-$==8wjlu)LUwb3XtBnfYJ=E~UB95{uX2+GKUUq!g%Mqy(L2Q=t7ks+#pwHL+XOzV zp852dBA=U$8x8N(KE40VLzZF5s6(IpVz#7nqb4%Izc zap|_(t|+a@UtX@kev*LgmamYmyX2dYfdV%1LH6-+^`~Fpx2=4|HWv3=SVv;3h{ZfF zJ>6yX-gPaH}Ix61tx+{eG3^7fP zNXf%bhR_$H%+b#u@NGFu4n}EKLy4FsPb*Qjr>baXjj(YB{5;*%BRtb)zQyH0Ti((R zh|s(6u3aFUz)YZp!Z+DH^SDYR8-&$0kzKfSJW=QqvLAk{nYsZJv+!1ulzvMz3W%3}TyHBz~yX9dP_+v^+*3^lR=DQ-#)eb zF|dI*g3qm6NI>RQ1g{Tcj3w+rw(X7DK_=;U0GxIIvd3-!ACyz^pR{+?Ba)EIc~x;r z5M_m8VA!=zd)y!VLPbpfYia1RtgKeKkiZ=I*E%$E-aOuQdSgQQvd{wkPsdIOBJc_9 z3TN0euBR0OZ*@-6@MiGGpT?+Se(I}y?3^U9L5|bVX0?Njbi7-EmAB|fgWbV=FuRm) z3J|`?5l%^3F*f^gr9hSA#V4l;*Y!xI#0_q0;(esT2nXo?D}hx2Yfw zdwVxEFt8HoD;AXSEK)#}Ciw7D_aDdLphpk`a6ID1CM^E6Lgx%Ilx1DzCR}{t6Wn~FO zI~YH-4u`d_ElM?_hl}aO{4t7Aax^ww%JV68Lu%(1gviFT7LqS&3d*PHdbTb#DzVqV zwRm0G&E;DRZAPHRR*uU%8&?Su#9+47YZ?KEzLnzZZjLHK*EczOF7fLf+OibllO1%$ z;3^%ASvKC($fkqjvm3W3>rQpM@TzR)3B9?jI zEExHw{W{zu0)r!4sx-Eq8v4&VG}7l;y7zrg%IjdTki) zxg)zc9My`d09GakX9A%hW>|zo5)i*ws!s@rrABX(2!z*7$Mwad?L|8*6cBVtELx7% z%p%MstAyl52;!7T>u1Qz-~5S3PT?PWh^8EXXnGT((24M~KqT-%6vP{reWOqi6An{$ z^4K;X`6AYLqOas-Q*;%8G&C@oZWI0-H+>>8529TGqpGy`tfjPT&^>Ng|ks=aC;6`vJ+l;S+Dz6&K@mrq!%g9yf^(z|&>}A55!q z0w0_{S*Z016x?kp*7XMB)CbN2m+9SWV{`hd8v!KplyECDRb;b_?WU*&E>i*`E zO!fvMMRC#W#01s7C{-?M024V_Qs=>r_IYPBYqFNo@ZRy?8 zg8X*(jASQ5)o)eM?@Q{Qgesh)rcOKHz5Geaq)&ZNI=?E8K50jg?cs3g8LdwA8?_ z*K}3beExfj_;0F;iS`BdBv98^r}c8Nh~znYc()#G#ma$eoBtHPoH6s%DHkEFw(?$c zQpETMi7aK4WqXMsmuKP`&(obL8R+Vl%^qE1QzDt;m_2376B_(mHAJWdGfwp;ahh5{ z%zUaAOGO&z%4!1E?k#Z*vB>aOkM+@lZI(5nt`Rk)9EjR&;vQQ76uDK@}Kj zEg@A-7#hU*cFNNKkn%O9QPi1Id zvENu+c^G2XfEA>SCrpb=d3vb8*O{-4*u|07*J3l{3RP)`g01z}THNSm*osyVgkHy- zbV04&ul>OhA~yS!YpqS>v;GH-Be654tF3t()K2oV=H*1^CZvUW&$aRTE{S?k=dET{pjZ!ybe9VXt$0D_IwfIOD0Wi9)Zu%1{^KN4OdghBJDcve4({ zo#piN8oY7fclri6vYt>+{gtd7XEq}Ra%Wdbbv1yzp+;0U3~e33tz(*z7S6ZNKeo!< z)|ayFN6n(nOS~F|$V0Fj3_#SN9;uNwiU7}C? zeGsa}I|zOJ)1X1t6T2p!w6}G`GUn@&PQ~+V;UKjJ=ZHoe2YtjFLxMiW!%w@2;qXDg z(|VL!W=Ub3*BB4%Ko_>-Y4%8Opn}maW7c3&SSelGQr5lhv{=hutBo>$DuZJEdAp%aP=M4}H8&}XFA`}er;1L2D&^yw zVc9IfEQQEMe{`uxqDA6kd2@-M{Y?cV^`hdGzGSs)mn}IJ$!+Fa*=_2}kzA!W{Ve8l zL(do#{@BI1!^0V!!*(h0A@1Q~>mKqcn4Q&hvMn{>5nUM*553Qmq%)_+>nwsvN+mdj z53J<&{!5S38T1B{0xh=7QG-??d_(=nO`j9SJxMrW`kmFs`>I+UP93JVA@P@i0lTX5 zeJzBQQjc_`pkKQ4&rnyMKr)nQD~bB0`d*+t1p`4`u`m%3-~ES;6dOmxo!G+`iE>sU zKKB9oU=h9kp_n=2+OrQdr<1<6g_v?ToT>ww^50XDyd2)^P!TvzuF8`U7LO)V#34|&5=Wfx?CU)XQ>r;LUd&atNI*M+=r3%T)5=TS0B|4Z z7o{|Pnn82D4z(KA81+!{-@U%)p4y?kzLAE3pq7$Q)lSFD%kx_Ra%i$Nb)BEuCd};3 zzQ&myo(%rpjJ3?`@$2h{y}r2pfk6~4IbSm~KVF^}5WCNly*_*|Wx&&E8%4og+~bo? z`=k>x&=HUnp}K}B{1BD4fFg=EjApI&sK6K%C@anQtv_oZcJmwwSVIC6AfT2Wphyi)4;LiC z$-6q)-FtdAv1P4iH6n+FR?2E{Cp`in#Yo-iCKH&N(Fd*1Lg`c85A-TCFn@1B!wjk> zsjtLR5bQHN=3`*^uq|SkleP9uM-nN?fr1RH+V}BRVkE?k3Wuk>+niGp5suAmvsXHD z&KHk0_M}a&@9IRcz<&G2-~?hsU8-6I>IMU(M6geDm)~zWp1_hnIUCHpZ!6hU}EEG&3?XmlhX*5l?P~RFtjRFALcLW4Z(A=nN4S9d- z6!;wq`BOnDqAqN%c!f0cVM=03xUobzd1*=#GRyK*4LsE)G3Xc^MrQOg%X{x}K!^a>k( z^M$B`N6;Up9hH#47WhtrfV>6$z54WnTI%jb_$+FF^juTlC_YiwsB3%6pw1#u3LsV< z2UQ1NV%$tA{!mMfYZJ>Abyz4%h+|8XZ_nRZ0FyA*k^Ud=O|kEXzJf7=xh;Q}L!9(C z4+>E6^3LU~**N6p_pMaoMt&lLqQojKLgN@E-zdQ*1~O_BT-<61^mu{E|U%|P&{zEy`k zLX=2_VwRpF^@HZWymY}w=Bv(&5JYKU5Pl74;rNe*dkcDu^g6lxKFv_*_U#m_a1neu zO#cm8OVqCYSEIX2fYVq&u&EV2x&+om zypHDmd7IY3*(QC4{%PZ=)7BK3r#%Mj8ShLEm&XSk2Dv2*nt{u=N1{{c$h}>U_cW>*@zcSiWT7wmrwnMEf+Hc0iLv-|>z~QJvOrf8wsn6BGrP z$Q`A^Gw!Dah1p^&97XIJV;x3lVV_c{3N582FnC6p2;!epB07!U_JjjJ+=M5;A@3#s zs?n>~V3Cn`Eo=7vTP8}KQFh}f>BK8KZ)jrrljSkCmOxq@$%3l5*3Lclu(f?AZug>A zG|1cDJ}9I>(sVa;68mOXi_yAq{v-OyxVx0>XK6N8mfbtM(Tkd#UKP7mUZF_+*G0Mp zFA&hZj1|gOL?!RB7}-9Xw&3w#;m+bo)Pn%gt&)5n0U##|{3;cPFOxO3R>9vgK5f~I z+RM(qdvq#jqfw5 zC_Ht?+km<2Bibo#$;K{X*psxEF9S0O3)uU-+}T6`*m1|j&9CXsKvVh{!vf`Pi_}op z;!meHLLK96nY$LvmogTo z!tw6V=7QS;k6r)TEhu7_Kz@7R<7!7OVKF6XQhMxSQ^IHLvgS{q`$K%t-q?5zEk4nd z*KWtI#Ws6mmk*vo8&jz;LF+7Wy^B|(Ob4ZUPC=lV`oynLbIz#8Dm84Uo zpikxBd~tCJQkTMti!P^SY#4JLyntZ|&nk>r)U1epT!|i#sWelzNK%MLZ)yw3s&!i~ zl_mVe1H_^~jnJWomZcl)Qk<(l!-VnEGiXf%C{Aw2r<{#M7-qGn_N676=F!Z z2F~^0h|DuCGg~27CuWBM`Cwg*oG!CogPC3m#}?tbUY?{kRaELoj%=f$y>7$J`z$&9 z3T#~pIY4T@{6$8Vh)zQ@_FaWiEa*0(<@@$cH@*^}PlzpIdp0IH&LB7JsQ+Xu#s zxkoO6M;=3n8nRDHMD}YGs%hp0) z=9&BBp?SqC{}?@kr59V*Gy0B&gRw=DmgboNzbg@0@yGHcV!Km7%`(3_-GDe!4O0K? zHW>)^{}5+$cKU=hGj)@O-l1UwK%v#yO$GaLKsd%)7k9ngPVk24_&GJcdeaOsYrOqj zG|~K2^S`jGzbK-1?EB+P?|j;UVlh8u0!FVKsMx2ehi#BX1Quf|Ol&P7CW~UM9S=a% zWeUw7Hr7=$+7UYg7cNaAzLF>(-#<78gd*7{zIpTlp@6F7-Vn3QAEaDUt=itDx!Qa5CF@J^4CmDbZDuZa1z z>7OVQ0f06KRcPQVUW-j4yB~8RucJKj^6t~2Ljm1@>P^xakmFo079SaM@RB-IVhw=8 z{!+>k2kd5gM`;;Ir>chxY&M@R2~WgunckfKk*=~H1GqyBD;56%jVbKNeS3ytTPw5f zaBc7k7l$69J8Ldo18Z95lbstXI2RyG4G2spb;07cF3soVFNaEx;8Oq;KSBMmijFQ?luJLqq%v>acjQUN3W{zAv)}U<^dX8 zHSQy46Z^Yc_$F_toD^A=4^U z5XbInYViK~GkL8rMmSC@DuZEiON~js3z01gDlr1}(*N`*+$-kkaWAAYcv>#1&g_pG z7EN6@^%MrVko#@+c>+`_hlqN{w~n=zq3UVW1D#2}4OUY>t~R_ElkIoZoJmeBr;5i| ze+3?IYDmI`VkUCpgI?c|HbkLV-yGcJK9vz+BzWLf=X=q6p}k*^`b%0cVr*F!qz=TF zLWCZ)BXl?H9U7--8zUrTv!^2+9Eyi?4@$@KC6vp#txLrDby-8wnSwl7jQnHZY~NqI zRACUaX;Ij&vmm1qEJMov$);-Zlzc{(=4|r`KCBp&RajFBA!8ZiR19YJiIsUqf^HiL zETEDnbz&0XJSLK<11a0naM@+f%&oa+Hf3GEfqu}24UGyd%i71oFUwriZk0z;)6%87 zbn2o-wh;U?FYIQtRx9tMNB$18n$CaIX#ez@_)p#PztL!HKdOwCfSr+*j`bgiE*ss? zfSHw!;r~sc{a;%${QrtU{~>h$SE%og68#tc?|KXJyo`*u%IrzT+9=`UdWJfTbkAHfX|E*EJ{(!|>$0^4xzW(`f#Vz{Qw>v2t zW9BXIvf3@!U41O+IMja?N_U?}Q6})t$b7t-rP!{=ZPqh*gQY7T^^ShIzdoJwYtwea zi#Pe{M1XZs^M_B5yqOpErsF2da(9*F@50X{*ZZ^LYDX{nsrWdvPVkD>Ya5$`3sJ*y$$P5FT6Fxko`6})Fo1YTe}uufh)K^m86?I-1PPzb1`Ae~DPBz}zu?BJl{VztOY>@=yUUp>NYq9?AEtLTaIpU*lHW@=`@3Sm@6SgcSid)Kq>fbar*vuHp`z`$#eMg0^zd}N;=mHHmI(hT{2@7U=-m%7=mb-rcwZ1A+nXdgfHsbw42nK%ZW ztdcwTE}7aCs6uQ&^RH&rg>97FH$%+~~sro>WwmKP^0FxXN5 zeXA5>NzKK<0kx!)hdRh(Je8-8r>Y5g7SR*{rlGyV!XQ+lO@szMN0&xQ`^FFywH`p- z^P-9X({*HtfF~qxvjpm71vL0g*t&azq~k95NOuKT$jT^01V%7l+LmX~EGD=FqJk=? zA+_aZgK9s~2gjzxy>5OrH%yl|Y}p704Ri6+h1!LniXCeF&!Tm(5)Gyy@oXEr$lGnd zm^GC{OfMUn)+z;wJEtSY2_mL~rz^Hg=qP@A4Ah`dgJM7e6mmc!Zw6aC3uBQX7BsEw zB@%dC8JW=GgSEEhuXGk2)N%;Q3YADB`VdZs%z=8rcu%q(I{8wm7o78 zW71d}KCZaDR3DQZIhH)5)BmAfi_5-w9ifhM+m9MYeVXv0{W>dy)=Z zm?3e(;9=cfuVk`f&x$IE^Le8zv`4=-AR}T7o?>2%n}D}CrrILyh;(X%WL;e!B#dd& z0K746KCgNtfQ@-`8TED}`YR=aV`M153W9nio6H6_b$Kt*@}NPme7J89wggtGmta+c z8BjX!#s!*Znf)khb6zUVJI!PKW6}OBu?FS)`lV1@sy3D@K!llC^A|vVILpcIa!h`u zW(aHcAER2T_4W0b0ZAUE4>8qJz}{(=VPP{2>Uk>HEhuw_rY9y&W(kWDw#nX6Rd0Xn z{js>(flO4DpJ0@eTOg%lghHH~yg8Yg#~|FbBQv5XFL2If?9|{B*Z7hXX`pJzb*&VX zNv@HPmzdNjhC!05UN2Q+-T+l&dmj}^ITiJAR(57`f~2(ywwuMu6g=8VirDe{Mcbx+ zbY(6D8xk3MXz-X&r~~a(4I0DRZBiUu0_>q?;7myV!WA%v9xEPYi!l+_{g%OsCMNQ3t`OxU17&hvzv!vqMbd3k^ z1L$_|I$Ev7M(#}+D5z0Xe!cnQ__d|+1|Owb-V_kXK?NWM3?aiR43Pm#EDTT)k`cO#(aA(>PX>?iFJiv|`Fjd47> zTaGn2*<(lNN5pQUA%{g)Q4hvTN2rc@f5Wg3QPW3UgQvE{gp_uKgUAgJx*H%f5rIJLRAtIT2)hbJ->$ff zn{3{TVz}`!h}jsmHk>BXXo}U1xU_?lQwk7*YBTb3^v(9(mWh&&B0!>W#^%M^)>w?l z`l1ZOQc-($g0IZDzTZwj6tVIlXL|66geX4F!|qxcC9(yr;|DG_2}Y{V850la``Nia zL9Hxq%Jb`fJce@wT4p4>N}G37UZPRdn-LcRco)pQIw5m5Crh)$1s zUmL5J4Bn==LK6n5zq}pwaLiPP8K<8JJ$obr@h}zx<7RV(dlH6wJXt=IEqV+Ki*Zeo z7{2Hi5gf01aL)L=NI%HzU=4kjc{E!@f3e}__n<(GL~-)C^!i6{onUe^P%e24cLrVY zpotCk+T7(&b4AF%-?B=+29I$pPXjmo!9K`icD$;700ys*$RT|wqyRHqQ?3or2dM(# z#GKLSI6U`?58m1#A+7nwF>!3e-2t5U8PwK7x7E{oC>or6_yu{KzCoA5T3NM4;E$gTx0w5ppd zq@RPww7dFDMdz85$`>_H9ND+?N4J%L&mFbIBwtHPDBii8`2BS7h}ilUx0K}{$Lv4d z(ti(mF|#uLk9KigSvGc?Y)B(J*tc%86I=Ugc@#?UVO9X_azOa@Wf>UiIFVj^g|RaN z=Pa>aS0g=p2_g}hn40TW0bChNI}iA=qdSfyF7HQ=B8mMU3obUQ;X=>tm8NrL<(H;+ z_D-Jn2kb+iXJc;aCFC=DW%=~)#jS7do<@WDrIBjMLgo2ao4@r1p5An|H+@4!hpIlc zPrjet9uG^l*7UBb|=?Tx_OUU)v%mA-tnaBFmy=GQMnlCn#3|&Jgs0pJg z;Q6npJgg6-oK1=A9KIZ!JIX`bja-MwxU(9pq(Wk@?SvMy5ZXO_&3BuaYMKysSg&~@ zZz8btqE5o5anx_yJ*#(%uCwtyzmq-xeyp;di)gxi=U|y$l1z4qeOtJe&lgoQbQIJW zlU67#rt125cN8z0Gfw2M$;gPcTK+e@d zD~wFaB(}e7eary>6Rt{9k9V7^P^NbSVAGmvUfd3sEF`$G`BFods)E|}1z%nO$F;BTL#ef)27%U1sAnlg}ZkFEw$^DQ_fHdJ_bzC}!gyw`rC47Ob-0 zv5A+MEMJdCV(!?)KfJ!~etG@nH``J3{_D9f`epBWWu#-~d1OjNO?OSzZ@!jvvZs7# zlFv6Z`2dh_;vxqBsH{efltz2dZ3En6xUvDer*rMSV`Tpl2kdRXwYn*O5TPY|#F=@^ zl{8bL3>NFyR{htPUeCAlPwZDfyX>OVZgJ+U>Vcd!)YND^1K(a#Cq2p2?YpV9``g1$ zLBalk@Ed9R0Y9!blDf^y-@*sJ=JEPX8Sthj$nVB>ikr6c0rgAGo5khb+83h^x~`6O z3Z^J@EB*}SUU;n?gUQurEl>HVOLVK?6>`GpB7M|ZltJyWajKZy8dJp%Zs1odvpTGZ za=%VR4Emr0cTn8UY9~d+1f_SZs%lwBe!y2J1O3rLel9U~|4Q3$-G1kGcjZpb2IU)k z`h<2{`|3glZOB2+Ed&+&W|M;|gB!!@@5u>COC7!>#}ynM-XsQOLdw%Xj+fRzL06q* zi|@dCy>vBZ+EX$!jx>hiBh)L?GO8QZm6%rdnM@DE^}2z-}g3sANHkpZm~kh~{f+9_U56+2s(b zwPr(jX_JEt<9oH3M=E>Z0U!{VB7jQXFIw!gcWRb{n#eOTAxzaSHlDd6r{!0dujB%I zJYB!)#wx=+D>60P3)T;n`$Bc-A6^Z`xa>IcZS}51xpv^Ni#GVuWBijov)iT{ zX(iVN92cXY*ad+2j7a*Vemck&@ZcJ}V;O-hM zA4eAIHxNx!I!7D6IhV1wLfPFE z3JTWQCje<_WI|7K+&UvdoTwB_|I3om45njuWzCXer%7OaKOfMCKzmEqx4xnL-mm5qN+iq%n_&t=AxqI! zNwpIGoL+r61o9XIpzz8G)qzhC1=f;ybx2}C`0B_;@Xk~8K1-O#$4lS@uM$f>t6+x_ zN(~!HH1`g0hRmCdvw46*O?62?aFXK*6~=}raCt`yQv2`(CBtsbn3xR_hcDJajAy;l z1kA71(gp|+bd$}Mqrd_ZxMc#&VgP`~Cnx~)*N3~)U?B-OU_tonNJ0X{0O1Jy$Akd! z7k( zwJY@p(B}=zykSH5v+6|MZQ;(^?F**(v=?fnH0I{G-#X;S({cg>gBE&(;)!Q*%hlS#`1emsQmh+l!mPH8P;L)d90r_3VfmvnD`E7>az z$Y4|3;;^p8{?<2yo4$Fbz=h_q-i*KFNa~-x2Z}^fA=Sz$C%c*Rfb@u?gA(2>c%XX2 z!%b2Yu~cP#J|&~fdp`BfyL+NX%DuwJBRNC@@7v(7GL&p!KchgECfmZ4njR}uWU9J@ zGLY(&VBjRL1|>12NoFb-scoDdQMT9{{j_#f(?ORQ*B~>U@k*9F(^*Xv5SS1ABODY7#Z)6D|I#a6T29Ex0!G+jtPFz=5fgT{87WlyXVMg%yojnFF>jIjkvi!gF{e_s z(WEs$pun6UD}y0Dv6lJ{+LiqkARB=>MrJnD@H46PKa(y<5ziF=OzO1macBMW`eppA z??kb)nDqoft%aa!Xp?3N?!qu9^RgAER)aatnpjV4)u6OE(>o(%R03Co{h29!UUk%CCS=K!p!4dYQt zf{DgfFl`R<4>YjDLT@8vgmP^%&rR0uf4=6xztGdLyWbM75D#>oidnvJF`DF0gHelA zW2~`KH2_9}+7GgX7PnLXayn{@YK{v|`n4fvNQsNM*>tz9v5;!6z4DB0T3Uim)DVKs z19gg&ssLi0b!AvqslV+I>L)pm2(VqX|9`35LAA2Er;bw&Hbtgn*;}&|k3%G%d#^0* zokX7$C*r-sN_URmTxfD>PzLr|7KeL{Kk}=@O^+w{qg#}p9#3*QMDRcL(gGJHpg6J& zwnpo0EZ_M&xO%0*xMW%8u#ywRY>Tn@B1|?%WknB^MwlX3X%EC~i6X-Z$YVCW?Oi{r zXF;;W0OiP8Bt}W$gk~j0TQG0MVioGF=hqFG43Xb>UZ@)UiwC1c5+ZM+ce8Lvjr$TY z`M`=@__C#;#ji^mB5#iV*X6QA-a8Mfytts62?7BNLD_s!N-ZoYIU(U62^0L0FbYIW z(NQ#7@FEUU94X7r?-ZM(#X~jY=A;3kV3EbcWrF4LB~{8dhrh=1w)0$QQZ8oMnG(6d zd}Q78bOw}l#C3y<0Xu5_1XOa6;)xZ`yVA#;6A}UZW|F~>XM={} zCp4<68}#9OT-;K_fl~cvvy`Q?W|J#zpmL?Vm4M2MDRqE;yH9@S@SO!D+X&KTpoJwcgqB`Z7{%wHSQX^T=0 zvgi5`D$8P=C}7?^08OGFNj<%6FIB2I42M;!!NrxTc=~jd7?7>fJpCuc9`3I5(9AYZ z5tChMT23$j#k5A#Evg$S34VY4b4uMI)r0UDv6Gln1N~Wz5aZBA$h)4^?HZ?UXikcc6(jq!~L9OuU(&kfb-@L*9+Q$3ey+I~cmj7`;*QIS^x50t*WlQia zh<^n-d9RC7OEJr`u@BekGj?2y4;L1)(Ym%fUnuLi)#c~MOhP(=7N%lC3YxyUA3ie~ zpLs%91u5Mao^pf0?d9roY+qlx?#<2R?m1xyes+(ZJgR1dTYd}>Y^wUyU9XB7Q8JRTh4w6B;;w&Q7lyP zY|U{Pb7kJ_^e=Jcv_`du0?cC?(C4S=xZSIkB`w;P=eUjcwL7cS#_OYgmvm0Y<4HL3 zjC9QhBm49LQpe(HcygG7$Uv`#d1+QJ!k?@NZROM(v#^Cs3!rK(;S(UTn;~(7%C(9% zHXx3u$j6%3JsRejMg&=?YQxCLYp>~~gU!_ytG(T*VfxSqWo$aB)?rldP<^c)y_MT7 zh?g6^i<^NWFuxuzUZ+1W2ywn`_lTy*g;0Zo4+TqljgwJm)=hKK8B$WkBFdWnYDp-Q ze_;kh9|zelckU2T4|e$Ms+-5v%-ojfA?(We?^0NSbafVSY#Z!TY^WI6?JHQWLNXGD zYPOLj${ev6bIGgHoRiEkN{h3|4=PVt*lJ^)i$K=Rs6r2I;}?wWu_p>;58y&?&K^Vq zp`@fdZEB@(_aRYWp!{kN2LA$}SF*-QE)l{onwR!h)2x9Y2!d%mw zzMe#|G>8M&X~XZynT0t`&f8<>EL>gwe5wzYw=4Q z<+g_aH;va8^k6Igz$bvEuFrsfvvT4{UGD58f2Wo}tT=2MZ5o`@Hstp?BnZ ztG~yWSDSQH@%go9#5z0}P}5jj2F!_|;PcaLdiBynimcFmGQA&8gFL>ky{=*n8RLPIz!QV%q~nHD(M6O=e+2T zqNEEGafpMx>h6i_c;04dc_L>4cmlg{kTGuIpsazS{y>b%SAd&xJ+ly?Eo+dCnt~Ha z)TFKa&EnG5e_K80g+A$&a-)Y{)HSW;#hKoI-w<&B`BX*(oD@6CRtBGxzBh2cLtxL3W<0)y)q#4*8M+?1&~q zZ?5+Z?x?sGQ?Y#0b)PQytM1*KCgZ#PX1{L%@20=Bse?c*c5|x?%8=yiO}Og=``asS z4AM#Y+~#Hu=5Y(|GeBXYFP?S)SlZi1r&(URQ%A7$?xq*?H^3wHN3rfu7{ zZQHhOPWQBJP209@YudJLyL;dH?RR6py?bx$?jI+j;(fC+^QnAJMOH+fN`l`3BrNV3 zH`P8AVe2kRx{a&(YwJ2D1Zx6bWNEau^+6gK#>yLSLTT$M#)jwbzv9A<3xhnl@)4j~ z+=T}Zo?5b=@yI97_bZv?3A0M}p%?Z0 zv!kAQANMDQ-)~ElF@Pzu{61%;`)a=M$Yt@2X~_Q!$H~$8Q8PuQ^TP~Oljpp z7O$v315tnOAP8HDwG0XCa7zy>qgzu^xU|j13}cJSmJA6iqC;l1R3FVX35sQgmpFtGM#0nzqp4b)S0FWd#@ziqO`y9{6k5YU(iwpQX()9BWp*32(L7k z#UdkrF86$}FAao{lS}}6SN{u3s3!xNgfAQ;{yfG?Rc|H8O>5=iiac1BVGI&`Jlrlc z7pgzxEH`nqoWzF7v5`v|HAuDxT4<$LfUPIo#tXmww5#X; zE`j{-T}}QMRc_3HQe8H-|54_~%*IB?!14cD=k~7_Sc(3>3U>h&a{tf5U6%jeQ{;bT zmV=p&gXw>mWnp5cV`BdQXO@i}P{Z~=*9)`!_g)MC`*fL;iS>U@mvyycaoJ;jv+sO6 z;Jw4lB+pgRMXrM5m@}n{x}lw8aKkf4`tNJQtXvJcF{OPzQcJ09k|c1cmXQ0+Z6-4^tGTs_?07S!bM|9)6(d17HR zcK7-^x+Ml`A$L7r82Wkp{`K(GP~z={{e^W?!_W8oVL5}`{Fm{*l8Iv2V*whxK|*$4lB%PSf!B*48GR!{*!e zV%B3%`|g$7VC+SU`|{H444at;1}^G~rTwq0_msMZaaK9Si|VTpwTfa^6mDl9H{E>+ zQ#Nwf?o0dXtHGsovBbd6F20VKa7_MA?q_!Wsh09CPTn@I^QrR`mu* zDAp5naVn3m*Xd*1KF|JKLQ(aI=zAiUoy^zPeA;D8lSUR>`xtm_8*lscmyR7t*1Q}op~ahunUB#{<_F^UN~4+qZ;YNa$+>ZS zey6=-89A>SPNHcuDVHD7VmVcys0+r_XLEUK{2+r?R>IKPr;L-R1`)VJH` z@%d#Lds0l!OSr(c_v7T^s@3(nk9?hv=l89*wf)Bj^V{q31wq*jGvdeVsg0c+{dCJf zbWY*Jr1*<|D-?Ra__e&l$a%{(pD>k?J=~NY*lx6V`>ml)oF>|Np8t@+Qy2|TZprV; zv*B~v(n;RGja5zBYGwzDJ_(DKi|X6KtQB$UpOoLi>KpGi)f2 zwg*(&U-5T6nZX>rL-WkV`u#edeB0MaKo_{DFD?)SqtDm((rLX6N6sHksxnV%)-2ogpoZC)?)dI;i>cYCBFT`7VQ z(>LcNtMJ!^WIcRcf%5#>GNtj}s8Xbx>H~`fnK45NzVVtEr9Yz!H9G_Id@ZZLXrh`X zCHNv?xxrGrIYLN9m)}pHOg`5pIPJCkmov6^sgguQERhTpp#8eTM5J0iP)wGGpypZI zpBr80k0(0FkFSofzpu-_pWW?uZ|>8+pRcRFx9z`voPRe(`T{ZSx~JOhm#mA|>T8tR zU`Q=_Q=VZ)2b#0I5uWvqy|H%d_sN%Q6R3bRM$g$+{K0_TbMt$=*WO2`8W#dK{sh99 zdB7kZeiI}xc}Ec?Dzx)fxzcW3?VAk7>@#R6m|5T)T;YdhM~IS^H?8Hn&P>y!pf-6s z5LC>EM1H^|d{ZKNsBJf^oHw`I4aInmThPy+o((19WoC{(ck;Q*rI-zZe2YTxp+t1j zD7Ve%7RutH*TX&F_Ij^WFS$G7hl?~fcebrhrI-d4+;7CzRg23FJ&5;-wmkFx!#}tj zx-F-lE5$F4Et!l1u9`-WL}iNfH%?3gOue*zTGcbC1~RhGOLYyc+?APL)xilbXj}$E zrFf0Y6Bf}+gH2|po~=VCBlLv}wxZk1m1`YFt5yasa9jpqoavt)FDAPx*Hb55yxn!z zxV5Er=?p4Un!-UifArm1 za2YF`gzn3y1c&(~iRv2?_?qsh!G}iV(U1?*2t+e9ZRGlzXOam0klO$(^?;w@L zVJwTJlIa8CZXY2Nh?yGlsc^wH=a4n`*k8l#Xv4v`wzN; zv;4mW?6R{-=DJUwVH^&$`b+xukS6@|B0i0pB2g@t44J^fNm~Zh-aKS(agvit{~KrL zEHN+AEYmScKKH@v$}Ofy2XUR*>CI$_O0Rpz2>Oc&@U?|f1rGJ{otd;0$^xQk zWC-QV1`k6fsd>91H03P%K)45$14{>9MQ3@8O1%eyrE@`fAZGu(5o0ZQJk(CSOa})^>%L?w6@8Z+NzfEL63E{wM`?mtN zA?bU?7)m++xDj1`D$z2L!Vi(=ioRNCi+W+Ub4-AT zLYmI7fTJQDbhXuj%L(!MNT&acV|@GM98$%L(|ASeUl6_CGbRej2*yIo9B0^qh zkv7@Kab#BDUvGrALAb|On8D&xDYwx8g;2;qt_vpaN`n3iB1T2>A;ZIeQX!E?);z1}Sh2SfcWiq(*H*rjs`s_x%aGz{1gzMlY|Ctl!a`_MTK;4wV+B>jGK{Mjj4C*rn zKh)AfVYDDF0QS&-*kO%@i)~-AjxcIw2Q#cyIp8M#kmU)X1yKP6f&l`szP2l8;xN?u zEdV1U8Pu*P2Go;-(x}QJ0J2LWY@nu+hM|(25SBR<2Vp36%W)1{7qWRIH=@6#RTS&&q~;f%NdhoV1^94Yzx#% z7>v@-xxy|o;m6G|`rcvii^aDmC>xAsBenxEPGBRtR?Og6Rw0nQ)@(?e*7(Aw1FjZD zA(RqvGD+^c0#ga(OXvdC6DP=E%wK|9Te0z-nrB|}A8ZjEnBHW0USi-Ei83T_j)2SK zT!4y8PMeMV)*)GHBN=8_R)nd-nTZ*{=#0@w*6gG~Cwg%Z;W05>3&+XD`{|JuoG84c ze^mJ3z`|oLI?=4>83acb0OJl9@huEXD+h;q(wLnH0>^kr%z%Sx zc5c;ZdadE}<%P)60y^T$|L%KpKVRdJu=#x#!2{nQ4YiqGn^H{!wiy%q*GOWbaU!r* zXS>-j7T)NAk7~8m?sgQXGi3t)S}jX0M_{7!S%>ib7(VV3c`J&v zV>XK0+=WEe-7@C!np~$_hff@r6+VxQ62{dEVwnXmKBK$u> zkkC?D8>!vQ@_7N{Lj#ZD5lYKp;_wtYwj2SfftSJNeSu2i9CU#v=8D3HbGurl^a31A z4qMUb#XS)E9(p#|{7C|Q9Pp}dBc$j@Cb*f3Q>-HaO5_U?Z~t*18gc+omH|(x(?qY) z&CxxrJUh7{LF+TLeO~E$wG|yali9s0I^IRCpY~Y>InycQ&7>y`30fbZL zY~*Qjln*p*c!>CN=Mg@OsVLrTdT0H5XGqku`oSUK6(W9JtSqO(>>cczQj`=fam|7% zQ`ij8U*=#gzMXB!mZg&~F$*aSr%bE(O;spiECgyi*tSsa-G4?m-+{AxGk@iP&`zE= z+k<;`kMK$kH`|4MaR>0rXO;{1;#QvB$Q7I?H=BF$`q-9SzCe>31+bBM=m9@|73~)8 zI~U1yn~GZJFFxb5m0~zo{wJ3(h#n47jdpHVm(o0XxnWfMk>7y%&CVy}Deas|FZYqw_Y053n;bEq?llqAFE}U~euEE@$#FaJ0PX$}Yj0xG zn5G^{=tbox2Jb8pn$50V0g_kjrBhG>TLd+tFn|y9$esZ{^VHo-NLLi;!4TW%j&}`< zGWOh2iWh!K5}f6+Sug&~0u64&$sYj@o1KldR?IH(_}d|ayKDfM?;D=(QOS;Jn3=ju zl)vJeUQCC7*&G6oef~#TSWuqP$5`aXFWY$6Sf)f1icx$Q*sjlLgRjCmL4HF#oks&uDif}u_y z(Lm_dSs@9dsj0@EJ}y72(aXcDDtC;DjkFjXC*_xf!YVP)g|oN|Rtd&XQ`$~4e+<3d zWEhAx*tlm^YGmZnH0|}(04Nd-t7_eN3}+QLzdBXw2MTVF3ewk)(P51G$j`h0IFAKy zp(m-rROc*kBb(rBbewMjYxIOZugPIh)f+Y7U)9~2fRA1wAKRz7J}R(O4R2(DGP_y5so~zEd9R^iFk`Q%I#MNtP@s(IHQne` zm%ZvOCHVSC@vAddEVNN(_5x`t13~A=W2&GPWA{(ebe`eLz+j~=JYiIzv>`cB!xtI~ z+>Q<;!lM<97o$Jr-etLq#Fe|)!*mjt>Rl;pnJoDk^tWC*?Wh&4$iVGU*n~2;?q~rt z2XZVC9kWRit%7r@?1M>`G!ghH(L=>>Dx=NKZ0O<= zSodb29<3SV9i^FZphsj}KX(fR)J`&`sTY*fGI}KnYJfH zc)*_!0w3EZUl6uQ}&Y(JvVA)M@Woj=9`PpBz|rIH_% z8w*x+okyWBD6F<=d*^&r9JUF3Qph*G)y)in6XG?lbxoD^1dLDF?!7PQ(@uk(iF=kW z#unAB0vfFaf>kwFIiJ;bVPOQWqUo6ds;>Fsje|hKqWWpIMP@&@n2xA@ZJpHN zgpNlXw(1!2ShXQ%DgS~^_QCFc*8Kc2u59~Q7seiLAmz2sFadj#d1Z?A{^WT*{}Cvu zT=lGR6p1wGC0fl4*RbX`MX5(t@4WuXH_4yL(NwOl#=E)F&)1`HFbQ)@S$gOFKA%D9s#Npjh6`&9ra zpM`753*DwT8X>b)+22}8w{qBaD9a(KmC8mTdx-VRKM7G%54jnh4oq$xTEkztPIl%x zr~s6W)`n;3yp}Vg74IeJ+}cq2JsXt`^^@e142RSvwu*la1IdpiWP!kZNcK=%awDi< z5I01_n`B59NNS@DY%-i1RS3+H9EY&lcCufkmx;31qINi8Ugwd8EeH9cH3(Son>4!V;K&TTUE!}=SG|CXX<^_vg3Xf@<5ef!&f8~ zTPh`JAOk@K3+Lb~;*$~?K!uGfkH$r^V9t_lkm8c-q3%q3t}jz2dtN)p)yMrZ!LP|@ zYRVSrM*BMWB`d0K^*|V`?s)3kn>xU075ieeZ;8?}>73+>`Hj?;XsM%CX9!i4vaCi0 zXwe;{WU}7(y}M`W>mY$Yq-m>Ii^|Zj+KRG|p@Qbo*jvFuFgNla%op;x+xvGq2jJ|6 z9Rf3`bsMQ1*9_VwF=Ezrb_v^xN~ayQ4KVB?1Hk!OKiZ0NC!I1FaaueH;pyVmeIr_E zDliA?(w8e4YTLFuKnyz%Xq@~FX`J**3E8uR88+&SGZ;5kikl!!9NSGkDK8v}wGC3^ z7xO+MAXB&oW`w1uqYdrwyxlm@Y-SG<-yIh}&uaOGL_%*CY&+2Mki^`n47WHrt^4*0O4o6jgY6@^hMj(jhc@#_SBM!Lx^A}( zRxmrHmK6p;VNk|D`Tt0FOB0db0d2V@1(y1D!P#OhY3uaBC~2gAu}!S_Y*_p@;8Qf6`l`nTJ@y~o%7 zIkVpg$e6pisU2UAeTM_C1kfwJ!|B%d-R=;2D`~DC2o%Eg_K#2B;S8Re#Z|hKzeb&0 z)+qa;`IujCW8Ywd-~RL{uP$!PdG8Ha1E?5ESB1;7O?CHT{<-Jyf(-1V36hRfnr5e5^&<{Se~eI zD3Q%S-F!B;$?QyBcOJt+PjmYR9!-7aq44)2`%2Y3aMF7}ZhngU8YjBj!$%Kzjnox6 zCUtMS-f=VUG5=N4Lm@L7E$o5a#*UysSUrF|=(pNCK;n#XSZTHxfRSP`G-aF3y#bpJ zT@itvTB${=EWR}O3P0Mf_78u`kW@+S>C{axdM&mzvFrg>Zr)U6+0-SLcr1X*lA9Ip z^NMQO2#cp-&$pO}R)+9Ck4r0#*)m})1t%k|QFtUyT>j8jkEt6xa2-gL+lGgQ?annh zJ24ZU;C;XnUcWoIcRE0w-bHDPBio8ZboJ13r)Bfi}7N$ z4J(O&zrBF)IG_JR&i_eFZuw?zIQNhv&EkpLy`-$^wY4?2)>!LOrZ{}yS;b>79%bT- zNV>i~vqY1jk!s-|SWIq5k7nuro|+G7mkLFOp&4#Xfk%#INH%}(j!!^P6M;DXJsW}r zx*$stxj`9rnF|>Y?exo4jQ9d}3e(5KQB5~9L`e5ooT4Mh(f6rg(daHe%dte{cQJ#j zTV0sn+#5V&06US?lNkS@+Fx)OsRvPy9Ar*xluWGKkAi?pG1^zb`s{u+NY|dK!jEV|P36^ue5nj0xysNf*Ii;**)9eIoo9 zD9q$&f4oOh^N9fnVlXtvoQWclbr}=GokVHd_v8d;(3u4-^fx9bxQvHSpr%@ti5`Zb zIyC-yOmn@vg;Gyn{V{swi@BB&{WGD3PDX&xu zU8Y~;nX=5rIM8G^BH@pwSd}*>l*?r0Og$-U-UKcGegipt!ACE8nJy&0+~;wk7wne1je6IcD7y!cgKb$)tme# z@u&1X_k)8qwvDgc!KicGWZb;tpuzr+B>hLw(!s<@2PLi!%6(omUbP&mPt{4n*OVa! z{=2K)wu|I;I-d-hjU>LHkR-mMjihh!VDbZ^XvzZ^6Xn6q%3!#{ezLL2xOjgX}~42Q59W+oM} zB0wO+awLYa>>#}PX7qbAEsYh+J5b7D;El=>x*6}vPnAcT@yXB5{4kF4Sx&9W$$Fm~ zD7Mx01edCP42!qn%`YUGb%BE+y|h#D=3~CcupQ4V3yE-Um^`=!U!kanhr$kRA3bA7rRoTs#iGlG*lj*V7w!OBa z{kXX1dYKKzuWIXXz0Z-`1|BYZG4AXLmcK;!c5w%C-PDE0=L7TTrGrenl56ri+uvTQ zzPh{?`xY+qaBMGo?yd1Z$~rScJFR#17u#8>sd>Sc>D)yt!a$c%v_m0PeY$wZ!1H$ab-Q*El_;Wb`-)TxLobYW;AI0=lvb?55C>i4DdjH1h zLBklnhyl;5-H*8G21sf>zAqR#Z<3wca1OL`VGY$apc^YzWU9c3BJ`nUl2)1oL>R4s zvt`mX9c+})Oy3+v^|YXC8D^Q@YNvb5XlqmCYm+kJQzQtf9cg>_3stC6PN%mXt|Q4g z;FwhMHq)3hm#*xKgJ72riM#SFY7px7)b2^h%-x-jI(d2HgTgV+2*A^M^34*-;f!_~ zX=!jck}PicIxB?{+8kEK$ku)hhcvm%tOo`EfJUz3O)PCiWO;yH=7q6P41%_I?0=Dv z$0-?0z5XH4a$8`q_`IuUzu%MnE;@$Wx;tt7aWAdq`@`=!SeMFQ9RySRF%GRy9(u@p z@aHVP;$C}y>dt}2j_P2s2Oe1!wN48p*aGF$rp;bE(f&X+H4%N~0UEd-F!C~Lnn=YO z)$`%P$jYxm-bw3tzW%``dM z=kVC=Zq0(ZMzQ9|B}hjlM8SSZQIR@wRCB2fz+h;ho@4sXM9HDAF8sc-iS?c)5NUGE zEn`KB{LlN!peLL0z*IwgBFD57gJbq2N&8Oaen)H`sjeH19o7bUo&MkGYN=3YeAJS@ zu=wJ>ump5L#;J%%0ywg@a>tAn!Q&vnrfNL-Qac>sT;2N9$T7doj7is_F`ji<>iu@8@3{KM-ypBG^3i9J_E(9mA1C- z$odEwmMvgQ(aN+ddIW<&mSsz%umwr1TJRlCrF46lk4*Wf;V*P2AuK{%V$>}^dE{gE zcDzzkIm(*!#?@UC$U}wNrYLi*1};87$`0bo{^VlY4l$*7S*&Xaj?vu;*O`;)>T3&b zvpo}KE59j16Tbk`GgM~!vG(bcxk|I<^c;&Z_DLM!_RZl;{lmU}BpjR1X^0n*M`qOj z(SmI^)+>C(j*Spv>Q#aMmV*uFg$Tn?eoHkZ@pf0~ZIs$L zjI30_LoU@E1srL5Ml1xaXHt^qzzAP4pX;U0aFTp^Tw;<+9Rp?AIH?y>2S2V4mNmIB zuHKP$K|t_;O#i`ARjZ3gl!-SYDYpJHTV$&cPSvhL{;4a})~kHCQb>$vM=D@` zDqudxWS(h7FjlICdzwh%rsdq#6Qf8&g+gEd*ib4uW5TK^z`UD{7xf4a92r)&a$Igg zi1t)o% z&+}lBVBvLIXGVEMjNLumh%~IKOse7->7XK9VNrQgtY59kw( zGI0R7pQ*35D8db}on@J3QIZN{kFUv{>z?4QdKf%87U#7=~AUM|m zQ~x2)!J^8?g++pe-*S={=O*h}A3&b88&GEG=#_|a1EkDtGTCDXTyqC*x59xH7~lO} zLlywUeE`G`dgQFLF@fP?%P~5Q#!ejoxEBDp4FI?n0J!r2xH2{5vi9WSEZtDFGIU!L zvvfuz`;ip0FAFOZvoXMuAtl3x`<{hmm-_+wIQ54@VzatBZ?*UreQiPL+Po|&C+VE% zQ>?_qmSQ^!3EFmtoPyqGvSIS_ceYod9B<)+$t-dB+I)j#UcS-!=yhtDUPNMdUttMd zz9&}2cxYr|KrbL_R%dw)Sy?=@%ADCQRy~R2UJD^5JBsni9ILk+vlY!=rT684e`}a{ zqn6ErvQ_hLC>7lHIwLUp8W2c-scozNtzeOODDSSxR(E#{zds9+zNAieuM=S(2On-% zG$4_afst~_tAaYyu|OAhA&@%-%fdG*`{ki*5EbUOZG#ffmUWmk)x*}s@ z=C-N}fSqr5H749k2G*Ngouv!Fi3b2D&H$YFU`kUv5_kK2auWSfIWu^0rWW&WqJhX5 zIK~s5lwvG+_^|oL@H?Hy?k!#St?LM<{?faF7E{l2)*^1km<%-%xIUlT4;d9_Hpgp!W+k=U<~jQM|+G2X8)vx(cM^9!;A zr-!kz_=K(e;qw$7dS~+%pNTm@Pm}KZr<3Aw-d_7(^$*K{yzBE&D)amJ;f^1V{|yWD z>-4rD=NX&9O{@mS!AmlWODjuNmmg)(mE5r#ujXJ@tE3EjBDj-JE9*p+Tx#vN$1l7Z zzN{KiUso@;Rx`+t@q{z-kqYKbmAR)62iu6eN0&~v`PIC_s|xsP@a^wU@2YCMYrf4b z+-lz-F>8K($EquvxmDAyN@>S#aC3Kc*fJ9T!KBY&>^v9b&0+~zAvXolT}!9)BKrV zL1&Yv_%G82py@9b7rWL!yscB^fY@(TE&Cy^0Sh~&36exrp$H){*Pi%9QViEwyqdtK zk~R$a7SBiN?_o9=moLw;S>TSv#d+{Zw&?EpE8et3FE&e#J5Yh)%e{R4`qWG%u*5nv z|ICM%xIhkr;w(weX_kX(iVqN;aRZI%n-AcM>_Y9W3PHxyE{=%Yt-^G2}SfAJac=(iTD?16- z{)L&nQc$I`gDv&AN+m6Mvs_|i+BKB#dj_`*b*rFHb5MK)#uq?F;|0sN9X5{v&gvG# zutE8L%f5APNU((`6y?(+GCC0yoRR8uL1v-fH5f;-UvJ5aTJp^66%Cw1TZ3Nw zWUShUqdxcW!K2gVm*acA>)Rv5zjQNC|GRrcVe2zyU*{SD0?WMcicGtn&Q({~8o?}2 z%FQV3($L=zAsxY|#e$KcEw6<~BV0Heqa9Djb~AdQT(*wDDjm4M0XLfAPKi>~PHdlN zv#&kDWUaXW_fK2qhJ||Rx7R#!TlrzPL0(avDpGYi%J8;T z;WI2e>m?pk%O)`j{+oStN#TT~Nf4fqL}UmN7@lQGMr|VY4s@l+R3$+(J`urZ#n3N7 za0XVeNf3xRz{)ncv`VQ)oain7(+k0?B^cz7yC6cUvD6{S;Nt;dGk%opGvJhmPJ$~W z8CWN&NbS;fA(E#ce5`P7P5%U@|4#v#IsVrDNUf`jJ0pnQnL^vV4w6MhZr0_JB! zSM$((iIgr;G&ycMRDr89$6h?KL}EWinWYFk>oT2Id+n8E3yVW3pRBSsgnm<3)I-?& zmctbAjB2AW4jDFz0@kKJbV=#N$69ROwa6zT5Bve_J|T$0N-5b806Y&^8Al9o-S}aB zmZep`Woe^)9S*mTBs5q_evVa8JpmDm!FUjx1Z9e}q)x0o0Sj#M6Xg;}3&9%XP!*Fh zaT3@+4P=diP|DSP?(0~2ME?ZXz*{)hAi-+-VG(KaXu^KNrZVNhDvck4OqbC=bh74I zRyHc%9*jh)3H8-D7$ZwlKobf5D3-AZOmb@LbxjD)ZXsid2Iv9bF6$vkPjS03M&_Pq z_>V6Q-hn8^I;PjAvKCl0m&!b=aym0YsI4fGx1zft0zwqiDZJyI1<>#E77GOd7w1-&$8$NG(ESj&dQalWogOP1; z4Il&qBXv#&^|ePirQMhq%yLQ+=jyf>c3V`>&UvLoXIOh6Fk&zawH1p(Ik$>2UJ}82 zxluLzrm-bel)f&irNHL&y|J~`Xo$Q(GRIQK8ZG$nO78et@U2r6#4{af+qKR2#tx;z0d{} zcY^|pu{|ThS@tQT*e?|2q4#I5Fw86n&tR=kknC#$Xt*jb6Hk!rNj^}i)kYmv0s@;Z zCJ~~Mm>l>eGg@@-np!5%n)-ee(CA+kIdEH2N2VLQs9lqpD@gJmXDU#|Vkjbm#P;GV z%BkzY8$cF5nTh`7t0_#ww&yU?{h0`zqywrl8jy!3Kql#}{WE9!NsMyyYOpHRdsOT+ z9*%AXpU%nHOTRcUm#%40sM%@U8JG~5=q^`M^9%_Xy_Ok2IB{kIG9o1(;O@x$DIoI)S+E)Ln+)X4(tpH<+T z7e-WauCMjHfl@wl{4ehl_e~7ymVe5#*m_%LO>Ga|QExQduQ|N*SI}D+qeEY`b+u(W zOI6U2iI9ASf^cDVhz3f{X(@1yOcu6Z$WhP>d4LuZ5z8yq{1_(MyA_6odPoS0~#hE2UM4#;)l4aT67l9G*>BB!UgsAdZ2KO&?L+oOyrYW+1V z4Y>Wtw%ZXp99^^y)mmjme3w))Xha$zc{ZJ8osx>db&S&~Kk;$a13LGA&~@M8rn)A#p~`K`x{lnOXycR5z{5Dr%4PjBSDYQb!#vVm3aDRR4z%aC<0$U{hFo}-P<;OTIIVV;3msa=89#_5B z;Yo4AqhHUN&XOHJv`CNAp9MK~tBleRDAa*%k>vFpHL8^z?#mr} zX(c&NRVblM33WKp#q`b@b%mHA2FH1k8*XO~-RZ|Z(W`g&v^D3Q?2QAbO{@d%S38Af zqLr1J&bnA+A$qvC2q2&-IO(QQA8W7+(zTG<91k3oW-*=L-78-z4XBqigxk_tx9mr? zP3Y=KNxA!g+3fSw#QO5^MB{3p=~C{wV!RY+%9dPa*}20$&4=FAR7&>~t#A;9G+O|n zmS-p+o^$Hg?+(!CGiV-bNM)d}*v`vas3iN^t^NHi*6NZxutG_XUeJjt*TnXG>O4{RsZTeaYgb6u1puq#_EFszI>g6o7ekuiF z7BYgrVvewZWs&9&husYhE(5gr)LzOKC0ORbpSgSW) z>?GdaDyu1M?{3Q~H}S-)2jNqiwWU(9C}^)6LM4`>=?@SvO$p_nY1!5~?wg84Htnch z);DQ?-`%1%0-F8leZcXJ3{KYA^X;}Uc(Tjk+Hd_1>M@nL_Hp^L!$ETv;a!O&PTGnh zOlrc`%4vN=`|Z2Cw!8dNHnCgfGrPUBo`FXb`s~+cp}Zb5y%pU-$W~w}xA6(Y*{2;-Qp2@`+zSR?6_4DloW^))GIGi$t{CG)y0J-tufr5?F9Zg6&1DKHxv8`|;3c-v}cSo)2 zl>DIHB?!V8_^;e2EgPB3QxBY?im{OOcOqLe?jL2Y^MJ!4m!+3}tWp88ELI{jW2e)~ zCRgqZqr!>~6rM`-bm-hgFIGK;0FEGrwnHm5DbB3N8B21!?Q`cIm!)TA7=Ogox;3}Sj4_##nJrm9x^uPoRgvpLOcMH(;nh4M6o-TleZ3H=%U z`!S42Q$3M8RVeoBOYQ z;C#+ymF|>oBUgY7*@m}ic+4hHLE~Fo=|dGDJ7f1xgyyehMg&GAH(X z#cnC?=m~MB6b#D_YNkzJcM>LoA!Y=HyO=3yEEh2xVr@t$6)~p(1oh74n40b_KLw=6 zlem?$k;V(c6hRORKoig>4BII`=eNe07oJzj1a(~3IN;ga}jWDOYK&{O4M%( zYtn_*lyJ45rh+0Ah8RNFg$!who4V=XLjUmrFBpGZ3lcXOJHz9@s{rTOnGvv{3x32K z`eIP$&Rc4)3wOQn1lUNex84SXheY>D?~D=Wk6wxPVrY`}UL4eB#YPKGLM8UEb=kH1&&oiZ>qgvmS)$84N_AXxG}Pnd z;DnrzNE9;58tNwZC0Py@7{HqR(==lZ&SNt(MXINv24GDJ8 z3FY3buDK04iVl>f^M65GU-(ai{_?>F{Zpc7vl-(G0DsMX|L^dY!~g?0c%@d(&AI#8 zv^o7lkNu%CBawdvb_AP~eE1aU8P`H#Kop*avgArBqjm}`4~#ON{4bW6W-Oh#*4Dct zOP-1h1B9W3P>@XY-ei=O6-+C}D5l3G|2RXZoVj2B;h#t#Guc&{8zv0Gcx9T1bHtZw zwkAdv{U~RPoQ+{`j>H41y6(I$I7n6v~t?uh{as)}j=7J=)t9=U{#`5m2NM!&*V8#Lo2f-;<;@ z00j|qe0qHDkA9p8R514 z{UpgpPg?V}ra4Tr`YwZ`25;FMcXGNhLf}H6Nd3qs2zN}7HfLvfD-=#;71uRV<5vNu zn}^9Z^VAPmcb2GF1Yd*3K`Re%Dm*3Q61S3-kO7u$bJz+lC~?R?l25Ks;!**h$hrTF zNdy@-V#?H%qH9X$e;bNGT+)Rxjdl#p)}S0eY}t>#75C9#T%z5< z;$N>rNR;J*C^c*zfklBFZhvrPmHb*6ut}WM8*t`+MO6%AB9=$8HFTyS1g%l8HToE) zr50-=aqq$cm5hhWZY26fZV1@T9L8ljj9u0&&q;XyQdbVlK*j8^)mFc^$P%&Nr9}rN=gE7*}!!kC71GFJ}dT&y9MFq5hElAO>Y|qgP z#H5b!dPR`cPGL%l?D@H8pNUFDE(aqjCkTVg>8M2ElwOoXOs*Cco9SVE(*BcpNL;Ry zaW?^!c=+GIh1V~BkC=Qb)q#pH!jPzhAUrbDDxu&1)&LoqQ&mLqU@!p%{`-$+{%ANl za!eHklcndIRp zrIZm7d9%&!44gJwM5c!%pHsQyT|BaWvx8b-$^kKX_O*kA|Gz=Ei(yIjzk!<4Mzz^G zkSL&)N+Am8B53fWu9(6~%K_Nys3qET}i@?vyq)nbZa-|5qbSjw_H=L z%PAFtV%MM3whog0^qG>M)YBnp`~)(0;{8=AW+riS#vmjA^N`>? zuP^cRZRF2rdz{twB&uar*ZcHP_AmUVL{~U@>conzimaBC;}NAhyQ)puK!u^SgG~~$ zryJQEEMg+FtC;C5*1UaD>(YC>UuuJ#fpeLgSOH8h7oYcn#&i`ty*=^^z)H?S?D`pr z(ks!AMV2XWv4nI>Lc~HrL0LnyVSzzQgJ)L4 zg2x<0u`Oab9SL7?P7CE@hg51mG>-52=-&;gtN(;y4N$j9rTvjCua%FV7S5t-Hy^Vm zbrPQ*Qc`4Wdg?|him2Btyv5B}vFxmN?5`tN&wynh`#)$q$KcqabzR3?ak66DHdk!h zwz*J#;@s9VYYU~nAx7XHk z-7G@WV@aJ$n=0gme{N(}B#r7Hp%)THskDwywEaU>V)8?`y7>mRLAuSwwfZ% znytj$q1RTVZy-WSsZQqb#3#~hxFugErlKOnp7$B_z)goyuE!{43e zr}QU!{V;#$J62t!j~Wgbw(}uG&xp-N0hh05Gm%>zkBV{@|78i(I+i^vYUeN88N8(% z+tszlB-z$kn*=;PE@?I9ebkQkvkLrfGVg~bCz}N96C02w+l;^b??<-FZ`3x5Z_!DC zm8kc*D5pa@xFI@>oE@^OYY10UeaLT@eHt;eU$CmqbnZ2t?e!mG?;IFk%Rtc;$jObjPEAz1+@j zfB62GQ!GzTm&bTwEcE-B+R6?dKpkNr7Bw;&_}bM3NyIhlm7yhH;1o4nOtjE;a(|1L ztE>1ByOMzaYzNqta=g4K#V|b`wXI>7V?DjkrG^veK&;``kMeyXbI0yHP(EJ?)qYl2 z!8bTc*fh1S$1pboAMMS60#tu8UldLp=7uPxU?=#yrUWPc4$0wPPNr`93h50Xe2CxD)2ws_SH8?m zaC`YjE4_^gMxh`=1Vih8@o0TTAq4$K(^U;z#M^rH4lQelUO95Utk!tWIE#mOdY?}Q zGnp?0U-zPVgPUI8Sp0gNVL`^Vdp6BZ4&D5UqHFvP2`XSkXa2ju)Fx< zudEZ!YCMbb69>Ro;#OQ&JXIX+gSgBK;sNWnDuTwo8l*-!)nnUDm_o=`MqLI&UPqxK zViCtfKzZ8DaZ%N^{?=tDNaHG>`u$g6Q?jKU z`s7a!R=f}G%=yx|_D}j$Z+^UvAdN3xybkUZSONA!Xmns6gH9^ABU%+sj$R70fN?sX z%4Q0<@zlSf`}^+|95~52OE@^3P_hU;n5B-h-hC;~krvFE7{Aq?D=|F{4AcGC$aXSi z$l>46+V4sN)Gi89veV{Y>gxeGe`j&U#6ayq7PDsbe#kn=uuk=$Z4F7SCKbTb^b|=Q#KCHNC;*2^cqZGTUE8;-6>8TMpbLaUzdHL5ms z5+`hn_S?%0N&q?!vmwM@{jijMM-1uUVw9y?j^u~STFp3^@<0XbOyqXk_C%xnOGuwc3yo1o~dTxGq>5NxIVNu_nE(bLTUH`Rn7;ZUk@q<#Z~ zS)lr->a*JE0n!7_oY3L!%#6%9SPpNnWfVMnAa@QwOE@XmP5q7q6rI?gZ?;#q=*?i2 zQce_+IU)FBgr0G_rW9K)y3D8#g}`qph^oN}PcIr?T>>V4lBlN=fms3)m8})zWR0Sw zZwt2|-|Jonzhb!_%6o4<;VJML?IV2ofx*4`h#aEBzOk%#I&ptd%ic^c8gbEm-7d?tDG)eI*&cc2l;0JJV&C|ajWGag*?xAf zweN?FjFyu(OCVMi!`24d@t(KwVy*cYqDAg6+9@nlEQc3*iWtl#EtE3-*+ZC-q_RVe zj3%ANW6EyUwII|Gy#cq2#UDU;O>3@a_23_ODkzv|6$#Y{2Ex{@+EZwKKFU=$5;1L> zs!!t4P&wB6wSPYDp8IO*yd=S}(G~L|KgWuMdlfF6?CrR`8>|wqSZ|gD4%Ht}5dDIC z!Xy4x$U&y=(e>FJS$C(YQEOXZx3Ndqihbh!96@#;GC7FX3s7h$LdSr;H}3ze)+UIh z(CgdAP&mqOsaS$-WvTQ-R5`SdKxYnOP&OX$`nbR^zB6Nd7*v|=RqeZ|a9ww-maX1e zwPG)5NzB1XJ!t%0A!j(*$84`&&YgK`XJ`?bD@O4o5i z!e}qS8-CtBt&_ZeY#2I{Hfx&sM*{QDBC%3@925Twhtwp5;Gnu)dw^qNa0I-^+K4Sa zi7{)mn4^%bRdR+t2Fn#-zxoTGQ8Hzy@5S-r<%sb|yXGQQh*D3)`>Wqx7v1HWG=-2^ zKdr6wvZ*qrp>uJAT_2@#9LA6Etgc@&jr;WSNmAjvATE!EbKcl2!kFFy*fEm$seSGlB386QHvZC(L2Icn zQT4;Gqnu>p^;saHA)q!Vsf&y;DC_#*o-SfG^GEdkvV}LD=oTSISIZuxJqh14>fwse z({0n$2S1OnSQ4TlezHObgxlJM-d{X44d4Qt4ImMmZp0ioNc&FaLSY;DMLTwlT{;%7 zvm;BQpj}uj1ZNcezZBx2aDOyUKqU9uZS)HU0W&!PSeK@Z4L@r7+r*LEyI6x3vkP5}ptrND}u5z-E7D@&24Ht#+Byf60q*MBxMCCSh-Ev4T7)s4i zCiJzLi*NC@2O{(cns8yjI!d+$KJ;}F+@^K#Kq=S|I;sosM1&21YfRWGs?yzrLOL_6=&z#Vq=J!go%5`9n44*=frP>|x zi2v^B__J%WTz#FgdqR1rq&;Xnyp|$7a%mMEpK>q&W`(6xE7NqZ1i%l0iue*T44u%m zW2#OO69w~1#(SNt;h*rpGLy;93^LGpZ85bRo%lHciFuZ?x=Z0bQrm}g7-o6u@$I!q zt(0PYlYX6^zk%9KV8K3u5rR57M`5pKTZ24D_2HMq_rR*X&xwwY;v=}DZviLVCd@PbV$f>Se$MqHjC>GAv90jgJEwa!bKU>a`^6lgjS!BekI*`Z4pmUY7fXv#M~qs=O-WBSdaG*zK05i8n~+WSlc3u zQF>}Vz$J5HHHwdU!&97HV+k3gI6zkZU%u8*SMbw=Tj%8xAOCK~^3MQSWp{fMdUZKN z%Wq;W{eOj0{U5x=e*;&f|35j5|H@lrW~cj3y<%kNpkrnGZ@fj8f6SY{4>}eG=I@-V z?`Pj{`1kWCMh14qfAxuNB+J<0h#-bs5l{H07;VcttN7w`01^IFfG4Fo_)M(^>T5!XlxsDL0VgAwQla%e4c8vVT1xX zID~HRzwps%hxkS3Zv+vBH4cwRtB&)_K|vAp6-K*itO*jZo45)_aB^YaMu(HS?fafh z5~FBCfmpu*|3o<6=Hh;{haUPpJ^#pk{JDv2K)J z@`R54VfBG3>|q0|_mvmj!*XU7z$5`VD7N~Di^$fQVNg`KeKNts$3fT_K^4F%Rn!5J zLk>(EP?`pmr24XOuu=mf1t;xpQmZNyaL9vLP87%O4))qcX!)DEX?Z>?d1X=9!pX&_t{blkfvPk<@ z&rSby($8d~>}PKq_s+())zcG%7b>-++ky`&1*>O$iF=t!jVi{qNu%acD$YyPZ29+K zk27tc3r?l-TX+@Ku-vK?_eu4*-IDOT(!F3xfih$Jb6$-1PP!&N2$!t3@jqwxoH|kx zp*%7q5k^;v`GS*@Ns+)4@n~36D_kZg3>yd;HI z2E%ale4?Jb6Guh;4?dEPZ6lN^;Tx;` zQ?VN{p5nf`!NyKIr=4q3zQYQi*Us!71f?%nxueg-PY$${t_}L2g-RbBRdM^$4^vWv z;A{=wN9Xj%96K4ow@oRdK{mK)>&D^dqHphn)|nO6=B)|qbHz8`~08+PDAZzybo_Rh)9|4?9raQ#MkjMHa;@uboxx}^=3XH<)F zY$u`&0%9zcYUxtmG?7rGGl{1eXU`Z%#!Ij3Ie|*6z3YMQBVGV|f*3Duzap$4iH;{5 zx4N?D+HC~}Jm@>vQ?RwZh3lSSuR=kg%gDXX@oSIEtOBku(6twFP<6#(CI1fhC-cVk zMh#-4h}apeQRLDp+Qac{>OCSivxD%R6!_SrGrf1T-j|aQqb(i5;;RgTsC=WI@o96t zcF-pP3!-Q5+- zv{&|n)^N2Rx)|o*a<)ZFN2)`Qz4HV8!h)P23%75H3I`af|G~43u zGbllFR(cUoKLpe-w`Zu!4enfLFsTzKU94^&7{X5}CoRWRbp=C~?S;1$`MurrfQ}zR z@nq(H;`N?#YSt0ht|!AgeIXA1`b5Du1Q>4Rxrn1%3t9dOR=H(VRIU-ArfbxHF{!BI zPVmw;gxvg@j~Svl=DS=_##ql}_E%%lp5Yn6OR2s3Q)&C7!wt7s{BEA*#1ChgUra5= z!)O8QH1jVtI8Fy}r3s)?pCk$LlKf$ECJ_Qam$v=R9UYRh_3Z4T{%dH0eX}M$#d6Gv z*D~&w#3~YvGdRGpZPG@Zn$mg2+(B>N0ZmBcra9tc%mTN__erL0BOtPy-Mxo<(%sPCJ z#5_BCxZxmy8MzELLY6 zp@nPjKZBJU78hehe=4m$3!@Mt=P;u4NdM=Z1lS(*3i2ls`o-_zSX!X`-2;G?3oHlH z+8T&$GubG#wUgXkjCbYAHFVRMEfkB?nG0n9Be84c^!Kg*9xU*}AHv!VvZ`=^q{n!= zzBBu0IjgF9XEQ)!NGqJf+D}Bx0u;1uvVs|Bxg$qv>kxpg5Gy*K9-Y8DEMhv|4TH}3s7r!wpJ~X`tlt)_ zF=$`$*__Y1a`6siYdwe4=O$6!E%>utCJ%Kxa9}j47RX6Tq|9x!w4lc@2dxapfjf5! z*CZqCq9qd>05xswXny)+Z=^BiXm%!t5xIDW9Pa8lYA*v8Iy=q>k3^Xk+MnAUOt;)z zD2eh2?Vm+^11O&TQKV$^h0p-s6V=2KtiIBtJ5265T+4mK}??JtoQ+nfZE1qEA zKH2icZoCWSto7Y!OfWmhR=E*39zMX?qdq%}^}k0+>{^lq^w>$sxz z+u%e84vT;|z;A!Xqs?d8{>S!iII*IuI+rZ9g17KntQF61whTT6NJ3k_s9sWv%6k|* z)bcSbu2x6g&`KF3*E!uEu^B&Dwmjn-aL6ahg#~M?>)K{W@}Q&v7@j(>TAClp4WZzV z(*8edIqL)v3g5*c$=_5gY#cZfHe8pJ;; zW6T=#&ray!0$uxK{19C3EDYaa4MlPL{@sxH&ouV`VMt_T`R}Z~lnL844n)5zkau_< z$$@af(68=AfdIB1x@jR4NKf&n?Lq)H3qYYM;&Sim2k8=kwD2ap5rIuc;oUT(FO{$h z0Mi5R-R>3#Z5prxld=>Csb98I{CtMyiGyYqui)TVsj=NtJF-!n(-R5%P2VOmLAwx;Xt`0Lrn}7e#&Miw-Zv`_1u_KK?>6kft=|-4<-n;BzQ&Ul56U0#` zgRh@0zx;D=bAy`^4-9CJ`nVt*9s6aH|v0@*nIw`4r=ek z>_7M7kQFGo!_ii0NjXaWL!(ILVsuPGr3{(fz?HLopA558HV;)X55oq9O(s$6Cn!}1 z^jA}$2~x!bD@0(&BxUM$$VU#o6^V>0M-OOS8Z7oV-PWUk=?oC6;DC1I);+C?+(r{# ziXnd4L}tq+ar>;#{F)TEj~{X3RY>DmXB<_J#opNTL!mozdy)yWc~J|c(_G#|C-~P* zYOmUd?P%$Y^6X1Wea=uph`xe*k!MXN>)MutpTse(SbZ+sLX=vwFRS;BL2g;!zzo*} z?$0D{h7$2H)brQV`&vcPL8|B)7~+9y`-K9?a(l&V6qXvy6m%|O#H2Spg4|jgD|O-X zUe?kxKz(fv8aoyE+p~PIqr29Eyw9K1y|79I|ft z@#TTc_}!;O%A>CwwJPxq4OgUm`TY%VWFF{vI?vriMW<9{zOFoV>rm7SY@7Tkm8i={ z(6v{f-xo@*oxjv3Wtge5(g7w~Mti9__)oFtuYNw#S{gH|Y|V+3+FNtBS(8hfygK?g zW;K&qn~dilcx%~aD+p+-gVjzXcYg3Vah+l!?ERh~GC0= zegpJ3)nJV&IW|hYUFqK0l3YwItnX~bIkv0m>UJpBV+n0;7TlVHj7dZYX(EG`_${3; zM5h~N=)B}3YeyCPa-tX~&8unMx$cj+Gmo)7tOe?0i`{4ifr*bi3v;(k6p!fyOxJ?Kt< zJMm&2KyB&nQqQElDt6Rgx==wooLXeSm-Kju?OZ-nAC{nE3BiMI>)E2lla9Xb8Xzvt zS6*TX-T3pAa}uujutNI=V{s3&;~_pbBv)bMgi^x*x+XNOP1#0{g)@uT)~lTI-=N zz6X^X#f`qK4UJ6DOrQY2IzyRQnx7iwuo34j5|8&yL!tOSw+V83pD#BCyxzCZ_Dn^- zoHP8s9K6l@$@;QnEd%*;=|d3JR+@4AI(Yb5@+V_Afi+V10TLAc410z|3_37UaiwJ0 zIIJhiBQ$KR`*)q3r5|lWq4b|SH#0zV?;YT}-E%vgr; z-{xA&Nj0owv%PPg1&s7g_+O1i6~nn#tdqpM6w2`5cOkPs{6iYcElBSl?pMUX-d#XN zv%RgSqfLwT7_W^^pL)^iivUZRzX}G=J{e1y@z@* zP)8Q&*s^IHRyK%Ue7SMkUdik~Qj!(FN8j?#Y%GRnRvXABP@g$Jwu(Wv<0ZIL8d|^f z3SEdXYk1fRu}F2stWW;u^!4fjnk1_HNE3ISG^wH)u(UX>`3&soBXbW>UmpD;S`tDQ z2aAH#Hb&g+uJ$rY72nJ}S*=W`&nq#|FcU)ex_i6d-1l+{zWkYf+@daNm9<4B`k$8v zs9uaVuATWuB<&eGdYu{N{BoGgS!{62E<=jrW_qU^;=w6^9+xuSgcuh)rU&b&C z?r<-}*dJSTK(l35JU9Z4<&CRKUaN$pks*s1i_TunuNqdaxL%)xq|?UB8JC0xYUmsS zU$R3}>RD}5zM|QcugZOl`43d$-K<1iBaT8&(~FtMUL}zd5g3`_?n%epmVeGkrCi~- z_9ruJwN&pf-EFqi_UE19Ya&l-DY9Zc&}9z_H7$TbDs~7E1I^5_iGs;CM&p|Ea6eiW9v6YV=$Xfq|JM1vT z&w11148D@Rq^-{^JXOEmL)K#fUM9-P(PIFfTp4&nm+^DTD%f9O-qsey_{DB7^r{x5 z#&YSsdj*>(l-@~pOHcPKwV$nA7Ar0}IlJj4(av>_A^^-Pmo=cUOkO?n%XhIE`fd4d zwcPeb)cC;z*~E}T_77MDUqhd(UA~a{bh~qc)qEa7_mCt%xV2?G;E~BfLZrSCr$xRk zBoraT%6R@3)iUQ!q47{Q-2Sg|zdP|B->&tquS4^nJ1DV41wD;^2johjCYB&_MK|zY z*YJA3N6V@fg5n{>OD3LS4<{>0fNDR-XvHif_q;fo)VuJf6rO*;<4Eg-sl0sg5YC_X zrssuLMLxF*>riborN^c0v>C~cSJ0louNM7Ch3wm8G1rv>RHe>c35DBH=8F${8zPBM ziJ`iuU!^=9Z@d|WbC*^Mg|~kg2cgnRHZ|fa;__uMxmMibal#pA%~7ywgvts{GQ_i- zddq@3SS&4MlI>YOfwg1*{aDOP^IUWnTF9M|&0@8=-3kD$9}2P28xpPC<0u~NnA+Y0 zI!jvp1D4;MZ`cNAU2cjCR=Ic%wcblWnxuy+DoAirTjhug_StBIWP$gI;()v(!s!Bl z*m;L({vq%UlAlGs;DtUKNPT8xK;~o;T_RXwVa{=J1V7HK_wV4}m!9O@-5qX%au^W- z@7jtw{&+XP%du%zml<&A2fox;&A}7J8D^76ukpT?3oY(1fIBp}o~;;boY)br6E?oZ zYcJ;A#UVtM*4T$rB`X9b%DYZhAV$W_X^lF3U-z)?%B7Z zUsp$rEi5@p#RfwA@q+qNOc5+B!xg0&#F7idpimIPPTsF*NP*fPV}6umuM(K(9O4}Z zsr{JrGNAYjDAX%icY)||PU~=1!-e(b1qL&fHEbG+U?xT8swf!?6SrIWv6Lxb0%$5z zPu(&To#j$^lvZKIKo7LeoFQ&SFrpj{`7qBQE(AsKd*hCkh74j}$T040`%t4Il>HwQ zCM=rD2$OtiT*F6+DDiEeq0V}B^CubO=am5`6vbY^y2GDJ+U;69ANj^a|9-RSMUni% zYN&VTMzu*ftfT3cD(j)E;(LfFjoREO7s;u?GDD6&B*@t7BgA4>Csm zipm

    HbXf#&!w^p)*J;+|mg)po`CXGYvgdKQ>zQz*0e_)D82vXIm4Ic|K4Z_1b1C z6@!5^9OG#JhrZn~9%ebIKh4na#@9cQLMi@ObFeeu@M&TbI4ObpO*ktBJD*x={RI_Z z)>Sp2o>VgEpZQG%Q9;P$efN@M<#%gE52@D=oG1f6H2}TUE|AKJ8K?pz7^y!Jo}6@OA;bchn7p^7}XZO z+A;*4F-Y?eo-9C!-Q+HeY~}uQfMGugQ4B=3SQiN{3;902MC*DT=`~OXR;;hJS#z~r zq9IB}2Uv*93Js3nF9VfBL)lQxIHH;qXvl9@_l7eVEwf>2pk>t7cGd2fCC!2{D;p;$ z>kAhs>w+@abJubqea^J(d9d4gHrbl zYrNUC(5}MR+7bk2IO)?m7-$_KT#iOYDuQW6JP>e)6QCL4ygRUOY%!)MXc>rQ-&{vH zNzAwjvNBUyXBowO#3a{Yy!{a8EUv;e=RJKLge{G5me%U6wxgE-9xV19nmqC(OxeIz z43RTW%yg3lP}<+!%%H<{3Wo?|Wmlk!6l0Nw(h&BOMW*D*(z21MrC|;q_zyEp(zD~D z#Q(g>c>*qgLI=Kpz6gvfjr-G(fdKJkC1QZjG*rK2K1$Hq;+Vmm{=du_Pl~|Fzyx~* zt8NY9AQ;Q6K*qMssQs+=hc@cqXwBrv`6vToWa=Y1w5nfzKmg#DS4=oRFT|p<-_iMy z3ltg>A}*(#Z88cXmgQJO>ACO?qFO<}WM*Ud)Q0+JnFKH>?6+8$_oI>gXopU%LyCd@ z(bkJW_$!_li$R3h(CE*XVjm>^)FFlGyz>9JinHA`%!u>v5Q$PQ*bpn-1w~-w+0|r# z03mJqx=fuz1S)eku&r*}2VWNW;mXd;JkL;WFqzu{;<80UDu|7Cm$5qjICUhZft@Yv z;DrPH*JJnJ%V{-DdWL*Tad(bYyPX5gtQOpxlsCRAPTUJ9!+#^UTnHHxBIiR7_S*W< zIr90miDnkhZ%e`R)eYO=`cBK6B?L5J?&#IBXA4vX=+XahEks@=U`dZ7O2aRwp1o3 zQKO4ZWwM*SJl^pUrVKcLV}*!d0i`-5R@=O@sdB5;g?0@=0*g*0{Fe;2H~i~u$T?q= zLnZknqKhmWe#4XW-^w}Gm!=h(2@T&+`3(nrv(3{PPDcVeUL>PMA0H>FQr#|sLpM6z zWIg4h`R|CV5-BOdC@o;3Xk*Co{Z@+n+8{I_ZCFwq$S-zBN62eU3z0$3J{{)qsJqUF zzvjFdsrxV8SDB`yJh;+i$1bgJebV}UMes0lc!nOb<5($TLwS?JB;6F_eOBPj)EzxM z(wC3GY~R z5@D*TZZ!h#KZ7%pb4o6v(e5|K@8El*j{8O1L7hCz5=lO?vJ0=*G>KVUZH}n_NJq)ij=M-l@p6as z&khi}G^mJVMO9sGuL_)TE#B^u&h5$+Y`}~+gM_SXUhD8?w%NJk_E4gnSs5QkS?E<$ zI>u33DdbC7irkfBUma*2f;X~gtsc*z*;bqFt-cHr$5JNGh?SW=j(QSB^eMoFm7>_J z!rIdKSwcLu`3$GAMl*{&hFQ3B%I0!J{qpzkBrUn#F@z|L8fXWdlGZD1`v9J2)VKn< zdwEq?_*GC`%3{D+UOExahdgAIaR4HRkrJ$PnDx|5Si$)XTn@+rMw0h}sfI;>(nB~u zIcmx1rysSuMI`OAD=>rV1BjnYI6M$nDxE!Nlfm_6WY8!CL2fsQdOVi94icJx&U~5k=d* z4CjZ!?Tk%*NgE}r&jv07-a9B591SNwQrbG|_{ct(CJkEMeQgj6!QwN4dhw+AZA$m1 zH?h+gb#)aYfw)MZ8I-yUIAa!Bi{$5=F2S%)0zFa3&G+RMZn*VR2{9>OAEhiMv9M(l z0C=6F@ z!ht5cqsAMfNq)g5?V?;!vz`pxjbB-K{SZM>*Y$$sOZ?zP1>_zF=*HZAAq}}Yju1de z>0`B$HLH)dyn>C|)^4d(N$o(w+hc!vu9jqApA^B<7fy?n!A<&ba%R0Dm0Rr2RmB5^ zsbjHM%)q}cBg3s}Yn%Acqpzs-W-&}Tk~?GtGd535BrA89`u-Y;kF#;lP3_j_4;?fV=Y@&D+S?VjPm|T=`aI9E!Jd1WX;qQPCug?Q;Cf3uW4Tf=cw5a+~*rq z7d&2$ABGzJDlmC?p2=zpoq@$KK@*(z^`OKtQA#2rOA0Y5b(iB1567Z$e=;D;6ruyZ z6Q|S-upTJHt?g$#B3j$x_w5slhLxZmKs0yBb*rhzyz5{HaLJ&XD@M~!J5p@0oglY$ z9_~o=nOt&rh0J9j-7AI?7M)|1=Ns6gs7!4#?N*zM(?hR{Z^|YRIpu$6$d*qWRjG0s zn~!`9I5s_LNpN-8@5W$54?*J`*O*7fT2l}jOelzFW7{Kc$Vk=JZpRU}%S#!Wvlx%H1RZ%h37w`x7mJTbHDHt40NJfu=K`I~eP95LsnQy_*=mBzYpL*K&pvm6EDlHA%YxA#v@3qC_dthJ~&p*Dir+ zOdNhwml5N05-kDwZW;8LC+CI_AWXjnbu~u#8Mu+Is=%k3K~Aiy;ok-B<<{lkJ2iHx z6KjL0l*4M>w%NGGM^X8EyZTB%i3$&m7Xn12$Opi~3d_%&;m0Ct6--SQTwRKXbnuDq=cT$>Ec5ILvw* zpk(hilwCyD_;h+k1_ZF_Vrq38m=a+76Ri4fd*QJ7MKP=C#dZ%wz+U8I-B7k_-Ot6e z>MrngD$4zCgr+82kReQLG(|&W!rBj-`=(Nl?|R@B%M;Kwl1lYbkysmEZd4 z7*~q4+##Bjg6MT@vNal^G8KYog(WIv{4}$Czp?19_8uCf;0e-MhAEDe6wy7Y$sjfp z9l`M7(~FsUJ0$Bbf8XRI`hsv8F>Fm}~XsRB2-w`&`q-8EwoWAzc>1DsAeA=>dv1ur^os zbk@06fQ>gSS+xn+-SXHCLKidbffRi*TCL{a4YH;p4M>oao^oo$ii?S>)jqTw7skyv zcY1U8-IK+4thtJpCQEu9!qM^_j*%12Do<}}4c?=aA_Aw%^tr|eMBzg2c|%f)##+Sv z6$}iDit$*MX3+CD(@r1l^!Qm!*na!4j*syp!U{`jhrY;i;3i2d%uD;T!P|=%J_OE+1rL0A5k1uosvp?nQbcYcE-BS-+$h05tn*pWriI zH%#9xQ$)x1PaeaCmr?3q1A$uFrio3SMkcQSvRt@&SJW(Z4s?lK)sdYWu2P{_v_fG# zaG%Q3ysY4P;O29zEjZ+-9s}cjHu3fyY%gBUEC4c&vU`q44mI6T!afO$ppk<9uokhbjSktpXdik&KEnJe;IWV{GTkC_FLg(@lAa5d)i2BxJ=)Mq= zyZ1Iem10@c;vAkaN8UhHq@B+Tu}(Tm>5KKVdumj&Nhv=|X%Chskh)EP>>JAI?_v6& zT`j$O0sA^*)cqRO?E*lVl99uus1EDOv1Po>|M(B5X)_JmZy!r}Ye7a|?PQX)yEt7# zfoM2ikTg{K79|H8&BU0f3?cYYKag$22e@0Gxisq%HLxa0^}zv_YN&bEcg6mQDa4-H zLw;)tzDC-&18$&$7$+RP8t5e{(T(D8pS45Q^~Te&r}T`EDc3f(qjq7!%2CuPTSPhr zh7aE8yeAs(?j|;?+^)$;z-pu6gP4!5YuPs#VcSP8>!`P}WupD9t!|4rN~0{04!*M| zUpP#tI%-<2_WD0mb*)vk95`wXe@zX5{?9{77Ou`Aw-H}^11El-DjsoP|!ev-b zU9KuhAPcrkgwyQ;NNz!5(9gjG*3!{r@4cm8%Lb6dm*;bf>Vqu7aEj_HC1-<7av4^O zj`TGx>#^xA?cWzeOn)5eLq}qwb~=$(1e{lT;e)XGepk4PDji2NJ#1@=11>vRSxjIA z+HAeHsBELl=sB0o`j-?t#HWnmcipY5py^qBwp>ip=yhz~aLeN_FRwC5@TViNr*=D; zImND)X)_T2h7Z`IdNFm1eShIn9GEgW^z+N*%yiw|lha|F*2W1qL$((mar%`Fl$a|J z&i2K)D&01O#;sQ67G?MS=SXMN_DN#vQ0|Bu-RBYJwTE1Nd@!xwqmTWtCnQ3m1)$NvT32XG@ z+y{c0#zPHFe;DJpWkul`n6c&QQh4I8Rg6g=epPScUo1i{UrT+@UN}LswCZ}k*-7?f ztlHDs&6*sOpQGH0#vAL#mHbaWts}%=BQjLNZPVHw3=AXqdyU(99JO>f==HL}IA+Tc!yPBehK$^^??&`!e z5V0s}%i0c6Bd=cT_;B?`S4HQry;_f;z8Q(bhGVemhx&;TKZa>(R9qRar)^#SpvafN zLon)-8#Tj7i+=m&21dq;PZuzHqJPXGpJe~Rki0Z~Cu^Q@I4E^+)76tayB~C_JNseg zE@tA^G9fA#17)hN^&>9595rps5}wWZA|72$5sS_$SdPBfnP)MV_YgOI<1jNt##dm? zxI7zY%kpx5r$5*@UkG;{E&LUzkhMd!u2t-2MP?IP-wrqVK@2wVEZV8qyd)t59y4;X z#G6}O_Xtxw>s9|$0U|&!YC}&^p2fT@AH#emmasJnGb%UYiWhO0C?9QrIxD#R&cwrt9iZ|By z+br^-o+qBIqRuf3mF5~>K z&m@d7!3ufMg=H>wr|LXpNzaam;6X@@OnBHfx@9P|#bT`ad1Oh-X>7}C*$^km`{xu! z%dMZFjMS}le0=27zWwUFleiEzVdE^Gkb5ZBk=G9W*PK%Y+UEi2s3;6#mLL6a=1Dt7 z0IfB{7vkwihgVzR`{rXASg&M0IM_s#ujc2$R2?ybR-o!|&CKEgMru>P;$iPbqxbBCqB|Wzbmk z#_)!1uvgBv8du71HaDOd8E*7Br*Vn4s;yhC=>y1iW7b6x42=0{w#qaSE7sM*#P+KxNe{{C z0a|%(hqe1TU8uo=6TZ#H;Ot(SP`|qGxEbyn2yW<|Ei^FI`>+R+Eqz!7=6^xlJJsI z)AMP3jTHI1OcLB30OMSD0*#T{U&{7vyTN2l%NjG{9dwElqEm<+w~;wivd;D#eniq@ zm);ieR!d-ii+YW)w~&+xA$vMj{2?%Np=2Dm<%2OvH%%|X$28DM3-(rmGJByXj1lI} zJRh^*t=zmLbBO1mss8d0YFE?}iV(f)5=UIIK36~hUbdJrGUZvmGDWeza}y9nJco1= zDZ~bD6p4mzq2!hncic!8vr%tSP1C%4O16hE0-xsF-o3`=gxq1KxaHqkj~68E8^V$T zxPJ&E$u8E-EOsw}St~=8=l4l1nOeUipiEXm|GTRF|A3MIr%B>_0|zrZ!GD@0SlQ?} z{=G?p{T~j9|80_BX8FIM$XWjxMgITSB*Do<$HGLw%+5f^!T7Hx2^Kb{e~eiFVUplv zVf+un#Q(VUgpGxT^Iu1<3!Sqn8VQ>(pMpF7aj~$t!m||}d_o#*L2<+*BEs*qGYECT zL$aAT^e^xCyT7X&O3Y3n9bs+M^|PBsO=AZht0rxhhZcW|zP#O^GN<&G=Fq!5-PyDG zeBIq(in@4bzI;4Al8;Olij*LBa+>K6N_dGIWq*Qm3S(Yjp>SF zimLPHlDm*w#aQM6Zj6&kP(>-bEKb-z7>g`s20J7EkZ=Sy$VeGsh5S;aHaDb*d56g* z#ZZ_ztfo^^>}N_84L_Zt4fIMYYM~`rJo%{7+l)15{t)}>$N7b4k+bLM@%5`{ zu*v83;;E~ktH?dIWZcW+%UkesJ%+Wa1?#a&ET5mnSo;tW?rkNer;GWq?rANoDp4Fc zgsK43C@)M(Y~G}_1i_w;nv1y*yaSL*6NYFGVVV`uBHKf(>kkWnD!2{6%dgQ=qgF17 zuy9`TI-L#(E~sZwV3PBJ)CH6yDDl%%yDU;BvanXNFYqjwhD8X?TkMGLDp3VNDP4o5 zo=S0l?{z<~<^tGP34*^G2kp2>au2McJ6ZOFd2^7aZMSYSW^SAp;8No0|e~N$dd#@s#qlZg3&r534ruUXO$IhU7a*%FE`P;MC_-WaH z&vWiac+&L<5j2}-@O5PBK#y*Re&*H(z>HumKO589 zlfhPy29`{Le9P{1iKw2amv*g}v)U`rUhtrg8da~HCAsqFfu3DLEoCLknd8Wo zy5vE9fq#>zAl|{2d-jFQV$fnN{_{>|b`)zR_VWOj+oao1ww7a_1A=|Q7jUz@%FTA2 z!$Zl<$J*AKA!*i!Q^+CX+cBkB=kL$v`cd*($+p^GUccE5xdOw5 zOouKWWn*tRr;VvEHszx{EzRxV-h8czeHqTVni}j_ji}!+nZKsUqmJaTjOF!3Lmxxx z2IE}o_bBgb;IScFDyOi3(?5F6qC9x4aE$fThbk>Jhx6WoQ1`fKxl3%nF}mT|KTFBI zW$6{=F0DKisb{i$ioY6F^D2r4a4&dS85FG^2+kL_hfpxL$4Udc@FF$rLX3=*`SG!* zmNBGU2ALXH5_kk9wbyp^Vi(Rr3LUaRnKkpCTQMC@po;fZyu!T<{SWYdiUEb%-7us^ z58%TH+bb;n5U}Ujm|RsFUGzB$dVvvbH}!A+qP}nwy|T|wr$(C zZF^^Sted?*?&9v^{<}Zoy?)XCsynkXvyfetUs4?`AQ+>kR{hS>zph~)G7+m!0ARU= z4@WMJAZykp@TAEM{F@j*>S;z$jZ;DiG)y1Bb8+^Q)>DNYiSI2m%!vkvkODE6S(w z#cT{e)r9eHdIrFl1iQ+Le@$Nu!gwmzEYE??Sx+N>^z^r>h(LKxW4mdo zBi#!P3A&Ao0R<(gT9xye2ZhXsCz=G+1Mjz_21oLeQ6j$(#g2w2P>P8&|h|L9(r3pul1k#M>@&CTMpN1o|07 zBoI)}#oaxgV)a2UxbQk&i54vLc`S-2#@#kvA55~P`44MyUW~F(k0Ob{44Tu%suTx| zOI$F&Wj2XzmS*4kcui-Nt+5?9RH@D0s%>j_xj51I+Io?S93JiP}5@xsS#^ zDTqqm<61BpJtf`@9N^H!iwUn*Blsd|mGDO2Udwo9Wwc6lZI-OlBHgAn2_T;@>Bj)u z1>sg=hyi>(TpMKV@*#*}cFv97Jms7DpzolA3VM&3@5iAp0A~_cKnB3Z*k~y@xq?Gr zg`XfDfvpb=UPM9&V#J0JoIpBJ%ej0dws-9!pX0^$Bh7b_C76w@ed98;F~PkzgiOmo zB83mmwXrep0u}h5WOA&_aQB&M2x}Ba7t?panx$e;I@_r1e^2E9c?4q?yYx>!Z6qos%VKC)lr;mx5R_Zsqd9Y&<79z6S~FFsJXAFs0j) z`pw0MN1e&C<%Gc*>UB>Nv%S*jh*qRdCB`{uyKmHZm5GdZ!5Tk?Y>=uJKn`B^cXBj5 z3vKSL$`+LBCWSk@f`|B>I>>lKqh9yJKa@V0g{qbPqSAPc+chyb>sn@h^Ok{bto}Qj z9EhhHD?l1NKv|fg{OUICWcvq^;|lDWgc2g%_%+6O0~7WkCPE6%^jaB1z@{(zOw<0KbbatMCBPI6GU-34 zkT?g9N4@RJnt8+7+T9`Q>gL#ZLi-%zU>ccRfC?<^Swl;Fi7d$xC@kXafot$oqXW(6 z!zl1ZQ@`Rho1xth+P8G|*&cckXc%&TZ8;fF_3tLMF@(gCNFIuX&`UlDo+9j^IpB81 z4nbiBBiL3|8Jn4OaaHQX&q$sR7_yl|D_rwNp(b75IZ``p_tcI}u*^C%1Y{@Hv&wcN zj;{2hQK4Ny`*X(~bb?S)y~QLF2JXK{bbZ{}R3X>bHzZ^)*NiRSHG}$QUOHvmPRDXx zrtfviMnI3;Qr+JJwbe5Uo0*XOcXAQ2(AdHn-Ha^2dP2ga>`8l&YMyFc(DRnGYZ5D8 z9w%6Vn)3b?6PtU0HXD;BRe3Wh1ap?f_sd7|30w}{3U`loqgK1Kt*XwzP=#F86BeWf zb|E(lPa3ZFG#dn!bwuhpx=AM2S=A)nhN8~-ZD+bCRyL2#cF?NZGesYD>1jnL86sg% ztZ$-2Q&T`h6|pn8D$cW0x)P!IgQ0n8jHAL*>@;-|fda$}3Fq)TZ)R(y?P%Nh2h>AR zV_7x^BIQc^&nh#3*&5I_>U@>*n$Bd6SSNOpM!KCx@-D?4B|*V-7F1&V)oNvPE6DHE z4VnspX%8#NYdw>m7a~L@#;4dWBTo^>jSwUvZj5@dldC-pRezoB_iqz8O0+i%T6OB0 z5XUP#0J_9ku&3KWs?K5jw*Vp5EfKKwT*3GEjfQ-QPgVhnh^_5!qUXMh#|*4gHUXzT3h z@IXANI)$KOByyT13L1SR{y3WoO@yDMGM(hQGbq$zn$){&PZlgmVlo?LIF{SO4$9QP z6)Q_H?5{J9*4Bl!AWli`XJ#mSf|#6e(*yR;icPkw|H~KLc@ju(81F%Qe3u7y&mx>q zQSQU_!rGSva9Qjd?MNbcL0=Y`2Od^>tZchW*;>9?P^F+K2qRm`3wwWI5Ai+|+OsB@ z6F)uHNIceLp)S#3$u&f!89poKx@j#O5tlKd;3_O6t|gQs%yDSf5cFtgKco^k*9($0 z=t&WrMzKg)AZ{9;GBwuC*aA&otz3>5sd1q_2X)Ey_i`sft(8uAMs`osek7ETHe&C6 zww#Rl)w3PC^wu6XJ>>^(gZ&BcL<`Jx=OH-mXWljG|7iP734UetSelJN1Af{e5>s6~ z$>FP{FQHYm0?2UL$j$!72$Hoy{~Z|^$FcQ~%hn{B#3?k{Y=zMaxE@Q~(OvE_76vM_ zlP*i>ap`6@A#pqXMNJ+BG3Ja=V}6>pT`3|&t=4c5L?N2Jr&b@?urf^vpfoyjw=l0b zvYIu?stk<)suk*xAwXk-$Hs9w5x410)Cx-aUABllLxUBsnyH58%w=>_S+lF$O6{VR z0!WQ$uOXf>q(ZoA%yZqug6AFxi`-@a#Raz6Te$(?4FTwow#55OJXP|=t;+KH8eOLkFZJ(R)ymsggsTf}+p9f;G0U-pW z&~%D`i-aCP-sAN##Sr0gg!Lp%mvD)u`t!pP#yMe9gcFa8?eV|io9nW(21qZ+Tmsr+ z*jJ~NnyzfMx+r=N9X$09gcW~p#UOd27_d3&(>7hecbSRfjU+p1#owTCEER&oJjU0n zyE1!&3Nuy`M;5@BcFX}BF8PCyoyu_QJ)pC-MeNoBm?1W|_IK7GQy08*qbvK<*j37@vW6cS?kT42VC%yxp z8j4uc9w4uub~_OtrbPksbW}v57b`NM)e9UDsv5S1xrI%}_ck`HWvj+T(OPVR zP7=MRrVduVTQ(7{MJLkke8po6iR8(ca=2n^*&k$Ck%TEJqY>C^yL%OD3aO#5RuDr3jDlm>~_w8 zCDK^HJE{&z(AoHV`IHn&bg=4iHxR{p2VX)uKSwIZ$s9d>qEvbe7C2L5zsP;X<3*O$ zpijw3+f=5hhTU@rf*Labs&?2_D^$He% z&!mov>{#W&fYwT5bQUNKYP*xw%_jR+k49h`$41>EJmbqnv0=-q{*abL6T(LoDr%bD z0Q~9N+bCwg)?QXvBA4g?Y6#0to{AvJ;T-f;A&@mXKmW7pU2pw6)+g1}t3c#{xoI&X zjBM~0uBemrXO%0wU_KG<-hje(K^52wY3O4Tf)kup8PsS==*+vOU6 z;WpBX=P3OLmj#%|lm2=i9fOGhiO`fa=c2v_{}L!8#*B3k_WlhNlKhjjcSwV^>Lsfb zo{!sZh`C&|3F#=L4g1yWJns!Lv`6h6P=sG6(>_G7E=+mk6P}v0qC4On_a(ZHu)ATPMU3JC_AKWJ|Erpqgg3Hg13s0=m(TF-S5=Rp zNE}GrMjdFKcB>xCi@MT?L3Yz?LfDq zu9|Bf@2vyLA)tmCp;>4vVGb=PluqnqHDo!Z%@Dlk?h(&~Ui(7WvXxb)TxAflc*QV9 zC3LMx@u2a;bMv1JZd)KcU>Y_v%Z)u|rf&D&usCDH@6|gLBJgG@BQc6`3=5aSIu$y^ ze%hZM$>=^0^RWd7&taa`<+qd42xs)n5freS*Pl-c0^$;l14UvncDAoT}nJ}v-q z9Fbp~?ZZ;)=de##3ZXOpCbxy<(HxS*UTy`^r#!Jt48c|wH-mCJ%0#siz#av%P@h;>U0jm&Cy z-RO}=B6-X*B5T>Y9PQP)gFtM<7h<@EN+nc%*Q55wLk+q&X@}R|^PNHYfg6yTY&M(` z*QIpr)uR1+!0FVX^=Z?jo$+C=Ix?B5;iX11r%p2tJ`luEZL2s&O5@o@zB&YQH^uuT zQ}gxg(f&x#sgf=^zF>2GoIO6kaQ}RE{BwLn&d)OoS8#XGeDctKJQ08;`2#u-Y^ZkY zGhURTr4BjjB=Y!ByMA00(xvElMa;2Dmg*$*c!MWsP8KvhyUL-B)zu>S5vTTyyoH2W zGyJ+?(CKgGVY|1`@!+Dd%gC2An@vR4=DJHN#^VS%qHFuj%0UE0D=; zGevjWwvdEvW~XLF(Ex*gY1mBLz@}E&Jfn{|gBzN-mGHv(pdb!mu#{TSx#Tozm|Q}( ze+0lPW&WsX1n^`!GX~sx<@?gRCF$Hk4os(E+cqWz%7`jj+%g@Vd2D$2i54MHL%cOX zpgf&)L~F0TJv8=P$m|mqZG2L0GnOm6vDB?9Vx@1$l>>-kxN1*i#&|p$0y~DWAh)s( zo_Fz1#jVS@nn0z&-e+EMK{TCP1eH@SO?Uk){r4xnf^@}>18wNNhoPo64ak}9FOKap&A7%K!|m+;!zEy%<5N%XZeD3chJIV~q* z5tx>|<%toHxsAP?HuaIsGUG0<)woXD6axdrc^Rsxr3>|2D7@R(w``rSF4;o&&ljMb zC|FM4$_D5%6N)$|(BdZk%5c_R?>^0}aSqfLIsJiOR&b5l6<0pRjaqFD>rWy5zp007 z_ztoGZ@Bx&o&X+PLw)Dk9RIiirK0T&a2RSj~~#@%O(%A8h(jHo+wCbg+> zFKX@?v3tYwHDr&t`IsGF$@)C z)fnF)L}VJ^+PC`C)n)nae!2E&+}9r33zc3@{`~&$}B82C9IA zxi89GMs$vNift8wi@t8+)d4L?zh|XjJiwQ-=UAVZwc9$gJ{1gAh724`2G~C^4bP>< zNzKuMGv|1J4c&sZDRGt{*5-IQexD3~aIvk3PXfWUZsiBj|3<*-7l4&2KM46RGykn3lBip_*E$agdbAjsvrC!+V8A+ zS4FKMlIfNT9)Q*v0EyNOF+e+IA&3weMXGGRSz@$)t|a@rIuq0cOciAsQx0cZun-l=S(xUaTcWRNuB=!BUa@NB zZ?B|tfOR&24C{gflGGWo04o&E#jbq}BW95%ZJj0f$nZ#SfIkl?Obdi#vEROLG@tNnuv0QWFA50(*WtIv0RYr~MVwCahz0 zfl7DoSG=!iF`StCiO5n_GH=L<;6D;h)>yEGHxgrr9$gb&$22}voQJ-Yv}%+65&S@v z8^199=C+<4f<##D3;oHExMVorZa9%gC`xWEHCj zseVO?q;Yc+1;ENa6|jcPCahr!+;<#nxLxkSQrt7yQW=Gb#KnDXh4qGrh1rUjg%X_t zQMkj*xP?e2R#7ohB20Z$)do?d*PslT!^~0h&uwS6&utKYT5IHd_?J(e zUMF&EH}DSER$MO|&u6o^OKI-!Z5bafB8K*wZZLPVF<8+6Si(7d`pZAxQ@L!bFTOMxXBL%P~{*-8Pfp`)Ff z=k-tI#oOPb^T@?Su~}!9B_XYDcPGz)y%IzfvP;p6ip%Zf=U?wn9%?$<0ml~;U(4^k zJv=Pd(Wk0z141cmC?NRefOT6-7Gq_7bPU;7Z;(8PV-kex#!!7 zjSseNe-5s+uPNuPuS4Z+s>S(mHRytVv3*E!bSr1RFFZCUCDARfI6IzQta!6>Zue;o zo)#jN*dmKO19vFc@P;-exNIt9?b^zgW@;iiFLR)W0;qJmKO)6!RF_Bl9S2yR<83ZB zH=pB~UZo*#^V31@}3NxRfnfkAH~b5ZhSS=VhvpuU5zd;s!B$$KrK@t5!s&gyOH@j zq|5#>L?ykl+r6u&Q_=EvBJ{|!ka^+uv5e_Yo8t`QT@@_XECgZ?gqKX(_2=gAQTrpX zV1;Kiw!Xxr=2J4d<=8|bnF%>(6wnlr&c77b!*K=Ch8-bp=2q(^9)&lZ-|q3raS|-W zFg1#!-hj zRioboZ5VxKOU*>Oz#W=DwZdB>f?3aYwf%epDBZYSMR}r}X}g@xM(2NyC-Qh_g^Pgr z110_CWqmp6W_J1pu#gN&LLPR48zzBdH4X!LJzAAyD+42$~aoP2et6>ERE=@9|IL>0KTE#AAErtvXOkQO{qh<%zdk zpYO;RQx!hTOXXOx#Vf>ajWi!os?}v!gxu3lsvaPojZ2$JeUi^$Bt1y9@X%WF&UG|; z8IC&8HVC)U$`fmaM(%BXYy3t7aUrqWJ;XJ0P)-g)F)&VqSr}HB&dZXM8~|h%Re{Di zIwr3WNjXzt{ki9P`uLh^ik;nf*QZNJ=kZBMo3_e1zm-JF!4Iol3adG1OzGC^15*!wm(MGZ)fEVz5Md&Dn#73Q*n66we(v;D0BJmFm_3ITt<>E4%3zZ zB~w#C6nv$POe53FE+!_@YNI4I6Tb|SUJmmVSac<(Pk=HF$gDt94WUOciW_oi)`XV9 zG-5FwI2*XtbmUR~fLbD`%)O|g>wN2wL;ZDRFevZcdnC#o0QF&PNA01h6Lzs=8AojD z%wxjX1r6K2V1EhjNN@1;Qff+r7>)|>3j>GsEvF+ThZ~Kti$pmM;sM0{w)^$aVUtC< zdFyt!Hw5Q51n)PE70g^;NI-5amg1sH8sF@i7I7e^dQuW48yV0s%SoX$%Sa|hsWU~# z<&`Q5V~XPr661Jw%%#V6zbjg$H_@z#k4`x_)grJTdES^ogc zs7w)z3r|@mI4J+eAEfu>$;Nx9NY8A^#;C9O26xUC%#;SYKinq#a7+A;+k}7IO8;fwtN7DQH>r+b15;xf%$UmQ44^);BvYddkn91UibV)U~8&0Kdc~H@M(^{tG zX>-3CTkN1pTXZ5L%2xQAj`R#cRkni4z|X(}L)Yy&x0|#{+v?#-=_-n+^~a=FhwXt$ zX}PGA!wySO25&tL;8hjqNoc{ks74?JZ9Uoi2*^H+p&~D?San}qu_heZg%*ptqMYgF zP%d23I{_Rd1zWcnI+ulCZ{m@{OmI1XPh{OSl~C?-x3sl8J3H-aY<1?UzJtZi-}AH$ zo^H_q6<=4gAvV7SC$m{%KDWPqVe&q)6Q}iLweZiYfj<{H}xFb8|;=XpdtP% zHV-~9q|l_gSB^Yef+GE`jO!SojpmljDju z`J7DKM~|lCkz@koSdcl-@|%zrBZ)73Wlc=E6y5DwQE5u>nj>MlQR2K859xGnC7u$V zme_)@Rtyf7-^s*uSHVu;r$$|i{%ljM!}-QPd|Ngus{cjR`8TF=~CM;^-rH7wm+lt87o_wcDF10nNaLp z82lxKKlCU*p6G@&IJ^~UlO^ndvqCAH$%OVJ%0R;|_k8oBvgFOE_BY_-o7MZ=7>I|o zQ97KUiz}lrZ_zVdca6O=la2#&3L*A&q8fj^oGlzvvrcFG>I+ zx)bRFMG{dgWtHgLj*YtRhd5=DNmfI(mI5|NuQ5l3Mg}je5Jb$=I90?4bhsP5Dq|q< zPy80jjemfxKev|=-qqXPP1U9fTN&oH5rLZB{_Q!%i_P|ZtmCll@Z^9bp*T(h6%Gu+ zC3}s8A~sA2B6|1!WiwniWe^wEO)*c8NJOp(E&7%vRm6B2Dhx01Xaxq?{xY-?X&DCC zcv<>5Y^kZJQdEWkHzrC~u<;V~?L4_kv{y>p&o*wUCRvA1-U%>_;0)j(bXh-qI%8y< z1#xp$Gh$}*7zyqt)Dbx8NW|cQ{su@8)ojOL!JI!DCqnY%@o-gw4$2W~kaAUQ*1o!ndf*p?($^|t>&QT-{kUtoY%I+b9hfPo}5a0}1znTOIqJvDG zL#7797;EMCSat$_3tS|M;DTGgt&QT=y$vZGKSD%KUL=l@^&o} zVl}3AFH?p8Kb`&3WQh|MY~&!y7jS|u#8lQR!u$~)%UOvy%O1hG9j*9!-53Z!E+Pe* z`ubkkeS`n7iHXorqE-arZa{s)tesWTFuwF*d}JyNHZ>Ji3^!#m%p`laHF;EkUNE+b zE^A#|mGzh$ye2EP@lykVp-*_Y-8V$ZftA<7mSxCi_Pfu|?kRcxo%A3$wK3P|zo~5G z`ayL8!?rC&Z0e4brs;w10SZOf#wt;V6BX#NDJl#@3k+%uvpM@1EoMbQ8bfw>R3DoK zVL?gdp;aLc@VbNarU4;8{z^#tl|7~@^RPMkNFn{zk2nn6V+GwfkZTQ2Qp{lvIdkUe ziSdW*0p~D7m=QCkF(60-HVI*VMpR7ECl45)`{C_O0+uO4v>?Q%%vnM-br_;p zxMD=ipFxsE`Y3Ug{%>`9u($5uKM5GEqgwp1w@m+|Vav214G;ckxK{A~C5kNH;77-U zM`YmDct1LZL1NH4Zs^@ibo5t%oYd|QD(Cim*ukK5O`1xhjG-w#K2%bkSL_v=g4c|a92iYl9*l_Wvrf(It0$E4Y@CL3U zvxCH^r9s7>A<)49cwOXbIQknC1=}(LDUOyI+CJ9|3>NGLk?G3Nz)2eSfx6j|JMKnB~ z_iMB_MiwPsk3WNAv%j`*@`($Re#7Mk@kDSpq2&3^f)Lk;dcL(x#TpMHFHvc43tD278e))5KTCdqypqR4uZ z37*ZHYinIJaF#K-x~et5<830iLbkfOfASi6S>3@P>)wG|XwJ#({kdXE5Tr2pO@4~E zyx!rgxG&q*8JJy=foH{uboH2?(JbTk>U*cQ3jzORZJv3)a9MI)8kv7CU8}6~GW;W} zo`!oc4~7=R6SZyy6`dmU5#hRb5_4O=wE5jZ{MS%6I=$WUulv`{$VFAGQQY^){DjmR zn~*QU646UxeH3ut8XL2vUh;=!2Oi^XOr>yxroa?rA=7c9rjkE_vWByYT~X4#5G{Vnr@0=@+WL}= zTg?pMg6_lO7XQirx9GOEb#Z$0vYPpt`TPAB(I?lTz>^wWs@v+#$<5=Z*MnES-OXNZ zDOFk1c#8sv-0gv+T(mdg0}_%*qGSKxGW2Ti1edp>^1yj01K#d@gBaBkFC)$+_lFBJ z^9vy7ib=0C1HPVMy^jz3?q#-G+TDJ>8(Kf+&xTHqcXRBo(8W^3>!mdM5OPnuBrh1* zKM$RqYG^O}rEYenV9CInHt=%=q3&OTkCpgcVx0?*cu z$v2s(D&K!cD>x0z1bY#6?9mp}=lXx=ByxYH*58@ATD#Lq*Ku}AWsU)-i)y5IuI7RN zO?{pt;oa)y+AR&KNr$&uyJ|)nh#7spl`F-SS&88dd(h&{NFjvDrjok&m`ITLqZRa$ znYsC3B)546TljwS#ku9p-s=i@Or5mks(Uv4jpx%A80O~j3a<0M{F>ohfq`5yK6%?; zYID@<1;;14+yhj-RR<6V^l>r&Rb(x}-}kdTH~JuSJ%Dv*Jw$#)eMQF)IQ+Jujx(&R|6&--oRa2B6L}+dYoflUS_1ZL+&gU#n@jZ)QGo zICG>tM&FSmx8C}4%#Yj4N@R-Y*VQSar#tCCZkMOMyNW%a;p&!;XHv|kJPkGR*{>xP z-o~fv{1OlfdEz!eaRX}UuyvI4Q9vtuCa3K2&586VBI@h3;3s-6j}Oj^g3LS>TJyQG z#A-@JabK-hz#eUUeHCKj&%Vd9zy9bJR#}t1FuMjk9Lj4HcX{HZmEelj@Gpn)iWUMR zxG-3BON5F<#QU9ll53^ifIYgxeCv%c10sVlh5q2i;9vHQK?+0ziCXMWnjVq9n;Nu# z!~Y@Av=t7Mk{gaXrcZ`7?wD`qkce6&6RcvP5wu12XDesJshUXfc;+3j3Tf@x)t?w| zGao3{M<8z`fpNqXiDD@U9IFf6mBl<_ zjzHgK10ZaL?9Vib>#nLd<9*;3wLY+G?ayimRfIV|BObwG;)fTjg%@Zt4=Og%16Q?^*d7Q8O0T3zPXPAN0*z`l$;4^!0f1#2<%}53cbNX6|^^vDQq$| z<;H?Tgu5xj;G`@&JGPv9B~jQV07Q=7G)t{X6k?u!P7$_9BaVV)3Vw3a1>V)L4|#_&GBpV`>V92d)J#zvS4S&QD$YD+fy|&Dp-cp9mm(0O zaxz6GG#XZ{BT?2`I!?I6?4DtG~A3rpaIqGFnd@i_ur6CV=Rv;|}M!9Eum z#G_~odh?$m0c?}R5<1ZwBD`3fqSgt--NQLLt4%nIEEG|#rhfW5iD*9$F&8b8kkUF~ z$`|S)mAHua5SmZ=JSyNak%T;y!N5dSEG=Ir;$HkZ_6I}7uEbMjjz7RnUO8jpQ3P9qlBi2?`=8HXfz!4#&-C@(Q5 zzJHx%Fq|)tt2dSd=|mS6TuF|whn_e`7T77!Q4Og?6k?u^9jTIekeCxNU0+8jwk({O zr)?5$S)dLB^+*JbVzXcct3VK>oo!Yz^56yG6t_lai#nE^G)-goO2D_9^%J<(Ao!8q z&D8;}-K;mNa}+uysaDnwF)n8`eK89G=Fv3<{Z7t$hI}-9m&WhSvI+s7Tu>b19DO&_ zZXN@Mxa(Zvu}voZxvzhZSBjej;(CBY1| zQRV&R6j!yoMAkgckDS<)h+ZlegfHP04DKD>a~*ELlKX&t!00hwXD~KTx*q5BL0&7f zfN$65*S|t)^AG@M7?PD<=vZc$Z%(V35|Nr_6dagV{;*`OX+Kjv%DJF{#R1ixw6 z)F@G}@oIdEzb?*Q7XZ^N`QDXoI<6>Iq_7hKa8zy1;Mj=nS}50U@ydOQuSM2cC2gJv z7sf!4Y1|)0Jf_8<--Yt8MG>^nyn@^qHr{(o3otU}Z|DyQFb6!CLLS1L5tPwA5Z=a=uNSe} z5YHuUF0qM41wj1Rm%d*Re;X@49pLWKDrIYJ!CH}bj$@S7T9ZH-(gKYKXH5$_kVV`g zxnUK+CO7B`cz-4dCwIdsQ(~Yt5;jOBYyRgFFidCf49!GqH176y0KnSpr_b=6NA7R# zr=`{Dy7I%*lKxLf_@_Gqz^PLmE~vV|5kUBs>VIcSg!}^rks$b2ivz&m4>-w|bzfVz zvVy&KyrCfOO&|t*u$25z?Cu;;XDc*!ney@gI;XBIg_tikXl1|DUBp4Eatrt<)4=^~ zx-p0u0yjhJgkOeTl%XET4d?(jqUy4w%>m+U`|wQFMT&*RTc7+Y_fui(UBw@`O~lUj zQYYtbGiMDnkktC<5EY{B-J0MTKPVwc246}P$C0jA7^i>^jb9!E$%Se#u_E?^ph2=N z3OrTGe~A$cGFN**7s;U@P?152$VnnFNyGt>45Gb}V}LS3OyKvli8K%Mdn&@I^BVm{ z2h*C(itcEzAh(BS{Kcr4EuA^hU2uq6x#-4n;WSw^)JA zSb`v5ZSpb>Qyk*|muDF{bzg~a%FL;Vu4%}uEh((Nkpi4@fXpKa99@aUAS&*ph|w7q zV_`_&2AbW!f!=(FjS`=r+W{i=(>z@yB;E>?-zhZ>ew1ALYy!c^MZ++l2bmQ6G^nVO zsegVA92EOuZo2jDFu z9SnOvq_JadJT2Q&oGI0xp|%RNgB5R0)T@q^i6QVl*o&!|K`03f!dGRJRzc&z_EW*I zZE(KnUR4{lSHZ9jK~)`&U(TY({o`R-_cWAxmoHjz!x%UjYR*#9ncUe0@h~^w!oWL5 z&LA~zT4uSMr^3?Pomxi&<@!7Ipx`f(h0(o~!XZ$cRa*XHW!|-4l2$Zua4~;!Q?dCw zBt>SXm7trN(MQ)VUomI%O6XNtX0&Nz)08bUIkne#5d+EPs`&bbwhbUoRx0bOMy}TP zf1l__usZYFGX7qxw2$5 zk_>fS!l~@Jl{3d9g$f4YvVn~Xvw5OZC#XX8Qk!jyCp2GMc(dO?+97vbULaZyG`HhR zjI)J#svgm^MbnLl!>9ZK1DLzuhcJ)mlttFIwtO1}W#l_>cL#R*U$a+EX>+4@L6#N} zWS18y5R1H9Ng?`>Oms*KK=gD*PD)|`rxwB8rTNU)Z&+!cjq7MrzU2)Si*-bQ=osGAbEnmyKv-e^TqaD9qv2$d(wo^UDE&3hlA%?32 zEO@022Lg_NH7zCX1zX!#t;V!85QZ$!pA8_GzLqYEMi&d`H?6F*8ok}A^h21-t6y5@ z(~GV3h)$fGNOBzl99C|@7?KNy_?Iq?ar;#m&KVXrHMV+>nxGx|U;;U$wpKBW7ZazY z)s>tN4|TXlNZ20s4Fx63(2>?ym!usbLP|@k??!DP<3E5vmm&8~NHkNlyZ=HtT;(iG ztC=f#o#LS!sdZ1-m@=DIVDQ;yia3W#Yxk=naQ!=E1sw_z2ec%vOj?lv*Nlu@gQ<%P|Dk>^^CC4BcuT2~= z+eIOsmV!hb`~zqr)nR=wS~f)fgq~JO5O<3g7;#G zUC6GCzPWT1%$`Q=r;u>Mvn{Lmb(JSspaJ!w2`N^(QDireVB`;1v#<|y907{3jN%EP zEG#U@ii&?S7>+~pv6NdVtKx!+4YCIiS(3~iYNkxRaY2s?Y1@B?#HkRU#5(=>Xcpvc1`cm{QO+pTR}gjl`N1Tn&BldASTk&J4}ysp!6bq!iE$f~YnweSc8V zz(6pS6|CZ5W(B;ZWuZV3l?yv2bFMR=2)x6YcMy(6XO(A^`lj9T<`P{I7vps-v}sG8 z!o%xTqA)^f#I~YGuntrRk0?wn+d4ed0Ast6Q)2KIHnb%#npXn(y?2#hW-8VLKGu;4 zR)>Z9>ytJ8H95K;FpcaOE}C#o!ZrLV9p*iH`pyc)CnW2(HzIRx0*?s1n_|mO%;=aX zbB+AuUA#0(AP!jO>_iS}6c>Y*-E5%q)0lO)z^9?3F;%9Y=ya&59O5ueb~W3H04qC} zmYq~D>D9PCO~afIA~RiNE@2qAP-Xl_kNK#PG18y8yJDM;S7LMBE#99RCb$lDSL=@m zX(u*0ZCWyQfNYGZWDU>dd6>K*3k(scb;1>GM2)sxWoS2J402i-D;4Vw<(-(E{8TJ`#kGB6-|L0a%;v6_~&_ri-7rnR%UV z!u66QLu2gip?xVNBF#-2D~uLfH$%!nT`M8Gw}CEON=nit4R;(@bv-qPZaSG;Iobou zRAWf{Oh{x(3p^VmE+6v^J zRwy7qF3vfBaWTQWwS?p~Mk>&Iv`C=mbe{9IM?~trI}|whOCZo24Btf)xuO#xCGq!O zFb#F&BoX>jgY>prou>?LF41vYyjXJ0liFM^m%E5pOZK+!sl(b+auO-={{)_ZV}xtclG%BxC4(f|IgGeH)__JgG0k}J4w{6@M^vhmSV4;%NiSSqHE zOmqmk_P}_D&AV>=NVHJ0anp+?xRy0YIo$^zd=PZaVc#@+EmbPr_*)YpqM7426$uT5 zW226-IhzU70^c}!BUd6*y`KNF7~QljG3BTL$mSg!#{)K<*U>zBFIOg8eU3JXJ_1lW zqas6sO-+%qB>JuzBJZTxy}M0NmDaWqzcg{+$`=WL121sjzD%lWUdURboV7Ouc#7Ph zRH%&OUXEbx@|gSlRHluwkL(%-qOc_)MuA;9EMTCQs!N6KkTyUn)7pu#eF_9l4wTgs zxapf)f%Q}xe-YBbQfm9(7<&t#xT0oV6bfSYWI@nz)i@F0|mf;e)3i(Xf%0JJt79 zKdH4YaKbq(9Qb#xN`QS=h-;!)0&272r!5DwD%WW}*&I@%_dqLJSQ-7y1Q`?|9zA3h zXHPcm_c^4MbV--qatO0E|K7ix%)CCm5HKZnUC=&*$rn~@ z9CXvo5`rkl_w+W~zJxQa21OyWoSQ5s`0~;(J=vFN=vody?3kry-8n_ zeq1;#FB4Rcf|bag#9kB~hmKPgwi=v8)Z2U-6YFER64>{&HwikP6N>EJ1Aj@ZaC*j#8e#m;c&mhDq5Uu#5o{F>;|b>=Wx1Yu4T6 zBK>giNE`LTE;E8qFkC-S-XmEc}B*At-!PyCId^&mYRbO1N z2@fdH)M8)Q*B()9&zWMo9G#cJxgf2;CX^Cy33>*cxY zLPxynI#w{vI6qj};qF3?v_0NzI+-3bqe>tD8`3HhHI5zBiKwx{H?kJo&W`iJx5_co zgDpy<6?05Rg`33(9@c7>B8}VeP;jw{ognu~IKiCT(!KVIcJlY4TS)R^?BYZcZ$-A! zdY3B)WHjY7U}^bVu+(v)TKFC5Z#}7Xh5r$7T~1i?^gwe~2f;q0RZsVqXMa zFAE-mo7HXn;QT(ku@VE;?Xo|?Ms0C0}>=RdpT1ML?&yTJ5Tom zxEEGls`jv=8@lrQIm>Kr2B`;x8NW>Vc6Cp&9Q-MCMig90YH1Ww?_B`ozcWeT^ae>P zYcg5k#vPVl*IZwo+G}baarNBTD{GWl<`)1lr@!{zRzYlAhrEwZeXUPSSX-2rEJ2eh zeQVy-aVxHBSN4Xr7pKUYtI&l0PT*nNI$hCWtM*~S8aU=&-XQvRP9LFMw*V~S9f;Ua z7jXE2)^EkruYwsXJZmo!kAoGC>#m|0l#~oe3yV~6!p^9!VAU|Zm@AMz6Bn7g2OTGZ zASj!|AkX87GAjH~x62km(0;+ouM#ODi+Nu}P#(@c@6xwd98lciI-JdH8JBa`T1h~{ zeNHrfh3LhC%&QYv^#c#)`b$qAfvqL+qazITjwOV>7$FhN4GtsmP6I~(2c_yc_uqzY zUAiE4MnA}FJlP+LGkeGqeJOmBxXR~4HnJUVN2mpu`Dm2VU=jc-&+gQcX$vmMPUc_guaDxN_tE#N2-hS9Un(%sNnQkZNR7`mQ>Hr zKkVUqR+67jGFiHkZnY7i#;vSHpob`M(f!v8ot@-yB^7O+r4o_ITp@pOwUnZxx9kXT zj4&^8(VivPj!X_s*m<`dNz!`&RX7M^*X(+ zX_Uxf?7CHceAFae)6G+C$F>n~Yr&Rj6Gimde!4h}Y10e)6<`H_g(4s{YsnLA0rG3) zML_oMNEA)**=MGHxa@`PJXgNlivW7TVi;chCKx4_CKyxvC7kv?q6ggi9!6HTRB`F$ zY>pOj_qdH=qh^sg{wQ1r3Jj;O{wG(+{SWS36$Ud=(B|KBx#}ssiDD$y&b&J&YaY`C zFU;v?q7Xqv3ue4NPa{z4Ju3UK>6T|FN*a)a2a1;>$ysJmqvuAPK@xhNeW20v-8z@j zjOwIdbI*83unCi|k&OOjzSiPfW}-pX#fr>cHdwJ$7_^OLh+gr3u_D#7M3GMQcgBJM zTV$jea(3}P6=rgxNge#jH7r=U)=07Rz9!;S8(u!qY$}}~m^Q=@X|N@2Ese}P_`z7& zbUEWU`uV(b?UXt1Xca@Z9P!{8K? zU!#O!4C{^WV&_&-JB^*$q7ZUU3+~?-8WC)9Qo`b~m|+a)bc3@Lt{hQYx3T);cQS!j zv}Fx7F67h(xWO)-iJ~&_Rc>4LwP(S#8*W3e>Cu0uYwKCy2lfln#n;HR7gv!c%Ul)1 zD(iZ1BkF1QoXYoDv1-C0^;c?vxlW}mlT{CvFudIGOoxJD!i$1162LmF=9E$a8|qsh zTd|>A9*N$29{7R#7MTK~GyNC$Vr(LomFpdT^mV)Ro4=q5rh!dftV#9(IzB84?Llzv z1qYgSm*o_sg->wVYN%ToG#Q^$Ro9alYY$G>Rs*{=7KYjJr;wZC2HTJqJ|~)RVavf^ zSn9{sF4<0}B26W7`TU{!8fK^?5re^X{0nu$MSmQR*yVD01^M$lkd?@?3qR5UFGaLd zwsry9i9Vl4)=4d+(1ei=^}$uO6CIuk`k+#Q!o}*S(VzO_mEdZ)3xdKgoGAM3vjy%K z?8=O$`asf3H+%)z&+;KM((P38; zI>z060Jc>=w`=Z;%0tfKF5%d0qArgT;MMvOT|%LoA?iF|m@8Id2m4dR^`4M=t!G^8 zq3gU{il!8f3wvdh63e_8AO_hQZ?Pm|gH6FG6PHZFG|3*UnwS@J9i{i#AOBlv#e5m| zN~}x|Xb&EQYjqUjLFN=aSf#eh|JO3)bL$AAC`G+1tuazNk2zN_EOYWqP1(4}kT}=+ z48pMpT15Rs`~|08HZ{1ej%L<;mM!EdRfiJf=Z00_w1TogtHVCElo9ZhpBbkU_}FX_ zVxM9ygg_4ycU5_i=~a5;7aq=Md2lYc)7AgzbL5aW_wtAT>*k<$HpVU#_LM(#uH(RI;tH}O%!SEIN@ z=&7uckU&fzG9q$6HI1h}1}0+97ifX1P+$XQQxK3glgo_In0MQJ(K$GXrpZQ*~3Y_^j#>oDIH@2&y1g2$gPQk z?B&L$7r!oaik+BBYVATNcpMH^2Y2K==biJ68S+XVk-K<41FTGM^a{F*Ow&?iakVt@ zcEQWI-b*&(_q9yEQc$KJ?31gK#N}!n!3->Lzfamo^~>K^e~~mG*I>3BM}5vbP35zV zhn4MKq{+5uaPc*HU=?UbO67fXTLs-FZcN->US~h~Y>iQPKlUas$~M)Q_|AH)vw4kN z0Jtw6Bp#2fwboX6G{vocyyF(fH*&!oEgjkk_^01xE#un+stkudLOD^#`0!Z7==H(N zqm&`>)HtF#>h6(@wMWKd?5iS+OjhLMI;z;y2$}?)$fYMC1=V(golB~_+?1aY3Yv^^ z4<(IH*U}O|8!0!*6yW#)Z0|g{8_y=pPux9U8kvJuP(HYlf-lA?Oxj!gypihq-E^d?^3Mp@huM&4h6#~Qj4`8Ke#;av%3)`9A-Ny$ zq`IxIKihT=ce~<|b8DC)u6Da#bHh{|eqqgVbK1%~)c=f78n7%C714K`5KQ1 zePG;X_is(l_l}cm+_=n@UH-n+0oHLCsq24VpBC9_g{+lVFOU#g=Ef;S=+ij+-;ACI z9#|wtc-n%x_wB$#EkYbSIIG9>oR}~9xd|_t+cmGjF!RCdZLr{-cRvV1FE7*u%lqz# zBo{VT`GAv&l>X}cXls8u%;Mm8;y`_zSfs0&oXaGdiaiOdzMFY65|J3;6Bdw zd62bcwJ0qMFX5@!Kyu*8%5Wv|O4UFRTZl1vW7DFLHlz&lC_Q(*psp z9J2=&W2j-qzV@3u2yp-Err?S%qAMr0H}G>%5R?FCX|L@nlNNwm7pF*1Y9m?-fJqt- zfz?)qxqzh8DEK0-GIdJYtz97W)b%<8b4>9=Z{WN!B(}^b;jV1?n|+U>EL?I*|GQEM z`9104gZnP;ANPYZ07{-JHg73%yS21hI?aI1SxJNB?KK#crx+_0X#a|x|DJ}fU4Rnk zqWrDfCJ&E7BMcj=)IkzTmUn>`PUlZ(2DL{M!C)=goQyA(j%x1;aQhg62M!Mi`B?&~ zNPJ;)5m#&W(n!=+!o_3Aj7^LaeXE4(<*UZr+X_)aFS zyj~;WUh=pa3uUv%3>6qUNfU{fi!2r@ucZK+tB8sr$d?EQc%u1Y5M*XnjNww}#212+ zZ3WNf=!wnrRH$#gs!gv#BSD;hrC49SmUp5_#W_l$U_GQ=(F+argRVO6SDOmJM-P(- z7EcHgEzwqqh0_z;COMi(uRVCLER5oYf^1Jvb~W>XP6oq?00>YDm;ncU!|RI=V2dP! zECT)vNWjK~Fv0ADlAfJ4@>JLD6Ct(!_1BZbI4??rkK4O&jC)7{&s;?AvxUsSx^#@a zM|sSLUr=qnk>6QJ0;|5UgOC9ZlYjx><0|G)p3y~npH~QnM@XJHIr8ji|7n0d!^-}n zq@zsBv@W}@Q8h(gfZOI4_$)Zc{}Tl`;1fkL@F#fUCkoR~6f$l1VrD70!ANP+^_ugx z@Wjjun8u)625nQR?nQge>3tx(pq5%ApR>SdpJ@tIBvOi%ORc+q$e_;ZA0JKQfj<^Z zUXtKJ7nZqVm+Rm9cB?U?ktX{~>i)1f%HZ6DL| z@5J1t^b65^loBX{2ryxe24Ryw*MT#T|VBc`RMrfp(8I>sDUwc9|WyGWm1` zb@;rVk68E2_GiCqJjm&6T%LGU?3b@sbK}!ayO${FL~=_Wm%;RSBSe6*>mz%uD9#RR z(FDh&c-h{@xj#|bRX)A5l+qC;FJ(v|SeLA1_wn~)%f)`;qZ~iO0-`-jBJOR zk*05wCVbc=MBhmhv8Od!p;2|_3{x;wM>)Qw(&Xv~Ma1WtwXWqhQZU_Aa_wUoXh7Hc7H#W;*u8kT9FTeNO`#~6IyLHS~)gJRw--;{vk-I#8 z0_#@V#f18O=A?}SS|IxOE)5e#!Sd@ceTkz+Hv!mW0dD-HLQL!#60ApF#W4cXSDLEN z9dS544Ow*Kn{63sGU^co?9&Xv!45y!o1rq>{Py*14@CNUbr9j>b8D2ZX3V`Z3$LR# zp~avc;WOectmszvrcgMV;+*ENL%G?$x}pAiQ+=i04R&4?K6K`fBn^rM)&aTL{qb5A zVOO@q72Db@VZsPnoscaL@7Y(UWP3n)d<%yf_f~NNok$W$f~$F4O6Rlq)!tdst_;Os zGT49Qq1WVCN=8+`0sOQ1O-atBQDDcxNML{B`NObG94fX0majsIz*%5VqJv7cm6wFK zd>mwjTk}l}4)Q3XUHXeea6nuO{Kz1#2e(7ytL$ineWo;hi6%klR5ueh&;o62ZP-5O zMXPvAdpEur(QP7|9i!c(E*~Yt))E4TXYdYeg6nJKz~PENKzWoda%JymQrr=z1Apdeoh%?Scv74p!Utzm;4)2e_2=QT_f3eT zAgv}P-N|JLokUL_Vc%e1zVN6&kSDj~!m{}IG^)r7F?UQdy|pDwA)+;GDuS1CkouTN zgzC5+m+hS!GnW7tES2DMgQeNU#w5lQCS($69@E%XU-NO*|MNEO*2;5Cu^q5>197(x zR_}VAU<&YVR1iJ3Dw=M+5^sPVvFo!6%u++G8@#P|;;k4IHEZ`o;yR$w zKAV=peEa(R?ign>R~(7f6I5}RhW`PH5WB(te>thk`7ho3f1lL-zn3UsK5dBDA3;T09@gonj8#dv2YUP40Sc9gNy zL<#TW=OuZCYAW45|iyIDBs|?Com0W<%93E>4a)HqO_b zg8qADHIpt8aUM&Rh6#6`wp#HOrQNsFD}eH!XIKvPnpGW)b0T8mw+@3lzw)Tu*tm(j z9_m2}KSuQ~R7w;~dl0x>HPQmChzoYCO6T7n;#`xKEj(q-rZ>0w>yfhkhs&NUc@aa$ zS3$FZtP14X*DK%aTA7MTvksig=0kVpQL8%evI;MUeEGPGVX=5X7>D9@&x8qq7&eY+ zaq3}-NkfR*jUgij-&Ap!eFqiWI2#N%nH(A4ynMK2Rh)#3B#Jhz*J=5Gsjw%}{aN|_ z#g<~7Mq0knZ)gh-%N|Kj>AdO7IaZK-eIm*$dDKRnD?NdfgYa&%6EUe_Kv`GZhERsX zm7xwhGkr3JifR@{w{;P?mP*#oV(LTUe5&fr%NQKoNQl{ZfL%R(Nk7Dv9W+07fdwMqZA`N0L=bG4?SWILa&U z2%UX023#<(gl=hqE*dfy|HQPSLPi#0h8i`<9kz=38o`%@OuzZ~i>#fwxW+piJEI#0 z#bAIeMO&f|x15+e^g5<2B@H*M8XVU(G9tSaQw=Uxuo;%q{F6rJ_=0CeHXYVYcY314rgyC&0Oj{RP(wv78Qg~`ja zlQ$yije@eE+s<{Jd7|{cO&i2Disrx*LKJ6EC2RZjIO>;In^vytMCWNjHRGG+*>qdi#BFQweJFAzn#-RRMY&({;sw2R(0iP(7P$Fh>#QL$jdTC#_a!`j zFq|+$)_ntj==~l@K}DU~wYQYGT5U8AOSJg6=XB3XFRd4p3DRd4s>{Jv5=v!_-!W&+ znIhab(#On*2HKt`7PB`SLpGA&Duv~!M4Rndt7t2ibD+PWp8vlkYu=t=9$ zf{@k98zZ?xi}9uXi|PYr;9yIJwz%AU&fgKx+;6FSPjqR>HTDrk1+NaNh}%(g=FSsX zbyRyX#g=57mf+d~7>5fQkph?0OFr9X@0`E>T|g&7v`t<`eqk06;l!=42O zDD*xVf}U(;NFg-Sk*!;lNRT5#fIa$mb~;J@-A1Yd;@8%Ai7j@mfeaFk{jUui&F38T zQmwE9d||l7yM+8ohkYt-)ZsBLp?Ts%J|SqaFFDlV%Vd&ko2^RL!9y@#8KPsnesSV^ z;iza}|H%L2FKMc@Y^F1%P552ZvJ{bjN_Kp4ZFN${r;>7j>UP*O*MmF3QDg@^eGF~^ z_c7)uC7m#Ohfk<8gw**B3$g%kb2f5fqh-s*ywZ*~?i;qrRSyZ}5{gIHeh*g6%cuJKX+=EtMF+_n4bP>&h-B2v`{k2 zlvp+&JB?yBLyP{*E@}|@LmZjby1Q^$K-k)PlxF^LyZP!*o@RKK(yC9kc@SrhRfJRw zwdI&NXBru2hP^00r7OK)6FqXQZpS&}S8eaomAM8~u(gqxA3@xU_;9*o2)dLay$MaQ z=24Q3l-~mnwl!q@CA_ZWxcN7@ma}n>wq6NVUnX%5PMRXWM`gK(@AFr~eeOnR%=8#v zVjSLVW9zoB^O2dYw1Akb3zTFTt)a*I>yGvrS&ai&gG@;e^cjPzkwAT7`7Fwq0{57%v)mhg5B&`@hV+TZk{}f5Yp`{ ztT{6tbpL2f)RAZQ*hj|mVB~eDrpfqf_K4)U>16PVMv1(oRtp@Pz?Ng2Wwsc{(3%yz zVB}+KH)Cji<+k(RJR%q#gc(X)|A`c#!rK{&4fWf~Jg>brG^L8PGB3n&$m3Aq*Z7}S zct;+2RJ^Sv{cNU|k(^K(shCg!5=G}_x>f$Pu6pt`vdMc%Qa9NKufRo`wQzRn;7j_| zs6R&#?>30dAdQ6|j_1s4;KD8IdZx42n$idcI{I-*6HXl-+%r|yvPaeH4XN$%A20#i z+LvVHPThN%M#`kj?7SFVq=c=%UL$7-lUUHmlD0+dzZKm4BH`dgBJ(ko4O%71DoFn& zh(uuS`px^{DY;fNzLJzJ^prVxkNTG3o5AI)H)2}uWWBzBYMn(;wbL~Oo`C_cSHQOO zJsG)k&Nkd%2SGh_0?J#Fm@myH+yT=P++yMvc>}aQind9!61*;9QR41z{2FrUH`KE- z)3KaPo@xBF6|mWVE0>9!Ln1S~mm zXlN4|-2)^Dc7_0j!*j8iflJzfEITKZ7Rtk z;kM&NwhL2)MgGUCY9B7KMP><1Pq_LPpuRN&4)0*iU@8%IiZKen;nmOwC_5_IjIuo?NC zM`ZtvQ_Q|U1ToJ`U`3Srr-QoNQ!)qS0jmbY&tQ(r6cTMwCX!o|n_2{*deq+EyG8iZVr7w_j)lfJP-wP?k2 zTj&n&1n>0RCp$W~UN>R`_=&&3hgN9xE?nKI_`l7mRj&Ja>h~%wKi-Dk)B}iYf9%)* za0`(CR0TSMp=IOCOy7stIBCq`@zu&GptJPnWlseTp+|?9=03S0pF;XyW7nEdlrG^> zJ?wY!PT+fL*f{MsT)l=wDwJ>w1)a=_eb|uVgJw1jO5BSX2rAKJUWBmm<wwN*>CBZNnc;rNQDhro^Zk5~jK_W7a*8dzHxI$AJ`*&5jyTiBX0OBtKkI$Jn6)T!91+FE?}Hz8r;0Q^h8qxAnJxc#Twe~|q6H|Sq^EF~S1 z|2GBhKeGSE`hUap-^hVdqW=K=Gk}fx{}}cuR< z(fqfMXi1orOw25toE<%Agg@DRCZ7Uj7khha6Pr&blCb;_ul!T>-!}hmGyV@6=6~Si z3~Wr8|3_@ZtqshaNC0fiQqG^zG7`2mvo;}NVHW-u9cL0Q4wnBFDQ6N!w$BTofxV=O zg_-$(H3_?#saqI3o0D*`u`sbI5=C zh=|y^{~%%HU;+F`0SPM`JIg<{|0;cbLjHURG-3PazkeLg|LMQ~f619xSvWZUM@7rM z&$?h=n;kxwzJ2sQxy%1-)9koc1VK>rORWyL(9wnm6v7%GNn?{8@p@9Yempx4Z85nG z<6WPgyF|_VpLtz8Wv6eQ+H<2MyfnW&EEk9Ph34Uu+#8F}(s>D`iATG&t&#%BJhKR9`F0+u0|W?k!xi(qV)2U zy01slLj`zIuI9W_F*k#~OK>K4(sg`ihGveZt>VfBFZnieQ%%KQ_G?R@Oh@bGd&Pqf zsHFZ~XBBntSd|+#h9xrWh zt>I>zIl-Aor>N8RRjl(%C*+h?1WwN|uQdRO@U@c_Q+}6Li?%D{C0wiI zkzk?rKYkZw1skJ@bIG-S$CahW6k-6;;5TAn$A|7{U+}11^^2U&4#l-2^=4}3swNDt zL%Eb>{`97!wnSWlD)K;8lINDDfk;24`-)!A;nt>d^tVlHM%n?_Jh+zEr@3d7p<}ask~soyo5@737g)J z-+zC0f={uxN}G38jBCjmtm83lMBzsdq;ead;3t5R;l~P_kXf4Yu2;c zDi-vMbA?vh`MXhsyg_f>%iE0#vGel&0zPh#`UfF)LSSg5m6Si6-Vs&SJHfFp^m_BX z9;cGgn7E$O*}uw+&}C`c9x!aZ>7Aw#^L;$^B?!INtt=r}`RS%*E|3fnyu=Ik0H2+2 zw%prJWC01wX&SkhX>(UDs08snf$0anKJGJXO);F9Z5QiVFBS4E^+^Pee%)Pm`U6=x zonbIn*N=4min28{0XdyuimTck4oWQ&;Q2lu-hH(zKg{=c&D0fH_Ye zQs1V*BJ0?EuWN?@Wc}UTjM?0?%MWPnzgH8(p~K_`Y*F4PkCuVI6OAaS2br^&-R7WP z(TLuLJuVM6>-BhpxI1cpk%Ey64CGD@-Vor^()w1wFl~~bY`|lXW`N<|BBEZCp_2yxuE>y+_Ukpb$Sjt3IucsEd!|jh2EO!Syx8F{S({ZA z2I#YnE^F4qQ(pYdaI;r!Wevoy)zfd2xo!?Bcp!w5NTd0f(Ml0oCjJ#aCH@h}J@U@C zd2#u~yo&Dr%X@jhh};N5sgG0Nk8cLHp*XpoJ+OhPJ?sSbE;M%<*4a!TX59p8#~5lb zA|ympq%t{qZ;|jIOolw>t@xSv61e{ERGCVZ7MkVt8gCw&gMXR*NJ_wh6uGTo68P(# z?-mHrRS36QMXY2*4*T*0Ul_ml^a==RtSwZ4_N~iU;`bmdk*v6VJMl^}aNnMaV zL#?GKmq!6C>+lR`kLl-0v!Mw?8JIaNE8nT$smqNbd82R2!TYSh0tn+X+$d4WJ%1&w z$KOa2nk!?c0gL_a@iBO?11%+N=IZ0HW6lN2F28Y^C!rjconbvl;XEa?Icn*2iiHn| zI$S+YS`k!umZ8YEi+%x84ijKJBB-L3i^GP#N5uD{+pOGTEhkxg*immxXPExs4Qccq z4QZ6Y-q}kh2{uuS{}pEZGrMxyL`!<9f1y>^DS)cTrG=HA$5>@JP$3mOs1+)_JqZ?2 zK3(NxVsl@{>Bg!iaQjp-XK_P}@q+nNGteEi9H6g|ZTJUj4mD4rNR>SNsY%5wwcH8E zXd|wAp=5f3v~GUbKp_*B)TUl05HG<>UG;FLuywV!`9vFDt7^m+Ouw656O|62FF9LD zB7#zZlk5vX(s*DcT8<(-_|=|;QA64cdqtyS4h9{9JS?ID48_Ca?aG&)H8!U(Lbyk2v5Hb0J*oZubY7olNn(@O|qm1L+s5pshkD;D@ zX?fV%ypIxPER@Dauhs`SD31Oc%?fkkrxVFpfQS~FzoeC`bi8fAiu<9<*$=xlSImS< z$NWicIs;(=l|-&HYNdejrhC>V#YOuF!M15;;w%eC+`p#&3dNdK!YFW&GJWwWtujuZ zbHHrrcvjKbn6r(w%ZUGEhnT|!lZ!E}>YG_e&vSO6&y>8W_^NHw;45TI{=Ef0iH?VF)@JfCh~Up7B?^3tnMJdA?c_1f+>DhV;98P{E=#sK@`} zf@sKIfk`D+%D6q+3!dJD7(^F$k78Hg8U};Mpm@c%8H5j7=d22t#wV<8XN!Rf0G1uBGq z1IueaZyp9)tM3`@r$(HXj+vrrVLMZO^cr$Oh){Q7;hXRa%k=2Kn$em@&Q2y!sAW}L z>yE2tD;Kf?W;ZY@IIX-H21YSRdI_=h{&chwZ4`p}Qwl_H! zk1MavmmhFD%)YUir0J7y@2ba6bCNth$o(CA2L?eTcRnvI76%t?SVrKmUfVPf_cyF$ zBwOw?5U8AiicrCCvD*}|Ub&K=azU!vcS5e0_>G003nAoqQ;X=#?nZ zNdHV;Nwi;kQx0T{(VFJEUsm`0*tU5^VZ`_nE?6@Z8trwJBU2AfwJjsjN|ai&I3B9CZhy5fUS`TBubGX1lV`s@ZVCRI zk_cRi=W@ljVr8j9pcs2zvO+SGJh-Uf03Wm9#*1FrltzIx@|456uNP+P?TSw!Hy{no z+l1t^#dYmqQv2CR$I@yb31+BkZp~d=OrqLHCZCT8Cme%PzbY}>#mb-#0BdOMgMt|_ z2t;y9Be@86xUSyqHkw4W#H%m?l_4C5>>GE^RmBN9mF{ifAGt!1(|EOqmh@WFW7wt# z>*yYRwYOhIJtu!M{|Nc;d*su00W(`J>*f5>?{8%-$?6#Lna_;O@g|mWngsXKOMD-Qx#8aIo;>Wswk;rA%d8xn z>kw1K%O$WewFn_)1kNo~6k0wr>25|&*qHU2nlk!&5}n57-`UdYBwZ+R3hXmbZqP-X zwG3Ac6Pns4MQ8Y+{zK*x&y+G*Gt~KxT?{1?ys=N+vj(T~hXjR})4%WXVCI&zu|wEKXseapI%wOkc|_bYNDhVIpV$AVhYA zN; zpW5CkjF1B*8rMz(ehz=z4_c$ZvVM?JX+<>UovqwQQI3ZTp;pRzVqvC5Zt~S|*muOI zp7b75MRF+f1@9q3rABKCNOKUQ#=#2^0fc*l$RuF@ibJl<_jBS7yhF8=5j;cbf3IshD4{9 zq~lnnx~mWc%{DnXFiWRv3BdTGq{)goc!04^W*I)8^g4q){A2o@rI#M5X7IZ*1m90Z z>Q$;AL^<*nR7t-L*3yL2&67(k(oJ0%m-G=J+%G!R5T#G?a`8)xxvv z0{qpmAR!RFAd6Q2W69 z_y_n9h<2zSgRd~WL#`4NN_MEwQLY9ijoKL}a7*?mzsLp^1<6Mh)tjd;ivi19CXZ&~ zXfEixv1MnC!dbJGp_?T#yU!}jkU1KKT2*3C(`@(bZmv@{CmUr1_yW|?s*;tyg%lqd z6iSRWmM4avJBlD=z18zUukuVi*giC@X>tJ$t9o@^=+#Ktz;G8ilk|X}`?|uPS z7YAoBci6QMBE4T!_6txwH#Xh;ap&3BK`7*n&E8CbLaM_1tWq=RGe(%|DPSmXV_5uq z=Romh`g2OGSfRNJM`D2AYng89B4#G2#V9-i!u_u|*fEJxh>^lw>+0}Wc2M~OWfLf# zAn6gXVtSK7bjg`QsR<}yx zlv{Re;PlZ$<*?Vj<#&hu|NAjmwO7sq0II50QJb8@Tf9$_|KJ6>(y=) zd3L;Nprt@-hdc%E}XVbth+t!%6J_Rdyho>WWIIvUx_&TCog{BKQr#{V>xK>wGfjQ_1k)$CJ_O%07Zo@!yelGrJGf((qn>1|6plKYUjrL|cf&)aa+y9O@!yS|gZPF!lN zPIa2~Be`@XfWRdpDlQchwm)fk6X67&yOp3kvWV?a2oEybE@+3d+aHmTFg7j}b`s!$ z?b*7+1kY2I?Rnfhcqdt3mFQFk&AJ`ffVIweHvxzv1?hc(5hCeZ!)aP9Q^b&+Oc(5!8!+76jcX z&J2+S`>SvDWXvXDpTx;QCjvyC!GnQ543W#!AHgCmI!Wzi3#Ir}&tR~%3lhT({h^{* z)Uwq&=$Oe$*xV8dyP5_d=dZ`>WzDQGr2uF#{AtJ21C2crd4*Z|6Swjje=U?%J8 z)P6?zK`|gqxqZ+qT^2QVK^s!R(00)jIr6gI6migc!c)rh(3;wGos}Vd9CtFBkD`Ya zp*n#;GuS{J+k`VGeZ(G^JBY;C!vd@TE(IfC6d4@m5txfZhVq$%(@*j4p&zp|;fMXP z`-6X0TrgSbC^fgSsbOMW&dVI%J+A$k0T?&i%d4&}Yq1nOAy6{wR+aeW z@%G$sJ4rs{J4D5LSAe0hNz$98?;!`bR&k!oJM~Z64ob#9(I&*o+zN=x6g(k51Za_a-n`$n{79R6sGrVLT_@wj&92`jK1FFZul~k@%DWhn zw*p0G%9_{Fhy~o9T@R%XJ$Op?u*x8r=pV{@s|JMj#p1 zHrm_hZK^hUG%04pnh-n8Bs*qg!Z2+O7ccb;O@;QMN@Z%yd3kWqj-}FFbh@FDKBGC< zWgge~D$RNrk;MLxVE7hN!;tC)@zncyYiWtUQP&BGs^`DZa(!2XG_vdwR+l+ z*kn^xG%t>`3U1Ht=eoh*>1dzz)EUUO>)hL@^sqelYgMakx$kAZOIi$9z?I%CQ5O;v z7suRF=nW!6{N2MVVSC5{QbMCj9^Oc_9e;H*lhwib6W3^6Re{xb{H-krNqB1JavBfM zx3)H6LzdEUr_&)83|lo7!KVRZow13O)t>fIR+8kM$TuiXo-`9I?D`xglY2}GP03%L zaE)MO(2gK6@cW6Q5gFuWl{aR4U(qA`!;OQTsQlCG(=j3kGHa{#`I$T_yFoRT!i!M1 z-+FJ&xu#E?Jau7tbJ~lX{QcV5ckd=YL1I358z;t-5-V$=@|Wc<;}LA^Shn&Ar7PJX zY<}Yj>=a#(SgIjzxO!-mx_2RAGI3$z|4J)_tqIn)CtcaH-*E zTqvE+cw(%!J?)wVB42vE4C{vi`m%}BLgT1gfQL3KWZlOP-o%`-Z=7sBm=p$m#UwfE ze=TLj^`IH3NF!AAgc?R;?4bSd%I}@=fB$U51kT1Z^1|JlEp@f*DSb~H#1gP3!$^#9 z=4^BqbU|lLg?)nY_T>90o_=cgd4KS~@$-SKT9bQb8@vcuQZJO>;B1Jep#YNqSk1({ zt5v|xJ@FMU4tY>6j@IU6JJ}FuLs%2w|4WN-)mh`IiBAg+pDQ8`=jV=4c4$p}LZut_ z)`G%?4S`i@f%j9!%&J6|0FX;7%yCqq-u1}-hv0}6_R>xQ0jw?cB^pfPN6u*y0tUKx zSVhChX7N_2%wQDpk6Q4M2?pG&gme0@B zuk|V{+`@GIlEh3!>U*bYL0D#tt5OxmzjtE1y=!wX4T5}(RmWmAwOLo?jU5FowfL%- zH*)rE6J@#)_6MSko%K7Ce>yvL+-e}}7v8w;AA9PFa9uvUHm z*~C5$AV11LEU0X&` zd_L-2;zU?Cz^smxhK;&LqU?i-7krOK7oULi-P2RAgoA-~=~f1LCebw#}3V(9oUr=Uib^kZ#5?Iaz0E&y25jrCnQLS_p4W1rw9cSHW-x`YWRzWwgZohv8Q*jG-?U0brc=S0PQ9A$D2W9-dak~fwISL zjRpNZyO@*Hxc>&y1092G9+ptcR9xOJxE~TjsfkZ!&t^|8X9)|h#rlZ3Gq^!WqbP^5 z=AwTjt}P^;$RkoIVRk&bm!xDyYW$KEKA{ zH7BQ_q0C|}8o47~;~=*NtF8>ih)d#E2l~?vY*V?w(diGnpr`VLea*OLeWw2Z0GIZoNOz5r0dcew2rC; z+T)%;v1)>+$03frUa{&Sx?B2x9!@4(P@!6^xSUG@A2`Ei3SFZK!93S7P0kR;P$}@j zv9m~|MxcM{QOT$6&2hETov$F6B}vxV@^v8ksVd~+d=@r6Tz~sFyXASX<>u{GDW8BzFm^;f$=#|IqQ z;?-eo1A5}MT~n}Z+W&{IcZ{wiTDL%B+v?ahI<~oE+qP}nwv&$4NyoNr+fH82x#NxT z?vML^?Ny_&Kkc=vzM5-J^*5i2zeh~gUX#Kwmu#VOBWOIqHgyil_8~&lB_nXIZ=(uh z1#X`8^@SV_^1khSN$q{AeDuuHul5NV`iDlb8#7k+B_p&c7225_V}CF`=;BL8C!=M2 z&5b$RQ;@reXw3NSKBf?RqC_kYmjmrp1K?OVA`3L1#}<^M&-<}mY*9#~^^Nl!41E1! zW7Q;B+C))RXF2Q))W~Z~ZUmj%L(F|PPs{^8H`U$j9P8B@$1RS`lK@2y96_bz&&bo- z{-$;}Tod9ay15iu&Ycs*r+b$N&D@1M@7Io>WkD1ezbV?jHFe_4Y+SKYb4Ke)&Ix~} zx0@9uF=HVpCb4X!qg1T{`*9mWfVQnqp(5pkL;0TJI`j&UA^>7_WT>tg8rQW(R4$|s z50(bUiCA$^sxyX&z6n}*?cC|CKO zXW;k0ydzs1XWE-h_=__aiF3nS3JFxu9UuF+I>R`uT6->KWP6Lfc(3}VytNTC{}#zf zJQzST?lI2q!&0757l1_Rf`dwG73(1CC~dWREA!=;q*a4$a}45A4GN$2=2#eCdC6ty}sxyUmd7v zzQZCCb)s9QapqWkljp_VLzUf8X^8M??om=`imvMPb6!vbp zIdzO+XNQVpYcbB}*We3bl~27ejnuz5jnub1&G}oMUl>Y_->70oDndCD_%Dtnh$n=K zb5w_pD75pVH7+i}42AWIKR#Cqh$n(7+zD!gBc@nF!YcbxkhzQ;wli2;LR{dTbTNyXUn|Hslkbe3ofqRGBoZ|Z31AlmP>uM7B!;O3dgLm z^wI|RT=2j}ZXLJ0u3i|7sroNbhSCtxu&u4^JT@dOjVYd?_9VNg2_EjN{!qfrE;GW< zKMSY3Hq*X@@f({qDa>NRw&QUuhhvJ(c6hfcYVU!_^^rK$=#IWX4$F zEd(jvDUt^+0f~Mjy360ZILqG&yQsDUA<8@R9??%Fz6jWBQ5IR%+xYgG2x*^K2pm3s zx$@uLu^iyWPQ0sc#&sFCv28&>o=KVdVO6y(pA}uR)62h*v{aD$ZB#^|dQ?)1P(jQQ zcUvkOlxJ>9Qsz`uOn5~_^Lz6n0o}h;qws$T*ct7E#svRh4hhSY@_TzD1H_0GS1LVW zN*2`SmH$SRQlWcVqne&*-%}umA~>+$-7aa}Vimsr3ggs}e#TmwOOP3zbD*MA{~boH z(GkqCy!`0P-r$g$+ptT^Yfr8I8Tg2w^xaVG?b&%=Awm8!)GcRy$4q5(j+ge%EQqv7yCTh2YVbQM(L*-pMI5Yly zrd|F~?y7=Uj%2Feo>Phpz*cs!ee|*EG$z3%0R5?REG(`RLwy9*=m69RksBCW%{gCx z&C6Cv7C8GdIcoS{D%SffEw96};ug5C=4LzS(_W6GrC5InLvxfQN;ykD&eS^yLqpIh zRF>INJPyU!eU)lf>z!b@Qfk9?9R@X;F{t3zp6(oU-sWbW?@xpM_-OcWefq_SX1JdIMBH4%l6rk zb=oEdgFGY&lw&NpKCmgd6It?4jH!h|!qX|0P!MGerl)lJs5pN3iy3W@b77iBl~$plr+g3*fFe{tdVnTe?b~p5Wib4GfJ??aJ+S7 zDSF?{Eg#T#TP!fx#+-@!G2MiSv%fL553qONqkGh+36AtPW6=t@P3|Eb5GaCue=SzP zMM@U`y6b}U${_97?Ro`Tp3+yvu0b6Y+>E$c*K)V8a+fXbbaU&q1*z2=$l|SP8n3;W z16}NI78^0W5MwXuS+bivVsOo`_c@jP(9FiA6`vknEd=m~MV8q3FPe(Un^ok5y8Ri> zdUH-J@sw%$G=dJGT@=R1dNZ9tW0`XnNZW%Ris{QKqP&wHV0^ekqKcRtHDZt4MflLKqh z(?rO39_B*Rzv}>VBX1)LNY`2~(mN90$x%Q8r0}vK0XgbkWCJQ>*MyIB8O`2sJ5|o3 zQhK=PYe4xz9B1HzoJeKz1!60R9a-^1opAJJ?`2QawlWNv^c{?t_CZo*_%yvAdFn?D zNdDsILR8xpiWFA`VrLFR0m2f@5ehtPhH+1^0-~*LV^-ctRHVqD1HWkVf1sF$N=rFn`gm9?)OyujZ0~AM zPZoZCV8_@x+;KOqK9>>FPdt|U6UJ*znr_G7ED7tF^Gew@fRz>41!;P&y`GM3a41>M0d5 zM~Hmm7CA)sF_mBO4Bng@HQQx21Wdaz=)s8Vv^hDkp&KO8tDIh?EV zT|Ir`G-l$ZT1)AeLK+;`{JcDB;iIK4nW3%bbg((-{-Ibv`I{1#*A7kKdPH2Mgg?0- z>Gac>`fhBOo?3;eF?CyVRk*BeDA$QY+)nB;bq>Tx{d{l4$Y(oW=J`i4wpQ0P$-?KumaF)Ah+4w%h`}~IZyKQu zVg!zUpS~Br*!{b~IMlI;!)s0W`oUou@Lhpzx!%|4 zAxwcZ7=gC~r46X=0NoPB*Q9iX)2=37a6X^cMH>Ifl5H5t$i4O91iibG;|HkFs$y0} z4qg!u@Sk;Ikbf^<6Y#&i$8df<@2>js`95$VeT?sm z^Iu`=Uqr`()qC@D`&hUpoxK%|)N+43y3@R6F~q$cjo!L;z3#2vs&3+m_*rlTQ8y&_d^7uT{s*=6TqR-?HC@c*)=PqB z72YV~_?lr#?m36Po_hntfw8>5(e67|)yrZ@%)gWJM~r5?5%)KX&akUY?54IWOy0xa z%(pE#w^qYIe%3F8x3BqLAIjZE-QMdP;q%UQz;=WXezONv#>eS2Q@ z*Qw`I-|R0Rv#&kwHEiIi;%+`35t@_@cw_lD|CX4QrOAIsBKw26XXhOi^+?d|_jRTV zAGZCM_XB)2tH0-FSRX9%#r$H|3e?*w9TAA*M#bVJXgQ9+$ASzvrBadvF~8V_qAVz# zTRjC+a!tX6ZOWeDGzcZ!j3+etRH`%Vn#b93K zn>p8jcY2c*P2;+gh4~^lpa6l))$jAJ+%{L>#o3}3bwCynah?^`m}O$79I?qC+u9g| z&cG)_wKJ4+?~N#+MPqWE_TBcnV=tAG2D{IHhC{nyPE=f*~lr~5bXcb7P~mzzaFat7*sVP`zZi3 zt{6Pn%E;ljEyI+pDwYS@iqE}0o*f_F*8ar)$Ty_8ZOzxs2mI7P)VNuJXMHX&!_2*% zVzONgmMS$jCgmDOU0mzi(U-q3(zmaZ0%iUOR?_3H(T96SQ?*pD_?}u8O^HFRm~U;h zifdS%!AFmasiy@Ip)nrJJt$57KSZX%E_V~LNgs}>l371zB+kvfB2bxD0oatVTeZ7~eosw}H-YPqL&UNe^r_GS9S3w2+gps+?ac6WY$ zu96u|@tex&UbwR7tCinH3sIMQFaauxs8f3a?`nTIYhq-l&M?w2NS^E2DzjWx_a4yU zfCVP&dJ!{6LYIXAqM5zAfM3ky&St-+M4YkRFU>sLILNgIFEc4x^2S)q7pM zXIDmdtw~;3v3d`E6a`D0Afyb^8Ld=vumXiOi3q75p`b<&aRN-14e;Wdk#hK;V$dH} z%2Jq!h@In+0Vl8T3p>-tPZbZ2Hz|{M()sQn3$zkjdKqE0v*D&LnCx?Ma%o=7h(`{Ys!A`X@ zOcm6&BeXH6%9-{fijA4sHIeJAu~ zhx6cfq)h{1oorvSO=EzRhjvjtu#HZhMcEeL5J1m)MI%HAif9QrINcHFP*Jx8j5tLz zo+kr=h5Z_=N=o*=ASc^QV2Z*VeGjUH`VR%--ET4(rYGwHCA_p?g$jmfnk92h^OaHe zvm;dR@?dpCq%K4gCb?W7s@E9^oI@sHCG;UQ8W!1T*V`1=sCu0=$A5C*y8p{>`{e@0 z(giHHTc!LS!;M^Wop<+D{H>?dmSOVX?<_O6N~P?=QYqS591gnDSpqHOW%5<+bwmyJyp z1;^sX<)lFu2DDPw-=7%gkjw8*r(JfLNJ!fLisiCrOYw8wRnG7;1*3nt1zh>*f9XY`79^(quBvS?`j zDWD8gTaOa57FcDpvA`}NXn?9YD7vZJqI2b_$o!4#kJZov(4$p>H$?m$ZO_U|ePrEU8+Hex@a}7XTVczF5~PWs6@o5M<-DTNc~X+ zjd3Iq;Ma$>F_5w7XpF)f5GI?SK0`EwYv6s*UE9UBUK5E#bN^^fC|P> z#lTf^X+UPq1mQftU>Fj3_!|6A${=`)y}6eylEYZnN~`gipc~W3lXuXEI+lhPPR;weqeKg3XP;&>hxMHFaxT4jF1Or(%EbHZp zHsn`mOwHJ36`yW#{Rh@%YVeN)Ws3msv#yl+*8e98E59{xR5SB04%m$3 zeH#%jRVKp8m+fG_TCbbF38?ZtH#sDjmn-tv{B&HDLeCiufy9B%uxOFf>$k0l{8ce^ z${^b3o@dR~KqPpG(Sgfpszre6_LVTf{JEllyU{Bp1Q2S|+9duxf1yGDdo+;!XC1bK zs~ZWn^ELv#gUb`SO<+x6knv5b24dQM?e&WXc=;TOq6Yr=6nu(aq#4-$?7fjSB-dj)J}^GwN1u{WDBIOAH8r1gQLiOb62DDtN8^3Lu8oU6q-?dG}fVI#lERsfJhImX+?@0>;Vt) zXuj>Ipr3aaZuF%pUZFf|wee0igh`%Z>#y_ckA&&z+XKC~;2fGUqaAvS>OXrhqm{Y+ z?u$X<9Dyo6IU}ROi?x(>H?Iz(>Up2=aqfE>)6rXX6w$rKWq;_S)-?sec1=wFXUb&jm+?epr(k! zP!i5|C1XNaLwKg~+j)(Per_*Rs0RrzT?YaQY`%0A7W2R}t$>QV09&xg=2?(**6-bs zn#e7A@=Sy$C(-Dx>|zf3K(kM8E1j4S{IQiJr@SVvjpQ|Hey)8?+5c$X3IKME|==kJnM_0j)?=U` zIBKH{p76-!zq0LPmUab>clIUqu<$276Ff%ZF;@{OeqTH)Uu=WD!;aaSkNEIs49ati zWt$i+zXozYwuSl(o|w@q<-Dks<+OzRps#*56uqbm#QqKi_m7(}D_0=&y^Ki*7dUmo zVe8eIj?Or!ghtnCLNb%HQ2wqMjdy6aQpNh6H=^KxZmo(@3C*Z{GLcKtxbTMr>6ft4 z$%`es5!>#EN(Rhg6W3yJkzfGaqDu>f`3N%%kt_*^!c&QhE7tTDO#VHyWk zw36Pi1=bMnAc+JDNZK^$_W4B!Ov9S-tJ|3A%hizS3t#{6)^&XDR&~I@Tva1ljfFzK zsXs6-?yyyqIo#dM1QOa+4V>T_s=aj0^l7#|>0=T1I$%)tj|Dyi!NU*MTm!)!D~_cKi0xQryEAtHLK|dYCPV zqiTE@VnDp440$ZPB*L2_!t&d_ac%1Oz;ih3@u(lqFgdmzO5JnF4Y|A}isSskTdYN; zOT&au*~z@;S9qz4(l;;@njIVwGkco&1 zh_9U$%(J00SFyAxh=HFeOe(fsnQ>(~SOdG&`qS7MISHUJs&-YDJQbxo8l<+)OPEsk zxlz08>bQPnTVC<@-4{Y?S+aNVT-S%}>zrp{r_&RCGa>y$c6K)vhjvV2(wN{7DUt6z zur?`zs|O5pghy6-Xa07Ab%mIvm&bZk)3XO92%Lh{ab4j?kZH$A?C z%c_RLT2h{y^T<^Z>!Gl4EuQW)n!RdZ_RoZLzgfa_aTHT8QPchf@vlHDZ0XS_U`i#21{5dWsh+N3*Y0cw#XtFO)%HuhOv`==c z)WhCjm{`;o$6a{=Xk?&Z`yk6Los>h+3p zL7^`~BO1|A+kN=*6Q&O<7~wInH{4nBS7?(hmzXDe$9@^NpAR8v7lMScjjHw z89#N@!*9IX#1+xRZPccy-(+N2YNW^@Bf)Qy$q#Qjq)|vO=CLOoDdfgOq%qUgt)sgz zkRTe8#11zq!LEoyx|lxzV=16yu{PBbS%hv7w1E;4Udrhd6ch(cZC!1$jV*D-4R8gd zG*|hqwxZ1#`Gb-^LD?tbkJ%xc$)XvHMg-os`qYk(1w zE3K|u-S|@%%F&LUyN0Po8gBFu9Uu>jzspC1q6+=IE&m$&hN_Ft3&*3B zUb?ORLI*8&K-mW^a>XG_xg=mj5+-^HPUW8KN~-DV%-) zrSIePF!BHiPj`?F!XIRVuMLe=Yu$iC3mtOCXxPYBtF zpzI;@<#L^3Q7w%X_*hUhJYJc_5GpmRXXXUC4JzUZ-96FrV0CBw+|#6gL+Ty7C>GTnqg=dZA0NJ+TYL26q*7r04rWMidr6f!2uWZL#BO zZ&iO!p2@b!fv z4VW)qams~bRG&UFB?JA~F_!U2n0rYW$vTiX$-zpHi^EdoL1S}pIMV^eb39&d3mnsN zoZdXmp4=PW-~M%1pF3MgaNojYME`v6@bqr1`Ad^(>vp#LyLg?Lj^t z_y4p!Xre_oy`%a)@o$?*M2QG=JGSTCiZ7O$@&AxHlvs^_ar-f^z*zA2{^`Uf>}>(u z^i9ut!q8LJC))DUA;0ACcWGxDz3iXkzD)i-#S`%j1YGI5&}U2To~K#VcJs}(`mbCb z_>$pIUlLjTRgXM;WM9GEy)fQmaJBR+?LjbBdl~K-fy&v=D`a%$rv@qW>$~UcZEAwOwCx0hSO<+@ zK0f&1CbTDy4sF2KiMwphP-s6fY>tHs{D=eU9Db=uAT|`qSe)yAAin{``#HVw>|}&- z6-7*Uaou*^=kPZ{@d+VmW)gTeu!>8Yqc*z`jF0~N%1XQJ>VcZ`vo6J6 z@UJl^+YR{o29cz!36a9L$}f2Bf8ft#?Y$#aTH9yK64z?mzd59~TdT~~H-J}6woaOi z%-x%&)5%T3xMxh)Y~@-Dct-aaOGriJK(_D1ll6J=lxD^vz5T7Zq-gt&Z8C#YVl<<1 zuj4(K4*2+{DpC2WRlHPf<(!1=dQ;RC?UuDN>|gO}dX`2r1<&J7H!~ONx?W7N8GVT| zE)#+IUFq!Fnlw~nOZ4LOOVsOFDO^>0P|`#QUjXGLZwLihFw)l%ut&fRd7t#)^W}5E1f1dG zKIo`IkOkX#OB++ZlUqDjdu$&tvTYwwq5CAkqF)#>t28J6>!(fugD(h1KEE~FD(H@j zsUiIV6iNjit5lKJ*K3jyrJSs8>;IbMzqKY1X2i}h8c{)J?XI(^RYt~Au#p{u>%pp~!Mec?{_ zRW@gxl)K!?OX$3PA)&0_+)~A9`8T-Z_uw!ylTs?_{w1>UnW7mw7BNvWW+RSBpS@%!_ck3 zD)8tEneRij;t}w#lj{_F273Il-x+qhPh(r_3~ikEGPCHR(1nsYRopEYW}Y%+Q>0SO zcrh@Tbs~d+LkniMo4IJSgR264U1!k6|gIZ_|1~8hMwf4`5B#8IYQUZ<0m7 zbe62iyRH+m2X4T{HB3Z zhB|Y&GP=z_%i#D$(Jq}27F(|EFc}9biLUN%`#8}E7eA20QW?6yH`G+tvyQ`XN@(Bi z&mD?ywydR^=lSu~O}UhP)HEFCUBj!6)tO;%PZm*`z04YHb*Z}RyrQ9H2M@uX+`jW= zbw!Q2)9dou1gpRH(dkS&>Z|I&bHZY)?W*Cku#P3rm=Jkdorwjk{H6Lu>#A`w-A(dJ z;L<1g%!#Ryh+iQ9@7vxW>On|PH*_^`bxMapNR&t#K4s&=!8Jf727zY;Vpi3~q*Hn2 ztv;aDf`&JHc*w;5=dF9teKxh%J#BSn4;e~3c}rU{wkm|$)0yQ9{rtyI~#rs3!db5$y8jcSkM%AtHb0a zVYlyau0|}3>wK2Qa6~Y6S(eVba>v>YAp}5Y2v3RTQyJZ4yp0J<4|C}cS+%51w)mUl zJ1>v*P#UuV3*T_KW2JYC+Q0(yUuBHv#4;_PdvTfPm#0UkmoaRcDn-bHvYUwJ<}DWp zWtWTyR#i~-yGECB4(Bl6p9Qq7Tj0T)LnSA#i?eoSU-O#-WXoQmO;WO0P9<|&y2-FI zXW8@6WEB+TjXzUlsj{mIR!*AixPsOsxfb>`4mCzk5fGG#ki55`PQqvO6WX>Xi_fW$ zpP(VQs6lq}mA2i}KfRvMWyLY~3Bb~dB z)i$E;(KCHqH>6+?mCyIn;H(`6E$>6iYo0AjPlaq{FAMM&ClK6xE@azSb)X*0>=Bk^ za~=a@}^P+7r_Y=OmiFzxK4LL zUBchq?2|2CSub}F9neS1*6sZIB>0mne;!t{qbiN0bz7!Fi+<#<{g;_}HV0Xrx-11RJF8B~QV{D+nV_h9@Y6b@>lU_sxE@+p%V(}_1QL&sSWZU;Mth+L-SM3cr9V!oEe}41aSMSmhwn9DO$#@e?zV+~u} z$#JbpsBo90c*`R|^?vEe$5`sD54>t{S_IX}!f3|L1O8|g zDodLt`^4Kfi#G;Vk+M;GcpsORcBaM2S}TcJ8}6s8c(HnD)AdT4c)qo-CsCPjm^Gk6 zZ0~NCgD`WlX!xjQvC#ahyq79o%Qz)1Bd|Gmxw)b&E$=>+>-WhQ_zH7bX_pk)dRxN$ zK*=HcAS3<(oK&#vzAi=44nw3~rYLFC9)1oE{|6|?)Ws(e{ml41uP^_x2TmuH8d#yd22>> zAsJnE1-ss`sT97CUn2rOMpa8OH9LITPc8D09=SBE;%;)ml&F0VjWtRLAQ?T7U)1S? zjS_p}es~F=NHMRf8QDEr?ZwZqTp{IALzuXmNKYtAz8JM=a3IgR@K7LIy{BYf)uMD5 z#fKgw^Fq&{#Jf$dG+-4`H>|Yntgo+hIKkdtok_mR866(h)#9@zz0_E2(Q(&iOO-Q@ zWbQ6=uAND%wN^vEgizY7#3Re2z~R&Mn39#|k_qipLP%j;HL!F&rBb<^8!kzCh!s?+ zQJTb6QE$==nwL$Afn9@N2F9R&v!Oyzu_rac2_Uq1<>OoS-a2l zCg%TJR>K}cCojuOZ6#h^jR55Pg~^t2vN-T2R+&n(b8`vvZ8%|&ljt$ADDICXr7@#I zR+^9_44RP#pk!sZ7pzPKr$wZy$X8$h?;#CY8uk@fl9L=&SaIXKkz-nsL1WT?-A7w; zLBm!J`b7vRiuL_o10*Kh8!KzBV3sbXVAzfVZ%2)Uc_9E;WaKL>)A{E10H_EmY!en6 zFOi0pjz2SV#t-n6mA6dFvaheQG(*CtHW)-L9Vz}tdQ2!nOG$##R?wvBb2q4xjF0z< z@ljtney(fH`(}_V80*F*bG-@buHHxKgMqH6|Nms$`wv^(|I6m~{{yID;b8jTfSN&_ z_x}QFbo{@R7kTP$LA?*=J&os3)I4%cEpyke6Xr5#e81u-ycTxe4X0--VR>J z%jCPg4G@i3T*K1%d3^$Rp7Ig?+G^S$e(vOTfv#NlTqD}?1s?R{zFF<`_c?Vpml&la1>nU>nl?z5f7!RcC?Jhv}y`0Zv0zSd`oSQvYxJrk?-E-*%Au7fo{B4AxzKUu6DM~-ScNiyPA+#US~*!62w}Yx2yc2 zp|y;E)C%poT98Ksa39X<@)~LaDQA$GO-*uMkNC|BFI%RIF_YLXV;0r3fgSvQe$2yWjK(^e=QFe3Y0I8|4lYHu*;Ezp zyIe7WdVX#e%{Vyj+sBK6Vau9E<*gsb$Nzelw}H{qo+@f=lxI`d=NH|< zj^6i&=To?^j`++3wu_Def!!#MRR|_dEje}h#&E;L9?34%FcGOVMTM<(H)%-U=66Y! zeq((2{n=3l56kkh2CHKr*ymh_t2CaOj58mLGltL*RS78lD^#`B7q$+%1ncV}p9jY^ z0mJXAhmgj;yb@qoJguxVBr~hcPQ>?=!x$`zULM=2I%&PvCwW3uHeB#s4p6_bbWD6O#Bm{7(EHX-H*>@=SACq zcI#J9kYB}jqj2kNs;7z^N3%I9#^W5uU-6m%^Y!G&4^Y;XV}-f8ih(rcbL}FSlF##7 zH@cH|ijyJzikwejFSrlfoxH+pdh?{g=E-ga&=be?1nQkAXV>Loq>SnH*qZov* z4)dzUmXgQXOVu=p!_I}+rs$6ht(;5rGcWThT!NxSu9N3|g$9eog$7T0&JPXe_m0^Q zKR7?e+w!g}6JeRZn>zgjP2Yc%55)1locCc$YRtpcK_h$K1NZ#LVH~tOzwDCL!=SJ!LXOWmiCeWY-=kOb?}l+q0B%*VEEv* zklxM_CN3@BWnE;s1)yT1F75+l!Txw*G4j^FGK=NWl#Iw;oBK4Mi+}u?`OShm(TRqL zF$Pe`u0jisjm}BdNKQkd4(bv`-iLm~@~KTWY#+k-;cP0fz}+r$r(HIVRamstcFs)} ze3yet5!@;ahYlwG&sEjwL$YW)0u^}4gRcN^wioUYc{9Kps-P)?6K4O=aLr@T3e=5? zLUH)!RdRfOAmmq5glKr!t*Zi}NT@iBhY*@XCEE1i94;!LmjEF*suo77oZhlQBDbo5 zNWvo){W16^p-6@5Ew?pUzRu)nok$%>)HUqjj)GGT+;S*GA<)td0h;3E@kJ^}@K$IS zs)A0mAQtnf9aOwX2VD<2vlq1g*a60eTr{0Rj5f~Z1D|th`@;8khoxO;c;t@j!O4U% zYgIcgO>x2;$U_Xfcsdn8kNYB98o9tKwN~X}-Be|U-s&3aV1)Hwuc;7<*p$Yk32FxE z%3;Lj55s&S3m`7A?-N5QEm?8<%i;cG5@+<11o9XgYi(sjX~7)dV8^iRr>9VFh50a@ z(0|=F5&DnKCeHI}%`>dZYh^2yrQBs9fog)n!4m)Dm5g}^T7h=Xb&FPT<$sUh;#mX8 zQ@W$Gii8J85|Xp(j|HnrP^H20l(o#%ykuWcO$p0@G*wsiyDHm4Y2p{-%)?SkyPkGvSwW z6@}o~Q!W#$CfyRCuQp9;w{QdH+OCAd8<5&olf@zsDsky{izU&*_48H_!gs8 z06sVjmjG+6jf--M1)R07$T7zldU$Fxab9^Q_nTU zRNOKsL-wE4bN)B=&F(j4fj_FOYZdMTMf{J!d(fM&D~VZ$CjY6hL5*%PsdnwZbg_n7 z6ctZY`1vk*yX@HZR1c}^q(T4jAN4;aSgW{eFfP7{t9)lR7&U+l_{MuC2?(hb1xfB-3fRtlK^FAM5)j{= z3R?b2vs^C--<~p>J{ntM1Gsl-8RfsK-N@ih)CK=Oh{gK#Ad^DYhThW z8+6#fd5ZP91%U_*!!dSfcI-p^x~I zLpyF5ktw*T++f&Hr^l|<6ZKaR*Yhy}T%pq5KdN~o|2v7}&x zIjk^{;(Cd|@q*IKU5zvmKm(i-#4F3F#%-zFK~$qq-Ba43I>Od0i`MJa6ky(UCQMAF zIVB({-gwu}vn1Ey)n>KCgwckmK&R@4Lei;>y({5FMruRx>qE9_zZ`Uz6?8D-WG1#} zUQJM&z5fomIO8Tk9&XnmA3_bocAA1Y>MuB+j*GvvtX_`d^Y2Yk++6o>N7=3N$oyRj zjs1PNz~G8ttEZ>gI4jial%)kqr{HN*c|Kz$L+t{J-qOl5qDCFG^sa^O7(BR;dzA0J z+85LQP5@FJTK;4o+93?Qw9KA0f~od!*~h$0hSBFZelnefIX4hC3?i6@B)k;y{( zlL7_tmctGE5(HNXV{JtwcdN5$BaHAAl{iVsrS~MnE1OTq!xQ(gD_3HjBca6ujV19O zMI?PnO@gIc48StLY6mw(p$9Hp9hn(z=2p6{niHt|>| zjVE$CCgMnM&|r{-yiiF=$wtPO(NbPi!vyvX70`woQAL!rIsJTNxRiCb!@C5=phJqe z@``W*nS(Q#)ZQkT2GX#4VSarvy%bDBDk&8`?KEkLv18X@;F`8t1X1M5X<*r54tFL( zYOM9iE-H{;_W+m*vT$W;x+tshKZ+ERbT~t7@Jl43ZoLrH4($5A5UAo$ip?z*IN>R^ zU!_;smQ-LwvW6@1g0#YMzlej&jfz#17!Y)e0@SR!+;0o9YAy(un3qlRgD=^G1>}eM`UhI@_6>Z-iITk7ykAtANkaI0 zf;QN#y*{Utf4Y38CWjpTHC2V6^Qtpbz35KF+Wm;sc9 z{u>YIT8)9%`L|of#M79T=Yu!ZXOLj-pfME;#Pp|;Cg*$@zxac+J2+nU4~1CPM|pj4 zB{~|NaMFV#%9Gq*zuMpH(V{?WBL3Bif8HUBK9qTnsqH13kHgYLL1U)1x7v8q%SksMp=#m@8-Y2nVT17bG3XyeBxV4*Z5V_z6jSXtfQ

    cu>TF!C3jm8~MSp9n03Cu$MWMAR7`W6>{@4qi$ZBRyZ;DOempL;j|FG8a zhZ2*wyN42cqjHyg^U%?zo!U*_3-2R-&SO5Dua!<}+;9C=Y8k1d#$0jVcToDvbv?V=Ooi{uUt9b(6St^aC@(BLf4QkT>N|}7L3Y*Pbo({BKaFnxu{mXu zzC#S^(6b;o8nYYe;;TsL`F6&MyZ($BzFEfIs%S^#W+z0jiM|U;$-BY7^cP@F0aNiD zp!qsen=>^VG8@cMu1}&@D1i`mLnp?)db#>MpZ|coE=$BoJsOYSl2Oo0s8vspwCmqc zn5I-o{^dPH+59rD!!1Q{_*~;eCcfjss)0L#?^LzTdg)aweeA*&_siT$!_<#k5Q5aC)^3_ za@$>xP&E9#An#49h;u%KPU+IvafgCoevaDO>tw_UB&jCC>|w z$8SKM|DN$7SA61`XD4KL?N*>f>wEc`ViErvb-2Y&zUbQ$XEjqNNUfA|$yq(Li87-z zxl4YonM6#_=*XK;ZtlzBEXPTR`x`4c_B^OiJO1?fRD;<&WDOkIoxMfx)ZZL@Y!30I z55H|PL#Hd(_RC1ZfF(Xv8yjRw%yt&L|9)!n(6}|2aWW^OFyFR=2{`Ap9~OF|1KAB< z1oDYlqdvPtr7fe>1El(aH)EXipHwrS>Q$BUJW1JKDRe&7p`Rh59snmwe8ffcz0N8m zY*^N*1mePI6{ZUZpR6;5Wnq}02co&iOO8*NW9FALXv*wE=A4EB2%6)i9Rk74%~Dop zT%Na7l@C=Gb1K%2_QK&fEnFd^3q9nlOe{5=I+NhC(Ls42^zh+Cn@CV>h0Z4!O&R7_+Oz`GkkDY8;ouA$I*a@vGs(piPsz?gFlAHz4 zW_;>vR8U`~iXI*%8+3Hbn|;vs#yl}J8D6J%DOI+P^HAon1apbQD31ymX?jCZFSlDU z7(fHc4$~8iyebE26^xV8DnNS|~-{>tEL13&eN94_+v5jsU? zZ$l}oo97Y`HEf%zhOs?h+^1^-aq@$%qr-Ags0vx*{6w{JziYZa;C5J9+4M0#MwY^i z_yBj%ME_wtYq?$*e#U#!SyA$$Jh>ZC-VZq`oNVsMlpRH?QpIL4?JIQTBXxfbLU>K4 z`OWje_l_}@x{O(1#y_?VM`6x=;Rmz9`mWGRmj+EQ|2&_NONC4kFHitu@FPo3`N_mtR~{e zLuh|1#b-=2#4BMCT)clGJAbXs+uOs@YO6^j?p}sgtQ&e-1Y+E5E;oll(xK%O5K7 zn35iiqPE)$E^||5TLmU85dNVODnaLED)6ZzUus0?AEYgF%y$_#ZkSj?Jlp;f9Um?% zh$0|P*3McsK>UWcz@^um@GHcJPy0I(gOD{uilCP(rhrHqQmgL=M(bTYpE5FrO z*+E3^_u*#BDC@h>tcH=&W}Go*+&G;sdy$r5E-fs`V6eq|pM@~(LdTa zH+pY7c?*>G9a)*;I+tEu`9$-4#K0ryXkO#gMehB!6#!fTT{vi{Fxn(0|naWGvrZ>Q%o=g7LSAo4-lJ7I|g-{pU;G z`~F!ZNSc7<(7#5bzXkrmDc1dZ0z$ zBJXd&6i-(sTcNR^#x<-MmIOK*SNy!lK@Dld7EdRs>)jhLL3BM<2)#uSSAU4Q_l|vP zFVtRx`+6+c8Q!`v35#r>&U^UGFd@AhiWt&x#IR$j$g&ss=vLA zC)%tlVODjk$Y5Pi4EgjpN&|4?z8}*=kzeZ5aK7>mv16G!9VU{BRR2R3)stjq@{e|V z4C|-z!Y!wD*xMf*GuAg{L0$a_3I}x~kntfRdct&|_QZD*GKQb$-IznjT)~GA6+MRk z5?lL|-N1VkLesBFrF3dEr{Of$a)fm}21vU&%_3_7RQO!j4gg?GzaL8&q!=du`?BAt8xoF8_x*+;0~3BZ-2+y7u`SHp1w&v<)w>O3=;3qyW9Xe%Q?0eU8-nCQ2$vh zOHBMO&}8LtXFiteOTSQck@=7{!uQLfPekNx1=n;`0fAv4jFSQTx#-+i@DW{va6>Hz ztzoo-Q?ffQ4}9C8+PVW$bSZ{{OLB#z7R%zGfW4L&z3K_E=p*MYqIxcVG@(Q5!NJ8L zz0+JXMn(>Dh>9=0`bU{Zo<`0w<|cSwlbZUm^4)8Q$xl@U#g^O)Ux1ziiCSTGX~^9t zm?}9(1o6La6t{jmZN?w!P#{B#Lc?YyVsFM*uMbgKW+A$D5U8gLNlIfOf_X*_!y_+i z8cYZ()?GibV-@|BuHOK12^l~X>}TC%G5?UDQN?bH-i!~yYo-4HSG5>OBq{oh9@s{; zx(m_!2X)*c>qhkZ>Dv0+a38-?4b^>JGD+xCh*PthlqI1VSUntFz_fz+%Kt%StR;#G zgGzIoE)5k>H$NS~fpixL>2rra#GUY{@b=7Z4V$Li7R5fKMN z#3&*wMCKEbV~d6KOIk-F_t_8;VE4D2v9?P`ShDt#zC_iH(AD+(wBIVvD#5coIC|eIN7BXhK zJQ+u1OGI4F^xaG>mMu26d9AjKuhV3)k;B+J;;|x-$#Sr?Nn<9Ni2Ry)sF->wW4q}U`Bz~<)0@I+I5sO-wI2@ z>2K}~lj?|Bw4c`niIP`P;$S{mX3frg-Y-$QXd@G^Jv14@KBMqCSM*9s5`dg1N(uQj zuy|>z4KHO}#h2Q>3Ue|K#l4DZumK>>eNQZ=#$N^F<^)0jmDAKU1~}Ys9x#pNfrnFSH>c-MG@s3n)KvQOKCZ!FNT*vfz{x90-nP z)`jE~Tv!N>=Tw_ZJb|F(7*bXoa}}Jh`E&HQGXq9!^mvlJuBVbo>-&kz@wh2e1d~XS ze9%5_Txg2@-7WEJXd3kcXk7_lqYnnhPEPic8R7UM2Bs6x1`S|ZQOoEqdE65d@*B_w zBYE~XkZwc7)3=L>71xzRihgS9B7VkDbYpNCmC3b=-St;Xo3>`?0ml0V6>Lid14T7r zx142*X?qxhLZw3!HI4RF_N2y%`{fQDVbaf-mrswhR?>75>yg%wUk+RV(zmH3FADyPsJv{9~u( zlc2};3J2h2C?aq191lvoh!uE~+s@Ws`?tatLCoQ0nCMy<0U;ik4ls*_Q zt``;~gyx7J+<~rR1bmm z&$)l33m?HCCl&YPyN{(Y7|u^xsTPX=aQSQ~W=5i80l1v%NG*`EkrB_m?x6?1DYpWX z7z?ugu)SkVzJp=}ptg`O0pQ!Axoh9OQFa2(S9v7E9Jni;OmIYkym|J*br8mXD5H>y zfF{_!k4QBNOr?y~T}%}d{xtNeMp95`8Bcd?YgSYAsr%r*+SqL?KuH~acOd-u#_X*v z^ssJ6aq9Va9r$c;Y3%Me2tzQCkML%$<%u;8-GZj(&R?60J4dl|g0J}KlLr4f!A-Y# zS4xeCaEXRtsat_@M`mHVsV=&#kz^p?GyWI^e$!-GM_>%;>$>h)4xLc15&VZ#3$xm= zn>8!0G}HseEL+%BaNGFsa%>wf-LE>01Zq^e*gb5_A?ee59=nlr%K5|Bc?|r(L)LH1 zFW4d@viSGrdo_G9?aqj?M?2nyWXu~u;Ilae@1?FNo)iF`OrHcN}^>zK+S-77Pes2+)wUFe1$ zP*j7$$I)n18W4zdO#9oKTm_?r9@z({wKY|%Lv=2Pa+52LfJ1YqNqXSnAux1n#)C4a zdYNJobB1`W=Cd-4XN;!0+!O^#rf%N&s|PbJ9-e_p?N>C1J8PT8<}5Qa$n`spo+iP_ z)v-}C{tV)nFK6~{$6W`ByAI*>#(6FE3hP4R*+US53*@+(G`PDQq)Z4( z`QA-XG_DY!H9ZlnAaNg&uAq=VDzJ&|+T6zDXS1fAML{tS4P}y=f^lvk2y%Z zJ>$zmDb-;KwJU0jdpQKry%18f_*KO70D8_Vs_&bV zCBsMlhTfrm%jVLpr@iaGv+%W>!Y_D~butstv|C46N4lP4p;qPJ;buIN<;#LGMA|q7 zPe+^=4xB5kD<)``?A>f_e~f0eaz&6HfNZpTDnQAUV%d9W6k3$UwR1*-tnaX_&=oK@ z9WyP*;nE&|?)c<^dNt%cA(LgO_0*STV1Xja*3`t|NNR0WYJ4E0l@&-)658t0qHHRAFmVJYQ&{XJUbre_Ag7tEZ^fg-g%qk?Q=0pM0-#Og;iLcpPhHG<1@m=e2I^6?R=IRA0p~d~6ack?V;J%`2bOn&xV3GZ8r#(d1{r?;0H?$m?r9rOuoRG@_xj-j6=7 zuT5T8TJ243DiQkMP|O|_TwfK0-nI}{ci1rOMHj6dtFz|VjgRvMvaE_nm;xxK({EgF zAVOW8B<|1Igkz5$MUf2a6+TzJ_F%w_K*g@YayXN6$Umx4@S|Lhgc`YKSw>92jFCWp zub6Mj6<2OnPPn5bRebq~duRJRLSFZ6=Ze*@NmX*?=+MvddbbbkA6N(^Is+jZ2dS%wHcyi#veMwPE-urjU;&Ep7@7732Jcp&V7aX zF@J1n65qs%Jni*SOWEy=F6zD9Z{IOXv9TasVZ{BoWVXGzlBVd1XT<_3q_v8oc2oI! zfB_rw8n+W?KL^Y$cy@?1lEBnt7rdIrs6_g4za=Y({4&&d>ei&~{nX7lH5HM)0BB?* zboIKH6)cQ-5&39?`gBySNR?8QF-|I#{--$HiP^}u#(b-*A6*h`&R=tte6-q;DFu|% zK{^?yN8D<8+(W>__fd|z0&0~Z6t;%umZt1wnJY%NqRF!7MOcGa4u;YM1aa{vj7YWL z%|0=x5JT{M5!pKx@DGSf~6c6k9the}WfjwsL zKC?J0?Ht*BGwt~2($TIwQxO;<7S6aVEu=@>5d1UHC+%a7H>xu?#~iYCp9CJPZ>RB^ zt1rC)XxjuF8>DSWSzi1#s$~y^jm%&gc4mg(PVt>8epTE@RdO zuS{%rqCU1VbPO3d8rZYKj!Wyf-T>e6{J88QV(E~JuGPWQz7p|5RpVt6G>^8=H`z0Y z>po_01i9~xOne+29E0w&PvD7n9z@wXz}}|# zs*x(Gb$cJ~wwzesqK{O$F3BmGE~42py!_abhNFhCNRZ0?=n+@sNjI7y)9A(szwZ&) z7cAfhNQA=YFcXWE3z@>1Z7imlP3OYPI2$%}I~veftK6>Kz}#g%HfDSam2`{rYCzdB;N_fq79ITGmH_uH-Jl?YdXtE6(u) z>L~Zv3DhYH{JRK34|+C0>6=3wL-F-mA|3VP;t(tPbfh4t%pjd!jGXyO8fo>cuSlMK zF(XSjMfkO))q@r{--%udVK@ zF>nw>MxriwSj{R7>hyZVjpmh?Hev(5EJW0RIx;~UH&iZ3zC4quNuZ4~6$!*5j9Xc4 zAVP^m8E9;C-2(SUZ7f(6A;ZS_4K=O5tX#^#&dP3P2jgyK`{u;~r|l-L9E=})H$5U%aXWs&8+5PJ1rV0Qc-yuz_5DC^staIW>t0%$ZXZ( ziD7qLbd77}(HTM%@H zU4cYg10qLt*}9^3Fc_kKn!HiD9fZn*c5)!}u&p`nO0j-wrTrbh zo&8(sSX)W!B#m3K{i-5R+{E()CzjFPp7oh}fHCY>(WpDh4{={V-Wti1pCq0siLns? z+R;*q5OG^Km@VErp8zX4WS@dH&$;SG-Y*_Go(46)`iP6je&S%%a~_|FKkmIbvU)mE zIUh7^4ghv>h=9w#e9AW`mAYLsg^}1gWIDlHE-lC zLb1C5LzB_l9U}{mcI&?4VyQZ;Ri(j<6cCmjSjUzZF6xGbLywaF`-3@R4m$v|ybAzs z_8-AbiaXd1_-%RW>Q^|Wj17ej&)iB4^cKO@ELa)Fe6K5)A*hTgj`xvAotCn$lW+xeRHLO_cWfTOu7a3_#+e1$hczc8%2g}^q^1wxnHWR&73Xmn)S)W$xap(^* zmpIm*oD^Z=7w^c6Yd3sxGD66iTsszS%h(Lg;yum;`E z_0ZDy01}6ilsEgEc%KLdVUIkO1{LF^qSo)HWF>V?3YU>c6C!ZcP#J-bD1oypo!q^l z({%b#UW)A;&Xlntj_NEW`{c_JnVz=K8Xm=b^Yi`$H5Wa4JvE{%cQAMEwq&O`G>9%M$&cbm_;5GCuf+~La-+CPPQ*onk{3K)b}lWw785D< z;fbdCj2Pg?G@zBUFQ`_;+;t#QWbj-sd7EF} z+w;lEd7W{-HugFMaeb@V#s50jX;?j+JM~gBg=P`kVfVn#lQZk6{911V26w_6i=DY5 zZ8KgZI)a&FnVb}mzNV#{-ip&ls$d7g!!i-a1%rDXe&gvd6i%b{u*gb_R>Y1I)O)Sd z_9Tj>W<3;ce8+QM8j(EioXh7qc)X?jZfcJU=evj_YS18lTA-Hg6S!5&()PD3_o|Xl zV_`KoiVUjk+*f)k#sOtSIg#yEXZxMXdVN2p?q@Snieg#FUM^i7N8iG~^mdFYfqU(J z6lqi$sZmF5*0{y8v3I>6RhWn`$-cgTlmO3w-sZT?%8Yz4-0zq7JcM<3kBZs*1JRb^@|C)+F(+~T zCA%m_ZQ#q&^#=W3E|v;U$slw%wN>T=?}~r`Pwh<3EfRamWiqKt*}X%ZOL#PQspey- zN$mWo=j7apRnf3hTT9-ne#%26@bjgvzsQBq8fxAE&y2v+u&v+pebzP6!la~bp>OQ z$Dmi9Qi+&NVG{C9O)tZoGGIoYy`W-P0Njz#bl@auW51#_ZAa-_0dmRes|)Rx)~6k?j)z8K zLey=k#Bei4DZ_f{m{`+X8fBOjl?d(n&;8{(EoKtglzYt@clPRDxpO;-@>g&g1q%+P zi9h@taNQ(yq$*N#9D*_Vo9)}Bh87Gf*x@}LEl4ahjrG`j`vh=u#&R-BgN?PC@5Z~Q z#UQoW9$(kecHpdpERj6JtI8FIb_I6Hu!l%lzvPUM3{FegwgcPU09Lejbw!?k%KnC* zlUh04whS*a(Ofe1D4PM6hVdzY%qY-Av89@h@io|}e;N^iKUc|M=fNz(fty&r#~5`K zBH(pn;mu39k@h2|q!qMc4(A%6)B1s?9#OM1#^Dkht4opuh-=bAzI&3=y`TMV71KR~ zVuvcvReATf!G*eV8s;2n>L>s&g74)!D*HiYY>pI5tuqZ(&*M$a^K=NGi3UpGpA-)h zj=%6h-JVcvxwG9w8mZ|ZCErQm(VzB_yg1>^@dR7gIK=A4otm{~Epm{&uIG+_xwzrZ zWwC{4Mj&@mm~R?MHQURXsS9y;URIVq^)Sd#srCd{W5pVl+>n`vdK-BpZ{2|ZSZpWx z>9CZ~g^5r!k}Ckg)Y5@X_ATXf{qZaf%9i(e=%m5h* zS1W6lUY))lqYSG*0ZpVq49Y6@AGHmg_xTl z_if|p`N07GaOvVu0r(@lnj_%J>^FLX!sMX}y-6uK>FC4q?AP53QqiL)F2B85j z2wuTKA*8$k!Q$!gQ&eqEWLYKqvKg6ffeL^d^Qr`>z)B>8`Q+1`v>o@3yfx{b7@x!XrRgLjCqB)tFox-19W;3jc%KV;nW7C?50H;iJf*o1 zk&zJ{W;LF#B2yB4jmB}<2|y3^Nq-Wy&}$B1Lgj8b|6|kQM1GT5E4ODs@%pn}0Up!| zBvyYfreM!!&1nv^_;RLb6nKX!)BfiH(ysCNrsh@aok}W~N%8)83_#bc~l$&q}z1R0s%a0fNgk;Ay%LP}PxqFvJ2v zmWS*V?yBO_#3E#PL5u0cfrhCC3=THgn%@U8LGP0uyzA@&$Q-bxlOskj=TwtEbk~Gw zJL-YdsfNp0CY75xM&s9qfAHQM0gW>fY6+rCWSq<02*K7KPqgEnG3`)Wxr7k|Bv*P} z`2-p}^%b-Lu*7Y7klYl$o%aBJB-YIqZ~sAI1U{v$`%Zvj;vp5ASf(Yg7l9fn-Wtpc zR-)`+rQAO_C5$jU90SmT8(a@wsWY|3{}H$$i0)#DS`s-VxRC`fJ>HzOT2cdB_Vk+! zf}BwuO?l@BKRzVDj8wy!9&#ci)&i~c+UoFVp_DKViu_p=zEWV4Fj~WhIF5w{mgS{v z%WML7`Z5D1mc+q9Cg|Q4(l&&W#t);`SwFUkN(juvq>v92jd_tHzPA^kXmFr;i!QF} zu&ddXx=6D#ou(<%h}E1|?|z8ygRD*!KNqAl&B29_@kp4~z%~?J--|>S_TvjMEs-S~ zZUgStU+LLa2+JU~vj;cxvjUwiMHz3@ZdQsnie$K-uS;2%D8jW6<=e>;4K7O*g~w;P z59k1&Q-s08WUDR2@l%6Yh#dP4tX!p3EF3qFSud$xl`3dx@%}V7qB3F^UlI!eyXdkP z%8$0#Es9Ob5~v$w>EP4{^CZ3B^x1HhZA@amvCovyw9$V$9$$7|Ev~B{tYCMEkboO9E2o%B$(>M_Bi!6lUXM!%(O|9laed5@XnWl zJWIHQU`;&uWR6dDLuVMfix{6jwh^)uYy^9#AQJ_&+ z-0@G8-fk;U9MrEC(r2^dvj`g$CC1KrYr=L(iJ6Ed=d39e2^Xi$;7XF*|Ew02%D0`orX zn9HKyQbi$P;O7b(fb62iAoLjhwIcl<-vK0YMGX9k*)~7-#JF(~0AVo-8i4en#?Wuk z6X>he2Zv)=zB`yem++R^FSLQ5IZ0%r20q(P4;S?5OB#d^ycfkOJP9BO(T;|Yl4tK^ z`(96@i6Rk$XCjdqi3V?W0{f=oBcIQR1me8HUhWYC;NNtE2O4KhIr}%%A_f}OKK1Go z>P8MErW<_IYK|4(aN}elVEbtA08mxe32mrKA2|Tdz_&y1YY|3*1COXOqhr8*PkD(h zz=eGh@2Jv|dZ1Rzj%}~vRW&E6$ZtULwC}RGif!i63;JoUS8T2J?Rg>S?iN>=wMJuj59*}-NojdV5%H^ zd@_>ky@9J2LnyN8gks+dznu3YY2a(?_0Vc^9O=eZgPgBf{J(gA!4+>lz8 zVcB%jAV}&TG zjKSx);p}_0c&TbViUy+@ zA#Dx81BE?6_4)L+wI!gJT6MSpg57*1i8r4bM68$UearVA zXg)VoS>*d#m|Tn5{L#MHjYy9hS98e$S@~G>x?nxZnsEvu0`dwp^;;AiqlO-T7^!)F z3fFM|4{=rviWwEs*kV^e_I14IDfHjO$@$#r1UTvl78p70Y1DO4^$)7~r%lz|^V#yK zgV=e}ghp}+`4@X0s`+s6s&IY58TXMpXnOX08xmWp@VnPg5fqfikgL)`u}E-Y`7Ii5 zOsPuNCrPYC$AUwUd&-oHpwvEO)xHfHPuf>&DeFmc6P== zZA3eRG_xY)VM1S$Q0U2-(UF_Xi?1_|JfiXsKFqvKLb`_Q(Bn|dvX@XPe=NwsaJ6(% zwc=AwU>@clbHk2NJ~tb8URJmD-G!N@JGnrua!DD(O#g&R0Xo(QI>p9TUKU(6`-EV} z&}qAXblbZdKDEUPkvskj4lXZmDZ-$dbW*I6I!edpQE;H`Xwp|wegnN}ZM>4L59z9i zB0`&Mm8He8M{v_2tG8vA>?b6BM$g}y@Hyrl?9W zo)Fj$z3Xs!*4xY#+$2#dv0B|Jr|$-J9cu5MAYKeU{w>&M8s>QwAx0fWV#@c!E{0t( zc5liScpyS#7?M2gY0hV2VkeqL2&ou)NWBj@9m_~W)(5+y==cw>sFn86G4*OTQ+O-K zh@(O2=yuRk*ZuKN(9j`C-a8vNX(t~f0XEw?dNFI7z4=b>Mtw}aCC4z*0st0~zm$z= z2nn9TAk*wo2LL5fjXgmIw;*qV!TS>FI>Zqh1@bG4?vp-C?gL*dfLSI=p<3nPH+ozI z@>P-9MKlZWv|6US-I+8YdFRdzLokS$u=&Lh0P*=QfF_`wwDYM)vevfpfe=S0^cVsY zG|^}b+>xo~H>Q8)*ruc>;BRJ7XIT73Mkz*#H7cL^3BK}x^ijY+<-*(hy(nz%dzNodV1 zjZ2VG4pzJNfq^|NloZnfsZRBnvk(g!!wy0~e&R^pDjFL*HP$4gqBxPCy;6rZfuyPJ z&K-#t!YrOZaeUtevO-l4W;TsDa*+s40=pcOU;ne}0+sD<&9Gi5p|o<>vT`SMBHPtf zk>MckS7I8vs5L}1=CRlWq^GW7#o0$$2`cbXQ@X0QuG_>kJ+tFD>Z)%>+}3rJ_0^-9YT7Zj*$Bf; z0+ZbqNd)4Z(c$SaM?e__X?mYq}Z?O?(TNot^V$4AgU>FzZ1fqFN zdTz0mupTPPI`*jn^A94!B4+6&Lj!5cuWQ0p66uuw&R=-V?;{R0FX`+NUt zqj)oj&ByT@h&@Nhz4;G}k|IMbONpHcDW~e5X!41**v^A^z1Ffx9PllPXqhpj4ui2{ z)#sy%QVXt?P~KBRvySU0KmpZ?KcEv}E}Dei0GrHUcTxop#QH%)C*!1ax3UFKT^zxL za$Rj@bJH38;P|Uv4zqh_!w5bVY-h^Gci6~K5IEfv4b!tZ$q+%zt;UhvgAyqq(MQ_I zaLlgULN)MS15pZI6Wzq2u~NQn5-+z}P* zr}Go>d;6^Z^}m1d6;RUEC%J_EQ88eFp)Ve52FIiFKLTvk4zjANDv~Q z*C+=Y(w@uWKY+q&0P5#wNgGd6^{TotQ^p-ob}lwAjUK{Os;(;;6mI4uGHRlR%#dS; zC}ft<2gg)=x+RUGJ8a$$obYr*%kiRrqOMK)nHPJ@;g}-Ek;)+8#297Z{6b<^x?yVN4QmHs2%I*|l z<}p=OXlnt7;yIMY`o;F$wUYU7bMKoEQjQ0HGpG_p<;qV}+CPj$KIkh_Q;C^G9MF3% zCyJENH^bMDaoUQi8Y!2bbDEEpp3Xgg6{4&yGbul#rct`07K=ZmK?ZdDM&e9^Tx{T$ zJyE!Q&L*tl6J)RO74ha*?PxSG44={q6A^Co5VR=kSk4}iB-sn8Xco@(1Uow@854ES zg&?cx9akow$Mx!+m8dTjt7@%dz=!D+)o2@`FBb+9evL{MRNzs@^ScVtJ@tugtIuFc z^ksTGOp*Q7CA|b_*5kQ%27R%?{a#S7bvm%qIm<)Zmdw6PZBy zVkxL@$e7T~EP=1N-KbGQESUAU!Fh8<9rP4gwXDLA(8-DCX>zUxvE23uMXnFXCwt!D zSFxf`g4z{C+cY{$!&gQDAD#0FQSfA#zv=1)&3r{|dDH~NBG|@5dNau~!8jji5yS&*RE(6t z0JK4YE3;!1vT85%^vHys#p*`Fhv`OMkmif32KN}oJ~A~hBCweoxqUt^>?v%|<-|tB zLEB}wF7hpb{tQO&NZ_WxCXA23mK|3z%o--F9eaXT_gV$QXy`dLVis|?a>K`@M!JMA z#cK`uwBIz4zkP#flyGLoV|W`7UQ5Zz?%AFv&$j=XUX)WSS?>j&y|#peu2Qqd z*gl?P3Cb>4iTr*-=b$lRWsl&K@p-Sk9p3SeK9$bh!fJ`D*v$vPh!xqUHgyTBQbVr{ zKPI%;<_DX{5A|v^5$uqVST|p6uecsDgzEV%bEi@$Sm5mb7st=a}SRB{lY*(znj zn6p7~C0Z^D7?_l=Y9fP}gfDmA?@KHMZehhAFh$(Mcf68`S-9H!iQcs~kszdE`SBhC zrI`bPuYfl#krSxlG0-&k1qgO43j0j5I{3+aKn#?r<&?bq#vA&g>a%EYoWFu7n)ajB zVOX5}(`dNPC)Ly_j?Re`f$B?x7~Ppl?|1wxAqPFGl5D_nB_Z*!#(ztr}sB%*BLuZ-u7S`dmOD2%k zbP7lzFTt$US8eLY2*k_t^!qZWk)fg@k%#ZOT+0sQi`cm@liIY)Z(~^NIy}55?rs3D zHOoD;?IraGUR!7zYx8gwac0CLUzIHBk>SLhvx-y{x+$~MB(cA^-L5-jgTD5|LV>-t zw#@N zK)k->Ol)!_W^>xEIA$UtA^&_ET(bSg-ppM$PUb7(L~wmb%nm=&&=BdMNlrSWMp_gk zx5Fu!pad@Vr;=N!fk=hH6IU1Yx)*MNdx;RE=4~hM{ky@-Yk-OQ@zwyyWh(9V@;36nqr z2Dr%i_HNM|m5$yKx69IAO!Txt{wyKomNx@42eqiHJy1KoXWG;3Ct1;#t&}KfYdk7_EmaEsoU&Iwrxu7dQc&d8ZdFV5q^Lv2oph{$2#rGFvP<3g(uXlIKnf$pTsj8z}mO`@7q*p>JhD=uU{tkSjh|A_uBh$ zG+*}toY{8{KhGM(5kPkE#QSOeD0Gfts1N}2$iiB!W1?#i1tZSykoH=FAv9o83DlN1 zSNBzk1uvvH5xj^2%?nvG600ZczO1vv0plDd=;`bP7T)S)TwDR37L>uJ>Gx$j)!%x+ zr^JEs4NS@9FsNyb?V?jO25VvEKIM{2+=gqfgGn_y#D(2?NG*~%xtcR$= zxCj3^Ko0jiO>0I~($E2L=nmTNt$;n>9{@9~YAVj>t`S@;Rv#GBX>}}Pc0R~|45V%+ zMZHe;OaajX!WD)N@1#6)gnjc;j}_1O$=Y33x_?-^tLSQDNUJKNXJ)AHK&#-W=kVKi zF-u)zLs}J60|yg4R#sY3LsMfD2Rt@9S^;YdYdZxSU427ZK|?1~eM5N>ep-H02YXpV zI{|A;8*3{=tM`PoVpa}@b~e@)x(-)8EKsW&d}O z$@pISACbxOFN?qbkxVe_?0;tRkH2lw*QEZu8GftC|Cr`KX@>s*&y2t0`R|so4KK>0e%kh zA5W$IQ{Vi@ApZkgGO+v{%yLjRZOetnev?e(1h7Zm>EYe4@?kpKUq zknNX*62sqx(!XPDW&1Os#K8W${`s3w^2K|rv=Zh0?e_h02E``-sR90_i2t!S{bPLp z3HJWLnL@~90|2mLLFoS++%G932A01GBQ~~w!!XJAXTpe)?qA9KZw89Z1pv&+p9B0Q z&GW|q{~xfYuY>*}PX{^NSB?%+xQh&Z9RL9Q;^*LgN#*?U*zn8VlkLw$&cA5@{7X6i zWBdDKkpBq=|91l*+b?Mtdbr(p#Lt6bYZ|b{5im1(m;O<@IOE#!|wy-eiUcL>-+!~AZss->Z=!NW7&*^a)%m!wXNGi?T?)wsi8}Apg`Mb|7X739h zj`KTSjRIGb1`}43OMx5 zy?RlUBFcKDb$hGa$-~u|f5XQ0aAQCP;1Y9Fg|~sa9+=#`iZ8*pksEcP%Dqp*CDrf; z9o-2h)(ak==<2eC_<{q3#s!gn=OdAfnR}LHOI5=*KXe@j!cF;Hw(}sQmkHs5D)E5L z!!vZz<~#A+XDpz;bI3$a`JQ}#=-8v!xe)h+r8;xkbcB>eM_y|#h$Ip6MB~i9TA3V zrwY;Wxzjh>$Rh$&zmi`Ml@W()(+hFTwmOf=$Tgk}mDCkPhe@O|7wBf%?j2`llTjNp%J zSY-9Mz?n4M%^vbr__#wow^%8wA~*T2!E|ow3t)^7RuR7ZY4FQAyP@P|@Ul`8}YDTxnnH)q%9*(awUXeQOo8dhy{5 zJ-fuGH>qhC9HYuxF;%uda!N(tD-$glxy^0N%gT_EEj{Q{{H(QdKb0Qu;u>J#0jj@! zF*_e9N@C*NP@-uC;84de&!VF{YcQvpa!tNW!md`4cV&-u!mT6-e^v5;v~IpRi2b-Q z8IP~6GpP3gj-@xlL`mW!fbNw056uD0Tse2K{quuObGXl0gZNe;4Q7b7nJ4%*Tf|tk z+#mC;9K)tt4#h2OLnKslHeW-+6l2ZRXly)eq&g<~)xQ@N$pvJM={ai3VkOboj;XMD zk4nnLWUkJ%RQaouL2EQXkU$7zpae#LFufYXJOZXCTq3=U;O!S%k51Iy+F;$aI1n60 zL74DWKSbYda{#}cVnd-!Y(an*!s+XqRpli7&{c!NE~o>He#6g`5g>!--8T^!3@1&# zPkDn^`Mw8XULxGC41rB)Hn*W*WxD#kK5mOnoVTkyqP={9K|L zZpWG{FBQcJbKp@QJ$ga9Fi(KAH;AG2=qKv0E5uD;S4U)Kq-5rJ zX0$`YXwFIfUSUHx;hNc!qZjH6P}yL`0VhI$v4Qosv(N1mc{6rS68L>wplM{`52e*# z=}udPeEPf@>2Q%r(KtJUHcui_zNW+Z2vElb4oo@sf8e2y*b(5{E0zZ)<0M=VHpPBI zm|>HvYGnAx!OJn=7YACO@5km70s#Ye_oT=BJzXhEt-|h022pmY4iTMkt_~bAUBU1N z=}5ZD4*c1>aE4?xue5yZ4M-Ol1dId8unw-dZ&IbYqPQ^~*)$chvpNI9knNqM!loP4 zrcDw-=Q2IPrQw(O{;N!3gLov-Fmx$Zk}c2cjKkSPb^$AosT=U%Jyt7Q_j!ew`4v7} z#Bgyeb$lou?wFc88^o{lBz1UR9!*UrmBWc!f(xx}+%kE*#J&Yl+F6Sf0i%=lK=2o; z6pUVG6=z;jSAv!0Y`36zeEba^GS{n&Kw>Nk=%MbwOchS^eE5-b8~=y7w~neS%eIG+ z;O_1Ow~M>G1`F;GBsjr>dw}5X?(Xgo+}+*X9X_gGOTF$+Ro8gczw!NZFEGZvd(1I8 zd(X4hn)BevN9o;3mOXf@ZqCCJ3O^c{F&vXZ8jpGIRTmkA6tkMnh=nX-oRdQdV>y&0 zO^|~*Xt)s1!OtswxU!0hRUL(i6o;kBa>P{4oNgDtcD*?0SzPd@{eFr&o zE*S@8)mV#;3^Q=xH#qtBZSpsa=(;#L7?@R7;Y2Fwwebpo2IMan>8EY_o|hN2Jdaqx zWMm6FP_C>*9S}{=zF6j*7@NMU=UgMt2Ui4l5ovNfn(o*VR9SF)B=t-3NHIqr>@VHp zO4*oTshd&^18VC`V5zFszsc{UQs*O?f@2z;gcPh-M};#YG+5^l&e}K=64|4!92am;_1jUZl{&Xc*(724qs_`z3fX8dgQLwdbZ6D401IUwx#$dD;w#{wa_43+eInqZNyj@f zF||LIG9E%4#0QY7x)CB3M%2W=uU8vD3!AAbfyg5QG{hVHmz|+ znBrz5-sFc%gPVzluqD#FSYw(y1Um)|;-cx%1`i1JHAA>++TJEm0`_zY3o4T1JGH^Y!r$7jvQm?AaQ^Lu-q{&cySHF;hK>Fnz8hSP_eH! zKkc=t>g>+Mi<$h~kHFOBo{yYq>&L8u<6Va=$`CtK)GV>P?a^%~3i35@DyEQmd}9tB zObAD3%UPOLQ=lfkGoiSP6I$XHtGE?Pbs;hJlyzkF@jl?CX7nXGE34HILh2V<+Ikzc9J z6{$_fD*-O;3k{}PwB8zKbFW4dLMsy1;%k>qyxo0;_4y5gq5+9LZMvzkNQaT`bsW}1 zTi!*}xf0&-yCFo8ERzLTv0-DdACq!~by3Ff=i6_D%T&*n7Ur?I_kzG$Gwj)r^hClc z`$gtotd*y{ke&;CL|v7EKQN9}CEXB#&1Nrg_l&o9s2OtxIhczEB9@uU>6xc%(}$E% z9K+g6@nuc}&^p{y{fFu4k{%-%k*&{jPF@M&zlax!(*%hOmpNM;P#u81CubMTRtf$V zny>>Jp-_^7M1F-?T&`Nz#d7sQX+jKr)=J%E^*Nj7 zMZZ}~wkAk{uW4J$sR=|wp;=4w!iU@pEXA^Wp8{+(QZSAGOkG9;ycYz#?^GKw8gj|A7aw-(~vT-G|qE;x-Y&?SxbJR z8Rc0=br^ujQ^fylLBdjP7sGhmLGJp^&l!zid);2(bF2%H#dX=|MS7nJ;iN2%LVo3m z6((YgI%t9kir8}@27zy=i(Pd@79tjdXV$>FRL|B)RnF275Jh0y$hbdRANT2@jl4mn zQP3ZOLSrUp%I)^Vtd^4<&itj4CLJ=~{^UR;zin&dEVK@M(;^SLlxga>82@o|O-=2a zbL5f_LT(N-8GpOI@SyUh!mJ5k!M$Z{kN+%`jO0uZ_L0nwobocmSA<@IW;&c8uCUI_ zuk86-#LD6ll`!b$_Q!&qt;jFv_zFP~WLn}bvhaR#h0ruQ^-kZDltL2L@YCx9pi?=4N2q=IfpC`?uUd@3M41g5?^Oav+>?F*Aj1A z51N3c?*#3s3gf+aAV$M39I3de8T~qjuIn|-p7gZ}Y>uXPnZJm}z^qA$(QE?&D7OOU zF6-6F$mOK&njMF;T4Lk}u0la=7zD(scp$qN-!UiURjL3m7vI>33d+aW>=qK#^R^Bh8xkB3+dPX{9RcG>H+nSIlUiTyzw7 zvBUf#N|G%BtWPCo_?4Ra8&(n-sE7x}Z58Hw^$x|81tGZ#I;hF)8qzCc#l%uRA(0dw zbS!S6m z^P-?bVTy4AdC_K8u@Ch4)X)UNrskJ+=U7DFI$b8X!$l!8Nfmh$hx$t#tw#9gJfPr} zp`eiw+9f_*95EzM0dAxovZpPdnVLj^yxdTR7bnFrea_Y0Rrac7$WagG-CUSZwrh8& zKZrAiQrJuv?5&7*eR|gSmVxH zE3^D@zz=l=<~IUgA}wW4OUQM zOt8cqF-QChC*fv~czfs}bsae$yQ}XV5uvQWe18P1Xo|0wc=jdS05zH{_?dI5yYx?C zrhwM7SzF&(`;WM02P;(JhQ;IQ)7tsvWxrh{ZQO9~5UdVXg-?jyJ~C*kNVbG7^mC}TyZW^O?LUfYoM$IdPWV&`pBUVn*o?U+m-nybT6rW^D7Dv z*(L3Q^fN&*;OK5Ebu?$PyQc%&Tc=i0h(B5>6_JZt`;e1)RUl;V^k69YUJYVGWHP?N z)#;OPJN5^S+#YfRF%jrf!EOAb&eH%RY2}S`j?(O_GTQml(4c3d#IJFqL$kSSP-z-D z(}7yC?j4piivi?f7qkHRT5kuT+y)lhTwYZXQ5LTLnA~r|i?K+uSVm`{2&E*xI$u(I zez}->QUS+ah7*cA-WU3Mh3ZOFRtFBIRxHxc4~_XTE-o5u`UaKJ9EuQX7zKnhH#VPr z-7`>M+Za;q_XD^|G-Ihvg1LDEKVBQCS;bp=}_V1@_*%*G^ zNcr3Fu(YY=XL^OVabgWZRt`EgmbX`CI(9Zf0Dy@Oz(&XhV5VbY`(<=ik(--dh48JC zMK4Oo{5DT)_x1^9_Wvn;sj8{JeeRc!{xW9!OBv6|((o^>asV5{ue%!mW>rRZ4mw5- zLS_IX-P^~Rm{{pp-hN|brekLQ>9QQZe5V5aUzcS8{JUj;-D~)HQHhn2<)4biEm{^< zq;(N5bh@uX9?>90%dW-*$e<3c@X5h;7^mP+Yg=8#+8>jmm~l#yLr!OPc-|iH%(Kjt zIDOUU>FA$KT%@X8%vP!K>S{kxgZB~)$j|+(d8(e3WTL!yxU+sR{r1G?>7_Q#`<>yU zDEhW4nbn(CXS?TvckNnPiRp{7i)6z0+oA35x17wUyc0viT$Vjk56eYSX|mJvt4VBa z9`+Y$%aYrhD;HL=GOs$%*p4(;&7-WLM(Q*GFkDf9j( zpOLfFToKf=Gze`RGRXs}BUThAv73d)$SQh1A>54b-pQak@7JuZrxm4>RA5{AKLj;bjM4LQ)b z7yy0$C}3f1AotkEDhli#&@=Y+;2fc2@$uuMb2G|06zl0Cq*oNytUtSKZfLh4vXX)2 z5!h`u@@ECa7)X+W>nk}cQ-4PsJU&aJ_&A|#Pk{~_aRFc9dx$TZuV!Vd7138N>I0CS z1!IY3-eb4tc0|Z%fqGAq?WIVb7CtzAM~$OkNDU0)HSyTu`FP@bX1!B};ol2rOe|AV z_|r^%ucSS5D=M_ax*oD=iV;>*QVu6?Pu+RB9P<#2cdiZ?F4+R+6Ikb<%+#|Em`~@{ zFnT3+3ewap7Mp(vdNj1vcQjV4v9vC261?0nz1+_wr}Rz|%)}Y*zFC>E3EG~^assC! zaJz70Zi5XDWQwL?b9?+sO!)~uF|_FMG`V<$8Sdd>53kCedznk@ng_PZH&!#q_-hhc ztWnD7Fy9Wp{TDr7m@~A9I&qEP%Nx3=3bOl5JLKBi>A!eiHNoQ^K-AH?47UUvl@Z!V*`Dqg+}r6FfS=M8V8!8Pp+!```=pA|{%>0Q?E zAiNwOE6-mZx;%UZtKR{MS}o3p`|f)TGUVR;)no?t>+sD6GEf-xk0W(2^xqce0^iL z(tt3MLBZ8F_QY-q=b(((Iq2kOLs?|Kj`x}PM5!!|rz$3^69Z?j^p!p%{nu%wcatgZ z;zMke?jZ8Kqc`Y^u*EVhvxgA)Qu^*rp0AM(-vs-oNl4u~imAISS)_msiJpcIAIe9n$vARy%7gU13c9{XoHC?Rs;!PM8FAbe%YoVq6@;OUT(cY| zGDm7_^(TuVm#~!B)R>gb))7J$se52M%yMOFesS+x z3O{Pif)W%=JB{Q>cFVTVzMkKb+@>sVPt176>pk|&w`wIxSJNBAk@bb<$|y>EXnKsd zXT8E`2V~Vg=HBV~nr_|FOPRC5ksncf(pZ9upDDsB3|q70Mm0B;IQqbFsy(796OJd> zK^Cu+Biq=2K1}j?24YXPFXMhA??;9`(>vNyE-HtT1dWG^P6>l$|5$e|2a}-rlk5da z?iY67gROHucuE{2Wy3lvY*|WM#N<562BbVc%3c=_(eUI-t*SfHbN-;Sz{?*}qIWlO zsAiMlz1%r7ux>R}(CwFJD&Jo5H1G*AZDrt(IUtaANBAh-d^zyrFt4H2BgrqoI`Zd_ zJ2ZLbw6B^ur20GjM+P;Y`Ym3E@rxy;{p>55cYq+8b+XNO#gWM{%tadrbHt_duB#o- zO#QTFaY_}Aha0G?3<`Bg-7__kL^vD>(3;ngY*Hj7Y;{oC5!Bm zP{De{^r`!CW?m9FzE!V90b&d9Br8%T79_?G&QWf)Y=GBzpBc@8s>@HNd(AOC4b6k9 z;SE?I`lycUKme&s&K(HTu%{W&VHP0bWO!2b9S=@b%QU6AUW2}hsj2i~1-(RDWMnJ; z%mqh6&@i#0I3%T9E!`k4ETaQk#8KMZK|ZY*V)+VJm#KrQw?3@Vr=KFha%q+ZKaG^(-4_V zl-n%bV(6dARA}bb6%*c}o?Gvq2!&3U;#Yxz2nV8$#{~`L65xEO?u9VH z$NEyL$~QTy2lR^B!~1C}j=?08qJL4K?hu<5QO;**5Vd7v7Wq?VMd9AA$sP(@XSQ!b z7pwo)K<)mfZekcI8!4n-qcdXmYTzV<5FJ?nMzB>P{;f&g7EK#Z$&dXbR1_)3o??ku z2O%|A4(Up5=98cr$+-495TmN7!A%vASX&JE(=v77_cT`QC&k3EW;94F_)n@M zY&NrOcAXpS+qt_i6izGiyc=fxet|t6K9Rk7VXlt`eu)q;&cj58=do5++aP0LItY!_ z_uDPqv6>Px^6e8nrF7LJLx^dpF++MYFNYB9kc8qDS)k7lo4$ zHgvK}N0l+S^M1&rI1iyb=*Qlt0ZQ9$J2Bg0B5OlJ@ z@AR$XQ&$-7y?34ixZ}&4k7HM4=fl_8!i1jMVMhe>_a~)^r!x#I*C9l$${PbRx!YwK z3A!4ya{ZjKR*Gbu<{^^z6vpDdjrtyh;BNJV?HKMen@b--h#ST$eh}Vaz=zIdZLJ-% zYUr0rRdKjG4s8M-)1+#}mtLrn^9FH`OX1y_Z*VR+kG>nfjjJ>6Baai!Be8_HOvk|p zd)b6k2KTvhuI%G04GRZcGr>F+jBIiUKVc<(rl{TwIN(*S8maNDw+aoUIbs#Fp`?x) zoOIQ_P!YU6-pFD6j1(<{-sJS-bKfI2n+T~~;me(h{>Viz30H}Zp^buk3L)p%^$@!J z@KI!_5tWO2ygQL%L*SuFt}Q6iB3*DNQ9|kL;A-21E1x_3J^(KFhDqu@lM`H|AF4@vt=yvV$A@r@(<{%zEflbs)Re6evKr-U;?4kNGgL2b{NjLMbg42rFG*;hDyvOL>(S1p%>nfM$533WF zE|QNS+u-Z4tN)ff00JU_r?}dJqgHmbdw@mri@0o(Fr)>CZMPxDA|O& zdMQI3|58WbAHgnzoT#51F{bAPGSIHbt@Gj(Z4|LlfT4=EzkF24dL?^~a$_!{d>OVQ zd<~BN9+7y!(G*kq`?1=iMYqt66MG;<0p}Sy4F0;zSLifjH1RZ|Q)I(5^4_t0LyTDX z341K04JSJ9Y8JJ3m=+>_llC}l1OyyLyU5(LJzX4o@c0CI$ajbjm)7;A$F*w`VwKA5&u?;FV2M#6|4LSH2%i7v`t&8B@EQ zMBEj7l2*XEM9DI9zsNUxk6GO>cTx?-hhicW8)Wd6@R|b8op~THCy{#qo%dsxnIYa7 z6SXPs>1S`f{ybJq;jD;f7B5$_V@|w#=iRAB@yEq&cu*O+%?ZcUOOWrep8|TnO;W7d z;l`55&`J+bT$UxUW2r?+rn6NdHyaD~Le>T8RW6<(HbYHiAj(wOUwjkiUWX~@@-mkr zv8Ts#s$WIXRB8y}AkjII!81JG*P)m3fjibC)j#>R^pUj=lwohCaKa0z@Y-#^Jsh5l zZ`8EjN80oUl*+KVB%W+AJZd4)i)Tyt4A?mYuIN@Meo`1gMjP77S`A&t#^%R*F|t00 z4Q;QpiHNup4Y4JdTz>X>aQKW5nIu==XV4uE`h3wWg-Kilci|Z?R1@irfVHac=(a*f zpk1;{Dtr??iphr7iM>avV80{?Rt@zb<<&M?<1 z65N3Y5De@XtP^M_8xS^Yd{ky=s2j51?LM@xCqHnj7-F_UETv8p)#9U0tj0BmMdDkT zc^f|Y=`;P+h1#{s{nLdKnBUr^(e{#eD-@60MHX?>;;Z=OR(LIIf zXe404+qRX$0f;d)xR!8nt}dC5Dm}=FkvxW!qUn4f&uQk(OuQgl17fwDP3UzL4y?%I ztzXJ)S11+^u7oG9@7yjrfa{EbKuRQ33ks#F52?KPjZD@QB%45-5gmdD4UB@}?t^0= zc-lm_GEA)amj=`b6UGMQh`CR;M44sA_-Mq?q_aeK72lZc3xycubA+V5RNT4c2h?Il z(I72tSoErhb^UnMjX*IK#K7^k(-27tyy&3vV{`1>zg;cL=SwRRt9{9_mmfeb05$zI z1ez0(E5l)8NEc3F$04L4YwP^cG#i$gjmh40?%PbH7jb2sV5|ejeRjxJK3{z#FH$f` z(kjuE;~fQ_HEkqwPBCbdf|=q%ViE@%@vuOE7@)wI$!;4 zCHRYEOk_#?uB~>3xa1KW!1jAMX?Ut#>(Caba^;s3oCKe+h%$%szbVg~ADS`oa zECQY!tyWS8@L(bw`LZ?uV-++Hj8kZ#7`>Z# zB0RXx_Q(>)2(E`tdDXf;$DKk6Jw5;II%AH5jCVjBNs{G1%E|6J6?BQ{C=`*u4lis4 ze_daWvI#d|OBs6)B+KU#fm-Sm7^7Hhqby6!9XBz-*g)-H19{gJMtXK+!WYnmUrN$1XdUZL#l_ag)Tbqk94j8!IF#sc_H@>Pi; zH)oiAZ%Gjcu%qnj#NAgDOTegWB^TC~RjB3+%*u5oq9c9`puJfD$0c|?RC!6~@o+|m zPq{w+-zqu~QJqS>avJc$w{GBcX}bEjG*A5n6ef1n^uYk1!@=R^v|Qq+fs8R9px}U_ zYeay$_n(#9v3QF)RUuMEgcQ7KnTO20m|RZ)CISbT)$JT9cG5@>)js*Sr~z96@HP}s z5~c|1YZSI@857=AacH#Sh$1lTW7+mES%Jd{Tdu}B>|U%Rf@Nly zNwG_=u1XZkK~9D-gPkB&Y7DC!qyfFfote?c1scZ*TWa=#V~C&Rvzo`}7piLjk85&+ zhOj1T5D+0c8JGQK~G(1!3U<69>m8JgLt=DZ!L zzK>MGEfEE4Ar5}aw3~l-gxCXgb$=icZW)|{DWU&h{2g8}xU0);7)9G#&+#;9nK1aR zkgy!MoC)-ydUP`|NVC^f9d#0A-e>Gak9whiB3Z1$HjdOmfQAGzpzs#OmVzP8iZpun zVtdjyeuf-g5a;S+^<>|)ebeU5aT`4n!+HYwZL}K57?VBnsiIxeW)3?_HNIzf^Ow3Z z9%34|EnGSVD@SO3@;*kacV?qy>S-|=(q$kvfjx{+$bhA(I z7C;W(Ln)l6aB?gZ;^(|-h7hs>Mz@IHG3Yb*_4+P;3NOS`UM(9sWH*BW-ihkbsBRBz?o!maCIye~Towqk(g3*KOl*^oFqP=fR2_*j@5}g}&Z)KUV>x zcr3!+tMU`dCAyR3Y#`3OpKC=Alb^JO6POpxozvi4I46jkbmHl}8vVFI@5S;|*!r|0 z*|VDXS>ZWg$wLeziR*MOsT9j=KUHqOZmb52(l(lc#V~8q2k$A*Cx=#RVZsHftsK#7Dm`o8Iv9PG;1F9db$3GwlJOlhK-TvW+GeKxJNqlI zD2+UQPXmCU(GT^`!SrA@nQ{Yu5~%waj1rDESf+U1H{)etPs!O5ce2S*zx)qw)9Tgn!dCVP<2eV`d^` ze0zKAov<*n(6PM5ioJDG*x3KWrpa5j%fIQ>{GkDV4yM12QM3FBVHIo)zl`7irVIWz z>{R~}PvQ>B{!??@|19+%TRDFl=YM0G`k&^Uf1NY)S7X&%AO-SIeQ|6Ie`wMl@D{7} zSL4{9L*y^R_`gLY^S?}s|HI1gKR%7W+NFN=<^-pF^z#YwKQ#XTJ9z&Kg#MO){2%UB zcW~V7pF{jZ1^@ul-z^S*3Z;NwM)ZG^(tk2<{5m@5Z|;;|vH8o7PHYOoKZX031^?qK z^mpL?KW6mrd(oS_6UY4L5P#i{|J^hWz&`>V{v1aCr-lCW&iKDNuK%5B zn!kp@cCP3=YP7>{xLZO_*0#o zY=GaBLrnkT&Gq_aTkT4}SJYVtQvPc;5D-uqFp2fgcb`8dfqom~e}Tr|%IErvru9?- zh52cG4jbSP$sg9gs~@I6Ays7>+zW)Y$ z{}k@@zrDE>nC5TsK$$-W_lK0uKki2Uj9>#c#@`bR5q*AYgxv><=lL-$wR_4?@P@Q#Ai)-hN$U`CYyC+vxs(#@ioK zF#j<6GyfT79LC=hFn`K%_m@@vy7;jEDP>WasFkc|M(*O9i0CS7XRgB|3{tiuSF>*CZy`0 zNQA@-OiI%Hm(%JTX9p5r2;L52={n!TR6e@?UQ2{{i-I ziFj*P=;c2L_=m&{;4N76uQhSTKOth2jp_H)%x`6m|6^1A8=p?FJimeT4+)#!LHhrg z%D+{^dBIV`e@^AE_07*Km`n@||5Ph!(X_ByWJi9%Cwvtk*cKYz=E_OONIyP7Z|Tx= zkqP2Sr$v`F&+A}`osDT)^zdL3l8m(g)Hj8n1c>Gu@=jT=81Jo)jjTk8uL9B2PaO~)@!Gxt#>se`bB-0(yAX=b@uGl)@j%{ zp&rs)8qcG0{p#j^li>;&&q0oo>$zSX8Flwodv$idd5ls4-kUio@oop*Ih?YtG2jGO zu_mjhDYfE@7R&`lZ`S|#W94#m%UTdv%fPxPYHbKJ*@*MTVU$A!ZTE+^EUo6}X4d4k zYIo^QOcm>4`aHCiS!-H47R|&1TlD~<=Sw3qY~|zvDufWxHPW+G7IL4-PJn#xTI66w zY-9%;i8UvWW>0odN5#goA(fLe7}a5q;lY68Y*Yc20Ay5I7V?nr^ejR(G?6aWy21&a z@<9z|!G_?S2a{@K4w!}k|NLPz_2v+&#~Q)scd`yU>_sp|SatTm4B+(&36^Vv_w#7O zr-s1Q$P9*h1%=_>%07Y5gr&)rN2#qMB=Ds%a%)~OiP6%t7#dBM=NSN7%SvUna>6S_m^KItM?4`W+g zeM7RbH-q`0QQi;ezM@itbgB&MXaSqHj=)zmBijg1$1zTNbjTbyjVhoFPU^3wIwj9F z4-VVjSTr;n>@if2E7EtnJF3d;$hgOy32`j)X`*gk#PvAcB)#UM%1}dd>(7h0LgEEO z@jcmqy8nU-AV>@C%8=LE)t$ng9wte*@R6<+#kc1P3j!%Fps+e2hvy|JVoef6H`IS# zo9$OJ*5xcMl>CT5tXF!% zK|48!8SCINww{$&sxLV~pGHUE34g==lR->#W&wr=C(SJ#%!d?LQRas?cCZ zBW_#V2C~3?$>R7bTep_pfA6G`e0KsJ(ko>Zmko>FpaSMfei<26Fpg*H-QHCvu@R*x zVK!XMjuk`tPDik3&0Q!}oIU_KQ*T{Ay2i`;&Mb^Spa6OMZ-2A{J3o#tq=qV!F@LL z+$XGu=io>WxiwA3&8wfOw*gaq>j;L%v1w1dePXv0jY-)YYM%tgG9jq-ycgL~U-_xV zPNBmk(u;R=8PF{!jW?}TM+FSW89 zL6GTHDb0l1ve`Y4l5vP_ZjH)$p9Jm05s10;h=Ey=09zi@9rC@sR3gff-*KfbJETo$ zFVzVQ*_)x7!+<({i(=@gDy!UoYEHo*m3hFzH|qeawhlV z@cLfgFf;@e-~zhYV{-R{zk1uC_&kCIzQ6~58u+xo4?N{3Kq^8=IAJz3;=p_1ZarkJ z6m1oodNa&D2U@deFl|*)t{3U!26ys?JOI#tCF(|VNWrR_nqxkBJQkmGK9WTOiE zUOm3iYk<9PXv!lg5%~gZ9s53ig;MGz#xX%XLB_$@#&QSv^Cq;hv-28$MArA0I?%YU z^Em;5SuLA7W1_Xg*lqP1NeIGThY$N_0#IdN<*crHsE4JGC^}$x7yQHP-@o$tY!3IM z;ERkXlUJ-A&x+}%Rmn1j#+S$*h{xUv~-D9hm&)Hta{tsW^6M zm+HY3XSdP7_WEXhdZMJk^O~b>jmLkE7h>nr;2urK-Rc%vwrHRgH>_D(zwFRSO)GHt-0uzeK@ zoV%&WMDUS$J4qIj)w9BgcQzwz>b*qUD9%PT+Umyd-hs4C!4#vRyAs2Y@(QmtW$uH- z-wPBeT|iG6zzqEGnn+cb3VHifyG{KSzHk2cd1!miEg|Xkgvc6Q5P@+vx$%C#8I7~# zW_#0;>Us>TCW zxXRvnuFgQ4Zedy^+q8|3A2uC=mOT3w9kjd@>t@l# zYg!7aJW}4J8C78CCuo|_?duxHBW-fV&v1PxQv%@Uf3#E8y$V7jsL#lhXr9U!+=rYt zwxw5t9vqjvpRZY~&bRvJ*g*xs|_zOx)- zs?^**kX5us=aB?}sA31e(^ZS~S|X4R;@g&ROC#GaO~_xJE1D&SuO9hhn1j?eqv4}G4knTS^{y2fY=CYCkyL*OJh2} z>1~IWCLy^FrK!b~Q3EDh8u8o16pQv^6Ii9FLumSf%{43~#bIICVK(%Wg1jzipvJ;4 zBCp3zzJ5IJQ7gIuVsq*EdUU)Yq(Qm*A>q&uU5yZ<35yp(U1?p;e=qV z6%gK2(n=h8BgMu7@C)nv3eXBV&pZtfU@QK?t*T#N%h9D9qX4zu^z{_RWf4|^FDG}> z$LVfNEpF> z?e}<0Qzfi533`;c?tZ#5=_u$F;*7X9WPhPAX90lh8mKXz<_}O{CO#5?^sV87#>Mx> zRh~(}6AU{ym{U&B{cE*Jo(GoNty(FA`0# zZ9QP?k)hB%D@0sgl~gbT#l_;TU|(PQ5$5(23vU44qg$$8ALyN#Y8SWjM=eljM<^y}W`&u;{n+5fpg)AN=bxmA1qmK+J;HifPxf=UqS zx#Q_l-GN3iv2-5}B4MMN=I91~q~%E4+v2gBKtw6ulxzVLW$Br$GKvKsaJjRWwrgy! zyy>Ae868E>`W_su}(kZpS{L0kYlwj zjXlG8p}01i@2o&S^SG6K9#1_uKTXqlPZnuun4>N0${qri4Z@L1pf2@5Ov~(2Hc?n; zKh7M3>ZS)&O@l3O-w|>{sxOGKBj+k$^!#FJ-x8baR7dSDde-RF$tMaUd|q6#4gi%) zX!TrV;a)KD*tmH*BGCgOjY$#2JR{2~%%v9A@%os`aDRHT(LdM4so;m|^a>R?&b;$2 zchqY!sSsdOFE)AGb}7}hs5qeH=J-yYBK$R`aIBhP`tpkZU44F&WO;j99jpGDx|cPk zb((h8dG@4_#X+tD55x@-Pd)ry6lmRwHf?NS^St(?s$REXj7NZ)ET4I}fS|3D=6eWZ z<{)VBB{S9c5RiY5Vbu7v-`_HYr7w9ztpQ|e8>H`-{Bzhet}(8LFDXHQLw)j_^jB+hc?g` z`xM+pRQvZ>du_;7P#N#(d>8w72%u1JQqU`*v6(?4SFrT!G%vH-9>4<#PpjA z4?uFP+ah1ZgjF&(!K-CX;ijew`Y%O?b{+ePa6aqzLOc+0uruJm!O*n~E)Afp$ucfH zo_Y|6B+2l2POA}YehF5%g(3d5%_6bB^+aZdLlU%kwg|GWQcdQC+30f(wy<%CO=%<- z4k@P0lZxf=t@POqYw2DXCR#ki0L!1HSRMK4rg56&uIXAmFnR=(7uXv_I=w?XrAwNQ z4{RRNCJ5Iq$ONw_o|D}u;7grG--Y8=E=#8CHn`Z;k{<+-NZ?~@rtZ=h3!4c{!WF4D zj8nD60J*CGo5JZ77lhQgbhG9yCf9m?F7e=Ddt!Or#FRD|D=3wu64aMn?Cahb9Cxr8?n8Q}`M6wju)0a=_h*t~95la#*+4Y8NO2N9 zT-a6$FS|J6kcXe?V#a~tFQ3k~kzfVJHi=oq)~kekCl#aVXPfmQSekmUpdJE~2BY9UihXi=AL4o3@7c04LPp7fPc zXCRn*=t(2nL))b$;c;goyJ-K#J~%!J8(7B!8v%A1&R~ILhW5u;%aav)CpR(Vy%%(r z*{_)Mvi(>FU~(nm%ZtXhS~9k!nN5YH{BEA7|t?Jj;7(o$lLCsLo5 zzdy>p9NXJ-3NLk0%lZ6K%U`*mgUGo2dSIu)+=Oa+_);sgBwX|upoG)aah4jgo{e8F zRRbUyA+uq4us*WXdbmS$VsQ*hrxV+h+rOBwqkM8-Q2d6ge6v)cL?#w46OTV`RQ_^> z#2|woh@2k648iE83xuDqX1yh=2c0Q(>H*`EgF}whxo9?^cG54l+7}ubZ$ml}hIOLE zGbl@%XVDVgX`3-M)={ZI->W90qT)8__4vf<^Ob*?`|XqSb7neeAb%*@(Q`M0MJYmuU2ZQEFtXR1_&vWK6im|B`u`$ADW%j zQ^t9^l#hSp1nf*l57PzZQhRhBF8bvC;6@6yE>yJX8mb~Elszl2ChKD>H&r7_vbCtf z=wfR^-uLoMpJxXrH6%STgiCfLc!|a~x5~eW15V0V5!BTU!y=8*@SOK8a4k&9GJLLA zIIx9)|7MFd5#I0rJOFOzgPR?KqnLbkg0CaduwEY9-NuqUvubU>a4}K0AKdjWHn#<} z+KQX>Gl;Xr{gNF&E(JghUr6n?n8s61m+@27wJue z29JJw>$i2frSiBt7@f%DxF9MQ&L(7UD_$^g`J3LTjTbv)Eee}ru8TR2UmS`93){<2W`dP@XL_RMg^0D#uCt$M_ z%SYKv!-9f8xoCyJy<9~KGji>nzqZ5yqdhw+nj&?Lp9xw^r`M-93)T-ev@ z0eVq4vpnz%P6n~ys_@XM5X(<^vI*N@Sc~gv@{g+`)t6cDX8IVqhCW0Kay`BYu!wY3 zQrmT0i0z`ys7*cWp+ZTKlb z#mL!T1a%KN#Jo28v@v{SQagH-*S=6)>bquq4wMH4*SzQ{IYf_og{>!ak7bc9PU75Y zl*T>R9XyFztY@gpG*~yrv81IMHdHWIww_x5ej;>+pU5KEtI)c&u00N=4sJ6w88E}O zFg@ytQ}O|`KEzQ+L$La~c;JfvE!$Da=iR*-Uf%K6R_HbV8AZyi%UYcF$^2&sjX=l` z<;&-DR=lvAb2wek0%v!hYS|bf`vBKNMLDzyqy#?J68QegZg^EXogv>0T{O}5o_HhU zM=N~zy8#KOzGV}=z&Hz5Lm!BiL|o2quV4_KPGfyi+?TPazzy2pTj_2?i)^fw-z&+% z<;NysD|Eb;6|gDO>U4B=+kI-x^=kqTz+Tjn377*JoYVfeVBe__MECjGjB-Ev&|&(4 zZEn!&__084=5Wn{J&b;;kAWp8S@N>hM}NnrFcju?d$%)U*Rd@sbAWdgz^ zBPn995)6CI%T;I>JL)YGOlbBzC)R(e@<4SUuPnIdqwUe&lLPTXl?+02R;U~7nY=t1 zf>8AyEz<{MWsHIlS4xJaCxznd>;l+9$uvH15xeuJ!~zqs$XZ5!(4lUUNR8!sj>zpJ zb5?1M-i^7ccH4_5Jw+COpCQ)I7d_H5D||HfXYy7h9BB(YmMHKh4BGy~@2ttMhtxbX z+T7BXEG)nJrLe_U(jK5MnONDTJCg#Blk~>3T3{B=U8%! zejh}}RaB#Gw(#h`<$$}iTPM5+3pn(@Qo=R!kGBtA{)%O-rayxRb0VxQGkp1I;JnS* zK%dX)=hm$Komfjn0zLWq#ElLE{5aMzylW(T{ENzUZ%0Jdx8}0iwW_2`cjkhc^xQrq z9}Dgst0IA&nC?0Dz32h+Tv@!*18=3&>9C$THS$u1Fs=(tjVxVhow*NAV_@T8;b(wg zX8;TN0J67YA;~7KY#;3M;Sq7L&!B$d#Tq;nM{q@z0)v6A$<6n_y}B@z~`ONj=07#Y3%!`a<*!^;P>!P`?Jnt#Hgf}iqN0L;}1 z1C`$3?S-Tr<@awKZTysFBj3&C?EF0OZC>H+;cm2Xb}{YS*n1iEE$HWAS}68hS@I_A zPJhLfAhi~svC>eX^}0 z8bGo~)*5o1?4P%flslr4Mw9gj zlc64Z&;4+hceIV*6-u#Q@tYOXGr=%`92nc}TT$mV2)0GQQ1wD(#!8;2Y9zrTZOvk; zuTPJknA}bbikaU5*W0h(^nn6u0npBlaV_-$2AjCz;>|(-iQeGv*nvLMf2B=w?AkD5 z5}WQ^u06c8r2%8G6vAMuT0$>BtVR`pBNQSh2ES~@xI3?P7(ELW=HEi=Gg^O(`sg+j z#nd3UtshOwolD8<@$S$3jKXh^epRjg?{yjLsn>4puY_HabY3|*iq^eYo3(@Occ9`| zr``Fj7$+!Cs^YZYgiR8&ei?iJRG{?2>@$l3bnDCjTr+7Dm*l1*>){lVEB5HZ0pUbY z)~t}`$mD|?`!;FXWa-U4u|C$~UNNWB%V%O;xU#uS>FGJy%ivrLWy$x5TGzvRTQM8z zzd#%+w-h2LG2U~m@b zkaWU%iIs)By<6}3bpLR0Zr8Y|{2!e+Bo zF@d7~k(P=42on=-*X?(>sleV6SN7jiiLYSAt1mNHO+DuD?u8i*ZCce z^h7Wt8U4#=z4e$u>Uw*LwcnuE#NJSFtsoXZ^f-GJuDh_2Tm|>)dn$D>#v~&960V!{ ziNbI!P8JM`^DiQ6y_?RX6zcnVAPRw_s3n~3KTmw@ZwRTIhxz|@RQA6iW&i!CjGcw$ z|Dd~uc&+Sk*%Nl)U-%zJ(gPPQx-+%}414PidOcw|j!8#B)`S`AO^pp`qg`1d=)b@I zs2a0(WEOEyINJKVi+@trm;b3`|Ni{YP-egBscy)(q+ZC>94lYQzcO)I<@0@-@KtG< z$@P9;xHr@5np4$#ws~B*dS!NREfX;@*^Yt_EZN= z!vA5>*^;u&`A)>kQb4G|Z9S9Rm4VULva)s6V~;+|7;dDW)_T?&uv=i+X?B)vw~BV` z$;3Fu+g!P7anIY5U+Chv{v`W661By3k*#WH;*j!7jCs~{VzSp<)qKEhy*U3Pt z9~mE^7xZlgF~P*rvw}%+;W8c1+Em6j@ZBWVJCd_jd{v>gBDv*jpx&=zxuu{=Cb}g`P#nqN*vZKE3CuRu!k$@CWm&QE9wAn-)qk}ueK+<5RTxqi{OGu%>5*JocLu`{|@; zaNhQGu|4FlGwT>d@VRRM8TQSho)cIO6B^Z|_wxgJFqsOdIgzq*ULWzbuc@`CxX)(g z`15RF`PU=%;okKP^E+mtGe}S8~qn%PXxV9toUG) z##aA|97P<&u1odnZ(!ffX9$L&&L1&JN;xixqp8Gz5V#!w!rIp7j1@EmR9b#LDVZRtZJ zKZ@HHsPloHjM_?^G-4Fi(6N5!@8#0HQWF#d%?C#%__RRGFk~_Zbl&@mV20{ zl<&!zyJoQ!AIITWveZBlaL8%_%sTKH6i{2kIZ1`MnT@oRM*G0rS)J9*h3T9vv_(I& z5IqAT^ExV^cF-2+K)?ZTRxRZ-5-s;#_4pFx3vA&$zWP9qSQZh2ogfP@?hM4>-p0G1 z$w8NQUx4cjgfw`xxf0R+xt(A_n{1Ju*s{ca0Ga&VW9txa71vd$h4z)S`GSxJls&^h ze^ajaTApUFf?f<{VOL9=l~AL&@UGsciJF_2zv~IP&=*ksPaLFmp2Q>6qVW4x(t2) zW5jSRVAo%u)qhBJx&G#PB3uB|)c$q* zyin+P`c;XsFx4pAib?_8e$iG84;8_(+Xpp~<6udoLkaJkeu_lF49DdP+yvcVyATM% z>Rjs^EC`CpJBj#wz(GQS?w0r&7bOTfyz1==+B~!rkIPC-%eG-)ZSGev&Cb3Z_**(r zBrp07^N=8JB@`AiF`$_f_u8O)+j!M4)l?ZirNZt=4v$VbtTV;`t~bSNSEn8V1llk3pxbe z7Zkh=w|f=N=NkzA*~%+~U3`bho~=Bj4sd5(r86}mLGs7k))6|k*8Hjt%Hu*rc`QM)mL7cBD#TluF( zb+-vNxVx6=F5P$hSqW$@Ndu8AE!sG zVL>M?cSN8wtWiJHWWaOh0dAgefsDF1IEB-J>YJmpto{_mgq`#N<)a4 zvy$Pp+5flOlc3{4yH(;07~wV=$*CtQW09gR~VmN*~ce=KLq$iXF}*dV&BZOj`C)!b7_^ z#{K;d03p5fi=H^f?wVq7{l!_*ozfSIE$Lm5%&vM)mIcDHGdX}=_Y-P3a)-mLNvD&5`#c_P5Tg1`?m_me^7 z@MAlOKd=r#1D*S>alaZj`qE*xfdf0Zp1svf;*hfd^RJD%RfkN9h$V6OQ_oC2yn<^! zOm__c_mt!`CX_ax0vYX<*FOfsWFiKu)3jqtpMT|*)n;vR-u}jycmSVq||B1<78i{$-VoZwExZopwupE3SyHC({NXH7An;-P5W#yXW zpEmG0JS)puV&v8|VXHr?R!(sZP7~0xYp7w-%vBxB384wV^qIQ#uo4@6Q>5Tj@?}3q z+!C8i${lCVC#fS7U@Z(LAah1FcH<~5a;S$9CvSkpo?22BDbj#Ig%*)d%3;1d*Uiy# z^S#3w&2ZJTvKl2!xf2Dd|GrcI*TJ^CxJUHB$LrjO(*4hX?*rb;CvE3r1hyZG-7q5N zIo}H{3wWMcC?eKgUYCc#&CJck1*c8%j7B?!sB={|Jd?IxXmp`1>1?t{Xlf=VgSW3& zIu7FlheK)L+V9K`b?7j;iMh(ClcW^TP*ugP(x^!_oVP#ErGC-C;JK_Zig}E?>ho^; zgkI$CBxUxORdIi;XQGIGxiT&Q_94LPfG4#Rgr`Q9&X3E)r~m;vP`aLGa{yeJzbmFn zL;5n16bj>V=lnOi^t{6Dr^G2BFqvG99r1j$@;ub*O>k-l?7r(B0ts6l8XQ3!C}-s( z`JY)KeQ zi(an%(J&7&N&lqTp^<&~*&unvU*TzHs_`-1GL7S8dX00J#wGeLimn`1r_-(hL?(=Z z;Pii2s*2gl<@k5~>1~HIX>$-#@tF3z`r&bD7b^3aOB=gOSw%Jv8Daj-@1~=c8_4KJ zuWOQ_RHtHSt4Ag=d`Ta6JDopb zs4a*MntxOZzFRfrIqww3^L2Rv1Ao%`Dt?>l^5})L>0C=WQY#D^>q|KIj89s){jj^QTDC9%WUI6I3UjQ4|Ej8qd)y9f z)1?xffB3+V&30l42kHyCY|xksy#j9eYM&u#z6@RL32G20R_~hAUqE8m<J{or~i_

    oEstTsPI;Rg9=-DWe$SQnUi#!_tL)ie^yG1^L$Od_qa}E+ zHO?c@9-WFYj}A(=5Mg=Iz_8WTz^-_Xnb$mJ!+E-EW9%bxVE(2*aqk!gC|r+Kg^Y3qCJq1*u|<{(rXuY|+(uT0Z~Hi}R=vO%Cc z%b}gmk6&GAdQ7N{(rx_;0VUkzrr_5PL10&~P%lRa8A6}H>$Z4^yEd*4B-o>2W)gMx zx`PK80MEe+f8<@4JqO^&0REt>fQ9G!5GdJjt<2)QxP)=XM691zH zxJEmml_~xev$Ro&wZ*<4?X7A<*3WLrI|`vhTaQsv zqh2%#)cNW9CK{Z7{9#g9_K3{8FpcKJh9VG~Bo=Qr>`MYs;b=z8!(p&&O0&DddgUtW zfHweAI}q?4v=+age#yQ-R2LS#Q#s+VIdP$<+z^7C!Myvv87fD-TMVKqo<2bxtWzo} zF-ulfES2Y8hnTCBktN^f?}YC_`=>9m#yP%&LVjJu4Z}x#T{@$@7VMa91F>wq;@)r# z+{O>-X@?pU3djrqB4{itg-Et%IotRZ04eQ-0c$1~vhWTAtM#+yyP|-SOhcsxQ2MFJ z-c|dV&lq>fxd4CV+OH13Ek2V78@wIS%`;Z(NKejOX?=Wg=r3I=15~r206RNTcQW}- zTB+lerBW_5riq8YKcLUjgS~V>#0S?}+Pt|Jvq>1%6FmZ#Want`#UJf?MoZZmHkxq%C0lU5n#qJ#3zGv8Yc7ZkDO|X9YQ{W31OJ^(i{%? zsRwv1ILu3jzWFd|yJfn3&lpq2yaKw>HyJp56AFd~(__h3XBQ6n2LB+hB^AKnLF!77 zoYkdf;l1ZRCtgwIP>3ub^ili^r!2=`hly5SeGp^uKgGJBS)35pHYYm}a8KCBSd50u zMRwM}OxB^_b$uslc44D2*zqCh=CsCk{dIf?J!()P34RB{C3IPScI<)8mIR=`w#)ZV z;*CJ=pk#EXu}3ulm7{>rRuN>{x8E!3^TBJU($y}|@+ZC&DfJ(9*{CnoxSC-zoRA#S zsv9b1T%p~1gq~6BHAigX3?mUM7-zk{aE7=_%@JA-OkWw&;bdF9kEM~mmU9I8Wt57_qGEaZgs=-mMzjGl}z6=?u}(MjQ2Xp!Hhz`wRopk^*yW)fW!lbv;< z9IQ>)9PId@>=1AUW{YoOFRztQw%8+gzY9PSy#DgM2hpT3zwE&4w647sNWF3-BrAl* z?xaIChU>1qrMF(C4qU!B!4=$vtrSBOl3<0y5)do=3RMWiw+% znoNW1mu-aR#S%jgxyt0j6vm^feHE6@{$1MxYOv9Xtyq4`$(=tDQ!@rVUK>B?#}r6< z*PwPg0^-dhi5ES&ic8{|L+6?(Lqh(qpl>^@F6YB+n-!gllXTn5`9cAk!{R^Zro+krsp05m@*J$i17=K~WY^q8U3|5lbU!xH*_mC{MRi zXtq{&JzmR%ufvMZnAav91x;i=nf=YX-Gc9}^G6}f5l@z)v*bW=W@Q1a%Q8ThsK2+K zzWm3(#eB$Ex<)dB)PypA*sqN7A69j~En?)r+OCB0P6F52O$;QDA{sTGdK_;)*;XRJ zywEIVYmB%l zWq$v_(uN}lYTyPVco2es?BPl@ORNS-Y1QYSss>eqr0bFVKt?;bFD$MbbT|4!2wm7h zSej?Kxq!?cSjb^U&}lm!7Z_v&O#8sc!i}Iu<92$=DWb|@T^bt+tvos9K1Jvm-pY{x zyJvRShGlF$Cf6p=YkxxofDVV^p4SEkGY;LOV#YSdnTG1qE{rph6gV%p3=aodbT%G{ zjs~XvD+z_%=mRbbS0T#S4HDN2n&zEaNu>adRv7hE{#&NF@~3ClE(PUB8V09`jE9(= ztX7C0H-79pRzo>L;NCTJ9N+sW5?e7QnG$7iWCrObo_=;yH}qc)GH3HKd+wM|*ogzv zE%f3`E6--KAVh_i>ZWdRk-iW1HL0h~K%_0Dz7`Y&S=IY)0x5nvp-l`r{2NMZf-vBf zaLc(&8Hv91-`wF)7ztQE;O)B2$@>e#JVD(*vY=mh;(_Y#ZeBMi!Y9S#3j$`%H zI?$#a2K0Z=JA}#6`&5a6OqA9*YPksxf~j{?!=(grvg(w)2G;~)hXw>`)Pw%QMe1Wi z1{sSEi3je`R?{L5I2VwWzT#L+g*h(;=)@?L0OYxAt$!s}yh0TRLR~^>ZSbLjBebt{ zrDNX)ky#3Wu)ea|aYtclFG&tk5ItDt|S_Icb{7#n#DS()1L z=xUto22YvgleXIVL@_7r_Q^hEjuC1Zt8uLtbj$Aj0m9h?{oU*;F;>+4%Xj`4SMGYz$FG2+%@F1(ApVaFwvBxPgMh(t;7kl_d} zH@=t4bC{7YsP6Tb{8KaL2l|Vs8`u?F`WwlFM4cPvGmbwfXi0Dq&^=*~WJO)SU=*9r zGCN0WMS-x`2Fd0~vN6of|A=!vf#)@x(T_%b=nfHD1%sd^OQ*)&+$0x5iG+HtG^M*w zPw19NB?FU1n_X@s0xl=%Gmbl<>Nc)0C@em<2t zSQ%}rg0!9;OnIKA_y!?KJvu*@At|(wH7Z(6=92~A7dKBOdntC(!$9;)DN(@c?X*~+ zPY93fCp|9SBH1U1X1E5AZC-}@$()^Anzob#Uud{_^3oErz!0n&NqH>v{a`QqDZ5#k zZlM~Q%-kj(jyr$SyPqH#`hH{N_0Dm331B4#Prv#-sp`y35%*9nxJeYSv??N^IKV=ToU|v7Jd;o zN*4!DSwE99^T9{pEZ)|@)Ad@HL}DN^`=7NEH@E*FTcROOjV>8vU$SPSH%IstHX8Ev zdqYFi=!c>#8BuKpxv>8_DrmTwh574}K4mgS2(X**jKeed5^o4-}&ces|~T&UnV;XBhp zvLMv8h2;W+hrcS$J+Bc5YJtI~4a^2WVOdv}*`F!7VQuS(8{LhIgw+iDHQPQ>&vHL3 z$lADlIi&>~cJEs(U1(;d5H?u?$PdpXHqPRfotdpqj7kcG%&1`_`-E%@jkMa1;=lR; ze9Cz0s1Q+3w`2Z2;R!G6=_T9zPEIIqnnp-{R++iWc&n_$QN|72Z}{ft_An=_Go|V6 z1zS6LE!k-rJZAu{-u zsFVRsm(3D@X*Q@7k6u+rsu;WSmeE4j_5i6WmVZ1ZC99Cj5s>LBEm?NDhaf%afirW~ z8lPym+Zwg{(iWKG?`0xb-;y;(vYjim;K5DQ#a~p`h#Q%f6z-}>FK;}>B&Kqmc5XJ` z6{#np^v-D$#KIBCDE>Nh=`|9}%gqLl@n+XMuJJqBWvP8=yffQ^g4dY(*|sijx$(N$ ztDCGYOUmZWpoA-1j^o*Q`}o)H@aAdRUt*vmu}!$5A%+*3vgQL4ff~*)5!By%FR!8Y zpv)9c!pYt(9`9({f7x?hdC#wlxcja@ zo_&E67jee^TY2?=>skEw^6HNU0_*=_?RR)E`9!wXa^rMrVG6P4>yv;Dk-yX9{VP=c`=w#ad1Kmcd*|y0COk8G zz0qUCyu$+Y+Y!;&_wR}=pHFZGJHaW{U`fx%&6yp>+11ue4$>!Tc`;DpZu1=+?p$=)!+k-<@&)f6&iQi6jic{;C3d43&#@t`= znt7-!BwuCk{8MK(76WSMWzE*hAqzSkk5@yx)}W$W6Ma-IHj}8Ev9r;pkBr9A+i$Kg z_w*G5Yo=BdyKL$ToRo`4pP@Z@%hm9gs4^JJSzq6edmqce_a`wGeJ%@${rs%a(6R>~ znZMC?`}PB^GFozAS(8{HzCwH}y`7f&8|TAUU*K12T(sAaut#ekTL)}Q3}l*xh& zbR)=vQQIh?H(utDWdqU$Wqa7!-;4jxD6HohY7ezQ%nZGgUT=i~C_-h~hOIs=WzPvslxIWN)^~bHepo3tGwC&$T z_J{Z1apE4WJfeL)yE{5zFxWRC8k-(Rm&x1ZQ`PxEe24jabU>G;R&w-L*dIZg5AVq+ zvF5(bc36l#T8GDtwCa*)7IU^F5Mm{!9NphQGsmvIJpJ7G1RrNM@DoM;x;3M-p>UpuF=|98gs}Hc+3P9g^Kzul0E_+-Uh;fcoLC1LxH6IZ7 zItI?Pzi;&HZo9I2fp5CQVYRin%|K8CV67X6k1L2umR^VZ z6dK6F|5kpOz9;$26WtNx^*Esj^-N2#o)2P%2fI<*T2(2N8(~2C`Cxn;Pc4+-$+?&M zXyYCqcxpcZ279q@;*#Xc?}lnv2)@yBd^NX@Lm$^FsIKI+T&?V@*QlAnTfSmKm_2v9 z0cUaKHtLWNkb?3NF5Y~Ck|lfYvW3tHk7eN%moYB3J1W%_rgx?Dh3%xGq!VgDADzOY z-7ynP-W5)WSY53F?WN@LNdw+XYxoHdnRigC_Dz?G5bEt>3!#m$kxJBk&Ksy;+z0 zX$7Fz=O*Nh$stGIndx4Qy+{rVZag>d2lOjf+*`eaGb-TVJ9(&+Qr~tEw~^%)oe;7MHw14>#3< zsajXX!yTsb|L*cj(i7xfx#bpM2gFHO#;*JoG%EQ5R&TQM`5gxooTV5U)TSMlZhd5T z{;nr*+K!P}2h5Cv0nlJVn1_VG(n*xOxor8z%K#4@6@ot2_N+yJMIe~7GPVti;oH;u z=9^EoORMlX(cyHVo+gQ=x#eLWy&?Csm8(E^cAa`&b7%qMf6G)~Z(v~sXKOOB{`7SP z*XM9e5%-;vHPSKCSb6|>yKe1(U3hDF;O?8>=H-p=`OLo5q9(CvClP&*A*-&PdbaJK zmAZ+FjkQ4YU!L{ZqI>K7uyVGo>S-PR%gmN}>_75CC}361ddiSFx-&nRwLW4sW%f_9 z`VWx02GdLl9>e_al)~1J4#{)SEd#qz`!f#f?8kkIDw(}k+$~` z$s>@0#}>$32oZhXz)kX{o|0=B{%ISoweNCfoV^C9SYY>scjk(zJ;%ygwG7KbTY3NP zv~g&$0YNw#X}Sdg->102XY)O?iFjoGV~sMJToKqq-c$gW@4>88}j?K za-GK;7xjbd7Xq0sfC|&+aTq`X0)z3wzZ$dQoA$_EkL(*;iHeHw;wZR0%kpHNuo^?j z^!DTXC8$3uHV$ut?LrFu3>OdON~&mGZ4S%UVl7uZ_9wi^Qr5FfE$k4RGT`czX1$`4 zsXrZ39v?lRWFnFG)e>_JV8jrlI}=%B03p53Vd&QJdi%RN2(v?MI^G0EmtWzg5z#1d z>^){6Twj&{))ZH!#g3nsq>r2e`Kov9h=ZJqxK@8Z!DHW00hYC3shFBZal(PNmV$z5 zSzj=ryrQ?e7+SZhxJzbSPg}>S62ccSe1{O&J$hwvE)9>Ib%fnQK}V}f8ah3Vd8SQH zMwdMveMt`+7%1e+g7)OlD=n(@}4Al?v3IBT3u}#`Jty*{da<1nf@p*qH z2n$NrT4vwkvpCk6mcuG0)lD1?-gdj&QFalm)H@I+xv@w>-a7L<*io~F7(Nu+3F`J9 zj+?Ff#BDo_=SI}|=-|>aziwOcV=H?;lAFEl!7G%H%3PY{G?_!79{LC`2SfkE*mIF| zG=A?;0gj0FK70UwQFNa^hI7`iYu+9$CiCrV_E!$(vRM^rkEXt9RHr-Jb7+E&lqf3`50l^^C_3Co9=p!k%_*s3$8Ggt4`?3!RdSp zW$oO7j?m{)I-4fwUL@3@Ee0R?M*QKGs6XjMLaBD`86l0Vm`2U`r4zVyj2Qr}nHF)n z7qasO7d_p!&M5W8w;}JnN#Un*mc{JNqIEV-Z&CYD&aci(1&LuEwdfu}jBi;if> z@fq!8v0cRDu6Vq0QN{_KLLcNdLo5o(BWAUZ)DUm&YFo|e53oNQy}orV1w~U6OC_7D z@m{1y?uv9T((!Eg_!E>CFHs;i<|+CI6IG0cKJkk6`=3j^$A`;9(KyDNwtl5`tVLkc zZN{VhFpDS3$8yuqxGt7*)&%kl+mMOP>`Bv>wp~l{eEZ|4#k3_B$ss8OD!9wNJ-J^n z&HCR;aMW#YbY}V728@RKRqgQewcf?UzeV{ln@Dck)-V;6)lVQG@)3}Z>O;n=q%8UI zS)bT0$B+mgN^;!${Gg znLjsV*J+ja_ER?`2)(d$8z@sx^2~H6OpN+}4Y5N`!*wHXC70Tnoe9hAoPkdPw zZw^uE3|9$WVIoytYX$A_l79~X>^?K=yKdWI&8dHg;S!Y%iA*;8u!RQa z+v9wn8udCW4asP{(aZ%CCay}U;d8E8#8vXEGmx_mLU}N@OJQ za$y;evbN2M)?>?ktF?|G4Yy0~cF`BA81tnuEWR;IA0NfmN^>~%6F5ic=}`m3dt#HM zQOs2=?ka7o_n2AJLj|Wpr;o!IZ54ZOk0J83&mmgvbVM0}YaR>H$aGkIb3cBM*4q5a z4(lEV)~EEJisnx@^Jq}3mBy{ZMk%sPc6|S~dY6pU#B8+Ge;NAL6TDEf!NwOj;=E>B z-zqFldhwt;IPn6!!tfrn?Yo|-qqV7mmpGc;*_a__4%OHal~SOX#oxi#p<`&U?N-re^dZoUma#O_sLN_s`@&nS^jP?s=| znEPKq2EXC1II;7x*4m?8;7zB0S20HEabwrzUd0V2k1QNa$cqgIf?$rF$?#Fmx^A8D z^aCRslO>4ghKQ7=im3?m(-B9|8jcNtB5T-!f+)OtYvU10;(?KRwvEf??LbtWN@7|d z9zcevanR3#@jTYh{eE~ncaZ{4pA7{SL>tS zyp0ESuD`samqx*`sF8~6&y0;v$cMlI?y1lFsx8mMEW{~p^ok4b+OSd5iw8x#n2{pE zf_|~Yurxh<$cm1KZ!BbV!ED>Z>`mrKl22ZY#zha!VOUCG$C42AN}Zrxs?L6q`XdK6 zNlD?0xK~~P7801Vz~9M+^t7S6#z?vUP#Pu;;obf{cy*o!3KW3Vz>6PqdPahic6J`W z+zX622JuV%O_NvnCsuc-J8}wcHGwBM$e3UMHiy``=)OrM$wHOJx(O)}9g&KbrD1~I zq-&9ZrXtrHW_Nmo2_k_VGoqoBWYW^hh+O0B>F%kBM`7%_D7WeQDeaIHh*mZRb7LDbwffi;fi}VjYB&ev9^jja7~`uf99qMI3&3J3Ko_&uUV?1o}DE_w&4nb3hTWe4t8RkW%f7Olh9wBPMW8 zaRugFGc0h6i?r>PT$pkAf$27EF}iu!mw3$&-aj5U=de7;Ub2L%*Dc-kaVz)a(5{s1 zIIjej>zl!mM~*;t^H`zYy_!>Vxa$b|3RSiVx)_ajT#yGFfeE7&tHdkpoB9MjG7O|qjds*y&VIS1KVu8%PW>q4^ZeZ16 z;1qP<^K}JK!}HBCTkWf`L$I`Ij?O4(Q2&vnMeNsrm(Ls3Z!U13RLALQ3o#9aQdZ z0_t44ucujADJIpjAV9D$$bZcJ!8Phl12mlnQ4^0`gW+JQpfBtJ4s%sIX#<)R3=w{n zUK(hYtEZISJD93qUW;%}xR=+9YOWv1%}>Js`cmB&tp$uIlAZW+qn?lSQaidw_KTMf z{ZBaJ1i9-mK;N$>uK_1Kc8LX9kDW_d!s`P$5|tkf)gItpg^l{+|H3Nvz#WdbLwBugfJ;G;8ilAx`y0f?1<(APo| zH6reqOBq&fM5;zmd`t7D0dl>bnPg)(3P>h+dJhnxEq)^)%zn=gR9wKEC;SK2k<4M;6(LMi}q74b@-x+WzX?IZmCS-hAi0oL5!shz>_|oKg_Tnj2 zv=;>cte4rXE06!RbxtKy_q{n0loy5zb;c<{>}g?bnP%!R^7 zYc=Zrh@B5r`1OVi(QP0~|9{rdrW4W#^?RHKZ_kjLJO5hW4m0ZtMo5 zh-}@cvPOimiArSekyInL_l~$ZF6SI2o{qxl24-)9j+@Rs?2e zsR>xC?4c&h&?Y?j-(&P?Noz0CEfWr#Z6*-1V@wN{QZv@{%%uH~7pq?sW~NG}2X;qv z!*lfIX4tf2_5w3;5;5s(pRxF9>H8*~(9O6bbJpKVObstTia?{CNa+C1;%5gFH|4A- z{>pCDVb-+uXG0KS&(F9R=nSLw?Q>ob9N$V8#wbrk|E7m`;Bd)&BQAoecq8S0&&l#6WDkO zuI`q%t?>DxF8Uzzzm_3~`ABvrLt09r-H1#!83NpNG1@gm3kOz(82JE~S-Ctok@xXl zM?25>byX1pkB0LDTUo;Wpx9l#v#h(970_yhH^bedj)N)90kP;g4v|5j1~J0@C@kWuoyGSJ z#_Ug0=pg&ecf@nF3*4Qy%Xm!n@#g+`W=lL>INX$xz!>FQ^^g4l!HTKbf9PTIjog7J z`ekm2gV|kG(Mhle4BAq$8kZZ>pxg!SN#__l{~&lzZf$DC9JKta(QoCYsHNZf?XJ6)TKq5oqg_Y921|yCR4lkGm}%~GN-oJwPJ)%ahdV<6_rp9 ziKuq=mTA#W(n1@1y%$mt&2W(xUle}ZvrViLHlff-R00}RUljs z#p!c*jPY@MN8vP9=EDiT+Mk2*KGVSsxBhlD0g(k05kb3|U|wa6xVzz5oDKfPea(=Y z8uW5dlScU2U9Z}JyYBuVL=FfIk7g{G1-r11R}911s+y#vfnmS^){{InNK%9{#W4$d z#0s81OjRK_AHnj*;xl_ec*&KW?-@yLA@LnG3hERmbJ|o(wz)HwRM9Y;CNq`5Tg{X?kk{9k$l;XSL_3cE zj8|SB7|N>82S9`VCq8hJ(?BF}8i%-{D*HX4>>pH8NXJS!3+j#{?105b?qcFDbp~Vf zlBTjWFj82KPfM=Z*mhn{*!3oV5BgI z$VaC9^e2NXB1WQRL_pqCNnr*)Kl##s2AKN!dbIu~Tk5U#2Y*&jizYWkFI+l7a7s8T zS)u{j_g9DH($bv*t{alsnw&}sROf9GQ+M9&_$t*oSxC@DU|4)j$TM2dJ=k@l5!0&>sdL1*X9{ z3RpgYv4+9UN|ym2TjK7H&|YSxaVlMBNjc6t5>DfBYQm=2&=osJgBqTf#i)Zef-cIi zt`?GliwdR~8`ET76hBK8h7m5r!Exug?=b$)vXETDc?%fx{;00oGjRZ}YPZVu z67U1#b`(GK^1k{uAmNTL06tWC!7)e$tqiU zBlct@mTZteBGeRo<8Ig*Pz|ZFfj>IU!N{?wI{`oMl0&t4#QgN5vpmo~!(|&es5JLX zSdo?`cH`8d;fAg)sw8nW@HPbJ40Lb?M9Q$qJI)Q5<6%OQGJu9c zUnNfsy|gG+Ltg(Anx5zm1Ad3r1)(T?;$V(sZiukE7)LNE-#YO2^MYfb`%8?Q?h~pGf%c;f`t{-J^(-E#NLK`P&B2S=T zl60~CE{M^*IBp+FMOpa9I5>TXu!!1QzDyd^p*PM5$NsSE7zwOe2<9L)t4##w)t!Y9 z#lp6NR7qz9jgx0cC>;#gjL+w5liw)lwE+-2lN2>;A0NKLc{n^5O_mETiUWI6m2zft zAicP{kN36p@BOtxqPZAN zWLpBzwD4$>pk|xQ+j-CtcP$=~n1Oc_tsFdcJVFYhOA4Daz?w7QBFFct|qg-ot(wY8Y$i zOm_DXg(hY=2=T5}x{k|A>Ie1cPKbvPC4)x*a~l+CP>2O4h!y0bfTO7c7`j*X&1r^k zuP75^Y;LQc_+jxKlBPh}m!_%99^{pDwHv9JD6RLhw-8 zsXfwkr#cj%Nw4m*0%NFT;3zwR!LOXZl_HklcGR98_Rs>sHZ@5Va-vfJ_{^K8in`fL zKBXD52|sKD(aLJW&Vsftc#O7T@tl%m--q|XLiUOsaA5UDp@0C6_%VNi!vp(*Tts*4 zB>u7pBk{%!JJT9a;ry_QYg5+L?6{VkhP1#>}PyCOl|!&Q{m&7)w% zEqb+#;DF8`5&(^CUTf`eP2tJnfu?9ugwna5z|>}^?4u;0t|v0Lk64l(y@n5UiQ1^b z3r>mzf`^9Kh;PayAM)u$+W?@b3^jJrwBzGtz%Yc-76|xIDGfS{KSkNVFFizEZ0Z4% zKhSMveLZ@jbtOyA zJ6nsSMK9O9D^-JmH*Uke53<>fh7)Wx2;4A#CXjAzt0kJOTe>>D57pP<6&ze^82r0{ z#7)#_0`yor8cTF1@H(;^*%#Yn3ZnCj>(a-ZJQ%t)^hFThejOdp#@jm8N#590cC0#U z*u`2;$O&`zI>W|w15<~2#V|TU+y^G?vUKg;97Q2< zl2}iKT~|{vC`KA;LJ9k{vC0TlHK}((3aY64Nj5cBjr3&FdhjbK=scUrh3yeaNw!z5vjupQkv1TkZIHjo_IHt49ith)oxDZ+9D0>W7ZwG z$2YTabd=i7Cc%F~KR6T0=J4xPWGaY2r@J`f5A0N1DgT&H=R)~%O-CrFdjKSF*O}fp zm8%@JL!WF3tQXK1xzk0W(0^1h%9O^_3aREf5GxrMXpk1X%B zW{Bx@^>*bO8_URe{Knog(Zij1-kdzradU7G&^DE&k5n!wbpfPVwzXBA|qQX61QNm#N) zPsY{2c@tGkVG_~PZw8y5z(Z0yjVIK1pu1~{fjI>Oo*%s9{o(dMSLDx;^=g*?4Jsl6 z7%qK%06v~)>0;SVKNBsGS!UYX$ZE`7g9_&eFJwFF^8(r~IUAmpbxc#9d5-8wn?<4b zLt>I)7v}<;u~41FXqG*oO4}ccG4+&PF+7bRv}i;!`qFDgo-o5lXZVLiPgp|RVG^xd zS3(PP7TP>2XHpFZmlk>z-2|m%AS}L1wXw2ci zR*>l(q1OhBmL1qpfzNL@Cd=Pdpx3$fVhJ&&%@KsFbC*dOcBaRO=gF6+x1U(a*^alr zlYKi=VUb24w?^%dTbHYIz;y$aUE)2XIa~W$Oa-J$|;s!fKPk+u&sFdL2U*+Tq1!>iV`mD6)V;f-V})J z!1j5fv%oJqFsFz}2~j%J6kw=)USspEh)(Hwl07m+NmIC(?$IYqAJG~( zq~L=qayaSCnOe+t9sM5@qOv7X%f6ZO=l|4ox+ZOu%WvU_L5GKIEWnzeBH5g-m_EG= z70M4{>Cum}p`LOD`LsM{tNZ!k#v#3Gzx1>ZUpvBnFoBiH3yD>--GSZ{s6L;gGS0yq z4wH{pQSYG(iN^_tuHQ*au{2z`duRA=6!6$^PVx+MRBj{1FCRD#!cYp#I`Wdd@!jg4 zL5l5y+ge%oeePI*!1x6H6n+B(76^J<3bu?6!y!^7 z?kUlQhI9wiep&b$W#^ug2VFKYrb681N>)OvK$~K^_R`39x(TVz_@pNA(iw{cRR){W z{K4_9m;)-SgbZw5(YBjw&sfKvl0|mGcU=2I^I|LvK>|^KRGH~+p?JA0c2nCG#>(VN zE+@l@=_L+BGgW&+Huh!>i~=(d-zmdl;B`79qD(aNzS?A_8R#JxjbOq%N!?-^d2Tu; zaEC?fOK5TC&<-ZsP|*3_k5%()p!DwZRrHG=tDM*BLtC^8^TNliFRQa5SCAibhxg?> z?q7`7s3Mw-xOmKdn%50uOjlknprH{XQxeVq+7R0)Cy{ejReSCx3Dwork4QEY&z zHxxRp^2RpaLLTw>1Sk6@%RJ!V!#6p!-hAT&TgV0d?KB#_$TQ$p<+5Cr;eP?d@ms>RgB(Bka$aY}e$$ z?M$`^0Zn)}wtKl){utZ;9$`b!w}k254eGxuJ$?ycKb0Qe5q6&*#`@n?9)E-Fe~+-A z^{{&h4>tDy^srx|_E~=>Jib@^fA%no|G10Z3PvEHYY2+5yBp=b^v91e{s!WHB#8e7 z(isOX^=@?c(jmWiy~g@89r8Vc|DE;PAGi7c?Rt&%Ua|!6=X#Bm@fWPuSbruAi zhb;M{1OD-)IRu!M@$QCtFNN}Bn7^YNexp#n_7?xQD>Z->ss2uQZ2xa+6}I22RsQ(( z|G5ACe?+UW-Ak)5GX5FqVrTsY{f_NtVuj_KNWyLlFz{_J^Ci3~wG`$5^6+mznnQt& zs_t&2_tG#w!TcLKA@es?uD|Yt4`g2a2l1i_QOw;K?h)%xO-j0HUVpAi28_3Q86R-pEIcjLa7%=roK-@)y7q1(U2 z+`k9dKfo>y1CcG?jq+Y{=c`$S=~s~ZQ@Qhl$n7_iC6E;a8~5EX?-hXgG0fk<<@cKP z+rjDJDKhHa=zG|v~UmXp8)?2ynZj& z|GtlU(}R-F--(j_UQ+1mS>{)a!0bQMLf@A9_}n(i*B!pz9>O&@C1n2ErxM)J_nz43`;4$PN&?F_CD zJWYX&3|4E*E(*kf03$L_G1U8dx%v815p8PjiOy43S63B;>7-;L8KrVjbeRgTx~7=$ z9IuDYq&a27Y%d-&6o#m~yLfq=d@60v-+q-+zx~Qe8wV+6qkRrxQYD8b09l(|9%o7L zd=+VYe%AHyKxapd`=C1NZDVbdYqeV~JD2Q**Ry&)O`R)vx2x@waTyE^pN&B!Wd`R? z_X<|cI?@yymw-D-x66aCEE7fvBbovLe|G|m!S?(NQ9197GLr_3NJ8W<&% zTD^zP9SXaY`$V8;rco65S*(V$g^iJRpA{X$ts>X(b@?*J`ASjNU`c~{mUUh<9khRW zVw|obVU(PjIV^l7BUzt8%1kgV)gWQxj(pf@i&rRO5}LC<&P%pNbkg{61gQ!G zc5&!oTd{6W02ku4>qpPO)U;i+DR{00xJJ4O6%L_C0BxDUa+|_=0|p|k6Y2%W#_;e; zx>xSixbRNXFDx(Z!;N%R0}D;ZWbCJFUFm-}PSH|7dBCUKS2Y z>>B6KxW364&a8sXqhzG#$+d*K?jepa=skIH>pI|kws5WMq;p#4<~0?;1#V#tgZmIe z$*{)!BFXm+zpjB5j!nH&eTK>8MKtb>OyORlrC`*V<0lvw-yig-|kpIycPI3MmOUbx_oi#?@tma_#UuqQ@JUG9`R=tY9bFWeZa7mo&bwz$^`!w>y^Q6ca-*CPWq1beqXn8W-?;*lHRq(uBI6z2aY8=*6?~`v{i~oZC1XCRhc^ZNYjb zU_yIU#4~;1C`{%L!OoFOJ7L^g0njf zsxHfj=-nf8sm< zXA_tRi%<;YK10%Gp#FK*?WpxYE@)AKI5-Ui^_Gvld5D!%zTEPPe!fRYBuK#lPkJ}CJMso_6C~#{+F1cA^`$)&?h@oX2Z=}-U#iQR zcXd>k6lHqe1Rxgh;GPGh&J1(!zyYFC5@ra4zR0*a`sjI}MPCA&K@ma(A;JDqLsaBl;SWgZy%iZ zLE%|8zw)8ev(PB$1*|+CH+OSGac6R_0Oa`#qWDNP*_Ld6r2~qnwxzD+X~lyAMVEbx zeA(s<9W33*8io-Fj9Lb$Xcg&H4S-%>FVMQ84wnD>!kkmhbxHHglWQ>zG|p$E(-99! z7^mvcJ4TaP{A_JVS%VWg4VUd3WX%G|8>$C8%`OiNNuQ0p!=l%me8Yi7uk>_@V^Ed{ zaXl4_AeIgYTCs~yWz3kB7mt;pl37)n)()aC@pC~qfkY8xtFNijSXhNwt8hv%7gm+{ zb}H_REr|gagDyP{1k@TPK6Z2A^IW~rOsEuQJ3Z#d@2QII8d|b!c+D+wtH$|N-}2hL zzjc*$JAG;X(d@Z*@7$EbbYxo> z2YJ|m13E;RGdjC+V)-(Y{G3@#JWoHmncc1v_C%a}c5`K9LtAqV=-}aIJG8jE*#v9z za@*hzm*Gw)Wb}A&d}Q~#N-NL_V&*04(_Qs#8mrcS|@wSqWyuVAM?lYQ*Tk+BKWvVWmq=8x;T8veKM(JesGA z0_tmWz@eeh?A6ECdlBi;SD)!G-&pDs=>WmSs3x0i=*h+Qc&%gOz#J{OklDK(c@cV7 zi9Noq7ot4*@D^sMk||BN=k3$e#wZ6MFi_AEi1fe@D1@*ySB)_g~ zmbod$FPEIiD%e- z5Ux}Xjv$)C?)Xmmis}Mx(vkgOT}{~J<>~8Bn*)m00Z&nK){|L*-Z3dQ(ue~;-H&1N zC)f9cB3c`u=x5@~L6dw{85L_%D^3NLz%8R16m8rq7REugOSv+|WU}I{Z$(VDOQWMf zW-&5(=oc7=86v8IE~9VS>ulM2GO@(+dN}{}^VlbVNrfWK@zng4bp&cg5Q6b|Av>tBH1bBt5;NiAC&i!zrubPTq(6DqI6DDc1DtH zSZZ6OuH@W>;OzYER3kx#1sxNS7G)ZvKJG+c^OG0j(@W*DTS)Jn>Ko@8>oT1lTnF#X zTZR=AHQMQW~4btR)QErv#Hm&^ldRc7T;nbR(Du<18E^#e^or#Oy zaO=Ii^=lU__1t`v96kB!Jfq-pC$IOg3g!o@ux3#Gcg`$0;(rB%jOa zqG3t-Y$jSgYsi^3QZKS;2xqFR>b?8=Qwv#=`2woR?r0Lc5gan+i1FO5E2i8Nb0hp} zMlyj5Fj}!pyY$lSoUmnoTU;wa64wi!3muXqI{T4N%0YChdfl7KI(4~{^yf}$iIuR< z;@l%6siJ|43ccG^^p8J+g*~jde<6>8pq_3CU>8_+M&EBr_bQYmC*2W4^kunq-mOFa z_zIwORHP@^4S&vk^=Rf`XdT=2F)#_Ztv)MX&0Jpys}XDZYI|Y}4h{R_sH7(595Wz_ zs3AT7;N?M+FrXQEICjKnz%RWSM0}bP}l_Fp= zuZYgmYLs#<7^7fxHT3Bl(9+J$t~(MX+3ywA{P70gy;E`6zl0lql-Kd2HT6H=#Qftn z|2PEyV~oFHaru2f=&!)RKiI^)&11bs(&>*k81B#DmyqI*82q0lda&OsV)0m^}jxB zW(=jgljA>b|BnaUuUq)vfdAj&_&@tdyHDZ2j$$rC&>7qf^IlO)=07(Oum6;@^$wYprv40G5fF ziSNX*0E$o75`PVD?CKOpFh2w7q@5Wo++i z!*aeCu189bSFeg2URJIfN)`aLQSeHB?yS({l5RwsILTzY98F~bnH_nx`FeDSO(a+f+khhWxs$G&9S2H3mzlci(R(Up+gB_vi?%Kz@wt z0$j@B+!qI%tl_LQRkD0)%t9)rE9$@y46MTx_^SCyPYV^F=+P(j+@NxQhbM{g@2{~s zWnC9Wn!u{9LX`{DSa)Ny<yAFPtCn zM|(AQ!LfC?h98~G`pk~Gs$&OT{SE)|Aw*Q>oO|F+Y55_yC&?C+VtU6BT2)EHiWtS- z2Jtb6Yi$T^JcTol$P$1pl){F@@tmbYMSV9#6`_s+Vkiw_%-QjVK?n|(q=ET8s`vr% z=~HXDg)Fe@p}uqK&!_K~#vM}0Dd-BZX4&oEs;PXM!t+vCmfu3#GZb8M-4Kf=b>Qz= z#mDe7SnivRctFSz_o$z5QvOk2l&_ngcwH@?Tk<~OZ7I7`L5Hf`RY0M2KOJuybhNA| zmdit?GZpP6jJhdlZxiVXBh78ywJ{>Tx zXCj(I%#{m$Tsb%gT+C`&h>W-Rc}W*-S}*n_X*_r-!H=$!w|QwopMLr?Y`=g)F_xO7 zJkc9bAJ`FE^{Ochd8yOAh~yWfB%vy$uOS78SmPcDR8S|4Eb=D!NwzX`KE2AsdW&HL zpxq7tni0z6@(b3qYv7v4hIKysD`zCI~d3# zDUPff`PYhHGS`O!Q}Lm7w{t~c9o7Qo6Z6Lsj!=+-rgRQjZJ*=N8r&a3CiNTHD+LNF zc+oFgPfsI!s+;E?ekbu{7X0PY%96DL)i>4J!({d@ew-5w@^xq<6vUA1RBi!O=K*Yo zQ%>N$8nBFH=g|wmhdz)T{WQ7bL-DJ6loc4(45T|E7r6!v=XLeOGP;7|T<{j%#5F>2Vb$e&&vlTbdU9osN#Ek@AB^Cerq{(jMoh$ZhyDZ%!4sIF{FzmV zVkFA*1E!j(L2zw!TsvtxzbERs!pIIsl&YB+Xf`CY;p$2S8fSDunu5ka#daVv_xson zpGTK^ZTA(d6Bg9z@q&mUL@5cOEkmhGY!KPDaSChk3FWMY1;j)rI#PhoiQZP|+hip3 z4>moR1g!K#q|4|P@O3u!zXjizC$L`_6vKEtm!c_BJB3C8A9^|vxIQ=@f_|Da3<`X_rU;!Pj}-k3@^v7*Bav;! z!4S4X65)Zzezl-%|MCPv(msq~ahWMas~RM?dXaqRu$W!F+*ug z<2Y|*F(qT?cy)0!Hgk66E!y+Os*}TJ{XW3xCofl1!isq2jVE8^oY5QZkBMQ{m&sFu8dNyo{AOVZVvy+D-RyizUxj zB~OAIr7iDxI?gCvA=N5O9%|9|>CFXx7<6$P@iVtq2!6^Ivbv*V&T8^o`qb!Rcqn__ zEV29sDylh)=KV3_2!{(Hf?h7cLhN}L{*os-#EXbrh{P7-$+R!Yxh!uygE`n2LSnf4 zJUJ>5LLs)j>!&?ysPd91B0L36`Y%B+1vQ80oB7!~fS=lieVjq%CQXoU#4{L~MlYi* z7ZoNok?G~NL*5@SkkFs5R>#o~WPGS>lkw!)C0RQ}i6$Rz`fJ-v+zDR*r-EyN;q+nI zY2uIz^rwY7lK~MRj9okKP;XMX{_2o7&O}?TGke2WBozB(X3D@32mV-PD&@M-jZ_vQ}j!AcC4Zth4oa7~!au_BJJb^=GO>C zen1Y}EG_){>i^|DG65TshQUGNI29f%3I+M*FBJk7kx1GE*D6)^9{LHbnX{@&nq&j-Z{FyYTqMB zNx1p^VTZc=WM5{`lM5T%c)t!9S{~p2+R(zG(ZXJ8F3&=Unw?(f>J6H$MMsu{6uH^z z<*i%918~RUmovDzgRx@{;U#f`LWXC!?bYi==*{f(vN1g`~6;ywz6fFzSL+WFr&nAuE>%uEgfW) zA0J~)A#hsHnri~;b*QuL@F%@uUohe-mudF?{Wj|A*Ek;;Nyj&l%-J>e!eGZsP%#sf^6B#Nbz z6F`^YzAAI?4b%Ky`a67h^Q47~AR8Up%0X^7XK-&p^a&5)@J4oiSZ?MvOI^#}EWx+~ zbm0Ylk)o0ZE<4891LAUF>aD2Crl6a*+B)q>E=U1srT1|G6`!L1vNS(YBnqO>Vn8$tT z7Gl^qrK^;<+d)mkcWF??PB6r29G@~d#5p8s>4vr1x*&4^NmI!@Gf9C(a0A0!Q`1=I zkFkHve=!1D-{>Mp&^`b30`l5UifUnQUQ@xW=CcPzdZ5b2$JJf5!ngdS`%arvr3H)V zyg01~+gv-v3Dp?;A}$TJxsR4-2te8=RiL&9Qlk8(wU?aqPoId;kINN(3-t_p z@M6xTx-nDz%7`DN+p`h)$uZP4xZ3B)%Y%ehX>;>SM)g-Np;n$^a4;sDTt)d-%8?PQ zXU1}rohRqh=bZ)5sxq81ugjWBGTX=E8uaKIq@pNjSuZoSmf(y(4sr0w!$`MwR-bIw zYn)LF?JyaeNOm>Jm!Iln6cca?;tuh}=2q@tE4@;&+~msP9tC%Gi45O~F~@;jfeYSQ zTn(w^zaD_Anp?1vnV8X-J01n1I4-gQjY0;R^F_D_K8{5`0DVJD;>TtF2)S1RRTRus zs(5u^byp-O5+WmXH(>!ZBek$p4Az>OluN+KE}V_kVLP%SJW-C_LR+PN@S>}4qyM@) zE`(=P<=yHoo>HU?xwbCR4K&d_P6s4^$z)Si_GrVCJs=@oExk}ez6&~*P^SdSb$OhX z;+4{Nj*}Fa``r)I$_dDplB_E@niJDZB1ARJ>mmkf-1JK{ztf{@Z(o? zh##i&6iduf3zLqtVENU0$n8yptjh%=Goe>2JqhP!7mruJ(HClU_*;SnBsMW?X6D)hAAMeN`*`rub8BFJ;C7RjF@aG6dOVR@c zeR^wM4Ce~dZgf@-*o_UEb7(N*-PbKNbOC0m6t8A<=LbJ0y{7k4)vA;aFRUsa&ri$s zjY)(*4&S$i5gi>mz@VDZ-r zGhWVZsoi|j=m|VzG=ifL?F=&P8Sbi~V!VaZgk_VM=|fIzVh)fd4>fB9-kW1@f-KuX z=^ZT13_!YzR_G+eVnqNu6zV$%nvHp$=c;*qhJR*mM#`6zDW&o3nMuUKGDdO>BYEPc zuWpVL+O8ifq}{BdyUnWlVv%v~;MrqS4E%#<}vzQ z7d85s5~nAq^71sWkKI;5*i-03ZW2FsPF=6R9X+S?^mK4?5VQeGTJuO;Q<~wMfGE@r z4i~Io8XBh-3Mtv7LbGLgi*_O)uQMt#G3PGqGZo#hJW{M>P?+bjl~2x75%A6y1AU_U zjSa9Io(K!LB6hX9vc_7pq{7(bD9L^n1OzYtr!!1_nOWIUV5y}}|)$#Klmqk*?b zuU#HSQp?vRFlPDa^$1|?&Nz-$cFbX#FvFkAXI3rD%XVl>_q(G)_~7&xV&f3Xluy33F`{{#NjYMXzVTJtL=)HtdXN37un}-iK7lRyVMjY;>+-7FR|8geFfYE zn0o}EgtgCyincmJIiGc8uerD^Rr`rf2L#HUsCc4FST2lweAyD|n-iv^r(tSCVis3f z=|K$hbeedT(c~o#ahB|UFKz|Jt2(O?&MllJYl+1Uv^|1Qbn=^XrJ|r`Q<3ef=5~>e z^AM5w*1eLdnj5A#yEi5p(L0rr{tdwxhuTkQ{KA3_nv%>xOk6|wlMS$PK*EZRUWb@R zAK8Fo8DX2bu$|dct=9V_K+oyYm-8Zl`!G^dvrO-PF6-ujwnk8PP}m?*c@-T1o9|!O$N4M#nkF>fWL)RtJr^k9mFZRnLfg>v@0upOpDEZzd8@|~*yA&M@od@b%KZ|i zQMhu7o*D#2jl1tO%PD31%AWM(2R$>YN{@zmn)>_zT_2f|LHAMSjvB>d2uX@q)2Qe8 zFpMfY?L%mNdPbT=RqRaGrek~gknbZ#Q9%4c(rcTW%?kTjXi#Tx&?OO-+I&Gz{0nr| zda_ZZ>!2$@U^-4a!CTWcb*-U$nprasjg4F5u^9XwIRpbK3Jw=z(O z%Q|-gTxgvKufux(>m-{&0n>So^`f;@yMEIN4g}fpw2IQt=TVJxh~cUjP-{IP;}6~9 zUm{FFe(r~zs`rf6NJp93jxWZnJlnLO=-PZ>>5^qMNb4r$Hg{C?Ub~uGfW<-jkC)YY z4Ctlm*5-~<|9DxEppc-Tt9~_r6rpHYY1gT*!uIwOu+j2}b%1K&Xicek=1U?o2rV~{ zK(I4UDkBzIZO8Q39$6oDG?NV;)s!3`YM5V?f zpWBzUm5<&_JTGx6528idFmqx0g$kMuy?Oy?waUY-=E`a0M=b@LIWRf8H48Uy$?64}%GXn)Ki!7aCE?dl-W0xidwOrU-; zBF>3XnDlt)70J-MeEG(Uw0J7baE{vqvxv#A$Eqh}8B^9> zS^^pkN=T(c6sS(tcr^G35%tHTYkMb=k+PaR0k*R*n`6j=K{Ib;Sc|>TMW$_&n%rfa zl850UO0Ppi2-6qZ;~!UZJhQy8`M8Ov1!65FVl;;Bl%jOnmmI^YT+Ov-Q=T=}JOh7@ zTXpbmf-hR%fjCcG#%8d~5tB@kHEl6C((I6GT|O=C$Uf2%Puq`O>B8Pm#C0P!>xKeS zC3@rpdOKsQTq~(w+WH0LPNOGIa)>seZ2TP3@Wim@?2=~hFvB2dM2QpT>t^zY4@ap8 z8&)QfAjU^{M)A_%<9E6#4?Y|LvbpUS-3GPV!jS~?n4i?`4+kzt?Hd8| zjA`dZwPou{fPI#AFImQqlDzfN5)A`-lQ%eM(vI8p-do{;0<`WH$S&RZsZ^>a9_Dz`tU$5 zKhB3Qj(Np&e}>Vg)fnOC>=eeTep7iisjw?$F-YlVE>ueg^C50F&BUdUTJZ%z0omBK zkW}vJI~EEC-$GT{G@RjOBx6Y+8Bc4APW)MRPJ7+CQI_EsWUDoxkPpodu14^8@G^m$ z2kGqnA*o@;0ZPi-%|ROn4uEmS)p0)uFbre$Df=yLx`P9K7f66oZQf?XMaq`9kJ|7R zo|YJjRAofq2WbByw{S?)XiWi1L<&-&>Hd)eS>xM4xbiXH>k=e*FBwCkxg|Kmv!T=I zm`s*f#E-nAbzPYxYm{0$TO()wXmxdhS*IRar*k=_+cr8P52b`5`)JB*6~e)>+hHi% zo7cQrT_9}7>8V)Vt+YHuoGPRp#?`kQS%Z}q-z+N%7N-!r0G_9gTdF5;l1H}`;Z~Hf z=FF#laQy*Us?m|STG;+%m$|^Lp%1nCp+`W&Nt>n>UyVD;ZuZgeBgOp9c!pd9pj?kf zTY5&*b;6%ny14iFDV!`2A$+43S3xX3&?pTe=QoITf4V7Z?O(<8AMGQ8Z~=*AmoUTL z>Ba6N!I^xN+8m$%B42_9+TS7`0NG9|J*lurNlW`;n^lnxc0fK;wR_EZKR6`Lcd_fUa<zA?ZfNLW(Gz7wk!T-aGHUT2Rn$-= zzTklUjQj@Qi^@+Bl&%^pxno*tsI?lFmITZgo>^?@(cr9SB>HS91J{R?qc!q#p_@xk z*R8b^2=%6x43K6WV6(V=XdoM(f(uf~dXDQ{bmE78+EcOS;Y;G;j!R9Umv2RdpXWynA9~+`=NU zbWNZp2sAPzVVxhfA2t%;oZ@+=M+0|4t>`W~!h_d^B~)&VWR?_b3U^)hj-P5ZDTf#& zfUYhOh=8-_qq3qm5{Q8b8NI|3p3>_;LUD4iX4%Gqhd|3{+S3SKX#Tv@>uFm%lA!b` zgCygl9)h4RC2b_9x!lE~jc)&%a6a#DBx4hs_Y98^yO718)kAR}9wX^$f1~p#ELGa~5 z?;aFPm_}gEBLs9BZ$7>U@nYy_7ek7kd$h1i!gf}Ah!5sOVIiWW(F&Quuqq##L7IFZ z@d|ZuK@1u3MIfpy4Up^L!ov2#71Dp?;|rxCjEGefOo*&ZrA1Ptzxl{c&jk`<#YMzZ zU*4ys7YanLMQ{_K$DtHFAgIvZP*1pv1)Jkw`6NB#wc-a7I@X6p{^JKQ zf~999DlX~?PccOq+mrB52PQrH8pSP*ivPI@njK^t-drSiQ6sUK+}6fWtWphgH~WnsFX?6 zuz*@8l1C)&U5*G=8XwSEIms2mi0_7ng8sHdJ$dY1se-T8WnCuM%+z+^QxuG*61|)( zFt;>hbhF7i;`Ml)Ab)L1?MR7-Q%m64D!B8DdYWQ3afouhH=7XKbVG6j6fd~ja;;eV zE67PtxPzQOZ#!%*X2V{YzaJ6-SEYFu@hAh@x4A|QTc>pTMK%oQYdFPM#@>8yfW>W6 zDROtA$jLY(6k5nhsgpM|S4Ph2(xR(kR@!wkaK)Z0t^=fZr`&=^-}bV&`IZ<520gMh zk-E;NE2iz*B)1d+$cfvgYMc5qlLs?W8>LCO`V zaG`^Vx7@DcR>I-9rk}FDZNSr4!4&q9y1+TSOneC+q9KYfNRLs}#{jeBg+53MS$haf zuEk<1Knm)CFKuVuW%eV!-VbW!FZrOElx)p59W*F7pX2-IBsSN%H4nB5zccON4nn|M zMLQJk?F)pCWoJALckF#6%m!6vo9=Ve3&p+4xXJILWU`tFN3;rO zu}De30vpTTjzvLl77?p5hD#AC2HE>cT%*SZq8DcoHnz*!wHIdzw)E-1?bXRV|GwIS zW02oPFg5)MbC8g=Jqab~UzaYy#;U|A`!0pWvXeZJaltamA&;<=dV{iywrx0r_Wj1W4rU2iO5}sc(}~h*!YvY1vrvc!iP}1*P}KZ(gH4=k3+5X9uyOs z>V?`}tLkZbY#XDdHB}1nyV$^!`yDN(X6j6aa^R>FIV))kxZ4xzmj=50DEPdq&ee`pAK>^U1gcZdT7|Gc(SH4IFs68dvAS0m~fR z;@yNH!$T>s;UeH1y_6mWh-nN;2ZT{MkbOxn0$QVpkKLska$aL?G+Av`F?-(HuX!JU zp-hnH#=cpye$Bh7UNsschF9hfaU@%Iwf%hV823ibRnM4wubj75{Nbk(8FWi~)$FLy z333-%eR5Nb;_Bo_F3k&$m7PcHRUW4u>nInr6DqHDWJCnw*<)Ct zRf>vG(D&xU4L(Z;%>t|;RaKv6nBYqGCO{gb<*v5yNI5=uE=ga;B~1_JImeUuB<|6k zA+lZaqxtG`)zLmTcJy#+EyLFyS_#cSqQ9w}{cO4tU>=T09*x=$W^5Cuc&iK= z^2ouX(E2eBnf$Hp(0#2$Kn|F|#$wS~LP{<@fErMN@mr(je)N$QJ2SuvOI;Ld$UQ*B z_~Cl=96ou?81^BjA6CTJ^(S+?0JBW2E8|SetHo;ID`U-ViR0|6W$E(?_)SO@rmKxA zqhrAYx(ypMcl$+KvkyZBxBe;X2yIlte7M+3&c4E|$u9z|cB4FzSqcxp2G+T&W>&xw zYLR4&2CNO}g^xi&6@>Xp){j?agFOj-h9fIdJqhbDM7l>Xn}-R*k=Z=e(Vn>T=&`m{sG^azx0f5tcE4~r z4;kXV5;9IF!TjT%>j_Y_?tMe@zTL+tnV(a;9NkiHf$nUoid3?m!gm=KwYp8qAXDQ; z3X`6<(0<~{CyAQ8i)eHMOrzxeT34C}t zob%U;$~?(q2J1b;(^p~i{&@v16cHl$bvu?MSDdc)&M$Kb}XaD}Zj5G$7a7oxyPKy1^J4V6XSQNVU9t zdsV|cQ$IZ*AEX=Xq#MgPR&ICa)YjA=KpJBZ1mfbFlPT+W0aK)UK5&!AH?* zte4BWjclfD+wo0LRnsoyv0BS%#R{C8eyjj@5sN2#@YB_(B=okq-AX4&fEfK;0MG)t zQ4Uq<)5>clPfd93D=%XNO6j}ycfZbBzq>#)3xEy)xGm7kOvlVY$i~J%#|*f;K=Z#& z6a2eCGaJ)w2JW{7ngRF9Cud|~`A_OKfcY1czySQL1P1GOS-IbqhWM{ZxZgf&E(H!l zygU8zetG9V2LBs!I=|1n{_7mXR|RZtK`&a-mE8^VehKEx%>Ut-{g-h3(*haae~^DTJ~;~_ewfv{HqcFlG-*L zU(&;Wlu^(69lw9I;r}{7{T;smOi#YMk$;_<{?l~(pCJF=;rBl$CjM*Xtr~VJw7XG$ zoz!(7l2t@l1s%JDM=#q!PBpC1zWXVX0})~~r6@%_}(j}iX{ zM!&D^__tNncwq@Q?!pN8!@uSqk3m0fwD%wz<@yXk9&KUuizKPonXqj2z zvBzB9o(W&_Y`|9K88E8wY4?5}W>k@4j&JLTE(!rU6+^nO8bjQ-((`tKv%|=#f>dp* zX$T1A)zo+q(JN=wCulBSUau$FXs_2b$^XkaBTkpspQ`yR=+bvQPL6u!Gjukj7LFZ1 z9u3@DM_1#NZ$23nZ`@4vvXg$|#k0efPf)NnK*?8oa6C!w_SUlFdV!t(=3~#i&i_N) zJ4fmAZ0Wk?s#Uga+pbl%ZQHhO+qUgm#Z_8m+qQLTcc0U}Z=e2k_r9b1-ao!EVq|`q zk#o+-h!`2~e4=b1H|PB0(+;p>_}Cv{f~{^&veB`^{DQjEfzC&Ew7MD{t-d z-QkPhnyo-v12*5P(B$BmNs<609N{zRMYg$(I@_`+E77=-nQO&0nuW`-RFm@5bwnnu zMA`8?ClPaD*HDaVW!Wa?NEgktbWLQsZVg-Rz(_7LwbnOyfp&5gA|SFo<!; zXN`fy<%65tYo_IQb9MbRY)~P1H27SLuDULHd6n`#+ehI;V_-~9blz8|=_{1({N5JY zH0mWWk#eCcIG+A+K@B0cZm#CY-B80icQxyG>Ym7Nk#HZw@a9#qnYMsGXg?8s7~XeT zNY8AiV`XHMHoP3$Uw)iVe&;3Ci|`M2*A!1vdmF#O#ACfGWvE?Wj%^Z1{?x9>0)wj% zKNIPQKE}#OZX|Xs%(xoP8IIp12YkhX7p$N#;tsO4#WFwhB0JTb<>cC6@!&-N6uI_w z0UFsg5KL@8Zqc3Nb9f_4{`!8hBj**isi`rC`YuU9yfzLO-AlYQ>$jv#>((XHH*gzI zM9v-H&F(d}wL;(ijRM%y*7u679?tw44&i=H58oUA4Ti7NS43a2R-PUY$t`i+?E9o8 zp>m>wr>y|2tPZ>snA6-C-JBVb)e)&H~m91~u9$$3uc>VZ#^mvBV5>|Zr zM(xZEunZHhsh0>u9VMA8w?hv&M~gToTm@(}GOHAwbCRd$iR>WF4@7^KFJ>&0w0k#N zb$HOjN(@1@eJuDbTj&^mPUp2E>C&5m+(QyA0Pp$I^gR0sKRME9Y56Lg4u^BNjMG&Y z1qRapim`uqMwYn$c;}2Rj;&fyXsxB4W9Pn`|79;ld?flNZ~K0_4|(wMcnlow7W^bPbz8t|6Vq?A6k!`L9wSr#H1Y@a>#V}4g zg+4z`R<-QH40Z4EHcsFKG8sInpaMa4MooB&wG1Y>DbYub{K195H_4+gr3u$v*dFxR zqvIp6kI6u_E}wQ4#>dgd?6CbV#^7w1m`2U!xypFD)8NHUWk$3w-+!BCiv1?{;6fAY zuA+}-6teMs-~#6SwyS{N6%R3hrniI`Vp;!-Qe(Dl#Egvxr3|wCqP56&aOIe$*0^J@co3DXEkkm4G@GCeulZF72j2OWl30 zeQ>aSu7^Kv7uy#B=OQG|;TCeH)cevcmvl_BdaAd(=o|+ukW#z%*k_R2spjX&M6DF< zJ9plwi`ivQko9}^}T1hkWN zW$$e;1o3s`BNU!EJ+G8rM4A7IV7*}9j=HK`31{+y0Qjq``RE^QqJ^3XNsJXIlaRGr zJ2U9cRArm4qaWAq)0)W}1`{cC(T%P&)bx111W2x1P2J=@vaXR=X4_S}U-s&-B>a(&faNG*iC02o-YS3Xxi zI>QQM$Z>Uxm4DBSsHwsjkIadMcdJ)Csggl6T+Pg>g6f|nOkMcJ5Bj+7nb{jUg1xmf z@pN@rBgsuSQaxGzIiyfQql=ZDou!|c3O2kxJl^QJ1IrMZD8IT&+(YMskPl9_YH?h^ zames{kl>o>KB!xUJ~|-N1}gK3S-Z{Tsvc&2gYHD2X0-&F8njxX-BNQFT>5O$CXyk$ z=-Sas^BN1Z3~nOR7%yMR37%VgYbw1a`y^rm5KK993v`Vn;}>5TNX(e)`@DTeejnso zL*wv(mAA60d&~mOdyPJS6N%6d`?Lva^-m{k@1PxBC@5(;VOg-_qi2h6ov z^3&n^!V9}Oly!BFPI+F+CjbT_0@bvC&B>aZE{0$Xy4+P2HjO4PV-6SBc`!{7Y8I1k zDItd3{U(xb#&d(p>!D(?C@#P#<(iK)FlGezo2d-5&~nyVA<}Kzb@O~c#2#AYX6J@N z=VMx4#HF*CNJk?tE4zK1E%H#mR1MGFORHL5;#(tk=JAqVK>2vtE$0(L4!{QCE6U@t zUM;bU!+2&nXlNBfuqv(HKX3`%*Rv3})_(oCx*jras=6a$4|aLe2Y!mzdW@W0>H==! zmyi|~2@dMhu%+I-`aMgjq{Qys&P}FjIY*!9Xm4E^^NVusk>}4&dA$Qo3!H@nQKZK3 zQhD71jdUT4ru%Q5sB5gaXT^qT=b$){gvsxunzUHO#E{ocNF6vQTZ^i)Udxou#a&5? zsS|Q`n7ebS*3%kYH5#d6HG*F;b;~O3_72%@m@Oj@>_-(T9`TJdI`*R34$zb&%l0m^ zHCO0srygzcLK~c;O-we;eh}4Q%B_*ODnNOvJ54_=vMXB6vy@G((pOA4T_{nvr2D4i zDqUuWyw}hh6KOA%=a!Pv5m&rWV`v$dVIhH+hOa2JeLPM*KNm(A`25bg7)ZNPc}v(g`zCl`adV}2VFE&Hh@%^CL+t4_^u) ziCJArNh4*UI$$zUD20L8UHdhv&7Qld{OJ-Xb7Q3U+H_!mRRq9dvRK}E0xb&!#z;MC zH=+k9L}y;=Y3B`>4_=@TVa^A`aU5euxRZ_`AC4GvW+z@zHd5cLpUskFKWKW_sb!5W zbG~A~@_*vv@Y{-JI$pcr(0P^nVlmx_U|i`>c6YQSO$(VU$03Kn)hl($$6s)9mND$D z8vmu<1d1JI9#yA*n|f}GtkET=U+yb9f2^7z%|bK0n&D5E+iQlBz~qugVNEk7BEncX?GXe$Q#w*3h30j>L9d!}n%EeR^ zk;3aH*+^X$bGZa!iDbt4yDLW#L$PkQu0{Cjhw>-hLM~6ZZb&%uN&AWY;Ung%x>HLS zPRG?86culvx#6U_+e+T773A-T+CYYO9@HICg+FxTk}Y~35!v+D-#S?Mq~H0-Q@@=o zK;(pP0Az<3fE=}fku;3Q2Im)9H5!roLy8ejaLNS`rG3y!5o7nP`-czepM%IiEpDfy zYFp+Y7t*4ze2&*P6@hTda?o5Ih$$W^X^TItBLC#(O-IQ5-D4WBwQ;y+VH%xh+m?oGTY z=km`g!ikdIFgLQ3;;4VS$Nd}{8HzPJ*<>vkb^<-Bt98UYz!{nJcy(+xFSZl~gpZZ$ z1qPfO_+6X9wxo0%YcSH}z>c2U6(}F{24Hf&Co}bV*J^6}l0{2Bp**ySl?G|O;nZ?Qw z@9l||M32VkG4HGj0$lt$NU%wT*W9$;%gKg((@B+Bwh%Xef5S`-8Vyj*D!4U~{Q`=26P`Q0h~2Gd!oH z(;RNwje9TNWH>0F;)OV|Qe}I*JAUfcmyWJ5j~x%lAXRgB*Xx8XID~z7#^P_ACs{vg zKL*gRu8xhVwBLtgaoL71^XBZ;s}N9RzVreS^ZSho5t0m(!em)1E?V^&bVcI$F>TQ` z%#nX+RkEjLeJ9e^FCl!BtV2`nn2B`nco?`dgS4-ae6@3X@yF-@2d0G703j5q0cX!A zWX)%Ly2Qp4qf*e!-VYu~J$|5B$=24LL!4!9wPfXf^^)s)wGr}YRY-hMU&X1zDoAs@ zc%u5Q`F8Y+A#d1`594O;S7_fETxFC z5|UWzP?&yP-c4(9aWAcAS`N>3(Acy*dp@2|Qe2!p5$8^J+r_ymw9M6Lf1Z_ODcBM& ztRJ=Pge*DhOX?2H28&J+bF6kkW9PMRNH2*MBb4%)GoZa0OfAypYh&PdtBx^H;C5@y4uAb3rF{dRp?GQe*4F8tNktkCZSj+wOQj}`XJ|Di2vlLo+& zP;trnwUMcs^)cLa7;@pQ2EvTfm=94cWLEbdbPq!qsnmt++El%liaA4O1Ttp$%OM*5|-$@wcFg5!y6;~b<5%k1yZnu!Zx%Q<2!(+vM z1JM*dn=Z`x%G??ORUn%&+V(vubl>kWaHJJ@oStd!mz&?&*o2f&23MxXA+7g?pWuD4 zOEkKX2q4?Fqugd!~8djs8pO9zgl zE^mLYS}lnTGnh&7x*4ot+UqCBiB9k@1Yba%53f1$Ue`C$^-{wu-@Q;J_Kk&7XD|h zMzOE~n*4D@SWB3*Ag<__KK-_J&BrJqcaE|$5PsbDC${|rmVH+d!CdypVH}Kan1ejO z`>eiRR-d7t+fLLD=hP4x&KZ%8k`qzqBXfK|pB9 zCzLvlrcV)tPKgCZ#-lKP92=XaYk-{MzEGEOomj~xQdr0?MZXs=93D`);`osOVC|td4UYAWHTlXB0m+u@3acj4Zw8xk4?xyu#G`v^O%wIU)>_LMiF5j2{9VuQ} zhG^#G{6syrhjOcH#t@$ix}pex2+R5^bh#^nIeF;QqG?WN#cVz|4!JIP5M3i_S|GcPhvfG#F5uJ~KOBK0mpbvd@j{K$npO`etLQs-%4UI9D>D zF5OdhPhnDk*{6mK1pe`)J7Gr)B)+nt>QBIG$q;t)&!-``81m#ZXnv(y$7jYuCGAZ=1z}Nlc61jdzw`o`SOvCuj-=Li zuN)6Qh1E_z!6eGldvX>cf6?-U3}sHyN%G`3{Ji-xIBp4J4qyqG18DMO4)CN3M_6)Y zInShwQr3o~Il)P}DL;kaEZkxdhY(pN(^v(jEFOvE`6vaZdEDZLEIeSH;^Yg`DAA>ajl$l z=E^pK+4W7*tT&t_?+LPqp^JwhG?qG!GFy8;u1MTN^p;<%f89_DeWyN=bo?NTdABzh zah*P?{qpa&JFi82@^rI2W1P5r4`I*S{s_OrZYm5g=s)sU)FF<*6&7cXS>55)I1^FM zI4^R-*?Nw#&IN#rA92!Uw4x7G3og@NQv1TTyeHs4SnkY#0yIdb7(dQ9wT>$N(bx~> ze_;R}wbWzm1OrMayws;1`964dhOMoLD`0#cy{P+uWMQ*|-Bl9`fR`N?N&%843?<)! zuMyS&I`fm7IufBdf{LdtsuoMg0x2qXQHZ2%-r7}&I<&{m2me`~7 zq|i|b%lt>ehM%CjfQcn|`wWAnFfGF+1iVYA?csS2mp$_2MS`0ogQlf3wct0+F|L)D z*t?oV@vXtngA&nQl3`cfJ<4LQA52j6`mS!g|#Oa@(GryiS zHpzM$Q&ttr67iXt;g|ZaJi4Tgo#j$wSD|$a)o?%8F;r5_cCAAgod;0O zceT%n_pM)fMpwF|8_=1=!iiP8&lDtxQ{v2dg2*_;!i!Xnvk+I_pj>y6OojI!;317< z!kxNxC0;UcuK1^^S8=oB+-FjRBg^A;n$j>&gd=}MiT`t``-yKHH*z*AsGMbcGkPRW$;94@WT}wL0Yqu5z zr)w6xez;VN%ZOvyujIy5Rt(~(3l}7jr;iE3#l&L;9qJ8bGIZ)55edaWDbyBgk|~t9 z537YqH0lL27t+sWPHaKAfkg=*JRzu=7#`Qmez<~DrXjd7H4*Q`p??Acpm{cz%4^x?j1)FzA5G~gJ(eH-AN_RX**c-(gSPPxbCm|PAlrJ&_yU4hh;N)UGT z{C?(cBY6t(hBBKJ>WVqZl$>>V&j2I&HJt{vo36#V zG~!KVwsacvWoXrI-~Zkdj)Z*rj>E{uBYUvqq8SUB%!GO&3-o~c&Z6BhCpoq(p+4Mg zt8)1FRy+h2%N8;gB>s(c0i{I=|A|D)E{Jg@fT{HnH+L4UZ#t_=DL+`d`AqDFo$&izo_^aFbP5#h zyI=qps-ARik9F(UZH*+{uM^Cq5zd3w7SL@`Z7XIp4J!CpaS?hh4;9B!uUcK+Fp{pS z-N5E0>eat_{ecSViN2A>hb!^OUQH$OXcRHmBkJ##6#OO!U3E@tP7-D+cu%_(lGr-SUbz3#v*Gi#=hOOOK=KB z5_0uCU~dG*u-dqnN232S6_DcCp*;WIjAo${5rjiSeS{+yMgT9T8LbIAJD7uzWA~ z%i2J!T-!G3ntt`jw5*48YeCnd^HVj^bZ8$*9F7I~)b>;X-PRn8JMra{2@;z{%Sn+| z!maI}x?g;>6GfN*eufo0!#|cX@OPTVe`o#v9~S&E{AYN2=Kouy`hUr8{zankuZ2%& zeW$;aIQ~d2e{Ayqgk<4A*rNOIWY#{w_+Ec)9{_O z&|{18^$WY6;u7KxOp8KgrJGpHMmyi;HNDzMsL?v?g2Hx)Yo4W$I&+<29;i zOkEtN0UTqF<>^$fRIzqzop3SLx!aRg(!CKoOP!G`wkxfU*%S<4Xq}&LL+9XbXSHaJ zTe>`u{H>2>$RNCWJ&`b~oHc@W)JKG==dX{gQlra#gmi7m`R<RUin1^8HJcCvPb|MYOYvFnCq{ak!Id-*wpRy#JH zcY_U*@GkA7x6=ltVeQn7Sw4{S;Ty^F`%egn34Y*l8qs*W{`7M$3y?0WBL`jj0(UD3 zFgg*5stNav9m5;{m1}~36-ZVCz0y$8_E3N1TOzwdM_un5D$|v0>_Uf1iuYEXPZMSV z=r@x`ypH9P8i{1-bvszsc@E=^h2E=VduDgu3k{zVkTnF1I@yC@Y^iTxKl^c@=g-QQ z4ROK`xroPE>}vRBrn&V2V~N+=NCn<&pr1Yv@68Nbp=2QI8bQ~*2E;{a5WclLwgG_X z(&|Uk#UH;%k3m~gGPlol(J;H+WE{=s%$}h2N5bA2kp(jnSF~X?tmP+J0=I(4Dq2F6 z$oFDJMtOnXL>(Km>9kz<*j9dd={M4Hc7#9>3LZy3+l5e%!-dYR52Dc%w{Wu$J%d8- zGa)tAJR>&4CRhMmxb)DkXoI$NrPKYk3RL+3_$iV}J6@#@GyD-R^%i$9^Dtsk(?G)8 z4th4Hj+6Zx4K%fXhO7)5*&!5Qy%f6i(_S#@3Ag)uAI_c*?+m(#Dvva-1j!vSIa#+0 zsL#gsp`**y65ocE7}e0uttGp_I(2V2TXdb5L(Btlz>?LdC%%HB8SoApG#}ncxO;(5 ze>M`R@U%xAk?kfNC_a;hm|!@YgqUF8oH0&RpSI^2=VP_!og5Fx-rBL@LNcV_c`g8N zh0ft&myqWbB?T8ySAwQPCWoMoYEEc)7-5gcAm)-v&aNi!0(=L)R^+OneBwIon0bT7 zVIq}Qnu<#E8+}o@vzryoC{^SNbnyo;mfsp6M`9_<3pBA?eWTNDt`%a|lHt}!xV9CXJk?agMgIt2$bD6bSE8?IpT`0 zX-OU#f5=chT(k?9Sv#qPVqIB&xIcL?bJYcP6f@Cw5^(jMw{p8Fe{qvENp|?M>wfv8 zJ!a%v8me zhI9AVOLw!4$V1c=*l$hPL%VJBz!29>mUrCs3@eBR;clrJs!$6D%6bdScF25vOn8$C zTds7az$Orh9V~r{yJ81(O#`|u&E)J?;sX^WV}_y?Le5Y*R8b^!rS}1)bg?Pf4;Z za2G4B2OqW!G+pL;I!W zE!Y`dlgHZR08Pvl$B$qHN4^)klPt8t(Pwvbo7rYgT39_-wTf;;%HIhVhAkg7VA^7Y zv2nbME8RDx z@X@zDliDeSBX9XrB@x-4yCb}dN5!b*ee#I^H+)BE*9`KnV@=295 zjLsE`GCdy@t63;=*%g*DCG%-J_S6ja!((=Ddz=nol_04;!sGa z87QbbditoqQwFW%>1MJ3>B6)=j{4`u+8K^MbhZ%9D9-cl0y%jL8qzX(8yk$8Al#I4aSDb7ese?dqj0j68O z0kVDntw#C&N<=>glJ38l2y7QfH77FotHxB7ebtIO!1Tn1%lMnC*R8&QyvL;R=AI~G0IHmR)w@@r#+(VJ%9-*m$-y9Os zPdD@lnYlb9scmmQ&jliIrLu`mLs)VrIX*E#hn%}L*M*xNAUEGwO~g{-p`^8E?(~^-k5>o2X5E9Jn+9j15;&){%UUj_qhXqJqcuG zVE?xoXl>2dgO+$7JiX6A+)m&o!p*ThbUho^t}__yCv+F)2Wx|RJfqWmFlz24=EDYtLO33+UXqL zmqQ7yuVT-;?;CfO0DaxxkM+u+^*xBF0?}^`PGL_@Rr=Irp1)q6onbL(@$@dL>VEG- zFR!{W0|c?-xwi)7BuEFg(*1IoS-JBR%9PRqhZQybr}pRA=5HF8P_|0wn$|;A!%}dd zVBOek`j5PpWdY5F9h|Zmf1Ai7)6m8_!CJOuXEvoayByJwxX?z{0INSL(qE3;T%G2C zG7C6!25275%AK+y0x$aXk1NsF_xU&aAv?j*-;*_gnX7xjGgG#hp6EQ^&-72?03aWq z*Yj-=MumshB_=#`%%YNlv7PsIY}ub94v}rE$3FDgN!^I~je{D_3imzyQT$>9zGW0W zf#BCKp{-Xvsx6)mhEHU5Y#VgX`q6%2vg`atDP>m25F7C2%oy~%-VWmla*33+{ecp* z9jQG?`K9GPDMomUE=*vUq8*Ar&#r3F<@XiRvO8E-bk>pcmeJK9L+}Oo~O(O zlqIEU+Y!9p&K?HUuQuMUS;S!$EBmjrtxcV+<4RXP?L@M7)QpE6y+ zz#N^1xBFKSosWxEt?oCrlV#bm%cl{pkHAx5&4Awu?#^Cq`JVOaFHAyg$VOK7Zy2ZV zpRc4^AJ5~{a`k&Fmc0v8TUy;0J>5O_szeVgA}Iy&!naPo-90x&07e>{J>!_ykH1)c z@&yI(ef~kX_kDSK+QP$aF}np_s9oey2-UH9gfq}}pITP!=v?-Nnk4r;(z~?C^D{~G z^yTJaw6*bF(pdAnr7T+NR@hILXBXm||HGx+DfI?_#S|*hwi$_Y3H6oiHlXbgCP*_G z$xL(W+jkYZb@9q&B;zZ~sEQu4FE0#83-TowMJ#NA@u@gNixP6$oqkXRap+H84~|}3 zCLNAElx?hUkG5-+HDOVqP?^USkIPL~3r;qP3_M&GVhjIJkVatcL2UUl_b@hR7}@L+ zhM!Uu>9ibt-6DK^f<7#7pfMMHl&5Dw*;nSQR(ZB6%8%(Ey3u`wz{ruf;9U-=5gset+OU(`1ygeIV?Kk0QN7psF z^AX4-1x8I-3xz?tg&|pEqW&cdX7Q;n7vV$bUzD?<)~CaZ^h{wiK{i$=fvc=A!dd)E zJ(SOGfTFRox!TN3X1cieFszi%73h^ycE(e6b`Nh7t+Dc_lckbL>33Z3&usCWCQT)Y zRnt*~ig{a!LD^JZ=?B$znIAdxl|m#J8dZ+>l=caO;`j$TY<;E9D7mG%baS$vb%a>^ z5Gn!GmDJmL;sXVb@2B96IqYD;DBOhJ_P7q1~Wp z2D>|)%A_OHq~KykCw|5R;Sb>+qHyAc+q7l;k37^o%LRO>kdz-)MJJ)LeDh4@M7s@N zE|KL}2D3t?#yI z0*!Y{)Q->t5E(K^-iTEQzQ|^vBv?bUa?an^i$lm%b3q`nfLKQMizr20s)ULjb_Fo` zoA#k|Or5albuA43MQ zui`V!$_$*0Q5B2tr3Kq0!w!@9^K;hVccFj9b{U$CV4-1RnyC*}9ro?3*A+iyX!$oo zx!yLJ(c`rQuco2mZJaPqi3`IdTvyI->d)=co?r^bl_>172CZQRtWr?R=URghzN$P$ z_XQmO&EKM3J4+oxr9DUV~(mXN2@2XCw~Jc+Mn5h~dZg%lm37j~4I z33^6EPZr*>3BF2OtKr96qFWt4f$XVKf~xFLT~CrE|S z2|ICqlyPBhBg8<^X5(OUvcbz$L8-q>+D0aeFhR*hgCrsS$dsCAA}d@y$)5nKR=&ES zLC_0a1*%b|6Pw}`A%oF;HtLhfAz*g4l`&Z__f&#K^*a9g{nNv4Mp{%Fuf(%Rg(n)T z5Cw7XNd~pu_P&q|N=f2Xi>jhQ*jll3V?$H}V+x1Gn8&B1k5`i!#=cpa>*N$U3o}RD z`B`el3~WqCO0$NE8JI&FGlvFaP=yIQ$7YexPcd_cql3dD8JksVEIB) zkho4$0esV3ZX#49mlY4TCkwtGB(Ve3!#F8MbH4u*plp5n&^W2lqt3Y+m);WnhiNs9 zndRsDQ0WQbbytyK+LE5k_$7D8Z_Jl|7;#L_@PO)O3d@4-cA})!+C;_KUPgXdSLekx9BW3EYGO(H>p1ZL#T_Z~wM)p_@ z%mdHqPy}789&32cCT;6yzw0a2W=W*Cy|GEWn7Pe zz^-tiTz?iP;GXXaZD#e6a#E+q-$jQ-7l@pAirVz;MMvxu;-9ENzk~{0Q=sYGS6WHL zJC(&59E%OwlulQ=zw$S&X;6E-N42PyNt?FP%yA508iYPDhTh9_>E|K_%;84Tb0mr` zYFZfKt_Cz6-U7d74~JUkjBs&0xcUJx2W!F!jaz`a`2dFSz!bWGnH;h2JE&p5 zMqZ|cMsuI)iDu{cryB+C7jzd{cNm1zUT-0;Hqy_HJ{T8sHt(aGX8_OU9VnVO>irTKi@^yh+Nn=S2 zTt~fi!-^Pe&M#AHWzUjH7zftFD&`((-JWZ!10wRVc|9!q~@&<2`wUg zH`djhy{FYbA3&Ea`Rcr9br%mgJ%q2luChj2TXjfpzVTksb^X5-2T!D8H0{lpR?(tKR^7sno%-VuGWT)98>`55pwlHDxB{M2L}SPqPz4Mp07AiAvtD z3`!3!vlJKR+-MzGy(6cZ!sev!2d-r(GH-Efw3OI(R{1)snVYIx`Zi_rP^lE_R$Fxg z<EJv+t#3IF1>lJFBN=MO3Smls=*6`s!<3X9X-N%@Dl&|$ zw|w@Lv)`2DRV6i(k^l?=uOZ$?0*pXMi5-ROkG47s3i2S0g;82kmHosTE~6xqZ&+8T zZn??(5@)Uo%Z6qB>H)}&NdNhYE7R7{pT+yK2HJ8A%4ucjzX;PXQ;DJ2&I62#RFM4> zKV0mVtG-v54x{%F=urVS@99yAsrX6V;nKpDI^eWw_L?%@rO$bn~=r^tQKPaqo58+vM_3m^( zagS_aWDEuBfQ%xZ5JJMpYd%U|5DBsk8@W)?X@v(^#LdfS)d%)@74S0q@8vbYHa`%0 zM|Lx!oIqN$u-L?_Du)S}=Wgcy#it%vh{ak-fGTgctTF zIc_|&dhMODBonSvw=llEiTe)?6t2kCxSe5;<0A)Mr3jRkjT5!=^tjV5_@l$D(H(z0 zqncn|<}?!EV_P+8+7l1}&AP!sW`^xRgoFvrF>#dr_;#nl-SNIr0t$X&SFDnPQEcM) zLYJ}mEjt->4~U&sf|9n~45I@TXBAx^QiFidU#~nPI3Kve4zp!nz`o({Kw1lPH%SqKP9l#e~8V>Qw=h zEBxsF%y8njM7vR!mn%SEP(l=MiSm!GM$wzC9+Phqn_*Qwy5!EJ`)HDmg;R)M7%6x& zpzEY;IYA*8iZYzGI8ulmrckU2*1Fnx##XKUxLMWh?x(BmE!eugvV46yXr2erIec}6 zoK^evxL$`9K+X6X!B#jKp7w67Fe^lnfu@qnaiJhjW?A&S4nt<4;La|O3}6hINU^J= zotMh#W^ZuQm(wPa-zCxp-&?vOar26zXD>qp(50+BVQ$wx>I^DX37Qb|j>I3l|7?_59x|TY=c)~TWRP0<4J$p(_Ph1 zyr&|lima_~MkC!2>fmMVka627Gm4%Tf)b`j?!;z}SR!S?BGW-SLJK$-z+l!G$RRdW zEi87-`kF-rBm`OYmPF=9+(#qeB@t?BRqQIVo1`sB6bgQ|=;U{JCeP%#gFSVA&egBG zQ9_DQCTG$^)8gQz5+|G5&oFLI(H4Pe_ZS01zaX6lfSS?vypL3cx@^0Gf|9A%@^tqUK`?gc^%JgCB*~ zEy!w_MpzBJJDtEb5{=?utV}CY!=WQn86ga5tYMM`Kv>Ifnt+mrNNoXVV;T7&PMR1c zU+d& z2n+s%`#5DQTu&<{?x2yHsefOI65wY^o4_~$DUG+p?mTgMS{TS?4Y_dQ;Y$GNr?=L& z>c;h2jnU-@x@(b?C=fY=dC&;sF($nDurY=(2@#^Sk$TrjNF#OZ#NX;GBQDA>p^%K{ zZ4XKhLl_3_Z=mvBdl;-Z_48f^LrbkIBtgzym+`9y8r;BT8oKpdWhNu{zyxRL5 z4Urxc+xShpE^0jj@q@@ILz*Bq`+IPaduEtI9=GL@t=SGf0~su06X!0syejYw&1W;Vt?3zJMmosZHQxQnIwd^8Q!YsU#zIF)r+k$F;KNq~UWB@xwBk^{qRhZfK>Z#q!ds=< zT=jTJ62sAmni9wq!=;sY_(aW+`Y~wG|VuS=Lzt2Kc6ZpN#TG)KCXYoYQ@m-DWx*`MH${^3}?;<@}-K>=@rK3!f>< zSC5UjPK;I&4mvLDI$onRu68qV48;*;!Hj&H(Xc{1_E7<`Bnhi#qN;to+&e`Jy5l7} zy`O6^9g==3o*F{rScKS&eP@qSfnsF`n&2WeqHco`#@tpxiF=D}-00G;w~=3)*sD)> zmT=Zadu!NeG-cvPFfAoxZN4ycSa;LmuoXCNqz~z*6HS8X_co@s231=1&pg z+UkHvrP=Y-sct<#H(pw93Ty`L9I`8R?P`kA{K%$$B>)_Tgnt4<`|eCLU(xhCj-prX zeFbI#@lS+M7cj*{=Vdu)8+leHfVeGL6gu4b>O z2d>ReB-SAek(2c9tx>Wdl^aYAKqSSEU%Em>5VEq9U(?IoaO4y*kA$W1%$|)2mh%4YQC=Nue zoD0bRHlr*0z3BzQ;;qFQHcyx_4T#D+9&6nB+vTv%q=R?nMwrk%9*2%wh<~dWE6@_U=u0@aZc1>hnlvUsN{_br#g3E1P_izqclb=XQZ0zShTVbM&=NR0hA| z;4#lb4regOT#&Qptd(NGJxA706u-p=i07syHxZL?u-ey?7R(!>GTKCL9y-umY7v|_ zx2}~y#hsKHEHf&_kIEM4$#tlTJ}n+D`i}Qb`q5sa8~h~RFa;^;q~py`6Apzs@ut!e z?!w-dX1m4qJPoDmVgFAi9N9^H|Ka}ir)Ll7mhdw2DL2|$C%bC3F8G%-U5hRSoef-{ z&s~YtQTsaX(IEIt+t4L->e?RdQ(gZxw)SK=nBeafud{>u@eA1*51+?UnzdD#n_(Ag z=MFgOIi(=WOD*z?`3P4sPysEc=^ilGj+)-w`u31tp)3MS}5IAhow2B z5pBO-%~cgX4Xw>TsE^o`7cW2_M{8Fs>)!TfE13TC(4lD=3M{tvJmNgh%)KsxhShg@ zmRhni;Qegyti_tF!jRz4b=upe{w%oVWKg?D_TG52M7e9}o8KD-g%_z-j)poptIVw~ zto8RbaPmx1hGx5-l#T@EQwiRc$N$2E+ax%8JnOgSm_|^$UO-}ZSnbhhc0-mZ&78f9 zjy`e=mTrepZ_!2csp36$+bOZ!%Jo=Q9N-#U^O9_%*=V5ngtH9tT>q;zhyN0>nT>&k zmWAz`*vv}Hg3rdpLQBu~*TCj~rknh4U^658x3cBm0Grtv{xP-=!@t0Mnd$#qR80RF zT!(?>|Ax{1i;M{l9Qj{`OaDKXve2=R{#sA;k5PR76A%9nh^AQojgIM}|6ln<5W0E) zh+nr^8%zJ%5&t0RhvokOxmf-?Fis5r8R>`l{{?(D2TbYlukHQEn1{^&p}qeDacBBB zz@3Z!e<6_NZiD?F0vR_jw!&YU$oThgpZ@}Hmi50iq>TR=^N{)9F3$dI%l>}=s{XZ! z`?1OXCHS2EpX&Rss~?&ELu>yB0?Nq2%L@bP=;UCmZw&+Kmi6~9G9=&sev!9LG2{L^ zp8pW~kl|k~Wajv9AgwX}XY9lOAfDFHc>i8c<%TJP{@2$2z0&g^X}$lRwf~>Q^MCPn z)^Twq-MR*XdvJG$;I6?fxH|-QcMTfc-QC^Y-GVzoLU8vWxjor*ZLzV>F+~dBsdo2_Z9wm8^g~PGXFlhGte{s9ftla2_h@Y_gm~w z6GH#dYk`37SV~U5e;57?R{zg;;U6%X=?5e5H@86>mi^23rT!Yu{oNh-XEgVBci>+` zs~@ZV2YmfDApdd){xxP|V*7gs*q<`fw?*dcG@>>Hij)*#3@)%=9y4V)^D56#t>g{yFmg@p=;6uIu}?I2 z4LkiO+5d#I-|7YiNb@E5T4^Qr`nQ+=`gdkR_WJu8e~q4)f6vd)@^{b^(@*K?2crxO zUDm%9e)HcQ=3CnDOa3*4`myByFSh>CVgE#o+Ta8&-`Ds{5XHpt-yxWRf#q*tD5jq= z6w@~gB)?DNzDXpl3C zGW`wpZP)=7@_t;u=s#4E_z#0fS12&?4A<&N%{(tfBk5>9G0`l>G0rUM>%KS_8 z^CxwG#}Xj(&*0~Og?B!5FR%x`8)W`WVc!oX+~D2-2DpQT68OHjUjiJ~ z--|mk{VneOjBgmfsWb3L)BNx1VH?(HKHr!3OEB|edH;lWe;FY3buqwlby326<>;YB zfZKtAAbue2ml%fa_m#`P$GM*&%(wI8Kb-rW(l)HIzFS|2o%xs8<;VK|3G4pS?f!># z-4qxZKtPb+FD%6TOW^VcWB)zo{ft|_#j-zUjQ_O;u+a+y-}n7q_e;d`V|D+4bqs%r zD!*H|i2ww8^nF#o1S+h*Kl}N6Jo_1_eB0sv>KUL0>@X18^7r-q5~loE-#_3QX+CAVAQ|sbg}#$%PTBD zWs|?e|35!e{TDF<0r^Y>et#m`L82)?iGm$2l=>i!Aael)-V*8?U4y5E=fOKieG|N98Q z@Hec3vHXlp82*E@PcMLgdB3mcmssS-dj0{c82+*gMPD}y9k9x7_FX-!zXTwEDh$TT z^fws9`ZEGy{=qhr-)C#zJVpS#;euuM-O}Xj|2pmeno52m`X6xd+hTYBd6~TunAqm~ z&i)deFfji<*8M&EF<5`bC#>J!19xl+mGz%Y{g3Z$fETKM|0etr#r*6h{1aCH;6d5% z`>6h{+b0p2ZRq<_e+g|E{&=3v`gep{)}OJ>w zzl1|Sk^E2C`pst6-<$HWK5W*1i?t#U|GvaOW6}3>{xAUQ(f-d}YwhZm*0b!0&mGgx z5Z+@%<>#%q`-;V{`FZwz%+Ybl9q`?%j+Llh)dg(teq0o1UFY1+6K5xpV9l6dx-@tk zV;^1E@s<1hmED*_Pbe`(fef9ETC3_ow^DcR1QluA5Ua{#Db`Z8c+rgaiKJ(q>s6e7Uv6dii`B*f8f(*}R>X8w>T+R#rE&?X>}hbtEEkMiLX1~9_S~PQJ z1YM{>8sGx)LN*6PgL6{&uBs;Gd|xKqAMcOeQp3603YM6l7J;F#zlJJeF@xJARid(E zAEHp`#OfhO@|xqJ`N$&MpFQ9K43m7aMp+frrj%Ya%;fG74cQ~S8p*>fw72Exf8dW|3gscsNzx%4DnQ!-QZ z<8rh<*QrzMu1?B|%1-f}=!x3PtyUP=!l|^dw-VWWMS0fNzT-kWS?wmbJ8^A2=W9DZ z`Xr9Ql-_6O;=EVL^MtG`nRMfF%9PsnG|iFNT^9r-^U;SA2M3!}oe04spja&4YY77b zB5S2v3>F)`0oCUnu_ef-`&dM^^`ekc(}j3A5611sta}!qw1--`Why1x$CNf+*#74g zxtjg&WxfM-1PE}Jcru3EiN%C^@*DyJRJQxEKRIS(P!wX!c17>{` zQeIKG&!6k3Cz%VJg9s9@VS0A6QrhlzVGrQJmus5Vd*ibL7_680JGo3KHO)z(7Ikp_Gzi@Gt^j zByXEnYEb6pE`c=3u&gl9%|5dBp$>ZqQmovJ;E6gv@JQ{ZU*5DDH9xo!!MHs0FN-1{z%&ZH`=?%BE z^3(@X#U2h-5sG;>UV(<{F33LF6};;sBzPj0U83+#>9uOM!ZUsU-jB?8=-qPTdlW^D zsOaZf@rklV=Pr6`rQ0PE&s$cgY7S2B)Fl1ah|rE?ZwUI9mDv$TvrxYFY5|7 zx_oV>iiFIY7Xel>FsnDrwxg+cZLT_Bq0$?Z^cGGq-k7A|YoN5VE-%8zk_~l^!7w)3 zPevoyXM03oa>4Ka-w4nu|j4};?p{^ z0M4dJZ^aHDU4XmN1!RoNE5^RF#&DBkQQ~T-kD1F7<{3HIzMdifdZ*?1a5a3H zD3j@NUSBcbc2tsRoyiMqqYzw@=t%51hj0>$0De91<1x(pwAT+2rPGTMR$9 z4i3pMdmP1@Wo9-tbg*-HiZG7eN}Zs^gA{_FtrHOANKWb4+Nu=cxmKSkm2NdwIWSo$ zUFVof;YhDOcY<4#{lZ;hH`7U_FjXD-phS{b^U5zBQ{bec1=R>HNBzsn^8u$F*dnLX za$HNbvf`oFM`kmVl!k{3dDzboaC~)Amu>c)ai5*T89%0a*F9gC$F?AI?vcc7?ihOGFfjMjJDXw|cq(GBp81jzMGL3t z2L|s8G*t87Zn4Tq!04FOo2H4l!Ku%0pMM0-pXIp4HR)(J(aUI{m!Xc88tx6+4&Zz= z=)i|}w!V#g%&&l$zDMBmT{a&&9B@^4espPXB{V`c<^4zz)hm0;lRt6O73o~i-MP%$ zRBlBZ*n7M#LcuW`!~FJ^mAbbXjssE$L>Nb>o4~^weDm2n#VmYurS6N`$@+0dDCPSl z-j5&T+a;fh>Q~<6YpBZKs&J2}M;$vn!2t4hbT-XKe&}BA^U>#rIL-7;c_+ozwyu+2 zvw|MUkYQjJ%-X>;)39&GAUkvOG;s1}f9^#dr+E9r_(I&)1SCc=_`=*Jan1tDAZOZOqLS3^deH&sG)MqIOjtXO^O~!)+a7Nit`wuMtCI> zjoYm+&n#uF^d{C`ZcR-`@NCYuO>N_#<*g}43yYoMIyQQ_C2aaRbAfeN8y#6X(7Zt` z=A#)yGJg$q+&adfy}R(W67MB;_?^LhTRQvInT{ z=8{g_!w69a#Wpa9^I~sl9dIpq)#1#o8!~sf8-N2v(lB&%BM-2;1fLJkej-t({q!o9 z^a|86KHE-1S*tSK{P9D5?oF$)Q4fi4?5h#jSm<>5`J)mM=9ZHn|CCQ?w2TRJLWs(Q zVGTp}7OQ6;31DZ#)xM;HCluo|ix-zNOHQedP}cBO|~SH;_JH zQqGnTVE`)Q6gFpUaL^60^42XD%zkR{tj3PoOB-1REKpe3<ZWQkq~jSsX;4l+V=PArGf zKto)T8mAsXErua{N^}htn%)TINYDpxmQcmdS(wgTa)hdCIRaIX%2MYeILx_sx=Lk; zTBfaG!D2;|)yJFmoOt|@$Pr_C^iyKgo1lEy5jIs8+|xH3Gkw!~;9hN|wc@0KFwqjM znl>dD=OCe@;IPqB#XkJKYUe{!hn&PwI-@v#m!tb5$TXp&j7Pyz{jja_rG<-(G8-2g z?HN0&Xo;k1P6dm#&2QILjUkal-`N|L;u^UWr_Fmy@7Cq{sBu%0CeBQd$a0hi(Q_P= z_>Y-RA#oOJsS1#kRp{H?%XrWp$Q5GN!EPzbz-%_B)R1^cmqLX`2N*PYOm9QII_VZi z6}^Rz&wj{)Yi2k($O;dK^jNujue;9LK8r?B+t6>6nB9;`0?C9H)+N2)a`>6);iZgI zmcuLM=8u?Kb_;6r`k5w1#}mdIS)XsVaX?9cf z=|O|vu~9m-zCzT_%LL)qBn2vv!x=i_9QDO#k7fa^-0c$Pl4;teN|D;JR+a>w(+=R9 zGUZn$=x(91mbmV8)V|s=vQ9M|-hPzEGRnv3?he<8qWy>Uw_U`>?DouuXlC+8P?Al) z?_8Wy#EGZ0YZb50qb6YV=3VG`mPlGZd_6355wiL~q1PEWo5cP}VPum(5ryXyNg=#0 z!vtP2c`o4^Z&_w=f2rgSVMm*)9Cu)FiW0D6I}&RSV5M#;g>(gigb^J2Ue~6gl%Z zY9Yxd>)3||)*&-j#tfm(y$Xb~UKCGGG2Asvs-PiSjE+GU02N{u zamay=SZxP?Cb3GjlwK9+`qDdRuL@H+6lEM>SHx(dFfxPfX62^JGi;ItD!8(>9Y2KD z7@fFWt5I2MeI#O}*ShBK?xVE?DYcI+5-=TuPrXf&ZN?!lW zY;F^=j_Y4|D-J7o3g0)wr9X)>xx1hKk||W@@(S-$)zyTniHH+epCwm1;mH|?)M^~0 z1Kc$SD<+@udv_x+snz4frJ#~t$OO}q&$jo4MnO29osUf7Yt)V~V5uBXYq0L_O2}Fn zExDix+h>b8utAkdyC!Y3z)o8^CBgK>2yq*B23}^dYtJ*&mSfL;M3?mv`D(U~8V8V_ zS1yK36mM3(|)LvHNHiy&|ES2P?AOx5L&barbXsz4S zn@*AD&IStQiSssvzQTPRiqZ3E7B47?xE(9m&zK!m`w>FzM7#>da%xU|)yBysU;Wh)U-`VgQu2z+dlvW-wj4q*gLFql9gny1nz`ZPV0i%TlwfRV0RB)B;ng0^5u<^=*EQ8^!(4E)kqmT}vT7jx zK#)k#7_-fLOXMs5kgC|4yKF0(dNoZNMlL9i? zkk;**udmPuoY7rKq5?+j8vV{zd4lztR^GXs7#($g&_uOiBPQz$E%9mf!%1l6KmaRI zAYDCnOW!?Kq^yp2 z*Q}09gO9Fxx4+YR)Np?>q7qo%zj=a2HNh*O_6c_keX+H&!lHmrvi(&x)BP<~trA-o z6L>&G-*tkH0ze^rA=$L+{Vf(uR4)uSl9-?Y$11-Id}bd#&dF=;Af_ALE*fa|w3o7yfy6hfSP`#UOs$tv81>$LbhH8ND zLG$dJeWq0M#L`i17SWqt(3Mo!LGjv9jk{*>6|XOMtWM#0;YL8YGxCBtv;`(hCr|?E z1#&2Kut&PI!387TM$5K?l&14RAdzOm=n|z~^JD*cx7E0c&e~F_15a?T2v7X`8PJXi z)w$N%TSka2vs5)X30*aK@`9;koQ@Gtl5>zk0`ofkgg#t<@^e7w*@EwjW!I0)w9$r^ z*$TtJafXi}JSPYuwVenF2`qjiMJ2Q;~S(VHeEv6%gPPRopG7>!f1s#)PUK`Jo8gNuF)&f-!y#AKl9q%5{2MPw0|vKu2gDeTFm!LeX0wt0wG@K9Yw zqrNHZYRbsu1~2dJt7z^+EtGJgzAjYlirIgqf;n^HsqxwNB9v^(6f|t+s~NQCOP;8l z`P|%Db#3(wt=9KMLo@p1TN+8+?42(C=^4-xFNh5=Z)=-qZflu{N1t?7TAFj)o2Sp& zKeVj$@GXzKd((d%&aytXcU-_P{c79TofK)=ueB9`m6}p8F0qW#ik-MO#;6EzlaFD4 z!r&Po?h+tQR;nXM8clI_I3|QsO;!#dF56XH7#HAV8Y!w{O;HX&9KlFV2xoL@5i%I6 z5 zOLGv1Z{~AK-Q_rSqqG>Q zO`vG7>kvl=Og~)2uW{t(CMdDi2`n9oK(`^8FzN_WK$`(d=)z@F6cHL6b?4>e1xCF@ z&e@5<5|C2_^g@a#4>>r!8N_pch`s1n=#)OM6Wt&`=EqV7-wo**mF!vF5_GH#JGlcb zP_WU;!tv3H@!)mspT8IsWPCwRA<^fF$F#o%j=rM8-t^hi5sTk#ET?kFoS3O7bFvjQ z6`uJzsW^&L0ojwK{sb(qkx43t<IJYICil^0;GZoDMNx#k$No5@GNFRj4s@W7J{1 zC0J1dzkiv}xl%03MQLZxFoY4l9TB-74`?$z+W*v@FHt_-Qgn*H?VnG8NA@%+HL&em3zs_`L7D`FunJj)AC8 z(BOmcz5F9rb=KO`JDk@bbjD2Bycgb3Ccl6^QAS@0YJff7#Os(xeO$-%*D`;`h{HQA z96uDr&TDz6!aa%eWdJV(e^btWcX**Y^Fz*kQvmStJ+N7d>B{!lHRj>C@b2YJ5We+M zDrySQ#{MO8Gai5U!? zq(ixDw#aIAz1WwKwsSGTFF%2DAFXew z<^<+U)FXRb*%N#zB2zz&(sDpeGJ3cTZ|JY%y!X!>U*Lv-j!9acsE&GC|J?L5Lzn)#2@FYb zj!W)2rApS%ioFr>=964+Y>vwZ-SQe?0C@P~Xqp_gP}{U!7}esjKCT4+$WL?)cqCz~ z-0zjycpnDjf|vK5p#Ig9k%PHL0sYfg!7b;nn>`n};eII-(PzVe#e{jI0XgYll|ZQ! z5trhr-4iFVMaRaL72&(J2v&aJO?Fw3?9yUtiLyT~{CH zzopm-t6!cXo*al5j5FewCJeldb3lKgNomk3;-Y6BEx4`MAzp2g;uf_7j~ZSR%R(U; zE{g}K`i$XV!Ym+}M}K@^CbuEOG0=~mdIjBRgYq!*#)p-OS1s(eASf!g_BHq#8FFiI zOgAO+f`-*JS5LN9GhMt7^%o}nSTI*>qCmVq&!uJ2!~b~*$LWcMGOm@y^wg^q0@-vX zR(Fb^B!_y&O=l#%{p*sXtCj>oH z(J-$cEV|9JV;KU6Q9F*)VQ+1@um75Rhzo_mKvRb(z-nQ!$u!l`B#qos(ZaWa zNu;P+zoUbK-6-V0+J;~Do(tAWqF6Qpb=Fmu|Bf)ApD9?JtziOEH7dVlzzys}9M5W# ze2GH#WWy;I`ib5W`=G;rA6cc-a`q^qd9)pRa(=fc1Hv_vC{R-j(0U33PZp_8r`UCt z3sghh*!>PRnYAZP=~=`$!kozkKBP|pjgRUs&-S*{f=z@eN{3dFhdj)w3U;pLqB1{_o44c#B3PebeCf+wtB8R_w->1VgV>WPEY4o%wsxKwf7*H z25dPq7lV^{m5Fm``;sKDXB2ki0g>OqMU5oLDs_5n@jY^8`Lc+Hu+ot@GT~T!KBG9= zcKaTo0iGIxALUCdGdqHT;0ZFNaw3_Vm=h-8cVp>Q09CL1KH$+r2{e`}oD~rQF1m85 zsH`yJGKTlaFdfETHS9JkC@M`8a0K+j;*p3`1Y4w9@i~GCPO%xm#xfl7FOgub5JZ7D zy6;e0w8%=LKOu(MCx(x#Qo3g&Y@Q!V8+iaE^8*WhsS<+LB7__?G5~&^R%xO5IdWby zo#M!zK|8s8IKp#CuMOtqTdh5Ui#M1KsX~+N^b<0y`!QQIUzcRu^{$ea5E9AWG-50s zf_(rZMbtTYAH_e2s8cv1F6YQaiRALs3*zVK6PBcxwgQ74%m6<65rY8;bNB74CA4H1 z_2X6^258(!^}wAQxpY`e17|qPfYO&Y@{e%Xd z*Yw&UTC_xC^r~)KeRnZIy0CHFX})JDnbE{jk%H$hcCnlKUch&|(7lj|Y;!;RkiZ0a z!ZL~ZDUynV0em_fli}Hi_I)?D2+`~(A%PgaBgM=kNQ{jo0OT4w%w|Rw^*XPvq!3T)lc3h@rqGjW9N( zHAIkL6UOMfjtj!_y3;LfVr(V@PPt#hU8*G_8VnVWMu4nlZ-Cx^O0`Z^wjxi&mF9&T z7h}v~t$oy|O^7y-^$Y~|P>(r}0lwyl^{~hQyrUm@#~`pnT5kktAVMl))|RNwBul0f zQ9Os4(X;QUEc+5#kOiH$MY0r)kfDYWsw>_OWU;jk4Fl&12mBZgQ1EJQ8Ux$s%LlpE z8rpK7Km#`KlD%CTvwLpYL$fCwil^6z)Ir5OwYLLZ*HAf;L>4YquqQ4}L6Z6#>%lrh zgTBfo*7=6>abNnoMYjgtho^9LGpDUvJ1q+_b)|)JviPZ=aI{KaXI)h=Q3oyYAUWm5 zX!jfADL9K+Dz3G5)z6lPhK+;DT22<~!*6Bq<|0vwz>>*p!={QcyrqwZe!C|W61#;= zIgjCCeis-MKB6Al=+H_&Vx|M zr6VMQs(AJl3@JmfqDYKPo-YY)^cHRa;3!41ojt#Oc7?lab%XR?D5M@r63s=NH~KB< zW-|}nFu8nMo{h`66CRoTjGX|PJSM-(>?mZ9!kY%mB(dsVsL9KJeYo8}NWG0DQ_OY- ze$v^64saY6CjP(749Kcz6 zlCUMv7^QE6)eP9qPAK~eNhpUHE0;+8#mzV+2}*+E6Naf$J|5w61XEM6Qm}P9FBHVv z2L~wh8@&L0Fn^K6f1Lb1n(zt9WiX4I6eBQG-fVoR0f=^3iNJ^2rfv>{h^I1V8GgNK zP>$lmcEN_(Np)vvXnPo8Ku}iSfpd(iztT93kvcIac5NmXfac37dd*y62cVRxtfKOfZv z8bd#^X8MElGP5Pq7a_E_H%%A|bPxnd-61Cv%SP0V5bOgFSc(Ma_>$oc$+O2f?rOup{30vJ-=x7V1EL$#m@u@T zW!*a6qWa}Z;C3*14PGuiR|MW4n-fA-m92C|3)zO1gz2vj7qjwffS9 zMW|b=F_X8_IB`9NyinU}NzmX)8?B3ycncehZk}+}n&JG-;-HER)BYuli>us`zZ6zK zV5QW+eXckr9c1FNgk+VLy&z52Ki87+DMzuV;FPwdm&si%<6OjpbVH;(*9W&))!bw7 zLRt7#87?WZX=x7c8qs0rAq*k>bB^8R8r&+NOr0>oLc)ss+1zG;?e$Aucb~~4=bKw6 z953IMtdH7tH{K6yXJ)>4(x;YEXXvU4uriu38&5W`D!`@+YBQ6yB*m6yn$cA@vVcajUn9|Q!8^ic|&~%4FV=cc3L(zf`6y-WnyEbWnlkVE?ew)je?aw)u{?lpMIcPbU{`<7dOiZ*)%)fS8*57_R_}3J|Y=7R={)4?8 z9DmJlG7hMfNZJ_wTdl-i*CY6b(R_2IS4b}=27+rLt5n^?K*i9y7ny9qs|Ihz7KcwN zb>xT+$)cx=IoDdjmuJ>?&0}(eg7at}&+ltH4mUe(ac1*7n}!`lbVN#9#C6u&y`GOE zJDb`(pN|&kGWZ_$8gCdKV>{dKRxXW!PUsF8_bL`{7{m5sPeG2Hbzl>%*C9p{t+!-| zpRZbXC!alThuhnk4xT${>7JkNYh_-{ef4-g8k~^_Jz2NTdp{Pvdulaqg~d5q-FlUN zaJ|#N;N79{Wj;)a&q>?<_;Gi9i4ys0q`Ecj?XJX;hjH`#Q_bo4;_Za@<3{f-T8Fde zSMyA-!roIi<$(Dm9uheKCh%Rm77NVkAM8j)|yi7WAiBA2L34uqC4x=n!|a+;gbWDMcRD2?Nz9C zCf@_mH2xLoo!U8eHyripX{Y=BxcN3+=lyd`UcFuezs{oKS|{NuD3UN8^uErdv%+n!J6=$wV)_AHAaf>z6z*PDX0IyY2DMBrJ~8Ig z9aYskdwW~Gz`ZxlU+GuVH7;Pv3Moy34Lz+ysHzmC1tZaxw0v&Z3uvD%V(H1(Q|{^e z)rwc=8`+H6T6AMz8#_DhjxIHrW~m4uw43LXidMYaT^r3VJr2eaz|J*eZr$*7C~a;*C`nmW!gEi-=I%eXVR zHzn35Yikb=5YD%o*R838?CZ|R)NAAMFXs>TWD}Rh;o!_y#yMm>**GIEdykivXx|va zwpOmMA~@q);p1Kl?y45Z)n;vdeP^BHsntal)T+{$NP>~5l14(;8F>Eeh_cP&!4-Nl z*GNy<*0IVLcD~z)j=UBUsd&clD0+5yK3{{iGI+lcED~6a#;s#((AQPk`nYrQd8~aO zyrJBht~12m-WxbSs9Nt-yI;@lK}-c~(0k+4-RRTtJ=myjd?Bbsa=SzF2+M`U(+n5evyY z_{ACwi~z;+7s>Wiy{HR-{z$v#hrW>Yfm@k<*u|g3sg^e!MAy(KgkY9j?+$qRb%1pO zl$i-umMhi+j=nj;%5sJ5VHar;tn5pFXi`|d*Vsjn$5_27vpKSv)K@6_Yz_y|o-I(U zNK`KrL9=%pk&Fu0s zw#xjrXLYLS_Yp;1l#)daWn)YRsxD1nP_wlvW-5epU0d#O^t)22QLqGp^ol3}7bO7I zOI`OaVqt&|{hWc&mJd`4`Ck$(yvYc&-#>Th@E4B9fQr*SlCf`MUFIoq|&A)*@2 zyFUqVJ>BK+75t0-OME3jnm}uyl`f5Su53Jn(US0s+@x^dovzWB_B3a77ubrd}Xu!H&K^g3!5aKen}7(-;$3*ydX2&o;G&xxB5b=>sFhujHAB4g;q~?2E6WzigN%#toj{l((!ty+7FNI#DG)ym4sZ~##$o1H60UiG zi?K<)VhNqjYAycEI!vHQq3067D*JMIXHm@paAV{-2icpXZlD{7K@)Q-o23{bQ6(_u zEzPof8qGu88{V{D?Cp^-eN3h_5*V?U<& zv6=d_M5*S%CI_YJhird-R3rmwj}sQvLc%nmeX!j;o8wIsI`=ts{p&bQ)~WWgEZHE? zt+i1yPLGv0N%^Yg6E8ArR|lB08_cFt>SAe9Y9R%9kOJ9u!Kr%A^IpQY0nU?~Zan}%89~XB-nGtX_3{#M!n{0upAG;MxG3(Vp+Ac0adgNUj-{biWI2w_62H( zmY?yY$KyS1r11m;_MB3xnbXyZAgM5E2!SGzo(n0f?B)t8H%>oZ)yQ^I?3m5RcDdk+ zAnJ%3c;PoOK?G&s*d}L#;O-068P<4>Y5D;xN96}FaZikw;9om8R)+`_3G`yXkwtVQ z1FRFVKvzZtbln+sB^&TB$*XRrM^Yy^@xkA4Z!zuC&GDTgvc3JW1M3g6dT?cJV z<_khDT$$V#Be=c2a{+s5-+zREZM?w_a>hZU$^_gP>oeg|4XW4{LM`SKl%@(IGDsSg z2!wE51zHvfk?jI?h5{qmb$j0Tk`6dySrziCeOb^#Gc;NR7O~OC)_>nW9(a;|jIj$d z5YG4J69+Ws6$weE8p{VfW=Vo85)0LHP@BnkQbf09s$ifK252|X_t+#@P35dJ7zM>Z zm|;RMoMT8hHe4a(Qkz_frXW3+x%4!X5fR$@Kt_SzC$wSCFg=zy5v4y@hkmhRb5lr2Ei=gD1Jr^ z$h&Y0`Z#1&vWT@^j6(^MtlM;EUW!>{2ea&l{P_0*xZ+VjFO@Z59BKwX8LezwmulGGNSLr^mP@T}6f?T4 z8-6TCon_TeBXrS=j*$v~9NcD}Ek93?d5UoNJ)$xxR_eMwxI&*7&`E zn>AQJIHnTo!iW+=knB35q5m11gBufC%!qN1*^=G?L72c#FPH*Os}pfZ*M>+!FcBV< z5hLgQ^anNfcd+18Im`|{9%O={GidQWsCmpm)Yz~SkG|XvXDOeuvkc))|^ znKH9bhjf96W3ugYK`>$Ug%};w63?u0>MLKx_j)1!lBOz>f-#N3j ze=t@dkhle|CB(2mKD{`JkOmRpPYFU2b#w#@JyGI0LYQ{Zh+xu0gc8W1Ukc7Bvnf25 z2`D}x?ngnyr!_RawZK)tih%)o_6Q|ZW+JOue#<%~g&{8zAqM^4QqkVmAMrz;+Ts8? zs{i|(uf`sB1!qycw(H%eHx*+VA(TYq4Db{L4b^>6NN+KKr7dEqmSnepKI(-yO_dBt zVQlKkya^*B0(po}Z8N5tUd82KWSp=IjxuSI6Q;D!W|I4ipf4g|jI(zc7yiLeAFeKt zLntDW8a1pOb~&XiVi;k{h?KE-vbRmA2{Ug4m<^#k#1k)Yz7<9YSwYqIYc=MJ&Lh72 zMwyzzij{kGIV>+dOIs+}e|xr%7R?3E~sZUXhG2uWZmL zq#!iN*871E=ZLwrf|HXodsci&I{So3pcqLx<*Qn|AFFCwu5BJ#hv`m7Y0t#1l4;&P zmUx^=H&Afx#ybAFQq6bI-ojs%zi$0aqnZrqkXg<6Xa22Nhw9B*Uz7Ia@XB|fsZF1g zTe2dHujGqPaTPhll;s%TaWTcev>1|fM>wU7Q~Ut_DgT1lAE;STXZ@j7W&=!qabXC5f@{<% zt;=tC>9h6g%pDZfz2e~{Y5R*PV`|t!=i8eT!#g+Z#-PB~)AiL-ybRWWM#SE)UdW2D znS&qO+Ef(2uvl<;;CnqCm!1H?n$KaK8BRn(0Yd*>;!W(Par3qT79>?+VzzPwu~-#e zyeP@wErHGA(B>FD3Z2>u#+8M!5S$6%6qv zjaEkSfxvo`IG$$+bB8V@!;qO0OtkbL~_eDF8Ci?ezT8|gZ~plM4Z zl+t)S1Li-Q?w+^Ly@$??mDz|reEC)tD0*gWMr`%Q@yNbvaNQ+Y<1#8dz!g9{H9P`q zpb)l}6Q)Xxz~BSNqhw*{M3NJCFR93`rj&-*dDN@@?;I2+PE8LYJKpLwCEK1v!L7#4B z>Y^rG2g@1T=$sa|%20|=YeIHI2OvgBnh{1mcu$?9tru@ID|(nb(-$H}Q$CP9S)~WX zs9JOet(%{^usA=$k@iWXg!u;uKn_WiqLw~6Arkhy77!{%^u-lr2g+02zsG-ByF^g0 z&s`Twkbf)}46Xy(-KUALn#3Z^RfNvZ-skM^ivs)cEgUGa%py|dmVyKk*)Wq}4DDrM z9G1zMr7z={R13{4AA2`C+W9lImbCsG4z-Uo6HEh+_%Z5Z6lcm+fYGh7w6SB1F^%V701*N#+xFH&jgrq^=Qx zqXr|&=dFJ{w8|n>wmXw5p|Nnt*u(~*bPlH#WJggR2vBR@dI$O&SI(*xxyTk0DRRG$ z3}oJ`RXpE-)i3nLpRbTXm#I<)FN75E1i)tooFY{K4%Vz1m5WVaWn{hC^7X#xqGV;5 z%uD|-B(QwE*hRC@9LyATvS%A8+tr;`7qEDskS+Ao?P^N=fT;#^DYJWRNpOVfn4Z~` zY4LhD9NiT3L#H3^hh^;Z1yT zpMaqlU`|M^cSpGNT9_gkk?x+=gbAT(f*CWNUC#Y5N!h!m~hx=g-gh1^fJ(4R2f$ninF2$B@pt>|GbYf50) z-#$#=Zy6POr+EH(QPwS9`?vX;AT(}`%070{`-jE?)AtD@WNCUhk06fWYk~qM>5eoh z?T`WiNJb4`#`XZSQAcFAMMP^^lVYnL$^qgdwMJ@YcEatJ+ku`DlHVp%gaHu`@x=il zvTWTwp&)S3ujZuKdtJheg_cpVaANr9M2dbpS|ENloMK-K)C(?I2}fjUhD()Xjy8Nf zDtB2TaJq0Lf0!UFllLsh%&ll~=43dZQCEqrt`aNP+;oXfgGUHjoI)|2Wa$SFwfff#P@;DXbYHVH?MSDI^^TyOU&xCgUb3-C}&JfM;&Ns5lIr z3QZEhJK1;sgm5iwx&rATAIgGxOciL8Yyy{1boR|vwwQZ1d*<@w{ymqF3B0apF`ud6)^50rzRUlwS(&(>Cof=V2SitPFE={WH|+i9zRr~?j!j;o zeb-ne;_*5lW*1}y_tK&(iFdc0{$SuE^gC6XPgi0vj z%&&8XNgl7X>S3i~)w-WrkGDwE1af{sZ+JhSBu5^4Xw8Q$vC{>uOjfxj>gFF!?>Tm>7Wz z1`?AAv~kcx`ScECpWIf^wT~oaQfd9)yps>}^PK}r>%tiXCv1zDukt)-&Y$1kSH&{`~4_}l7L*CDq2TEJUe z!Pv0U2}Iyj-3e#l` z(U<4mKkv9>yx)&A#y-1v_F7f7Pt}}r$>p%aPH*DebOiz4+9uka2CeHZr%25WWW>~7 z?GogqxImH+;oH)sY^XqY_c(2&!v>r}(nHgVvY(k)JDFH~g~wPd#(d!64v15#^^iQ` zIk6KFro5HXrtI_U_LFOI6+BbI1WVpLD$BAwOW?=IBH145R{y4Q*LVB{A+^ZjPVmYP zoLrj9*+Xlbg%I$6LWBGy0{%EGA%$mk05tmiX@ilf={Sl z1Vb>XwYBd$gW)%bmiSFrbAPH&vj7)QwPaw}+6nMn76P8ugcMrK@!K9kWIMomS~Vm#i+amk_ZOsm0l`(ntJDv*ZjVi_E-)a?BY zjHSskzisu;&{0SzG4eD+lU%%rurbeH>Oy@G9rJ=FY{xfBQcm{A1?OEWo5+%x@p($(V5+#H#5?z;=)E?eXfppm4q__JvtWwsYx*t|dNWE@#EY-fqtd9;!1S1HVfE-a< zJ`~oWvyMbc(go=j)oO(!$~H2)QkV@;rre^#F&%O${Ys8rPk>O8XwXI>#KF)wVA9Lj zMgqUk(FO^&_OA*3X4mWMD-rkh;nVzzz+X2@H!G8F+4L#D^x_wugkW#Q5w7|Avh|xV_1PwKxl;Outc`&)Lk%v#MdA2HoR4~qQFDzy}N?9czumrN7a!P=kh$AA! zY_lbMUADtr>6{a!I#)ChlVgkqHBOYVNxO~V=H6Z=(xbx2`{N2D(pMsKgnvwLOa7s7 zpcvzTutx?jlG}S1yKFFQ9xWh7S`dq}?p>JKA>f!E&Al&Wh=AXQ<#v8LkG0>3i+o%r zPFwyjgk~!H3-TPlaj4!8Q%lE_i9JxMr4l^@al_VXm+{s#al=o6L-6Baoe5ACW8--y zYxwr2EJMA@w#a+ohJh(`i2&v+DP_85>Xao=VNMp|R0nG?k#ijrYV>=G$;s%#Sb~xR zWdORk%1^0=SoMK|GWSX3MmG51;_v%nQj_yLsTm}t{d6_ipnurd#5JH3xaVX@>Sgw2 zFPQpA3I2w`o-fl|Wn|Wet2JujLRnwp;JFtxL;m|+pf3o$4P6w3r8_nAA~jgLBfe`n zuIi&QG?T5^zISY)lCn2CJ~Gu{wD8lROYV176GVpodn64vQ<>ZJ?GPAjY)7vQmr@bR zqCm$9+hYbp=65c)pyN~6j+N9ZpBwFN8J@#`@-MJN86p3ETY;~3x<3bq`uaT&bobAE zzWGV{6S=-)p6S|sLIV9@x4(o+n9ND-l?(sm;~t&&)B2jDgAmpzLx`hS+U1(CiJXR} zDhs7@!q?Z9F%YWslVmXIvLGn=m4YkIpYSnCUM5OgS{JO6t7!%J~c@C+RSTTXCtUKa5fMt=m$5zUfKoRqV^f7Btjz+06mc~ z{$TR9Z_uW|!_Zk<&ppd*cfSfjz9K4CNCwBLX@ph0fd}OQ-*&;|AQ}Op+`!&qo4(iJ zP)Z#{*UVCtv^1;z+&whKh^B{EK0J>XD4$3b@t;WMZO8+M6|U(@4{WM;j-u*ybh^Ly z4KOhwVKkcQc`wW=`qz8QUh*AHeZ=-fG%6HjrR#AX;X_)i@s(R6@^G`A96S zV7;{P?t)kGoV56&t?!4E+nc#Rk*Ow+@QF*jR?OE-B{pM43-rQ|VvJd|xKh+`C*F%4 zla=X;H(Am(;ZUm!_o@?^nzg~G>l-1t(P$V}PKKXX`xim0BrwcYnE#?{HB|(MU@Db_qxb>1Oy1jXpm(?)?(JW;gtj zXew(zSO$U#IS>SgGrV-^czcqkVb8g0>$k_G+&{$s z`-L7HtDcYiX=YsjLjC982rdHN7xJum?2+b&am94a2EYd>6IaN}V?ncOb-=j#*|jS&41?kp_;rcjjTC zYu*p8r!>XQx@SG~DfxrYiUwcasd83r%LnN$@|jO(c&UqF#kbg=^;|x^=5cM(D`9@k zi}P7yPB0)#4S^SgwEC>^xfb^{Z$DVTdsy;rH9_5#QfOG+_eria)q3$*%bLA6AymS3 zcJ|zM-7FxYO5w1br!os94?@ou32nBD)82TCWD@q3q3`WY1(j4_wN73{PWhOgfj`Ay z%NDU*SZaf4A#UjwQcgC$CTQJt&#$qd4feHV^$GsaTqgQg4@k{l^+5F3$3`WA?Ze_z z>r%B1xRO&i>ZyfgzX9~a`6NpR0dh6lK_iS*%s-#lSqDA`Hsr^_TqWJPLb2L zAn*L|0vWf%@yIcpmrxgO&*>Qdv{Y^X?8--WRfyXcCyMY<1w~@1IiqNqA5am2DJV{G zWgWAqx=Wyz9>ov?{^F2;^)L0HmPFT8^2fKhuI~~Pv7N-kTg;ADVwOy_RZ3r*SVdFD z-N}K7*M=LfBThVkz&Ti|)^mi)9e@m4fR#fd_S(9Fa02x#FK#9Lek-TTLoTtsXhi?z zF;voNL{Zglj704;ONzfn-yr>UcUQC-_eh~je=-|fQ@c)yQ&LW5PA)+ZxlDtQ*9?Tw zisp5yp!oxtV^Z}-5?9J$I=ce5pfVs32wgS(EG|AN9g)V|(*{eC-ZgyN{p064EZ1K? zF2Nt?p27XcD>~P$pc zd2pCY7@|AQY&~bC>(27;OS9ID!i;TZM^+k9^kN1r^_A|zzDFa&us*pP(GG^rNaEr) z-B}O;q0@AH^EiC{r^;U%zfFO0^N`DrFho6h+$B?9L#qi6mCCTSHW>{OTM+f;Os2|M zTjwdHdf}?El?b!oY^#D6@G9IXg+hs*cWhag30AMoPkQ6jtmH!k(D)`3e6u|T277Flt+F;t8;G=^}p^J=rz}kO8x%?R8d_6l2;x- zFx{z|V*i9jyHnZqLxJ{}?G%NT?Jjsn@DRqwhy5F_oj&#lv2#=K`QHFS|5F0-e}~L) zGylIj=G}VM@%XLv9}GfYh62;zTM9|?Ph<}8qw(Y&&^D5(C}7i}^?z%N#mQ?LbhyVp z9@E4%>WRpsvv;fEExLJqOBK{_)zwYhzs_%qS}gyA%na6Uo=S7(Y{bg2C2ef#3Hm)e zZ(nZl`#l`qkHq_ZQ8s5~R&4Y8zrLUZtmmvCG+36bAry7q{q6mHAE@(w?33E+Zt#zN zS;f&*>(=PI;QS86OTeca?{~ z__=yNAl|y2^Am(*SR&pL!p)XUr``K=@7VY4tr2Ykn1MQoG+SGkB+sboV(wm*9ag%W z7o*U8RCKy92ea9v7lr6$?fwL*HX9%sbw6WXrfOS+>e2~ErVC$0fFqKdqXWkZRYLbM z^LqO40A6X`-6h7(sw3Gouho{brRJ)q4Y}x5w2!F6w&!9qeBS4;+mZXdUGlqx8wKgJ6_Ua=BDc zfcbW{eM~-_MUTSpyt8p3wj%?zl%ezbTe?Pom$TJIoh+;=PkmYYN-(=Sw8R20g9$Hl zX>fb<^WE0^C_us`ui6$MNBu7e0ngGyC!w&@)7`)A`MV+EhCaw_A4)^&bre~>ftyqc53tU-_jJ6akbJ8l&@bBZwcOq5dHMQrIQV@F?_gk zILt-oz!119v`JGZ@5=$MfTyc7_7f2zmY2W%yW`cyj2H)L#m1}Z8DaK!%gi;l2%E0v zGx*@iXo-_p$7Sd8i;0DA7;BG^!!IANdhm_`3d}#BgYv$R{MxtlGN;YnHkV%S->0ca zRibo(0f?XdR<|I2kD1!XUEBd~Od$P|*BADss^uTowo8%^H`ds+8kHNadd8&Zic8WR zwXJ9<>(Y~T_HJJ*c{x9=e_}>DuQSxY?eB_QBCNgqLly9FH}zZ3>G7G%r1F)jxQibU zRSO9#Ugz8w>IJZdidt-n9*!RncZ8auF{paK@{X${B9|eNhPCLC9yp3vD6>{pxq9PT z=kx!B0fb1!R4yhXj#x)DOHYbrza0B|>(kicF(^DnpJpo}CB2$cBr?JO*=4tMNxQk6 zc@u02_xmCet)1*~yS+}EGB0|4&d}SMuE=IVKw4^3+`9Rpa5EgWs4lk#Nv+$7*P7e2 zi-B&SVCTNO{t-i?+)Q7qsx_alEqMZwVk35aoC6_3E7CM&WX1wrUieT1ZdH(3Fp_uP z9u4wJJ!{A#DxH1_pPrKVF!f^-9B4xy2_K5sYC{Tmq1jVjMHZC7w@!8x;!D8s3>5YJ zy)bG#S`d&hA|t)dzDm#o8Xk7LCKqdVV3kJ+%zC^-NfWi(a2g$S zj&ynxCtPvy)EPJ(3d9w@h`R<#6(;rsL8V+O#}WQ`(D$C2G;fm-tZ|y%aN*}_IARUy zUr5go!N*yt_8ruO!yKprK^W0b^!3ATF<4{F3y0(vR=#Kz$&L6a2RSGLaCHBONe{BU z|GGjR;anCvN@iMYi_5bjOzmLSrmQ|>4NT57Ed=5>51=g<8x`e9epe^<*+lHwgg@Le zS2L@f=T@M2{!N0;h{L&CSbSK0%z-FSgh|R3fh#7zOsrY2oAzd-!(K*#1IbbvLQE+g z^2*8hzt1nx*&-5sxR&tg;hUCID&;z;GQeThE_(Q5$ru=ZN9;mnn8@EGCbL#sYpceB z3-4_T$gmm~J0G&LO)*hjAYcVg4u}n(L^cp!F$$4J3IwIL>`b;&8$(7mMAVS1?kwds zgFYc_iDDHEfG7}!Ng@P=P{Hn$;AAu*z&$c_9sHO*G4?u{?bmlN{U!l@w>Hn;B=p@{ z-=LH@KilNsS%l==e>uu=xm)$wW=)w-6oQIDH$lIR)eQ&MFb&^WN3$hOS(Z`GSmuaR zJLo%RIGYA8{f~u_tQ!RsP)_5$fiAv5?YOTrmt%D??CuOwV%9f29-KSPn8U9qJOQ(5LZJIo^ly= zfb2|Zso&3RxPT6tKqkFO^xHWuRR}KpF}c`c+|MJLLy|xa5tk}6oKVn^M8Z)iaF|o1 z3@>mEl4^Pj3^6q%H=bj8NR7_^&B>$KAejoEDD@Y6e-o(8!u8t>ze!2yh-|JCsybtgtI_}o;vvwyHW&L&abeNu!C!A|FJVT`#5Lxt z*o`a?Z;TI`Un>1TiXkDfrOG;koo&*SW(@u=0$R#db-{gNs5-uS1qZz&LCA0Hs@2*p zA-l;|iBslEHv~qJK1(|#&Y}1!)5iRO=|n7~xYI}<(mBRj?m8=cOddm>@p~7AfOiSW z&N=}O-xHbVH`7>e{eONHxXur^kRj7hz->ksUpQtOP6x>!@!JJ!azZML?dde@|)3900xuv&FD#f02yqNzv>^E_SHx{J&JG zVuKu!~2oLAP2*=?AnQ*&xt#0*^&ICtyUZ43S9f{%^}Y6fCU zD*}!0AUn~kDk2S-d;}hY@6@Lk|8Vv-$P82nBJZ)UjhBVsX$MKyAJYjKA?^CXg;_7L z!{ILz#CEUF%Pf#@Ygg{vosu> zb!H?_;Ldue42b^l6HjS-HZ)iUn=!1ZM>hPzzs8Y#?co}FhN)c>i{^APV=sf`nK7oC zZN~|munxJZa%G02f9bf`r~h@;`J6|bCk8%X5`i|j0`=;*Ha&F#CoD1gPTTJZeI3cf zCMN(0TlCZqCezr0xC>^D1op7xLnfEB!q|55!O2YvKo)h6=0sZxRE_i1?)QO~;3tiW&c)^&?

    Mug61brbz zhKlhRWTPZVvvJtXA)#_oR)&iRPd?f`3tlL!HFw-$MR6#9uBFKbOl5kF#X#mTnsg=e z2<~t3HTlA3SUX|$9tSOkw?&j{)AChQ<(bCx z_CyfQ0&@Oe#QPSiB>}wW-X0AK2N^6(+dlrtH5o1pi=XQj&HI6DK@B$^HVELPWbDT# zby4;c_=tOd59o)tp))W=mtfKNiy8sL+n;HeqU>-Q@LMm#QhnnPw4qnecScll>xCBx zUfJXwbCJr>RoKZ>Iwse(_4xhKjV>qF8^L;d8W#u@`TGs~61&sq;dRmVL7=@yZUF6libv)lK zBp$Fv(^uxbC>$(6=~Gq*PkvA(A1J~!B$ngu0hHNIAPV;#t3B1wcJU8qLT?l6So&oO z!IZy?$2)BH=7E2ckknB%D0vVEWczhsXd|aXZW8N^_5k8$XnEERes_{oQYzpgl;9r1 zTKu~)_dgH5OBPHP0nBowHP!O&(E#k+=yo&6hFqoj2lErv#8^GY!c~tgv26zE(TAke zV`4Q`>fBe;%C(w@>714eamNEzh?LR;`>i9{3g~yYJ^`6OXC^gS`YAbY#ggMic=3$Z zAS?*5RPFDUcPH?mpMD?))eH-b@`Kl}*%4cyfN7`r6B`{xbD#`%+^CWS(f{kEucVkf zX(4$zi!gg5!fK(M;HPwmuR$m_+R&{ZVQH_R<%2|nnmo3s1V2EW8>ENvb>l(G&8K$D z$ogLDpv)0fmXB%Vhn5?m%%VPAS>pL=RWInP8MO#Cf2|NYNrRju%a#0i+JK$3es#pX z-Kr>7!k2zJbFm#{PlB&e1UssfO8N0*5v~xIb>kriF=wF}r;=AAgR3H}JZi2l%s-$v z!tEA%LtB)FYW>f+tr7)YY z#q;kEo$Ljc(c_lTON{&V!qx=I57$olrm*}0E@+;Rz~9~Aj&D-d(3t~5sr6xm?Brf6 zip2C0b{qi1s&dh+Nb~fg&`pi0ILxEr=z0>TRtLBtIDYCE0eEcI_{~d$9!J~|U;BQ( z00H|vpGR^J)nR5Dv1?6@o0IcUNO42x`Sw6`9`0bBOI{SIT$<|M|p%RiJ2_vGl8O9_Z`Yk@3j;&}tf)X*s#nvhUkwlA5GgmM9azpH zI8ud@C0ex+*DO>K3Jl{=Bo^33(W6Dl8sAe2vK7jiEojmhSqR)d=5h^(fR|-jneG~~ zk=9O(h(=Nh&rQrPyuFq4Spcf$CCEu^VR}=&mkM;sg7fgu8uj4|quHLYHq zpE0tYuGHBR6}|W2mv=ELY8xZc*mO>0FdcU zwcB`_*5? zTiFF<$cmaFEV6UV*Mi8Kc2V7N5=gLHSUZ2SSDcJJ#O#rb&$c}Du0z7SI(@VH<-XN6 zHJQb7t1XXRH?yS6f6}0No|qs^?T7#aDE`56F1pkhNx8>nsQ%k(6g zJd+@h=i%+A^X=tZ@X51%?e%7KVsd-bwO_y=oi2Vo_t~dy$@@3&jvuo`J2xoM|02q< z!a)vaJHh*%4b>&wt$tXG7G*i#uW)XqvT-8Nuu?+@23zs&DlI#wpO8W!Yq{VLJ}9&; zdBP>ugn=QzanFGv)cD$97WUrNMo$Hnx9f58Ec%2%3-EB)*Iir`U+&vu#>Md2G(|y` zl?7081D038;_p#pbL;4QF}*h*3SxbzSQ}(y zg#pT5T81h+p2W`+L^j!4)-qI_0~fbnl6g88|7@5Fe5^ap+-C+HDu9hoq$1DZjcDx% zfg56;snf6njKn-|T%7PVamd}nodj+-pTN^V%B+IcIo15ZRZ6^jcARr6b9+JeYBur) z5*B&dn`_K6Jl-K6CK7rcJ|7OL9EqId*f>jcAfP@~N)#R+wOdlw-tIakgY#*q{VN9n z$gFx}u)*ZWS|<+duYHZP8)a^j?cdk{vht%RB&X}P$MKPv&9zQRJ`n&3CU^|(8WjY0 zhI;C3h;h0muFiwekvMQ%2>5iZcjtJ!P+;(#?m_h1+jaU3`WmcyhW(!G_cM^puTNE3 z2a~<27)LMnTsu!-Ij|-7y>i3^4k`rKbF6)2`ttvAP}_HfIY+e5nFQQCxtTiKyh z2mJXnX4x0Rf*r0>q(wPn>tdQ?srxqndcD?m7nS*j$}qX|Ywp(G?#oszmlyVYhd+kY zNIL~i9M5~!8_s@z_R`G8Z>#0kxWRf`Lw8emJoyff{|NRP7s_VYspQ?1Jrh9x?e^hl zxV!o1>)isM45RP+*Ie9IfdLJ-bL$CZxm37YPWKnW6JacCEBeCMVp9ekVci#mF4EGM zNTJPFXMgB^E``qpxY&<&fi@C5o2!qM0%(=B1+NB7N_BP z!35{N(ROhwROE{@;=oNSLRhC2Dh5*=xH#4HF zWSBer;%%F6*zfR7a|bo8@%Rk=povS?5FS$^Z>6#GD-6a52n2Mrj%F|f^f^c%RsUfN zE-H{}z4U`HyLn1Sb^lY0eHU91OPQ6wFI#4@-TrvpqWoR3svO zz)GJDiBf*v%I!|6>oP<}MryFBWC&(fB;XEphKG=o9F)*aYA5Jujn&KxhJlv~GzT~o zEE3ATQ8(y5xxyvy?xBhSA1Czuu)~!wI*7t^RQzzlRD^uwY3ITP&C7#N&dg(xpqj-0 zYmC7Oap3!tsC{6@y+L}cw2ADU4XZt4A@;XOVyt~PJviHAgV8A&EjON|Jy>6oW$d?f z0L*wdndy>$E)m1Q=iM^rvUyoZ8759GJs|sO81MI+gJNT<>RKx7l#QFBAt>{GAAuZ_ zd-${*(eT~#&A>EWXW6f7T~IrL_8=lRJo~Su8d_8|M4%U(e>ZpQ-AyV74$lRMmuQ*S z&vCf)YD2~vUO%6^3vRASozIDdGN_d^!A3&ZX>%+sctwPa9bf>kH9jX?6Chk$d^yzy zn%X;*e<1vss?K|dU%K#A@(mJ{Sv$fRUTt~(n}2=D^Y_v|W(4BX3n};0erKPCUW|7I zp0`$<+%_;=O8Ocrs|(U^S6G3}uz%Q+9G=Z#un!P4Uy35S$j1BU!=wwJJ4$cJ>MuGV z-l4pq^_eV#<4y;|5j22xJ!DKE>K~i3<$VO1QEunGE&j-Z;RMgs7RH6F9$W$w z9n(tOIMCMi9Fg#VEzyBh`uMHVid3&zOuhlrIFjOU38aQ zFIO(E2tgT4@rKH!BBQKhwPXN##6l4*g(IG8Pv6%Z30<$#hD7&DaKq1K;&rIae^js&>W}NqjMa>1R0Q(d9!MQy#c+NK3o4@z@YutBGtu+CapM-3b7BZH`N zQhVHDm@*u@1n$m8c9+6u7Rb{ri8Z_z1$9V_HtcFG)4Ec1mX~JS4@cETzdfjEZ3rW1 z$G{aBqeRO1?spW06f7vA;`qz^pT1g?H1EO@aQ+I&No*4n@tKc@1>4(gzHZeqVs;}{ zhMbos8fQ*r9n=9`%z<6Kc=%=*KS&1Q+l6yUDQq#v7+tIm7z%OSPLA%N%Mh6WN^i|> zVK7~NhO-r5i$5{>F`^hLN2S-r!9(?9Hzw2u?0`!Mhx$W+u+a93n3&k2pF>JJo30>h0tr`i<5-@+*&nTCSK-y11Z#z;ZLVY*?_`hn@i+WLO=n)Tbj zfP4Ga>smySY5uiOCp<#?syU@*di1~11b^hLmfmu?sNY_mYbHE?FG#r-`C8z*VX-QY zE`XQFlZ7Q^e}!?7Z&mzIhuRPhrMHa6BY>B6&_hd@@*c4D*GE%vy0v1T zSc@={&+W5=s%@jddYjPL{-jBfTd#9&6%GsbrSjFI-%A}eT|SFG-^suL&q@kybCYSv z|KdhDS-~;tt_#W^&`|03j6!YR0>1Sj@GW$`k?EG?1=;R_)K#-h$=6 ztg=m(;(xCYq^W7WiMYzsSQhBqDVjrM@&@WQTxNW6WAgUQ18@eGp-hal%w18E)>#$k zI#&&-F7;V)#;I7n^SFjrYDS(INPG=cf14A-kx1Ny7&?8#=okY5?1k`@vs8YhM=peh zrJ;LU3Vq#0{TPh@&6G806eNKP+grd+1qyshr^lozuJMa94;{{A5=>+wxs~Wb3m>gq2z_n1TyZ1?k^pH?a&ETCBRwW3b4W%D)BRgGOS_Ot< z$E;+MoeS_1;7vk7;E=>))0>mfRNg|>2epxH8NC)1cmv`tQ6%1AGgH6}@=O;A*M>3# zwLx1l57K(RaLJ?p)2)zAh+d;_#nt8o=f||Rak;cMJcmYNgiauu$mb*Wc?^3Pers|O zN4j`9ZMY{m?(a1|&vNk8*skUfGPjFF-4WJw3&!CAiLJQt@Q$AovIeW8yt7mUo_WLj zq}L(?j=9cq0*eUni~*)(*FhA2_WMRVXPr11i=utY@wD`ROh{}2QZ;W44)n~pYsf~$ z3$Fg&p=xp5F`Qhu@?m>o>BPzA6=fD}^gf{Ka@--hc)5M_=Mr{v!@q6YNV8RkYN%wz zF-3LpPMZ}q%zWw~e_+ITySJr3Eq4+jJ_$I_T`^t@I)xi6sDs8uA))|Qng$$Sx%}6k z0ChHNC@){U79KXv-X1Sx8;UopS8t*{Jzb3>oc24O@?Q`e=7!ce;#Iwh{y|A{?hZkx zcl7v#{1(eDf84G>R2&A!TDH?3j@i|2zD}=FJdg>dz8+*o>?77b+Ylt>2x>5I)@FaF zPHp2aWi!~mDWNcA^@tzE%lfp%SSI#-{;0XJInF%9$bJugdNbf`BSn+VQMic$RmN0! zeOU)?rO7|yhbTT`GXsSzNo*=dqzkO_LT@Uz=)$BQl?Viu_5rU}$!J5RUNM%yN@J1? zwfN;xO=-F)#m6pkjwR=Exyn{Gnw+zCfz4X95K~GSutm~~D7}%eJdpH2dZxuU&H2@^fKZBT#hD9im&pm~J$5yi>T0l0MjtirN_5}mMoL-+IjcM;f> zbmZMJVeM|`=5afMZKzn5)ljy6o^)Cod~-@cHmrH*-MYnmNXhA-Fyf{m?B=MBu$x>#|rRP!% zUWi_#XT^2-2JVMhARIggb-ZJ23Prz$L1@0eIebYL96XO5K+={e`dtBOY7d?Ozbn2p z3!g5{Zd}>!DCPzP#g&g?6W(*hWkKcjfuXJoJXMxcG<$dZp^la3w#o_FG4p>y^I zREuGgj-@MVq$H~(wex+teWlF3;1Hrtw*$v2c}<%ypO1CHUm(gdXih{5MhHBgt1pQLB0Qe_OziDH(P+8AH zZP8{*cZ=u0kIlnQq~DW0C0o8d-UxaVE}r+N>O2rtstnl1<_gH?O>kac2{3z+7wN>9 zG5kc$aQ^nPq{3p*S;S68KJ>pP-3La{#57 zNl~Nq-&{M@r}MzW z(FLWn*3zq#)PQYMO^(tGN*VGli=9Wrz;w_Sir+j$$HGE==^NMnA%&PKkGxcu!P9CFxXW6NGJnK89K8p&O)S!8Pq1N8L#@*4F@Ils~6927b#%xH-@$ zCqwUk&(guwN;KC0of3Jd&R1j?rnPK@CU!7>`F|?WGVmmbKgj~NwJyvbm*2f5&@p6T zL-44RN4RFXJXLf(Qm}&q_wEg(o4&IO#ryHv4Wlr_&Wx+S?lw`wLPn-8WZ5v5RBIkzvu$mCPzhHt}@kzz~=b`km`|J1j{UMcm_m-dqQtMd`n?kW%!3x znTd_&AgG6IJ(4wL`TqqUw70Kj*ME5KSe6LY`y*E5{)i{K^gFS?^<-(P$iA1CZa*Q;|isktDC%;FqeiG4KZQ)9Vn0^JPACdbmR3fuL(~7!}x> z$FQa07l?KB{&TDbVpqC1QzNjI#*K8I#x)iR={V}?vc;C=Sx{q6=xY0nZt4rHH3bOr zzX5*!XCTo3Kfn*u{}U{DNbfxUpe5l8*!zj}QO`R%x~DqdhhyNr5AJ;n06yYM@8HMy z#w-WNm|v{c=WP7)r)!Ynm%?0495y%LXRZ0Ai4k2*Nqss}rnpub`t=WJ9R8FKxdiQZ z0YhknB?X9hSW)LQUiNzS=lD z3#?7zHVbUECXoJY`}&&r*bi4<;!Qd4zb{{1q%(O<)$`gIm8o2~kU1BN*;Zc{SiWMl6R-|_?e6Y6+3jHKX_U3}Q~x*|3LqL2)V-=H0@{jwaq-C1?VDX% zK33HyWpetu^ZCoMJ)VkO{Lx=RTpeO{B)_EW zWHr-UFx9-gy02^-{rP0od_{^2$z)0zv7y?~q8$EfCtL$Qg8y{QjLTxR$%_k{BkEp) z>Z@;Rf%2EmKLSB)J?8raj>0G~b9q6M3jy%4%TSaLMAGYt>usMl)PFZ`oxw>Oxb}va zZH7ZrI@UbC2BKt#AqE%`T0P?t{>VpFA5O3O*y_xU!v-ME%wm}v{eR(sNzyHI**QH@ z9s&~y$S=mu%EO{;h1_~Bc)n^uk4)I~rrP%RQZR0Ej_GsconW(9-kc@B_*n%U?TdZYTRR)iQ<%r#TkYMBIqD=T@jGV}vEEAs-&qNliMK;?;V-uEr+XT_#>z$8$i~q4IirrmqgW z8Wl7gCVrs2aqMZW7RgLuez&3(m1<-`?`Em);|%x5cQ>zFly5t%7EPLela^Q|4DCr&+FDz=@H!mL2=RJ}Lp zf<~*_=gO^-*TZymvLsF9znuB?F_9j|_O&+FV~?5F&D6GCnzVwTB4Zb2{`AFks?za5 zU6Z>aYGbs-z8bmWI$ct6n?7lQ=A%&7v{ah$$-ipE$jslb`POiTw{)z9fyD|J?J1ez zgG5KKy3u@Nms|lu%j(F(H4h^q9dD5pS;Y>Gxc7vwmF1BC29`8yoxy7iitf`fuo6aX zl*-U81*poJZu^S~w2@4#vk%Of9sD~-G2CqvCNRLoPVKu5?_@#|s9&svdq570U8WSi zd#o}R2j_**AyeSEvo@Iijr5pSqGCN_dVmO>C#F>HHNnsk4aH8wQ3@6C+&I}7UM3dz z4-?Ra8rvF;ISmnzMm}3G?h*hQm?;s7forj`M#oJPSjWz?(aLEQg0R+d3``_eD<3() zvVcf=Zwm=H(J7c^&^VzOPR!~m(a)pUJs5(J2~x@N0ge3~?)B8`deXMng3vm8#^sm|FY zLl%heQ=Cg!mm}|=8RFH86gXBi#WG*xI!?nLg0Y>!(k6dXGWjWbL}<$oMgWto4Ywrq zG_A~ySr|BGj`S_D876gas)EKU{h#7};8Mub=_3d7`9ZME443aBW8hl4F}B9l@FUK0 zLO7`6XvF{L_h$+H_Y7UuPjD%x_Ka-E_6wActCcLC&^4)GL_)pf{6aO9#^S4zrfs5O zW25VBW4^-&{roel-x(4v<7SCWvuNBfW`}@br0ZKUHnOzBF)ZqyItI7$n8_l`rYjwj zL@6Xv+Bs_m5&NF2NSi%|nS!Hfl=Z?Q0p1hBfMCk)Oz+#j0Y|l11_c4N#)trnLS$~K zHLR8>b7XHxVAY&*knpATK+1}CQ7RNJSB)QoEWxE2^rN-*px~Hf$6YpB$DU|0#JQKE zLB}-^l`bHM#yDg4{O&SItC#8U`w?tx(w1$^H;8SRBN0~pX+uQ#e`FapZAhzZqGlLs zVgAdHPhO#EF_P^}it+6^1%yeO|Hrp@yk*M2tx(bwTmPCWK*@rW|0u6UDD%x_B}|$U zwf?pOdy_*48Eb7D&)TRQ#~D5=5#(`vxmsj@J}f_Z7EOxf0TOBx3*O(J@2G_9!&Tu3 z?^Yjujtz109wkoh|BaV)n=5@$fFQ%)0p)?owmnb_Jl5%~Pk7nXt}Js{YT43nvTFR8 zjuXPSk@96z2sCvcY)neCK4V@;0oD(Tw&ZA!ps-6P!mnc8QHYL{GRGWus_xi!CZ*qN zlQE+r%^?TD*pgI`QtbCwi2WW5_v*H8&!6YUy1U=iWU}^0g?Jypwj)LgBao73ovO`_ zB2|#J%fTYr6!p>vOx`CrR(hx)3&u*}v5T)9Shdae|4 zkAT}*CYkZuSg|_DHg|i@r?x1wnH*BAAmm zjNiPibDKJpUK)l}v%I@mrv_MD5_;%^Zs-?F7!oI4fmRip++dYBS$r0v#&zg~ zHmwC-O3o?e)I8j=)h&q6F(I=nvDM9qepeKC=L=jG{=P7*RP8(dQU1s+KhO#W8fz#G zCaV_}mhri|!LZ!Hcu$3E_AjFlGvkjFbZ~;%Cj`bE(^A>N$y(%y;$-y2ZU!kE0+@0! zHp6<66#NrrRu{IwddB>3=3=}d3$kaB=gG-_>*_h!h#4o{EmOv+Mrop6i68LpaksfK z{Vz*$hFx7C#)o>N!Ouo(8_`t~_#xUl6b~6oMTcy@CBpaK?j}$(h@wjWjtHXQ9ZSbf zmMtVQ<;Wd>rU~fh9NZSYLJ3~`hfxx)c-uI0-c_TCxOzB`sfd5CK>%@S|Ev}DQxp- z)R&p?SExYS=C$0(M_b|A5mdN9u9Ow5p>z0+4r!3EJ^1z*h2Q@C%4KNkCV5h%oR0fN z?j0QuNW1EL{p*77Isoe?B;?boyuQX}4=Ijn9=|}xZed;W`29xlVF1gx>vphANfGa_ z$VNdVTXzP-w2R+gj73ty4;qAKfK0#*@?Vig_ZnN(Jc>IN zg#hwKa}Izvq%|>{HnFp*dR*uDsVeZdlJ1rwD|zrCKIayYcjg+F zDk~+$Y=nr`^T~CZ(kgdl=$0}o_2ksA`<`roi=TOw4sBVnXGM{yr@-W`%fr&dP z6l{J{GP?$7BxvZzg$!rQc|4^qBb&45uRk_*Gqon+zlwt!pJ_|yUwHb*6&;+N4g|oi zfcz3AWZiXi@MSWHK7g38g986xoG0R~7YT7A2Wxqz9U>+2J>)$k>cM9F?>VM7Z2Ubb zk2glm6qEIFS`X{D+E8-)$_g9qc615>kW9!Jb16;~DncT8B!I>mq{P*UMe{UMgNKBk z&oFg7c6^K-xiSyEPEE^W%uTUH^OkPF|ywxZYt?iH%#?)*P{}9Emk|F!7JYak~5Z-8mmFkCElQXAd=}&KTrbsMpZT z<9&m$3m z#G)cs2;3Pi3pG&)TtSb|-+jK|i#?!5&H_gjb6BRP4Oc{kLDi}U$DJF)%@apu^TFC$ z&`P|~E~QN1n%VOn$J|RDgGZj9J!*{vdC5RnzAT912B(Y*+G$ckR^x>b zu2Df=%nWb4Ct!`xgayesI;w1)u^3jjPo_%>7iy@}POXK6oGSPYy-t7M7|DIkX)MK; zT@tKNic9W8G`ZcD?#(JQ9Uix;Ng8{#v+%SUZ9a#$I*mf|nv4lazZwayZpqu=e1uKg z9*~&>bxRCPogTl(VrrfHZ9X&N_qeQE`9%eK+Tvsky?I6j-nJBS2V1vV@S6$FE%Fbb z!6d(h4qAHeL*ov!0;I z@gn5&hcA!2#q;eFafpwk{MB1{l`jkv4Z={ZvagY(GW{=xGBF%og^XGJ*vy<6V)Oj4 z>2YV2^U@s@h|1hxZDq(g;Yqkly>!k(aOs$4Y?RF(F zrb4{@x|4T+P32|ji4+78PZV;FCAKZ@%E+_Y_17>KBAzX#o3Tw7C{$_l+Q zI#yB!Jm{cfM0@XwDcRxUqq6iCN?NL>Ywi0?r8~IEVsr^TeeIv6|69T=;n|0@R^Q4U zoXhc1r5!jS7Il6;oY+RjKyN3w1Wb^)rR$BXdJ)mxUM3lO{MJ?KmL?&&ija-w?RE6= z*B-Pc(M`kuGtwVo<@wDDMk^>2$vfpsX?V_+fQD&7#g&WX7eU>lRfWrFDnR}Df@ZjL zCX)LDb~3`(Ppm|7C?AZv?w&X^=Z_#?=OQ%qm)m4kHiLkcaZ5K4vHy>{w~UUfNfJcG zj21K5Vk$Aqk}PJHEM{hAW@aXfnVFf{7BgDROt1X)^gGkN(|dYn{_K{Hs_wlR5gC!0 zHzE?Fu3RY1@*q=n2}c9>pc=9dkBbXdmcvn9l3EYonHr2VF94fi2l$nGfkS_`qqWG& z_`%mXfQPX5V*!j1z=BF~1r;joIU#7}uYvGa*L@g>BqhpGW`4(4Gn_ThR9aUO( zf850=foO&FntekQyUoiGp-n+LRC0PQHzImV^0le2dFQTA(FgzfMQ!6#SW3osPE7BiI3 zA-g9RRWbzL+jpBX0lZI$o3=!6Ak}l7L7PukSPuKoP|Z1%ktcj9R%~E*@wl3uhhC94`N8eN_PeMQ#w5eXv|tk)TN1zs4_$ zo0X_ud>AQIoYvM~16Iv^4Wp*xn*w|Mm(S14&t7V^o87IP5Y-Swk*I|lP>I`*Z|g6L zb4FHiinb>L`kZJB4N);(-cFMUkmZfV1M7mJDjZ`wjIDe4a%d8rUX8GN(DZh8_|gO` z_hv%5y26qup4-x&7;KjZpruK6gwnz4>>bi^-4Uxy5#ppG5X7G$%)~MV9u|FF{6;nD zaR#{bg5+D|VD)Y=Ym-q!D2^5;eh#oRDKo-`P^CdAjHN?}u$Zo68@QlOR#X(mRQO@g z(B+4;%8GU1TB8wFG?4Ns6$Vw*go`6~La738(~OZZwk8tQ4=x@>POR44xR-tw$&h*9 z*}ZhxOXXj?fkD!=4U7HYUlU-$o#VSiyzly8?}Bq>O!+`e!DG^+lPpxA%Jt>mBrWB$ z(wJ?m^t68OY%yGUt-Rfbe6<|h4`SjkY)i~(8waCzIp4Ki_UU^4?lTJY$ho!IzvD1~ z=YBtpb)X7`@f04hsV+3NYFHa^BH-5{zlC|bc{ zHO!D?n-Ta=93<$Uy9*BsZI`4-e;#RA(h`suUW#o|t^j~jxn-@P`SlCq%gLiIHRctG zBEV2tYEVoQfDvKnKQ(tJ`WxBT#LHq81lpA1%{DyK>hQWcrb$xQ`)L&OAZv8P9%qhA z4{}^ei4Q|#ydi| z-7ch<#xFako^8E3LbmSqy8P;LqMI$*Wq&lGTt4@9jUV6aoUrlAKO-@*J-G!QIv8`8 z&3c;T>E54f-s@Ix9b!MI$`@qxg`+V8og&hr3@~8)`br$Neuqy;B=XZ^V(KXgHrD`Q~KK zx@-DLJGt#;u6VUVdkYz~ij5sZ4sLwh7mXOS)vMk0)z(@O!`n431(#nv_Gf|?Z0Ua( zbCE|up(Tz&-~*JvqEWa&i+}3^f0;mYVoBEGifGN zZ+#dvjZB@Z6O|Y=x_I>}J^!pX7*rjyLg$<0X}d4#Ig%7pA>l+3MN{=AMxJ37;?rNATcI{AHJ*UDP+x`GQF$}RAEREu^?Gdrb>QOL z$`Ew@Tqtr{5|_$;7k?OyaUpyfQUXPqcbseDkw{TM*13f6qi}Isx-&m4O{VQz+;IHMKr@7DOGZn zulZp{`#BwIecHO-!iqrBC`TWcCa*28V*yGvEW$)y-|mLIB|avQ{qko;)K;!jE0kku zJS1tM!Z{68f;M8p?kks<2WS+HaravGSY`>acgDVmNb0*tWXUa-n>5meXnr*qCfb%* z*`<=(kYrzRmA8(Ovl7Iu*-T zeTK`y)8qrFBl~+Tg2@o1XNbm;4ju*DQQhlj=F}xm*UeB z%PZjSfc!Lx37E|sa+mbZwBOci#031@HXD~VcxzWpY^i}R?}pISKCeaG8@)!yzfTAw zlo4>XTiM%@RS5b01RUQ}ylob~`@2M{U-0f~TDTesO_*{KnR9M(k2Au!I*8B;v0Yaf z7vRo*!9MG<-*Q8ysyr~jcR)@gF~HB@4I#nWja6V9dP+QOxfVc7VCk=E$`CrhCRk&lp6#k>6%kp*cQ68F4TI#rr5zbr;xN8 z)W_3wB!-q>PfVc|YJ*kJMI*w}>|2@fN@eDM9+&4NM6w_J&AoZ#&!u!YKI0?^53^5| zS1(+G{n_x&5H%dT3`Q76D^S6)2KcKUC^Ld0TvDt=EhtPeY{}gn45MTRi-RG(D|m$S zD?<$qwj-GqUKzxm8ol6iMXHMMr~UZ@C(lG)Bb1xbLpho!7Xv<&+v- zi&dCii+ra3f#*k^o)Q1_d+2)B3PX~m8FJjem83Yn75GxN3V(81ZfuH1PS+Tqu(76j z;&6y~JT5=d|uea6M1pp*7F8w3cs_S z8|C4m+RA6ha~E9OyCp!;U+kpPV+rXRt2;>Yy17LFGV)@peDl1DoP!kN!ByD&`8Z8} zrhL&@^B6m4VP#C&%oRyx;x1#I(+o*AE0ojgy$_+1x2q2KaM&ZYtQ?uqYms89DA*xQ z^cP)-puiF_q#Tv=w92P={7yKh?^ZDQgtNNZ0wZl`(ISiHV0Dc35xyRtJ z(eC9tp{t1t7xcKv`*#tr?LdJOFyCV&<9$<4(htsWb!2cq+wHy)kspE=Y9uh|yp9^f zTqX1^)EiqNS38c@$XMoK+e|ec{>+eyV%VIO6v$+eT1`pGW!n}O&>VWEoga-EV-|~s zv#&L&)^3|gai#5X@9HpWGOqeXoDv-_91i#DJI@2>ih5buN>-I2dMNN)Z58i3&U-+@uNsoGt*V$ z>xF;Z!hQSDI{YLdbkSQN|mJQ z5R8?t(Sem;ADw8*CG09=ze8-@B|tvPLxXhvV)^7Cp?B2$T3`V>%**&0z^zxmp@vbF zc;{cf<(UruN{2_L%H+?Ork}{y>YC&;;F(KTg_`J)I=DMYad^v2CTaI!2qRU! zItSZ_fKi7|*EGC9;sdX&zSyW@Pe!f@#@;-c!0;zGqc(L1Z=cMD(rl4Hr!$AxMG)9)19)OA@B?Co z`^0!nfAT8T+SZf(Be}1=;c;KXe$Xrp71!rSJjMF;)TX;?Wk-X5uEK_*9_mV(1$lu^ z3V0AfcXdk{pBL~Qz%cmwSyA7>7LN=8)G6S>_`)@cKDn3-1d;~ISL{dkSMY;Qua%!z z_f`H1#A?HY*_o4N=$|yPphEu@IJV>*@l)ZF zV!*BE1o1;9eNne~JvAZau=#6zVFie*Ad4J7P2%&n2U|$P|KNv`$f#tuQX{$J=Q;qZKee&3&a(O*@aCyGu81W%Zr05U|hLpCH zTb>@W1;aopa($!R(o&`bvL@FP@)DYD>JdzUgN?3&q4h(@QNs^MqE*bh_8XM`PFe%uThO2dYB!~t zOks%r1xXf^%z}I}4=zEZ79KlZ%a*ZDUmv{vn=T@@I`b2fuM$ z<)y&zAJM*;I641|uv={ZDcgU&q==Q1iJgxR5zfKU-bl|15zaMpP|GT2RV4o1y63}> zsBjQ*z54S@EaKKu260Fy?G8sXXkCcz&IInz3iIgF=)w7-jdHhKL0fs-7xNBK^q2wB zyMzlyyY!>+)n}LS_-CtkjQ{-e!-C6(E zet)|ngNTrE&OZ)fTIUF&xd6EzWH)$t=9N3wesNLsd>Gsn-MrX)_i0c0xO<$<@!eXC zA$qIR@~v$){^EOxx4bM_pW(;=6|c4F)!rSi|KMoj%%FWseN)54-44c2FMWXUqK>n28T4jI8yM`z#3-vPY#TB>Cq zs=Yru)TSBie-y)PT(~QS@CZTAi>D;pM3P*qEu5sJ)h2>@1h9X4qet4 zM>d>427I7sjM)$IlMv|N}LAmlfW(zcCa9{Cpv-4h{t1uqN1e}O9e*noY@Xd)OnoLOi)%laiDxTWnCG2)B z=U&y^CREzxag^@;0^GXv{DI5!wrN#|^W*;DF5`jdGC$*XbTDYa`S$2k zXeo4AwY+qgV7+#i7ZRf%>IReb9h6+lCB~)`RJ(gQrv2fCrv1g%!RIP!c}tk|bNj0| zRvo0MokxAMz_g4GPkD;WQ?BBHr<0p=6FacwkVXFv8NYBJ{E7To(MFx+>SLFPopH5j z{fTOK&itr=z%gfc-`=Ra0>7Jh$pgjN-1B)$^SRk}o-8S~l(c&tNIPXMXoGFg`k_RDTv*m9!^+xAJlG z-ij=kjq%jk`4YO2x{svx&Hv0dh%P4Rb%Ocjg=j9=FA&0wR3BYGOs*JJ`~)l1dLe*H zk5R7-KB)bv&w3bJ)MQ8l`(!poJM8MAeP`;}QiX9~LB8TT(G{({SM}#uMfOF=IxD}y0hG4>)wAAJTI9!jh|UB(RY@-$wO#@=fs277Kkk^ITkO(+`7!7dU}$|w zF1afG=BYlSE=Z1692l6SO1eT*b}Fxod3-sIfl`6`AjxQqhMX$Cugy-k(4BLl*3qwq zoa9?~Xp?Ub=_DhSAsw_PH*sKezdVoeR|hp@Y(!eL1CBD)(%2@P5N)d0y2XO6Sgvds z4C>bmY;Yken4v;}G+hc_f>fM>6r4n8$S`9mM$?`W#nM=J&gGayZHs^dzOJy^z@SZ; zGpHoN{uxz-u>k*X%)uqFSF0bISg_JL0|y5u4L0_t)srhT6S zNJX+Ff@a~#90Pc7)~DIJVo_p>IVe?H%8|zWfAFFOI|IW@HE83;5PnDCI)GXqz-CK0 z4@9B1V^?#M!$e0+XKV;ylheEP_pBJqezNOl>sn~0Y*w)-&=3LrJMe`f~#TKLpUov6T+R6@lzl=h+QqtmS54%N{h~@JkEK3|K0B!P=Lf zEr3mwIu z_Fpsyv53X|2w7;Pemt`~B$^ZebRguRLSFo?&sGa8zMSzvrG>T|5l z1y-dgQttd!pv{l|-)#3QO-q0B+N%iGj(d{qEi>;Uv-6hU5tuE46{44*Q%V(5U0zN8 z6bYoYijC(t9CZf8iaRY&17-AA5#j+^&QBSTG(1vY8RmUJUad)_kG{( zPs@re_x#iRMzquCiacqWqOKV4c45sD2#sJ+EB-<7lD`Q~1r&S}SN2K{jWVlb0*mI$DN~WEHLb!RVUGOVIQf8;Nmh3-p7mozZdbb5;LxG}l{DJ6u z3k}qMnld3{R?Cx7Gelsc82jF&Eg;NHj*?Z$KC9PO33biW?KpfVVy&WYquT!EY-T*2 z-9FWH5GK#7Rwlr#_R~+$_lI`Ri2A6c!R%7!n<&!E$J%=XfmvTzA&r_p)jL8^u%D40 zHKADzJYRJbBF89d#Qz5>P)1-xuJ6@m`cvaKQ4?&&G9fZyDr9YD>(7c3XuxvR?~66l zhsS8+lsC0RqqrSoXTVE~%H#bp8>&*a)#{f8F%nu3mdxL>Xfv7yWm3R6Vu`q0&mwV1gs~I~+Lrng(0{`)tWqMQ^ zO3xF<(HGyFmk@q!;M;(CinCMtEqi3`FB@%$+&b>Ds$ELLyJbl`JLJ}Al*uR?bH zXFdB7M--tgt7r?~ISXZb2bQl2{%B0}K4w|piV7nj3K*S1ayb`L_r{oq;OmAy>v2l8 zk<1@z!WEenQ^?|YnlO$R01pbf*Z`1mNkb&rY;KP*o^dQxlS28MYrEn0_q7fg{;-*QcUTx@jHldq3e`)_k zVGL7BJwTeYx$<&2-P7gsRHo+ZMl1jYZf2#S4iz8}pKC}5WluTU62|PBG%#u|ljjaS z4vs?q^x)zO{*mIkdZK!H?h_uA5fW!sgUz#A#tF?&x0>yTPt6H_a;p4^;^Zh&hoI*k zl2^w&ge@jmwa)MfIswKazLh;u;;Y{7?=QX|pkZs)_~a@Kfma?vEB8*g#bxG3*fv&n z>q{t0L~?9ui|}>IWyCE?sr^j|i&wjXqP~Hv;xT|5f_RoRrRq?_gFW-Gv;#!OVp+#;>vJVyrxX@EVXRSU zkpNEe{a}PN#~V@71Zq6V8Bcz2X^LO$9IF#ruLx;s`&*IB&B^sb+2#ZlUrUSAQ7Kh; z)ch1E01R>!9yMTvnBTJ%D!gl$3OD3|7k;)fEEhy-5)K~wr=pyno#3wn^?41+6PwCt zO|e_`=!%(jzE~pM$@1El9>wrGw5gpN04ESr9%%&;@~%SG%A#PWsz_hvtF(Eq z96BXpRduwj#NJ6sz;A^+gWiLhPAxhrqdWcQbh)~3l-VZNq=P@bFiRPo&ne?5CHInB zAx7!5kX7W9j+lrN>0tJ|;?2e4<}`)A>nSV;DKi*_@+scIFLTYxXs1nwJYt>-)mksM;t7qo*iDP~1j{e?LU|XM^A_AnC z6)PSrqcnYTddx|QO3lVH&lQRvevyRqHyoC?4wrE_(rvC_)sux#H)$#KF%xZfas@7n z@Q4H2Z{9x>%}c@tu3&Y)E8$Qn0AfkwFtviel^ME;VP|6KYA2cDj_!DSzF~CG$r#;B zE%ran;C`B5RlKB-xA?{aE&91^{A)ZLf?=A0+7d{Z8=}YwI&!(#67_1$BMP&N+RR&h zfh;*DIs9+AmOHiWo6$<1^Zf3%hWb!HgU_6-3NW zEQLgITB*XVaWo~rT9v^{9gZ^H35!FXcd1BGs$Cu*Y)VJpSf+;m_nbyGMrCO(dc9g&e;s z`4xxB4Myj9jiSTLJ*NiVV`3h2-!bCjuUnjHCftfY#|Q99&_CCZf0X3g$Mx;W-cB7L z;Fn?}nIN6iDEwkK{u`A^$pUk+lf~hjGOpaWqWHV06P&(@ zcH$rYBZ3MmzeytKl=I%bP{>ExlTDz$7;DDy{0JTEbh!#TcD+i~7)UMb_!jos6ItP< zsw7FQ-PrJ%Y0ck9x#bJWG@Y&&x`Xzxrm|FR;=#MqRPw3a- zF(~$Fu-|nXzOC?wR9FUZyLPVVAhugPg8Q&rr1B3fPG3HwYA+J9oxl6}T397bp;fH#FAduUDHUSA6j*KzyY%(` zH8&T6qRn=WgliL8>rbFDL6Qdy6G_sM`qk1AZ-?SkwI>BU>hX-Aiud*iv-ART+NGQf zI#rgAB}Q_}MC`|ua_EG(?P1ckSCNP(JAR7zQ~n!>HDv)NpHL+yR@=ERU;B4RwZ6WB zCu4VecVwg_5Mp9|%#L4dF}%JNXmpPZ*brZ>KDLQRzLuf48H4}yu}#4&p3y=oU-ZL$ zH(xsox#SMh<0=|Fp{vlefAHC(8Rmfb9$c{3(wj)U^_ZTDn9Z$1J$`mUplNkvr zC_B_32j>!c_1)O68B%4^y^#w}IA}Q11{fJ;BN92~4oZfqoz`>%q}-@8?Q8uZ^MkUb zenp_qtz|w{{Ini>g`_%8f@@8Sxf1<%gJ_(`;f&48ViFrh{HIvoD^LSpWTHhtvg^E_ z<0GBdnRhkB`}JOphl5Ky^3xUj`ymCud#ytP6sB6?q{pqyj9Je@w+c54G-q_=dCq;j zWeQJBp!(P>|re|mB>)AGytsFJiNEJj7o%Do+_j(X0%_=c=z5RyT;r810Cy;qjf&h$Kiz;<@J|N z?!rzzde3HVrUaR2^^?i2&ly>G(GfB$X^81wu$_E=c|a z*;Ha2B4>XHl{+i)h;o@YsiL`fqZD;}`*-MU_QTS`s9x(AO*;3T%<&QajA|pPgp1t8 zpG{RjdYK@l#^c7B-^~YTE7Iw9-@P!{uZO*?cG2qhBasv6L}eOr0m$V><7r>Lht($& zrwzlqYxtH`n7{e-E4Bu60pQDnr`C`j?8Y{qxfN?g?u_MMC(-uN(e&5+n#+5bi^n9O z)=1Si#sO#B1SyO;#rhHk%owAdPnvwVHu2^-YlBnuDgY!DHZ7!BiMELu@uO>6#4LIT zC4DvcSaU(&tQkaDQ227UM!O%4=brRLIVgLcErG;!W#kY%RmmuOOiA_!$W)*l6Sshj z-;ael^WQ#}yW^s@ucj06d zJvuXj=NdR4NN;iM&ILLyzfl~)5DXu zBpQggO3mRPbv62%@6Pqz{sNE*N1JPE&VPw?T0qD>)uf| z=T|+4&+CPd3rq8+@L)E~h#13#cu2C9+?>YR5~1RH2K(LWq4Hbn=^x}IJIsM>@P5o@J^Crfx$Nqr&6W@qkV zcMz^6(<--2*uxr>1sLG;>W{?=YMdkpV1|1rfmc~)BL>%^sJ_}^J3lD9Aq0YPFvNNm z;hQ~Dtw)Nm`Ap4jDRP;*r!Q8EU&`$scD@9H9Wmb$ZQZ@%K>1_2S$9asUfz5UBxV2* z2aDo(C#j+|1CY8AgcpRhEVB?n=DlI3x6-erYit;G4UR8Zk*v^~G%fG(EP0=@JY8=^iYx@0qVjJZDmQV4q z-_V484g5bwq1-wSG&Uh&S6i}w8rn2-ixHBKcej>r^g&E9pfdmKDd|=doWcZ zCD{^=Jip@Z`^qfSB}+jM9#!35IKC(ymY0{O9yxl*xV>GrB!!TXcSh{b2d8tlwrK}| z`p#O)rwub{d44A_sX_J`YDfiV*l~q{t|`r)+|NMf=bIlq|6(RIsbeC%%6|ru8B9m* zAXOBBbJ~xZCWAb2CpA980^(Eq*HZ(ulT@~PZYO40ro#}13CGMvdh4_&Zi_T1T_O#o zgH)--;j&@FHrJ7}v$F_hg2m(wx}VJh7e6SjR!xBtEHsr&#N|jI!pNL?e7wH_5;kfx zu8`}rV~-v&u3E}m$Ay&5fuv+Ll!Al)v5NnjZDr{P({RokS&qD6yJAr0kG~ocErJA( zgQ^{Mjj>w#xJJNYzQ#s%CT%2)?4ngRKSC z%CTc9{-!-Z^yuxxl%-XQ3861qO#%7QcMMKv#g~mvkB16H?c&FS-QN_+Q-pDS78ZK9xZFZwEyl8==UP!}FH?D4D&wUH? zoy@A^W2)=s=TZ(dW>)ha!6zpt{kS%SnEd4+uV0dXe%`05q-dhr$R2#U+)tC?$4tqI zp3|D-rDD=@^lZC2Kd(wVB5Nj{2dOX;mp&FO%-qLQ9NbxRz3EFFmUS1MELY}xy+FHh z4Lio3-&q%|ow!{BHlN44rVeIi4JvP7#51>JQ0z@=^|0FPbZ&>q-;GQJCM&y%PPJb% z@nmUYM(IgC9&f(3ETyGYR(L-hvF7N4$ShNG z#;elIgE%%9kSmUaSIZMDaHLzy5DZHlHba<=rgc|I&{TYowx{0G^X*34KwWDwYs8s> zu+H`AN9e>JxejoBVASo{^d8Gr&HFic!D!05FOCNZW=u6uWz`S>oL-x)UvRqmFt))O3P}qnG=qM zj)3Y%WP8vde+J=)Tlnl#LP(76haLzcIYTyHkTJ^O(}2uAmnOk5c#m9%t2H`q@*jQm zH-wsRK>frB_XjZe3$U^J%DY~zQL@m^0u@+aVWdri^3@w<0YQ)~0{y>5JO6k1(A7eop7k&R-TH|5SK|HW65v1a z@%8$nxbrV}TIEgEegHK-b`aKdlwkiSpoZ_E{2vrGJKUrJpXa08Y0q#Bk?Q7Hv(4tz z^t8_3jKVL~t^j&6P+!n9{9La25JU;Od5~8EXC%2X$MfsS$;sQ@40fCIiwl>Hgt)su z7E1pR1S72q*+a1YwT%e|HMXaaJI8A#hI=hs2i5d|LyniJsb2;qd}o;>!xbUitpwF_ovLg>*V1wOMr z1xn471^(UhfqPszsx&I3XL3J6ia(IS39DEk&Fv3}Rtf^3`v2V0{}*NeXqR4(1g^y; zxw0hRq)B0!%tfnuc6D}UEz5c7Pw#l@Pcu0PUZ9>n+=4SUePJz? zZG77sWsBg$JVc68t)c#z=50A@fS+)bmbJV>Kg|O|p(`#O4sRlL=J9a8UOyF^#S-Pu&*F=FF+skKG6Z{zJoyw2c|A)gG`iT}Rz*+h+2| zs20gc4zbEUU@jhnE@o$MF#oKF7+ej||KF>5jK!+&sYka4NJbSV@#p5};&DemcITs9 zTwErlh+2V4O_xs6Tmg%EpLR2q8uM@{qJQa7#$EId)xDz)*!--xh8nu&j5LkiPk;SV zes$N_0P1b@?hE@Do;#qUlTCBt`k$B#4PX;5Wbi)Z)6t?_U6jwz_(5%-r~ zRQ}PyPrC_6Z^_u?%%_vGhQ4Qpx(M-$9p>{#W8zKLKl)gg49L1qA$8LuWLmUn#f=UL z%{ykr`yLkoFv?fYU4cud)Jl%K3E^tE6nITskU-czbx|VFCOoFd4U*W#9dQh6UyN=~ z`(k$6XD8dJczc@|H~q1E)=3oz7G>rYhZ65vf%M4zJD%p>+uwgjOa4ELvjy=AZy=KOFS}HK`ZRxEKJ`EDQifn9uMQ#v-$D?^%^L>c7=U37MKwnu`$;TPA`mGT zfSm^g5Jc1u#Qmp)UGY7hTWpY!f0h2vh-(onLNTDW&!m+z1|azVE4_b)2XfGY)(Xc9 z)pHc?_y_af z3RYVWBwuk5X(k$3!~aqeCBN=+aok~q$wVqA5JWojXYwi00p>>M*vw&vDs;DB!-XQh zr@^vVi;(VanElQ}55jH?rotzF6-)<)*b`29o}gvl1kk!geJm zG}0PZ07?--|EIYV*j@BG4<8#%dwmFYqeNzpyp5&Hy^^C22f)117_4!je#vY5PG3|J z1_=BH5q&P=Y$AJiAB2{#f=9u)j-_3j#S7%@5MsrcDPZ5nxtluiMdA#;+BUL~y;FR( zTlUAwi$oO08kS6?`(U%_S*OK253_I;R}Bzwxa{-~V5!K7!@2k5kTXXe4xx7i)p_3; zybT6xnI>w!N`39%>cGm&%4E(u79W+V+!LutWwOC(QH%8`FtxNfGVa{%bgPGF`|>Xd z#aO@RI6TnoI}9-_(zQE2tk6fylm0UGnp&@}t}a^rxB+e>)^I*LZ`8sp7A~88&TQAY zsa;P;LgsHPtn12Shx=+(ay!Ptm2OQM?lv9Xu&Pj{5 zf2*PV`)zfa%=PQ#Xmd>M{rP)#r`FMMz?*fkMn}(5lQ`=N0{hyl$%XKF-N)QizGC7ek>}R8AKkW=`)iKvG99P%#H6} zW({y`GQD51-$()a9fs_$Pz`>jjW{5^bcfkt?w)N8_Y+6kjhpyMOiD)19*G4H6N=PZ zKY3S|&lO>x4b60>vtf}F+Le&L4q&rtJ1l)jM{Y(IW9P5DHBDcfHGAePDu-de4Ppyx z#rbWf00tf>A0q&5nIjek-LsaOUClsq-sZtIX}x29g>B%-bKCy$qA|!}lwPr+cQb#D zlfVAKdvwa0IXV8|s5P1WZAvJH1iKm_(wHq~le2B9-j#D=E)q%T(?oWy6w_Uy!^@|& zSkI7+-Ho>i5IHo!X=}dvsk2^x8Jx0DEI^z^y^wB3U* zWmAR&Q7XMQ5gG9Ow*35ckBci=yq_n`bA6Y9_hvsw{3aQ@oFN2zT_E!OgZceyUm=g1 zIv>CrycH-l1yi)m&u2ntDT*N*^6h3hZnfs0L*=hIENdydr%5Qg>61F@OEqxEFHpbLtMI2TXp#vrSpkts+b=-xk7tcX3| ztu#v2`4IYH$9-1-5xsFa$B#J!KP%YRdono(c=SWZ;j$}-*%9000MD;YMCsXA+rXW# zj+y+}uRion$tig51CsAIj8HRAoNzdOqyFOy+^P=pAMhq}+z?Yo7 zvCC~5R_i(jbioX2%xsT)!9~RzbV@ROga`9oJ*1hg_YoS{+n8jNsCJ?P0mlrTJa? zz>d87qq$sq;Kgq^IXS1nrF{k9{6=(e?NL*0$x9S(XZCci>isZTD0!n{;5v{Rx98da z1jVlmEenmJt|;77)23h*hotnnG)({>gq0tzi4`EFq6) zcD}xL^myC$dift*3hnI|w-fWRxSsLy$vock})_<@8=fD{T)Tl{iY1T;S4gl}2 zk0~{BH?NpJ20Fkq1U$*kkSSfo$b;__y&$I*YiWBLYl5U3`hVKp>*tacv*;Iz{dN{o5Q$i)p{df zWpdZ5`H>UFKzQJ+F~vaBeF|I0R62(_T4w41NbCOCho~ju<8@g4%7J*O%v3h#w^!KJ zW&i7}wkxaETtp7LjE=Nyj>wcg3-bOwkq^%++!ZB(Ryc&~GQ`Kqm15sC_T)pitnmj^ z<@{b7O1{SzD{c8{1C~vMog5f@ke8dIasV;MzvUEhV7MUxT^qAdgn!#90yc{fr5BKA zO0+*Ys5xUjxa5Uw!2^<4dhcokA9RC?uHRUo56(Txr+CF8jefP@gh@RYV{nDw0g@Fe z?@Z5IfnH7794sVoeQdP5L7d$M<$D#^12G_3y7R{JykXeb%30v)qBkRH-rEi?nu+5~ z_L~TMR8YhikwKsMZ$V_(Rea5~lqn`PE(VdO3r>C7tOySW3dCyUWCn&0UeUrICNHC)m9&Q*)Vk8H9b`;x}f=*&eKuQ%l}pJ`MB z9DJYU_Rha(DphaPa@+6dJbCHKCbZeouK{tx0BtvYQ_~BCKJD|%+Nv&vAqvl0kMo_Y zuz12AU`H!2CQ*4d$DMa*^cmUHZ_a#^kDkl-v_obXyitgCCbN3AWdx~Mhcs@w1qutoB3kkhZxlpVIoMjljK8x}vG>{9@O6G(@w z!%u>nHr6l@;_ipcG>(>MGx*ETSuc;r*>$5| z=7#NO4NHvgkDzUDtzmM!i@XLb$RJIk59TYH&#mwzs|rG+uDevVX0Ofn8|q8nO0q5P zv3V=LBO=$sn(jW@2xGdumu1rcz7raw&Cu_v@~Y}L@Jx{BUSRCrjCCIF&bR`-py7T? zuxD++6i-;@i)JJ4J2?gz&|&%?T=;l;gx8Ax%}DIX~JCumta`nYV>Jts(Uy;SHG6P-mgFjZ6O{kk+v z!CT)XXxVf8+&|yB@bz!VWJ6QmvX5UX{jlkL65s@cA{$?p@y5dJWPt+s`(wvw8+o=q z;D*j#vzMau4ZCtCFAlw15dXyz4B>8;*z|Ck@!wLxhF!Pre?O{$1HJ=s1(&eT2(t|M z{|<}0`|BIwN%ntai^4ec+(}DBl#Knb2b3o;;_A2b(0Dg7`4I0@h7wG#zGd`&>$V-@ zfa#xG$RXFC9?v}6v+FyA1VsNqaf#=>KStpA#Cje(9nazuKO+&(ctPWhDcj1CsLi)3 z=075vKLWAwhBx2saZ`B>Jd=tevZYSVU{fA|Z1&6WuV}d)HN^bsGXRBSi~5jCZ{E=7 zNs4n*BV3}JOET-|x-o6~o2*Mr-adf)wRIo*>bu_OVKM!+1Ypt2sYS+|D^jpNU9jWi znBY&6|Bc`F!IqFPmQyBRCugr-y%>9v5bY@(TbY`6=;KqfhUmM?ld5lAHR~y(g}zd0 zO~_H#YW-qtb0b4x{@eLNF#(iNBsB)6fuuHBdv$yBYf~+O$SxwF>~q~!uvgPk)tq_fD(^^}de7!50%s*5r3Sk+wL$uHeTf?X)H*~P& zW#L6y$hlp0p}tG<;t?KP`gDW^OmJRdXK)i`kJ|IO%NdIb)3+l+2Ivd?abjX(CJWYm zISce3u4qpWKZ|%etub>(qumD`#!2it{RNj6y6$wpRz|0j+23aF6_=s880(8Y-p^uZ z=yFec20u-e6y(J-5Nc$HHV^E{6-K;q6O8?4S!AUp8@f(qovq>aAb*P}{Qqcs$H2&+ zEq{1oOl+GI+qP{d6Whtewrxyo+s?#xCbsQ7J->VJ?%jR%?(U2Ize&DbeX5SCtE*4d zIiHBm`d7Cq2c3Q-L+Y3yGPK8_!&FJNy)My6_4~rGfb!BG z!k5c#WjkbKOwP6UZe*;!dg|=)&kiK;O3U^8zypCryXBx1H5NniFfD`2d2RPOez%;o zkq&^k=B~clha2WVPprAyDv)ewwOwoRkg)%&aO8e1&k#Pmj~9AEj^#KSYB5VWQ5C#sg^Af~6n~pPT{g&Z^d)M7O8Q6(PqZYp8DT z4e!?)z9Yl4RR}BWpBogDShN@bNi6&P?l!7ogD^7Yy4&L-uC1=!4cRnb+8!>NlI$aA z&d21if5O^tBX)}e#)mj8k3Q!s2&=`e^!9q4dYq#9+4`gTyeS3bRR?lF9mw9}d>n5dOg?k&)W{pm>JJ=U5N~}`CE($wty!w{|-+=Q3}C-#CW38 zM@a54eANxa{6U-kyU5AEMTMfm#CZV07E_8@$p0bO@^4*Wk#`l27`{v z*uTxHmK1qYbnxWAeq#JDLsa(U4yZq1eCJS)g8{*<|2duon3+S3BQgM~WTpOWn|+1; zVVJ)MzdDAVJ{|a5NdDD*4M5`L|30|L$jJDQ`x;CP{|DDK*#ATz|GVoN%>VhaMlTR7 zAkN#D`zUvQ288lognWBo<%_=jt19z<@%vwgQvU~S{~ttBnE+X?|D1_>{_pBq!?ET5 zP2H{0FIYSOTE#z!vHyD&S(yLLp^X0*4rTnG9LoBiHDvg6G~1VZFJ}#d|91`lrsqHX z-Llo=|JNG-;rV~1@&5~_GW>5;_H72j@A=m{GX0Z||2h)SPS3>gADIDinQb>%>pt)Z zKDRx&O%=*LQyPx2#8;h~BQ~wsWbFBz=%C46kc^PYE!%A$m-OI9XRt>JW1KRzdZK7} z(lOyogZpR1U#51&Dh#glmKr^WUD}qSP}*g$i_qKG%7|hGVk3+}@6Es~4(l zf7E+izg!c4smJ}@m~S9>zB-xXZfoH4p10B2TA%1@yRN76bXpC_U2gDkkoOpc{{+xK z0tV11`}BM};>#$kS&vGS*iMDW_Tgb^@3K~o-iT(&4BLoM<2!F@&IZFi?tTi>?x5L=u7D{D)JjqnWKfA3-- z)EkWIlI@aiQ(L0`^`w7Ns@$rf2HZvi%Fy!I>K|;xn~aZy$bpL=$3u+{AXpMstQXd2icgWpZ6bvq%9b zR~6W}S^Nf^nQK%s++}u^<_Q)8-b17bjkcQ9@B=;=e7St!{!!P2$+; z^)NxMyyjZhco_OLbD6Iv5Zah7HpfaZ=pbq~XIw2%HEN5)<+94QszSGQ|EtEp#{1y= zw)D9VM`qaj#>IpFgPLts*3RWcwT&EYrZQ{NFKE{rX>j5Kz*N*s27lNi5Q1}dWIwHR z6sULuLZT{%^0+&J#cAO^df(WVzN{3K?Mh)--Tz?@Bv%D*^Cn9(VS`c94D0?9l|Rw2 zdghdwm8nCAo#%L_tBb$-{(jsnIL>Y>$K-qh#`8({<^ZPJ+};92)y~~X@{3vXfTYz8 z4DAE(I|`y3F2BgfJF+0AQ z%jarNX6r_0(@O2;*~bp6vlWD7b5q_!%A~YxcjbQm@oOf|Cue4M&V6LXEc(IJb|UQW zSYxab+SIJN=N3Ty9CnlHPZSq2_s|q_0?nZ!NP8Sa?dggm3*NNYzGVRhAX5JU6QLDQ z@{BYa11p}Q^SQ|L&9{Pz#0iS;(F;?>#>VI+k(@rOKCd7HC5NmM&tL05UpHQY>-em0 zZg2N6;JbZXBmv;iTakhG2)_q@>1Hgv#;AhGolV^aY`rF=Co9@~W^Q8-=gCyOkd==o zXeQ6r9jh$X!D+9IPgQxSEDl1Pa^?l9N0-%A_(c0fokCH~*f9k*K?za`*O(x^Q49LE zWPMrGW`X`#N*8e&Hwwl$C9HBdCLDv*%8y%+D%U}>B z6*`KIXbt15(e5B3bYrWP-Yj_#)Co*%2kRK~jZr*KRhhhrHtFVoTCFHvo+PQh7wu6z zD3~!3S@i_;w}Bew#Hw%e=7!ETh7~HTzerHaWaeB+gW_{@=>1_$j?wP>(>LxPR|S_A*2 z7XZ$IrlC!Rf_XE>+Q{lm^a|rE3+~-d6ckDSKW6?LHiG8GkGV1EsAj1(3|E*J{1a4* zRwt3a+lHzEfI3mNsHNeceT`<0U9bBc#6>Zz(=WP4aGgXzAS`rr7%=&syMTu}jY)@5 zZ6jD2nZyPq2dx+Rzz>{eB?3BxLC7+k76H%`UoF%$NQboYtj9ee(S(?3SBu;tY1!V5zDz$1Fi{X0+@&f2Q%TLV!&n9FrkUZipJrZ@^x?DG+!R7%R#ETCl;jQPrQUVh~ zip{G@SYiJ8!vXQD56k2)-1~x(2p4QfKM`EIz$k@V21RFTlxW2{`g98YMAsgr#K*M3 z0EOgQ;sj@o65Br$i-9(JGx4NaI_alt+Pg5nNbQ5d`Z_6LwP~fItA>R2ekmwU3mS%u&!>?tJV#rEmYux~hGh&%#=(2)P<#+ZQl(G)g_(6& zpW-LI*#rvsJ}$lNW)Sx_heXPYuXFn=rOSD}{qGX<3(0_kscQ;T4WLBt_z)tYCgonK z?}^TN6m&u4)m3m7KBhifzt{BOSKl4dyedqy5Qg@G#8WJ&|kVkdB4HlSnpRG&(V4HMm0upo;EG zX1Hh2&9VO|7`mL@>Yd%w5%eT@J!Tt4+5-tQa#d|RsFbfMaWz5drA?|`q<~2#Z7(WB z(Id0J6W3~PSJ62@m8o1HJ9G`HR(Dm+>-}bCer2fcI3mNw8&5x+RYFSn_RA^t2p@31*nqE{~)GocV>}RnkC|R93 zT%c=X%BHYo@|$%2Lx0vxt+lLVZnZ)!)SmJJ*+$e*Fe{mfu}vkG{YP$JHw8nni?&Kv zxZc!*-Ryjsm+J3EtuEatRH|hcYN-}HLpCf;VGQbir0;-|t0OR4LzE_N7aVeA^F|Wi z@<^lmc$VtpZs}9j3L-9ZzMrozkpM&;ja-s zE{(1=;R4wf(qb-^Z^ac)Q@_|YFMOM>@s^6Rb_)z9KrU-3V1C+yS*Xl=*E1^^R7O`=lJ}fYgksTMAf5N7m5cJNr$Bo7MeLMv-cKS;kg|oJQaJ!Z z@>_O$UZ7~SjTtKOcYg~AIxLh$VYAV^$^KO+zT}=|TwXdUyc0k*^u-GkG*YBmbk-(C zRLtOWTfaQjdSeb2-Z@#-G3xf3Q>vO`+f-&=rSq{0rnV-S8cGtj2nZEv@^@Rkz z^AzIr@PRErGz12cP>`}9y^tzm@@Yw@+qB?1-{lAnf?i-rMu@yj58+-^;xFZfc|9K5 zf5(0wyQOl&eteh*U?Q+zvOgD6U6jax3}vs&fQFy99Osc>6)iH|RJJT|em#X|wAi(2 z=QgzJ-4M@T_R^|shRyUmv~Jw#dr56hqSila*$~cMw#vYhJj*-93=&w?v~~G+;v{$S{t%o`8*P`|0VZDv1hhxGm2S zep2$`Deh|$O2~&tL4qB(PU8kK%1qP>nx5;|93LlIhbZwa9dZ+O0@|O0T>#|riZDu< zJU;tZ+!0Yj!=3I{+RsKBXef$1W*h7$e176-q}J{ZMTdk#JLgs zWm~{OEd4tQi8L)3l%tqH-#o#9E8zs8z%3{!suaCoC!%uED>-cAv4Ia^!|RJd2gt8J z6D~^YrHm$gHmWMAJu^ez@nr@QU77D&@3L)bc02=^?ICR&ex&y_uD|!Nb|=x#MpNYS z<$&>s1#fhCT`+f)pvsz5{jyw})kneRD;}W)3~92GXvFB7O*r;eblMMp?>8C26U7=Z z&LAajltwY~Kgk|deaUDPfKAw<_N1wGeiu6>+W`Wg7(jM|CVTpcPW;Fh*DJ}ZuR}E7 zK;h2iUr^d&3RM7acOX|5`&l%hum>|AtFaW{U;~UNn{Dy_dRD#%%xtkpDk94do0Y^P zcxtlsXEflPj%00LQ5o!%5zG?8`YIi>4N&e(?#35DDou<310^~|ZV|3@;z#*N#VNLC z;U`35vFc}iCmT0B(!=IOK>0BjHH-^w>Nh&xD1S(nm3+D;{i=!!g!M}l^203Ve%>e< z{9XN-WMlt&U=9hB9g3N@X4~i6Og5bqFkfc4p5!$FHE{C5K^`NCb;J}jHTxw-gaO#r z@8Ef$M9U72C*MX3dRhW#ptyHtfh{-8Bs=?`BVB4Aq@W`_j^k?pXbBa^@4;MVY#xi| zE`$_l+7bfxVpB!%Xr!RH_T~aycG`mFfF7!~vl7q|jX{6)u=@Q1EUa0+yAr4xazjLV zB)?4|{Rx_aqO2uzk6al}>$+*FLK4)g`AgceUL2FiJoJb+-r^C#JJ5~|BZSj5AJI2Z zf1^);Y++jX>!dISdwZ9`kiakz`>$gu2S-jMmv+a{4% z*)jBBE|B>v+lwG8g)haPnHVeXMp`vO2z5W(oQ`JP<>&y<6L9sChEBbD=EiUYifKYf z`zx@8yTTxFJZ(V2(N%E93GGH*XQTU7IX*cw+=@%z+!)H_b`>!*_xq#p`J4n!aF?Pw zWf`aYMhcOFb1-E*mqhx{S*=O#cx4A9JY!6ebCNmc!kz}gsA?=WOap+aC@ZK6LUaSh z#^xCgE8?X0`m@*E`a-yKtF((DdbQDOSo>}rDn-2tg0a+fiH^`^B<^+|av#ti4u^P5 zJWU=htKl$XdIH_N4}-9MEaQi`{2r)o-6YA>VW3(FI#_9AGyQQ3sGU2R zHB7Rk=gYs+FLZX-_q8B}0B25|d?jl_+x3AzoJFx{2TE~neUO8^71palYKjqzYLbyU zy}l;lNqvZwx6-47dncjEcDoA{QC*^F8!=#h+S1NFP5AJFOoS1Ydi~>)m0S69=wn%F z_UFa>;YbqKxt%R8;pXe@#WX3NgW1*&oKLDQnt0Pkwa4BOt<>n=hpX2u*|lE+4Ya?v za~5NCAe-&?z4Uv_3L{ADs+V{dQ6{{5JW^C~Q`z)MyZFL!*6 z(P17gMJAsF{w$qqvX6AF>uC{gl1m~3KNT-N%|hIKw1~_KU{z_c^ENJcgs>@0n;qoY znNawoXmC}+eW^d71=3=~X`#(}R=c62W?5*{>sD1?^L~>%2xklKgc~p}3NmQEO_V_< zFS({HvC*0>tITJ)fwvG+FH_xWoN(rm?tYxq5rycWj8$9qqXif*u}CZN6vP%qJC!iA zi0|p9CVnLj6vhzs7amMftw~Qx=0}wgzY>9*IBYvzeoA6B6sl^-R|TXS41hd9Hi=$Klha(ax4S{PBh;2)sM6BNU=V1{Fw{J6i7IvneAPAJljH;dJFA<`F0*Yl&@#A&|w?ZMW1a%xMD zY-+0EUG3QMK8TNI+vya3*Zh5NU0Sxhdi(HAnvBBfup>to6Jz@tYX3M#v!(pHtk?xc zd&OshLvHjM#l4p=eX2@D??;_U-(Y4lvWevL>0N7f_?7?&75ABf8!UW3WdH2AWspqE zR;U2nHmx5YN6czI8)hn!jTm-@1FwEfR|mom7)*NZf(|y8^OhTNyh?DEn%Pa|dn-g* zWWC_u1H+dxM!b8Q^Ywjeh+%*_>XIIFh0+oz}}>y10{V66eePbsmdJ zLVk3ij5>vzdGoWVj}<^d=Nce^oAt6s2p)@m%G-<3%$Lb*87O6Dh}L@TE83$&#={KQ z-?-p1B{O7@RDVSog?%8N;dX4l54Pj71F!F5Tm-b}d0)FqF=C!e#+|9Sc!i4mvVl~) z@sq<@lo=WjlRDM!kw4Y>9q*P_S~ zGSS+;8I!(X&P|-dJ+Y{0DmV}|L2QFYQ1nfu&e~J(2IHDAL2RmQ*;HsZd~{c^NN1a8 z7(1`vfA26eR7PR7cuYN z$*D$?njVsxwij_4{l3%Jqtn_b6FW-kx@f#4t#wsN6CoURaS{!0RGqPr&f5Nyd6BskYio!JN!HC9V%CEF5S6lqg?xRH_85}%N*oR$tzo3D z;(2oQq3ibc+nzuF(aRrY`V zsePix+9_d2XG6^sd}jksc`#C^HqF53v{thM64lsZm`ttd_I&^S?b_6ojS<@1VIWj8 z0i?Qij112UwI-E$on|u-~A5J zAD2zDfTCSo32a)fS>%%lYPxw5Y6p{+Av5!~Ns+ z6#;L45sqFT9kN|bA+FEEH~ULV&YoNDt!-&(?7XL6Ps=f+IW6Dt6q!F(9LTnCK_pJe z4Mc8DO%NmNo^LgIH$RAZ6W@1l_Q{!90BA-tAVg_(r=w|!j49`RrP~0A(~Y2nw7{h- zYzN(^{{8aXhDWuM)2GBo=^NUV`zD1~gz0ve(hJlF(x6=yb$uqlX`M#U#O-vPzFpCLNT zQMAJFF@!%uLK5p8i3vNBR`JEvDcMr8zz@lO4g=2OSYn=IOIuA89Y7i?-G0GTy2UiByK&%0Wk7QU7)A%2F5c7$dm< zW!*=U?D^G&A{mm!6xV~MDEQ`8I~hN2AIQT$gmBZhrW7`Z%3j2BybYK@;BDJjPoB#I!VIBm;dYBvd7E z(lSMGz$*KV6y1O&C!>0AS1B04lhI9eKhbXhRVi4!NMoP7Q&I1?aLk7>PvuFNz^P|K zx}MFsHk&-9hg&Kuo|$)??x^^)+OYEY4M{i(x_Jzt*Qn61E@mCnTcC7)R33?6BHzcz zf1fV9iG?r6Mx&th&eEp%qi#^dQ*_cBG_qAi6<-@G?fxovg3Z#TEd}ghE=Q@JooIho)YKVhZ0&nB497;F z5n_sE?==k?W(~(u`h}n<`p3Z~A%TXCB>Kieis6&|3a*u@F5c^7?z0GfbaLX2mkZSi zLpP9I>?6r+gw=sw_%Mnh#)8fkvhv$D z>t^H2$2s}CfhQ0vui;{f9p5raKpPB7I9v@ri2J$%p0Xw!C6;gCOsZYsFpzv90Q1w+*JEyQrpbIT(jp#WoT4@$k60 zT64MD2vJv3L!RkeY8!FKZuPxH?Um<5j70DUmyee!#zCLXI_2SIC9iGFZATT~JapEz*SjBM_|VlJFL{2r zd~TxuUd^X_EpmG}`QSmRf>vp0t7xEEo#b(cF#P@ANu%2hF)?WQQhw{><@&iP$JO|0 zdVR)s`P%~++NZ_m^6?(L!Pr_19HAbrhiZ$UsvxH+#8Mqxea+A0-S1~0P|?xW^OOh+XK>oG5Q>KjORKi?uRyXE$!X0P01kM}f);4-kajUkbA(m2iP6>7WECAV9jpa(SpE#b@;YqDHuxE&AM_tXUn9SK zyj;B92bqTtFAc&&>Y@8=@yS$94|rIE=~-@R$>{mI!RjqIKW*K7bap3@ZYj=b41AGU zOm3r6*6Wk^srjCUayf+e5=Rp$=84f5Zyvvt)P?D#9y9GUHPYN zXUpJso<2pchxk2>CXYxybT+Go+p&(cHj+}!WwdsLC@Q7isvb;v?)tpyJ*2(e zpg*{T)CeZJHkJTY$?Jc(w$Bo_4wRZxVohkZc=1C2Y~7wV-XPxsKX<(;5!W zpx$8Yhl;06g1m~Ktm`?jd+9Ronp$$*dj~GxW%F==ZZD_T>;o#Vg@Iiqbpf;W3Ugw; zE6spB=)=hrZ3((?CXa4@Wm#SzudD>dSdSA|mGnX)cqXJ!`lt!X;)Ca18~Cyl>AVoy zQ?X7XWg?5Ry?cpXZcrOT{Yv5WDVF*XIqvRij*Fg}?;}(V&6{#Sjvjc9`RApc8UNZW z`&Uf6si!^J(~VUuh|3No#c1^)(AK#3vjrQiAcO7Fg(|~FTQj9f8kvGaYsuTs9*#0R zeu3#V;YCbw{=GxeGKU^1gr=QDp*izRR1l6il%8d4CPP|<5oG;XhS((tOs@*GMK+qm zFB_{05{x{(Pxn#dp7-CjCTBfm(b&}}ap&84eKjhg2lDD->nVP*hj9Ms(WLFQpT&4O z-4Im`5*)dKw=J(inaR!J>(}*nI?#~(NM6;iTC_)IPnGT=C%pDYochMTT zO|v>5ebbk2kG>)=kXf(-K@IBQ3xN5}k8Xw-zOdEO-jgG9GNsbPLP+as21_~f7lF{< z2)4%-l&h8|Ihi*)YmqPvr-|RffCg)B(lhx)2rs7ykl$8FKC}URR4sLiv+j#!-dmxU zQO#O~xTad*V7e+C@sbKVqyl0cOVMY=P7!~JKK}wPPMXC{Rce*TcHHa!b!Gjn(iV_6Vp}fKf7xTy!oKCI?g__m_OimPT z`hn!Bud|ZNaD#m4H!Hq>A_<~H7nTi&t!1ioz*P^BLWfaLkE{dNJU(%NSicPgRS!^QgU7D7ASm-5x0sl6nqXl0pGpCXo{D99!1 zXXuyY)9d(1S5pM23+b2F4!AsNofTTlYvuIt%0B0e0QA>cWz>rbc2M6=*(}OGW{`$- zR1n2457I;Niyfzh8dXjYs{{#-jodx25E5`?tKeQRPCtxHbTvl^fA7p-oe}vFSl8Hs z=UZ-__xC@etU^*|YmnUO>jfM2$?-WiCIAzAx{Ia4S{{~Bc?G1&|-ozx1Au_e=S zD?xuwpp9iMKSrYolLOd<0od#S)4bULYrh(eC7B3Q_3H0&8ea9{s)OJG!58qN7-#Y* zkW5y{a<}k9=#eczby7|Esv2xVJX+3`wU^XL!a4!xGR*eF&<@ovJ3jDc1Q_@r};+FL|=iTH%Qpv=i4(tFJ zsW7RAt9=XQ(iN6m=6($*Rs4Ei)3vh9h#?WoO98q**F$&QKJdo$-wSa?OsBa|q?Hw~ zoTq4ABA;P`n|=bpk6XYro~>=!8v^keO?nWE88@L<(+?YOv^W|guk<5VynxTl?*w&B zmpO48@*~L_IQU~47sJXsJGF4Z)Pb+u1C>4(%RVFsO*jtFZ8nf!fDD)rA+^rf z!OCWtbw$9OnGml$eyM}Ci0Lu**yeG1c9+cy4=4+(cffkDAy|TNSCeAD(PBQlUUeYC zUabkLgI#stD*sIP?zS{Dg?#nu79zs(Y2SGf&CY0ZYNZ)qO4NbG)JM2HEg(xkgf8ddcH({A z3inHL4io{?4ap%ue>2E66#FnMCP6+3Bo(T;Df|UHmm5#0{u!^-Kb}(nBD|_QyG`Cs zf=e(fr9(P?FaF{COGVP`yFr=Iv_YOj()SP%qS$jtWMGJ$RPM*ossdnPi_t>DnFJ?a zaV;rp>OGElB!uJ2EhYRjsc-x{^vip{c8qi+Am+Q`gXa-Wp=8Dd&!uR1ggO1gvAS3> zP*A%RjHq*I3hCmb-Qy6pTT&zNqJ&22ooa#ohTwpYW^1L*M&qUFS%1aWA{$Yd-3qAb z7=8a)8?+|K)(^tpJtY|>cgc)3b`*3o>?+LDhqe|C$U!;CpDlhhajk9U@-+YQ;c;uP zH%CXe($8I-mPaLJ#J>sS-OHPci9?T!J|!NNauyIFLD6kOcY;Ws7q{bJjE##n-U;-b zl)3BT0J1sSAzGGjZIB(BYk;}Zj_6`~`%+8I4P$bmiWIo;P zMp%9q32Y|SZk@;i>Wn-7=Gfm%j@^u4c{d(>CcCCxcX~$&VkWhwR2x7CSxriYKpe+E z;GbMG!-;`B8=5D-&NY4tH5#~=(PE@3zpDhTAhSF~S#li~R6=H@i=rhfPA4qG+aH>~ zlgg3oAQ7y5Zr`46l_19=oYALCqmdxTo?oO(&S+nQ#xmF`9Z#0yKH?2MDmIS-+#e^u zoIr%VuY@qFV9yk6l_)1HEMtJ~Uol!toL^M)Mr(N^5mrK3Au#5BQyMnUyen8yLP2cB{K*BPF{tg1zQJA~S%r)x*U%Gjdq2v{U zbB7pzI`!|^8!?ECh)2#vqJ9gUvq{IwDHO8po6J2y?$QgEp_b(rMN)A8PFZ>-E=Uv` zhe3`^r3{(j^kWs@zKmE-tZDkB%%zoB%v?C?w9pVu0IAEQ)s4U+t`Dd>RC4HkJy-m! zWsit0DHmA@fb&c=4JGJyQ3T=CRsT&J173r%Q<8FS(=L5zs9Ff)3I7zhIyh!_8Tta@2le`@lu3XR%Ji28MX zo;%emGL;<1gAzZuY)dbK@%<_IPMK4}EHY3un%rEY?*sh8@EaK#H&ERidzb3*i`@?xZx44MpWkPek5?X^*VnrD z7hH7mJFp)T@Sk+K+TJZAIIFrU%tk*vo3G=$8sd->ShHP;+Q^)DmOK_V{mf}(oQsM& zHN)mf=vu5TJv(i~?{`E0FWezvwY+Wc}z-fre+WdE8% z2(g4JixPdk8s?b z*ZVP5PyST3Pxt7p@Ahy&G=ChkoQ!WcHZ*gn;TQGJ0f)IXl1j&arndCKb{XV^!qNB$ zk8?r$D0xmhno9K}g74;e5sm+7eV@C@OM`|c;_w&rKLp2K84sD+d*Io0#v~cI-+jd;@N6rP7#v#|lKK$u`IC z>6*dDT0g9=R9lY^Rwm2lGyv5kHU>$k+`#l|LoB2>4|Hr4HMFUN=bYx4Ih?TRn`m`>&$ z3LwrKU3r#b>%$i`ueP4t7B2^O_#tHh2*+NI(LlI5Gxk9{DY++fch+?GIQUsUp_gN> zIkT{Mht23u#-DLi<1F4cev(h|xt+mZ^(5olT5y+N)+X+4LEY>fpFfZ40o`yF~lT%=Y0ymsao}Z#PT6e z*_7v)w8g!hl}WwILd(;R4*K*sb_qa)np3)ARXrQF^8od7uos%jYpRjlRNMA<3vcjBjjz9!v?nz9Dl%NG2g;w&1@4?Wo|U(DffGI1?(F?HLP2X72OF);>h^;mYywBA@i)W6yp^4(H1nA1uYsq`GS$SKvtb);^Xg;3 zSrrd8Jg6+BH(50L`J6AN7E!STtW*r1{QBX4U^08FOEhIO~^{`sSPHsluHxp;9FIhME>d-WlR)4u|+kRft!O%f>k94@>T-cH}H*rcs z<4+S}_*|bptke&(`7wcQc9{@0{Jrwea_@@iz`2 z@Q1wYZo3ZEXgMPd@48R1$6ro+t;|?LpEiFIrH4BxEvaoYuCH}MT&3*U;3*jW7;Sjr zYEi^N*Ts@K6kcnnoLTL8z8#A=w~s5r)Lu6@er#ho)@^R=xsd*%v{mOOn1OA#^R{98 zbXu5kOIM|*kn?*L2juQ1n_48&hWbAgWdFjaC zc}|q$YdV@~Gv?POIy))TA&izB*X4=N+@xx)yBBQDvYt<`p3e=W3GNp(AD_0H_`OK` z#c7Z1l;`|!9wi)Z>svcCFsmvN?Mc^QJ~YwUsbnzz*_fqnWxhi`bjowr*K^9()?G!! zDNQH!Uih6$*C~g1L0OTNZli+xx(lnaQ>gOt3g+3LK$Ncsl;8|dQ`d!dID+dvD4g1JWb5E=b4A0>r+=nhIEoTHp z^dYV$9hLSYMn(@Q7hSAP3v?W z3hejo;AtZ4X_5(5QQvMU;)mxh)Q^&Dl0%NXr#9TO(d-u|+3@rc37ix-m0InScOIUn zW2r&z`yse&vO~eqz_^~f5|dmq@ptpSQ3WO3hmpab=n;DdCa!jTVcAtpK`SWS>qCr( z<~2O*6d+?+!`Ej!wK(ks+3G|?)zxk{{bA$BkLk3Rh?oSake=2}fDc z(gVZyjVl~ytdheLs3RD$6@xMYyF?1MF@<>I@6nuyOOErvdIP*=y9G0M%2GIj5_>%g zyN;}Rbhkft5ccjsqp?N@Xsh9sl)q7lz+A9^MPosUSWNe?R7r1_ygkI`9vD%o5~Ny* zwVLxL*ZnL=h$@WKOuh?qsOL7;^WwG2( zlAe!+$9v?UiU#C>EQ51|Yc;&fU~+a-?~*7^>3R5M=#9O@<(b|{#wKnO_8`^=`fuh+ zTe5S|o`@dgxH3*T;?lI`rjbMNxpGbcYPaf6lN|gIQn9K!#GM$H@9<@FC+HcHC&uIr z?t2%La^TUhiT=rq*N#i7;~YU}o4#E#N6#Zxm`Wt(UcmvF1pxhAX>xv>xmaHcOAjk3 zjblbb%RAzb{+h5)Jc3Zd8gm4EE~0-X1GvxFq~`3i|B4vFAw3Qgl4tvM>wv=|Pi&_= zPhvkWjyk0f=9|9a|$bn_yyOS{*)ah_k zIn`odk0#Bn=w+>Y5~X@5Esi*aA=5e8iPNxvxNH+o*7Fz6i6^T*Re?Ez-Fix)Bj(*d zL~_HCvZf?h9d?nsw_rq7#R(%Y`?o{FPSn@q6}9Y7pJyOT$PhMTPqZ=n08m1)xJuE#yd9VD(fBDo>zCYk<(?p z95K$KZ`e-0`W*l2@b8~NkN>LLDQau;?~6R|O}{cdh!N+j5~ zKyOUI$ing`rC;$+8ZdzLue|8Lll}Fq^{3MxkOoB^g8xAA&%h1;om~F_a2Apf{v*U6 zMHuP+T|q#zvaOf|AlPB|Z<5mqs}V5J)6>%d-c)jTbTYPsLf8ZnjO0oYZ%l!WeApFtl-=(4Z^HSEp+L-RY@R6vMfvF<_6C<63lYy1F zk${b;tf{l%xPQb{XKXzx6k|C=n|dr!cu3IZnxJ9 zXjn$L3QaXOZFy6@nm0%t{^zFZ<%O@~{p8z1Yqz)O%U;Tct4Axc)u+$G>F1A^J&3KB zZn>PdE=_3ur5{8=K(48LHn2<0jpMy4G80(3o!+-&(btuJ8gmQjheOZ92X@lFx{h`W z-`JD<=NiYOE;5P`b>=AE&!qb=k$lu-Znf8@*gY2^pE})L7Ppp>o>hf z^mx}a#dMS+F6^BWeRuUeG`$$uOWik&VQn1wlH1Bf7Go9;>?%vzE4-E#re2vAQ)BN> z?mwihs&yCcGmOL?h2bC|XwUvs*% zy15{AynK{2%t?j6(%bp&=vz9MQ!OqjMq{8M0canIHt@{YJ`_n z^-3?dt_aA@;IbZUTk)6;KD<897MA>zzJF55p$(i~nZ@!RjlAy6 z_Z1g#Uk&Geq4pCz7jg$vX`EJI>hMG5xg5g(e~i6jkR?I8H9GBS+xBkTwr$(CZQHhO z+jjS~-P6Xj_4RwsiMZdr5hvpQsa;W-J99m#`jPppmA68dw9jVVYTJU%?0tO7b`8bt z+j%Zs4{Wy!JYOCzPCa}|o6r;_?JlGDz8gIqK(8dzpy6i2?EdP1Bt6&j9=qJ!kI%2a z{PV17vx9NS8lM_G7LJ2BAky{_x8`=QLa3f=9nQYJd)K{bg!=M zE3bdfVT#=7teeMbNl0*XD&dD!aLNsWE(*!+;&9wu);^A(YYjtop>niGS9^DL>+@M# zHAhZd%olAcKkz%G8{Mybu&O4bZA$~qt~TJ1AV3J%?qe|A+OHF6j)KP^ghLIb`grd| z0uX#>1~8q~-5uImX@mr?c77YC5|XHkt|HW!V3^fa>A7VES(P6)8THimvV58;6)X<0 zlAIvXCtY#bgXd^wB^|DPKDqB{h#tXV^oUdSRCq1fI5 zBb}wzLvhZ+T++ptv4xz+Sd7=urQDiIK{{&v8UKs8TXP8ROn0ypAG95@~ zOO|lZc-9aZlM?0Sqh|>`U|Av*iUuVALy_T`B%C+1hdpq`S>w$R&e;2|$u-7I94Doc zGg7U>h2=|?Qe~q-aD6dhLK=KRe!fx#avR)gkpeCwD_LqWAJvy0gDQ!r77iGtLa}&h z(7r!BKi5U0V4fYX@^=tP!7q>pKZbXwv?*XtNCC_n(=-Q5xoTGfnNiJ?$EoS9?H_pR zxCQeDG-=k$C5~DwB^cK<`9m<2q9s`dFdq8aqSCIZ@26cLaRT?4XOScFYUJdf$4tz_zL>V-qMJH$82dfF);LTYzLsQT|=R5Mb8@h6D+ z$N(>qAKbNCY6F8m+a^u~C`Qy7j53Mi6iW-BCX?gL{~2mfvqU)WF6Yptzi0Gu*D>UY zhgOd6g;U}P<=o=FSGS*(i*|<}VlfTCtUI6wF`XY~Gle?o5RyOxNG5AU0?Vuxl=>MZ zFt7yRIcl@Oseh>wS1&)isY#oX!f@ z$K6?@%VU_ZoJlo}CUImsvAAba?I-1`DQaZJD*?0sjpeie~SJW;|t;a@SoUc=%+6Yd>b) z4Nx&Bx4A8{)Xp5ISY9%&+CrioZf|zk6bTunS?Ao-UAf1AzT%RSqf91ognDH52tl)- z*!>gGSxup6z7_nl3El)|AXyN2XE8I_DQwWnZ(;%^Jn*;6!$Ng9tbL$z(vk_y&4?%)t+z^jD}yrZ|cC;8kc@qmiiE1B4iTW&4L>6|I9{s z9S7Zws+T|Cey;;j?LHF+5Df`*HM)fFminJwx&`7xamPPY`x=#mxv|fmefO;hH(>_K z{964eS~(<+5YNo+|GDwCJ{;iLnh8)_p= zHg*u&CaeZLZh>zrl0NP620<5Jkp4JlVafQScgme0S}Qr(5@ zB_jU&T}V+M{E1U3 zq+UA$ICD+nm#oSKw6bhWEkoS3=p5}2hw%pROnP0*3p(5YoG1;W;)01mkCVn3G6Xk!*9|x)HHX z-%d>av9$XK>La2`pIej+}aZPzp`m93hpp#Uf6Al!_~SzpTRS4=`A z&;$z0-7jEtkr46bjsV-9utka!smb|IM~D%S+D9G({Pim-f%{u%V2$_N8QKvLQ$2ak zM<2Wqp~6ZE><1gT5zxH(wPb?Y$ujp}69{HX+}0&h4Zolm3tk1|4i?&$vRO~}sc;8n zQH_$4>Dl1DRZCNtqfC(W_SO@pv@_8Xd7Vrky)m$V%i}6x*-fIvptH_n7?=&FXU?o> zr$cp0`nxo?0u!4iox25CzKz4-<(2R#yk+1f!Z%330E4Y(rB)RFThYCN^Xlkgf?yxt zzAEcs?9(>Q+v8AxSwS{DLYJq(GCFZ?5Fde7ULsYlG89YJ6(W6&hd*WEWMg%r7XH}U zk{nlE6Ek;Ov~Y%*x{`m($DhuElp36N9p;d6zXi;Vwt>dV{N^>vyzQ3Bjj@9P##Td+ zHMh3ocW}4@qKNkE57a-*1XaX%HW%1C7`jg$Z-px6_Eq8`jI+WJ_t^4v42-hE21dt} za}VzW#co^pX7Jkya}mD(C3$cFwTNXg575o zhc@1VMnc}FGvfrs;U;*D1(TSxTPEV_Pw?t&J=gd1TkUl&wuA=y>mzXA#pC8hmtQH?Wwk zttGgj1UMC!-M1w*klQ!K`S~AU`D}0-keOtqEItL(W^Arnt3w!a|4eEwTWdkc(Shoj znP85vinJLu#|t!jQ}nGMQ(51P#z?%n;|R5${of1ATd+K%p7y1;jCmE9oF+1!nS1cko3pnaGZf3aM*gWm%HJAZ`5m=_fM` zg*FVkx$|P4K-m;C4B2j@gtEQ?z&&3wGlX z1TO-KHq!+NkMQNfRmQ)}CuM(uqL+mgLYvf^Muz!O-8Pj#JgsDXogxe-(H*#AjF^=r zc5<3SeU&CU>Y>-<1m|D?yw{@F>rTfR#*@SMUVzY`a_g7gNjvz|^SD#bqOj6)izn%4 znxPOi5IwgY{@6%)Qk?E5@kL+Re(PfMIOLWHdZ=Zy!-d6(_mT6HL3+q1v)pV8CIE|< zo%4_azg2je?2aNLfx_J&enK>uf+8y+&{&j&S7vZf`SFP}cY1#U2?dZ`A|8pFy&Gkc z^r)_-n`Qb|%}5T;**W`)z%W$@e?9ujo-2w-Ev_FK8-YNKG)oS|mPsMS2-T)Q@{e5= z9XT5j@-)fa8wQengdq%!QQ@(|Nz0|kK`rJnGFj*s+!DqcCD>5NER0E_%G|UR7kIiN zr=P3AK{`mt!T?MWK6Ensxe>0sl`4@@KC)OZd!cNBPK^J-n{gJR2>-;=rbd;$!h(TA z7feR>9MNF6vQE&2Q$udv;eJ`f%1(>>Jfn-Hhb|3<9Xl-&1$RFh{`x{YH%N~Ko01@+ zjw;zsI(qalV-NGG>QEn>JL|t54veQ0&pAwS=)S)7i)~nKpY=n5#YLoaFL*ERxcXh6 z!MgotK5m=?^6k}$Ef(o24MQM4xOw# zg!X`=-ncY}pYO@20jm#6Ob;z*Kpf3ps)LTe#kRABSK&C?OhwYmIu547>OOrF8unqa zPK1jo9!)YoN-B;gq>e7xctkd-@f)7^@%e1_2i%u^0Ix&&(~ovj;Zpuk^hY(DZD|aA zMjWFqTAo0IsOy4r3j*W@GHnaoZ-B|haEv#)Qf#an5{Cq}z#xTsoZLXy#fyJ6l1AM; zj@!2uN^FboA1XkjNS!~UAC{Q!r00kQ`%|rCw2)^=h$C_amM7$Pp_(vb0%T}J!sGYT zoxfOo7WNidMLln!8o#TPg{{|+%5#KUmXx2~))AQ#IiDt^zM zNCqaEKHr63Hb7B-mls$v`*#!ExQaBQ{D)yk3qO+70>M0C{6_M<3$5 z_%{WlstIM(u0xaCKh}uC8#mxLcASA-XH@2baZRs+2_gCSk!V^?V#J~w&8!73((m)@ z7n$4DNJA;Kvfqm@EwMt!#`@rF1X^9)-f`I7n%GeFXv=O7tk=Y~!;1984vs9&+;R&Q z-8S|1I$v9?wkfm@%$NGp_zB^n+|)`}l@&U1^}&s`H|C-&pB&jMY8A3cbJwUL=EEZZ zrPtt-R4q;us}Zk-B*T-r8jopdd-Zei>kU&5-d zkP4>n@zz-e-Z$V<>h5!A3hP+79=;^RSRlgPw!P2;TQzp=Abt<~Co_Gk1?0NZI|j)T zvE0Xd7xP;cftby9Y+_68S5HwfHDk@0O@#O|#@-MHq_k}Q846#|eji>|+~HV^hg2In zc)ut({V%b$z_X=IO-MxTr4B&zkSTWdW1bN6$P#p>%Dbgim0X)vN$%ZQL4X=F7KH^A zEQW(IaB@Cmg}QLl2LZ<%+bg+I zaPR^S{4v_fSfu4j$i=JarQ4ox)kV`_+V-c*YQyDx^Zc2x z7QsP2S}aH|@&;ZR`!_2Gw4!JgRd_n6l3Q-)YJkZj(jF)yyVM*TT11DSkl~O!nCq&m_%F_GHW~Nkg zhwRwKwa-0}02wf3EWXNshzalsRZHol^0Ck6gP1E<6n76Ys(9xp4}tM+2z!a6kR^Q| z)ARvaWAG>zs9q1fo~fV^1a37^%zeX10vh7G)_|0H zUWsIip|LQaY8fwypXY`7$pYk&N&z~R0C}PU<#2-<=)Bv6VXdqSm9bCJ@h zLE_4l80v@>hSQXJl$Y5d$^^&S5+1;OAN+`kBjMxl*7}D{Kfh7jWQk6N3jCn~a=?z9 zS_sNf9f3|;p4qOz$+THivOtd+hTxs?6x54`@B#@mrjU}b+NVv!7WuSd$rS@#DPfxC zgn-785B`b(mWnp{nqQ9_1=6s*Brx*E(EsTVE(z;w$_~4F90Dv7Y;J1XmvAAT8muiw z)E>+@9#36O490uJnP?_bOAJe-a3KytWr%ArP1zS~Y(~8+Xk7O`00CSWf{}jobBqD( zf}sBrN-=1lB?IZ+j~LmTmrQZ662FgRdH`)PXjF4L(hrd2A0QFllI*a{CBcj=?s50> z&=dhgTGEioSjxRd?2t;#z14ULI>Q?7cHPV(Rygm)r!E3Xv?jxyAjuH<$L>}& z!8KjoN*pkfvYSDPJ2MhYq$UDYfaco3%MLkDe8#A&au95{I zi3B68(vpEl36g;XN&#H|1RDQNN*ee93G)Mz@dqRs2%FJ{aeUu3I3L1M(m=Qb zE2gx0xbZI+V*y5ja6$92D0Ef|3FI<96#Gx6gu?4Rl(|=Z7N< zEi1CxlPI(1w+9=F(U=7Vz^A|hQ&D0^3llXCgsbpkORFC@1>`h%vySUN2f>31v;IW5 zX&^mx9Gr%30vvS3khT8-iOjTI(>vXj5IZ~YtVtkP+%(A57n?2Tzow&4GIv#D$%2&~ zYTo$4x0CVb^=i|ZHGdqf38(~gb(GFn7wo)AAOp*r!2Gn$W@*EpNNXBA8EbFNnwA|F zMNS|}Ac>AuJA}A>f&)>Ow=F01&{1okIW(La%_)nN&})&r1Kh4?^U%T8s;KL~u33i@TcuLhF-kvgkj&i87y~yz&>?B_ ze&Py6d=+41f=H6F<-CyNkZ8@&VR4^o&)Sg_YLTRsfz-|RxA6UdWW&(0D7jz58}u)w zeBRdN@*Z&jZ6}>cI)n^@hT!vxLX1bN$%y|yD&`YJ*q`prET z5@QFVwjhP3eVX5%5LsL69__a{31vqMnAzXdX4{K)7|gMB~WTdQ63zQqM>?iL;L}cOat@fYIytM>bU(QnAxT1 z{rhb5%H1~3Fu`*0x&0>FUG=0Z(kk2C8D9665kgd`Jc-QQiLzU2M4%wsv4K-;{S7{@ z!xtq!f>X+m+|J zw$Vnq)l8#}XVUcU4;hecln<+Yy*8H4XckTc4}n4gir~EG@#ZGEE^-v{u5ZPwskr_BzU8Q>jJZAEz^_YdBtAfYe4Zd9;oWj> z;`*|kjsu~CIQoS^*z_5l9G#$5tX$th_&$EHai3ikAs*hM;(@JP@!rf~?Dn*U zje=!d?-G*=TI~7wzQdLOdcf;o>56iS^Rb|?g>@qy8%We1$T!fYcCo%-RUN-W)lE8# zBH6JCx?a-S91-)9&*VX<+04Oy%pb4Z{`}b1y+jJ@!y(speuG{**|x;l6=ZD*gJ%=P zjX>60&D7sKl!7tzv9`N>+8$v@%(_}G@U!t33ktS|A1nj zQ(FxMrwicA4QlYQ%l?b%J0FIyuBql=99Kr^7VJUIJO;v@g=LcR#4U$Fem%W5NaeJs z38S|ekVV|L1K;rr)xpOuaEr?ect2xZmtsAyjiQ2|V&a|N>drlmoYoJ>Z1|+xteMGh zx$E|n&Xugp{Sn(qL~4as<6Zms{*hSZN_M|OlGtHxW}#t4D;qAjkAVaB9y2{?CTz{T z1D?!H2PSoK*bJo>uDDti5)yyGg1*~@Vp{BXG1hxD2BeEGdt1hxFI~+S6%*CURNk}% zA)PLEj349iRCy^^AJf=s&xqZYe*U|@EXb#K7|GqjniUAl^77@>8I+`i^nvDKoOaH8 z&FeRDI-$2f1Ssb^;dJ88)^8502B&Z!HZ|(86r@!CH>zBzp@pFo4x2ycu1@YHx6<<% zxdmWsFMRe$HS*srT+_rWXa8746-_{!o)VljbtThQB_jCj zmIXo)0AV&JzA47Cvab__K_P57yK?>DrEQ>H0uxdsIP0J?;YkcO{cKk`>7xIW7AvW`_AWUU;Oj_EC;mj z6XL68Gl=g`33LY@%bIl6HmT>2D0Cpw8+KFsh;!r8=#4*dSMFd3kCoS^D;D#iJj9Y} zdPff%%J$wQ0N1JevarNyOaRPPV1_z(TjLuC+9vGQ9k(w}koH^x;)TIkLan!;GniJp z6L0HmyqmC)@!+i%A$qGyL#Fv-V*0z9PuQ_;UkrdOf*m&{UDP0JMDYyYw${qo8L$Wu zS;~cOFVL8^S>*=E%D8s2gLwKwf;N>R!RyIK-7DN?hP;peUaCqGbQv5$Ze{%9*8@4I z_`j5^aQxrL2K?{U04zT>4gc?IfGeHtIGnbGpGEO+A--AA4Ya;4u6o#U2SavtzTwnE z2oCzyMa?$<=3euX_1?a(N^w=cgz6LNx%2CNnDIsF@6KLzYE{$?o^MY_Qq6$xM;~(R zh4q0}O_rX7tNcv2Ho4l~Zy~4eqw|MTx!T;X6=or>_LJ8+xmpBjtPST%)JbL?{Mv4? z^|W2rnG1;gt?sA1x0k~a(ZL=39l@kpg996lqpwx0 zTCG6pipo-f)w#G7Gcp79X7T2BU2GLhTQ6ukkAlx10J@aq?(1gfBGy#WU(*J0*m(3c z6?bu1RTYGxw0PS*-sms{UBBRB9kVrek?4{Op6@|e^>L-~deOfPLOpOo?^V9dnZLDr znM$9l<$AFu{rJnrlownF{_$Ryr?W1u`ghg4`xY@xfF6|^E^e;oA!^>F1FX8C6tz{M za>dacr+zgi(S{EsluiN3&%k3$YWi2Nr`N-qYS&4WUS=P$*XBp09|x7faFuMo4cxV( z5Qsf=8>N(9w}<0nO&X|lrB@KQe1l2jy_(7sMIhMq7Y`%x-

      GyaIxa6Ki`ps7Hf2+X7R^sX zuVqnQiHZoNk#D}wFi1W=?cMMcOKr96mFUleU<+Mo_%@!D(8ro;hHh@(U+!0MZ8x;D zXk?|-jXk~WUAir;d(Cukd`3Di*X8hRb^~F{`>h@>?TUZRjh;+eTLQv!tdGM5mri^_H^}f(8DAb*h_zblxYL$f#hi-PA?@4>^x$Ax2z*JlTE}=GfW~-snnm;TW@zy;x)!PZb=>T*EM>^tDSp z!?m7NRnNft?V$V!2+hKRaLp}D4(#KGEu9qnD|{ml-)#5q%QOCGp{qmy?DDRT!rl#k zs~Lb!(sN;-4S~J^J@+(gG*YM9b9emh_mEw_>-Dxsm|pCVp8!V2Iswgnu-$1EXQRSm7AGFkt&#Zv6l<+eC>C`4|Y0MGLElMY1J|%`y<2l-(Ov zi4ozPwg@IzUtJi_r7ea1>}&uZ2qSg|CUH6j06Y{@OuGKOze1TJ#W_p3W>6__Z`_@I zra(#mz2&HM=W;$bK%CidJU{%udVnFr{;b#wti)qD;~R;iT~dIDz`z0{-4t*Gu#)LT z;A;v7&sN%cDjCc**)NT%IRTj$R}}@co6hoRC|45cjX|pN6jddkjOqq+v@NH!qw&*j zW$b`rE6*hX4S8mO!6e*|y8J>Fbd&2+J}t``?I;@d(c@Dy@5&$h|9gaEl4}R$btay# z#w3R1lrnbnGbco*dePBb9J0(Lf*(QYLfK-4)ryA+;}C`=nSLGbAlAp$OPzk?x`888 zuDHl25`>MVpydf?jO|c*3pSfdrL5~i?tkm=Rjrjsz-@dl6mvm=!o;QQ$5!PikBWka zCt4vQOR0Cfqezj|K7j%O_wzQG$zUQuNTt^EP?XWS@@|t@L8UH>yrYvXCn)eLLR62b z|6>t&O}^r)l+eB6G&qxPM_h)Liorj}t2Uo$7(5*ggW+g6hHzX4G!(ID`eVeZ{J}Gm zJA%0&U3ocr@0GxH5u)nov^Z`Q^G4neQM+#sYwj1Sg$N&#dVRVo}EE znf$x3m~TPa8h1Cp4-{<~WI3*Jlq%pMA8$U40;?%h(rrY9lDk?#Z>|}6WkgMiS6smc zNS%%H-!_{JgH>jx2pE;vt(yv?L_XgC4+@#g3Sqc^Dg8@9UK2C)z+z?|FH|zv~2m{%kIanX*YbMDu<}no&1;j zesA7#Y4}ixA5VwERIcizWBoW=sH*bBTQly*xQ--lLd+F+Paj@fM;SFd6xg51mRdq3 zHR@y!8v>w$N{@dcVxPuqGWkq4OtvcY-#Lc&pB#f0)L2khM6$qd0va5oSn~Dcd2Bk=c_h>{F^aHrIz=wQu;XxF&TP#YGo@T>rVj4eJMkjaKik)!LgQg_xjW=-0 z%mn^p>z+tBCw@rP-9$@^m8PT&RPrl+Osmr_NH9BNfraQ`3NNGoiZ%+oNH=@2$*int zE02k&5No|heK3Rw_D|{!K~b8ta4K;_+#STDv2tYy^m-0H<@}ww&lgz@|H+30dK2RR zj`X%*#`68ODks=$@UP0P??UDa z-=g1xC%2`<8c z0RM|sBf?9?fZQ{P01IUOmO@F6_Z7=82eENQhZMhHtDOWr*s)6ZrR|nTjPQ&V1$z6J zbQaazE(TK~7$&m_u`P)m>&2VcYO+0OaKRr)#mS275E85bTOF&pj-F#=vZ`E+ckwK= zK#tH=wg{O@pqyqJ5;#8A3HChLk@**+{f@v4pDZSZHiMd}4^L|sI}-gzrb@}P-MWFr z9@J1JQWh=_EY4T#9enj8=}p-(9N-Nir4t9%A11p_DPwHGzaS;`fuYh7BWQ2RzIh)s zLW7rFoP50{+EF`J*e-QSLy)-C9Vdn``;c}qTm#MsRv4zJSkVxw85D3BhvCHBN|?MI z>^-HYSsLGLiwcA?O7<($D1uP!gr4Lw{BE!|_zqG_x;@#Z#jm)w4Yoa&=^;4<;-}D^ z0k=1oI`AI;*4{Y%!C+Kt6vqC66MMw0);8|s_Ltx7joUY`=69UhNSMbgGVmrMDdA*{ z(i|1Lt)Uj0kd4w|TF@^AvjuVG&e*w`gQ-&fCaVqV-k(+CJ&O;Dy_U+|gP?Ui{E|Sw zl+MaHg6N@!s>_xG;Hf`3L-J)Uc@~LH@2oLNDl0v^7_7cuwJM*$A0HRd6Zmp)VFfL< z3{ii>)B157)1I|T*y=Jrw3WKp>Z7{K*=o%(t-N9gD9>^|K`m(Fu_)MLN z>txx-fMUTzH&^j;pg#GGfJ%M@U21`rp3c)8u_0*f!k#&R93`m~cHr(uEQ#w6)6vFAE|MA0A8sSk!dXQUX4h0S z49n5_WPr|tMUkF*Dg~QN;2q~qccAdi3Bdv}hd2&BxF8ndFjaFfb*GemaqJDG3BpwG zA{>&n93&Hp#iFN?1Df&&n&O=y+h3R+*%ugsv8)SH57_~O2eDJd{`=eQ4bXKbx5jcw zQ>|}nQOOW&D;u;!ca38reNL;ksQwd%F3YT(IRH*WRjY<}Gf+_9yB#qeOy zs(%z*=sm>xs3DbwUhoCO2kmpL`2r3FO4}zeNt=jB6{S!RR=o&T^Ccs5x!W1x0$18^ z&2&tnWiKdUVMj+p?T!dh5A>@M9h(V)|lv7240|wCg}i7-LbB8_Q?}$hCFlS%Oih=+2y3 z$N?#m)%nRoHjteA_ko!XFSjg1dkv!~h@yyw6!a=&)&0o|wvgQW_rTA!xICa}5N&8~ z-LY^Nb!CIm9aNBqNoymZ5y$}9WBP#VH68(sW;s{BN^n7s!mw$m3Tbp-G8kQ*38p|% zQ|T(+!gbY8a4z7xO8~{*IvD=8z8FC+ZcQK_OVtsh%^j>S=)tQ(Km3QVtr8pO<}fY$ zX5#j5BG=bcH&iIJLM7h}fpgRGPeAO|Rx|L)D%Kux&hE^ZYWHwj)`1c23+4Q))Do4! zKPJQsHSf13fz{WrHM0Zb9ha^sc-yXan7qrI-3hog7sHSnnu~|ZwY^EkM_?||3h6C| z{v2w;n?#)&%;bB%0n?l~9!=+$2Sx_$j~JKEe9N@HGak_y+RW+H6ja zeY~0n*yi;CRrT~7%)H(#!eONre6(2(?I59O8K8j!)cpO#)#88S6_Il=?vR%AAxpqu zrsMrh$ryci3B+sZy=LFRAU2($t?cc5Ovkq_(4KD>zN)1s$@xf|hlnu}Qm|0)d?zY& zgQ%vAKN=F1$!?C;Xxn+)o1H`R@|QvvV_@)cZDBl#Yj`69f(P#Llo#H>D6sxx*n)*f=_NJ4XiA+bGy^Vs7f zMziu|^kj=QAaZQQ&FW*&OK*{Qi=gSyx75V^W-?k1Av$$jN5Z6b=6Z0hUUaliJs2dB z4`7fn#V-l}%F3VsCuWQh#|wt}b+8}awXquEWNC~?$t_{#Z!?<5@EL`yGv|kxdkLUU zKqvb-ES4V_LOh)C@)Y)km4x7kkx@I5PSDbR=@e6>5jIK257h{|vsD|h=Wkkg-*O=J z(f0IYnPomNHG<~*#W{`9IpCww9ftnOPLH42%sc(M+m*Wq5OPXQ(mx8m&pDHXSsS5l3k-puPe%Djdl1{R;mHS0WJw+ z9*NAFAKLo`4iw~%^PzBN+mOv1z1rJ0bh%e6Aax1WyBK&R)N zx<<>SqLY-fjD$}rLP4=?2q4sMT(gW`6;MGON>xE@+*LeUmSmQZ&r2t{~>)IHl@;q6=!N{*nwBGq2_QaD3vm{1?PhdZl>e0qsHL$GcO{KF|`XxS}U zR1tHS-=5gF&KqY`jv?T$ra@bnR|X-5LQB%zA*)hqwT0~{STp98lbW>2EK0wpngp1; z*CtNM@56w=?pJ#+95u&_HFRydor8?1wm$r;(P5U?+c zyldB7ALK2)SC(FoutbzNd54kuFur$}I5bYVFA$m#z|D~HtlMFx_$x?t3M15QeB61L zwT(&GjX}k2pGK4YMYhneJx%=YeJuhePHE_1k^@bGY~kib&~euSgwnTVt8-``1hh7e zcLHro9hP^)K}^;RJ{$V6SG?`82t)fvky{0)7vwLYJ+<#)zgO*>uptIlh%%HpuZO+e z=VkzxA3K`PJvX%Z=`K=+W`4HS8Bmv6g2I8r(mkmZ|CWCy+}R}uk-6X|lau)Tcz~V<-I&C0@AnBK$3iftsHN{;$GslL|JwpLcM=bFQ1L&Vz43TO{hLon|6GdGtPy> zm*}pabyHFJ`YU;KbiRBUQ2n-7;ZTA*2OkWqokWM6!LcRU#fdt*zS6MoiVADapKt=lxxhC?h_JA(nOPg_CHW5#wX%QQ~xT2zs6~`kC42h!7d71BS56 zv)nH0v6YrD#}>k8IpkO{M4qm4L!0b9L&g^I+13X>c)Fi<&7mS5ZPwBO&w>w;T`H*3 zJNg>SqqnO6f&QO>MqsUYtoDL-IMIf+8KuVbiOBsguA-#KewoHxOoR<>YF!*hUV2z@M;?9q|%u3^AlGt~b2 z>Pruabl%>%p8FjbjbYL;943v0nU{S|ayUeQ7OP$S5kz;pxyANAvWe3EA7tvnqw}7i z0ci1Aw7fc82(v%Z1s%ydrbNV>D&(j{Ni_m_&;KZVWA)pc2{o_XHpLLqlEwpv+x)ke zCbB{c^3k+jw6C~OgOplD(nGiRTe%M&BY#bGD#>bA+R7i*QvvfH+79?`2J7^64ElVt zeHpMBbDLv}|L)tz$IJ2~Wm%&f{;nvcYJO~;Pp9;l1(JhZ!!dE!RAve21oQ`hD~O#c zV?@mI`d|N0*Rkr!V%$ka{>;d_q4J{cQ9C0R8pc(&b&yXzTjcB_uEoK4b7_^BfUN z$8mE*+6FB02+cqkeo+sbeo8cJYd{bqgDS!ud1%JxV5Uim~sj8xat_ULJESA|G|=1ohXuRPYSzUSU>}c7fC8 zeb4!QjIeNkiwX*zkZ~pv*0&fNWwOCBt~K@QZh&Nw{+=LvoOlLa9)SP12*I#in6XD6rTgG~NTfCAn z$I#j(EZ~b%9&1BDP?aE{Fvb>wd8U9UD8{AM$w_(*R3HV0OamT`m|8clD90}X5If;uT})BIXyTy3A~BAS zo|%c*SXZ7=@DFB$x0)8VhN?WZZKHHr zj?FGztKAn(1V!mCKUjaZ=`GQ8#T6hcy}Z@o@W}x)qP_OJPmRq!45>4$GfJp(NU}C_ z?VkdSb^3J^5(PX<8k$3z&WuUs2Lyj#)GlDModH?#9RW8fFq$^2ABFE;9<#j?Qo44j ztAyvf2KDXkNs-zk>|LvC*sC5psJ?!i21b~{t)SI9hQsp#9hat)PxD1C?fK@saV@JU z2Z+>zHZ;4Aew4^DORI9Fp>t`eS6Lwk%~yi#pF=ir@#q9cUapf10qP5A3M>O`R$N&N z>EMhQ{zb=IEN`h*ns8Nd^>dbskO%x<8*jgh$mfO(*s2C<6gW>@CNDQY6N{~5VcpZe z15p_ppH=3$DUYGod>j5M;3@oKO>2`VEAKt=xq$ zj2BW}`mm%-=U@Yhu1T%A%!&;We>?naSEP1AvjXMK@PUA@6zfqH_qQx=nGo$;Jm606 z&m?`rMd0}hVhO^QF&?S$zQICw&H9L45CGClStdNc4(YIbqmCf7Z8rgDXXM8GNL}!O zB7Dw@uwmq{(Vs_$mr6HH1o5#tG|9?oUocgcS!fx^6(-W7#z|aI*Gbrz*3+%m)P{38 ztf&{?qNX6xTZOHCaCMz;n>O{ie+43x&4TDSn*jpXn$if4p?9>f6krQ>6ayNF zyiM6UH|3ELu;)ClN1vWr_&c>H9RpipMq4PV8N+xa5K zU6aq7r9B)GD2EOj!#2zi{qRF8v>ofo{ttU!0T%a?>^lTYf(3U89z3{11PdW(fNJ=I;^Rn=A9 zzpg*UL)VaHesBT`iR%X+l&(1nNk=#_Q4HxiRE+hd4$k;fC6q041Tz*N#ei;r^PH*P z6tW7RPVI$v{55Jj6Rqh#ip}_b6`TE(U*@TW4G@{g#n?%gj-Tf~7Y{F;pr8O3k1!oS zzYv#@5S^efKbL?IGSSIHb#ZZIsu*Nm7j9WP{_h!AkY5qt`%Y7hTT5GqPEdr7PYC%| zpYC@VP=5V4c;B3nM z)YQhz$()W~m`{lJ*NCp`sq00+ohPyivH%nm6u?X558!$R@Ca}d0|OHS{U#tTqf~uAo-B1GpB41 zWEzfLhh;p!*`MuIUeB^llgft0O|+d<8pAoCnyo__uCQLy;=g^Bg|9%~c5{GmA9E}a z(v!JmC)&@R3{7Y)a*KOfHE!cn#4bizhc-;MpJw4;@7w=MMR@3LBy-NP(@2v(M!Kg& zPH7U@t5$7RPjWD#LN-i@fC#k-A)GhS_HE0&7zi=+PD^&qv7}Jfozs_1bMBm0FlJnR ztg)0W)h_B>crF!Lh2#37X_Uj6(zT%`vH#!_-j{rvtm@@`jx1po>IX*|xM5+e88?0? z8^_jC5E^bMWdDSAMsnaJ9sb_CY~TWS^Yb-8^BTY@bhU6|pR~ye$PD{ksjQv>Rl_~i zYA*0*E_W1+FKAQOyt7#45?kS}PD57fk+&Ci(`ZJmTRKkgm`7Lf9NfGjKCwE4)O? z9xY4YQa>Xz32--YGwnt?`$hM9sE7p;m(s~~EE80?azJ^y+peU9J;WLB8F~x&x^qc2sB`0>!RfOL^jO(%ZD?5#-LTl_;~|vEv~*;>gVtL~HR;9E z;mv{v^Lsb!*B`L;qU`ZzM_j0&ga5@D;ypeY2?}*+0B2cLuqs@3a`-89>(V;Qsr8_E z#NJO@@nYhgaciquz}<=6p`jp&AiiLOxXo828TOtB8#w?ks5LQ`@!uV38B25 zwMpWKI!{$^xVLu3z7=cu^vW|<$(3K__yzjxnhyo2PXKy)Y{1+*ks0ty3Ijd>_|^kgH3g9Q|*dU6D);q`c9o@ z#3HHTiFU_Z&tqy}F?8;TB#jUDESUo;m#=4uKZH|Nf#&||fF6C!`K4g(vlUF@8P$iQ z=SSB7I&&S?Ous-PRcR_jN#0df7lOJO(Q#om?SnkcA;hab0~yVc(QYG8c5<%)jfk&& z`sj}5HR&hOq;Qwh3p_}=>4oy;Q_0D-t6-;>prUI)LNa3iLU_=L2%swSn}U*BI&)Rl z0gkVo@>$r>QKX%^+-HZ7KNN4i26Qy$dYbW@zqkgNKu?mbPiQ~63&zNuNQn(zNZ!HY z2EnYi(%^#>kXG=eM1ih%Fa+V8U49k)^`K?=9mBxFrC5VmjiYR!?TIp;Ma_cI1!kND z5y<$kX`ylnaBzAJAesNLAMp(%63Hg|e_^?$XBd$QjUU{7q%$E^?kvy13G_*n9WhlO zle^pA`b={jxsTXeI)ap-lDWN-d@t+?8|MTIuB84|jv>5vqq0J1Z}XrDdQ_eh(P7qR zaqaW8twFbJNR^tanvz&GDyx7UcQQ;rQMzEB0_!t_XYnLqL9N+twe5$SG_+%!)rt^# zMn&qB%tr(y`XdUpV#IPTJ;KyVB1Z#}kCtsJiCfA+dDbH(r~(;bc zu1D&)fz&Jt6~p|!PCGZ78qNK{IpG8fjIqj$u?mdmlW%orxA34tn!}uzIWY9KtBwbj z>V(QdV9J3Qlr?|fR^eX#V3q)b85xvs2dS^;-Pho$XqKbTz@`;}GJVFYQV*`!zd7Nk z4QY-n)sOB$N+Dj=svLxvXXX7VP7G`NX&$)eRVp`ze=9D(7oQ*A_c%wA&-oWdRNnuL zI-fxi88g~iC9_svesH-^b(S?S9kDl;VobIEp~&9}_zi{e^CAjjtCWEDOep#yF&Yt? zJs){Op=seNwzax^@jGFL!1tIG$YZy zxlx+qjWmXF{;_hXi(ip}4p@6GWRDwXtcZf_qja0XE6hRWwrFGR``h0PE(u`IKA?|j z-peXJQ5_)in~>L7XGSBqIVF9GBe|?I^W|tpig1(SjV8e~FvWDSE)IhpG%5lu%ANM9#VIZzMvEmVjvfPS&F+^YFk|(Zokwx z0L0Qwl~uZ-&Fl}1yRlj&^QnzC<%9v2T}{*D)6kLx1wU_WrNp%8(q3kqDhM8sM=DX>ibLCeoh^Co`m&%pn`U7qi5H7Mk5c1Nw$EUU9Xwmk!N0!Z6&g0hjlbS6!B~p1nZYtQt%SL27L6q2C&#j!Ph*{iBLAK0l)1-G+fOP zPioWP8qhZrjSw;LlL5Hpb%KIvh@l|gYHCo&xl@Br$?I>`&10Hz`w<^v6v!RF-YNr@ zNx^5X0gS==*MM5k6)m*~I)F%320-+}Cb?`A+!-sEvpqpNbO%GGx?bUl*`$SV2?gNu zc-1wa%B{7dwsi_*b-@h=EgPM*a_@>|pJO>V3NJ#R>p6p?n#6BS4KS0CY z$n;lcJRw5q1pOyQy;58t!=mL5d|WW{<0GcrWT{}Ean9OwhAhRC$dA3R#RFGhlDW;# znyvu|8&brY@>OF7ZmWLng<)_tyX~i|)~{6wQsrm!nqb~H?#Ss4c71yC)%;rtqHhTz zII}DD^1zxtde_G_`NWHk2069MGo!9vuOnR+AU-r>B}j+(8X!8N_1z;jH1Eepdc@Ro zd@~|h4{I-2f~#hb4FvR6g?(?pb{gvYy@7Dsr>EWKsIp-tp57L@V2L^8z3H0a6|d1= zd{P!vMyLpVvQhrjc1@9Up25t#Zu#BUwecno+~zg~CbvNNK{U z`87+T;#l#1mMnN5DB4eSPLRDge*Q}<*~RNWHUqByLo>eo`9F2$`|rZZ+KD9VthojK zM?h;Dp+Rv!deEBr@!Moq|E)5sUIT=%t^p2th`Gs(f0M@Q zH&k!S=!^-k0nVS?B)f~h{n03_NitjtL(2j#(erl@w4*tr$3Vfr zlD*8PbT5-HVe$iMc1oW5NQLNLIAavuziPY&Y{EBDzx_CYmDLii^21)O5d9b39fL!O zCq-|Gul2Q5JI|67%!gW9UP{L{oR&bO%UGPBeq#>mc zHO+>2`A|VC!+77%n5Z+T8N|EsNUMY;weEZkC@;N0x^o$*e;Bskm_PP!$O(}8oeg~V zJ3TzQ&5Am74fvf6{G$a199{!{YXjweYmm#NGkuX}^uM!9i;Hl9MJ!-QUa<5G<1=bX zNL&pWK30bn)2d6s-~pi)DMW)Slfz=~n1lJ)((EFNCu{8_%0yN?6{zTLk9J z8F{!(6!>+LT>Wq{Cha{$pNW%>ms?x{=nl#@YpTjyTV#_oB(Wev6fCYxI9aR#34Vl}8JVe-l zdq?WOGD|;iL7)yH1d&VT-ANE+;Tj;57d24P;AR@)A_cv zv>0XgGwPAR+@&DAtQ3CHX^lLBQuGw2=be2Pd!J2|m3)N};d`!vS*pndW7bqgSH2@0 zwZ1$*Z`?@R=pLiz!zO?Ov$H@MaLZ-g_0nFf zhp4_vhQ#W41(3gKUoFM7DonT11cE>DBiFwki~N~|`R$~jB1a&3*mT)b!#d0$HipLo zcK{sIl9ws_qxgPB$Ys_X1`4*7`h=K1F+?uaogEM#!zou;%=6YWi`KnsKxM?_1HFGX zU&&0^wZEHxHlUh2pzev=rf=4h>}Z#NB3VAp`G)iUV}G^87mo;9VAr?Wkxdy6}> zxiajYe(1s2EVGNhUG^!R2^7Zz-Ep1?nh)d6)};8F1GI{y^2aj57}=bOuYgsW(b=ci zkCt#11V74z$Y@s9CQOV7zivu9kl7JmLT#OVRfv#&9`^Ek%ZGNYr)(g|oCo^%<$jj? zsz3e0RhrtT(e|Vj&+-K_00gC4aC{E{%c@Loeh&a~_dY;UhCk|F#dUzKkpXDiDp=-w z04lT#m;N4rcGc#3uJ8kEt^q*kNe(gqtv#EF?Lh{h{TH$-(cB>D-d5W5AO&&jw75j> zjCb%ZjZ(HMG5|gF8G3_?3_x`~Y>)varc^0ym6trUIc1w-Uf5S})X!8&hd&9_8LO&kV!( zp}8Kn&9hnqvhsHr)eYk-+T+o<#MI;RdqQmK?Tr*)CixYWK*|?Pqfe4ew2hKTW*B+z z^*5w-(yGY4x|uVD>#~Vu8xA&>7OY;67q0Jth0F;O9?gHI^?(2g6``v-E?I&(636pd z`HE!05FsCf)d&at$L56??&2nC*^9=O>57=D$gce0Erhw6Lsp&0P1U52mzyekgAAv) zbth3F+$P`vrI<#DSVY=uP;+bRl0li?dnA*xRfun6Qssj^VBEL{smi^%Mq0-&(~avh zt+rOiVbV?sKa5Q5&m;4~;+{pQxj)ihFp@!f=+ysLXZlxSZ{TNu3@Lu)ilC_I>&m$T zIjb5k>s7L>Tx8o;g4AxF2PCC^tP5SQjpa|1ryk2s;K_M=9{D*U+D?WUlMs5|KBPD) zZfI;|O7XD|WSX3@tJcD<>U*WGi-4sgNn6Y$siabH) zv1Yd=eX)Irr=N3jKdlXnA_9Hn!0zSp2#9BVS={c^NO` zJfNRT1~&Q*OKL>FH?DC!zTqo&n>~719N#?~c*jt2h4zl+Et1_C{aK9AgKV!3>dN$d zBJ;GHO(Oy!+&Qr55CODs7wghJ)W>IPl15R}Lu0oAyR4;BkD)T_F~twOxg)$D>$*;K^n-tw|E=1!E|O zNb;HPk*d=n+yu~vI*jvllsvs*l->7$wv>wOAd8r4={}LRqYONy%oZ!SAtOR;!kJU@ zv>U-y6H7H{8M7ThA@3NaF!Y7DzDMsuGdHij=))V;sT9+-(`Ljp*C)$S5JD?1ll}f|Hd8&P457~AKO?B=7m-l6kEGO zJ|#Rqz+If~_uHOnsRsV(JgZH0YB=q`YZkyPuLmbr{e_gtG9jtm$SgdSLRp_XZy4cqpef3no6oU@+=(r^3_O{~NTA7ATV@@S~QKqh+4xqsfB;%I0 zkK$!To!l$~z?!4OTPQ5>ft)Ztm8J&w$1hGQz-uOqUY$WMWLRuGD@#8JN0?nckw3@p>5p zRne0Nmog-+IG6Tj4vF|)8e`;>!h~99MZG<2BX2?Y(lj|T?%s(VQlt-DN*rNQnrS!4 znIetYy0nPJw0hfgF@xIL+Njw^yx8h-vD5E(y&jn5%6)JNHAfxJFB4G?)V$jkw~QAt z?HM@ME0u=BbIF~6Zc*w(@75_Drag4>Q4b)x8pVn_VqTWkLixExe9YNXePxKO(`ud5 zrl^p|qGagy2HoOD*+qNf5&XFW6}coGd@!+2|7pP4;y27JRRf3g+og{JSUPFRD^9Tr z-h#v_2$!Ds+L^!uRs;=}oO$s!0;FjoW!xLQxW{$-6w^am*xcxc?##K{IBw5wr0b2@ zd9jWqm6y56(9`$zoR2%_R2jCd$RebYeG8<%!W{p>Un~ zb@4uI*WKbMVbfWK&H35r600_7mYVjvSuZ)5Qw)fp$E&vo#<+5jf%i1C=__6!MeLW{ zm1U{$>~MI3*t)D#C<|@8=;YFi9OCxso=!s&MUtB)x)W*+H^~@NjLV*lp=@bre)#(& zGygs{fQKpZ`rqfjXuxNVgO((&y_QA_rjewTxLcv$Q^3oU5|y(0Qj!R^BTcKUYG8)h z9;xtJ-5y5UH6nAVNPWma+Zh+#A0kO)smPlg9fIJB|3HRdO!3h`*?RX6J4hQS z`u2lTPbY|^3G{su2z#hv?ax#&bN@4q1iMXkkV>LMcayr1fs7Q%tnUuh3)nUe<&%vJ zY=v$BGP9eK^(NBE=W(mVZZqdgj{dUOT`{TeJXQy?ikF$N1u254?BmodyB$JORgL87a;x6y{tnXWM{r!pYjNSYc#+_RZR0uxLvYIDtZo8MQf zj&`|F;K+(VPN7#vjQ@j6)?snZWot1_|9ur$^L!4F267UsEk_o|CVdvnfEED%zSO!8 zj_1}ddWuC80MmpU#*Qw?Qs?^>g|i6D)$$vI>Gs&e%sSpX588A*fpN45HH>lOM0~Jf ztPGXC%6XDt;PYZ*0d>Mxg8BPei{+O5Y<#hCOx)JBK&PkCJ3B$Oo3a0`q)~4h9Rlh9 z{dr%1bl}?OnMbSLxQ_8C=eA#z?=4s`y|WMH&BlB0z#}geNWl`=q?Bg~)!(KOY8)#u zo@*IVWL+UJm8a!defjpgRR7>b)`nI=46vFXb)R~~7kk!%6-=pKJuN_8r-~rJTapc3 zvL&ueyRV{E1XWPGg)TU84}lTt#r!tFhg<(5X#)(&)bgM}UGe zK1T8)PJgzyybaBoF=~!jx{n1~7TN?{wrDb3p1FFh-^T3qFc_iTDJ*l@aIrf14MgL- za{`lEQ{FuW#)gUd%P%&i!L`gGfV0FZ%In!`p5nU$=H`r7F37{ny@bXc~J@ zZcQz2j7nA?H~$bin*EC|r|iGFjfHa1+6^KDwLf18&8UrYNzYveW7Ci$pT7ZPpp_w> z_4$h6>6mkSviFQqNKjh>3GK+lyTYQ~*UY?BgsunJL$3iEVQafiA<6x7-Y3%Yn)~V% z5eIH76Af^uNWpp21RTkcd!%~}4-4g%(E<8Dfw{_<;D;-CyWBTRLxIfP&Q@7358mRn zfEM+*zt!e=jBC`7T5&TH=xghKU9`sGc+!dYG)9`1)Qz%>&`qlAgrd_kLbYY)4Q&sh zUB|(yr=KBkW>&`4ns~4&oRN<%R!F_$9yyw~j6DqobUpy@k}*wDYg_ktCJ%I^BKf7q z#^@|q%v+9X(vivn8%QOeAHq|Z1;CSLMLGIuW9)#otJzEqCKpjAfKl0~#5HOGh-m9z3z_g{CWXfaK$y1Fi%OHpMd7%WHt!O9Z1!X~i%2 zI@C4WsCgd50xbGOrYgDEDW$7zXA1mj?yW^Fpik$HO%ltBA$tNy2;}rDrH~PSmr_T+p=N+rGIX?SaB+xIdz))jyVooHlUV0e-!;HD zMQF+JbrE}J1COcE+Xub$O||W`x0`sRYFn$S2H7q|yV`0FOb*3EeDuo&C3m<*45rJJ zs%wJ!M<{j8JMA9&3xA^_mi|l|4^T$#<)I+U4FW)4S(GH3TPuQ)mP%j$5%Ug~?GaEK z51r^>0m=|})RW^KY>(#p;$Y@WV`ltq(T#itG|l&JtxK9gcqe!#HXm}dR z)A0ryn1<$GuV5_@0rvgUc#u;V-UiIo>ub1B=wx9@2uEnAcc6n7877*ad~!0xaC7WP zv~|wf5;U=TF295ST+1ibq)9& zoTGV_)?{=-{c5BARP7bk?jSI(y+3Q+5Ka(%={n!6i}aasz4!{YDAx8*o`+e+%{<+4 z7bCuP?@{pUApjNCNf*l-1f`py@^F<{&4?A_*kX;pY?EI&<~z37?azmCz5FQize95U zU1-kV>Y%8reOMgj$_=gQqk7iweG`*-U6LFY2w8zE_QJF#Y>&lp`Fw3rbpg%M zR}j---xv9MKj{eagf#tWLy*86V~lA2F(Z{`>a=;%@oAtJ_tIGvWu&AxudX(8Yh4DsdJ1SZTWJmG7M1Jvvi9GRuISCFb?bQdBZs6taBsTPf z9qWs(bSh^rfDOnXPFdJuW`44dgFW``KHnE3x04V}3)h9Q4wEcvjtGbq>6%~8B)=z+ zh+!pX*>L9e>zkrHT&}+ckZ(0ahaJ@)g^IKJOi`8O=AYhqZ{70papBCH3||yF7S)KD zzJg^5tWM2Sovz}9hJ=sU6QQ`tOf`8YTJ)0IcpI!SiuY}NcKmNrIV$Snf>Sv+BCH_C zib{eL{THeYO;s1B4Pg3sw*w4UKDIKwwGiscSd=WhPHSEuaVrT$l2-9Dcza#`x!Q9YW{IPzb)RjOB&m^GP9Z)Tj0`u`BTKJ)Joe3$Y&Apd#m<6I(bq-&cSf+ zQVXC(@<7QGi>2@{${#2;+(f=&4t%|pH+jCtl|E_wu^Hw?0)~7Ix6awtJUxMC?^73B zM03_U*3W51Hs3UT+l zb>nuQ;s5#;)UONXU%P?dS2H0B>%5NC z7uK)Z6)(^ayk^YT?~`6_qa5PC7nTZi`2nDb(gR25e}^t<>Cqm_@A83z2si;IA?!C#{^?2tx4+`O0 zPc|_X52du(jPi*8ylOxAqXhh5(2;S441XdrtSGJLoYk>7@Y<+S8Ht|HoyAz$H$mQ1uC&WFwBcLS*+e75!)qs|0dlIYMrOYnm^o|!ApJkaEyuNrieLnq8?NW8bWKxo9yTM6QFkwh2F4Si2U|H`; zbf=3eG7eSWsj}kj`9!`tyd)+&dP(_lTD<(p#5wYY@Y|MEhw}XXw8fPG zX-fWo;owU;Apth0=#&8C?CdXM{0MNxO3g^i#!m4t89VyNh-)gjPKfg3;HCKj=7JmB zg2w%aoJRErVCDH-o{pG+0J!GM#Do#y$919ak8Ur<8PZ?sLqV7Hk|K3l3^REPuh%D0 z1JN##-XMw<0!`EXLJThTrLF;HIt${^uBqrn&`Y|~N80WmCSzaX)!pg(jX#xdYvcLYL&2vV(1D@%!wY~iaO`bq_MWrwd0|2lC zP#5ZKT;4*$ArCvGPHtCU1BMm#e*|*=-w#OrV6y!Ksz}=cwxo!)sHt!l+gpw3EnhZa zSffgLi@Aa{RY)DTDz2st7A?vBSiqbNWQl9plm8M~;++P~VpFQmF21JPoLA6~}s_06Rz+E zEvQAQyO(}>|o3D>>L`$%5@e3SL1zB(P^L)X|~SUJ!-fLFT)+N@DND5(%O6itc)>X0B*z{Oe;T0qY!)Z?212O}wFx#k=wjF1f<7L3coEaz zoyF!E5QRg-QDyz8tzj)zkx0otZ-}6e5Av9k61#jC!=2yl*)S3Tg|X?P%%N7@l8&at z$X!oWY{{EfC%pI#WAN7#_n-Wm96Voi6`rk}@9ls79es_6G{Q^fTl2pxib*kJnWFey z1^1)=8k4`R1~=|Ju`phHO<5vlQfX`5+Nj^-BAPBO3W*eq2UR<$noi(6$IkoML{=bbz zxR-3w(6XGbZC=%Ib&)58Udz;1Z;Dqu&DhFRg;&csF6zC`RujvzH;Wuptj*}7o4=So z39Iq_fxP$xhusGzdY3&e+Rc0h!Fs1*+>8~YWsFU-qI^2<*MTN6d6DlITkZs`6~-!H zx>Bj2V=0HYxF*SF6>xkxQsQD<3<*%_(_zdftK}D!YLtgh3IH z-Aiq2%{0-|nnq`1y+?hMov`E7qcz~DJ!pQTD5|3(?Q4W?=K@IQ) zd}SZ;W4!Tzrl%UWL&&zh2V6rsOZ$ z{DcIvw(NJic;uGl0S6YN@8~f{uJ1P{FksirJM7ZviCmrRBKL&s9QD!vLUPP4{|Wc~ zuLV@$y8!RY{V2dxE;KLTIivjpTqj`h>Y>4?ylEU7`e8 z0CAU$Czu~4k5K$_yi`U6QYqEPcB|%I%O9=WK|r39M(t@PmVQ;siKJj00 zt*`_lv;=o&Ip-N}-S6N^c`8(E_mu)Od5w*J?}3^ECb}>tb3;F11@h<8&RPWzHgnIm z6ph_Xql@GaFM%l{)m$G^R20({RD z^iH-h@oiJRFhl0Vvw%{Z+gK0=t=6P%Ag7WQr+!tqIMU?2 zNbNGR@dUvp6Mw5e|F?(L~|=_t>bi@=YZ(zF{)`Z&_=J+ud?^I!`18N3)0bR@|5><6fsq zl8Aj^u>P8SUi9vz#?jS`S%5UjU#J&5$*93dnE*twM={4OL1foyvudL{p~E7c#=0%b zp!fmR;pfuiHU$4@lbM;l{ma)>^Z?R6 zryZdT=y*M@Kw5ZC8Ga=n{!a(B9ZY`OCWn+i!~^%^HrW$lnjxSi&JliyY>({3$7tz^ z-D<4&rB>RE2|QnKhPuypFfc@TOhlh}Z}=UTNOh7<4mk-ZpqJL)V|mrPamvfOnIGZR zda6Zn^gmDAP_Q!loDG=wh&kgQN7!?$>P-otNHRjDECUu|rDGRcuL7 z8)DNWwhScYmB~|fPPXO1i+SwD(L2RpcUIx~^7d%?q;sm|B|KRr;o!7gGi=bjx3#G< zvcL8NhY^a&ZnjD0`D)V6F7nQ$xHd_8shlMX$wB4xtOB_#S$~3>I~c@(1hU_3V$Yh< z(nekk)74da34W(KtDLtlsX-7wV6D8wm_p?Y7A_6?5jcRF{%^hAU2-y4wL%U_0u+E8 z9j%auTlsbBtb{&?7EzL}!emS#pU>p4_EY{C4J6;C8^nQ%4##A zv2+=qhN!V*F+^XNw;;FsmYXHNZb`PSDA;7b+fkP{058v?#z#6d2Hp09BGiPnj zul=UKvyhI_cPQr6K{QNU)xA*5&EaH2wo1supJgu-m8Fb>l))aA#YWaX>Uh0;e%A6u zQn8L(JuER8(O+Q@sb+u6-#)gFeERK$OKOUh@G1=}YCiNdG8=h`d!~D&D|-ijug;ta zh5o>;=iRjA%j4CWWm(Y|my#r-^swhWp@Q<~Z-zNuHkvX3UdB_u_svL`p*ib^w!E`F z^3-+~3eXyTBIBAp;0*xgKTotHAh^lV%0=ejd7g&EzWtDBC^uG`@rM1BYsT$U*H6=w zAhE%#%YobO_I#H;!e3Fq!rN}8vK?LLDUw`?PhrGO6yD*LU3qhFY`Kn4O^o{5@4Tl} zUJ#*!g>BHoURQhPcksK~I)Vgd6KW+1d1Q0R9@cUU2BcUU-xb5%#U1~+WG!&aYU9-Q zs%)v8KOqq;nEN=^;*b>^?HMl(9}?ZW)=PJif!m`o0ufgQQgMUWEq2&BJ4yB$xdc6U-?}|QQ^(?qrzQj7>!RVW8#yu;kib)>sIH1tLW?FjTotuB z>o1H|eImIR>=&_Kw(VgPz7k2$tKhyqBNiUQT$yg+bNdsv2>AI2Esy(DnmE8^9Jv>D zBizdu`a#V+u@hK5A=iM{mLJKdRU*3*9sK*J&`cITEjOlOc2MZ&^iE;~)1(&Z^)$F8 z=jOYcz?{^dJ8a6b!kPA~+zW7Q0zKl7W)R4ZY z$-U={OfIo4ruj;Ub&)`t5ogv8M{e2L1n${U3(l`j*ec1IrH>#`7VhhjA@7s$Wo|mT z=+tz@pR;JFI^3DCH6zL3_7cKNIAO7Gn`=eGX-q7lqAJM1ZEQE-itZ95%|%S}Uxa9# zH8^)a{?x8vl#Pvv)7QI#<~nFTYi{ek%&z}r@9;}?1$qg`ghXL%Je$euzFC)YR9_5b z^+Cs^*M6kooG%l*NCX=)Whf+q%2408%%Dbo7QKgNAKC8^ETtm$`GzmxGc`JZ^C$E1 z3aB$SQKOS&Vdq_>QBkYiRoTTnjna2J8?`><1%S)WJ}J7JVtgWCsjsYrj`s<b`wHBCQOx}B2hRLcnY+kLF2@Z_{l>U1!&K@j< z*xKO^NW*aQLaQhTZ12bcZCxq(UC*uCAqT|ocQtWN{m{HRf+X11BNqp`AGPQfx!dO6 zzZ(S>E2{m#sj|XO_R1x|SHkZ8NWoSb6-Ddx-le)x?zUzP7fwQU%u-%-kfupbzvHC{ z0|Nu`H9xH|DGrGI<>_6nQZRu3IQAdBb~nHm$vb0Ss_2RjLc9gU+BQpIm2^9xT#A;lkEd3kla z?~q8qOK$%y=R|>JLxhKHykou+)rg!;;IvUhBmORc*_Y>1YyRFR1pz7C_xsN|rx`~X zRj`DFV+9e=-u{#z)Cig;>#B3-&%>EDlY0Wa)$TI6Ia%9|?q3~n&u$0};>(gVpxAG9 z0WA>ple~%EX+wC zb+Sdw@&NTXHGSAg0%n@AP7x7b6aT$X!$7q=AxJyqV7p*S z4|m%`+rbz|9XLc0J6R#$4+Bj61lBB7*Micd7jE0S6AzAuG_-H4fLq2|BS6>zlQm2J z8Zl{Z+nX5}^c?2-VZ=m$?-*N4?_XdGm}1Mcx4Vk<9u;WoOD31K3EaC} z_@cSa4u zR6Iwe6JY@98~G{#R9|$?Uq%MwS@RM^s?$Z$)+>re@Q|)9v_{cpB`XV81DQZ7DWIc; zCQeR>R0tf=kXGPW{kkcE=S`*wJvtQ<8yJ=I{cXT{m?nciEsTVyq* zWf#U2*@ z=|WdgH~d}H`l)iNZtv`(O%gs5WV71FNaeUN(aiN0fC0$)jV}DhBCTJh<`3Wd*ih|% z{uQX0pP=hLoRuI%j$6$0;5;lT3~-q0xU?5)nyo}#>gQN$ID5Cc1~`-OUiXHidV{Q_ z4tL>UkE8xXB9JpG&eTGpLr)Ry7U7pU6H^r|+EPBvUo}}-9rWaJj7jBOap?68da_MV8lkvsAT6BmB(6F z#RI3B(cXzg_WP928xJRUwjBUTf&u)lp~X9|zIwYoKIvW`*C*`d($=()@Iap9{UT65 zV*$rrP)Xu{gP4snRhR1;=bSAVYiSkAtY2OKANJllEXuB38y`eKKsuyB0YMN6=|-eP zT5<>}i6Nw$Ap~gz6_D;uiJ`j@kQ!j^0(3#}(Mj2D#d6aP>@aJILJvIu_)o zG?RwbwO=-T*F=eFBOZnt1&$2(tA1L0*f4ROcSn?xh^VhVWgblbp*MYEI-TFV zQwy$BAT)L{kPCY*6qpg+29J_`i^#~>dgoa2$jwHum;fJj-8)(!o+=pwv}&4v%2oGd zS)5S)cv;nt!L-L~gWLnob|i5A|fe)bnf z9>$wgSxv?U>+II(nQqKoF-^!19lE8eopw--;_ZD7CA93Z=zbI_v^zJunSulR?1sn%WNEIE}1k}mY_vwe2LaySuiayqd^8?HhtTHrv@Tq_lWK*I3W_g{%qhe%_vWL<@XI~(d*54* zgK2Gzea1(mAFzLSScGr=1Izw9JltQc9rz5@7+^^|43#IZEaKd7A>L*uY8oR-7aNep zmZq%AJRgIa!yTPFF27Gl zt58wzmH(FL2S`I_XxXDBaf}m&XoqECslEA5R|BhH3uZTCl{LK2oRaFr zcTq&L#*J^TXAKFKE;}>um&x?@kskDzdWT$-h>b>6fSqP+Bh-pJ4esKqd6&rffjBUn zhHX>9V#Ht7p#>zBLi8Cr=YgXVWV(IWbWwh;qOwTF#s03q+X--nWh-y+*SbDQJh27q=vW%*Js4!=P^t1+WZZoE>aY%5 zwT3LJ>^K^cufQ>((_y((rYK+}HGGI%^aG^*0nIFyGuV#)I7einQ1B%7Tfa3~T_nGk zfpWu3fF9ruser2h10+0%?m6U&lCW!pME@zhGnN}?o#rz+1rZzWxD+~yulvI=;9 z_qDq30YTsg_?*pO~SNXb+DjRFE9+uk>0{>aP2_sOBiccC`5jh* zR!x)dvIw*xxU;BY^{d(I(+3OERU`*$Gg%wFq%73x%17#!s{0{=D$QpAhZ(7Y)t!vU zX;LE@I)9!>!Ob^{FBV@f3_U2B-}QfQEgZ*H@S(zk>y0csGNH!v1}KKGu3e%8$Z9}) zE9t#;S=&%*>F7>+MXCQQ=N;)Ug_>1r5&a^MR?(~&VNfD1mQPNKV|wq(BMO%SL*(dM zwwWHyB7gsQGspLf&6}mk+T@zTj+KQzPRln5j#(Zbg{JEkg^41^mYU3m5}$%y_}_^Y zS_)5)$$TKFNH-$?l=#Us|%8OAY53T;`Uiyn){NM4Kzh$UdHo!8Q zxGAp;3D_Fy@=F((oHb&cbpxzVDHp=+Dq0TC8GK~TKn(9bYmF?XSEr%C<{x3fd{M30 zP*HA-x1rA6g*B{p^5l48Ps)B2N0Iz)s>3D{K`lX7(IGfm5F_;Pd>7n*q28@Ryg4)>x73F;f>q-0R_*(RS7 zo_rI#?TO)@26GHXG%C_qKfq(eH~JPO{(BsqO9S-IaH5Dzw>b0XiiF44ar$e(7On4f4imO$Uu~Xouw~0 z)Pl8D8#&SW;0v+hODh+QmXh=DDBZsWI{$~gS|Xw7jV-CHO;BsnP?d~X{V>6n z?xWhU)*P#KnqNkVTR1nllxh>+UWdxZ>5A3=!KVS9h^(9BwU<;%e$5P)Y4tl>`o1q# zJ@n1mUFF=Q^m^5s>L>vx1?G&%iP6oooIA#O=G@^np3$X*agLjB4Uy{Csl{Hvrys%I zu$dFzOSDR-zQ;z=$}N@qq`!`4Qvt2c9)NU;T$SgGj@9fQo_G3mzsTESPOD@5kz2P4lUYSF2sIFGx+)5mh9#(DDKk7*@em!g{IJ zR1<%CC;>@2)_c9$EXocWL_VA|k??!Eo7D9;Z>)@gTCeAV#idk5evJ$pu|6{`92(`Z z;~V@z;wZz>_#KZEO_CZ;(S-;dZ+Y=!FejG1Z&NGClClA4UQ-fPJf2DpRCmDH$-#lY)An^apm? z-mr-F19TtAaxDT|O{5>7@bGizYk)=ypsl|q{sD>^z4QXUV-~se5t$X)+xr1hpq>F} zF_Ql~=J$W{oVOT!9%*VxM~vGNe)W-b7D+I|K{t(%)8{IWM090!JXZ6c3c91F*HA9i z)35uv3YZrw>M84oqS^&mT+fnAE23DRYzBt(%{WZ;GgxP3$jy=00Gt zbFI<*MsZt@&b1b_6YW~?2MEq{-mq~EUk9!JtgZi* z@BU5wR9tH!2(L%k!)mMjD$c28+|xm4p&Ie-rPyuTJ3<=)yQ3{@<>8oSWEmY{wdSSM zZl1`x$6o(6w#Wqr=n#YX zhI3X8I()H^bV&g{CWJQU{{ZEjbcmet{s3q%04Cj{8|GTT*i?4Hpu0c`!LxguIXes3e6p9DmO-uUr!R06p*v zzgbb1vM#-#3;kDy(7fx0EvrJGDu5psm{?N`0f`lnGc(|S>Qi98(U<|GREjRZ+5hs2 zgT$CtZc`7`dwp05Y1m{1$oLIXkj4kqzpPgGI71sfnT7|%<%kPhX~ZvW%Q6%_AB*Pc z-YKQR-J_g&hmf?dEDKf&lBC=Ic#H4+{A(Vxv6ntu2uD1<2z6*{i(0BYjFQNL3tZ=_ zv32=;4ga0~+ZC&$hc7>)+$zQZi2Y0U8vHqt=k+iQ!Pdc0{O+4rLvqSKLbORDyxwoB8m3RZ>dnbX2V0o~U`<+I?L z=y0u}Cr^y4feKDrC0X0iefV0jiWifz>AW^{SXAbYHIH5^H;0M!Vx5$x z_R-R0`>JAoaLZdas=O->e$vXB{ zukzXcHhDkd6{G#c10n%YG&*1Jp$1u5Q40HdP+6Sp5NDND$T9IrsGA#6t61;hdpq|_ zFVy2n$vd+cu?n}$)Xw{&b4?v9_=Va&eKWpbiDgARFlHL4m~_K+Y3Em01#qo1yWTmw z5;O1RQ^k92=)b8$ub2w?mmkiWfG z(s?EuPJ0Cj{FZJx)ovHEII1s!DauzT+oG;=>SJjlH$vRLY$=p)G-bVf%dVPFcz-_~ zHp->pOg25(FBbl?1|pk4CfD`0tM*&Lb-A{Y36w5=_pO^cSOR2g<7@~nhC2jLv4Ttd zS(F_T7Lw%6bUA2WooT#GJGJYE*T0{;kVEb7^3I4=bBY3g=`=HTqN%|LQGDP9VWUx~ zmD7V*ezJLB2Kv5v=70>CiK#FBOn?`uOSEfYk+lUt|G*Dq|Cge8yK8})0X=VhfuaLl z%}i@d0><;xoAIo7MOfziAFML`?~U>%s{2pIY;;8~qyV!n20YMw=sAHK?q#S zEWN+-1D2!#{~3Po=J^pTMD zBg5!*kU7A}@E@l&(0`iOep@R4{&7deey^joy9O4wh-V$wqJT`ywW!G6%5_P@$PbX- zF--ktnEF5$6#+W}8XYL^{D5=m0>oUbkD#Mh1;a?+|GT2j>YuePd3{^?M*|%A+~pih z2iGIROHXYtr7%F*BCa*^47@!D!=t&QB6HSfp-^C7^{f=|0%)(1e}Jf|5BGqiT(cKn zU_JJjTqQN}X(cVTqWSCa5iALjCLv-?&7oFd20sPqg<&M6!^w9SM@27z3cv1!*{DFl z{GNFng6E+fQL$c3B0K2}N7;q-$j%1yOH08jqXiKq0jaghc^#IW9S27ev{2yADJespb+v8cyf_w2bAe(RP$+pGL z&j30@h?i}b7tA^$O8W-59#b`MJ@xk&!>SnIbqe5JoMU*2a0|J~F?j?3P>#9dCq_I_LH)mW^B5SsLb?DS@(yD1nPD zkunGt8|wNNoT6PoG?Em70&7^C8kOVBZ!PP`mg1?3u!%Ri_^8XW3wg2k-v3g`80Y}~ z>5AZ-)*Mc6$r%=ZW%;@=obR#cZ@hS~tQ$opv|7&rHoAJI;sYR@n5JQ_`|6P&=6>N& z$O6M;?0!*DB5k%~)HmoSw#d9ey%M+LZ5Ec&Hmd$&NMOv9&xBD;=&Xt^?)*Wi$80uT6YYwrCP$o&FTvew#PM!xuaZyruZ=t?42QvNWx*t|r z!>=3Z_tJ-WY>-N)H01SboW}EEYUJ_+g_e5OFoYX(Nyd72iNG04iC(@npozC2913vY zZpY4eK`Y7wk8n<9-BtBhEPsF`Z?2+(ac@Z{i?m&#iWB0Abd7*B42#UM?5Vy_fL)Qb zDDS7dWYqiY7;i!<46MwW)yMg<#J+AhwaPD9Ql9{L%t0Pwl5Kgk1yL$eK;pt?t%1nW zB5hA9V9R20zb}h2D%DW-^)v6KYzkb|<378Za@Wk#S+3D?&2p|iXplwJ+jQ{Sd35wG zuVnY%CWMuohxIalY@HrLyWrM))kQuZ<%ef0gHB{0-0dY*q0?)0IRq75-gf}?$(^AUmYVdZL<^n2Z*RvZXW%8kh?;Lpo)J5&|@#z$t_US?!21&$}zpo z{N3S~rn4l1?3`+ic3R(}5pEO2Gx65M zHK1c^B3DE#Ap3jN=$z0kw_d3iaIRjoEjPg)OR^;v{j+5BjE+6zch{*&uEWhO<;fLXbtGoIObX6 z>1{Y*x~VdFs!mLnyptfi?e-d>Uk>)&25&@P*4)g!4ojiWV}nP=8VQ$CV?}VDKK3x1 zB*}jB^TJ=rhg!k@isOu?Spu9heSqxHgj5oS_CByaPSA)RllAP*6>2CNjPe9p3 zoQwsvW$8B&_;VNJ^(@*|wK}pt^O*lnEJLiM&RWBOtXXtD+n!pSC84fG)bWjjf}+S4 zTs9kT-%ocj`tec_{siE;?@=uusa2U5;&4kH<^Hf%9T@bafy0vKP}`95)2Pc{^F-@8 zJi;vb6e?3)4f|5N(PcoPuh5y4^vyF^mvl}K^AtV`)=_^xV6{{=SoBia5*eMz(B87Y z9tZ9v9RI5|HSL$fsXF)(V!eHOUYgE(DO4xrgMJ#FiP;GyQya+Tee2Z&Ean#@wW>V9 z?n*{YgyP1=z$*5I`<<%lM7wm?%0+w1?soLO)?Q*9Le}6~m8|}^d6d10Nx(rZOpIz6 zaq{17YKrzu>Re{FkQZ>a6mVPSYBZlhGA|EicZZ7CfP9NecpjJfDH=cnt4Ad4>+taL zk@lT^XU&eOAE0`F^^FQIp^jfZLg+7t=q6KGQLfgitI%?e>jg`JftitTqY3ilAtBO& z9{!Q6kjQ*kv_3=7rK~a5nTmUqR`h40)X(Uo67BcstSpXK>RhRQH8k7<=UJ>aS`+Wr z!&$LSYCd&J+7db{ZK8tC)kj3o1Oc=sx{3EJ_=Y9ekp)l=eT~)$aP6HO0SL_UU(NVt zi8Q;Mu9+DQd9n*Qgli>V{Y@X2vp&4zlYQF{VKc;#m>#>i(d8+4+H!%}%$%y#6+8uI z91~1O-bp&Whj|F_S&>o^)Wc2-0A>1@f-3VKkLKgK$_xBc^#dkeGZjC}!itDGvH26O zsNSQ~f0BF`Wcy41fy;YOMB4YoV7WDs-24ooCYuEAxF+DbK9ox#Qgz&m z(qKwW0yTH`r^Z5b#p&>@B%%2yyjM8Ii1*OUMjj9p$Y|SpD&gCPIln`=b}-4*VP}%H zuKS9uJBY|9bEu5MYU5IKM+YrXn4)7IJTVbit27VMC>-i>V+LPUG&!Qs_Aoi~c2Vwo zKw7VnfR?=1rjR@(6D*{DOE8hx<^;Nv^7(wLDBBdd_W`i%;C9@m65canYYnEbk?<(N z6KzBiZ3H1HH#AR-Q%+v~S+Ix!A`ym6o2oaJViX8nEVMuMv;QSUP(F!f#gg>-j;!F;*nBzJ=Y z=pg4Ba^u!`}|Xth<^uG{p;_Txfk>X3LF>@Cla)p$;7+pn5zaG&sp>H)uH?ig9s@~9Q_yaF)Q~fecvK%MtlUEw)t}g7nUlS6 zgk{p(J9a$Z8okSs$Jd_EViw}2J~V5pZ!&EtPPU~y)k#8H5DQ`s-@`Ct*&}bVbYVfP z%O39(r@zR2c-46z*z7_AtRfr0-M2nUhqYRxlrO>{Ijj@)%@Is7aVNCj4$v3%Z9<%G znC^kD1?U)Y9!56c)tbU>rz+9`(L380xexRvlURFbKYs4Bo36oFxDgP@WBoNHZ3)^si)a*4cK-ep&!9w0tJwH>HV`P8U*76L=hB|5@$hX}l zUTKcqv>xj_yeY%&epVw3;x%&2WjR6v`)SAnL5S}Hf+=D#NPg)y`(iLz!y-31RdV0Vg-$BjzgQ3j)h(b z>;Ogbc5+`i5}${{?4^WW%-ZrU*IlUo@6AC6{eK@)J6Zyg%-0kUYMdKB%yKJ>Hy2J3OIHz7 zC8gi`oS1%q++9zK6mKl^4;xmk*U-6Gi^tcYt-(yWbL}A5|J|*55f&H`TF3%#GIF!Q zfIE3gf$xiBaRo1MStAv^P`uiEC?oGa>h&D&luQN&1+d>?{d~>R5^nyxCH=bJ{=992 zCe2{fyB~py!!e<(=y}Y_ZKrQW2bttf(oavs7M8Yx04v$WYLBx4)?$%kOJ9Twz-1S< z;@+;nuMe~L6|tuh)*btOs9;0dVu`~Y<}BY%Hkm+{#}$@!xhf5bl}hh7c6I3KGVW&j zrA;`iKfe$pR(~eIC|J{0fnnVIgpQPybYr7ed(Q}W@{~s#R#gSopdVV1c|t0C=Tm!Q z3GzqpKB4U0c-#qKGJsW7fwLbB%}Q?*y$F0InaqY35zz+_`MgO@ykn(rY7$5vTE8!y z;(duFD*<}zdg6b0@6>w^-3UUiX?S5BZFnr|IUeIB=2lsn9GKaABaeKMXtE^gN%c* zwSadSX9+W|5QhXf)r%L{Vp@y6zs6Uf&v#%s-x_K-XQX~(2xGf!NvKZ?gH(la`g4WR zy?Rcfs^o>1Ow{F!+TGU!u%ni&E6uoE)6~RTMts_*v#d$wTUSSp=(wR`)E*7yWTDz< zM$A?D^o{m@P5M>~dE5Rt?TxrMAh%PiE~_#9*oQm`U?M%?VTRnUc3-1!d8CgYGe%5f z`<4%2KvVg7tJ{}{&hg%_0NDPlEp1_n5?PHAlT4rSvqME+qmfLKjO(s}z2kopxAF?ECc`NKG};y)i*|4)(lTk7#T9u19l8|tzCQL!EV zJKKok`Q-<9k5h{8ILBQX+`5M%uPW9#Uq@9{1C0O7%&!wV8(L-6M*a12LKz|LjR|eC zn+J{`C!q4eJA@9mD5i7Bs9&0Ul_?4H(S9Ib@&afLjwhM{hqJ%`;LsT?mUh>yM zmgFSY=M7FI>3OeOQv#IyreM4ar0qbpLS@5lLfvvc;vLV)F^Al(iOGz(RF+nA8H{n| zZpme&4|yPGA*P&N>@Qaz)6DjC)^VSNeLJ>oW5Z`AqNUqfA+N^s{qDnYhkA6@s5kF4 zs;yQISUesUK=tq5BmP*+o}WQa$MGRWDd8ErE~+yc=Y|44m^;Ebt8H|H&aRCj*d>!` zrm>HMR^uI{5=IWSpCaFZVqZ1*>BC@k?OEC7SBrsk)qxSW7qcd*ncg8pYG7tvoqT*5 zJYE)sMv$5W1?4!^gZTumho045%|4g)zxlj#LHYGrWP|2|D$(5X(zxGZb_bxwj>h zy80X=_U}ruv=i4@L;RjViS4MKt_9wtPC&IuC71FD003R&~ zMW;2Yt$O8>rmY#xlieHjT z=v_#;tP{=aHx;I!UoCpS-9Bz+3_*j1dZQZ z@LzU%Z$yoN7Pv8lUwLZ_m&nE@K#dT()YuW@4uE&U)#>H#>~_KDo*JMyV)pJ_h}~oa zI%QrYtYJ>?)OAMfx(^VHcC46IPg*i$+^P`(U&OQnl->V1iwas5CcOj@7=FQ5IX^)7 z;Q--Ev5VgR!Sc>b!YsRpOfC$$BSC9D;{GMv~cT!$;>UqLJ zFyM3`_QB=Dz(M-bpI^#dL@c|!+h?o1X(He}Dl*mq)0mJht5Id(I(`pS*?G35zAO`T zHZPC>wRyksA_GM9l|xn{a}3wL#cm3$t@msucVfC$UUF}LFxmF)=$W{YwVEXlmHd7#lArq(R0KZc)&SM-@hG#yZ7p|n%H|v; zrhs8o&^`xWM;+BqeUhL57GwczegPKopzb~>@W3^3%3;&%6I(MTW$clo0x3wvEq(~T zm4X}oRcA)Hhp)S^o)uZN9F&drFKr>6X;n+*dDBxsbdBL&9u!z<;X_Qx};{IwO1x zy+L5Jh%5s8cq-@y`IX)gAgb+`QRmy zel9pOi|1S|)Pe9ts~_D$;D$pTT%!0SNn{ zpDEDZjyP2+I0bUxjzvP5NwdK>`gAo<7V2*F=?L}{CDCbr*QYybAUp*G`&P<^F7a*z z`(VbCP9=a~pO2?XXA_+#Ap{UJ2E+yX&9Y7(+=vTW^;GT{0^)+VFS8|LFwIA6`kUOB*cYrXvzH_74H}Q2_U<^>~ z6BBu~_&QPq10;AW_64xtz52{D`DG7fyyoJWcg4ceGy$bbCOWX&J7btlT(sEFn9 zEyvP@UnqT4;(2pSr@WsVrK-#UqNO+|L;RvO0GUI;?jjM?s2h>fqNBt)S$#v#ii$gZ zxF=I;@Hw7p{^}+R=IbE3E?g}5thc7ttBrPJ$d;K`=ke3BzJUZojEd$&Dbe07R>U<` zQ&ha=s>@s3DcdZHtqrBTTTe3O!-HA< zs)g-?H8qD{^aT5@d5r7{Qqhno^U zS5=~pjbB*kFRr7A+7OJA=FFoFKK}eTEQz<$RP+h${)CO9bKlb-!G|pOnySghIE#!p zPf==Kg6ycHYGjexZ9+zQ7KGeXqlCaM1I_{(^`%u65IZ;XRb+J9Cgz&TNhzT=oFemj zd|4=t*)%qs3oOKsAa^b@w7;cTlHEUAa)Rn5fM5=TU+Ui|qaLdzV%{jDPE@R;0m`Ui zy!|P>myAFC63P8n5vyKzuuC=$wVBpCVLW&d;22!al=hqN1!Udy^-5B7mX(CXh9?`` zzRtjnSpj9eIs|WP!T~v1h9f!Nd2*pvGtseil+aCTAY$>-s^yv&x)I*d;&Hhdpf8; zy#{5d^AFG#B_J`e-*VjyV6RqlAVoiGH>Rv$hn&TR=oeL|PEJMR&(`<8brD89wOCKN z2yL?ikk*3#(OJ^!C z-YjE1w9uYr>x<4;FgBa5Q&;j4sDCvNTeZ0*N!(mG?Jf&pZb6_!rknN4+9e%AFeWj(gKLgXJgJQU`v>kPUuFrF zhGzq?4<3MNG;s65u2TTl?O>}XmMksL&lEjX6307+$w0ph$*%t8^lks>^jyL7Q}WW6 zZW($w7^hLka+rPl55IbApfX9{mBJ#!T+p9D9YB)DrqS zqcwpR@Z~|f)VHUhW5Tlo)PbzElqSY=WxI(DxrK3ND6onAE$<-95=MI4nfE|f9+Lgd z7XD#p{nZu>{;@3xN*J4n0O^UT-W(}w2g~D~QUpmCB;mW)n11sX#R0qqV}FO#oM-P+ z`g_sbZeN`XvF~yCv=#y_Y^C2%s(Zqp`($?iPT~8XcJ_@N(%%}|{bvpRkNZ*-?U(8= zE>;QIGp9J}dz3-WhPrV4n+XvdCm^na}!z|d0t=m+z==JEXKU?ruJNfC<#S#+}W&_ zbv{94vB9bsL;v2moH=@2LWuL$IrdEpi9??%CF# zVZY}de^X(GUwT{x=PP6Z2^9MIydNM2>vK8(xaHsVwn;SD7Yfrmi# z-1Ayh=;GE7kWBHA`T)=LibM9}lC?EAR&x-3` z@c>3(6HmqO3#@57n>q#_BG-7VM0#fe&_N5%8SZ=C{%7E~eNTNmejC+4LDu*GiQ6@G z{{P;-<7bJ`zahqnboaN(F(2(b(Wb+d9PC_4%?Y)#^kY$ zmrWFtD0RZaO@A1N;oj#<@t3v3WzWk79r)B38Sc3)2XtK*^@hL3tnuEjdHq3XqqvA| z_^1Yl$QdF&z?m1YGQ3n>Znj0#;AUFFU*@m69_JWwU>FJ7&`o;zX`3jz$b8?l+N|=u ze9bTegN530PY*ZxShz*(7@J~wdG1IVvsA5 zH$%-dj*SA4+740J(`ixr|`7~%B zO18?}e`zzHN6LkBDn6=NIz(3)>~OWz)-16oI@25%@GXRwdJ2u9!uX6ZY)E$a^~hnI z(2EJD2qz2$sy*LwFIw8s*qT?Ic3DtE#FLM7_-1RkvW~E~dz-qbgS@mMs^`|WYAtje zcVb#|2T=r6<4hSU)H;d*EU5sPdO5>3Bc%PNCiDsv%i@Qg6 zO+RF1^`xvNOTpUyxZi5XKzB7)?oKNkz=0Hh4-$kP1>L zSg6L+o#)<)*QI*M^<{TiP9mulYEfS92Q6QrYq1@qytL9L8C{}yeR4<`HG5bx1>ou% zm!DjU=fQ%k%H)_|e68fJ7|@ns8M$amVygt91pWY3x}6mIBR>VVkK%PuEQv+X+O8K& zqS6?-&3d5NB+@}q9+tXr=M{8itT#_7ebY*ZM+zF+$YmF%zQiAEw6Yb-vu-LiAEm+_ zq8}xe7}k2+vrHo;n2-^Ds!@ne8DQ0rVF+-*?A6+D5gTIJzHV+7$l2cR ztu=UteEV5R)fn0t;;JD&Ko$Z|~F|F?tZJRX0M8>(^#B7(e_iYlr!qL5@KwBW#3Hf6YAzWCl* z(lwe+g0S~6Gmh?P`k)o(2D$8WfMS6(mf3kJt}A>=c~kSa1jHyr7zt&s(nf2Iuy(RZ zS*uP593aGVfHc!Q`5nZ}5Gf7w{`^D3q?mJD{=wJwTG$QTd2TstqZKk{(9F(Y4snqL zQtX;fc|NpUUTmIEl<1;O&90?7Z&0b1RK&{=Ca`WH zt<^FjD1)7pE0HlVHf_!y5Pt#W-}IL_?hEmkMzGdcHIqn+qqDR zye*X}VKz#zJII$L3um3S7G}QEatmNUFEM_}w4>V992p|T5Mt|^h=@V4tu6{N8md*z zTB5@o7@s~DUuq3gth{sQTl}Lg5~U%&`ReL}A}VKJ3D};9UviSh(0uIBs~J1; z$z;=4O%E}BJe4{Z&x3Rn?M>uzAt!7QwpD~`hMPFNl6`=~Zi9QX#oW?w<#8t@>}>6+`V@4uL~8uo_LKF1arH%RxLe0`Dv zl(uLAcA;l8Hp+q%VwBXrcM82A+a{s&H<6w2T?!=QNb!-BoNr(|3wt_23#iKU9$6EZ z;$5l_Xdd-)sZDoplLpp=+(~D_y)(HxNrfXw2C?@)=DAc~AQj|d$+uY@RnL1oKv(L! z)Pm7DhxEkr!02iOZFnK%h-(GFI0L%eqvAe&3o51lo73anG?5PKV+_y0W_$>c;Nk43 zjfop1d%VNR&<<;)%=Zx|KAL0`z;f+^>Q^k(c_bv?FEzB(&=4bTiF+2~BQMhk!j_@) z0(H^Ns^Z`{#}2i|(ymv)Pd+@4lp&X}!KOz+$J)OezevS@p*Cd$#p*74UruarD^%+= z(#`W~4JplRel>Gs^KfE{L1pM+y`-CDt@LqknH3Ya0Fh;Z98C(YEi7RU>cwHTf5hH1LQm_m4xm;+xwp7W^8?#NVm#`mhkWv5X0JX2WA z$b>;n;;q7kFnNX7Zy_ow!|6kVtPFB>!}JjWcv`teAPyN5V^QIPj}u&d?m#*qLXG+Y zT@$+YOkF%Dy9!UEEw3j_M>aa7(SW16kVoH0?Y1q4O}oSs-*xfiQ=bVvpQRL^WrUlo ze??VAl_Fh`#HM3pI<6Rs%x$XjB5eh){&5FA0y8oAmL==wI7MpDxhZ6hPCK8q=7dU; zMvOYSjA7YuKXtE%y<>QmZ2E4j%q4j`XVljTJTv@vpK|DK zlURQaXjP~z83;fDk+$9e+aO{}gO z3Pmsm2d$2JvT(B3svXK}q^figS1T5KUaBdgs89P81_7KDs<|#j!>NFoS+?$kf-!dD zhjsQ2c0-KfCY<7J`OCc!0z}5(lLEBR!wV?-#yzscD9a4~SOD=Ow8^$WrG&jXL6Oj6 zD(D@_+Y8Xsy1g-Z!Py_ylELK1BFaH^!-L1dk2LBP3@K1bJ%EgLf zTKz5ONlx0@$)F^6nvPa_hE(!nY-af&ka@N$MluRA2zz+_|2`GQD4f_eArGO45OcCP zoxy4~cy2)v;@h+mn`SZx#QE9{4O7gbGEKiJ$FR`Kt-9tkE>gc3P4!}Dsim9?W{%mm zbmvPKvmvkw)5tea6Cd-rM+2V%$OF~V<_a*?D}i1P%$)AaE0(o0jbzh%SCBBjDj`kPXsiz+Q>-_;dfK!~K(*F7X(7B<)pCkLCJO1}Aj z+53jaoGoM5+Ha-G?Mp3JT9-hT)8|ct$KT{-=n7@}UB{zHR{Z8-fz-cpVHig~j-uE) z(+kF1ys2S#B1sH279=nLFj}%b$dQQtKNWiZw9at^P}KfO%H?kxiNBPf{<~g@wBYT1 z9eo#+>BQIW1%lHr^#!}gcLWUcC~OtD-UR)XWcdbN_{)Fkg(mZqBW=+wTaL?cm)DhH z1LO38-CwB{rDz5XUu<{CNw`$_W&GeOS#LGwLpzW6Df_)E-JYF#m-%5?bN;19Z2^DZmF;{V{(fZ$D)&c|Wl^ zboawLLO435!|6%FZI#+m-806fkpQK4hBZqIAr4)-Na};L4rS$S8qUX%PEMg`aztB) z2?nY@=!vtWk&?FySDR<=GkZC$M0_S(6Ex116|Ss?zV~b8=iH*$mvLJ?cWmJ zB*;B*;%WHNAI(_8<@0rpVYYLN|3s<5E0-MTQvp$7O|taZ zh{3immEUB^uyC(Pc>(oic}Ziud=%CS9*K2p#-bs|biJD#|)zW`Vu6E|M&UVRHc zu9IgDRYaKTnYs%NTOhscocx3a-NBYo?eA@uxbhTrr6=maxhI!3r8~Y+ZSM%6@LT8(^40XyWdmQ5aS>ZG-^$Z-s2@Yg}A-ZYmr z@4LllE76h%w2d=M=lMJztn+JDlvr|*LtIql2JQy+(mWAyIAF)@j%sXdp@_|x+aZ_$ zpBjpneYcm8O^}pnEAXABjL4OqHmuE%wcKg`7zM%x2n!htg*Q6z*AQxT4^uMfT%&^V z`&shU1qL<7@be>y(9mw%rroACRNE4i^|)#<&NO#@HvTZ>ZX`k)rVDY8p{LVHrk(R{ zE)ByGeB;G${=(;c%_9HFlSyO!&~*JxRLJMusBq%6Sr+!4^cu|~^^0dt71gm*2u<4+ z7IJ38<@TpxXvyhlhKl7=)jAn@+RvXDJzGP!sr&RAA59rmN_f7q9~E3SO+UI*P{f5c zXt@Z+G%d8wtW>vO))aB>y_7QTIFXZ0%V>wqL-95gWhrz;ue*yQ7M|plK9pjPh*;+4 z4>_}M++r-GZIryGRB7W@KQEY0hr?F$xO(m$V6bDPVWiRRf^L;?D&Vd0w>2DZH{?R_ zTuxrnuyIWis|^HVNEXm(1bl3t-*mB{0udsu+kfr=N+`w~hzbA%6Wo5~0JLi4?GHcS z`Vew~vB|jhcKxr43V)O#{t3`eT}(AUpM|rBcXN}4rmQ?%;Jyz#*%Ntr!nb^M*PKXi z{?%pf-}U?AOZ~J-XWwSB%#Wsf^lei$667zW6%}$o@t#C52~a|qn7xp-Dx4FXpvxlM zHzVWt1%Gg9#U-6l(WuO3OOYhrE|Fi&BgvO5RG`I^(g*$Vn_+vmHLI7_%<0N`XUT=T z?{Zp`rUZiyFrCi8tG*w9u$N1g0K!`TH%)6Lh)DMYsKdEHZO#9DIa2jWjhNK z*AZq^ns-fTjV!#LgR7>;Zim^$Zmy;kG#+4?%uQ$IBxsV2idQ9WA8fIij~d6W^PW7y zLn_|HK>aShK;6>o5T%7O(hz)frL*{MVx9YV?^$lgTlV)@m4?VWcVEY@u4VwR74B=C z;rqK;ew>-H6a9lvl4Iw5x#6$+fKs+Z=T4%}8DjI82~zD*2*n{S%mlf4lWHeP?-0CO zvrNSweNSwYoObLwG6tm7f!zx7rPmyFqZ6*e(zqPjxZ&=C>7L8G_pFH|vxZbzMddmJ zwXAMg>*qw1UngP?uL#%n-x+>$BjCyuXQITD&gTe7EM%bQNZ)KfIIBNi<5CBqgAk9dyDqhpm_ z^aLUjW#~Bgy=r%OZ)3CTOcAmW`5&0lmh~613BbZYhvek<$W0gs7jp^+%>M$>``OK8i2VnUhf#R!PW;2stZ#Iw*_b6dA9R|zc_ zhV3GmU4uYHP;*$dM_Zo9c&cgtJiB(5AN_ElFiDGv5$$`;(hA63ZFWqGy*Gj-pm<|( zdqF&%1j2$!io^-%`y{N++akvfMcUB97ytwu0R%gyDFzGesnImY+9P^A2+7m&`6MD8 zd-xtB(cEK*r>X<4=h3)O`!xMDSZ)us#3@_LOx7}2JAc!12hcv5AWH_3yyAXw)VZNK z=q#WIl=i>bX~yfy!Xk6gQ9Jy$JkLwn^J%}r@-fFN?!9(w0qSQ!8&t7GE1#yAM?~#& z%JJ?nwHnBLam=B;iV370cyFkaL8vs?_{$0Hk0!eR{rq=^kpFFm|0mjk`o}mHhOw2A zoxQEGzU6OktqshvFxYwdxM|pEetRz{$ok6Vtud>Hf`PfQp*^dLgMt0c-!hi^CdRDl zW=8g=H0+!_Jgn0HhrRcXifY;VMOzV3iAn}Zq68&MXvqo!l0^h0gXElZQps7#89{PJ zaz=6v-88wIoS^{;P42tUefHVseCK@MedE6O?mq{IW2~-KHB-$w>sPZ@uQoI_HgTZk zeD;)G%-X`*PRT}3-;n*Kp_8e;;TuU&c2QFY``3ncV%C;6)>ejA4z$nMrK}tb?QEQ|xm|6Zs|+UdEn z%jr2d7-|4?fqz=G|9heT?_-_&DL43^f*sBtu{lBBW$W`{EkhdiN*p#o$#kDc+4HvY zNK4FY$J6o$1*JCcdZfd+WqNP2Hau2u=#A_%>UjkdFCNmN5gy+h-S zd(J;e{TAbi8EmF5$H|v*=uLAm&6SXqBHm}^)VCD#HoKJ1)$%DU6(hF1TySpEo$JI3 z5Tc;_4`e<)N}yqghP@=-FpF)|h`nL?iP*;ek(6aZ{zo0gKEE2bFB9mqC@s_|K4EPK z1I^)G&;ym!wGD2lTJ9%aoSF+hnf&;-dFtXi+9|;W1SnE6N72o$^T>nu{clE3>Mr#L ztJ`>g+&Qj|>|8v_E0c#=2Y~IhEuRQa>Rh}R*`No#WP#BWAX~F#gh*`|$lx~8^I|g> z%cO65Kdm#oD|i&cY|V9)YsA`wNvCbDui7#M)6Bl((kw5*6Kh4W7QBM_d|-n#$zxa& z6c{Jfz7cx(jR3p~VQat8Q8DvAgeK8x8=0BO`xksnRMPLMBq+IFb?KrJSU~1%{wfe- z;s&LYl?#2P7_QaBwovJsx5|q7G<)7^!0>~|?E{fc>>?Y}y7@2g(|QhhoiNWe2Mjkx z(H!lL0$fD;+Q}Bqk=$hRrbl-{3x;ebwK9H}89Kz3M|XMV->s$Z!A0`lGPVf9!NY9 zD%<+FjBZF2^^q4EG1KuLxd`><5uH&e5uIVnb9?exJwv$GsnR3K26F}wtgh>T|B+Q7 zJk@yG{ia;U3?6hfXQr8E&5h=o?zfqu*+{-}X4Op0w7BaanpYjy_-2(~irxqLL-c3% zY1^;;+vxZoZ`AZ$YMShLKS}KsiI}C1(!97>hRy(94TRNl1Y9Oz`po{sT>J97J2Uh? zh~OI4LeD&_3U6wP_E3OQNBVPYW#tv3iH8b|kE1&--@TIBluc>_d!a zAGR}E$p!*%Yg>L!KE|7hVHiuGs`^yPk;h)jIj6nc`rZN|n4x`Iqr>hijyWYjM>248 zbWEh*b0Or}-3XKaIzF6WoN>OV%;o9Mgl4;|i|iQ#ov^LGXhWki3s!Gw)!uz3XS_Yk zf5F+0z%R=l#>;WVjiyt>f>g*%WK&v zCl#u`TU%u^&^2Wz`W`?3Z$D4t+B#0ZKis~zBKOru)OIg{h1G!42K9z$2mkVnm3mb2 zR{YtFV+YG^8j38V;|}udvC0jp$BkAjyRK(TVlu#8V1ynzXx3n2(x2g^iK5)nS#@)`Y#N_)FI81x2^u9+GtmeUrQi9wTAXZGdv z{O#74p_Y0*Aw*9P?Uj+I8!V~VdmD0JrJ4yyEq=^lkZvQ=wTTp(-fQD9YNhiJqIk_kVz=xsgpCn#Yb0Vh9hXMdB+H0nR<*{qqubW8x&ndUPM z#A2*RVvu`RqPt`%7FJC8&QHGzv#{I(3v_r9EX52RP!$-xq4I$dnJO&PAz%vo0ZU5k z?#@uEqr=EZR)JiAtsL{&Gpj(ICwUZS%!>go<9HSBJqE;*Uc7!{RMoyUQ;%S4{(~T) zR7ERoq3?T{sxnUZXjvy^(;F>wj>ww%ZSzDmsm!4ME+_5WKc8H@Avz_0L%hwmaD%Q` zDbwTAD1yK@DdoM6n|Qr+bE1?Zj*1e)t-WxsM3GLpY6&o0k0zGra#jS;ADNTuk<^e> zSC$r;XwDxQ2_e{-_;|GH-5BP&_v+N5SNn^#5aH~_u1VK*hqf8qoOqh=LjjTDLEtxQ zy3_0UB%v3wf;f9gT_`_NIKH(LMxqOIL{-Lc$yR;_+=WyDg(t?;^Qohkb z#1Gxxmvjh-f?>nmfdY6%fG1B!ik_T?5*Vd4`a?34y7l);k%H3Ts96u2LlK<(2qy30wBG=y6-$D@4ArM0b!Q~5X;B7sf&1@Fwp8A0_aNI%Vz$B#tcwYqMNsW-$`2sTf_$?}EvmU{SYwKxmqGBE;4hLaOYy#T+6HgZ8*CAKvs z+8rx5D?d!C$+n`lnz9u;H|xpB&CcDlgCv0suVOLJPQSS}eQ~m3K4UhFu2}T&9gTb$ z+930Lbxw~AuTr;#T9h|uKuvRaLK2E&xz$_p$#>j%66E7JgvU{(Xd`4(XW)mhRbYKF zW+hv}=H&OYF>drh$mKQC!noXQ&T58KShBYDmj_?;g7QJi9$Gjj76&`$($hff>P z@&ZW8&`4UIzQG3X648cJYr%1W@bgCS&w3>@W`nLp;P+@JGc_(_(pMldR#_`7kH8}X zduGxlnS;;)voo*cL_OqqZts0`+eyNx@@UR(OWi>H`*rco{La5v z>O=zt_O_CIkK4m;?;WX>-Dhf>>a_n#uplq^U)MC>8p5F_sX&F9cmiu{;=bR@?HxMg zzb1d&`!3QO`E>0R-<1X)b8UwjWC!1^ob$R-_-OXPyTu88sa{&8H6)8pWK-%+ofbE#&dy~wpIdm3Qjhw zsR(s`PCO8+EMAD1VCWuY;*ox=LMTQHmL}dT!2(;Swb@ie!E>O2SQ&42t$ItrGwDbS z_~^IewN6ujk$A+_M>5q?q`pt0eRk96msrZ=364YO8!Mg{GPRfms-PE8uT(8R^ks~X zUW(s%)5poe&Agho;`861ZKj^U#B1Q6z}(xblj6^?+SIX2JTc0D*aQ5FF%r#z* zR-_q|&fmu|XKgsb?f*3m`Bm+!$OIoXGmY276=@N75JNZd{9XSp!7)+WU#8-()7)}o z6Eff0YZo&AuV?M>)NR(O5#Bf_YiPW|Na;egZ1lt(?KS9>`8O&bCNsqqlRwRdEJ&eK zr+2m{8epDO`SRIKOyzvu9L$!opk%a*C zdNQp%GkDY}@GNSjDM7>EiQ4~o4)Z$bdO*ozS$u1wTgy+|%YVj2ksG;gsHWdtXd}G^ zvgs$^yR;A!JVv?+ou*R{1cZ5wih6_sqwbR~sPS}U*EHef4fac7?|mTwdT$sns9SZu z?O*FUYXfqmKEQQuw5z?cqJOg8sMbxlpMXaHBM8)mlQ}WN`-#got(IZay_R|b0~oyf z^Jz1_b;6|~s_k3TlVQQHk|Mn{{PweMv(gYUdGe;`py!XO!I`<-s?Iqzw&7EbuMSny zMuj%2KTPcm5K7f`^8}XZE{c!c!)6 z!t0Q0y88#cNsy=sAdRq-YQz6nq3MWSGFEdm)k<~rdMl(A8422+svGah1$*zV;muX3((dqQ***)6tR z_8aU8y1dnzPe?+SYTp~$avmRGXz1X0kputT^xqBIcXgJBT)T(Okf5r`)aDVWpz{3a z$a!c*<6XkQI@_qTHTz9(1G{5JO0*NF3odq3Guiot-A%#jl@Hfii{>q6ZC=>VN7^KN zl7(k>vjs5JljBSB>w9w18qV=LaJAfs6D`}@rb#%?bJksUNd*b}XlJR+u6^zu_0RHnqbHK2Z9ARRVQ1@4w|>;MQ!5<1CS&Mi%*^cYDw8 z2iTo^?dKcQsb$rkcp<;x`OrSTg|{lXPvmM(=Z&aWjW#QTfKF+IXR|#p#?jBMK`vO{If=U{+87o^8K!W?ezz+AG9|M z4RBOH_9Tnt&f5PJ%&#D`exs%YIox@qA`vOVcV=ryje-4@uO!Mp!K`o{pr z4od)kQeiLxv(UE#$upGKKTiT!TnN zx{x9&_e0_{f1gnu!;tGvz0b)@XVV9Uk6L9cOUh|K&oJ`2CTu&lsZdkj>;PAm|uXQbsN6+D|>k;URE;vM}S5X%JD8BYo*@*&nXF(}GrePw^xdVs#5{ z1rk=*Oqo6Fm6}raQxy*~5H8?lI*Vni>XuPddA79Ru{1scW&XH$vm&@pCCbdiNyDs6 zjrCQ|m&oW#9Tz7*6fZJy_~_h=p*Uaiv~}Jwxxi-5LgF!=!kMA?#*m?C6Z^hRiU~-c(8OCy zC(QbF27i8eJpO?8=-v#`qn(tTndj8eb9v~eBpwZRw<{D%=P}8l6fke#DIc7w7vUoX z9d=|C^%A7xdP#GFu@`5HxgV{n)Nw`(6~(=|CXp#+&(kjg$0_t3Q!O9JRayv|jR=f? zmC{$zL{V2ne~1*zo~zS;JFW0zyMdS0M*lM`^kH{S{QCu2w{ULs3vtyY4jE~;Zas+~ z=y%vWcYoL%lErW+e45Xna9w#NncWzaU7K_HkN+)k}*p#h{)wT~5 zj-WUnSYwtPVrW9l(oh-j{*036pN9JAUgF;IK;dFOi0U>=_bF<*aZ8mgHKtp^ehmMC z%FZRthCxc?nj_lgrbhJb8qP_S4 zZtB^q$TFMAH4q;Ets(kQiyni;i5HkA&aj3#iPhcpBBSMVmn8he>aJ?HpVj3DQzS!#(`srBVoalba-24d-r;1K7Y(-NPy2jjXJTyXrRt`ner0x3ezj>ji)0QIRF_91G4@v!fzc7Pr>q z?;+=7{GB{y5gW%cK$7*49{cMQZaB2~;*+%1C_8@z`+LsrBXJk{5AWbge8+l}-n^}K z&~fFgMTXj$#PWaT&Cm zbK~b$m%`Lq7e7s<5WcLLg`L(s<#Abzd{-x9 z{VkKyg%RtzA$pJR4}79=#NH|~-$9lp_Jmp7`EEq39C3}(z^z*%|D`KQ5Hap;D+y<1 zPMc@S?kULY%Y1Kn6qtmTA1F**eu`f9ZMCPNDE34Y&!vigqAZX)q7MIKE8@nuz1D=(reJ*M zmex%UJ)AxcfXn!w>deuxOx8Z%xeqoy=G|a$+%m>LoO*HC1LqAL@s*Nw=j!0#U!lG z?3OL1`kU-nR{4-(kjqyKI5_m{QqLci}rW9wt}LhVq}u}sb- z##a|0-6^~Ar=f~S?Vfwf$iu6P+aOsDq`E(6FZ_vG#!O@C)y1_BrhQs{99IlwqU*=G zesSiotTiX^1SlB<*`eI7E^dIbiwv3VmV0B|cN(iJh5~53YK~!fN;$0}5i}x~3nA>; zsK*!iby4(^;ezP%{Wx}=roB$ef-aA>;q+yrG~>Z!DeFmx+0xb6p}IzF zQnvd-ZVnHzlwfrlKR*6N%)U1UN>g&y?5*o3`>Tsuko$Ga+sF&1SmCp+@}zs4aZLwV z4nwwSXJ=wcz%z6#7Q%N6t(v=CHEJeAqH{Q_X_m@NA#(9PW2z#D72>L&(bt|#! zshOCYskNV1UC)}g0ljcrT0hcLwe533m98$Nk+N&EXpMWVr3Xk?w>#as`;2K|l1(Tj zmff6G2pcckFvl?VPXS<3-B}>_qpise$LV;i&64fTgF4>rF->84OX>RcYdUofdEDE4 z_a~;-hv!xIXnhFu$K`vp-BDB!D+))S-RJ@Z$tlBNbtiT6TU(tJ*Fi0zl`P^_+3*Kt z*_ArUUn$v~$2_dSMKa(4iSTh*bB4#`nefVMd@I8h?YJ791w9=ltwZxi3&#qnf(hAh z8+X}uSba{!cQcNt+6qsXua#JnL5Mm^i_z~;bunG=7y$@mRA|Wj-I2lG*1a%Wv?E>~ z34f~>`)NA6LPI*v91z-kRb0~aGYhN?W-i1T-{m?5+a5D_F{u$Ig)TB|Wk$++Nw`SkABNO}S#TU#m=W zL^>rz#ioo=Tz9{+l}lc+*}avASF!eMihQ?==s+L>N98CE#;c(GZPwpRHu4$tj_K=D;Gl{i-^<)3oPWbUb7q~d_8?r_jQ_1DIZsfjnPlQ*rqZZ_aa znGMH_vOYBDF^g4&n?b$^ib)#m{auKnaZ$q!Q|A)#IZHcTAQzbK6I_tj5VodP^zmP% zJPyl7lE)aCaJ-1B1IGlqU@M_P-b}5)e;|;hHzmiO-+2|n=Uf*1-SiRd6-ibkUTjA; z$eG$w!^A)_3Hh|MAVNmSH`Xk;SvKB$h1+bUI~$d^%h-?0OD~Ir-01Hz5%n*j&KeskDK+q|l&x@LWv zoN2X@b(Rh+2fjO0BS#?$t+$@Cchk*!ra9xXx6n~(T0Q^mReisL_eE{`fhPmQSYm|+ z1%V9O1%*PHQ+MCHHh?=0ybqjjqw@7+qLWw($&;S=J0a?2i6o0g>G_3H^>lRpeR=b$ z^Q+u^Dj52eH(0gQ!qGTG1ztnzS`f?Nvs6LXr>qhB=^Fb?Svh0XR{_ZVysKEzvs@be zISB}08ozgx`mA?{XK1zXLGeYvG!=0rfCtRjs!FmuYSh%>%22EI3|U9cg4f5&`uce{ zrJSYlnX|X zs$+Gwmdp+AFXfWgLC|sY^rxv6(*_JS>Yw}1*xBje=U<=P*lAetB#B z2#sc=xQf0|+~Km#m`~crQH5%_UmX+=zj7rgg=js{Ezmoq263nYek#}WF*n*khHzud z3u9!m+*qb^@`dqJtV2@Gd@P-OMROH*wt;pwIC!jW-97?5CK&viYSJro#-g1o&(7m* zC^9nc)yJdf4@*^sLFOYAs{X z96v{{j+P90BJC5e>&7NFw_yNDeMsaWYrpt=b934m-{EG0aq0*WsC@LCiW;z%=VdxO z{3xm|0AHucgAZlD0jF}FNoNKb<@E>%g1Dm2mc(@Gwi&S#>Zc9zr$qs(MzTgX-+iT1 z;QkYG*(`N`Sxxiy>ZVuQZ1uyIjG(6K`B5=$DWcXr17}czlR$_-T>4MBTCU@|W+bRg z3E8j6+FIa?oZHNNb?b|FoRA{naYj{5TR{Q2-lFmFTh0ym;J%u!0F>Kb9Wv(oz>oAbeubAQNxv_8Uur;lf*wt;lz%k2KHs7dk>Zv>+;OzhO~7OTs{D=`A&Tp*q@9NV&zGn=`Q?wO75E# z!FB7yby@H>n++0KRE@|7ENTh`MjeT3jA^+n+A(#%f8Q1U~Z~hP270A*2$1!RH60O6}n1gUQH_Y;*+%*m^1}+R1=~(*#0N|WH zwB~1Z-N{M--dA25&54Ta%cJuxhI?(plXBpYc}!ruaLPwVm>9`3XJ_c!YD`|tmj3vD zoKhyWK^{4Xm8tqqZJ!ECB$=4Wgv6Akes^ts*7__gc9zmc-o-IL$+W*;VSq+U(?j=I zLGStO)#Qh>FeWmXg2Q5AvUR+TBzs(g_rtFc4m7VjOT(-w&6(E}*nS|%S4={xSb4^Q zOw}e5qSFz1Hkzl@CW}`h??_q5tV@=3xdy4k%hWRJF);eD+-;X(8tGJ^Di5qB5UnE= z%2~dC9U;0_jEF3zpvuJ79-!S8zC2#UxNkO6n$%>^S7DGWs(Fx1X;q{5!P>YOAes#j zVYWvz{oPgr4Nip##c5r&smsnBfkp>#PORb16zw zLLSGv*z66%C|iFO_`#QnHw{IzH5%)0tMwAE`;YAIyqYrXyiz=HhVlL>q{$kG%elI{ zyeph)nw#ZyeszFs{rX!lG(I8fRHW{&efgoTv&R!%!n`ed;|lWHNlws8htnu|N3O60 zKGWkMkZIiH@sAjq%R{bN_RF(gaO%aP2wHn@*7~xmxn@xZ9Y9mR@p?5!9whMy#T+>3 zfB?&<@bk3j?R<6$sI5Aiu^;NlKAswH;%%XC<;c{E{raj#!E+S?j6~+4f5tWMPL`Bh z?8J;~&z|HFeo*Z31|Y?ZX3u$= z%j2PDRk@lp*YiBdBlKgoP6Brnh`t7HK?g#hjLuoeVb#JBgw1l zwUjLgJnLb9%G%#n*rQpqKPV7jeTPf}aGriwOTBbV=mk(I8jMbB-7Xx3g^ythf;AGf}Kg_i|`-9#ndIS@~7PX6tEAcpF zN3Ve8z3Cs$5H_C~dmqQ3l^@R1Xr9bx*T0>ezZPFWryzxs7lq_p!a+dBALT$<7RLos zp4q;S_mRrs#GfVN2(1LXM75~s!rk`^)6cE6^A0Zb27c--J`}z8@ob1U$-MI>Rl&|K zs2>JerT-4drs=+2S(_%=oR973wX&BJj7BzWBC~ifTYLo%Q)Kqskk;Pw8nef<=$sQz zIej2RE(c#~_{F@DR$Bk`$EI_;#3Z+X`e!?#!jjixs%h8s!4qKok_URni$Ekz;|8LV zrmskkf~zH?LU6sE&w4$FxoxYai00TV-bp~V$yU^2bVckdeZ&%w3(j_$MNmrX5^a9` zA+75uVYH+<=|-}g5=i!Ka-HUR^Ra7yP<$s4BMWb@_FF12<;mNy_)>9m(Dpi6YDaoRPARUf^q zUj-#T&&v^QQmT{}Q}CoM90V1~hNflG67NVhD8Aysw0{C$hrr24;S7!UfFh6z!D~D~ zY>2~L+?luiz5OkzU6;PZJ3$9phdQVSQv^t>WC?A%yVuK~u+D1$;6RqD)%38*9Hq&B z>EH;xxVYG;%g&0{a*IA5l}{6H92dW74Sgcb0QPZS_2XBaW~h}bW(H*=-G?rk7c3iG zxX_2*=-J@3>8)OFqYqi8u6XKaTEs6-sq)OdW3oFc&nBat%#Tz~l|83$(V^3sbli<+ z*+My3D#2|XVZa7iGs%fkxHlJqtT5WGQUgq5897}6m}Vom`hdgX#QGA7KAtAMOkYM^ znI$onF}%nW+}Z>5I#Hm3+o2@@|c1jw%hRWoIgHKX6Q20EnGoDVwR7G zb{jTO$%ser?3$gPD8@D~X|4ow>a2s&sm-c1+4ncC`~3KUM6}yF-xgpz46K^FU^Hq+ z;T)Ofm)_^UZ*Ru!8i1v1q@F5JHE4j~E~uSox&rX)_ZQ8k!qRIJ&%VnAVilFpvM#&wS!}VmYGrsMwV=SFBPE*c%@FN&xa&=8RcuERWbJCI z6d1F`;{1rTiI`!dqB)=P?=t=qoHq3KZeB5gR!~L=YUUQHVY)$6WlS3nPG-54x^!TI zz1>hG;+^rXQ|0T`4ce%g&Pib5-8&v{rd-*mKo?r+0xtEmQqa@lYD+$$k#gJPt8)HW zHhjYnD#w)|qpGfdG*gi{mMt_c;`XX*eS6+^b9{@!eu`=y2Z2Yrp-E*BIejbXx-M_( z>0lOzR?cyHfoCERB(kguG=D(r+1m0W%D}-?Ar1AWbpU|-KuF=Sz@~goMzO-Eaup)G z1@T<;n>(oOqBN&A>^F6`@H%IlJ!hU;d@g_k0B;~AlG@I=ztJJ4GHrj?ty zi~G>kfR{*F2^~9BVbhG=xMYa>8dAagWS3`rS(pS==P-Rn!-ANf-L-E{K6?&|5BdX~ zHr{Hx?C|cuuZ_U`5DvdsXD8j+y~%ZLP5KX7$i}T@)#lB`2x7nCB*gxYnXc>4yRx>h3$-=o<`OiJMQ3$p!&q=-rhjWU|oNX(niOkh^>ECH==(4pIbbZ zeuLnRR6qV}MqB=i&F>m|W&u&{X>Ng9zOszo=CHPQ8^ZEb!34dd#df^juW?)x3{-Mh z!hiuQ+Y?E%8Vy6}1NI9QfGdbB{EEy@;`po?vnWW{^lH32yZZ@D1l^KGvU8-`xbO<8 z5A+KJ`o+#|)3{Z*REr#~pswbFgbr1`R;q|B=41jGvAl@y*$1H=e7=@@s){A`l!|?n4HJSq5+~L3u)-Svk>rkwfEY{m4@fb;SLtj z_2xLeqA4J3TiBEEnZrEx(*rh^E&x9!))ykjv4nR&&RF&X6;rG~nb`R%?2uTW)vj<2 z)gM{Ca~Z0?tAcf#HZjBc3ST36*T#VY1cD@60*Uw`QCr_R45%uTJ*Anr*nBE5Z$5@k z#m9gC?Vragh+H^aja}}G!>18WuKqIl(0K=Vwp>f!L|-G<9#L8S1>Mr^9W4}+#~Zg| z$liRaMdQr^Boz_-^R@lrMoJRIzLhWR#r44KHQ1KI*B3`nrlch##V-XdEwCL2>yLPU z(vY1*d^hHVtz4&STB+N;hL}d6=RQZ&`%bx=&o}x?yyL;XQlwnuGP|w6J@@B!#e&DfxZ6q)+mS8(56ME$Q3Ks*^?Ay* z*4L{X*u=mMgt`lR`dyWQUuucUfBi@|V7qaooce1^(~poTDBNHr$AuGj(w&K>{CIWx zyd?A-OX8BA9_`8Xv$@9{u^YQ96)PZe;@32L9;f6!PK4+)^@UY|GMG=RJ`5_P<{n4^%!MqPb8-$AM)=(zD#FtWyW z=I7t)#*AqmPZ3$i<*ZhgH(L~7I#!mvq#&W&P?4!8MwLHl}%fHyRm9lTb;g; z7mGk{qg{wAgZy3ik{Ix=fquf+joTL(G0Lgk~g<;K0N-ttBylPT9)KX8B=@f2t z*GtVt_fw{Vo4_(N9e}0o{@Jq|_DJGEA27>yX4drRk6+N;z}pu>&#fJoDn<5=@P#Oz z?g$9~JXvsfUA|W@d^w?&cDittZli?Qi;lE4T-b~5sw80m;V}(vJ{d^CuXNC2W*uL= z(T7*UonN^oWi^z54W>Nz9&kJ zXOCxCcO|s#Az7hT{KT~mG6Sf6>3Sb>i}XQV(@N)6dNd&ncvZ$rIm0DyWp~R*Y_-FB ze4{YI>X$xG%DK=wrd3rt%UV!UCV6>tON;3PzClPeZk1BFXB^BP})pwv?#kZ z;z?erCZwt}LObiIPIQ({g5_6gi?TU>Hcxq2K01HQYq3X-fNtEe%vYmF3%E@7m3Uof zAW&DU(#>gS&-1b?`vRCy;Y_8ut$6200k5)~x3nMXCB~~WiGrJ-gLuV<8NzX+uFT7e z^E0XLW$v_jqV49*0-8nwR)zci+>%)XGHT!ESDo}@S=_cfQSfmC273w-s5R{r-F7yi zJVYz2y72Kw)v1B3aX)?^z3d_*p!QNn0SN12MkP5KND@yzjcLkQwI&zEHJzow?{za_uIUhRXUykRQqc;}v6A?9I>Xyy6#^ zloYff+aA{~kF&LAuUk&l&VP^)5=;CBS;@*&1(r9m!|K<@94!?v&bRBZE=!T0&Ak_+ zoj~&Kg%jBh2@Kg&o^*SAGQTl{KsxCYR;!-=ob^-H@m6xnbKdqAIeUVy<$0{^?t^3G z^d<{MM}mOj(xz~$Q#{X}CIW0BKI^eRU|C#+^SL9{Wg!S2Uewn7t>{~mIe0CzoVY}B zmQ~i?Qd`CS86We%yJE|#BX=4FuvM?dcD&gZdS0CeIfM3edm}(k^8w~+g{RN4c;H{q zm8_bqTHl9$@pK_!@|ywHTwU8Yue$i0iyQF|601reX9Uo-5kQ?TOF5?Th!S({+- zJSVAN3>R<`ZaCN%PCDRLZMbmUpSwKU*{Sz4EnY5=^0sphyS-+XK1h4k?cMgc5#KZ7 z9G~EMHn3VfS1PP~rW4`1$Ee`It@M7eE(M@7a$t{xbCc|3r&M}J1(<;o%qtgS+@I+b{Hfz@nKjRN z{kI_y5$L7qD*u_$!AfU)0oC5o1f*}g>&D45>%9Yv9`^W+w{J_>-(O6AjAS& zHjrsHD=Db!(_NWxfC#OL0nCKzSx3onIeyMc#UKKXfQiQFc9FQ;=M`7=LDSQml+;$e zsVml^^62)03j)0m1traS+*-8=kXYi6U1hhhH}gV8Ajo`b!8HSQxw3T)0p|&ru9`*s zZDM)@4tonb)Ggj#I1fW%k7&vjCf-01UNHlFOM#IdO^(rRWwYuWgT=nKO zJO=`%iqK017GaiHHTSqXJBGZiW?G;TA`G&xg%or?3Hnj>lD}}Qr3SDYgdnf*38WFQ z*laC8SM?X&{E&+2`EKvn>@}Oz>9-P>l^+08Un8-;ZC(C+q-ECu@AoX~}yo zXKcQrY*6wN3;6cTYAyN66==|mRdFu?Al%rkf`MNU?jz|iu2J~wvpAk2Uj6q)QuU;4 zn$O%0Ojz0mllchovzsKs4)sP?dR5msBur}LIRt=J4*8r8T-ov`YMOll=)?LCYcE*~ zME=`NF3H?wbM?m3dq!(K3iWhtoih}zJ5yvDa`j1778z1gMSStsaDJW+L4oCa^M#mI z#oyL7AhvgxZ1U>aio5f?hGrZ}&7A=)_O`Q%x3#y&e0TN!$ck43YAGS*>zQi@P#e8IhoKJZMLpm892(ar z8P11^j?9K})Q`4`0ucZdL~JtoRC`%Yj%56aW4r;>YFwQ>RiC}9El*!qg-IaC`2l!< zgbk%c6ZoUw*6ifFe>AC6j6}o15mU<2j4)8z>1;^wAErGw+x`*_v0}y}1#36B*ji3m z9y39ZnXEbg0IA7XGLMSc8%QCy3U2!o7QToEfUzT~4ECKkYN-sd{|d(aeY!5H#f#}T;LJFei?4O(EnfTuao*wPrf zrm4Glrr?W>Gzcb8T#{pySB)^($>OUxC|uOstkkQ$)HS0PpGt`WMoU~`(4!TPShA;X zfcWFi&S&c%BxloA7-b(!0GmP?@Xj9$BRfDJPI|QT4vYCwF4pia7fF-jLEwOkgR+)h zYX>sf?aqFI$oSGX@dRkhW^>Ne2ezabAdqgWoh1KNegv8sSpJ_h0|Wl^_a6)V4;J`< zo~6G3>uWW)epYMi`ri2;`u|_OO?GWggKYX=KQa0HiN*i@w}kvpPfY%Q@Rkr>9!}1G zd}4ANE@JX<(y40?kBFP{HZ?Y-5cd~wvWX(c$Lm;k0!W$5UmuA2QSv_fOvpKJfV2@K z*ZW-V`1AFG_?*FY{Y2XbHXN-S@3e8)#+!@HOs$m1i`*5^`E^Y+6KY}7$r`8`{#BJc zZmx224v(I-T&|nC=cAteY5e-2P6c?^dES3*AW7r@b~#FpA)0624fNZ~E{HLlhyvJ?URV*lDGjC`hG|E-0r)C0Ee@!ml= zF1tMZQr69km<+>-|J!~KKreBS|CDPT@|feM1>av=PHp1?;LJTLDT9oFoTkrAeT*SW z1pp}}{*>{+oU$^U)cCQ0{96ODMGSTme$$Y9dBipbYglo~tX`m1A zulttAB8Rs@6JhDj!sA-`lOoZ3JAfJ{^R{D^D3Tb`ZXzv}jtg5MJ&zv25!s{qs*R6ZomLo}#RaGohsjIuiFM z0i3538h-xP&Fac|w23}A2Bi}NesI!Y{T^@M#)OCrL#2>EMIm%>^`2#7K*17&I!9>x zW7p~Q?}H_^fS^$iPc zh!cCe-~CuVB*>Q>mpYTAGA%y*t*%!C&F7P7o5My;UbY-Y#{-`8lxBVUH30!+m4}G4P3`llILADvRk*1sXGFA0z zn8<3+#SuG%6w$s;`W(Ld_{%D6o0#Tk=$zTL{xIag5Bwl*=+K&3iXljpV!wziCw5nQpO!6g|wQ0h2yw+Q=0BO?{U>Pf#ZyV!9css%dR& ze%j?|6Q!a@Q3Ep_ebHyk$*=S17rBD6GiRv{rS=!V56xEZFP&`1vNIP~*YGsH!vdQU zXMfaST?{`3e$gd*Ves+utsqCY4plwWj;NCBrBx&he_KaU)4DGgns-@YFjGqDeFw^7 zlhhrj?pii-^0y zHmDjTR0df6abK=IK)!Rs*4gQxW*KX8f0NZojy-sSx2W~0O@ZN-qKGm!EwQ_Lqj;KH zoT`WU)}_|>%)AEe@U$p=%dY)ROLABK<;%MQ7M1J?m{x=rZ=SI60;-<&*EK9?=%aQb zvj7^nXzH@^hbM=nCpJ!v9VP1?Xbv-Ce?XSz(coXQh*aX09FN6*VQfRrhD|OaEu4Nv z7b1A62a2nYc^bP#DJ$=^wG_qfNnUV&+qdM1pFUFcc;w_gkF;K1qjU9|b>OH+#E#@d z?a=JC#hyi~&GFuhQ;)p3uytq({xMV4yzr##4BOe8D*!C@bAH&9r4hkmcG_L6Jb`It zXp{G-XUL%mmn3TXG`OT9RG88UZ54i9#kpQQQt-lc5oH{(83EZG$jJ7tGADKdCWuv{ zF$a($0bZr*f$4;PS#zdwx;I|YA6_skELe=bwsPR6K_R<}_(fmeF3*sZGNt4_S0ii5 z@tv*9ARAQm;U%Y3tmLwFYUEP>@sVWY#OT2nwE_<8nck&0eOCtTF!9<3ddv8%m!3J> z6B))#54!IqKXg7B0$+T_Jzlpw(z_ZoWK`73VpEVckc)C?N`&3e(Ais_xaeys;*KG0 z8|lh<=-R-an6gAKY%G=y{=@*S{a@_8XINCrwkXN=PR?R zZCuK0Jjf;IT{qYkf>@E&!pI$^;Q0>+MlJ(6U_{EZ6Aimp?Kq9!cbo?r6Z`tiOx79; z(Tk_K&5rfFSpc=UWff_<#YH(zOAbei*3KE&VY6eJ_@iXAJqesLugm-$qC zoC92Gj7T3_osc?-rN>{*(OVu&n2F|;zY7jDX&X2kgYe907N3?WhwO?j07Qj>R1-6> zvxHh%<2NHLFbyM;swfpZ-652PaKf*3mIed-T??1s+Uv*H@;Zr)R|$QoI?MxdH*FO4 zyJ2g#Ji!j7R3j*h+Ju940A9&V!}%zE_EN`Uk;YQms($E4Bpn~ceCd#wi)Hz9n#$s{ zmQ`b&U(V&0lXKtr^?$83f=@UwK0rLb9J#=9Hlv2b;&0I2XAFs0$WD;eZELy}-vEjj!*K9gDv zBhsp*=C)%2V1!Lh1uryMy7DB%o1GwD9+f1J>R-h7%T4F0KfDc$m{Rw|Ar#*oho-%h zJIBNG7HAp9%K7}J_a`s?Y8zkvVZBcNX1y9GmGUsZ z28!fgWoR1$=H;p4THJ3G?y5g4ZVcRvRKq0oHUJ`=4;p3#Mo(8n&H*dYgwAYy5rp8k zMOI%8+FfZ!XKYJS2bq&hUJ7T*zJZbiZnIe{YSsqG=5ylBG9R=-%1S|UDh$Lg5^h7T z*?FVD->c@A$B(*%s(wT4N%lS$w_^8F?UltG%JQCT#8FuzV=S&DxCcJW4W;JUG1IMW z63%A-C}i+ut}&8;3rk%i`OGCj zYh!$d`I^5jQ0QLl{UUy@OY|HHeW|273fL0~u^wonc*SW-x3YY<6>mJ7zorTU`kNLc zeU<^psWm_6fj85V%L5=R1nsVJFed2Mjl%>Hrrx+k39pv_X9aaiMFm%8S*A50jnWx^ zbq1orvMo2W!exU(^h!RA{O3H5VhV|===bycv*YBy9&b)&cF}Va#>_bkBs+=aS4BL? zI&7vG5T%N{Z9_EaHsPu=hYu9F=Z`qB3MTp7CIdFH0!Q9)^R85eYfvz{{7S^;UWiCJ_> zLRdal@Z>nX4WU2r)2a9H3%w;B~nSvGps@>9b3 zz{ekjUd?+nE52Bxs9=O(FXXzNt^s9Vaka1hloaW4nZ{IuUMohCsjh`-9p4?jNrbj= z(Q$(4mSUID^IY#U;hUEhGf>R7o3)8feaN0-I*y7rE0dg%YB}U{JG8$h3ybccj+{$@ zC-0?C?_P!YRocZDGYeK7`c>S`Z&G|S#g`;vSc7|LKh>i$k-~H~a<*7GVorI2yiXJ& zjF;H|6yet9_AM~ijBWApLg@A-_$9e!ll2L_I(sDtQtRokq^DN74m-{* zPB9j?Fx!r()hNIhAu3UBTo%YUWKNL(CU4le1@^e+a}wKECvKb94Od(#^X*qWk3(1t ztIiK+N37Ip=Ngel`K)!CQHcxcF>%`qD0HC37PE3Kla%5wM2@7wql`zlc!aMf_vlCfQ{TT~g3Wo#FA<;5^vLzE$BTOmxHvbIXc!S!nKRF1Me3RtDaYky;b=Yi#QiZb5qc;_IG8(b>y1f zpU`YMC=c|5Ap=I`=gxa>6y({i+~?DdKaJlAWWHWu4%#WAT><-jS6g8mqrrnRI& zvHF?5shz7<_dRnn=)h3-yAvDN%G#@?)QPA>Pc7cB`rE`F-BMN<=4S-D|aV%uP{9RK=IT1WZ}4km~fK0Xw~;=lFjKse(A@8?&Qn7 zOR(DX{O((T8URXP4d-282BB&DgG;4?U8EKLr|4DokU568UEY4FIR`m-xm`bnSc zC69S2&RV61S_-*VZrv=e|2`@QQCm%yVgA8#@HgqdE0vRv-AyXSpS^zHne+cXVE5xv z6Z@7jbsFvDL9*X$0wJlc+8hL!UZNeESU_7N#K24u%uM@&?Rro;qMy*845 zh*o>t#0G4K5KNYz%pL&SDPBpgi_w4&!P=R1wZ8EiO?#rYJ-`kM1_U8md9x0dSOie8 z3DMB*U#Ys^ejo$KAgWp$NjpS~r52(|H9X)TF)2|RC8gxNwe{j>Xi`qGfZ?5wllT97 zT<*W*y)AulIIndtc&rT?8&A*N&(3{j+AJYS?(~i7!_oHRQ1|UMl3=uf8zWb?vK-LRUtq2k2_wG zHcg@Mt!*~k9tMPy%wIj+M6X)dHEYa1G{TjB`|;c)17~s1Z7Pi0pm+fa!uZX=|HRgy z1QQx$hXh+NG_Rzp0^tQL zWHqSCdX(RN_5dw5Sl$`{?mx>4(-8wbu8;AD!q0Z82WqsZZRQJlDa z50;@l-xX~KK2>fup5gGiBT(<>!Z^C2%Z3{$E1)0izw<$Q8U@TQJZnz2QzBqTl?ab( zb4U^pSmka8k~Yjp;&5<}yt21FpK&&)Q4p_F5(jy)x6h-@Y#Lb2NDY7%K-;iBO0t1m zBEwQhd4y=Z_SzQ!gpqadAr#2**;PM(?JE+L4UCkMzvU2(lY9l?X47!-^qi08#0?}w z;R&z3+9hyHA@GVBa&Fj>L9q{C140W?xWa|2>X|&h1Nbd=k~kXY66)pqyTw~eH%M8~ zIGL^~i-|*GU5G(D<1gkM|N56H>uUlg3~Zaf`rU}@U(2+?_m4~)oP7T)GHr1GCz&>$ zaSI6k^Up@bVZ@pgSo`W3*mnb%avweSG0!_A_)0r-g`rk#F_Q6F@vTZgZW_8zo`Ghm zIk}pY6cyel-bW8_J*V9hb|08Ir0&0{f;ofNr+_e8l@j5T-nbrzslz#_nHkK;FBDMa zIJ?kCuAq%F;Am#7p5wkT$&e`~@N0GL#$p?5S`Y9mp&?|bIY|3u3^54Qw3FsT3j55? z0y-Wj)TvDKbVF-$y~!@IM590S;2lY{5Xe%R6+@1ITI6#w2dEByb3R18E{&m+m7PR~ z%?YGoyv?0@1e~*!9v}tHA0F?{5Qv=`E(T~G-HL1Ti_Fi&Zz8wUyZuhc7F3n!?;4T@ z?qH?wE(Y+r+Pv#2#isab97FBSR4&v(ABa-LYQniCu<#W&fD_++ zWXGqclLVx(8sK7;qm0-#U+B1MK)FSWrM@|DeyHoop47md^|5N)L2nEmC=l+7+@44g zb0-(Qrxn((pH9_oPU6vr(^GCB)S*(Lo|u%RK9fIma&P-o>}Xc}EwI zXrThtGtTueH`vzcek;K<|5EE+Q!jkV*sv5<&Hza95Wde3pLchS7594^edG+7RR+5j=EPqC1zR)k*0_Q^A|=&Z5FG;?BMM5y|6JIO+_=>fr<{A zNbt-A6G5y<*W0ZU>;3`z*gVsw4jNBN(I;9IjkJE+?x?jGb;BvE#Dn|OM0B2)$cb4K zUBA8qT?^rC9y>Mr|zLKo(S^uybU6YPesSJ%M=uK&MfZvc_2+g9cR6JjyT> z_0^7@0eTWc7Ys$Y5k$%nA7%v=PB(iVs~nXTa`=E+Ua%>&7iOvisDfa}xJ5)qk6T1+ zU|qniNJPi|L>F?mc#hRcD|4%x-ue5g#E%%XGh0Ju^LrbcHk>IC*sq}?C|`C(WMt&* z+eGw5;0R{qgFUmEOAr3^L(6JYrz_`=r0{N-=^eI#=LVbuS>OAeE-lF&;IC>weB3q6 zZGEdgkb>NH)F^f!OC`X{ilCv?E|f}#V{Q-aaSKg98?e5@(@k+ZkPS6tN}sE7%CGxa z;ofXX%-I2-5*Z~ma2x9Pcdy;(h3#@=vBqKLk_U4{fDbRrDyU83z=s00m(ez9tiZVJ zUdy`Es01f;WXA|SS^||YOzTOLcEQ#Vsd+5wVQ&eNa>oPL`jXn=Q}!1SnB4-qexrw8 zQoWV^25G$T}g z{;*?ps(*Yfyq`>~vIMYR&h9;4PuvASJ3bBUf0(Yr-==F@=QiCLU+ zH)im>$cF6e#pz1e(1TlE`WSlBLvKt-BH`NdR9=_QN^oC^T^@~W2%<|AdjrUFxq@<6 zZjez^So0}e0o{4S99^RFZ$+}On<9^!B0x>Hf_TnkQUjsd3Ngkv_Hkz1Y~>{&Y0QXi zjLOkYY}?CwQpW{>DAP9Rev-e`9b)WKSl znax5&z1>Rtc%K>Z9}>nh4>_(k6O~&pG+eItC4{etxE$q>t9=zAYJ{9;Kjm|#u44CU!`I=K_$Mq z8u)?(4Ih6!zWn}0Q$3k2U1K;@ZC7N*qicJjSoqMA>?ES!PI`UH{v6PxI*+W+k4Pt- zdA)_Bypxh?daSXfbe4tvMV)mY3+0c7F3{C2 zHaV;+)8yR*Bh-ZVrh09sjSBQ~XFR<}csCJ@vR0nAtShyC zcl!-70~X8QTP{aQAgk$gL|MG>$-w%9;;FS>nEN3smNF@(vMU4paEvmT)5zUEHckE^ zNUKGSC4hM#>#NiDc%d6}32dQi4(T#4lA!YmYwz|fw4t0k5!|ygyT?x*3GSFJ_?Wuz zaeGKTY2H0j_IhK4kHV&aBCgpN*<}>SZUi#Kep5&}P3pVukcv^MWMPmhBsLJ8&HCok zcJ0C_FwZ1Da?OpN?-og)T+>+U3dibOAUM#3VD=4eU4uKi5@aj~jj?lyPzB1%;4#Nu#f@y1EF){0g zb6`q~+fo0k(Jo$iU{5+36BJEazijxZ+QB~bnXMx+>_w#Jrqq$Un{;vt+l}@;PnQCY z=zkQO0$IhRoTA(XGZPDdVU~X{;<#|GM>8`ah2Qfdu=HvwzYpB#IAENTnAiyeHMOK^ zQr;Lu*oz^l%jCrA>6pRLMi0ol&RIt#bmw7`=)n6 zFK?O$@CChhrAU$D^tiADz4MTsPVKcRNWfv!9Sm2RepnJP0%OlY(7YsuSn$VbYXY^u zZ=`u3zL!o}8x^`g$@13Kwu&2ei~{#W7V03dq7FYUSS9%%TEZ1mRKG#Q89}tVM}a=i zJ{K8lFxKR>9$2D&B-Lrd=Msnc*4ScZlrM52YEsi&P{>Gk;Tm*_`?u>G7$Eu2e-cPP0xUoD6c@z$$fd3M#?7%1 z>5cBx|F+_U|Inz#vHm|-edfyXd(7N)#J@FNd_CzuQ|+4%`aNL(q?hD5U_rb$Uq3^+ zxmHc}3kDu2(yN91v6#oVjNVJDbAs94@C2gFX;U5OB;>CK5=yQ4xF4)`Mbz#+xygg2 zJ8PT^c1u>oK5F*CirhIlJ^k1+ME~e_k*?#zEl!!_dOEP#11OLvYjK%GUer`b-m}5| z{CIQIJYM?DrD6P8Pi}=JMT0X!U(k%FAIN@{Pz?0z!*#{-j*C1q(t#9l8=Dk#n~364 zMII(_Y=aX7qM;;!$aiqrZB?fDA2^Tv6C-QV95E0OWXBfmG7YVWmyK)zmN0X1;GU|O zj6uy19`1qYnMN06Uc4-MMpD7d!QNR`j8kZ|&({7BvfjiTu~`mv2D}8d`KjK`hEkVt zZZ=O+CT;XTTtT;g(uyPVc}PGdhx4}w@29zwPIeOan~n}TH`h?BHbPB>9Efdx_D22C zME%+etHg9UQV>YMHYhV0lHAvof7e<)xsRaSqGZj1)#6Kk{!J2<(IK_Au=2R>id#!qIytAC}`59bg9WX1-H z`xC$p^7~D5Ucv*F8bI%kOPaZCH#yjeO&}&XqOcLIIVx;`TF*Bsd_P{;XKs$}*>=JH+9_^2!<{m>3nP0I`%AYQ zw*(S+-P1usA9EHW86U!bzzSr+e1|%1{bBD4`8T1fC4fSwNW!XT#dpLG3O&)ner z`MYa_7A2$t9{n*qKv>K|xTPn5!#rg4`S*DE%eky8LcA?l|JGk^xZZ4d{tJQn|H+2y ze?y=?FSj7)Kkv9cvYgeT2Cnn`G?W&l6h)GBUqj$M@$1)Br>_l(9c_)4N>YbfTAKWx zM9r3_y&2rfh*a`Z3B8s0?MakIkei9?PMqWYnSpEY^+n3brI&TWyK4{Bd3{O>m_AY@ zr4$#mtRnN4#mNDbNaU1JuF8+qB>Tq)PGRxuqU3M;a1$QS(I@6@KRcG*^_8_BN;V zMqd6OJ6l`|?qR9aGA!0$mG{=~ZAPDXzd?$Aa-l4&N|O4XTmS%!QVc*lek%7+K#(Qc z(0*ZhkGC2-TSkX%4)b$4GXApeMw$faJdHOnePp#RlWzM7&I%W!Zibo!2|A58q#x2l zdWxu}!TN`xUs95J06eJ0XNcI-O;l46HD9Iv;hwZ8yTV?yo@j8t+d3eM6!?ZfGZ+x# z&C!yyD7t|2HV?vjwDnWdZj#Hbed|5wN!@iG7RawL7YhX6b6cNl9L4ZznsY_oS)4`A z9`2#ewdp+qiyGmiyv?gEzY#fx+ezXCEknjl0JIMrI~u6@CjQ{)!!fd?dOsfE`bf8= zI6xLEyv+@;*Hvv|K#=g^56PaLyG-hy_5spcuuo33u0A@vk@BYq0N*F`hk|4PG*Gx8 zP}3X=V5hGYzLkBW6**DusR@(Ru!bA)BV5YLiaR8bR5v=-ztN`L{4;oxyQu&BJ;G58 zbEN$ZcLycnyF1%lFC79>sbA$s*O@G5udH1CfE9NT_5n3%3*R09xI&$=;FqLG!^OcE zv#T7L;k>6eT%Qi};dv2mb~_;$Fz8_)b#Z@b{~hb{&FrJ*}Kh(Mz-lY&QKe1^A%^aiAb_G3w}OZ^LU@SadZ zdu=Rw>kic*_gDJPNJAx)iQ;Yzt#zkb58CK3{#x%OaIaM zW=9aA6u5IylTh|VgC1e_CZTS`LBOH2w#uoi_KQWNvkN{LA4*!u4&_&|I#3!|>V1S* z9yhHQS=u9VxI+Kx93CcG*}bYq>zo~XNa|G=ymCAt&-iM_xvjBVJfC1Sree62xpGWwuMK4~^(AkIS@>eK zNrgaS{_m8m=3J2G2@h=Ye=??9*%@4zTy})b)XiKKp1}v7Ao57GkG9^ZlqqG#1RZmT z7TC-0rt4ek?4O+X)a;;En1xT(5Z&hrMTdvgFWHLO2VwxZ=whAy*?Lw9O>KfW$y`<~Q{>dUxDMF=hL6R7+R^lq?yjrmJorFNJnR9|;v6&R z9Q+~D87*s|7P|;c<@~<2l6A!3qA;j=vd|}Q?K$a5{#NGHZTFL*-Jfbm&7`p+*ytD1pRL#rYcGkX*)ApM$JaqRa+*J zDKcN)>S^H9YZs$+loy#9RX?2+(d+OCcJ`sKOD^~DGwn&MKGh-dLG4{%*g1bn>8m~L z7({GP3}g@Q2M`xT>3k611!DM$AP=e;YZLM@lBYnDm%qhEGPn{5lU{87-=|vR07;!ePzySiI$_RYKSKg^1iJY6lTGQ{$s~ zT4$@trSk_WQH}i)wxF$LaW}4){k7>>!iD}~K|=a#^U%tKB&n{g(gH>I$<%JFxY<>> zN*ZJiQP6$8n<>8X-ue*oM5M5eXW@pSIRh@+p2sz(6eN;iV}r+{Q3US`2#o7yFB{I( z+~_Rk)t`2B)(&=aRIJSC?^v(JgFTD!r-@!ycdh!|Ft%l*IUuGcW;dTCer3|QZSG#; z8roj_oC4{>cbYIwR%05jd%+ge9P7LmdeSxj!!0`R;zM2Chvb)9nggDNCx^yo-B!Y0 z4E2+y;GxTd;xWl-3u6mq-RYXh^u&Fd(5mw--` zz-^^XMCv(WTmoSceRF+BF|rE_1x?IZiz!6!Zm$gU7Q$58L`_Ak-B$c4y6xftIgK_w z2x@fVdO3y1V7gV8@L}pn?Zko=KJ~pq2zD~ijrg{Z3gsrhG~?=UR`-aM+;wVPcwcpYBXDYT-#({q*CTMix8}CIJmh&Zj>5X`f5& zO{!!NhMrnFl_Rr=3B?kq>^;nM!BzU|?BzfafY@YyF^|^37L+X+y`^$00X$m;)|vQB z;6SmeDhl)wWoluqmN zA()@zif^CL8HMo$OCfV=zO)(Vb%tI>U5~7YA#;K|@V=cZSNk3+vy3*PRd9DEQ^#-! zsgkYtv+l_+ug(2YL!0v-LBT&UvYyW)qu|a-UC(0A5-=i~RJT9Os)t`JFI_`dVFGmxS@gl>S`4gxNIPLKMY{} z6TmopfLb17@(BWz@u?2u>)iu<3Fb~=P%iDi`%ugDOxzDm0&t(HZUzJCjIQhaT0l>@ z4<&6Lvso|=I$sXx#1D6F>iz0`j|e)kU7yk^Eb#?^?yWtjPZ=MZxm}>Sy?bdDXRV1W z+&g|lae)8&G2-$2V`r&kVw*eV?4FODe--CjU9jy15s=zI-7aWpyf%L$)4XWkwGYy~ zP-)l%hdTq5c2g@$vCg_yxo~>k8&kk#!i~I&1F~ zL6rOWl(oM)H&KQ=-y4Na)VZIFA-corF3)OeN}nP?PY>8X2_d={bV^T8w%zBWl;7;T zVR8}xXr1P*FpAwy_h^AqaOt$Wg_G1g+KW{xFSW1EAl2vdXsI)KI zCD?id;(0Oehe~XGL-43c+asGAo%6}6UaUcva1+}Bd#|ELF7*qqpbHJrTZYn|wVV?u zS0o{NT@MP%Z5URE17lw3?GH*hpg4oyT8ZmkQPicYQ{xRL^mu+zu#zsldK>P=2T*>j zp5^)kzC|vCx6Qdo%?|O-Vf}~&f1rQ^t4Y9_n-gw7nn)TN%J^icw29&8)xqs(rxj@=Th8p zd{ca1*a_>2O68EkoJ4AZOVnhp@WU@PA!b{*(dS0vV||5 zW}O~a<1N9DWG;Q<%z595qHh%MR!y$vm!uk>@SK_VS=O|JiuH0kqg25!{}0K3S+Stm z*Bw!Sg8#Su|EC38lfos<#b9#BUv0(k{7YLgygYxuWJ-Yhe?{aQ?|&Bg#{W;dFwI;y zcb&GRB9*P~il_cb&cArnzx~Amg!Wg$-;n>W??e6H3;*A+3&rzKx2^8}NqQWPKTCf~ z!PfuRVm|wmn16k{E0+M*KSdeVzm<2G;UjGLAbpJ{llS1!#|JwZ$zPJ_6&VD7K(Yfe zu%^?j6iroBGK6-E5qqD?cJ2&j5Hr%Sl6&nNIg9V%T=7x@ce{3+t8zD6npSJ{QgpGj zMfch6pF3NMQ6}o+VhtEjX#DCf4ccA;k>b#6=T^P;j}q&hZ7$=7KdnXK3d1PQdYnD$ z$?(O9IApR0?T@f(PoV;*_aK+10;i7qOrW`HhHTn>{6j_Ss=QTt%#NFzT!Zon{M4$w zGxuk6za<)!waVhTC^nb+EL!6B+eJp~`4LXz7|nG}Hp801EI)H`OzyV(`h)Gbj|FTN zwUZxy{BiY8*GY06DQisyZ7xU1$lMdaoOL*UZ!1lvug+ApgD4&Pq!aha0kuR)DumfF zH8V5`Bp7SdV8hM4=y=E%lgU8vI2jxON#4%L?zI_Ibx7H#rDNKmeCeJ%r%hg5r4Sgu zkTd^+QK4B;rXsfZt8(8#O#^v|)zGS#x4O`-_;qEIcIbE}c9ZMp1Ty8@d!Oc30x&Ak z67b}jS=Mn$i;O`gQOncTx6N9c1$PaYZ`VX{t}f;Pm%?7AhqTfrC(>8s7jrg3T{RbY zr%N^2aI&8*MtZM;GGmGB92GQXV`V5jM$&%hVRH6x;Ta&$>-@OaLg@*-F_+{Y_|270 zc`|%4z8`Fy)|D}Ihw_jFKZ~hch?V4LljyB+qSNntkNp{*vLcy8?ue4VAb8g!O1m8q zgZ)korp7LF^yNiDpst$kMr(^Q(Ll8B)BVpXN+g53^tAToukr8Om!@vWNc zgRJSHD(0eAfy`SEKC+Id4AHT02B!^?YxYSe;Jq(a59z`v;FqdTT=d0#&}aX4g?;=Uo zqNM^`5|f}XOllM*@_g%Q-oeV}&|p|m4qU*yy~I={AdGf`D2jkP#5@<-Hig^n6MDTf zia}8~qh&U~sAOd8V;;ye{8M<9VEOhOT6&AE$74A<Va*fsp2;}rxgl=AFoo!&}k;}!AI?noyk`zITfNM z(#hg8Oi*TMqW9GqnvM6*VvW5qKDbz!O$jqR$`_A*Osv+BC)Zp^nuG1=S&_aYIe3S| zp!VGc*6Tj;qn7u0_ufvQqLPnklh-;b{ChI2%lIGheoGQFM_mjHwpppxgur{}_Xb}# zP5M3;cl_l8C#^W>7~O3r5dLENbHbK1R$`9NeHD+Gl^1(4JB0NW#$n}U^AFivSPygN zqDxrLr4vKu(d^l`)F~<-wcGivHk=k$dl{pPPeZ|~R)T6s>?%d|2#V1`cO~?rr)SL< zn%=|DEoD_1&(%{|bAujazb@AF);Dn$egwYCmw44NV$r9ZB)6ZZ&}_9e?;jX5`z3+3 zI#MQ5jmfq`R<@Wa93MCr`6VumWpXQ84i6IYbS=v;Wl7CdJTvhgmJMU!g_vuTW?+n{ zAUo-oTEcy*LCS`b1cN%lv;upB4UN#VCcB?vpR8Cj$(cl?)EE7P7;tn?x87b{WJkG}N)nZd^Zi_!H{mBR zI9?>oa$lu0Kf0*Dy<74j!K*g^`J@c79V~-d9+TjRPr$Ok?BsHBEYG8$KA`^v)l9Y2 zz4LSj%DqZVopN`g52_=LvQkDVYMck|koWSVvac>i#EF_1MydA5XFGQX&lsE)gBx#` zrT0L-hx0x6EPCNw(3}B|Xw+G&8oS(otmuX#STJAxYBTcG?&tjH6t9{3Eb)g$zQ)|% z(F-;#7RPjp?7#3$+cvh)Z1U;#rsG9bVv3gaktV~UiB9Ac`W>s5fH3{-OZyRE#p;c; zOkZwSSd*b>f`mcom?(E1UNWIW>KR9kPlj-Ae343}0>v9m(aJF1PZU#Og2(%KNA~Y% z$fS0{Z0xGuuv$xI_Uvsb8oIs0+_rU~zMCZDcqc|RXm^c!J+Ps6x4-|+Hf_v?jFIcZ z@4cm>uK~i+KbOAV(}UJt^7}Myawpx-GaK`jmr2Dge||85b)N_w*<5;5iYk^hs=T;A ztG=I)uKc48WwAJs{8nw)DBN?B3&_#2pVRPH^9jEHnxp08`zOa=TUo|!OoeHUc?9GEzps>^B7hbC(Tr``JUDr}cA zEHHjP=YBfFZT=po3a#(rAw6BT-v}J}l=`1H=LFh+)iqqYYjFf?X1e`m54A}9IyShu zzF(a_QYHwUlv@h-P|{ui^Xk6$jI5*x)RMXH(MSi~WfXP)Ypbvo;TpXDw#Xfp_6$>n zgb}n#&esBi!S!55s!f!U`xFh|gs6x($0@INb6tqDC_Ng*6$|LtRvog!@+Lv*&G+2g zezeda&4NsbdpiYt9*=ACeJ5*E4~xCQ_sEKN90%+TgM23r|z^}gwm`E zIZs)BCQpfOoqc!sW9JS==vwHLb8j)#_iuTZ=HVDi+e@1mYAR2=#l>C(JsldF7MPS( z8_z_Pe6tar_EY9y4AAHHhlEi(MH1*%Twph!LgmaE!C$8c z@8)aP^)=_+-NKQ_d0>&>*pdIpxmTlYjPI4q6mNhNn!L@snMQi~qG9GnX4U{lW1PFo zZ%eCEi_9C9uUDlYsG@wY^YUbv%1`lOSAnX#QaKN^18X@`EdujRreK;#Zsu}ju6xp?=B2?IenCb_x^?bJB=~Y`0=mXSO_c?1$+j`Jz01!> zL!C&MM=&Q`;z-^$ml6f&&v`wu0Y?VG!f+f7IoWFVw%a@^at>cTA(lA1xBLnkft_dS z<4UmYV_$wtaJ|70*-C~hgWTuqkh^<&RlKZi(w%p!<7YNY%lVI9odsj5Smgr74+Mtp zazU6#(h;tLAKG!kt{|L|5^=(SZPvOw9VVs-LyMF&#Fhh(75TllhWD;ny9wXYG#zxf z#U08~sHghxBj4Jp7t9)Yi8t9gg}Lj0)cvsU0=re_+SZ+5a^0c%oxloW|jk`=`rJm-%+REfjmVV@_Jh4Zj^_;x1#uBoq_kl3mWNUM)Y_Kr5 z;!5$Y^qLI;-Sr*NujGa%($w4Wwx+FEpA_{)wgkC#MT;NKe;=$%wg2GLB6zMA7#JkS zb~O~Odd!$AUxa!WEFS)@(1Ug=!YqNYq;{zdR@>33pP9F2=Fq3-_$%d!+Tq-l9MUYa@p6`t|_anMam`z=tJmWLlWcc({tv%ojCe)oGB&Lzkx z@F$}G!&|SVZS1W84jgQp>`hD^05Vb^9cA7)8abK*&oXZWXm2p6-VnC2b_702^U`wN z_+{V|@bSe9n~yrc8z(KV06#k?4=p$UGj=XMfMrD^M|<;|GIlOreu0~v0pO3Fn~#g{ zrZ&JR$6qgm7e^7rgcdP}gP^&Zjn*JN47?AFxITAW>U`5-;;&F*@P4=EemYpN0)KdvqxDfrQC zC5rV7lSe08_!EJ{=QOHlL7~=N?pDlH#b0fa0RtYtR*m9(lCREJmqJdG+cytwusQ2b zR*h6gY#7cKVn&qx#%M&WEbV^}1re=lQ01 z{^jfN$Rl4}wkzi}ppzAssgzKiu7?O36E zy!Gy9|BkLq{61Z?Bj-9tqEJ_k_$*}xD|Q-Wq*C)DMI)zj=QX9s4qKY>ZwhnLMBt@)X1#JJgh>o>t~NRX|57M>I)vI62)ye|-&fENW(^j6cx4k{``t=Na{@B6pO`W%I?yL4E*uIPF%URzb ziF#M{y|!rY-|)7cjHG7PVpwP!PQ_!h?>g8}9E|&7D;ez;3~d%9mcQd~n8Hj|F15qb z=VhCWO6^*URyzJz-&hX? z7r%GGNS5@xOt!_%U+59$u9vlX3TB}j3FixPPg zAKh17riOE`%Sc|YPdst9{YXc12jPFJIl}bGSoj_nP8Z(QB$bUvX!~QrM_6oKmvgFz z$DiZ*19A)uzDlz2vCm3`K2nqnO?x6JP7gos+Z-*?0e=SWNce?c3Szv!M?>NB;Z=;I ztMCkC28d&s(D!54#jZK;ct_*O{5u-5V{yCY&GHPW ze~PE0wM2W(#kRb@WifKs`Ju$6jk00P=h%18V(vT;(I)-q!uc)nLmr5fi%nbYE)NNJ zSPW?S_#0Yg4`$QL$p#)CRlo2kyl{q3kz355(2EE`zm|;YZnf~;51_sV*-bh4hHUHT zQ`_y)Z1PLDFTtI9>L51mDL&;7>fFq!ueEEUi3><3^@@@u-w=H+eDFR)nyu|ocdS|P z)g-zn#`OmZa{N@qb-l$=yD5le>B?epilp#Edr|>J<=*2@p)%y#Oj@`JYb+Q>Iq2^% z&{?_X!gXoaSXoup38eIm(cZC+^MyR3peIxO$gD(MLy23*#GH z-LoN0XBel?*kh!^6_>BJ3#Ur>szlKwQ&wjg4KNm!v{(Bx>|50 zz$Av4J-N4cnf#ZrA8iK}dpTfe2?amY98QXoM98sICo=o^tQ8U2lKF-iDK#sWOFjQ! zNI=pVZ!8@-c5We1&5%*iIh9G{_Dp6v#%yW-F)5zOcTa&}tLFz*?S5uKO z{jE>g^N};~zRr`4hmz5LZi+Os>Qp8n+TW=e<0Sl*)AZH30~xdR*aN^{*ueys(tf^? z$*-^jIu4vWs@KGSTxEgl>r2^zVnk23K5tmU7@m-LAOx z5wk_$j@zMluHj?oj0FaY5Xo-)HV8NNqj$B_zF)1163DsP_528rneqrVj2T}@L+E(! z?-kcCn9!BMe}2eVkZqu02&HfsK9}6kKk|+`Oi`MksLffG^&{R|u`BE*T`H!YU{BoO zn7HC(Bzrx~$;tTkm%0gth}A3G+X|aN3c`F)`PZ?-9jdR}S~}3c2VZ$W{@#!Z$EVk{ z8M&c}I@w}l8*sETADIb{N zRmQHR$4TA77K7$>YQ`26LGS-HVuEG#^5<7SvhbXfr~Zu544%5j3(B~txC`DdE?BMj zaV7At0yEJ9BTKzRDp`bKkygvYzlLPE7qRZ|&hgI0a!=~Uho z&xR5D1)itu3isX z)T`tQvLU5EgOKx4iCnMJYI(Ee4#Ku{>iKbN{4sD>(uH4IYT*i)&3vET9nVtfO&y>3 zETb7EX-*w1_dR26G|}Y|)**9Sp_IMLtOW`F8`n#rEz0c4pd&~jTs8O9jgYTZkj7H@hE9r4KAVy{Bs26;pUE$W>I~MV2)wXc=PTVr_ z?F#Wztwj@QvvT;uy(Gx|wQ1eLwP`0mVScAj0N2t%QQ}w&x2vDmQR&hT9S~&R zi7J04d%kntuk)1*@aeo+sFMNQbFqS3aE_bd+&)VzlrB$&tyq&{` zIO-+zS&0P_+;)&pBqsOo3gEDnN0~EKg?vLACJ|}hR>?CA>!F2&`RZCcHFCK&nsCy>m$@lZ|%c{l6&t<}gp1C(pKR z+xE1lZQC}#ZQGue7*z~` zvs(A{tq5N=4^a%zh85w|fsP>*+UhDd$^tptXR_f`Qj~BIIolFJwoiip033%U@S8D4 zrNFUYExPA-eF57?R@$eW2e01z}Cu9=}HIrsf2Fu1Zyn^_{Uq5CV*IZ6*3R zS@4AQBmB`*O(M7!bYz>)rsVNmem|peT$Q;&R3ZG>X!z>6m24CIRc0KD&#KrexiDp| z6HC^Xz(1thzafkxkuumErdGZ5LwY4D7H^crTb#*dwCri>0jj=~cr~rf=oEdCF&PE> zRerGjY7ThcG5R{0-<|1zJkeA+;`(j3-^8E7p0d|3Fqz~xPOI0!aG6HDLTv+J1i}ts zn8KYsnR#ZDfhC-FzmXmF-tv)s$eS$9#Kp-2Wj2g5jo^kmw-W2vHnkg8!kXkKgkpD> zV=D-OsfSreM=3e|DKbni`B^~9R@ShF8{FH|z$=EGAZl@@UMlLIA+^z+q z;LwDZCHZ(LVvY^ne;{K(60YS}dy)@m&UMOat27`RSwtR5{ihsC8h4j& zgjbo+0$X6(-d7=BL)+)U^J$t@-JtFnl_Oegs)OznBk$&JLI|gL?a}5pn*B#%43W2g zK8j(Q5kxd*owmq?PIKx;LY!0QoJV*Gk#RW1d$)PbtWV1Z93`p%7Pp?fkZx!No4BPd z1(t7AXXE&CH_Rvt`24S1z=LDw0kXidQS*U;qcyR%v=Z=0HkTl7~Y?rKmi4rKqqnMX22YE$62q2d4l_ zMJ?W_L;Lk8 zXKLysO2Az4WrpJ#a`m~Rj#6^)XXX1xb2;2A<;1A%+ONkm?PG`Bxz8MpvvD{%!=JJ+ zX*>f{Hx;sAst;{ecNG3QM2Pc%!{?`cS>*=~;sol6HUmeUlE#DNy$ORo#fzETso0-g zNPC5;An^QHzbRFV7Gj3SBk^XGJmhXEXXo`0fM*Ycl&g%NN!24=s9;z%3Dz8i;dJvi zVr(*zrq+`zP`0)9rU<)HM2_ab_Wb#Ld_zAVlf9<4`G06NY<++m*oM0HT0PO72{H$zj5OC?|5Khy!_^#2?;&G7AX?t zF7-XF*ZOU1<3(7*A{7B-_hp!~CnVX6NPX|cSdSYMVFWxC>iMd4vPe9{IpUE385;DC zvWY6ErIN$XRPrw%-+QniHvK0ivDxsOoMg(P%fhnMo3KaAdq%`Kc4EVyEq=snn+D&0 zNcO3E-+7L6%z%!`=6{OUE*&qv_>gOgBHvT>&8BHVJYQR*&R_BD#d%D}R1;n^a8xC; zNaT7%`AmS@b}Xh+&Au-FRG85676mb^lE`S9K^?9(kne3LmoY~f=1)pH8sI4B0oXLB zyoCiFoeR}t1A!7hunW4tS4Ce97L!D@8K4(hhA}f)vpi6&S`h;4Sc{lG8FMxvUt{RF2@?wxHHW<3bUSVN)VzSB1vs#7AXH!2E~=h$de>@P7ozHkVlQThd@G=5U z=yyxVrGSU(A_mZvCFmlS9{R_^g~ImN@@9;ahe$YOO4d@_P&F=#8&}P&mf_W(e_xxb z|G=_Dm^eYZfL(Dtku(DtTm4zm3ME`tF$s}QUUcQ8#v0n>j;L)z1A;GAs$5~LdxwLR z$bqLyDap8Ne(%Sa{VfZvv#+8Z+t`8q(u7}wdhbU_zy|-{+32{wZBze_VEOOuQ_LJJ z|H+-HThrG58`|+{*Y_1bSZrkW{0U+Myue1!Yh&30h81c?m^CyWT`YHAq~Z5@Rfaj4 zQbv`~0ODeD=RCW8>pmO*$(_wKag*zmf-2Uussi%|tyJ&Vv?KS+KD?$pr9ERwV$f5h z`x$(DTeh4+8nRqfo(z?dF2_Cg5Pz2TcfPlbaoy*mJA1;CMEP;W3Y781Zy)B_#c^nh z4_odR?ll;JA7-PGKsm;~ShzFV6-Izy#Tl^nw$CI0GY6A-EDrMh{o4sZM@YvQV1kro z7s#qI-1QdEe2TSr1Tfpx+-o$us2(2yi)MzNy#O2m^!izUs@#-q?@b*GpMC7DF*`;} zJ#jNz`J?{w68o|TNKu{nz}fxvsUj=P7~P$E286O;2Njy+%;=EBj>c7l=4*VU1vuL2 zmJwYTI9u$OMXOERVlGLYk)V^g!(_0kBZ@JmOh8W**2MOf$$_Ge?5dT^t;nazPflO{ z;m$ra;c5Zb8gu`+xV)h%IkW{i;JY~rPZ4Y<`ual%Y6_YpOIMhKC7ONqvvzD8dc8@@IKbiCsp{daCRicOBq5?~i%EBU z1B!Wtz*Zm!fy0&vba4i4mKvSI?(pejhJmD3xEWg`(0i(F{_}t^&qQM|9Wrv+gywyK1QX9uasPzkkF^6Qq&oc0fWX#ByPa@)I`1ya3o`V zQ{`xbDg|p;=EagCm~xt)mDZU~N$-56!qI1N+Q3n(Bwt35Kc9`%I#<>J)R{8^Ih;vz z)o}jzE8=~Uk+(u3!kGPUWfAK0I(~)f*K&C$*~V0He!02*_o8Jf_i-AIa@O+cYsSMi#-ARVX%=UY4S*-V0LI$p7lf?>~wl>s*)f?9+VK^Vh}bWCEl20cYqC@ z(RNV|&oed=KIz?G==7i@-}gywH8rmQLHwH<=&IYM1j-4F^86!bw5Z<*X6z2X3$`oc zUiwEh_%0&O$W)$c9L5^?!mMf#@Q44brf4!(YO}>HSLAR4K3iLWOLs!xhnQ8KA+n57 zu3SI$3~cpkiO2H`AI5zuP(dg;v4)29?ecUg0n|-YrM30O!=+(>vp2{G6Q9fFAMVG@ z-o1$3ta=pm^{_oMLfd}NN#Oh#yd( z(={JwI-82dGOIdsx4Q8V9#%v~ax^r|S*6pnQmsy@*&59*BtL9c1|-z%;P3S_$Z2*X z@s${&8qu03D{#?YK;|cIHC8p7E4!_nL1!+iwJM->{v6!6`utFEPVh=;v*H+zsH9Yw z(P7Wybb0`vD652E^Y5@5;E{*zs%#&aw~yPq+UI8{;$r2}j=6{kG-k8E1JhLebNEZX zhX{~`<^@8VUHrli$U=Vu@py->L;!2Tx*`{%oD+)SGpsId*bsLzM*h z&&`s4K-N77H2ya`6!SmlDF62zij9?>^?!$YcI#T(Z;v2zMiiR()|4 z4)HA!q-=xAHB7iq|k)x^;hDRW2qHy1ifMFAwX>%YPO?zF+-4*!KkO=ukujrK>HqZY?=C zo!0tQQ=^xY{ls(IwULq9*g^obbEbnh)7jJE{;?nH)5FES+6yG-aSwhNxa<=(r4n~h z^4h+Z<5kARxqOMKnz0Bvuba)@dG6Jii_mKSR69G9xozkK%dJK`7dTBx7g}SNDKA!< z_BnrZdh%D$5T(G&v&7Z$ezD*$h80ivedY9N(heigHW}RQ^lXV$TkPLCnXk2+n(E9? zhex@@Lfl=VH*n(y^D4{Stb0Ab!l@td#oTpS^wsJ%FD$ zQ^$#pKVo>)f;tn+;r5Rw~mOQsG*6`Bv=BRbaJm$a5ca8b*vV ze*4Yije0%5^$AS0yW7fpSpUjCbiOQcY^Avukb3DizqW4VFWiWIRagul?quh9+tbf8 zBzLpmd6E@d&8vHtxd^#51&0Q1bDlUA(Tu|rL|_#dM#!(;AlTAx@Y*+cBmQO-I)+&n z(O{>LD%MHF=*$Vux=tX^JK;ATd3u}4TfC|MlbpB1-5Z(Jd? zdcJMKa%^<#QJP}kANE}ej%EJTrcgt)VoKdqGxw;l^15%wG1)#HD6ZC4pX~jZ(h3dA z((T!?fYdfR?JK3JaS`Kn#sm1q3mhIjJ$<|_6;?hwn;F0W{28nJJSMGIAXpf*oitF@ zF%)JfYTz+Ro)e;0d^5Zf+0Zz<$Ktbh8oM`-J$V|p^-?*GCoY{D-L4lF%(Cf)?zG^X z)id92K9JU4YY1MWD`Bb36PL)dV;y&vb=6yK&Gr*Q1Le(j$Khm%IVXd9eu``IkZIlj#O+2vcC8PI(617H1!KW+(X)+>n^Q;*l)Ece{Fbg3v zlyh27=9S$>fSzrdC(%7}$>Nqib#j`laYEvd20y_;4&Abe=dz#8*(jptIG1Suq;J3t zeCLciUJio_qQB!#cM`r3ogXDw8WF9UV0$mpR=Dnr@n{>HW>810J+PE-l=63}MUbPf-5bb3G@e7DE-xQx-SYrr~U_!_| zl|;=P)Z&DK3@Fp^y^T*orL1yf&kOUu#LySW9+H>?|a; zlhIJGQcg0Q>amq{byPKFb*!0VFrB}arRkBXtg{QgHTBrJ{jUvWSxksFs8gsUA5X)lI;q^r;>Vq2vWS!=eiLo*|rg zrd`~2qy{_FAfu2Gvpe%fW(bMhs&h2^UwNV!ii*zd*u1X$$`cCJ7QjUQQpk_oEt`n+ z{}UPc{i(`eAGhUi1WLiCVo>wxVTU54!HoxP|Mr-vF`^0zRLlw62`%Cq~4<_pL6PA4D#?JN67;t$CE(6iF)7iZhv&qj~FmUiW$Gi!2X z*!5f*{56l}Rl-`IQvVxbKixRJN5QnJu;@%*?#-O?O3_r7ZBAObJCY@WZa!(>SNaipkauUWT~WsRH19c~42vpk;l1w7o&*h1iu zmsm5w7;#{7^uCo++?sgZ4A}UGJu08gD}n|ucDLLWt6sn049UF`NnF0bXrB&Q9voP! z1X$?Bk{pvQTc+~xw4RN8j1Y}oB5sVara9!8ip&8f-$|bE-*-;G9PN4VN5@z6 z3~lizs_9icV|Bf)^qB5Z=+;Q;8o{_-7jz#<{Z79;1^6SX~ z=BBKG&2s{$_?OIIWI8Y4YMU$k-K7rIh*z_Z+o|dbB~8DQoh*eUCNg?~PvjzwaZKTz z)aU%`R2c>(s~uZ`oZQ~jP+B}G6}oq4+m0hWe?9?&hq^YNsF>I(vR~1 zBU@Ks>V)5^kgCeP3xEu$wWERXVlF#X+j_eh_w@9#b8W0<{+H7b^R6KdBJer6PXY<4b3x6>PJvxs%q1hF8GF}dL<6nLZjlcXRgPQX_U)p`k!ZDGnT&8lP!mK%ch%wrar@5jd}W?m8F zpF@cBd{OXiX5d!fvbKUON{$z%q*E~6(c`78qd=ncSQ_o_MFmm%+;!pQJJBS)i3o<9 ziQ_bXkjzM07%pcbofs>*p^wiUiv{~fRX-;}&rdb(vh7K_#2BWJFcEujE@zz=qjV@* zm>O@fef4l_lWWO}e=Tj#W2>{?JYo1+d) z+mBQ#t(5$ME}QhcIze$j2Ji@oC|#f+15KnrHT{$+MC|UH@o2a~=;)pJ3d4`|l(l_F zQ>GVN@91#xhTtCZEd>kNErg=&=aZ@F zXecz7sl37?Cql&qLI~~Kx7amwhKMJ9K=~)*Tf(2WO0~K5tOCy=C?vzmRK+w{7 zEygF|+cfBo5G3&#iYIR?CMV+$qbKwIL)71x=45aDM#H$HAV46#y5t73isFX(qe8I{ za&f9_0j&352N_dKaR*V$FocoNg&cwkml8pn#I2 z{bX>@{0R0%r&`WQkh3+mg6ssUg6f3Tt1Pf5d;ykw9-RM(v!o&KyLF!MXuhW*VZ`*pHI4?(mJQ0vr2ebY$eq)Ru$E9pP@&d3L^`#1baWVBDRWR#WxLS-!!Ov z(@^nELq*kuQVcw5f{5s^Gfo7WWrPsvhi}%ae6zmj70SQ(Tbuk(){`uMv%Wkfi|k+h zqMQR*n@6H*FTE)1EEo%pxpnU0cq-N%cjO@~I3j7ST#XV}ZJzs|h4@R?*)W4a>9|B2 zzh|KFuz{lWTT~jqMWtVSMtcBgTFgOoI&@~Z9?H+~3#6i5T-67^b8ANh^Kn$H?>Av| z(kwyxJ;L%|Q?W_GB~TB>kU3f|$wg)j#l?WZq~*VVJqBq|14~e|P{>8l*tZ1%vOf`m zEIWkJ+3@SB%q9z}PS==-Gtt0gk3Mulu|dHa0`0^*nN=sFpqNE#^(wPW+JxjzGdJnLRN2SFYJ4YvdX>% zMvjTnrIWa<>v|uid6t9yqlr0)J(m zS%QO9gQL3e9TNmyhS-7hAUd~ANukzkGM7zBsaAX=#i@1ZZP#x~&5NBkC6&G({Hwrc zblG$eYQ^W2xIut|T09E2aWF>bQ^r(Zm*9oy1<<5Rmn5>LM$M26z1kYX1t!Vbej z#P#Ep2sf-RA>NAN!qfR-)3|Kgda`j^!z$8 zws2T`yV3euIe3};alA1l{CesIKvUH>Ysd4vX9Pm+#s*<3blLpoZw09M8E{2UIDZ*; zKe3|7M7C?zoaLzGcd=j@rh%5|DpZQkAu~o!{av$UIST!6MIJ#LlmIRj6cVLTFad1n z-@l@8<%mIwuS|XE;sWi8LC84l201`fZjMY+l#s$5=%k@bm;F%N1aPNb(O6BS!J)6u zbwByQOO33koZ)Rpmt0ER&Y9D}?59VlSUw2F(dd5tcjlD;n5*Ld0Lo=$V_{%s{dZI@ zE9W;jm+k)w%l#KTmhpeWa=!t+{}q{U3&9V`61s;rjPs+1NN3e*FK9%E9`L zxc$#y;BP|yUu;KQY%Kr9c61(x*BbxzZ95wD-h#HCMJb;X$gk5EnH|xG$ey{hF%*xEd9;H9ewS$dc9`7fplMjA`KVMB7(J;Et)s#2^L+>{B zZT0qS;BFSU^Am%On7?(P+kezqgq0+!@c8}4m!qmwhqEI!5Y3*l0g6$xXgdCBon_QSx)~1V-_;^)2=R2gY^NNjzS=?(2He$Bjh8?d47O82W z%=7N@zPLWmXE(2gF7%tCxu_~6b%tU8njcg`C!^UJ=I(Fr&-?q-)(W2Y)4ko|tn`DNSv8^7jB&IISG zvsC{Qfm2IBkZSdAMT%?r)8Wgmd9X}fMY;M1@kZsxn=;HBP(+89pOiI5lZU;ss1~9M zO83k3a#YycesfO)Y-NY1lZraxsI|w#1oi99$VSxW{)77i3V)Z^+Z6`?x~{k06lQMmDfX4V>~@8V zD$EV>CZZU1dl?kz(;X7A_kH>E6@twNHpk(b*SK;gY&GxX$L!^x5N8$S^YiBoD-z#3 z&{yxaF5(1(Wq1BxAcB+*PdB%V8eh=$T(4DYH)5IJ;qfR&UmU_)0xd62(6-*5?#G@x z4|V6xOCjert2~Q8rays`A5>nSO5&I>H;66m50x!W-I%a)eNB%Cuh!%1kwHUp(mXn0 z4T51wl|eWe2cY)UIyzh5gyR@mq*{HxQd}2?)l8&=V5=VG+clrSb()=}YoEgIx$4-q zo|sY$Wx6-@hX+qc9@y$|2Z7%G7##U{TT#zH!?qK(8|*i3yJKjmZ4=?akEcuGmVOV^ zCL;9s9b!xl;(L4gDcI4!3-rZZ1sQ&Y$gwMRfB!q1tYS4F_VhYksX0AATDciqCOTN| zz72}!T?)fmZ~5U59rU!hT!jTqE?xFyHLsMOQ^)u4UByyvm=UQ0SU$nMO=A)*9`et_ zAQmDEuswMa@mJ#fZpsld2BcA;AnJ*Jnb$-0)ayPxc!pvxhVR_9^k`)XKjTp(HEm;t ziQp3Pq!LjQZSuwUePB@+UF&pqRC0E0`*W_vjkWkJX|?^PuWI?)81B8kX*9fD_Y1f( zj?!YpmC+ZR_|EU3W@f=HDmcPb056dZ()-Bg+I%Kn7uu}Lr`J%~+@KieUaMRE02Lw+ z!ou;6`wQ+M^0&GAc@Ow;OG~Bw#nWfwhX{+pBQ90^pDlXj}b#W6A0lo9SX44o4*z}NL(D`ukzfFvwihhl(8&SbEPoc)a&Ei5Pz6# zUr-A(CUDkSa=!R0kl7pQ0;T)`d4`2Tt^mobsn8GWrl2Z`+*_pIV7v%+s}lA6qO>+| z=5&(`N|e(3htOnYGI_tu8;CN=w7{tYx)=_zP90HeeoYh2Dv%#kISD6H3YkLSSXrbn z8D=c=@T94m<2~AfwkW2>j7&aQ3Tr0fX5hhFp-PVBr<)7@kZgDK4q$lGNYw_|J}>8d z8~g+BG|Vg-M9QWFgb}7eC}bMB$M`YISa&!Y;n&)%oZI{yXsu40g_nv`uLeU?6~xX=~l_otSi9qKTNP)H9Lv0O|Xb4s6^K6BZVS*_>(0gQOo zRnG6MHwP_K3PC9!f&(uiSjdf&M{bi=640nrpp1U*jC;5Cql8ht!sS#~E4VqAH$K&( zApgP~8kIYBL8@#SlZq{tp%oYwcoTKv!IEooYhwmApC1(7R7g6FX}W=6Qlns&lV$^g zPIfVumH%+gB7TulI`|cit%x5sZEjj=;P7=}S}Js;X&123!#K)6!w`Xg4`1=b&~M0dWpF{?Ln6z%z*`(~+Qswg!cF5Una<`@0BH z^|2`O!Q60cNrfr{!8?p|G(T$+rXz#$V+`zIb?On=(Lmn7HH_8`ExUM8p{;J5=cx_8 z7xLe!rO2RMSq&woeZGADbq}vWS>qfibS#T9OPzt>bzrhIMdVQ)+z9^yT!w&up@G>pt(?(D9XitWf`o9P5^d=2% zzF=|c{4~tKgAEBypLZ5&dJ(nt%a4<@`4^vBPD`{=gJVL_f`Iw;Sx)4j06*{_c)hm~ zqk#gG5>3Auci|CI1NsA&U*Wyw}vECeYwbcmKPO6`vN;gR(EQk>);j0%{F&KljTz z@E4K!0Z@YK=;LJs5i#`?6bML6RLP-fsm6w0Y5*GeTY}L+*B+EDBv@d? z(V>Jo$GqOz`je56V%b{(ztnw0$u)NPZ2s8cwc6BXWsLq)L$Neu$9Af*BNG6pIz;SP zRZ2978w3w_E1*s-2m}j6|Tpsu{@KTn0t7Z7hWZ?>uLOe6RyaA?XT^n|e}c z<1?f+_3O*YETd+{LpgpMZvm{xsS^(!p;>8Kr&LK}jy&OSp~vO=&JLF!#uiWa)Ar)~ zz7cWl_GpFH*vuzM72@|JGz(1fXbBY))umoo3D|{&}T#sXDA# zUV3*)!(FAugw6y88ev2Z_MTj+hfm)M`OjCq;d#)u1ygD(fH(zqRY(jZiZq(i65rPD zAp9r@gZYou3VfK;0&rT??cBeJSaE(0CA(361f!MmyDdSz8@>E3Unqt9fp_V|;mpI}wzA2o>W@!jDXjf9F8*!mz3R&IMuC zBd9zIO$6DUfcw9*5x@?D5lc|y;rH*Uu#upxn;7kjL5{_!zPxoJ`B_D&F_JN1{}AuC zbVUKT*U&ir@|>Dk8z-d8_XhU)Omo?;qrk$$c;AX?C|j}G9%~RGq-v$XL9vVst}|m> zV+onsk9ie%xOn6XUwPli_yv)w#>XcWe-;D_W4fW@omgW-Pa;%SC^BJeDWofHCSweo z*tkhk+!|e@kZ=X0kz@eD>jm>vOG#^hnK0ogqbrG+Md1sleCenU>t-p@pdrdjV5R!$ z(4Zp9i%+AvXIY^j$`|WGgW}D-k?W09sY3Sboxu0Pxl$%^b9&RVN2@~i>8-;R5%*Aj z^PP*WfFKv~3a5or^as&W2^BnDtX>HjR5JD5?F*5?okNwh;+b}qSK+~E1sZjq_d?Vk ze|GAGLGPA`KmO?d++>X2JWyHT(Jizj!QDe+4x$%YU<=XH0Ena&n~?HRM5&GHNpn#^ z&J_Yc6+?}%4A3Ld40oJm9r|$4#tnBIWgPlo{<#52Q=^>AFLh}Yoj@Z*$(CCpG)y|1 zN$AaA27_g5O=Tq#Lk?5-vA`9(vJOL3G{z=YJCv0#f=TU<*HY3fp5dZPalV|}2 zr;P>WZVDA+&~3XS2JV&xjP>5^j28Gn&MGBfpNMRPrxvvg4fZV6g)*1<2Z{`=KHR|X zCw5a*1!_Mrr__$IlYX`CG?SBAAP0>^NPGXn?rx4n79AxUCx<3KXPXAjPhJg_3w^n) zIYByjtF%JRm4~Sl2Ev?32L|#kz`2u2<-`vE)Y@H+3q>@%@aSUF=~>ig(eTDrI7NtGhf2CHSLS>NaWQa?6+cfs zYULJmq@$^w2wvu3|4Fm1v2%TF}flfZ|-j-&hV~8gu`Hv#^iWjzF zxhh9tRWc zc16V*Ax@nzA2b?5qRs1Z)L#x)(k;3BFM1(I)ytg4jE)3K$atX>6L;OGc&vEimSQy; zlY(ZrWq46|j7s}r&`fHyQ3Iy}@W+j7Tv2#S`r=HOS5KiZoD3w+jB8knJ?KEhBS&?p z;_(sIO%7)2`k2E9cYIt};2omrsWv#}h6Iy{?+Q&Q?l#rs!{4dK0C(G2#Eu_n`M3DP zQNeui#CIJ>5o&_o=DU2@ShG@3N*N++vI^D0&pX}nshe4o_qVRHaOH3JT5S|le{l#P z2h9o}ezf=~#dZViU$Wu1!!D;6Gfz~n%q4zx_01*=VSprsToX)hc1pDK&RczBtm0jC zXr6p%s=13{mLA{RGlttG;U(4#`A=Ah{;sGMXFx> z1{R)D6&ospy1>vODY<`M*?>*;lAMgtFByg_23C`ixjZNmVUZdG?qr}ptUFs% zW)@z_MrSoib=+s0Qw)qH1u=sn4vlai`h9A$2V~25VHm z^VNLNId&d?*fM~H3-5&eC^Ka^D+yn}rG{->Se;$xQ;NLCTCZ_Ut!+4)-=$$28`D2; zm%{E%afHJ!-jE(zNKH4G6Tx-C6+3C*1*#6gH}5#+1eGW#mZ-Y2&C0yG-S{vem&8>+rt`#7j>vhLTdW6Lxh;=q?D8tBG2 za^acl4qCB^0&`;W$=)Q9qTB#@p|kF!ZlJ4;pCyX7dK~0IU=(~rniP^8?Gfs&sV_Dy z_ZF58Y!1g78dd3CpO8AkTlOqYt4x9uTa-sORe~E7;n2QNWf}7eP0!G_qR=P7R&Teu z`ScKH=zQ$Ix;yyrLcZh2P$;TY?x`5kNevL$g5m7Z$F2LWA((8-6{85qWhdcpKtP+j zDcee~<=G0{nB6w?cQLsd4N0P|gQATr(rq1}(y(3UpyJV}WG&yweV@Rjx`)zOvtB4C z!hh2FSUyr_S+gLGKUoxPgPUjuIgrdxOcqkw;D0+MddDQyHOZ`246&&0Rj%NN z#ooHvQF0=)EX!>$sQ!s&vNy^?X;cZLy6lpj#@L`)-dpPvEY%XjC4U3OLt3Ho$jDL@ zk}FaaLX?REfukI0CDEFd9&2F!fv2XgdHDRmvvAl_ns`1U z@)T@81iE^Ep$66tqX#`=vf$L9iAX)&JqjHL2T*N!M&<+U|7mbuf1pVyCmN{|VXKCX zAQ9p2sRC!Q`xF)Uy{^`dYngZbwfPw&ZHchwO99oOzu~tsetA^)Ha+j2Q2MwSMhb@j zH?fENyo>&AHU7r605prns=fvx9dbh9|L{sugd0Bx6U`+0&tFR5a@QN$QaMg= zyH-;da#*$8QyT*&;y5pA9ZdKC=26m!D3w3HI zbNY0YqPhyh*rV_4J}g;q+BkRB;k`+jcM2DG1i7ZuI7IWH>M|iwvQpkLB$kfLx*a5C zUbP_{H~gg2v>O;KA8dXhCvBI)`?+t7XEy>_rt=t9IywEKK{54-L|Tney;{dKVqtEc zMg!glr%VTAsaX-A6G{zHpqqd?xqyz9qP3TYmc9V;PrYaOtCZNg5%qLQIIrwX6H%!R zo7qSL43%_B)W}~Vc^m8ZgmGI=ew-+WHH#@>)AJl&T?U*e(}`4GYh<5sR%oB2DL5qN5ds zCNL#~xl~*M@rYwtMcfSVo@RmQ==6hB^xMF?qziIorArBTRIm;p!}DXn-iAF-zgLK- zFcsG&2(?&riW{qm_**oDk*E{Tjm8@=4nztXNX*EK7>!1xIC(17 z!s0ZWvHXr*jgVP4*Ebz>kXc8{zoU+mtevu!WJqO}bK_kOTqnGsmQZ(LvLqG=XJjP{ z$*ps0!6D_i+aq#3*6La4N~OjW*@D$^EM-I&(FQOj#rm%)Y#19#q}uo(8*-$@7STYJ zSQ9g6#1frabl^ZAi#0gp`Z|E(n(U|I*@`4DKqi{v;~ypC7-Ie$kwXFb{A)F}V7j{R z)Zsx|K-?q{w$v=ZUounf1xZAxQtG0CqvH2^_A;3bu3Q}u6=~dmBGHXeCLdSOtqLy< zNX6lu_3hw^ygIwAf7$?hI=a$*p0suMgT>u3jC+H9K3u+ZqN?vs*ZZvCJN2^>ctY*L zdF1zoLRhR+uN(1lefo7Z_HcTFobUfdtHgD%*|$6nmCz2kQxp6!_eO(wwUh)7J8dU6 z+`H@ve1L<~bdKZ2#R{4_9Se=kk&c}Rg0t&`i#!)*TY30>)=_p9VXnG?om-;dXa1kHP$Sn=z?Q!r!}2==L5&o`3oaT57c2;o)vd1RhK;q8zVW9sAA zo-pKHy`^^k#q7){+Ptg{u6d)b{F@5lJU0LN^ifMb5q##}Jy|*&;UJ~SGcR=RUglbz z9HZkz=n-I5@Mq}~*daol^Ll!v*0W;LekIrd5FdyUsPj;U5`)8e6jY<2C)u*TgEa=e z;tI(I@OljNP#x1p;AEJe>5EJ24HiLPqrb4Oo#u;6JxZ~@d5@w>F`NxflxVT>tW{AD zwz5=yp*)XWDcSs~vYi%Z>uE&ikfLscrpVboH{5F`Ao>ato~8I;HcvV za`yvvdq2a3=x!5kY@d~$-)+<72A-{TnXbc5lU+AL=QLBM^PM-FLQkSkQ@XF@nA}w* zn%Ni)W-uQlY0oXMVbY!8soKqTv;wE7&YT;(2NDbdU_AvU=uWP+hM#H(UZjj1x3*sm|$?As|o|~j=3vKJZ^VP@z)bVCzA@rtLah)!j=Mkwo3z}N< zZ-S>}(bj?P*lL4khG^rJ)mt_$(txZ6F{2Z;d4~G7dJ|1nW;jZ*%)f8ndPq)$vnpGl zYzqQ6hrybfBz>i4CP}rs%C^ipXn_G1qcwm7Az47IPcX&?@(So+M*|6zepjiT?| zHpLYsnaEN~5_Y!jk<4FR$iX~k>l>ay5oYH$@4ekGT@}NYmV5acxr(B#QYY!wc*l1_ zkP*o2?)uvAGkqYNT+4$ccue@J5r(&82@1VI=`U;wKe6cgdSmw`%iU`7cCL9+iH+}i z#0cr6_dRf#D|Sa#=>3qlYPO+=7RVGzge_-!{F*5#@NgvzYIfl0`Pa}DJ2stvtFH>; zixnqzSg%sEcR(ebaCaW)=bdxA$&rmDy%n?#hBHsp++$CLNS(&_QO}vuIRq!%fWF4Nfq^JqK!HIbVbV+A1q~;bbomR? zZ3DR*bnM+P*S0Pe(i43l{O%*!kVD~)d&c+vMD1XF9GuP3(zFZwxrefLF;YZ-rdB#> zt#IF^ojskTE{o(hL_X3p1r|?ve`ADtfOf*dhmlW)e+Ww-2yl_j{bl%DQ~?gqz8dmS zZUT!-Ym@}JrI0qIC%bcnCnLu^N+JZEKWw{Z^Q`@B-QhMy?&RzH`N&DeK&~aWf^e|x z1T{p-P|iCGq28G&D~0MDYktx|ZBR6R=>AK&W{VyY{ELU9(CXqlVwR z2%H^k-R9?JHB^(PJ>rOMe{7y|MCp6j@g?v`DaidWzrok==tv>#VUIt2|Kp@jr#Pa| z;xL5)dgo7@vkDW>(x4{~6Z3a7qBbH5_Qx*OHX4K#HnH@mXsJG z#z9Dn7|%N6>35dtc2V)50D2g3NX3t*zD{_#MJpo&3+etd7``}C}VZZVIjOEy#gY3W&$uj{ot$f z|6uH!gEM`$24hWZ+sVY{#I|Q*+qU_}wrx9^*vZ7UolNX~fA{Y0{l2aGs`kI9s=H4g zbw71ZA23QAOT|$dNr6$#B?J%p2ejTzEx#g&<^+;W9Mb5AAIFqg58Qf0VuwwsaFq?& zxGBxcoH%+!YEs_se?eu+<}{Q?@aS*E>XiYQZmb9CR38NdQs_JL{!}2lN8LJl`J!8J zMb6k}k3U~Np2It>n8qt$EwCd^m&TCJ^07cv57v`Or+bgsj`Tf=W$jfxrI9Q58PjW0 z!CZ{FmFnD)dBh)Ck1XB>ubzBo@xAoc=OnGqCr+q}p`xe1xVTsJWEG6W=3{5ifCyR$cUM!y7E|0*ZbTsJ6Lijn^I9 z*Hp))@~K7bKTQqp{fUufSH9Nn2)s%&?Hc!D0sd<5<5gTwIuBV=w)PyK&YTp;e1`yWOYXb1ogzq1EaXayHqh+%}v&^-zMI z?_8e6x?kzX4$K{whf_$*L?5L&-;x1E6L^xRN})gBvdY#$XxYv4BHFFH>JDRRcjLKr z)_M|17>oVLykW^TQ-}?9xIO<}Y(I7@91`4Th5*0vxe@;h_+z4IgbV1PzpPgebjyzN zAidcHkF>B;(fXmZoV9Z*F_lji`IGq4v7$Yo2|TVf8RbuCT7f+3_gf0)mv+`ea`H58 zfwCJmYsFnD79q$1DhQ06U?f^fjtkPjtcINA1jrl=xuj;C7K3w)Bt$Ap#XpUaikdUy z;D>y4p|s~(Vt=gxq!q?}$fOA&uE}Tm-tUTkAfr~ZU4Y35wn=2A7t~Ky4lO19@g@=- zs#NM>F(ha9_Ei#G7n%}f)^Z`m6ERPcC3})!+M$_s$>*yiqNsK|$UA4v5e9e%W1w3R z>E=eJ;H`ZD84xZRe_Y>VjfBzett%nVHsrh@2lQF0z%(#Sdpt5WyhVUrqFP`eE{YD8 zRTTzm%5%sYOIW=7GtQ)**E_roYIk)k|VlMh+eI5($`RVtz*N6C+;cXLg8> z4U~zojtz9Khar95h7D{EK*#0^df(h0zcR6___==$3_yd}BJDAamL$J20j?5Tp&o}C zcW=(R^S#|o^rD~7qQa1R+L2SgoZLV4kchlZVLmT+gZ!?s^v!v?k$?XcbNwh^jjphG zBker8Ii9Gz6&B#m%BVGeGo`)Z*T&g1#73IY^LCo`^>$q9_s(BANOUWUvtfM{l|e9B zUWh$B^-zeW=6JZzuF!u>TIue&V7Ljsp0@GA7%iH!$9$QAw^3<-> zY?*V@J*R&Da7E69>`iLDqTZ(S^Hmyf6cUQ1vo3J8nK{?BOncY*QvC3+sITkR{|;Dw zMSEI;=oRc0s88T2_f@zHm=0A+)(V`Nv8j{!uxRJ5x^kX(g{HxyC=s#KtPDb*!Uo;U zB#!vde~HH0G5dJAoT~h_NF>lL_>QpR7N)nWu?>rS8&H3-pU=5{RvHxGc2wDLXV>NN zypx_hSf(0{>y9n`lhcteyqp?U|9PREkv@h1CK{L!OF>WM&5 z*LW3UNu-d~SK02uuP|exa@R1|X0k4aa-Ri5>pBw$$F+b}?(*&;g<&oCFfoz!8oCql zVBOQr(KX2ORrptL`}5WP#U#%Ub9FisjJJ!IF>VD^df6P8!I#)lBKi51@ZB#9E~)$~ znO9x}DvOI{Me}nU(+W2AUOGUj9m7`UiSS8OCX%3t{q5(?RYPx^kI9w_<#QWqHRwhU zZ@26)OD4q$JuNW{x?`}W)zmbgjMpfwx3epQAtzlhM^AU`TOlw_+}yBEX~j!%##Zg1 zzqW?`o3>QT@7FweqEp1c9d8#;*AAS>>>GY_qMvWOK*zd7r|KaN-X+}f(3jP~`9L%d zm>cobL}FM^gH;nXtmCWXFP_W{Gw4+amtAGJ>sFkiFX`5RSX<1+Xaax$A5`? z=T}sQ`SCkYI|a3XxopOv^L-2~{gXUK=GVIYyrl|wO**XT>z|j0+>Y`ME6sE|-=0Ug z(h3$S;qZUY2D6QDVRxFOf80Q0L8Bl8TTq0yM5FxWBJ~~PC^#=>XBMi1B@9y+P9W71 z$(QKkE1F&<%rOnYRgEz7`l;T{p5mci9@`tXXwD;baeQ@Bz`w(ZlT$T<%ViQJ3KkGcn;YXJl<*RBw+o%*elWxBM zKl59yEJN$1^G^+XqHftTW<7(2#jKXH!&6C8PZ9^YJopB!(1`y^;tp{{s0WzrCexIP zrguKWtpfhS=yVu@dfLwcUm!=&q0JC53Ut6V`{8^_9Igb08^WL#=~U%SZw;ZSLWEpM zi}<)aa1S|XU`^04#_^R+u6C^o8XnX7$}vFF`=kODGYM4)voKV{UDMr*EbU7ksB_f&&dK1iiPA0{At$y;L;w7MnyP4sTIz$Ep3cw!i?T5&@CvYY``f5 zDdrQZuobcc5ylxYsZcV}}SxO<4Poe!IptpWLB?TI3N@bXGSR=G7jorfk z@Ngf4c6pr+$VLQ{pxTHL7AlEHW{#djE>c1j9cVAjNtl0A9{UH5R&c;Fyy*yvshZWI z2%SM9gY=D$aoAJ}{_Yqx8aZ%4O^ygi)3LyAib_Qv44*ksc$_{^WKD<4hvF~cO0+6N zh7=CO#_`cxC9K#bN%G5gDFc)q{)mmc{qeOo3 zDP_wBlw{#Zl7tZ?M#Ff8c4C}3*IU}d@Ft(3jOtV3dM8D)WT0XMp^Ca8WU^x?lp4MN z^ARb6r7H{G?ym=FwrJBzNpob{S)+03syt=e)LVOLul#oOPawI$Xr~X-!P)%sVdzk5 z;c*R6z|$BgS|&=*P80p>vHomx%c=O4XJzD^OfYYXi}PO>#8#)p@|7F{a3@g-*#kD! z)e+ei2|4388td2C8vR90WD$_BJ6tA4S#Vnap2;~s+q#LFVMS>^&Vw%35*{5)l#^i9h3aI#hqr+#(43L)D?{%vdUA5PBk7)IV!A#U`NI zW0UV6Ef`jV<{AkN@R}6LNua|*^g+7O;LJRXm69NIlwbiCa(x;g$WtJaoX8nBnOhVz zJ9k1#5cWtdlE1wLy3pz|$p3>@%Ya3_7~9sd3vHMQ53O1nB4_z&TaGq75=o zC9zKFSQ&~Uq9w$M+J=~r5ZWQ0ow-S%e_#^uHqi-rho&Jz5GN9&?4Gj+KJgWs#De+2;6L|q%_~wSdQm2q zoq>}s7C0#crW|Sn;u;E^Sr@gd9 z-Zd`*sFNRcSBjgmwAa*tLi|IYQj#QpV`^Dfzr2sn^7b+}b3dVlvU9lx4}$QW+1FYH zo6+I7W`st+X8bHl;_xqSY6OK_?%zE5MztwW-)HX4?W_2x{mQ~fMHXVx*;gjf5ERt3 zIxF=C_8Ax+hP>{;d$&Kpj<=?WPIte2gzs&%!X1?t|2nGE&VG-$l~OZVhK7#MG$)tq z=%1gxQDmNPpmWgdDlBg3?6dR-P2!8b8avdVY4&+B@f`dB%-wV7sHR4TP%KC310*Zh z;P##B!SVg5&}ZhkI?BcO>#VHBO?d`${Gh0~zSgNfRqhg%K3)z^FI8l$9NYRmX>}nq zr5`<DU@I{p+O^-(U-yg|6s~2EKw& zKJzK*$^%!T)!8~fn(02eu+jERL$5#2&vJp0F~^L}Pr!qirCj-=%-S$nl|SUR-ISo2 zywo#icvdc(jZ2>CidD|Xdh%m?Dwg2uEAWfuRWMi4$Cd8@Z86C7Uctb-z!UH@VO~&S zf2G9r(j3jR!YBoJF{}sE2*Id%wxPGKKk@zylqZWys65w|ILG91Ck;Y~ldegG_fjVL zJ`xd%G1HS=IX8ZL{(17-P= zcRRHl?A;MLg&wPvO8*77)EE}x9NY*#5Bs%nMSKzZ*#)(9LoGIhfS=Gg$Q%o8PWI_d z$RMwvvUlDzOmTe~XISJcq_LWa6C!}`yqn}q0o-BLC$wB(DyvaCpE^K zu$0?8aWseWgvRQt?_KyU^P5IwCqAD0({#w>ZOJ1SJ(bzp9mY0| zz7|VQI*1k{A}jHBlB&fzj?`|CCa@mq5nrJ|c>*KY5$UZC#LDZ9Wwwpkf#pD@OQ=<| z85?=5Ju&iKOusy;HMe{7R*REk@y?>BNNORUYNUTVgf|vw%WLOPvrHU=L$~JXKX$a7 z?J#L{J#N61|u_$^1gCaWguQ1ZO4f2*@Jo~Cpl zJQR8Gngk2-mIO&?;5ro3LSI%i?gq~j_{?cedcXTrEX^jYs#dc5q>c}pj(#3iRw>&b zE5y5ZNu^jwm572V&`Mz=1A|Bm7q~wlqCLBg8rZF%)HhvBTysqg;fg>Kxy%PCG=&<+ zm2)|a2qie|WiKb!5>pHFOSEN^Jnx7WBDMwO*xYbBDT4V42KXevx*&(!gVZuP*mNyR zSDXc9VD}@-W}WJ?kSaETmoXNFGZdKEv z)dDd~Dd&u)ZnPzw10VSAmDKtEStxg`KW^fIHxKxuq-=`8vMxszh0IVFE6_R@+{Poh z-vECO!qSfuP(}U?7=Y9Q)$e2L%pW5LB1xvLwNLBa`o>?>CNO+FnC;-@eiuN(fi%68T3TOn8f*;m$&1Mkc?GWYvU3DZ^KYiRCEXym}&PXby4- zlt2@W-8X!E`FV)4E2Ujxe$r=YfOQ6)f7sN+^y;;GaHEjlsY24;G^f%b+Q!;uo`^t|n|>tdw#TWE;BO`0w_G1XNP)V&>33k!9WO7V zt*|HE?e4&VBi86&ZHCWO-djW2J_{it4=^1gPn(r`Q*VN=wLTyS?^*;L5?Y%!Y2)jdkF3v^Mj$cVafZ?N4kL z4n}!kIV+K{zgor>bc0cY2;A1irsd=U*Q*Kf5p)``%d~jMdOly5;k=`~oCNVk2z~j* zrRSG|Vl{c2#?Z!<>gy0R)6~XIW4GD2+X8kijEbPKY+Lufady@nkBroj48<&9EKR&~ z$PlVy2V`b$@AEZD$w4B*4tv+auF&CNmIo3kJTbHj8Owgfd)UKSt%NO=If9&;jg%OfaFEpzWQ)ZnqAPRJ$T;M8=1&Y|Hn!S&8Lzzw(-8bDJo0x_jnsuRlA& z^m28gmfmfXJ$@k^F@7BUq`vDsudRI@qSA5O1l8}tM0*$a_o%*nf;R+qBrR-(3d@oL zmI{D1d8(yH@^>3}P~-GNcv-QNg0)M-%I?DKE-W`Qe;X7Iv4=1f|2y`8t`vi7pvC)h zGtmXj<+!{S;`(SfGPn*6b(=ZxY)FF$FQuRD(ZTO~N&_}GeX9kDl|D+j0VvU)o>S?G z_GXe;4gTFYoXHG{!! zZtUr2in}wp*(E#WI`xWp5%Io5Nn^6y=_?L$?{P95RAo!Tv5Br?HM;mjMvMmlF{(4y%;yo1kzLk^As?c7tyu&r0F@8T(?Ngx2 zvNNS0E767Q`+7wBL6Q+&IR;yFJ)qiX=W%^6lJ?374=HID=g>EtQYkdxuJB7&n}&n+ z%mC21=?f-KW-p1estxdw+@j@%QGdAnkh!RJRIO7Y-WaLExrv8FLo?FC4{fBEjA*83 zpqe=#r$)EZ5N~Ix8p_ir!!uJy$G)biAEqvxA?KSMS1e#wu~}5L=_Z zXJaoMh4FDQ6t8HW`5}uFApVJFL-d*=yzw+}$X1KRsmsrKf{b&i6Ycc7U6v7kV(Qdw zR~!-^#)n^I7A9oOSJb!lFW0Q3Zhm$4L<6K ze24710@o>=lXbXq#i=wm0drpqJ7LcI+96L5-#`n?nSozx$uU{x^dSrKfE;ttU?`a( z$neP*p3ummxE@9fg5(ZrPDrxU^~2T}3|T@j3Qjzi?$+T`K(-NSBJF0MuGU-xmc1^cwwbbJAKm><| zZP12tc#4L+X1{+Z)%atj#q~tJ4JMTcjf9t}Us#U!15t$M1F@g$gOy^ctKPgBR=_RZuOJVC<5TQ5D)H^n5H(2SwicUxeg< zXr?s>lLu#-{*_T^a6m8;hCVT!>qO9S{jM14{HyDT%wF)S_*TQ^1$Nnd7?D?XH)8nq z6S2buYTT&vI7p#p3Wp-^A9sI~_-7<;%*iTr#3Yx)M7cu{gG?}XZ6yvh7fbY-D1#Ve zOiJQNgP}M~J^z4hs?yTqc&<3@Bn7(}B;J9Wxc#0-F$%x8yRTaJ61x0FG(eHzmr)Zmx;HMW;E}2n7%c}6U)+)D0@0GlHOqn+%jU@R(?%m4r;s&YG~$fpHLsKTjw4(Gcg$Y zgPY4ll|`Zqa0oCMO1{LQ1Q}r*zAeku_Bo)4(9Ub<3HYS6M|u-+ZUmPt_!HTLQoI|= z=fyF(GE0)vO2AB>dJy21B_ICs-WK+KRO5F_GC(*&+NEIn;(n0yAAcfIL6b(KPj?U6 zMSRCtTAQXw8-g*+^lc`*a4$c(MUHmcA&UcrFf0QBBXI^nnI!>(5se3hkbs>cP&WJ^ zGK#l+CxRPBeCes()L$7Nc@cyqn)?z`ulf?%)BK`}r+M((+WNhLbNn-O+3otHBp@@< zGv+o5wB2VShx4tx&Dzc?@{An+QaV%l5vhe`ZV9FQWMove?lWM(9u1179&NZP>-%U#R2vO8uLf$wTzi8jwu;;B(dUPWH@#JNxLkV};gHi1 z<4;D1lD=cx?_LG{Fx&@}Zi{>tnzAOVIW>1P!x z*Xt`>62t}~JYb^{P7u`C=P=}`#W6qjuxlH_YxR1x1Y&o)Yx&B?wrmmz;Sea}<8vaz z2BhXX+rqg;FIwx7Sg=%oa5q-hKd<@c5&ENizScm6i0tdQ;-~Q+L)nZLKdA z{GxRTwdzs2T0XoM7Ui0#7u`s82o4rkY+D{;ifM#Y`(wmhZYqN+JjB)`mik)(fs;@w zZ)#3_t@?B)+>wsm2-%t5K+@0B+>H7!oYNpXWBQP8?M7uB-yK-3WKp0T)MVBAl2;+V zJRY=HZu}{}SbX{uax^a09ONB(<0}`&a(;Ne;qu&yXwx9WY>hCoAQitu%7fkwaj!Qa zV&NioSmFm`YceRGx1ae8DlWdkV5;MI;T8NrJ}=XLHKI_coJR}MGw z^2aghBBMSr-l;$Z7@xhl&-^o&N*?&{IM_H*r5(+GcXD9|IhC_R2eDG7UEI5zr>;<5 zV~b%=i;y)5OPsE;rEx`^}V54z4j0JDpd_e_Ifm}KXl=P z%O+|_io+}K@g`~LUt1JmuT~U-{!TIU(@n>utm?l>D`Fdyw~=C5KkAJfQ9OkIRE0ZH+@=v}23XJ2#j{n-`M!Pyn@$8jZTr8!lOs;b%`#f&sSU6}(gvbes}DK7J~!D$1<6W;e#t z`XDdyVh)ml$aFp3e(1OQ>c?~XHYqo!PvUMuP`d*|1u5+-4+ zq8ra8W=<+$H!sn{Gc{?aKnd9OYQ)J#PF@gatwDWTl)t6Vx6%x-d``ytxMkmmPmN!c zoG+X?+t=s2ll;m(Va1^Vd{6d{+i{=xpG_KQ4->x<-U%chJ4$^$ju(#IJLit?2&6aP zZ!=8WplEu?X*x_`bp_O~2&oT?99y~gmp(J8azGp#lHnpemyo9Xe6R?)t;5W1CEcv% zE$n61+q=A+YarWsK?;Yg13@1)i(}5pFn#%qGpgLK8UOkt$=ilsfd764xK5jz@1DXz z@>Wipnx)D-!g5*_M40uKg<2UH z6uzaP+7=+%LZ$R9c6RRnz^9l(@~(US$uebvmk$2rGn0(g00~pqfoUXbYTq@yk$N-D zRuth@GDXfySMCW2BzN1_83KdMAkg)H8eA=046%|K{^o?EihMOavDWeO+6B3N72g;e zyN`FX)GyXLr334Od3OwLx-J61mjnH3bEPMP75WHM#9;A)Qt^J$plm0U7TA|q`l<4Q zW_p~+JG5N#_dtcna_lh9;#qgX^v<2R6x5K(hHW#O4U={EG3k)?zHhkcYHvp>YM@i2 zlCwvk6u#TKV_PQlllZ{$ts?Axhk@i1iFCg%_&?&!Wm%IrCQPJEs(6J{7G6| zQ*mVsPM*H*lTkz+L8?ZZO{CZJPlOh$Tp@RJsqa;3(LT9LX$x~Tzt3H&-OMmy z^-7Zpt7duj7ngSSX_p>_OR3J;YgR|TgYvoWYRl$A%g4>>-OktL&bH6`r|0jPs;{cc z_uh4dT&m}-7r#t}>@PDxpI5$liL2IJ!pp*Pn~qZff$_3N-wT9~fd%gK43Crr0)bea zWsdIn1%#1Gyo{E_1@_WyetreBx0hSp%MS_VclXEsDXtKhIbX-NGXqN=W@WF0Enf19JhW7K^TlO(%qq3p2$7Xof z_-MF2fE;7X!^iHKxGd@2*{5??NBb$c zjour{{i=pUWmB~Rs95&f(n3{7Pr9eUjr;2KI+)1uHWE?GlKTNQ`+}KF2|tmbZuP^y z+Jfh^dvi8X?cIQIzyo&~n&;j6-H2NpOV{ga?E138%-zd9+~?=j>FVB>xBJ<2t>CMj zV9rj|&2eUH581`bzCx`azOBu%H$U-DuV=;U_k_jma>k@7cwQmORDj*vi`Vt3ppc+9 zLM8HriML7D&W_#nX-Zo`HD0?ouUh@U!3*h^F z$1AwPOxhhXvz5zpjqr`g8#N^$uG)u%z{YO5-PCi#{=(aCrG^hbbDn4>?EGrP6gjiQ zZgryk4z$E;|nNrlL;JtsdD=Z>|6^n@DbVYzWfZ#zz(hdyoqyDr`X(w^>xeo zyETIe>cG|rl$*TD06&j`?PJ39qoUe$XcAK`?RNv$a$LN5sS5A4-EV27yID(2Ue5iG z;U{Bk zpr3o3bXK(eep6q)Q|An!xa2BrAf(~)^(PMCFO5eRy7!z@yY^^b5%NW56Ad+7&$D~Q z(&`2Dg9D%ehQ}qQS%kcin}0ZCaRzOz2ks)HgRp)A^mN~#_T<}iI;tAJ|EAW$ zEHY_@8NS6_V1t<+%lP^M=8jAG4M~A-+RqICB8kz73`3L#)2N?}v47=Pad;1oPXTvd ztcVPY*DwJA?K7aP#(V`=ubhoK^WNZ7Oj|dYOZ;7?y*VO8n?rVz)(q$PN8R* zFk2cNnJRR=2o71yA6y#gPVWdKEBp-cU7tuFL<8HK((GL+0|WmG;=fcz2cSPm#B9~g zcB|w=1VYs7<#0Qc#5Gac$f1GipbgpaK~k7D=lEYMacRIJwo*Q|9RG$X%uh zgmYB>wB>;^MByk>ari=ZXHj?s};^;ZCq_x5zQh?SBO_KG3>!ubtUYJ7_TQLY0P!f2r` zBar2}Yk?77IF6Z#}DM*VHbiD9#|!+v9~C3v?+YxIY(U*59{t<^%sxj1V%xhnNd5@1BAfaSTyg zV*np6kmTd`pNHncKX)%wk1~Eik@4L(QV;?$B#> zqxlp@nMpY#bH_6`p#7r@8I-qD=C;s&xecovi7Q4pRMY#f_F_vBwBcE!*sBp3$_IB5 z)k7-MtFFb(6Y?hD0)92lhDdhD;yOLxEOb$kLSEQ`grecyN}(H+EAR0cNh!3Qs;la! zl?y(*>$As*XgNCU^W`@9A4r_rU%Q<}V53wFFJ7@aetz8KnP2>qJEO4T???Kd0Va|EioipIgu+)!Co?}O`NSn@6+`rnH;28|0$AtIf6*#I0C3vu>H3S06VmTJfRqq5mhlI|=? zDNAcDv;JCqrjkvep>-i-s9cE42K zJDxxW_Tf$1H><=TJU|WFQf*G?omJZjg*N2=G?B0G*?{029rYs)b5jG#-x|w5kOot= zMiEXf$kzk#2H@2CT`HWgQa>ML?al`-b9)?Sj+$Nv5kw>deGCoec&GdEeD!>xIG-YT zYoF>UH`F!MZbH5xu9{56@yG?)w}-`x-g{{cR$m6oybw?Ji`$$%FbeqL4y=dh`M!K| zD$ESL^s<=jcFP8)Uf42VQh`&5PG*v*>ZAt1^kH4sFyHF1Xu)eZkyF-S%gA~MNn;MM z>!6E7y}%7zBhVO@HK+2|d&Y6%ooJcb(cS%^WDoqzeq|h$GH~s(bgw zWOPu~LMr6E4-`{HoAYTSX4Xy<%7hm}l_rZdm1Wmzls+1+kJw;_64ff?w7-i>WsTMT z@Gg?X5@RnsDsxW}PZdozN=iZ*G?R1|QWdPAyxoNbLX58<7ZA#mDfp?JJQzE>sPr2H zn7MDbRlSCu%R{#xAtSR%S;L@~`P^P$i?*0{HVQymb}lTNThJyXVm?i%+fs$RHNw}$%1s=7-dc58+ zqFRy-rcele4de!~fT~F8TIU6sK6pbN`77l7lmz6za_%2W+(b*C;7nR)+`OXHBgCOhq~kT{eC;9+;oMf$R36e$a`_A$zK&Ghel(D7 z@7lofzsywIfDM)2Xiw|5jhZ!`O44bCv@u=B?V`YeYYWn<);q2nQP@3sdSP>T@?X9r zZ1r*PIk^!j5WQ8s$A2iIc7~y5_O`Ngwn7HvBDTY9S}}qVOkl1$s!5E)!L)||5Qd`} z0&WAAOkU>J<9 zQZLPN{Zf#pHw=XzhXk-V?inyuLo}2nj2IsrVO!RU$&#-BR0ncgMGHMqRwGItS7L?t z>wO5p{eG%9HzzO-T1EI4?>nhYXR8SXL)N}$J+!yR~>r+P=y6*atRwxH{2zHDBmr^z9aI;`^bbU-> zjVTRN8-aYuQ!`&siGv^H*XezffZO%k1mP-Qy7isE>%vqFM04ISB0X*3+thfLT9|U! z{OAB;7{6{RDL(q_>QwFH*+Oh+^eq1m%$I)YodON463~P3jxwHtLd#+{ss++n$tD#n zou78Dl)b(#97wE})xGSaB@W<(pChPc$)>_y4cy-se7W$QB`wpMuShPpeb@iKMF7dbKF&0V`M4v2z zM$l_&@ils5mwlV~=`Onb9VR_`;i&MITp|s&-yhf;e0K(3&^Bt`%)Vk<6-s!&ApCsp zw{vq$^_8%v1)ppEIriRu7v3EC0k1e{PXJQdO;GO~xbHJDjPKK#Sy2=#E3G?5&g;J4 z%Q0v~hvRagwVhy>#R)5P{zv+`ldC1(ZgJ7sO@qcd{Q68_OY3#+WH@1|uYa#!&;5h< z=Q`4M{8wg>9605qmUCy^rsI3iz!2+@pf0SDulPJVb5=ij+$!_njPZPlW1B}LzW+Ue z$Zv30`5#er2;AZlrUNCtb&{5Kd&*!#ce0=8ExC`U`D)~|g$%@Hk%p!Rn;zq_AD{-wRObZrHFJ!(uF<_me_s1KJL#$sBGUO?!PFI zG==u|egj=ol=OSsJg183+CA@m6XXu91$zynT4#}L5B1T@JdqA9+V1vZp`@?$L+ak)4d#0f?fMk(B*L0@t7Ac<`ga{s%0WVk--v(-v@@wwSO^Tu&uP#T#Bz4Y>@K9ZZ< z88HT(9(}p$9#8FYH%p>|#Yu{KnG+LHbMWEg>>j0f7c_CRVCR8=B=v!xdlGF9OAE2~ z8m7JKdKrT=>aPsQ0jMu9B04H5`Vg*S#ik|b{9|#^2k7}vKLTXDlOCxcQs*fq5`a}3 zmuzA%t&-;2{=g!~4AH+kIz>%maDnoPM~I<vuQb^teJ3V_aU6A8V;G}7W1|vu zN1;?WjkTbf#fV$QrH2|NjCnEg=7iN4F(->-mqtzE5hs3Ak^-WqmwPVkQDVI?Kj4DP z?t?92)#fjfOA}5rg)R!qYNAv+v?*Z0E(++tB`vDMrFC>?7nS5R=IF&DP(qreM>Cm+v`SFT>!nyozj>mdVCVq3u5#ZzTS3Lz+5Vu8UmM zHEgiMFL`WbkthJk;Phgx-`s(U}hTH>lCMv%@b++U3rKGHJ^sre4EW?Q2 zP1`_jr*W(=BCMz})%@8$a!SAW3*o{V3w22%_6m{3bC#KO?60Xy4MNmOkhmN4prGNT zCg5uKnFLy9hM1!{1N*~Q1d-v*MhyI#^B^nMzME?S=^FZ&o{!aUAuyk#<~(Gl=#q1r<4Z#8f#&=`@uawsT-djXDNTJjtRIz6 zEm^RKsr?x>(kMP6Rj1RTVe{kbnJiYX97BT{IcimPC5^dO%Vkkj~$y)PjK%+dI zy}Li3)aq4yXf#9jQn3T+xS$F+1d03;o%!OAy1tx7arhH`1irNT;JTTuQv6%r&lJQTiaX?lS{vTFcD|YGD?kHmX zV-a5b3rlgX9MykQX$V9Jhz463qb$!q?gR&eiui&HE9fQXd>!C=b&~*g9YxB2=nVzZ z%O48Z3!%viEE~ZlQwaFq^m@RJ{s&pziNOCD)zp`8Ri_w;7+Uk3wBk$mo?&|rIgnu$ z#E#TP#$oD`@#`V~&+zwB&xXo>>`s)#&3{}Y|KaApg%xep_iyWWH6Lh$h5Z1^`9Ea1 zQg3>6rXj*vbp#7%l2XfiMzHIo7B<>p;hmJI?GP>GNa`u`CL$4Ng7P^v%!nhYq_xf-CV{09#pJ0o@M z|KodT*ZFT~x>0W-=>C`CVjUoh%~OFop@h>=vdoK8E4J(g`!8d3k3lFJ{Y4eDq2${o zs@8lf?YFAaMfsv{hYYaklNmW9dHg8-G^M{YYR2N$gR4%3Gewu~Z90Im-|`z}sF^V) z5G6DQ028N*sG*YpEDXTW>Tw`TyV2so^5V*{6c5Cq#v(kE@ek=$8Ex+9fJ{gx0S=SJ zgXA;esv)<>GygTOiYvfxvP>?7{g*cUb0$QJe5s!mA>)y7$lmJytVM04k@xv6=^w~w@wbILq8R_U4K4=ZX#T#48q57>ZDn@2c4n1~ zksKD2Ig-eqAX2LiS`k|n;6d?iXq3TdDADM&^Qh!FKz7n_3uy>FbV`L!pL@gJi_j8- zV<|%;m-#kyjDkTk*yR>a%6e^K818XRDsH-^GDLirV~ar0J~#O954MhQrL-sKpp)=u zQ)HIwQ>fWKR5^H-Yn{RHNv{fY9vhTm>PfE*e8VjjpMlsZc4kVZ>r=xMt(q;Z!LCvn z;iK*Za^o$Px2W7L3~~}6Y&8BBT1nv}ejQZ)TAF`8T(D8@w!{*KyIQfON$+&~C=Itd zC6&{jqzmFa6kO1+M=<|c_rDlBtEjk|u0bQgg1fuBJ2XLqySqEV-Q7cQhu{vu-K7cc z5Zv9}na=yo{PX@7BR9PcUHk0XQfE<5b)8*U#-7A=B(UiZE{T?q)g*AB3#kTpwI3m4 z-fa}iQPW?+Sue`!L#rQ{mj?K;mp#b#JA>pv7OaRhTJ=(yuFrm@!nTAe_^XmPl@>}W z&{F|htjtUJuTKdy28@p&jjB>ftw&U-AMo%|s~2eFBEq`fgFh96m=k$qS^Mi6aT+|+cEed)DlW_hpnOSf(XB(REFVc1>=Yw$< zn9!0Gp$10V6;5?HOmb2P%rqwzuTM~{S_rAdN(kldfR^{6L z7d>LE4eR=Ozc|zox0{79f=mBixD$amHba7yNp$>6bTL_|!6!pnX9-z>6{gXx8Pyv( zf$6HhdNBKeG4m7KGpfmAMOMOS$;I0KgTX~@6m)VRW)v%8ij-DSLnEe~qg1jgM=VIw zsim0MK~wEMZRbT4x!O##MhDr2h6!ealAAUo4wB)eBf^{k3FA?keY!%$)k8@xE|>D* z5=#{;Dx-@;mOM-VSx0$A3+{^HU{yYzk``XUH5h(8;sG+DIZ{Stp32X*d<7)T$F@^1 zx<*4M$C(PGwrrzdkW&+W#gM}OM-Oq)pL4zZ0LK=My}^B3xmG@YV&VNu8d$AmGuX8FmqoZkem=9LrDc6K>vc+I@4|&Gj6=l?oszbA7Z;UxU&7qpP_Q{toGAga8~O##ub>8L_q{K zD}2=Bg2tCcBO>8=7hgCn5IOq*Ac`V>17>0vJQHQZ!&=XMc1f2Hbu>WGnj>I(T;Rc4l`q5I=V z3-I@yQBj_qt+TmnywhaV8q|(dgh}`Q7eY3Rj?X(X_3Yf(P<*;ep9rk=)0avRcpd+P zj(<4%rN*v}W<|AAG^iBc!+>aoH5VeL!%jmQn;8A(Xuo))p{A=yUr#I}cXy zP`Ya^&%PbEg=bnu@tT^z$S~B-9K)p#@`=aTGwKPCytgNB`+H0HtgHDgvzn$JTxLuD zFm}HJs{vdCLE@CGD?R?O2L1t|_2$?kTzQo~hxddphP2|a9Y3<;MaO4%QKE^2)wAj< z$YBYZ^gKwS%=_5`g*7SFbRAi*e7}9}sN=_+7D=E{UPPG_H)3CTrZaTJl&Wlt=kZHL z?Fj_$ZJ6K`2o7Ua?M}sYIm_TPWF4SZf1`H*+S_5Q$;NX@Q=n~B!u{Ea&h`o^{z9B< z?M2`#z)oop4}7pW_Yk$=^;sInU)pK*ia6XMo;n#I{s_>ghImp{X`{1Yxb`mSXX&3` zhri>D{!q^zCeJ;_@Z2RGzf>RM{5bAWmK|RUz2XXd?1XZ$JP;%g1T>{d?IT^K8vZZ|S8chYM zV5SoN9w~6`y$s3OJ7>0>nC|v+J7(lscKDm86Ncvk8@T1!JpY!;@Mz@mOGCF_{V_nAG$t<XDBJTKhBi!QS`u4X_aF2Zs;K{We+;``+53DA2&egjDUv}25 zvTgtJ?#P_ym>J3YM9pq7CT6`K5mw{P!Q+1R0eC;Vrbhn=p|iV2uX@yk%a>P3!i#aI z(%F4pt7NP6P@@{$8Lr~)?*t5gSjstH?`nBFa@qOr-mWv%9Ban7)Y;>8nmOUi;o1}$ zHxyTb#3yaTd$I(@pX!@!YOecY6E=75JIe<}E~qi%e_B&*v+9uD zv?F~i;rHWBbR{FtPVeDQEZ!z^w0}#}n+i5y?o!KU)BO>+Jo(M*afS8~7f|mHOC0-n zkt?*4PEj%rBmh{}f~)po`1sm&<{m%HWBpqAQ|t;;gHq6> zNTFro`T6c_lz*16%g6Z*rewKQ}Qzk%} zU2_gRq0;WwLD2*fzWjFrABMsX?AtI=j7SHIv84sOeDfh;yM()0r`Da`x;{rYMw71z z{7E6w(8mx*N#^?@7w3G?v114&dWDzHBu%LbQq*$tJY%Y*!3ndQ#`>Kf5l!lyk-KZB z6<=}X2JuHzH=kx85<;W1dH1WE`#gD)>Q#9atNxA9*+$OKxBIXi99_D3q6d3Pyf-}c zlXFC=ej4+&uO|iC{SLq9_8));CHTG_zW&}|-{!B*eiK~iulHX(Kc2s>t-QqsLIrgx z{|S|Y6a49&+(`X42pKcz&%YKk6^&%Cz>Osxx5MV8doeY+JSO~mKIrab7%y3s*vE$Z zrM;>1%EV3UZT$V1ubYjb;sv!8NY=fPyKi*60%JCqW32k>zn%?P6%qCD=xWcbFgoIK z;yS%hv!SWmncJEO`;4baH15x>DMZ0F2V!$A4c z$Cx+stOJA|tES%`JiNNemADSWXWuggHZ^MJy@#6nzOr1FF9fA7?uei@vxX6c(bk*} zUIgWme+d!{Ib3o3K9vd=dBdLeEtNdNITivM_+$eA@dCqQy;YL^_8JSo-~2jlksD&x`(0uirAYI* zMrCaXQ4WZfcoF?gX}(uE+-|&Gy;;~o)vo&ds(ju~Zi8lF_(Zk2(PY+2-U0w`s}!nZ zvi7y?8+>fq8TWkS8?OLzV`qUC(rc&VrtRoM`*sL~{AHmuce#wp2*Q@FSi`vOOrQ~F z^HQ7ejyCby(fLo?S@&owsZZ0VSgg>C=ONRn(nhUEd7mUtHf5BTYS?&^KW=@Ge9RNK zd!OG%^S70Y5EL)Gl+A1T*hI_}f6*903>VGMtzd`-w!{}p9!^2|D4WYL zs!E_1p(fEZYT;MKlP8S>Q`LT0J1I9?5y8X|mzt|J+wD9jJO1N#7~xl&!pj%Ns$P@o z&l1kL&Y8x04@t*x;=j#f6;Z`PBoGupKlSJ7{t7S}s;A6rt!a>}J@*Na=FIc540HUK zNSCg})^`$>YLSZ7;xSHge*C?OB`z}@gyGvx<5(^9A(Gl5F_d}DflE$xQgND88@^&2 z=C**5>{?I=5FwQr^Qw`9b0te*$wbJ*sQ<=@$^VO%u;qEIoysbr(U)?{1`VT2bh!Tn zDMVcoRUX$cR-65#Y!!c{7^jO=!LJ#+^)$PlmOeIAd5HZ4yuYvjiBJBvA zz!3riu>ZG;Eo2Gp*lBspkp1%|u0d%dRSnKO=w0M!aBrFxWcpG=6^J5vp{#afHK&j| z*Vw9zG^bqK0`oIGXW?#c4}q?xL6%4t?ra!>MFiyh$SX7R*Prg2z(Y$|{BVOQAv>4~_AYt5`zN*@%NON{vH-;Wnb79kmflLK1J@M-mm zzF=eD8xhQm9T{@q)05c__^M??0eaS0jXlul3orNZpzpslG{kkw;W9X5=$JsE8S%1g zP%u_?iX$jVqPXqpkp{;p+Pe0CBi)@C(4E&IW)vy?(-=(|PZ@ zs>z)MAKt>rQ>8~SYv zVR)6A#`8-mZK(}?2)|)KtbkJ1!#`Qw*yEE2OVgl?$WKmJx30=$;n8LvhUyNo($|bQ z3W*33_$_v<{ol5oQTFC**{4^8ubbWW{`|ZjGVk{vT;y#j+(8@KcWoFsddR%3Y&73U zA72Pz3y7&H=;skT8Dmo;gtxxU8C^vV)?+Asc2?NZNA@8_+Hm#0=WW07LNwuvrk{X+ zOYSw8h+mLhDw+_C2ZOT@$Tpdgk?Tl1RQQp`@ok*-NR3Ee_LeRICsikL-4J!)(}oBK zRaaAd;XtiNAQ`e~19jIgk|p$4gy|fdB4$Zadr=N5Xb?LDO~w~$W{Pf0GA5#%G!+!q zlF)^c-aw0gh~`s6ZA4*-Gm9l*1dBig4`ZVa4k$_rBY0Ber{RF%3>N;rBbbk+PcYui zWQgoQS5iiJN}!C7BWo<+$Q*6NBF|UmpTVVqdW=ZO?@pi?9NdM#AlDh#7OxQ}qYHHz zP8fDar5x0RZuQvhrI3OWzCtj-67!4UNGJ@p)k5=RPmsD|(ZCV|#Li{S^eOD}XM2Ah zrzJdzM1p0%4wl$@ z90kqU^9047t^{!e#U3LGFRZan6g*9L!cFk2(;lvlsn2;R1F2Fxm=+J2Wy>i514Rbg zG#GaJt%nx&>yq$i2I~*hh04mEE7-M7*Uex0GmNc-`sh{Nqk%Y318gZK!LV0z*r{G> zs&x~Co7h{baOuDe8@4^ZvS!4dNlV#eeI(W5lVsNkKssW05Dc*Rs*jFO?MLB7| z-hLiDc#83F-~1x~6(Gh4VY;qCDC=iuJv!BE=eQCO%IH;v-}~-(jPsrVj1CPQ313k2 z$%RvQ{nqg4Tj^_QYM+<%Yxyj>ThG%P(ce<&WBcY5a3&*5)8%Q(+T(35tj0~{I!EV} zvS=7h7!olPhjl9nB&^`3Z~Usg`N{jG8FVhCyUEK7AUDUeeUI-RzriDa?0M6Cb0a1TxvmF@pYQ`b`DKa%P8+^G_ zi`#LUvuHqeU{JG1{LXEA`4o&Z(w}o1%V;NUKU<-bYnWGE-kk>z`-+(+mDeZ310SNg z<w)XXJ~P-Ui2(sFGIV7&mJy<&q-F6q&D9871kz_C8`<(a>m-Lnt_y~1`^ThlSel9 zT*yP}y6GB-?(Ok`%(Htp=Ers4ijMdu@d5X%tIzQ1z@1!_K2ARD1RQ{=M3$`8-J~I=LyJc4+Qf-ATO6O_i>^G! zFmwv}M8}Thc9j?SU|h2Po|deGhYMLO6&*(^7JLN9&hL4XJ0{hWv541&S4)VMMD0ORz4(YY3)UBv9ud7i zixTGFxe%q0u*S-zzV~%c2xC8Yij?!68E_$Cj>ROt6cRdDrK?jJWLprdq`;(3@}$^w zs@K&z%MsI0YU=md6yc0=>QT0*(b7C4QO9+k<|rY&WQ^VsR%gf}U?cH3nT2*}6S1Bp z>iC9)1vR&)zni%5+4c44Thg**_&hzmf95|+RZj2AWmWluU&`n1bN7lO5VPo>)>r|| zGP_vcxoQ8E@#hbfY}M?!0=JNkVN#D%Q`!L?d^ScJ%h%k-F`uMLv&{!2z1})nqx4p3 zePV>{U|e2}4*&8xRQEyn48IPTaIX&pGY>N>jT!fpEQFI+hnE1g4*xK=6T#Hgus0bS zx!DIOxf9Rdzx19c4ppq#yiWmxaSxO7VZ6$#K+A6)6T8xL;rsj4Wfi-W!Df$|bX)JV zza45SRrg*j$WNfhXj5(S#Y}Sz+rhIoXamk~F9r4}R)kP-&1sojPw00^`up3J)jl5q zD{rt5B#(emC{aBT5#PSk6cM9`z{ab96`%5%>?77t(|9GxJ9OR+ADOD$2*@XQhbAcv zQh~mt!?AP3&#ye@C(v+AJY-JYC#|oDEF&^uEDjkUJu+EnXC;&c_kMgG>K zK2&}8t+Kx%rhWd!W8SNI83^e!=hZv~N4T=E6ao@573S zMjLnF*R=;I9)jq=5nqsF`+|)R0TxyL-HHkYC&xB~8FPmTum%0z!-I)P?8lRM&rGXpjPx??UKu*DOQ-0t%W0 z$zQaq1~;&(Bxy*B0EOm^nV^(f_2pE8J_IzYPhx@i3rlvAXOpcXdvug!D}`fUw^>G{ zLH*IxzZ-`?XI<5p4rG~q%p_SHgS8J7+C{7>f*VE@c;y)(l~*j z%?duPhPA5Pekp8mc1j4*MbTlCy-z(*>Hn1>&;rD?g}v#B+K51tRC>RNYNF-JLau%^ z#Xkf5l43z}OB7c7Lz*6Rjs)^@sqMgzMlZDFZe#nV#Zvgca2C%p8)gmaUJo>aIqUS= zvpj91+3c7y94hY}vFRC=KA}d2plTb3VvvdfA7MwFTBXy0T(l>l<_04I#lB;Eg|;-6 zf$EB4h>TP|H7IwPIw1iEbs8b$s%|tVtR~1F#yjfSUf(u>a#Cv$|Ety>DN=GDE6rl3|)pZR<-d_kTjNVJ`E>fm-_q- z5{Z6D(K4B0h-PD4%tS;no&pNx+c?hWqVxfbi(?qoW^)Bts`yiIF`;JQF6MV|4Emyc zlxT{gEN&7pL|CUw`8xIAW>FS<2{@MM?^S402!xx`#xdQ6npmR16eqoD!Lm9Ez8anQ z5M1)rCWkiy6tTI~2^M=%<8th3~iPo+$rTA>GqqyP?+Q@!~t;y9|NgT*l3v`^@*vXS| zn~9?YU_pAYI)Blus7XRn?9_`FqhG+3N)r>q!qBk0=}TsVd3;ICFKnW)@^d_k(mQaU zsqQ_1Z~4`6TR-kJFYCg>+F?#u{asq=S?Cj|)e}#3AR(88z6(D`t=lb{SExhwsXxH+aPC$t# zA{XH=Qo1SG($^3>y&u2eaV7Sht}d%O{a?^ZebogFSMnEW3E-`@1TUN=e#@%_gkaC` zxPL`KUn4{#Z{rV2J3Oag?Pw>LB6a`DVeXX}f+>3b-BQ*oJSbTNbTM|jACPWNHL-?z zCRKY=DY$!1LHk!aoopml+u8jS@AO*y2nRf(`QVRs@~HG}H7(ZN^9bW&8o9jc{C0Bb zj6QTqDbP0w#VrC-Xs!JDg|sws31a8+2^1~-b%k1+DN6Kz|7hcvO4vQG#1TLLE;h&T zD#jMIN~nZ325;W!!Qox0i4-edqc(<-N+Ly8BH7@>mzByuT_Tyl!JmgkAzmVx#8CxC zDK%@vv~p^0k(@x`6eX5f+|MV4#w%_NnUk#vmyDfFHeKrCMh*wMAA^I?P_l^p?`?KF zG6_K{6mS`KW+i=B@~BdDeB$gD!Ys7a^?frF3XCj?rCQZ-OTW?kMtLE{&B!dzGYkXZ z$6^w=l|mSF6YN9=nun4CYwjQO!%_IeTjzPWcUjx4h?tMDbWxNkJrTu+`|g&g#9a6d zyUGgc3DzDo$K`jd+cL&N52o*3x*hzg?48(OwBB-pmAj7)9xzfB*Bg&5iuhrQ)+wXN z`Xft!A?8hvr{^fMH9AuY7*6~0lkj8ez0ePS&W;mi ztv-$p3)F>|TY?LPd4az22t$?PY+P08bctjv99@p_PlqZqziox?P8D_gimMMuXK6C+ zrzBDm%xEprCpf7c<`{SxLo$ZU+%~jSb6D~x?rrV8px#er^{$JIAhn3IzTldD`htvS zT866Qc2E-NNDsqT*FrOG_dv)j`k z&h`X8CNk5Mpc=h{yMHdI4wu)J4Y35(nhlj3N9F4+e{CiHc%fC*wT9~v7aA>b^_KQ* zd#+WC)01upoAl414)Xq4%w@y#hI4JzDe1~(@8dgsev|8b{*ip!Q~$wN2K%xVVGz$o zWtTsOfG7HjJMtMfk<0poWhi$#OhezCyWm~F|HF^xDMqMk&{)uej|gqL#-6oto!?*Q zfk&Y@WO7}gq;@m$=%r?THE6D^*jTX3YwM;(uSCuIL;1lo@8(pqy9hMk0jt^4TA9@g zcrF+A^yac_?VN|}HETF_Wt;==S7%l!U>Ar*`58_mOfWY|V$r_`Q{cROUxaRCe=re) zSvvhxjd|mM*erN~8T*}vI6=Pm5&ehIV9j$$HIpB?xqp+}c_e0H>C?_V(J^5qoWDaZn(>SAx+1UC zI?wp~V{c7EKm_huN7)CyvpmyKm>H!Ed?b%`4t3s2x6xxJQ+j!udcS3~${2%+g;ieD zSVJpllMd%%^z1MKqeXpt?o3JR+SZ)kU@ZYMe0UDI@k4qiyC3jprJb2M@@hD0!z$fQ z0--nKtKUIt`Jm1eH`32Ey|2!LEy_AYC;CzScbObt)=J%U`N$uI2~Y)$ShaT75H8_; z!-D)Gr;P%geSF>>rJ?cbht^|w!&e__tTAYw)zk#rnow<(xIgD;dLSn+s9Lg$_^~lb_sR3;H<#>vUJRJ? zMdGOyDr&6vhk}~DY{s6$@|=3ZhwE*-_ORJ~Z%v%+p79}5gBx1EEpb2+8u^95dXxGu zS9@~@fnA+GpVt;3xc2XpgtungL%Tpeu5BwAJ6ya2_x8RNBO>|m7t2pZB7551yGSSn zUrb3=nUdraf)5e`ABMN~T1WgyFQzO!A^XIT6ZCRAMnK$3 z`MXyn-7jI*gl*S(Dir_HhYqmjjsw=Db4~ZH!hk>e^pju`z`a2cig^<7=!Q)GN}qR7 zlJ|b=5{>zRJe&p*@qenG!2SQV4S}13m-WAILm1SvsUc{NfBVli1XE|7@vq%60|7g0 zOY6J++E_oJPD4po>KG~+1{3P;&ua{@D$0pP)j3PrFXX@mA11PN)C7DuqD^mJuOF54 z<}bUuF2>3LoT4hc-p8F~(B57T+gAnMZ|ArBR|P_X-mizhbKk5=!|rcSfxtL0-Q2OV zdNsSZvXiUKT*%Yg{SZVGsD??R9kyJlHTe&j3}0Ah)bc1Wt}a0Vp^!s6yO`b24Ehu)?BeYc6xS5b%4K z3aYEaR8A_|2<3a_OzigX-Z$@dz8Z+XWD7!7%RZJO=CvhqstK{j5R2?yMZ>)bj@frT zK0S?MR|~$Kte{Jr^rsQvc{-RW$mzMB{YFTBU=J22Y1KcOs#{Kk_g}Ut2(`-y`7QWO zbc;XNHFNIIS?s`wvlQ}dg^R6q|6Hxp{9ZM`dwZMw&>hRC6tTsZp{N-CS}sI*vSr53g3|gOaZ=jjm}OTq4QPE9<|5sbx9C{BUI-i8@}k4k66<9;k92tH{DtNdS!dn1mf;{r)l_kT%BJo z$)*XX>qptS_(sLI>?{iQtu9NgUwnU908*>3uemEiDsCeKsUKV|Atam#aG`-8*!AJ) z6zG$Aa<2{^1m8+4ae!BY_jyafyGRL??n5z0g0DQ+mun|7;cFkap8?*^PJy5b3IdUc ztn#?Iw_kxLx++iSy%`10zVp#l{?^~;k1}tzx-z@!jFlb)*PYL`H4u>(FLhVct2En$ zhES{CeJw?)A+yggymUOSUmf`T%E&0pvNg}`nSjhEp+4%Tjv4~^k94xza%ZA#tG!c) znLn`Ijef>V_`MQR&42#1Ln@}R=p52H4l7hGdiZWlcf5ljfRN9mXZi?XJv#(*z?tst z(}tDu`BUy)UbV8uPs6|vFMlL?{UGvnoPf4A5Dh0b#& z@(04(!vl{L4~wcrX1VvKbU65*sN|gLymLoPqS&*3)wUZu-D9D`nQ-qa7yFgRG>>8F z2P64iF|QfwJ|Ybw>~Z3sGo2UowUi33esF)5W-t4#JN?nRfL=l@!_ZuLUbG`FlnKP_ z#p$he$yPv^afE3PiMjbxC5!~tl`scyc$wqks*HP6fy&$oVs*NR9M70YS7{vY3e5P- zYH{rs&4jV-xPtM+vEmmdpx|8OCXWU!Y02@~?pSbV2&hB?YAg=L-x3M*#;wrk5BKn} za=GX21eq-KPTVNgBJQxCWG7^cLyt*fEC*Qf_S-^JG?hj$KdbhX5TXGdo)9G$H+o8r zK&PT{D-w$Nuu0hdq*PCG>NQG56PXqE$ldwD$kEK9XknOWQWRJYT7hA8f@J(fmO9#6 zPQS&z=DK{qJ$9C%gJ+qRyfP169uxt3?EEAxiZ&v2j++`rowx1x~u!e`H%8Vl9!bmbvjqMxq zl4uK?nnGlA6Iy|wBi7)8Wm87Fq8;uz9(4^ggvF?g(ncd{o3-PkIp>lYz1rH7CVan# zkF+?6*x4D{$X%T9!II;#8XGXzk}-GAb=8Sy3(E06@FH;0bgP2_;q9jM+y%Q3`CP4)X zk#2QUnA!(~$Sj6!$qqP7p|S{nba1XT=q&~jTyk`6LvbdJwB&h81;NC9!xw^Z2*hTA zyJsvIA+x9o;|TdMNtIOyeChPvvgw2|j*sO%_rtAQ5!Te|>I%QKCp=r}Vx+zZi7}qv zjT$Z~n(^Ec$1G>qBaOT4+?4i?_X+llmF;aODF$X-&M z8L%v=hnD|=k$Bk8(N{UX3-$p*gwlp!4h~cC!UJ&gnJ>1)b_fj>)fjcm60{4Dd@Px&=opkd=ZwW zF{^n0jP=+S%I7=YaR)a(c(@5fg0Me5#-p60d7sIUaLJuDLCIEM-2M}4+d7kFo?G7) z=I%El6@sn$%=sT{(gz^uom%@CfDt-vR!sG?>ESEVBT@v=0*3hsr9ly5L&FqUn(>q5 zVOrmPleuwtEJ z#l5=Na#iC5NJU4rfu*b-~|>;Gt{$>dnJc3wC+mjRx6a2&)5W%(l zV*@h|X4ex1txM=`V+z@@MS};s(JaCK1>Y7A5q!oq194ep#`e?jPh}|`+KgDmqQ(U( z!WdmgPjxUu^Xe6-<93FbA^{6Cz1(;zA5S;jV zPO%Gp9#1m$sC0`~u`wF{9Qb+foeRSrSE6M&0S(&Z!K)ib$)lr?WF=Y8bY@pr8cKUS zG-tEhmn{xF%ZJAm5<^;XSdz0x3J^JWHe8!|Rp~!@Ys|^M6o;EnK+8R005TMtXPwzi zg3SeQSYA2Fx{@cUj(1zJsM#-{WlMl;6Bg3HZak6Ye{VmGYEcP|i-95Ap@V7a8@IT| zrQwXD^`qM0_yi{x8^;E=mLP(T{Yb1haMnhw^T~uk3W$k#JrSrw-BUDyZ#HhI3hg-Z zs{YJu*xB-U^Kyy&{&McmB=<_{`{Dl;!J$LuJ83s06nwv-a@{wy@LYNYt_~Pc(85y;E9y{5w6=_dhw~9mitk*A-EfX|epCeyh3D~>BDKfV@ z0>)ah(y#9D{~%bv6r^(nZ>7p(u&0ri_Fh(C6<`u2*C>r^3%q_0L_^+(w-)fJ)2|iL z3dTdorCp2;ZSA)l{)5p6S&fEF*kVqfB|OHugKNx5G(p~w5F2i>7r>LLIDQ!^KZvl0 zQH~1$mZs&6iE#FpJh*t#bSpAm)pD+(nc` z#Ab}vyB&5_I{B;O^&IDG_N! zCyN?a*YVXHe~ujOv=CqV5R{qI@)j$Uj)HZY^!MMy5-!rbmYq1nM9c zTPY>jZ9b^B!VgrIJi4(aQA`SlfHpF~OM?SSalv;QzcDyu4RfvzoxI(eTK$a11{yFyE&9jy zURm!Vd?_yXUG8)MU+{(L+xLBentK!Ovy1_o_8WzQY9|vj=IX(8u;N^=7d4^i9rS9R zZD*f*Uj*~h7!CN+#ZJ30XPjX&yv5BVfS=tmbQmWbST6|~qQ&WRH0L;!pe*qM&P=mq zDtkcYne;d{#@$`cub}KZ{>91g`Ose8uPUZsTF~5HIe}|Vdwi)WB-UI6)D!8g)4XiW z4uJlay(q_&EVf7HIQzCOmNA2{Rkb!dyWT&~f(gZ;N;h*oC$31}hV6}*uw9j4a=5b~3{T?tw3-F8WA+{9V1$wYvW@2O8OtAA` zG5e8$SnKX!(cZt)<@RpFlb>?Q`|ds*{^O5MQ$#nDHG+`W9rXK3w!fbrs~w1M(|ArS6gfGC7TlTyV_j{F2{Qhm% z-r6~zCNuhKZ_)Q?^N%E(GXo@h1AstXyM7dfbH#wZyJIuO2@>%j;fSk`GjCU^L#eV4 zU|nYtadu{i;@l5W?RmD+rc3kIFfW*4DA)D?-HZQwSx7oAvj08yV-;W9<*&30=(0-@ zwn6j+n$KeB34_|71aq!lT&6=Pz>mB&ciI5=zl#zWmRJOv#x23!zSgAZ8QRxIHndQI zwq#l%IuV%;yTkSY&?Hyb2GTvS?(jaIZI z$UPM6-AP{0aG&z@2f3cm{9?K-FbcmT!4i3z4}ZF4qVofUsLq4g|0EjkyhcHC{=O&5 zgIfA5Fc-`(1l3yoqrEfa>%O3k>fqTiN1as8h`8`ZKj8!8szNRwKtJ6&AapLKjs%*1%FQ31wg(cvr+)zw`5-)|buRN16Q$=G>Ctg(s!ADtDHvWBwUU@KN zhJVh>U{M%nIs)~@D-zQa3c9iQGb|>^OFoIJGAEHMwd;S8n{;db|BRCa5Y(AGO_yr- zzd)lirjS#)RjjJ4Y9fCjX;b#Evj`w(Z4fS)HOp8r#W;s>T8BRWjnzd+l{>hWjdg(u z$W&?!dnZj&RVY+Yi9NW#SPVbOhk-(8EobK{uo<8#O`~sF`>$@8u&w1V|7muK(N@_D z+3qAd#?Tl<$wr7TZh_)TV*9O9@Hg9jpfsh)wAGt(frHZa38m9xB+W5_-V&7X-Eq|a zN(TDNX{=n)_?m-s{;}tQ3E+~j0+Oc8f^b|%c=^4S`vc_oI;}? zaWew78>;?w@*fq0^!`*9bqlIQqAqM9M;Hyo93Zaz8!ynu99K6eUK5n-oozEbYE!q@ zeic-RlpuD?1GVRZ+SP$q`gx#mtC##M+)k~EHst||O8*rm=4S2x7N*AH5T{6O6`gEQ zR*lh)^qI58{#nV0A3&qO_Jo+rKpUa_8$~RT{Zbr6Hkz6C$Dn-!tlK{I15vt6|Et|4 z3=~F+ZM$!vO#@Je;)}7jOpQ@@e-g%qPaldmz+xi zWL*XO-z1{dTQE3U~S^~>< zByaXDeQ|7x@y1r7-Atcdw0TUyd{<{*jr}UcQxJ#Glv7IyB(Re=E3Pf@_bVbkl>)6^ zo&7t*Lyq6lwcCt0iKI^{o}5Gi)~wnfQG>|vZ|NE`Lo55zUtC5zzJ=X8ak6{-y>Mgs zpBMLM>W3f=t}+cqjmB{H>5Gih-^QaTJIwU$L|sN-qbS$M)0X2sMqf>(+Rgmx3Rl^> zu`t%zYS0E{>gZE0Q#?C88gN>T;qIz$(=0K+4Wg|R*XZOIm14?x_;*-GE}Gnq6uj)X z$_!0zV=+$8gbwjo?0X#@zIzB#jmT*j_r2^;%Z$8T*$8jFU4iEG#g&>-55SW!%knN` z*o!!jH9l$wk>_z5jMD>lL|>8Hn(`S>xbzSn zVA)_-bth0^F9Q+rMfIwnpZyIlcEaMRvXes@4CJj>5#s&%rMU95rekKbx~!a1%h#B) z8!4d^CeVyQ<>aU|GF;TL*zAhIA*|-V^{L0uRK&XKK1^U+wGmw2oXRh&mo%_xjO^6u zi2DoNX|I0a>I#-1RXQ**99k?lWzU-Xft$+C-X#ZusX}v(S#y?Gq*!CxJzt4XPv$mNKQCEo>+Z`lLA!UZHw+YNpM_gk{N*3T)C{O+7g_iAarKV+jDz zs)qWdV%6)e7=96;P;-LF>1TObUZDhMKadTR9dxt#BTK$KG9@R7oI*=<@(V$H^K}XY zMRS&RmOfM!DMRGiCj29s`Gw{U11gd9s`Zur($*;?0P6x6aj95|IgbgkW-+N)Yq*P2 zgL*NAI7~D}KAY>*qvRd%Piw?Q01!aIgEBhzwVvafctz39+}HmA)Wz~&fJ#!80hYz# zq1%sw0P2`sJAcjsZo89KkVe`U1W@4;%^_uR))DTsE}}`#Ab={<--}cs9!IOun*0k; zbN>dYQ3d1>RX&@0JAFPI2+J8Qz*yNEgB}j^d#5xm#S+^C&%n~LxA8=OX+>6|cKpop zaqb1&$kXCAvI}=H(qd(0Z;IzI=};0m1?0OY_+VYfg82nat(xp587thlpbLBs!0f>m zg>1NY>>2Zq(tsW;Wkma!7fHqp#&raPZn)+GbvOs9qN@$Ku?2ZVQZv?1@zk1~PnP1o zG9rxp9jp#o)on{ZmwStUmfCx!c^T;}m3OJ31y*)(!vCynE@;NQV}xrwJsGZU+yA+& zltP&QjFmKQ-u~3~yr4c=+Le6Qu{_xSXj2*;eXIjk7faPGZjSN9C~|nMQ*WvI#E2w0 zvyp#+3C@IMAs%4!SrTJ2Us@CzTh1BUp^rw};39#3No&!0JEGmtIm%Wqz-C_yzW`N@ zq=%C?6{>|Q*NlFi?==+|4(rhSXQxSQCx`-D7av9q1>-a|I+PMe2`UJqO!va5qwOp< zfKb2SP<`Qu>a%(@%zIl9Ig@u3#$eMY1<%^5b=5H6P@^@G`j+ZHoG}E7!%gDfv6nfI zKHs_3IKcP|VW^*_?wq{kb=PuhR^Xr!EeJbF=Hc;Ehhl^_di@XP-U6tuWNZJ%ArLgU zySux)ySuwXfZ*-~C%C&4g1Zyk-60U%9lp$c-#a&V=91j}-QwFh>!*9| zXZKp&J5Ecj|J$|!7@;QFN(qSCh+<`mvQS>GmuDmiu4=_?-rm!subC94#hGf`kuo=< zs*7hT$EMJ$W7twcE~vG)wU?}53v1kM(1|)_zkU_Rm5c+|C_X1#(4}0@A#;|(=Uy*J zHJ*LzG6^`^C1`c;oli!3x zcKeNZ(i@z`qd_!P2_(&|6IIgv2YgjE7t42uE+lnuLeh?wO(oPTZWQ%*JHGZl5&3=b z7uy_|5cx+gC2%OvT}AV$Fta<^UGT)xL8!;QKsS`im9GJY zpY1XQM-E!pM&>wlGyWny9d!*=$=S{gQ6^;MoMw9kA|%RXgD71=QM$a50TZ+)S!HUO{m zVuG-nJOUsdeg z7L=%ko7h`qk&H)C8}{?mmX0!I_78i$TDs~Z?+>t%hG|CG)4B#3DecTOqq1p@m3-aq z9~UH>LT#`~aLXdjph{NkPcUHgN=;2A_CUj%r5DgYYbc7qmEf6~e3q6O+36k5;V=%? zWU@AVR!1B}3aHY_fDnO2hs`H#t$oVNeH)OydJ#3FlM#1e3G%q=QTF^*N9pD|sDcEW zkYHLOIcm*vOm-ZBlczQ&@y@L1wt8W=nf60m1A>xq$j8Clel7X2HV~4BEUnGgo->On z)7$Fv2lrA}82u>+fX}J~?HllF&WUQpMkV2)7{%mL?x&~2<75u}0>!Cd(B@+MnvIf* zY;20qB=_;?IK81e(IauZw<45*j2jbcOfi*DWr9&VhY!CJuqUTgB$Bw<)qMk9&Jz@D1U%l?)wRGl(27^5kt7FM0{(PcVi>Q745@nyrEr21j8oCo9QOU>!XMFnqr+0(O$>5$=W;a5 zhQacg5`sP_2h`m0+BEol+Ymop+cIlr*5%#1d5GhJIv#nfrkht$=BH+d5|CZpEMKI= zlzpKdkb%Y9qt8SX*PXsRpST=+@b`4TKHtjnb!Arz1r?>Sl2_AJ4PSFSW0 z#6mFcUB6vB&wzSB(RpgmFZ4%xdR%M^cEEZ0#(=cBw&6z?uBAW-z4|(k26gesJ=~64309K{6X@Ai=AbmT{ z>?vNqAN-1|=rLnIPl9T2nyHK~@y0P;rVXb9ehxw>2->ewZ5r$xGM*w)O}?5OVY9z6 z(=Rgtgr6OZoJ2F*JU5tGjAu@B0x1gx{|%%Fs_GPDR!;K3qzhy-TH@RWwl)Rg=p zIOPeyZDPmxXodv&Ks@BV3d19o#Y^dEURop~E(K?!0%|KO#o0(t8X;1_+TYgPHzeHO zlFm7m$oshj+w5yDDd8rm5*?cqB=Ujm+w+4mf50c|`z!3gOX_>uVOxP-);?tJ5}l({ zR`8R|xNdFvjM@QkZL-bydWn$K_yMtK^lPJs6ztK~k8ABh)!AMP|3X-8FPWWh%4j$9}TYt9JIJ%3jAhE1ISct%(V@f?n6Z1kR)$glO$~DJVGaHGIW0 z8zR*`%`)6lTLe4}kaaf%c85MCLnr?y^#f+WrF`@+`{=tHwvUt>B^OpTP5g+kPidz_ z&*5XSLF7kZV&}T|fiszoD~_ghfKcA~tOF2KVGX$QNysd?se9w&;<{ngGJCAi8`rTm zu&8o7(-IdnxtbSb!^|O7o7VE;hc2weX(6E>7hG%I>U(8#Xg6zH`?*kbz?UoNG~<5~T`n7wU^+*R>Slna2(Xwnkmk({riWww^K zatCaSr~*T8u^tRuxP%ctI1G9h#h84uBrJclBgpG0hkr;2&m6mG$h(X7X`apy(gNhd zI3=y(`J#!4 z;?UoCf_81l&4s@Wokf|Y6$}~BJms_mdc_G!1G67&$zhu*myg#e6R zmgI!>Zb12f;5x-}I8qpD)E;M68z5fs`EA8KZlhU>M{C?75TIFwmb1@LRMm^km0G=H`QZMQk49&a zWcju!S8RoRc3U6x+c&4uIjg8AMx>38QQZR~1Wis|;3D`LDQ8Z^5Ra{D)2=f6R!3&F z4ptw{I8GKm;YT#`K=0qUYu9uEb~e}qdx(^AiL~_cjH10FRdNOzn(m>-heL|D%v4OBiHCc5C*uT7P5>u;ztPk@CtSgW~f%PN6zrF4KMHHb>=M#^Q( z&Va4w!YT#Snf&tNMOBX!*su7lvMgWCjRWGEq>OG6)#|0D0Dj_hHJUnAy2!q_8{mGMb^UF;yGCeYoyNU14BcnpgM-V01l z?|~ZPu%#p)Y)^eXfgGn6y3E5l={^T7y0&xzOauXDA#qDo+3HxPU_T88C$^M zqfm>494@y~2y~Fr86!fU)6E$?czxq(k*YiOR}g#hmE62-k9}o`RbPb}xPTL?1~?L^ z4K!O$M6h-g#718T!e0<0iqV%&@Z&|0nvodGaQ7B6%Md%?s zd{dSOYQWxBr|)qUD< z&17CgB%~zShdZQ%^ zeO@~U>?=w#!d(WAM=}`|T(vwA(>vhwr`IA;vR_QQ`o~AMa#A?&CXiQbqiSOJUMZFC zYw_clhp$D>f-Cc`Nb0pTal*hIc!+w+7QZ^lkDTle5Bmx_#Wz5&0_^Acxd@#F=OIsF z*K)4|G{!wO_U#iYr!ZM~S25a$D>BLH_FU2yBdCS$5SH+WBln9v0TZ0})&l;VQPBLz zhKmz!z2;NbzD?ANYqU;lPt3&jYh6+p1Nw&K*Es+=pEfqdRqzU}7a7%VU`tS`CHCyL z4ciU7<5_U%#JN3kv*JGOdG%Qe7uTCdiZ7pGA9eK^1$U3qBsxh(W=C9oNvE@sQ)yCi z$ZP}+_L+Wiv6}bKn8mzFL$SUtW^2|F$UKKg$eu1HQVldWmk0z7-!oeV@Yk9W<&8x| z4`a!BqwO+xg5wgg{bf_N`UA& z!f+SO-JybLK$lYsB2GG|EBJ?a;Pb!lF5(k@Cfh!JPN*D<65nVfhhG%5_l>1to zn4*4(LvVOqa<&hw*CHw^4(T#MDEX5xw2@nQxwh#X2grx`>R)qNJWeHPwcU&LHfUYz zSS+6Gj_eMb&_i0pnDdz3Kd&k|tn!{g++R`|@ok?RD+8`T0 zv3r$HSWq^UJ11_${Y|Tzm#L=q?x#28ucZ^vKNlovpaGH8!*;;rmSPIZoJRO~xOp71 zhlc}#&5}4&2FC>y!~_Q$OZZ^y1;<#1h=vLZ3i%lGlbHk8=lP`{kr71C^Xq$kqR2iL z6MR(Nr*$JFumFdE>EESgr_AL}i+m5Flig>U)&G=+WrhGinv9URYer=Ul|f{M=jxG* zqWmQx!wHRgS^&xAR2c#@@VvGVI)t#c5i(r@qnLJ_K&T94FNU=!J#(!8qz1Vxrc*Ap z9S2gUlHC5we9TZFdGS@)WWI+dnVi(C6cnU71v-kVn)t&hGZ%g=O+MLc)yek85eNV% zCB_f7dC1ez^14&uWtC7uMuY(^z8MmeUy~eRaK460uNl6Thrh;wQBq`7Tw|C4`6Om( zFLe~|J3BgBe3UADq#|Fi!6)$*6_l|`No=jOo}5YNUKVw!h1@{}Rk?wm`J@5A&sQkZ zp2s$Ckj@$**$*r;!Z(d1U@@^-w@|}`)B-MD&C7$zoV`z`zcow-MrqW&>mhq9j?Em+ zaJ;tI`a`-X>4KeQxaC*Cw`)+Sr5XJ z%0{88B9?PNaiv!YH44{e6E!7@>OMFNZB}JKBPWc^1kQCBEv$JboG8{FEP6J)O46eD zE6In@X5(-nZH66;BbWsXL#lCM3G_RE`WVJSX9C-2cF5+fZRXHC!p};1Kz&cy#X{jq zS>hRxv5-sbQz1Zgm@wT5RlpQ(gExaN5^;3v;Y8SM=Lk`$t{sG_Row&z+PTqHcVzU) z+918n-@dl4A7!=(&!CTHAI4N#7~Oo(d=$}q-(6J*<%|UmZJ*Z5`kvA?jY#C|SnVpk zS@vw_Nod8?IUUrqwEM8-;Om;&ITq*E%pJ4mVh&ngy7fe)$@7O{e{JUBwH{ptClG@n zZ9K^Vcqn&UsnB(Lu~X377Snl0e$;7yT2dn?%I(F>yT{UaKpC7BW1(!~wy9pjf}IgU zf7*3>z%CwoVHbCP9)TPerCXN*>h3z#^2BV-2N@blwqO-|e=>5^^-EdHY#C`XRWAZ6 z%4<)7bCPykP7h7U+IsGcbh2vH9#RDl`=Gr*VZxxUg-%D)(}-U3t)S9-FPSymM#hui zkAb_bI;m2UCEd3p@akj7G7@sGWV7Fvtd};|-2>x@WCLADgIW9rap9eIJHvQMJyWx2502rP z=;P)fQJlg}?hI#fFhkH$cYmK#Glj9>BR^2!7)VxvDq_)UQECv}iF8IINQRk!%JQ55 z6Wk8a2}?rUFHbFjQ5_vYa74$wkqB6w7_gan-nJ3%*;O?^CclqE*G#g6^GHlCbPCt> zU78J`*YWV${kXROkSiAB&)$g+7TA`GFIJ3BFo!agI4So!AQJ9ta0pNo&^o1>%C^3@ zKuItoOpq_n9ZF{#sbWOt294!-69S(>X-^rdP7yx*S&b8uXEBp82YEa;1e0&r?%*Wf zg7A5&vYb0!Sw&3ZP?%$t{GIz(IemS8bZ&beRxR74^jzK&-(!v5jJZO0U0$b;&nXzJ z?L-gc7B~82oOpaGrl?@~C%!|(ck8->u3IO9jaocvid^SZ=TLt8UuBW}BaA7jK9#Nu zU)m0-3~rg3Y8I5f0-P5bAyghqW&jluXH#e>M#~8;FGUNJVKO)s(v_s2?^iWt#cEL* zRL@R8MC8g#P2no|$&$f{523de{x^j7UKmh&R7oY+>g-4I6_~F`yfA z?Jdy*-Mo~t?4C4hJpW2PjgeJhbCgLY7N?)B+;-;Z`4NU7bKChk3_L$OYgr21J{}s3 z3CqFSd<2aNv@{X)K7@t9;=Ep4{i&uuxRl-q6>tLI?&Y~zNz7&Oq6_LL=nosPF(4wM zr{zfrjCBYZ!b*^)7M}<9lrF_F6hTLeH}fI9jQ6W9c^4`Pu%*hk!n|?@h;X!7h*ik_ zfkOu3$G(`#@A1v;hykEVQI=DV#hcohAuslB=u0=r(QL<`CY3@$o2*<2_crfEgu6n0 zpzY0{Jx7wXSfk*ccJD#)p|No#&}m(snQM_A2^@RGzkj<^?d53aq=z+z$43l}NB9Jk zBiM^K09?E%FntX@>)ON?GFv7Co4tV*Cqb0y)`U28tyOdPI8mpiA&M4iN^sANtPu4nrM#sH~%>Mzf*QSNm@ zFHLl_eK*mq_-#1}jjD{kxsib*je?WD<8R-^tn^HbXjIG$9Zhjrm}o?e%uGxjahd38 z1Z*sA>=kVFz7?Yh8abO87|Dz9)9{-)I>;K?3)ooM+E{;^Va8>p5wmtQvbVLd)N?e# zHP*9qFrrbA(sQuDrDLO~{dRD98eucbZ@-`s{_PinMg}&9Ml_N})+XPM$H4gS%bgB8 z=l}r#06H@-Wvn21GQWNN=gS3+>LUQ)3y}Xf&Odi~{XasA*z38{Na;B`8fknx`EP$( zxc?pW%artg$RQkd`22>|m3gbMx(1^38}TRrEa%I3bibk@9WC8oDMId$|B}g}+St+p+#@A%8;pcPRNX>hJy46AaP+Wk7~s0%T+Pr<8Q`bbrNwWc-Pi zZ1gW`X$9t$`JImc?;lxTqZq&3K);>gf8PUs(m;Ph7hq(5xrLx;UTPs2FfFW?nZJLw z_D{nX9W&!UI|VD_U(qLi+ami%L7JK2W#V7x6WqXAwl6oq_xp7JUz^}>P@UlyRChsT z8haV^`<1{y1!ZRar_@Y;$?*Rz>-{6C8Ga!3E1B;)_Y)Xt?#qndC)fXvjDLfc^gq?o z1q1pA*Qj4|RBQ~tEBIG9Du$mrsy}&+e>o~}o9`6-Eq;3fvzET7;y(`cUq|8}bN(GF z{^?Q-2>lJIGxJ);3Wnt$plgc&2wN}n{hF&{{ar`~mcJnCGW^U{G5%>N{)z853BP-- z%>l@$y^Q;7*6PQ&e}|rbcmTuqw*nA4ng7GNMvw0BGT|?ID`xt?c3}R@Suy;;S-r;j zU%qdYF6c!4FC+evJNhx=-=X0TBJ2M!wt~G*dYSH*e9({Se)(RN;b%7JuMzNdpFPR&d2_~s)*Gm#fXE5+$Sj*xcBSdHn@IEYi}negU9`7>wa;*F+4%}QS+(h=AvLTho`g9 zi--H}+7PjQxd9ncAKUebmZfPh(KuWSPn=BgbnoCxfT9CK0)Cuy#PB&!O-(HN7JF}b zQuhc)kz)FM%gAas1<*g&FLNC+B@L3Z3|!?D;E#>9^&)I z{RSgM!J@A7epBx>pk;@v=iU)g1?6efruplt@$8TG;b|!uX%qq21}exn2_$-1E5kHO zP*Mh0n;>9`-0wl*SBFOy0_3CA2X9fP_UXe_;gZS1hZG*Ioej)<$+veEzvxWqb|6Jo zHEA#OI7i)mT+u2WNg#2qO2_a~a$EA`cI~X;4bubK<+>S0i}q0(uID9%z7ICZuSRFIA1yD>KM=8Ke%Ytw3HZGf?=YGC!?9?z*?&76E zs}9VJ7Kf=?)EPR_#IKx3H}4&VkFKKvn7j=Mt<^PK8c^LzGC*djcee7n`mk(1ReS?F zP=$bF*V%Q$=T^)Jnc^l2(fPEpvG?%fml=+`mIZ?4#%|_X7g&Gus)dT=k^AK{kmOHu z{94pA9PXRQ_a#i-g(unHp1G}NQ#V#G68IWKXO#fY$+$C!!mVw^*s?G{cxcTkfyBD% zu9|Z^jEUI-odM%jyBIAT#jf*{4&mkw6#8L;_gxPpouv)@ED{E?^|K_`l?6hS+LCrR z&KFk9L0m#WgfWNoy`fK}WqF@=_Zlr4a*Avc(!Yo@=&X(l@+|~{I=%~pZi`W5mH?;s z?X^NA>R(6nY`On@KvzN1P0(IfQv-z%@8Pe-#={|SM)xLp`q@|FoHI^W(8kmv@c7j>sC6b0%kW?7C{QbaU6C0)-lS4|~HqEoCtEuy}+j+XG(@MH|PcFW@0D9ZwdbZw!jp4l8 ztGw6*bwlMz(wdt8(HF_@XM6&liAfJ3mo`e>&RpC zjS(9Uh3xV3=gxvypX^w#UNLq!Q#0|n@((E%N>xG!c4;1-2%P93#~#u)Bc1_k&>ydT z@Y64EiHgo10te%jN4X~}QcwMNcZX8u+4PVdgC~)l(-G{e%=twi-{UYlVzLHhB%&V4 zTVj&K9KP!yZZ6r{sC#!HK*Poz;c+I5wdcaiiCy}Zf?oceZZ`>l`!gHp$qgLACB!*c zQ~43A=4!lP4sym=eS35kS~hoSx9o>IyvWD&q~l=eSv{uR$woAGu$fo9+_ZsX?ySik z5hrVVQ)B4E=~r-#iq_tf2k6!TeU%NPAs_um0^B)W)6(1L+lJRBwSeJxmM}3rs2SD4 z?$!&wWWfsPiRUJ-2dc4w-C5h@n4U;Kbw4)2W9D4AQU|-(pXV`@z;1r^Aa2F423^{9 zz;1<$NpJ2w$*`3TjAieZD!Xt<@HXcD@hF)d{9Do7mC9)GrS72+)V#M-6xcE5G$xY< zg`)C++oZCxRb%7e#altI&#?Ct;tB13RhDK|TxxR|W8+HI9gBwAPHFCUsf^4pQXlR7 z+t!ZT)`cJY93Z4Dq`*(o$L!yEhoM9JGJoI#C>%&wi`9DQJ=#vLw*Df?cT0!>@BFzX zi+OMO{Q|Elw*K1n=BKzq;n6l3_N-+$2CS6mMJM37U~L&ZJ;*By&3rJ!y=i z4OhVDY7ACpKa9R;>V*YrD6l@%;&b+!{9sqVK)1U@V<=&l;@<7TdSMA^w1>|4X2^um zHTSUOp55<5JGyftXIp6&a%ezVtu&OUUD(%L6c$zsamrXdCi)MAj4ZcvltoGiCYDw) zlPpfbPzJUmH=ZeLM-}^yS0$J_$Vj|2)#p;3n6J)I3(SbLuS;jAa*;DEu9VN+bPj5; zc|6;vb*7Ak(POPXxZ&3_u&@u}EoP3pjeUk)G+`nVPVB8~hE?-c-v;Y8TiO7Okis=E z;6ej50C#x4`Gj5B^c<_?18DK8-ZIPY7BCQ0#G+aps5{^nky<<<2zozw7?$v`{5NtP>$rTIbb#X<9sI`{d(PX;n+ zqaSjG8PeN&^E)4Saip+QrZjv5UL9Sa$yiT7g>ty9=`i5Q*=k^3G0g@j*rB%Q-uM)grTESBA#{NV1vUCA+c_^??KT9Bgde>+LX5kUX~M)PbR~_H`ME3$l*l~F(m~z-cLGC zrOr7K5w&!z+dT`N=a~|Z!J$Ltn-NltLA|GYEeOIFEqajc6u=U>Dkz{CWy9`_igp^- zpTvymXxW{-;7tRo+>mUu2x(%Y$fye0balI-kTr9s}RK-tZ22IDRv1U6VxnR4q4={Z=rGGLIFETfc zEiKx2io_(;N=cevP7f9$QUxJS#ax?Ko!4cg)QRd6E+EApiykMIu$wVLmp+-5AfHzf z3o`h#ylPK1U!txC~~+h)clIvFYcC%EH;4poDCzoiso~70|mMdrwm-h0&+(b77I# z+>>mREtLhOO_*>aUcMs_3Y7LD&pd7mm3^qaK?C!lI+rme9l?9ef-0(7DW{8CNj*nS z8AO|pPg`JCDZm1utWMz@KCM&Uf8*#JJm@Sc-A%x2Gh2ZMQZoi0+Ph&0Zrws{7=E8a za#wJEVwl}X3Fc@q$ViyX2?=*jPtQqWfHr?xz~DQ#4f|>#mbpo)`P_;#RBACmTjh zy%YV47Wd#t=GF=rqUfG2ndf-n{Nhuim^(Xffg>vOS-MTfAik_t2xfb8jmeCQ;OOrX zL?6xiR6Am-vt94DaQmd;=(Bu;jLlc%6Qoc=n=<(J3=K50)lKH}a78mcVK~sBgemTk z0l(31F6>R^8}CYAB=rd~EFN6|STOUkiJkWWh`fLzkY5mcpPTV)wFPQ+`_wzeJ5XeL zsotK=PUh(}AKsUd4aJSQV2qnwAr;N9;W(+&4H3j;)`~A69E5d=PmRT-48u@}8U;i2 zb!kFhW2@e0p-;+2BWg-S3}B@`hUT-y>ye{*fE!=Y=q ze6q8R5CG6;jNyj;aA)7-xW&!lGQEb3(qx32b+Qzu&|EX88$u@Mv4;XA6XQ+Ug55qN zF|UJ<#{8jzEXaS)9nd__{^{xObL_v`7lqP!w8;&@e+wxM-CcLSpu33 z<$xA7*djuOBY~2Ry0(C%Lk7L34Xh+}Ltdj59H>qx?A1~WKboP8uI{9|fnPU`VkN~X zlB#2>@V7;6=Kgp1RYFSL)5GuCLSYaXqi~w1#yGErvI4*oyJ-B(H7^x9kudP7M>Pqk zu|HANpadU$tO`64{|awW_?noL{#_1Zu|qWr9I|5Tr`M=s{Yxur!~SJb;Rr6>qB3w_ zmAP41W8MOzV^%&*%yezQn47IZ`Nhw7yhd3}_7Ag?>g*xL>+1PLysmE%V|%I)SYj>P z$jtl-J!&a_?lZ{SyWE@6Nrb*O-ON)PaMjd=t~;k$7{D4!O!;*xAca#@)|?JT$qGln z5qj@_wvasFWtlLOa1awdvpw;~F#B>@D|EpNU;EekBG~Fmn8BjWwtF8)QmxkjNLgF) zcA!qYjS)Fid|H}lJ|f43y;cNq4^*K58m4f4tD!pzce5hLECbe*e%d~jdFsG`$=03O z&rCqko$R~>)#Ho~&ca6Dd|{s1ku`S%mYiKG2qqPuB^=1*5kbi{-7gPJ;FrF@*uT+k zRn#JBSs;f53~rf)Q@L)hvbEY$s=t`@vO$yg6ofW_-SPf#<_PFYM`JLYmb+%?JcEk#tHup1nBxe5tld zHHx3E*F9O0LQWYI0*?_0rQ2bLa*8D+@up_VBLy;;&1UIJ#W4y=2N%H5V_j3wBeKA$wk&K=o~``o0*r%s3psNkVbRQ* zN7J&l6=9^Qm^N^#t>bGEqqfGctN7$ErY%fQ`27m)o1vq?Dl39T=M^E4-q}e_22xmH zgrc9k;micNPdrjt>&FL#N!L7in5W|?<48yi4Rm=xk|4SvEDKtae5R3=-ZWC!*p#C_ z95ZkhaS>ODIGQyI5+vrd;ue2LkJfcwbl3C_l15-4!q4bh)oV5$YYw}tm8=C4h!|fyKla+paAxz8H>E!AWd1%n6ILt2g1RmIfH8Rbz%=gAg`;>3bsrbwv zNJTs(-lJb`h@Fo&W^XNb$fveXoLLRkgvf3_1;4!nkbztxcPzC+Ibcm|SWShnPyoJy ziE;?xUsqcIZ9GMl^lk-5yJ{m(>qaEqOSgT$$6I@Vs2%!*IR;T-qCpq3`q|x}<3yMN zvsL$E8@wlu0L?=2?g@wg+waLlK15Q_O}g}eV(c(+jhU*Em4+xNj_*+-C4EX5G8VFf zj(4g;g`{c1W+Hm?eDJa65s{le&~MVzX^F6vj)A57t+OAF5ZP^vPH{zObyg_Y&1v=) zx&SNF#S^I`^X-AI_@YlZL#nDeiNbc&iQ~-Eb+{aH;9LNfozb zYeZ-sJ#N%5BVr0d1LPtZ$#OESvGL`eoif(?$!yH;Jtt=a5re+%4BGs8r9NeV-#-3~=``I8G9filJ{@Yb$TS8%TL$3+wolifn{xh)qFi_$P zMO%!&r858P{31Q$@A)@YroSNn#`s(I??Pc|nQvnL(^&r9O#E}P(hKVThktVdH$=YN5WnQAe$o(shu%Nv z?*G0x?KkopbgqS$QGdx`G0^^VT>mAd#!NpoSbqv%fWNIt^TmCxuomV0_OTfi8t7%V zUouxeX8Rjdr2B)4|1?)X=0E@-$}dte|B|cvm*xLU6l4BbaX16*zdOdmj{k7MT^j)S zwln%mYbKe0$vJ)J`TxVE=5LUV{ttcfpR)ba6K{O;#N#i+{gPk$v3h?8+@G!_|32V2 zQ6a8gX8X07q`!7WFY|8>`iLwFfsG{pabqP1ShsC!JzEY&BsfP#Ma?}NFx|%jLQDK zqP^ns;_|2B#vm_Fw!H_N(xxKL-M@hKw|ea*f9BY2Xvn3&sc7}GKU?Ide4cK;J0>CV@`Lg6 zv_I=x2dbahO$X>^sjhLTIWImu&~~;u*LVGja^}jwqBB0ve6YTl%KxSFc4vCtChVwW zUJc4c_xQaDOW}L1{X=kJNUn3)wwUvCn6f#JsoRKR+p7bEw=Ilei&%G)ZttqtHPVxN z+?-!&&VFq{7~ZEyqOlpZ$zdF-HHTyPyx=tWHtpN4xR9_Y=roeE9tI6^{2B#o z9PXx%mqmUS1Z!>2>d)~hK%So0mqX(8@*X26@Eu@4h|ouMn6ZG)s9=4pp$73 z@f-Nmn&+B&2=zyhzFOYpu?u9~?-~ATUuJoJn172lpRxnUQwmSI@k-EnRn=uM5Ud}X zlU&N&<$P<4NDPQvNt*z6S8QMTPS_tAKq-1_v+$~fuAOrt0zvfICm1gRXYT^8wd2!- z^f}fC8|h=mg<3aGG;K}B&k9!Gs=jHh?P}DEd$7v)nl6!#Z+e_vi;?#k?wUBEKR&hP zDB-*+%>;7;*`wO@dn?+?cgDi|W^YulNR07Y5e*vw63el7qGqYJPb7P@s!ay9OhBm{ z*cx7=i-$u-z;^jslkL(ik#n^^V2oiC1wWOfgh4|DK3dycCVse9YDK159sl6XRQ{bO zXjH=uh+XfB3gg1%15{l+qI&_=tO|1Y{kM(wk(;n0!>mw{D^_z1`ygGU)skunI;>d= z`QUinDuKxkoS~(*L@D?qw+KjgiK5XkdzauQP}PC+jZ$$KG<@8zDmBJ0Zn3byJ_19! zx&TA^yaLprnLY5E;7F&dlOx%+bSJ4qsW_@k%vJkzXq{GQrmtB>zRa@*+;Cj8Ri1(` znH$m43W|nX9KLiz4qMJta2GeHgk&lqBWa}V`&nq9 z5IC~kyc0eh)q4wkTP4a43K;aMeR5{GB?6wP#y9waF;avB>mjASD6k>aWSXQ^^<+z7 z{TxSzs~{4-(Twzz+MQPPV=}w&&!lNK^#brB56H{auZeHL<#(uQ4Q{rP)GkbW5g{4I zvgif^SWG{k>-X;GHZM9qA^7E|dZ{OOfzc?w0TbiK2jXYvpf|79uU+d5Q&=u>bj8>e}LVhpxGAEn@4X zem6Q8nXNVO1g!bD3i9NoZrjD3fbPmicjrhjUD2d9);8`4^Og^57wA&1QC>&MNHAvy zZz1OD<|?y8C#d*$%YP7jTYh%}rB3RNpoX$PunZ;9Eobor#BzU7yRe&2^Ae)m7Y(>(# zEjB~1YC_u-s=h4duer;!qhz$Ze&AW(q)qD|l@oo}P?_OpH$c ze(Rw)N9{2c^_le@Ah<8`#`w6dNriKg^d|Q~(&PcRIeXBJ{m`pe)$;u;>qXT94td_n zazm`{v=Z1Pq0>`j9w1PTorAa>6=qG36o)UKKHs6x!AmEKh2x|Wzw zhH1Ql)-P2vc>3v$s+v9=rFM{DCHIO@o%kW>sN0xLE{SDoK~WQ+YKb;hJ|u2;Y%52_ zK(Ly<0tgLP6{knzUdwUE(;D1Tg0bv(1a25G1WOq>%<9QI3>!893?(xg~N?IQ#4Kgb7*Y&pUdLFkf&I-ISEf zt{55cu5Xz9P{@IsN>z&Q@|{9Tg|bQ{#mN(TYhPuf#osE>HLdgkPjD&wb9@xLY1RA! zodMS;xK9Csh1G*?iQk{@Wkmay{WT!Sh;0NXB(1`mUN%T-fsc$Ej*Obj8;TW?eWL0y z1r+n_Dh$G2jkp!MDugt8CXwYWt36wNBY*33*oX(rCsbNQO05 z@LTfJ>RGQ@qt;-E3xH`1DHa^x4d&qMXcR%96;JdGW%-r6>qjE#$N^81(jtSvH19%sW6Nc4BFZY8ea}aoP24y*Kxpn;=@M zOP0KMRv!A3Y4VNihXdKv0U|GUec_5YIZQZE!I0Ogqrm zsq~0Y6_Kuj(t6t;+baBTCCTd!?~HK!Oy(_7ad;i*$@Ky(qAy!QfuD*Z7nYI0s+#oR zA&%Z>^a=RpGAP1RQmC+P6F5O>Z!;T)mo#(R;^0sjIq5TtY*)p3)Pr{tq79VtKE@4* z1ndyZ!$7HVW%9EdA2f-vk?$wJh_K`ZCve%cb3ib8PTa| zunr)BdS`SYTY$+ILCm)igWQ}6bper_*mtjJ92Dr#*}h+#hxkE%+p=aE;Y~K)?$Bqu zIAWBIE;x@IOxQZR@OY(3v!P9EEi!i<-q&`xejv%TM&y@oIgM0&B7Iq`kKZK&P1*9{ zN`7S}c+c6vQ-9ycLXoxJx~fBYKn|u}ZGe)a=J8G{2!q;zZIc+~E%^btfxXiCoKkJu zFmR&!*+rg7Md5MXP>c-D+smDDL?lkDhV`CuY$o!O3zWCl*-(A*ThWxE$BhAc#iR8f zjCXdx0FD-wXzJQZ-o6q-d0R7ynGN*y*41V*BYjP~Bar*++pBKTL736f2yiFH%U4Ru zc`|G#ZoA$AJt|2sZv@69q#ZM58J}lUMa>q*RIN_eXdTJoO|1+fy3Wwrwgsb7A!w?c zO(JCC(kz8C5Ef3fD9pnc%cpN9;yOB}mc>dMnj|5^6$JKR3;ktARTSrN>5&F4&B-wp zk2vM=%H^k!gR`mwGqO?e5acQa@DWUt3cEwKqWUMmWVUcZ920AY z4}dfba;dEdu}`Rd*JGe&P%dxoNvmS96EZu~jl7;~hCE0x z&x7zbj_Hadk)?oE`)2Ghj<3PKXT3r$6L5&lZpI2G=#1Z7J8yi7E<@z1m_hH2%y<%# z!dIYo$WH6gF3%yG?Xql4k*8|wU2`s+^0%Z;VkfPI+WUu6J0Abj4? zd;m~(fx%rx*A|FT%1)44vX9$*i3&nz)O$)Md(6)bJG&cHxQ*T8O=NpnGa)to>P zECOSbuO-s7c9sAXY+vWI*4yp#3?hs=84|*okzPr=N8`7Kdt8H@L)6D=0?uwws;rr5 zZRx)a(RaOAqEv1b*Y61=RlYz+rnu6uI0{2NFuD*)bwc*?(V=g zTS>V6xZVw=3a_a40FtROQN!8*zDhHx{WchUT46s@6Bg+KR9xv6sUzxer^jcq!hF=# z=$vbNSu(nZ4L%zDIBW_~UuADSDZqEUk#s6~(R5*{1R~6|HjHokTDqJD`b)mN@0N`q zbY7B)5(A|(;FsrhAU1K}l5dWsr_H{w68)fuKwWoQ(QWG9z(Z{4mTCdGo|9GC8w-aW zdkewyu6_hrbGE<@Q*@f&URuLv>I}#gl`o{rMa{92tGO4h_!fFhFtCeS zKnwDt&CS|D8`}k#Eu3eNb_V~TQNXb0(f^ORw}9$$-L{A6ZjkQoZlt?Qy1To(kxr!> z1tg_ArMtVNyE{M5x#w>8-TSKBd(QsG-!XK&>VO~fSx?M&&H1bqNCE#9$^nt+n0Y2Z z(X_1d*a2Z=EtzX>BKNrP144oByy(jpBf6v9q=a-OPCc3m`GCLj=1Y8QmjD&; zfIAGVMVc12Gw=W@6XY#iAde3^3Ig=e)GFBgW+Aqr+jn&IHsVf5ESy1$f3k=mD`Wsk zkniE7-k?swnNI34hqzeIoCGbqog6jb<+nkN1a*hMI3<{ec%ke2k6|-z9Eo{iU5{56 zS0w$H;$1@dc5~#_xG+RFOh_WDqBhzClss1jbcc?If-c-q-nhBd9D994vfsq8DlqK2R-h zrL@+uwuM+$76at9tjobiQkpUlR1U6jbWVhp z`NnYKBEap9;W>nZt~-V%EelXqM$t42t2l2e+NU~bS5Ny~8=J^TXN_P|_Rqu4F`DJW ztQ0egO?==GchVHQS~61$x}B}mhlIG-t8_E$8Y>@*LOEyo{ku44s|?@HIO2c`Z3Lxa zRsikK+Gj%bg$LikCv_%rawg9f+nn3-9BNd#m3O}PJ$$VF^F zD+=|aidX;T!LbH|iT`fO^Pk`UuiGzv2<~r4d45;nBLoBhVfa3(UlPE6tXuwatrE7M zS!({Mf4wMtzo5yo{gVFm$$tOt8SzW9*pI>e4Ke(`o)N#-!+vTUX8Ubv{%4N$pZRu}{?gK1?FGQ? z`~IZ-CF1g9gnvWa{(KC6TYLZX+^sS~34RwG`!AVz*ng`C_#X)$`_G)wKPo8qyQ>&4 z256%1o9O=eDSw%p{TSlk5x+kA+zuB8#DhU7k z9Vgg-MswKyU|~UxUF8Bi{Ob zw7;iJ-?tDkvC{v+Xx{u{A;S5ul96pa4*up}?m?z5Oemhd#MsB zdT@8MGm+RMipM)c4bxtcXcHBUFqo_>1E*=e<>`6O)TW)rd36OxURiswF)hmbfsdpJ9< znujj6wajMTq(4}C6k(dG_v4ZAX|l^=1o|{_SnPvWCsEYm$>oE!#_)?NW??Hmx}F<; zYR2x&DO`XrWTSsYTi&>sx^&5XH_>&NT6z8$I;Ukx;}>hr;lrc$Ra!{W2iK7B>8B_ zci8)>blyB39@*6Q55dA_EE|Tl_PQj=Ujr(o0{Qp-SNXFx?KW4{5-4`|@cL251CvQ+ zK7($iA62ABsu?=RbxT`h`SAw5TcnA~90R@qN#=k7izvR-<*rOX3r1~!+cycpkbpmm z1x9d{X0Z-lx*w{zz|U^H?zmKVUfaB+)$)k=WQ?AY*&dBm@Mfhw)8fVfZ(Zrw+5}9S zKwd6DK@w>wK)?A&MpwX+!wa}S$J*sS{$|}(qLC?{QOh3diUxK@_?Y^qkY%{uR zfy3e4SdD6xP!PDlaQ_xD2jsG~kB|6%X!(<)XPm;D49-t*oIaO_%ZJ)ev?*8hY$;GF z=;Y#1SIAs(f=K}@!$y~Fy&2B zBhwNien=zjfAzJ%E~PKGp~tMK zFY{FSME{N+lp=7i2`CvD%o0TtgMOAC8hwYN-?tnAe)%$~d(Rdo843c`s4UA^ewlkUnsKT4wLQ2w~1jG=Cg{c#|l^}E? zVFQC9@$&YI@*Ey1Il&|As@{AVosBcI2S$Aeu8N?#cLN3p#k?TLMabJp9;hH-^bN*~ z^$#KD_U~1ZB29KD34H$v(7icyIO&mcP9c_R?VPkvwoO&KPZlDgkn%R!?JT?i-EWi^ z8`Uq1ijd0d8JtRs#~Oqch>qFiEYGm)l#@!US~}cRi#c<+MvMReX~^b#4$LEpu;_% z=ht|YaNd#mV{77!z$5XC3-bqO$cwkcpu$~QOZ$&b!Wlso1At(DIraVAU$0fJ9niqV zpT$cq<^`{g-PfK&NKZHPu+Md}&2;177Cps0wC@JUrcwV|34o-XCIMuJdU%?W(k@)T zyPy%FxyifnV+JO$iQn@X5NyT0p3M5?2J=oNJ@0i|p6bR-foLJ{1eLX_%iBX{+Tpf9 zld1%^2??t{ALTxOwQXCpd4a?C+Ep?d!l}D&IgwC$m(7ja#GtM}>Jf%cKqX!d&*`<1 zC(5N0A;T*Q`aa=Kc%eiXKqpB-JKxus-5BcygiiwMp=_CvyS-v(RS$m>;9vH19QAlZ z+AQ1u1_HPeN@^Drw0A5zn3yAOY)4720!k08j8vQ;2M;ndKrlui6Y1RsO(4G;mYc|E zFE!N^$tC_?EY@^|%Q)0!j1kzyM15PR#_M}z$mBJg;L$_vL)vK^;tN$OIlJz9b`>~x zhXhRcQLcHogUTW-RZ{5kd$z`f%(^9?vJoF44QsC z;z5>^_$31_r&e7C(4r;y$;S+_r8WO1(zAiXpQ{F2c#f`7cS=xZz0h|OdA(TLNgkg|x8yJA0b$cENByB&wC3sgsWGFHBihO%6 zRHnaDmX9Pk?p7?X!xa`NK_8yt3Q!D})c19&n|ioY<; zpqs^l?X^eEV4fk|ZZn+D|xW_})olPWKZ;@gA=9@(qR$>l)cp4kY)^%3r z_pBW_P6o*z2r$7LSn0Q`9;HgM0`_4Im=pu>05REk#)+lRudbM?PhEAga&KQA-q(4~ zxw9wQWcC6SEs&J~u=S#LtR*a)Gn}DD$aUjZK<1)I#2u-v!Xgy7(oD##ia%9B64`+& zSxR!H86c9_t%Hg4x%kZTm!Pm)?cnE;uu&-Dh2)>JE!Df!L^XePUC@g{6fmIdb?=^xcS#ZsZmN@YkXnp&ng{03!X=^cID9_bTp%Y z3lrDrrP}sO0{B*7poo)m#&Z&*oGe0}d>&+;$|>Ujny{<9Hug*c-M}Qk_s*8+*i(AX z=o!Z73C);hJwO}$oTkJ3Q0^Mmvl{o7zZ1;H;w^&tNnL!A!|ZCBl!WFrEE%*Nu$e_XnfpxHSdV}pLf|5;#;JO=63IwoxC zO+0Ph6RkkGZkU%FaurX)5VB*=yk8g;=4;9bSgRI$R;*}I@(U${70;T!S0WyMDG<}- z$e?kCIH2b>Cvyl+rR1OjD_!IE#J2j_zNM>Bb3$>l)XgV_WGqsEv$SXvruR8bAeVazy~9TJn# z1`t`M?Z8RT-cVyduyxdFZsT)yY;y z`67xDyiWVDDCQIbsKgT!YLhfjR-(05irF}(zJ-RH#zCyUj-?z+*=RhV%Sw|9Yp}gF zgWc>;#MYh4PBjn%L09pCS)3Z{wV%G)IbFrqee7f~sEuJK7M3dSPbe)RutUT?S(X0) z>L!CdJ1Rg%NJy8J-_qO(IJ)!1Vb}Tc_V|$O;mt5?nB+KqOZ)ePO6F(U0G(v(Db_L zvvHQ;JNc59U|uuB`d#Ox`rXuHYae7aK6e&;N)FS|W}zJSeFE3!?TW^={RpKV$ca3p z?}L+xu)miua;K$cB8OX%52nwj?zKZ6qCGDNC{Ye zx|=8!uUqo{4Ku1yBHN4yg}%(QN%yj@KJUm%#L}VGgRkY`me+O|lNYts$$8$Euh0aH zAYp~(>lPUoK_3d<1&`)xIR&wc)_S?fI}Z9D;qpxiCb@vOv5uQSuroogC}~lsCXqd1 z^YS*#qZaXwQ3J#|dVDB#s8~15QDS|Mo<3`oEW?QSc>yh#+>WM*e2`2cM1xaV)wI6D zKaFZxPS$c;65wIHAQIG*OA7SgPcv}*OmYA7Rr%lYo`(m3f2H1#EpQC+cVDvq`T2i2>-!V*e?v;) z&yv}1@c+9x)4y^FzGT4kFyF`dOB(&}q{08K^3E@7W*k4ehWqEc^54{nKQ-I>StiL9 zrlH{b1My3V@ShCC-x2UXrPaO{@P7=0D=QEC_o4n$3jB9aIsOxM0Xcql!IkYVCP{x5 z?*IOO7qC9R?~lc=<;uT#1OB(M_#48`{8#Rw|2I+qfS39of>hrJ`)ld(Z(#o;y^1;h zk+=TQ&1RNAVg0t)f4dj^4eRfoT(PXBzK{Bs^5wsq?*AL=zahjwQYnA$e((k(?)*N; zUrK>9F#J}(h~s~`5&Y}>_&-w8KMd`kRLXCm{XNFt#q@tXt{S`z{66;IC&Yj1A@~XQ zzazkZRq$%d1f-$A^z8=|8+X{W9SHim?C&G|HLk_@n->4l zcl+Oci+UN~pK+}}*OmLWFaOpP^ndKcfBcK@06>h^_u>Bi^`CGJ1GqA$e6JPmzkYDF1+Q z{J~b?I|IP)o775~>^dtwa#y~>_1=zfVh{jRp<3($Z6pk??OcVhk1JHWRf{JjYSH72M{~E1g z`^~n>_Mf0t3_qh)tba;jeT()t6X$PGzw_YAobiLz{AM#Ho`1hgyo_c-X;K<6%@CTaL#jRzt$R2kLc7}H`+fw=JRB>F7j&JQ? z@P@V0BNe3g%OF9_q4h#i-NJ%(Pv!HIsuHnqwb(JX)DbQ77d`)PX zZLpcphB0wlCns;ud72xw_gJ(Rj!R^#%K3Q?)6P@d13IWf`v+OcZUh{g2hjbliY|A_ z$tf9Tg=KEuvtC1SibJghK+Z3%ln%?)i(U9+2M*2aUh^o08(kq*Nz=p=_44Q~*H$Zo z1CwDn6!BuSSIT3?0$pFJ(h6NI5yC?5vVxxX9ISrGP6Vlg#L}O;&U-Uxdp9ZNGXgI& zQ%EJqMG00a%QVN!61kHivdflV%!GzS`(~nP!ZAM8Ba+@H6^~*it!7J|;oRq1Y$i{CU%^cs@|8Ze(d(m>}uD^?I01Wk8w`9l*1P7cM@2mIcvp7{=tsOBL47s zHk$rvPTp*qbU~%dHifOgu1ehP(aJEvu7>O$DrV;iM^qD<$tv~sY%MQC7)ZoG{wf^= zJ&%mSVp*xUERv5UY9qQ@BDb9FUQF4a|K5ZhY-R{1pU!V#KV_eNaqjGKWj6>*jertD z5>(TimLwgDC?dtj{PLypN;^x55$4eVYZpusvg%sfFh?&r-8-*sdf3fMl-{97dk@ck zG~g^}mqs-cu;Jul4SBG}5mQCYsYC2Vlce3D@m|FD{Ej9kUSK{|A|@6;+)wcQTT<95*YPdQQYhZ@~aU8Bo3 zQSD&kTz%+??I`4Oq5>KLuwmf&;6jp9b~Zg>O=k&kQC7cJX&p#WMK>HGJ?)5gbok)< zU6dAt>s2$M4V2W6N7z0NF$X`>Aa<09f=II^tk|K~DFYU79^0l?VQC`l`Bb-;rgjY@ zJW%Wp9I{k?;mFjp7PSNw33q61UlK0efh?4nHejA0_B0% zyCy`}f6S0=^zzk(#5=Al)rAb6_6qzdZNe?fo~r%GJkGhRh|7eI#FKf{#HhJ zdgVb@u1mwy?@0w8 zG*y7{t+moqMX`_4l%jA{>V`-ijYg}~t@jtv5t>RCc>MenZl=@efo5P9b=ZVr6Rup? zLhy;j#;7xV<3i66d}^j*9;q4n4N@`JIC-m3T_u1ty_w=z&+MS)IgYHngLzS#Gc*sg zF*4qc3Z3m9v6N}9)2b4U^aQxfel-KAl|9gRm#goqXDF2N`8O0I^qW$CT~md0;$J|Nzjq`mEYza(xOlC(ad zsR_Cfs}-RF!?GkuAcYY~(l??XSiL!Xl+ZN^j*_6uMGH>0%MKdOa=39)Be3F&lsg5K zB`vUD;ZG(WtsOx~1F-TY9Qs{kdfw`Nh3vJ^be{0OU~TU(hU?6fRcgoR0J~r(+@J^K zo&h%YtS!f!eUQjRsp$&(E8wA48vtnC8zmc=AQq&7T0;OH4`8ddHxaFerUH4_qXpEv zaIY~u;;(RSft?AsEF|DC2d0~|thU1zxL}T&6mKYzFZQ^k6JeHj#K8+%}q|bKk2c-!9SrHQ(M+DZwRD{voS>4JM4--*xdtExeF8?(bDhw zPP+y#>*r3`vQ54kg92MUmE*lCIv%Q8_Da@I{Pe$|-G&@@K+Fu^zf;Hw>?82@tm~90SnwBD0ub3&;^|2oSNg_&OxJ z4D|RETECHR^U3^^!fKw$v3L+fRkt^a!fvtA^hZDC!#yb1HWChT7_U{M%fJ$AFi9%i z`?9oKTg7Zn*{CcnXM;Kv7%Q4n3REJI>Fx{R;|f@WU=M=;I5b-(01>C-_gWLm<0CkP z&=)p~VRds)UwAO1g3toAc>$Kk!bE7A~`EKsJrex?*p_(@J zSlD{mL6IoPvy!dAT1$<{sg~)(mtimlK{#&G4(H66eW+-oz)&`+`7eFG&1$4P=q;fr zVjX(g9~*k%%ZdoF>ELX%9?2@QgQeI8nSeLe>hhGHvS#wioG?LqZeg#DJt`Qf1}6a1 z(}UH9{kNC{iwiJW+XjI0iL&lnjvSF3uaX&!@(LAKy}Qprus1~t=5bpuK8Lrn`QFol zJ{ujyqt~^35>5pIX98*I9{g}@?LvN?-FsS5$;=3ckRL=|**^k8zK@D7&g^ZYXa%Fh zl^sg4FZQ-G8yD%VXJC{O?G(=0Gk6%moH7?ubw?ZVmj{>J(9;hB@2OtnQKAfr*+LV) zMp-#JiYigFJQN7+nRpQR1C`x zcV(u0OWt7IJ|*;Vi0Omx@1d)F0-}H>z*(1Yt?!J9OcQ{vFAof~yju9Dye8+5YJ2P0 zRcQ)=Tkcg&tkecv&}^*~b*=D|6ebXSe6L7Y zUUszbl)07df($dU&NQwEdVB%-INV@qdMH_2zgLgi^ue9zA=BMzv%Qh@d4dbB!3hF* zCmh$0`gr}92LJ{ETaii3__Av|#xNNd&bB73dtVmap}!)J#$U?L$LfuOx)GV(boj?V z9#|uTL$C&Rr0n;ccsX ziJpt3>xQYp#3L5eTvy)i3Ifu8BVOYnNx<#D4CH?3C;A$%XyFs?6+7ve>it4Z!)%PUFvGKo3QsS#v?IoATOeI1^n-4bp(w-#|+Yo8uc zk%(_apXcDxOtPMBOKNcmH}_i4QUY}YoQ$clcd#7L%}YMtBkhCEJMnr%W63_&pxp3C zvIi`zN)5umMx*LIMT1_vj-?u13TfZ-3`MNL>#;l~keW69@&kpd5d_Pp6B?t*PrM;- zGZEnZ1>Nz?V|l2^L+Ht?d^D0LB{B;6;vV|;8+U@6J{|RZK$9BJ^{P>GV-jo6l8%|j zdXMSpXA7WR#0pC5!-4*(jWZSC?Ohvsb@C(jSM^C8u35vS&`*X-BEr-z`u+N3#xRcU z$V_lY^~lIKeBsKygwrhh@5NxKTeIdYDhnxWb$F8%A0K6V!FUx=q!CaAQQeu#^tCsNZYvz#vRG`Q2YVYK-jAoqOEilH1%8$yi?)mrWJoXu3q7Al6p(IzL_o-P3g9EaXx%OW@k|J@3~_&{QpbiQ54- zXVX|I-*Xv(WL_w^3y3Z9~FDDs!YwB7K_&bcU_| zSnxcV_UhMbEh9Xg6JpsuxN8l1z zES`I8oFgZG5#@+@Cr8|$hLT7^(D?l`U=5270a{#f*CZgTZ(%=s(Zr#|q`&nAtdSnS zJ}9OZIw}mO06X10nrm@2KiYVX5nB-Kw$V4d9eCw}-4TYonkS&Sg0IH(YV^{aqoEU) zlb#}TK86k=m(TN1Y56n741L+4d3Z2n3qhe43WOHSg2g1=Vy}LC5&$h~gd37G%53i( zvoNa0Y`oVA3v}36&Ll%U#9V*M!z0)O`i6OP6n#HW2r~Dfmu?}{x&rI~dUuHP-bt!# z`e_F430)Eg^0tM$xtNr+EQlhoF;Hbsm#sr2;e_ z!ni<{xrYcQqu}TF3N|c7=kBE&&MJqzYGEKq{%EhBdv^asYU5`l@nF>x6EVN=q(`m} zslgZ=jcSt0&@%n@AZuCG_Anh20}RyT9YSE=qDP>vnQIkp!|tjbxX5c~lsEQYQHNgp zN?G+9dH`}JaVvY;f%||Z&j|R93Y;h%E}H4mdIzh}d#&2=(Hk=Km!b$(?}O|?7p&qO zHL%w`T=HXq=@3cyrb3{p>I2V8j}ay0L_Yffb>+4VfQG$HHmHg6&k_kQPIw?S-~$jS zT1T}O*?8D77mOA~DnfDXN39QJ8#p+x-M<|_Pl2S0;VF+kOP*nVYV6+MlS$lME zxQ!ubI31hbls3RxSkBJ~!Dn5;(~4t!>^QzXw1-jhC9dWy5`5Ybn7bSqH81y?V}8qQ zZ~GY+2SIb88-zbqCAuXwD=LngyuEBAO5{^|w3$6B)21e`42i8MHv5}Leq-7l{4eHN z!f*`BOrQHnNY(M5(Y}NuuR?*`GJnQETFr@?v_q8q0MnbN{Pqj$4nw4vUurs;fQ;p} zEjLXg`G$8l2`9HGoz9hnxoY&b+)l+xij;5eqNgBvsfhLJ&1I~|Y5?8p;cj`&LiR}( zCbd->VJg$v)oL7?L6dq<*!B{)do|Fo+fAF?iCgB|u?XlDVN8pw%B(FG<}?czK z0if!B>%2{gcD3Xr4R4j`z4pp?0HVy6A`7%C<4NJi=_fj{`v4;GO({EsqWOG59O&Ja>zG8ryh^D3u8Vqsk-hwj2|qFER7y zdeMD$gYeZxUcWCvJDKWo$p)X+LfM4pNCGoPs8pi-a=C2Gpe?c|XqT4nm=Wy_4|;Gx zc+^j107Nv%LI{uxqVlWf${TQ}VRb~qilbNVnQ!u`;aH%@0gl_}i=(iVdRq5kDj;V+|p`|D^1O=>Akw_U6 zGm`A9X{nX^#k1c(tDHye0dEL^nDgQFsrQrnYbf3-rkPxvpfjL$lU9r%N~-DA8k}1K zcM5|~XjJ4K6e7G!iU{NE-8mZBG%+ofvpwfW^5`M=bwDlgTBl~d=13vw{bqxS!7vAM zC>>ki=preZ4Rl>&xnukq^y3*$l%#EkTt??_@xtJuE9E;?uU|Tw zXO>eOxReplsRW*1_IuwHlNFY$q@-S<5-&qx!bt+V7$!x{$h1Lqd^22PADMV)QpPP; z7|`WpsgLrRY78OjDVd|oGocK6&#*WAm3uqT7&Na(d%P!v*x}Zfp~+4%fQL?zHw1J4bui&8UZH z&mg>JH0tf^7-6XeoaoawMhlQ2$So5^a%*q@S2qAF_?=Z2QUs+;?4hhqvu7-`n^x~2f7Li2h$%a(s9pOV{^RdDi83ts`Ky1`>2>8 zVPI74@#aql59Yz{^o;f1y-|uArTN(KrXugz8v~IzUQN7z8By)N0=7!YjlmUUJ!s5GCfcB?v*$7yORz^uNZqi9+C@#1c31?@O$L*R4nb1Bbr&%O$8lJfKZWzmYx zA~bNb6$>_Gi1S7_kMS=KX5N*x;}35h7m%%N`tVk^ zGIC$CCOXDZgsXM!&B{11X&V*OoS?M#JPA3UUP<~{oCjF!i+pICnz|hmZ(TLHHBm~| zpt!Ts$28H3M)}|tkK?#7LnuyhPG?HC$HUKW`ytN=b1(IvQ{k$~xG#H4XHpwOISI(c z;RC7*S6lw2b7j+OPWf=BBV2OY@r!dET-$_FHHP-p=C7$39>%@Gmmogsf~-;oWes`L zN>uxKQ||$D*~^0L*}Od-_mFE=PWuO6G1aP1D9PI?U-N%)M0SsY@2;ieZ{cXw(k>C! zXvU-5D`94y!>?Y;k^kwG&7&5rDiL(q+!hxohg7)lTQ(;pUiw=Hi2$4&h=l z+jdlm)@ZR9X4^G5ka)6uv{j%5;{w(OY$@xRhdKc5U~mIPSDZa$VChF^3;pcuI8Hk) zxfg$unY1we`rIlo)dxEB^>V7La}7x#E8jx&g5*j`Fb(Z0u4ki%FW8sv)li&%4&H&0 zO!p6Ir$KcCG}nCzorC1;2#f5HwjI50I1h(uP1mT#076Z}4VV{vbMDirB7 z=F#h92o)m&28IJ*Ns8T&u#c1%c`T9^F-=nFhL5TBe9d~SnmtbzmX>i@PXz4*gY2O% zjLTRkr#1~hsL*P^#HxBO^~5eATwpVp*0$6NAy_+AA70+3KO(}x>*({LF~N&pfc0Fo zqiUIIr_v#>%A5yGREzm@vBuBW-9FDKOUo`-L%YBV0rfpW)rVVsM(ATy&rBIG%alUn z!OE+=!Ma%GjgBF?T(qR9EIY!sK)o0tf-?h0pJftgYnkiemFA+lPC&}LCu9jbSd2?$ zYb35SNXZ3wWh&wvLJq9uN^Pq+KfXcD#^qqq9F)2hL?gu`&dMHC%NFc);Cy>cSF}LO zf=^|xCtDq>Upn)24He^98wf3PJU7)-jvAw=z8DZ1Wo%+x!33S|e+8Pb`6#MsU1{s$ z`$&b!GuYWZ9&|v;H2+x&AT>Q)h8&#&DN!IkDfR;&Zk&772fs@x&QD?)*-apsP!?A4 zG>^fgL+h+D=_r+%R7j(XGdSX!oOMQkUlv4!0z{J1!c2MRYnJ6Th^MpLNS~P{+;rCi zWF&8uK@Rn|{Q=tnHkJVlc@N`i91y*YNrsNm*$nD8Mf9o+b1S=Nn0bfT7AqMf+={w1 z?=lJ!wbED8mV6l~p&9}m#ZpNCX&Vp2%S)9hOv&c5Pr*zwlp~2}yMcOx3iYrO74lD}bzRQ{|RGf&XKh7J|Z9ar~%2ymp)O@cV*$L)o(tCUR&kC~V4)%a*_f3CP}* zX zC-hdXqe`+em&$zjvkpD!3tusB`T$t`iZdI=&BqCFQV$ykUw&117D+a0YJsT`OLoVv ziV-7w%9lVagI~3_zw5A>z+Grp+z!ADh$UuyFAZ20Jlmh9)O4u%Fov8B@FC*F+ZXNW zj;ArU=)LX-o=HRK6N*Zdx(C+Nr~pVhz#}ZMg~gn_u>dvuyl^YMWxVc9!}fAk<5wW! zd*hPqRlIVGm|({jz(fRQbywSYXY;feDr`5PH&EiZ9u0o*;+!3%iP?d{NC< zM%?l1#=XK6RDA+uWF7PL_tx7Hs2l+(Kp0;dA0#+UK0D-ONk+Wk`z!;ss3Ty8N^DtL zLU%vTB@M{bJM+~EEIWP8I)L7lZEr15n0ysDZlMP&Zu+gp_~jv5F?9K!Yim6q9STQE zZy-oRpz5Muw}11wdH8Mj-X%ZPJrpDckZC~<8_mO$2MrF%$<#Scy`ZIRz2} zn1_ak){=lq@L>a#6J@J|r0aSE?Nk@ga4Q^2GzdGh2`x8jRyC++{5YjSt}XWX)OtT+ zou0UN`m2Z2`IjbTaw40Wsh86eP|`wzP8qzxQ?@Focs2;(+HJR_EJ&Rx?D-pZ87b2F(~~k%6^h20Ggh9Wb`4L?Y7bu9f?^Gl5^H zx%IILHNjqzWqgIvGNhl^RZU;|a57e#Jg14<;nA5ElSxqS(lq#bUN=*Wp+kn}W`~~) zmmrj2=`O09A854`n+G-vJvnn?tsJq815KTQ#A41%)K<*my@J_q|k|6(!^yERNoDnrd+D}HF&FIqrovc!RX9vhCsr|gGS zJ#cqIiF8VK<=g1zqyq8uWH5}gS=NB;XSVoza;Hxq6IQ=8<~pAqj}}GSjDp;SC*qUK z0w7~jGNC8)3Wq?;OTOQ;05mEt;Rp|)lHGYFh>4D80Vpe_g7x(^;L*T+bX9j9i;5wx z#>6r}(_w`6Q{U>P2{X=YN#YV%iJVfzHU0fV0IWJG8F6b+^S-$k`y{Ftu>3Zpt)ktK z>0?D8*f17-F`l_UiONXC&A^=K2V+qGa`#?1&dCPOxg11a>F3PAE1&eh1l14)aZ@{6 za4`X@c<8jGW`#x(bL}FII@40D`deN%w`1TO1#0o|g$Kmt&hbiim#@V)#!nzLW`;KD zm?^MfNqH_wQBk`%P04vK8(V_LTMLHQ^uU7`ZQFIHYH&$zoU(aoL0_v z1nG`lswe0K?g=d>sWCrXAtM8GTxV80W}Vj_t}{CrLGv# zoaHuh=mFYsOpk;LaY5xR7j11p`7RIZt6iT&Y38fbkI6#Pe$ttB0{#Eo{%ng4)N(HQTxJ4x?}4sDq6hT0HU?c#|rD zN@7y&6P|;Gdz>b2?ZSk4OHG}5cLc;6t>sd7n<<<?qaP~<=|KC zOA`-H@`0slIg;U9nL8CeYSLk|LgY%7CZua`kZhtt!5?5u{4o-S7alHw8Dv-(a6n%- z=UB&A@(!UDFyQ)2X^Vx`OdVk!D8jQwEhO~hA{@!z;!_5sb#^`G)?*1gl?+gDouf$z zC`EPFY1fpwR%9ewa@yt(DuinEepX0QJgum3MjTb2@k#|r_xccgPUPL)9t)22@D^q< zj82I`|HK1EP`b?r<37x9Lb{|8rS6gh{{(jRQKj?l;Dkd3p+(iuX~O9?OJCM-0sj>` zrS1B1G^b`+2>)f3JOYcSi{^X%uHAdR2E|F>v(OGBdmMslSJJ{!( zka`ib@SKr80KN}fK(N!gI-R7MXNqWoEG&}JiWDRgRgc(^q!K7pABBYZ6BToiR=s^E znI`FJsqqLzG1tpTQ68#j5n6rgU!Q@qQn@F|9IJ_-2n1lPimtquN^IG zds&0iMx(KZY=MOF^$n*_Z@K^E+(rhuh~?OH>+KVwqd>mG z%YfvC;JB`tYaD_}nlVEU)^Fv2EHMOTjeROb%i@A!)5cyzvj~|UTHAGR)>_b$%^O%w zMQ<8>N9z|^Mjp#7C7hdYXudaZMj3f2mD-&oEA ztW5yNc}O53X{U0=hp)QDW@&R_dYa(rY`}WULMvGuJk-jp-ZsnU4GmP*SLvi~8d_3; zR_q#0w`ui&o#F8e#yt^M^b0mzyoSu#7RU{ygfTqkfm)qo#j7cVuE}jhAw0i|80>gkNAD`;dC-1ZUeYyu3OX5^*lMI=fCc$p0@>jdJ<6C` z0a_5NJIT6^B)peB$6!@N_M%j*iQIs~b{WY$AU-BgoQf?lNv=bdKl%OJ6o~%fhZeUR zjBWmtP;iC1(fb_X(E3|ik{Vtmznkky6V@aZZ!NtX;J&n^55jvI#jku}$1QA|PVFSK~uv5*& zg83$EWb@&dw@Nw+1xT=>-9A}PDALgi!+z6aA{S(B{GoEBTH^)D+a6Fub$%bU{IqHg z`%3(%UXCEG`#XsvYM{D(*qJRhvvfEvT;SJy_WASn;2alw7?U)*RsM==NTVW=+rXsw zoz{<9B@Nrm-m^x zTWIr6ZyQum>=_P0!rYG%zob%sqRdP0FU55uexn zT5CvQi7G4Cw&0`v=RP((M=hvDV$}A8C#R1I(cR`R4T)fw?{pR6zCp{} zAO?cKfE|9DM4G5?p{>?1SP@UF5G5$46ZK^;m3`kp7_JV_c|ARTl6>qsNgZCoUG9uO zYXp4P=?k(EhrfJME3?FHGR{ye#i1-Yju&fKiVSpoX~K0=Oi88k!c3Z-?P~)o?N&)p z6G18XxU6>|92D<)YnY|KhM>sy*^sFqnctHd7x8WC7c<5HBAN+M zx>3NAd0^KpH-n5p2fkdBj#*7=w90YnV9`V}!y3C)|EQE+_Gr29xDQFA->LC0C z&LRGNv?No-**RJzlK-5>{iy@`77uO9`&xYVC{!lh&-YHLyaXR5StJzpm!fjY_E`89Y9yvDPOL)*D$X?GLm zc2W6viy-@Hc}||m-idEVe5%UG@=R#T)>I(7jX_kGUXBJL(6aR3cOxOACk=aZN`D3ZfqkzNv3?7bqs8~_HSvxw=STs;qS>jvt_vvj-cDfzl*wO_ zBM8RwI^GR=l`LmkaT(*l{$k0Qt`e7F;&)&%MMTye3}$7=;3T$Ew>P<*vc(H}As%$N zvZDohUw>y!WP>J;;05=NUc2aCLGJ`1TIx40UW2&c7fw!&I~>^Ad8(V&!QIm04VmT3 zXvg_~nlD{hy~Gm4XvU$!W6^>qQ#}cLibOs+2p;{pO3?=wPcv*)m9M9(5&P1BBucZ` zEQaS1WaYv*;$#jr%{<4t6>r=Lj6vtd0Wj}OE+J+1#neRY<_zi}ZmdjC?X3peWKaC+ z&rPenIVv)he7uPo7bOB!;I5XVK`_L5M+Qv%b81X|%0s*`!8s`R?6rkaB%qo?Jj^3= zY>2cd@iK#5rkm)d^O7#{3S>ddoqhYnSeiXa?mA7ZdNcjHn;=;K#orZLd`pb~b`)n%+?6MvvyXr+NBP_xsUYPI z*rpXxTL@*T<|{C7)#*o_W{8ZX@7WPGk`AV>^KHPMP#mO5n{M@V{^&%wN0eU?!ps_z z9X*jV$?FR}M{RBB>qwm2J)}ZFjFk=tr*o*XHDG(F4t7Q*Y>0*&IG_?_z%xc>->8O0 zk-1cr-K{cH@i;#&P73OYh3TiZ%3nP-icU!ag^St6jzNB!=9}!)hgan zTH1mlEVH?of*CQ$2_If6w>!pM!a@?NExf_hs;$70@=$^$xfH4$ovWR(WkJ&vlA#dK zJ*7yCm-Fo*77Fps${|$ZTKd8{E91?ZD}BJ%@G>ObxuZ_-TO0wo2?kEhq+rZ#WfInp zAHPg%RE+EWxCK=(%Rz$-UUEz^EgF{cP9Ccdw6jx>MOye1={t2Zn9Bx{DD*uEORP!_ zd$YIZ)-7lennU?eo=_ZP-wz7h12bJbPd_1H?7t;nCYf#?sa&B_a14OaAJ%9$yy$yr zzF8qI`ii6kAV>A4?#}4OGvrjo>XaLt!V3u_9WzDL5B z`*!7!%$}A3&|%FZ6x^at0H$dNe{TNrarm!FYpRF}=4hH^dMk!;39OBwld;YojI)Kr zBh6AOMg5Zk8hjmH21Ug>C-t!Yv4(^W2acY0pw&*d>(D8f7o)~~Vq1j=`kHli$fq#BK1zY0O)dk^=2az-ObIdqlR8p3-Srt_V5 zPxnq=OQj#kxh=qu3PcsU^F%a$*%IT@+)s-7k}eF`$)FNiy^5>1c^iZ5O3fO!fABN3 zOsqZJZ^~hfu{fxg7vhi;*V*V=PR;e6z(-{42LPj0%5mDvRr!_2+#{jU8W^GPHV#1S zv$BwzzmdWZyjAhRvIIEi4Hkfn#pQRiF}M&bgX!m7>cyuOtdA${WxJun#3dCuI*dt5 z8Z;gug4%-o?$;Zz&pmz>J-Y?aU)^3A9tlxuBc{aqYro6EQL~6M$dq%j@K%;2Qj=+l z=#wqr5%Sc3h-*F3I{h#fVG3cWQJ(Sg<rJZACPO@yK2uo^wvbUOav4Vc-39NoFp6BVDEy0dxwSjeiu z9}p@U80+J+b%kfloEAi_;3$?%?;Injvp2^6PB63>)IMHsGhE^d??S`QI+UZRmJE?E(9TvZ2iw6QhobM5T)F;Sb=P)CETan4b8;~dnj6|}~*j4x99 zdu=umDcVqZ(+C&EymeIoREwW=_ICe@eh!vz3_BBE($Z=@XsCYv?F^q@8$*`94{p0; z|z73;p%_QI+&(?|8&u_+-GR%M#R!?JCjN|l?v0U2frc@xXv>MZ}Yjj}pnwl#pMbIo?Tg}oyW zp_YMK)m?BiRT9Qsrv9Q)3EuT!Sf4Vu!ZrFF5z6e_IKxgaRwWl3!(pl!Taajd-I5ZD zA3xZw5i$7Lc)%z0yW<<;~6|@)BeRlFRuc4fa=h zzUgCae1VV;n{+cn(3$G;nxA{qK+(wwg0+%j9_^^r)GB{d)`0xqHods`)-Hl$swP6u zvWim&efNQj0hBhdy+{GtMhaT-7RSA_nt4?cXGjmQu=~Yk&Tdu7!qm zc^8w|#1GstE$`zhNMeNc%O=WV&~s^GG77WzTKF=u@wHd(G-wR+l|Q^C?UEEvc6GU< z$i=)bJEmUh>1jK^xD(i&R_&&cVB!E-g=Hjm&-Y zN2n>6rQ7V*zF}%qB#%Bbd z2q4YI8Y%u-Ew5lkkpH9M^4e4K$}VKUQb zX=0McW@WMudTeH)0-iypz6g{V)Z8{i9~*{zMo^AQ&%>7OC3KWM1SAYRgco17weGAu z=b>Hc`cbxv$-VKGNNRf8#&qcAH|0Qa_SSZZ3kwhr8|E3gxv^$J3lMe^g(4#WW+}Txi)>))k>Ks6;Cm*e{j$?h#J%}i zLvBCG;`m6(E@*52b6X&#R{bOZEC|~$ary1M*ypv)ht^aCnjXUzj(ztNopB*$Al^oOq z!@`=y*T041<@^o`WeCBtOd^^Yf+GVEa-QYp7nWj`R9`8ewx1|ORPAsAc z9}wnGP&}!q$H&aYmKxNi=FG&FNM>Uttt-lz-DtpT?o=_0QoOQ}WEVP1gzV8r3i*&G z$px-`yfRzheT_!64Qg9)5$7-qZyaRjC7wZnYG@qk_WfF}_`iM+*|>jUO-tq#@$LhO zyU0ZH|ELH#Vr6RZ7Pyme3FZ-(v4zc)!T3u_llrrmWT|Zw@$cM2eT+^raK4C9#dnv6 zKGGj9Ja+K5WAH~HziMr00k8w||4i?CRH+{)8Qp&((Qdv%kUML=t$O-c_e`YJr}?dNhxJu;Gg( zdo?l$H_*t(97Q1c8+o;md%9d4L7Kd+x64jbvJaYf{yqz9ia~Ipu`X~h%V1gZ>u96j z^6G57Yl(zT7$;@h{J!cX?(FWfzu6q(8HiMO5UBK zNMa+&$or2ID!h5*l?tpsYOc*aDoBY&PNL$e5=?picA=&4DXFvQTKLhpR%756E&1H|YS;61RzrgOJ;yy^bb!#{Gt!5;;hNYPFyJWZb7A;~3b*?PGoPh(MI>=h9DCz}37=~s zuR+F*Bvc`4>-N3(a=tUS5{w>NUoEB=BP>KNr#bgpe((D(Z0g-(~Z-{{+@8CTKe6B7x4IjBE^5Tn&2^KM~ysw>dZ@@tPv$ybRAVqDQr@w;q=(pw9DF0<9Ld z>o{oO@}Xxn?lp_uGEjfI)l>2!2*aU@B-H44=T|?GhO(hS-qcI!>O>!S8lrp{F@b;8CF`^jibNo*OTtiTm@M?0-^+{wa55^WxGh5Ny`WI|AS_@BG!dtlX+|9=g`CEt5v6 zXOzaFrZXh?P}xkEbl`SO*K{v0@eJaK_hizW!e2FqByH`@!Mu-0gs)2VcSFl>ESk*( z;q7}7Vw2nId^=4F#xqqk?4SVGj2irixw1;#bIef)+}Y=%;+=b(hsD8OWiJ$O4{ucT zPYcqX&d!pe`9gVd;8-Q9#T64Hza=?J|Ls#2LFSLLj>XW|MS6a~A5jKqyEyG4Uo+I~ zq3Zs`73<@8)?xoCCpp?*(XEo=*OLwjl-J}8|CTCTMA_P&!7v*uBI>L06X1>sDUC`km|^eU9fe}!Vuid1COkK+IbMcL_Ubs z43sS3qoz4j0#`OZO-IpyeG+T_f$aXa;-~&Mw&)DpLLp=~NU!@XVIbn&dS*R;oY@>( z|KajFBT;Vnjfxs3gz4oRDHk|$)^S(RqRg1CA%#C_{9Zd_8VyRPOXL8r;icTZx4)Up zaK#m-&|Llnxml={+M!;qvLV?kXzIc-|8^#)3XclGm5`L%M^ZHx?_0&Of;m)5Z0$D( zt~NL4dt5Ld@knV#jFR}7K@~YdCYfmteEKE%goU$pa)%;EQ}Dgfl5;+a+w?0}+3P%N zepKXOoSG2kNibJA;>4efsB$h!B`Idv;hN?}t-&-Y14p49O@<8AK%QiU4-tyru*Db2 zxzO+czWXu`GG;eOA&W-&>4~WqVt&$Bj}4NVAgLy&a3Ij#$&jh>wfa-R3J&n>s2Yqb zr*7lCN;5_hY`s)n5M*UHV-iM_)m`%@oPvZFh!0@pVBMuIH*L!h-CZK6r?FXpFeDAJ zECIZ80zK^Et25cV(oyGcvWjN@%SSk8y%81knSk7!Q6~^Iqd1;Lm5u z6UP3u%WOG$+^r-ggtA&VQBz{`q;7YCCu1kwAH4XrDMiGSH-E|Gu{|LYiZ;QJ2(wOY zB7;BVzD5!6O|BJ9NWPf2?>Q24q~0lI3y#=g32yDe({0uxSu2c5_rV-GS!fOoQJB{G-0_cu+ete5Y5t+F0gb67{cwDq7Zx5Pn|Ir zutL6XkERe#R5_;xTOT;0w+PJZYM7*TD%DeEbU*Z7*-C@$*-jI|YvYlFz)mvNLv>@k zzw}A{VEofUoE_4l`NOH~3h!941D3*6b}~spY_BFz%+iU%EGBT;{I6s$A*GoN_xKiCGbFuZrNY+8N=QdJ;2z*V<2gkI>? z(X*0nL?FO~{h3Vj%o6}b>;o@5cGD@D6a!}tu9U#mtEj<+@}~D|GBYWqn}O%jU-Uv& zU7vl*7q*XMt7J?wsYSX49-Ytp$AR3_z^L#H?k2)KBeua5gW;*b?ATN)RA{+!=3kHt zG~M5DaMcJ>ds0=Fr(M@K?mD6=;Tje>AQvh%516tY*?#@4>#+&Qrr(TvQ?QOr-X)lA z(`j$~n7rGcx0w&qJg2PNMmn6L2Zu`S?>v}`9#Pg&0TT_dbo4s}5d@%|+Q+$FPC(|} ztsp8^rE!!(d6SQSML*$8lfGG*b|v-{?zTS_A?|pdGMBxs+ZsK+3DFV1MU4^MmWiVh zb|ifw`)d$J0(&iP6itA1TCS1}Aq_Xq@CMrm6T|#Z=7q?+@9Ty}6kX+Nos;jb`uO${ z{%EjDax~VETeGG^`ZuKf2s4cE3gObb+@K(g6oKbgb*Xn4RXt??{{qNZ|Mzg){|_MJ z;{1=Sqal9l|H+}*L3$QEE_^k#9&ClAM;ufz+uI^>&V)=uVGGT>(AXGW-^y|0&3Sp! zL{U-MC~$uid4vjMP)>@V$2yz3y$XeKRp0L^aV#i9SjdnWE0`;=`c;2|jN&#pBxg#jHe@ z!t6`c=jCm;kN5dh5Cz5W>r(lfi?zFia4-L4t_sjvuh;|>(Sg=X->v(p4WEuzSC%ZT~$PeTc2qH6;dn*X}_!>XkTc z6@Xkqu3|pfSak?_mQP1SV(gk9$SPlP1WmTIn1@Lx*o);A;SS$3XnfL3UqzkF%JH?r zkJT2KtW1P3hI_Xr<=WRt`CT&)-<{lS#u*=AqtqNfU;J|%67oGcz>bLy%=+WOI;nH6 zQ=wYGh5>2Zu34cO~g4z%X5Km zth_0Q9X}x+uXvy9!4T7&x`(XQptMz>K-f38dtjYA(=oQ;vQVouE$?U~DBjgX*SD8u zf5$Jd@H?iI>vi{+7<_(j>k49SSnD6jONtozhO+L(yw-xeBaw-jaMoKoLrY-JVIWXl zJp)Yc3@TJiWSfz0r(s(Xm=q#)z_DQDvap-#2L6iOrbl#87F)o(grviR@n_<+-BDCk zSN+SHK_&Vg&$!ZdxdnYJ^9^%z(xzPmzI`u83?QX?CQIJ#@A(?n@Lnxv=ij?p93K7g zu?B-vK>Za@PzBDiJly-qIpBGhw8H-?wYG=9&g#F1(y{L59;JO8oB3kYy9%+N;HK6p z-F+|9n(R{VX=ABsFk|FECm5OkE3zo>^(8+JK<4!vw|xu|Uuz81ErvOw#il4H%i)aY znt%71W7^`dJehn?nJ^DD9&FK2xU; z&i+rO^k?WH^@|7WbWBvXYr=7gv!B68>x8#fsSxo<;OpGC zW6&T1g%@+{t33EHo8nyb-MH)7XuV!|6fE4O?W(q)Xk?esveJBG+;BQGwS~5R>wrL$ zTDcr&6cK;5;O!qa5Lue%IFv+VJhS~tx~u)Oj(gkI7h6$GUlFyMx_0H6V8y=ACq-M@ zr2UK!rWa**k7L)dWNT+R+0k3H!p2s;mBrx%6HZA>&&AE873i3B<)>(dkab|cD| ztI`%cV)waqFvs_#u-p97Gdt1S2VQ>2T4tfr(sO|xEhD{fg2AxmOQM0l=EJ`gFCog~ zi_9;Uk}D;j;mg6W2lb`&F@zX-fLV+3OJS=n;LWqY7cv>9zoTS?WcyVh)4O3paNROR z1)Z^mS)(nvpWtG`;?E5ayC`@l)}qlt@E1nrWWCTq;CW!&7zhHNO*mgaRfjtNVhg=B zKi!fHAli|#rG6kxaeN zHpkAi_xZN{@{>NYF-(Jcf;S8Yv!gZH!ptFbv$i-NoNp6VD*nHCkKt$l(j}n^Ji`Z+ zqp1A@ST-0^R=sNeiaM}LXJ*VKWTy5YI7hy{?oUA$7TNT>Rd zmUFn691|!zqY_QIZ;Q7%6h?zuJ*7#IgsW=m1)-Qf;%(4WeA>c_y1qB{Ja^Up9c-fk zrBsswmtzfs=d5D*0)O>$0dA9K78mN09nc^(if0fX4!)l86g25G~upIiyLy%!v zpoMTtyJg}!keS7zeAYP*J8ar8l+GgcR^JY*vQojXHiLkLMQF< zqniNNMM_xajlC^TG{a3Zl-BLlsK&BH8*dI)78Sco&)hOTB!hn{VF zo1LdER1O8##P2P&xa5#$z>HcMOSQjiiB#ce%09AF3=y+tOX=t1h}Siu8DnSH0| z?;wP7%fQQ4%vt{d|HY|{VA%$oMwqH>R^&rper?KTD4$;u(3Z0@cEVIP`X(~?H#bLF zHu%NvOU_8z4qsWCdCn8Pv2F`^6&D>9+D$LoVF< zc@z}mhhCzZ^Bcw1Sgea>@^z1gY$n9|e~SLZmNhHJ%d4jfl2u)RXuL=V9}9BpN%4or z=X=<%S*uBH;3Z~11nzDV610Y6TdcpC_nuR72XT;W$l*xEu_BGsJrJ zlu|&*NXmmT1b7XE^UtixEtKMqFJ*CjGYKO+EbU8!LS_yMvuhEsfQB@SyXOU>KgdJQ zifm3LE9@t3BP+q}c>=H-wtqMDw`HYua$9D2Z$HUXPhFQfx^^{oEpIuY8N1Z58Hn^^ zexDVRZ`d`Ss9s;T2FFHtKN+&|w9J~y-(ch2nLIXQX)lvsKQ&?DvB?yG+!`Wr7iQeh zss28b6Zm}Ynq!3Zc>JIee~G^}X6yJB&AL!x4uB8*+>L3Z3#`<0WZj+@Bow3V$QvWj zjY2YS-cQ__yBx`<^+}t-r@vWj3YX^+O<|;flI;CewwyPIj|=7=Pe$`o%$y4c^9SUu zi#+$*k8QSVqCXR42I_^hKOS4QD=ca8^?tjLx(kLlAdA27o4MhDOH{d;UcQqSkvodf z6hRv*+5^H20dZ3#w}jmN=iG6;s*zpC=;ns07dVsv{5{iXs2Z%j4wt`Gwyc$4Xr=*} z^^T=GH}e`IL%qMGrqR<zsOF zv+_FvxgM})00$e@&y~??FrE@v!lL&D9v?VF&JW*JoIF%d{)QQI9<2L>;`TIy+T?^g+%1j`4Os<>4!3PKZO{ zRkfX=XuO?ld{nF3dNIBYOV0Oy9f%hvnZalwizz}6Tdm9eYetze67k;dc$*C7a=6H@ zrm)&lPSBT@H3Am-I(sp-kPLD)c|+vKKEjc(Z3nyZvvsuSK)0;VFb;M3@ZY>pA|Hvd zqokcBfbj;QrMN+OxLwC<=g#!nF!P-UJ2e5bb}0o&51F6kU8uq&?yIIuZY#*`8#V_5 zP&{Pqia=hf%yF%{ayzrBX)QVblvjbGg7$2+rV_u)+=0lOH-q_7w+)%dRk=~P7fx=u zGyQ<{ZCD|Im3`BXY|#}H$jvs4P3T}f`)?!+QBF|VXEUlMpAN)nt{0=N`|EP%^RBk_ zYGd6gQUiWY%2~zksPK{PLZ}Ysq+&X@L1r%C@bdaXI}Im=7n)uCyU~|=UwGNU)5C#P zX%W^6W=%23e%%3_kBnas=3=*De|?(a10x%xQ!+UoI>>W%M3@LB4h{B_W)JDkzM<41h-E{Qpig{Zyd{ zT(_YsKa}Od43|e@B^dgfd2g%=pK*e5O#f2<6UYF4xsNwG|4GqsUahxn>eA6Kd6M2Q zq4iTh`=okrFC0HNbJ`b_3BLLzpVZz*&!m`~R4pF^WN4Si9x{LKj~w(Uc;|4w*##*X zApT0;^(h$QiT2hJR;*Mp9{!+f^2&(TC>1gBY*wJm=sCf@X&=AYD53?2Pew!<#oZ#VUL`SBc+pK|>hWx0GzV zD~W)VS!s@xi-ss#R*;PsWJ8~_`RUquKyjM|NmoM%>Ti< zy8fBLHY9F4!M-VftFXw-U$1`Y{b1>(I3&*jp}y;)OqW2flvT7SJE-5-vD(UbY7~XgS?M&RwhduWV%N}2Gx;-} z*(U(8u0>oX#!%EoJFLzTc!SeDD|bfk>o#P>S~EVCFr5?;H>U=ZDjDV%j2pA`6iP~T z$qfQ3=?L|NZ;>XJ)t3nnvubvgy#Wrr)XfnA-W+qqGSdurQllL>lf((Y1tBI>2M8AO zgu4<>aB^RpQvYHYbGn6td_p$pnrMVI`!j70SKIRwHTG-qeQed0S_Z+S>ne?F+|T(} zms3zD>b1XfMbDum!{^6`r7<~fIWmpFpL(z|aLoU4f~o&=g2gULXg1UQqgoaS|JMn& zbPs(istfnQ?6Z${QRVL5SQ*?fXUBEGtmTYR>?;+Fm6fOg-wg~xCaVc_x9o5y`7sT#oFfxYdgR1 ztTa$=&N{;lmr>xP;+@pd?+L3+HMyrB44`bc66W)+ zo9gZo<6nmc90?rhk(rs`$k+?*k5p@!r-Wwom6B*?I*$?KkVQiS74_hLC~_q#EN;q` z(EsCFS@ow#QE+V7OOVm$a=7ArlFrkfpA`52Yj$oAdaE4db*B&v5j0dP${3^$7%9^L zAAd{_g49lOjhW%`=)SU{v}m6c-92~*H#J04Xy}qS7f4<8Jms|{VyX73*4?lm>)l?n zXWO$-57OJeKnrotE@Zd8tV4Q!3ei2gU5alCL7^)_xonR92szEXI4Trv)vo`TbCwL| z&jiMf&hF}fV@kK|t-ws7Tq59fmSYkRbGbv!pXZHCz}DDzLc{O(3<9>Nw@kM@?~D8^ z!8m@~WT_70f%W=o}n zzyRpt+C?18b6h=XJ@*j2}o@VTW{Xogk)yKubWN2NRvDROq#sBCfEiO03 z*Rc-~0v}=1m~&mAI`|!NQNWb`*LK(*5WBotIUF5W6%6F^ZJ@+L&nnBl!VlIfWG#ZLeN{rKH$CZ)%Gt>Y7olsgIU)=dD;gqWw}plOjAtw|3CzoIk_ zn5}5n=gKtm+nx&B5_(|l)^HFrh$~Iut-_e3Q=M~-ADp}Jm?&6+lb!HBBFakX?bDt; zW=4A7gk*Q+?z_o%0nT~}Uc>Erko?mm3MpMpqy=nl`6eSHw`zEOANp%C*h}nz=id?3 zA;;277a0?QLW z_S|**nc|D%Z+FkgVb|>jbIBi)g-Epuf05%7HgkqIM-$(e_PXSL|4IZ#6d>uV6;R||C%ze--Pfdjp4@ zU#MnH!lmJ=5_L!6=+~dZv4iGxcs>KdEsI+o+e6*rf)U1#a%AHUp(g~4*rbnpGbbv@ zc%xC>;gz4X$~H$t9z{&ahG^rxVNr0(N(%dj0%R(l+iTa(G9IcTWgZsR{)_}j8iIro)%HPjtlq^c0mR>%Q`V+HP>CbvwMcrpw#p5&Ebq4ajetVlO=06V92 z%98T>l2@n=v7-ig3E?9)1yYbyc$wd_@(Cei>c@9RjN5E^Djc?AT^Ge3AH%rJ~NR+!erm8sW^c)d^fM<+MU59Z+Z2<-vC%A3w+rG$zJoOKD(zYQd5T>~l9y@8vea=%C zLa%!=Z)HS_$waP$b@#mET@c;nChmOl0S$Iz4PW=AnPHaQ7;9(A z%X*XyS}m+NOG0<|oXVCEq;AM5Y~)x!EZ_>D@HCnn=5ncMb!=+BM-EZ2?+FR_0;2cC zL!iTwM>Gw&rL8cD{Y}P{!_LM_h>an+1(nTpGK{auivbyNti6S%7Z7ny$!+lKf^X<`5GK-HCPuruW~HC=lceEJtg zpfmNuJrbMk@S|=xJJu0hH#nAm5}eYrYfy;wA<0PKE_iPaj*ntHfv&FnMfgKltmmBy z-i3x@a7`K{beeuWt#n_Uz8M8%aZ*iRxz!itK2|WCrRu3B5&M(E3G5xTit5^|bjm^k zEgZHY=@a}6mG4~ShcYYqtwL~bVQqp_sl^cuPKqPg#I*N8BAe>8S4OPO=keI-iYQyr zL0xIOybeNIrj3;%`Vn`DamG0&aaQqn8G*_!JHGyfzV2aKBFN(ftQbddFvyWn4Aix! zXw_r`9t3W$AiwH5024T4*O~#Bhd(WN%+-L)B`o$n-?ldkd7s#k3u7vRdkp(|zBw)Y z;fLKjd)u}e9aBPClnIec#7#Rk{{zV_mMOC2&U;iB33EG6^QIo}Plu3b2~L9Lt_LGq z$o`kgzR#o`qBXHK9E61emV z5O4C1z}2%kyiacXT^JsHhxF0Oq12(`8H}0;pZ^bMsVdYClwV6J3E`jmiTB6Ws z#*(tN(gn~VW6C2%W>Vn5Bc+|0Rx4ODZ%|j|7 zqJ;6#wC>7Tzm5^iS+)qkMt)GauzOhy=b?Eh_FUxH@Sq%7Ve$RumV^lb!|z~DmuDt% z6jYp#P7%Df3+)OtKl%8+VJJf0P(+OCdVq%NRNKKyMZ2hFw~WEpRj7pVEBX)Q#&e`D zv2UAoOJvbYM6Np5T|s2&!g4E=_O%GP((tl!eMyP_;9=m{*F;dB{Y0jD{LEASRl%mSWAtd zyv0)swj#J%(7gKl>3!oM52#)?y)du&aHbPtH;Q+1ROBM(w1GOLX`n@Q#`&`UfQ+7D zq`>}*;Uq_3Tn76uS>+g|V3C-%hp^wDX-dIiA@d~SeGs3d$?@VD8}Jk{5vUfrPRKhE z&JWiYjiJPe2&EK4moq=u5~nX@coo749rF&GfC7Fn5am68^B|~@A4SLmSEtns-|z{)L?Al6A(vK`ppN~rO2}N%pg9B1U34yw35>O zxN|IW$_MT@KEPLjd7Ky9DVJ234V6&XY1}-fwfTd7SzBT`Nx*%-3`*=@D`&40?TG%p z5!-Jfpywo4`S5-1=^TdL|r~eIE2&A$@uz03wbzGAFl%o;X|Ji+SVF{W(e~LDTGLS1KHTPMTsBzCqA&NC;c29D zpKRR9f0swJK^O>#=u@=kGRfp6gh(aolt{#6MYi*3{)VnDysPKtTH&HjvMFvTkY4YP ze|q&ikz!2I>82-ps)Zbb{L&G5fgT^rgf@iMsc$u$s4qYDBt4{4Kdzon+-9B*BY-FxYGWE+T2nSgGvk=y^+OyN7*ylEi znG|vPh+;%y;d_3R37M#PY5!?9Y+KD8?;It!nZW$$5E&F!u16`T=o^*hE2Mx%?F8&n`HUAwH^NzXq3)Tfo0(?Vs)U=*60 zu`{8Bp0Df*IRyBNTqnSp$xbvI_etr~wp4@ycMR%>92`7Y3EuCjjVPE1dAW&^9U2T1 zJcoi=CqthP;7hvRKx^mF+mmK4*cqMf1AQZV52F~`F#`38U&t`_pz0nZ7=x!2@Y!r{ z5~u*Kv@559HwWA6b$G|)y|h4<{9SE=CG-E{|M#>^jgk0hvk{@f~dA{nP%^zb~^T|7f~^l(d=W;;c_OzFq% zSBodsT*kfikFWHs1US1j!q5Gx!BixWNzx=Pqwd(ZVcMZ)TNuVrAj-X8EzYV;e;kA| zhiXD-HTH;bQWnwXjKDz0O>U)?hV@8H3cZYV?+Vc5TX7oZCNHI|-Q+StE(gtrUNF>6 zdWZp%;!BH@+epG&Z{Y2=OaG-$W3D^e5V;S=f>u$q1PEC^7&wC4--f^^)Grfom090$ zU6hp6&~ZazeQXR)egON)`pi2NfT=rqv!}yP8~K4IRVpVeD)e9+NCm(xsowH&MdU_j zElC-2;RuZ?Co!4-&6sjj=s3z0yO?up-pW_Y5_?n{G_TDl3eV0@z zqYWqV%kYAAmH$1tf|c*b@!b!B+_=UgWOph{@s(=g*l~iwyu|%4qig@9FYsc>7@xpW zeW9&kt(yNs**k~X_B82&r)*c9vTfV8ZTpmM+d5_2wr$(C?W(EY*FDee>FICooxT5A zd#%if$XNO8jLgV&(S(HyE2?ojw7qxHrR?Dfa%= zdZr~f6cV)MDy*G!g4Eo!R?P->`iKG(ROb;KoGrn^C9>+w-BoM0#fr9?vltOhiemMW z@)$Hozpn*P=WZ2v&@~^^GXBaN^i4}m5OaFbiHj2M`%Fcj05^|T*r(u5AxU2ar^l)S zh-=c0b|e#`p5rfe)ffFTvOZ>UTMYVjVcwkXVFpL_LCDNv=ip)t^z^H(7WW7xYjaTm zqLm}p*=BIO^$zU7QP93>9Z|(=TBjJ9O<&?K&Ke}*x(h^0<=lta?tDBR)tOR_&H1d! zr+>hCdL;-#&?xg1UY$Z^E&N`^;Zys$4JJox4!+dp%h_T^(WKd}l0ed=4nKbHUvk1f zkgi^vk{XL`0}okz-5Pn7MW05Fmw+Y@z>d1(axk-22}eVSun$m~ha3vZ_OOucm$J3D zEH$yw(eas^J5S(CZzYmQW0YmkW)xk4~k6cO*WWhtnvQYrpr`Up~qJ|1V$+$YgDV}(#|7X=?} z)w%u978uNwBA-d`bnLl2h7C7tAPK(b;O&oV$e)MUMBWrIk?XgmFDWD|>oBAe3`rKqIAyWubY)OCtsRhLVa$b2khUZNTC>?1EF zWytI|TD+Y;grcQ8p#1(&6;A!v?4dnk<+JyChVCzDb2yS2kk|aanOhu7%wd~mqd>(n zn>m6H_g$H>L%q33uXG-I$Yn?updkAnE+>}^oTp}dbh$!MXOr+l-aF1fNY_Xbe0fFq z^cbutDVFe5YjLlPa*WVEgZcAeJjg)<@kwlhg7J@ac#$2JfduCc%Q*_qVW%%X1sy|E zCVYO!rihdLRoPW=*i%M7tn^yZ>`_ya>(y5k%!HPWPYj^`(6!Xn@u(+agl}tv=Pec0 zOnPD}+p%Fa#Kz~Bfa~Unb|9#FX+)O#aE1J62r99)Hoo8V-%Eek&I|@+Xvz7eeUm(M z7dSIj>1>+HZmuY*J;%VRjA)x&_q61HHE6dqQ>UhFHc6F-Fiha^|A|n5c@ZwXRd-Vn0AV+AbyiV*!=7Wh1lRH&d)*q#l@=FKeWKprrPX(>I z_`F{TI{0NfwdXwqx5HB0D=+;U#R-0mrL-uxj%1IVzrWGRH48GO0q8p3bt-xa@=Kwb zG67TKQ(UeRg#BiWG8Jt1qjFYNYMlMjiWEoAtK_zfS(8!jE9 z%&jeH6^soXHSt*3X;_)@m|2-=nAq^>=~;dNdYRdoXxQ1b@n{7UxwvRm@qU<7X+`ju zXoZX&ekw4t{Re-wn!3hMWjuy|=#{nc{s93uwl?}#l*K^D@V_M-7O}Cn`mw;l#>w8$ z*x^Twu&bk}qNBc}@z1rWA{*YnIPL#YY-8>Cb4!E???32ud44{$0s=O!nm<7u9UcQS zJqD#}7Y-(*A!0fb=Z%{|(F> zV?CN!^zu{e9_|yI3)!igD;`G3UnnONe^fJ&Bo$^B-M>z2VB1i2Rr$)nlY@}}97LG% zGIEn<{qnAJIBl=lXDieDb=GThtFxPP^)kPG{-|l&`evJTog6)zB|FdD$)k&tT@!e( z1AZ`d1wkB$^!0P|Gyn|#IlV>QQ1f=n3QpFAbf&M5_hq+Zw8@HxbN~G5CX%AFo%8cz zKbzMRs2ejqkDBl81|M&mu1-x)XatV=U`R~2;y$FnC#!&_acJc^GK#rf{9RSLynbv) z7UQ&Asj6D;Ni}k!c4%THguFaRBih_a--cOV*Sz{hMzCur*hdjX&e&@?t{W5%BO0ZS z96!%cWV)vnh}v&>@YD}=hk!Ul>uY%jLhis!Aae6t=hD4oJF66~hxt0i+b*IZ48_pq6+@3&Dt;dw*+U?`Dt)WT`T!DV5$69(v z9688ePf&tm+zn*aH1q8y_8Wji7joWo)6TPrb*~l_jGs!@>hIpU>S>8yOemOT?;mF~}Oiw)rn zgzJgeXHj^B(%LBT92y$Lxsj z$9J+Jq{o4Av|J&dq6R+r_cPw!XO*U3?~&x;;uTbuFlYIt?U3$qAyhFf*<-1L_YNNh zPKdMcw-r@L>l^D9;Sh3_Hs{|7ElOV_vcKyr1obh;S?RuL1d3g1ND9Pzs;UiklJV^4 zr#>R0!?~K~&n``2Wmfo3LxYWLizx8BsBtK`ldn-=kJ^;GU1&~a=Uj=`Ucd&9P7$pD{Gu-oC>L&@Q zJ@!aa793*@$Enegz#~B2^ZEZlbOi)hWC8{?Z(70Rp)qkg+9HO^slJwx?e2*@ZPsYf ztsaT($;ETb+p}A>I0!*=qro#6kR%0SO9#(n5M1&5BeYfl02`Ajo37tXbkXR4uoI#d zvMFUS;6`9?Jn%UX_7@WiDC|hi51bAePXm1@FcPfHh_4xNkS8aO6xf)Yjy??u9`$0c zs}Qwqcm*u|*zGh>Et!V=jazsa`I7{b;H=G|d62Hk?bj->XfkX8e9_&Mp}vkl;*2ek zfSL84jJN<5F|n}e#nJ}@5fH&ESp#rF5tf>C0~=Gb5HxibNL3$e<)8Ru*SY!KZ~$6# z;9(JZg?Hwbkxca7oRJ&LJeR*&*HciKAE+-n%FrcdQ~e#F0o0F1hL(4uQCgr6W=v-5 z)Qz@29n$JlDd-_!1fQOwW9=DQHKkjZ_I$T0wFeJ0rP(N)p$Dcm-Oli>0)wqut_LcL ziiqZabi@nz(JKc4QEG;c;#S_ug!R zc)Xh)Kycs*zSLvsxeua3&;WulL7yZpWAn`nKX*GmYmGB3_4V~6RSK-}9UGFfO}RgM z2d)rM9E2C!kXcf&^NGU)_x$xXJzgZ^?srA+PS>&3uJhY{y9_H!Nh7mA&O`gmgYucL zTmId5KjwWgQikZ_4NSQy`g5APr2AAesoI({Q)OE0TB#!IbfAlwtemFQpGN8-qtk*F zvVcb+C<)4)d!HZvIXDcABBhm)1zCu4_^c;p5* z$ziCuo&k{4=w1R0h<=#k1txQRstO%m4Ou_$G0->5P;RHLpJW0OsqZQ(tajFyHMZkm zNcq4&fEITqeJHE9BiG=y0A+21pdkWPbl2cdoMn)B4;@aT!-Ny@vkKlJ z{t@HR4>f@~=Y+C>!=Lrqb6sV8RpD@#M~cDfV{S@88#EVX@bp0fMXra4)k)--&%AW< zEsu+ElkjKn?a)?9)(co&b9FzR^nLGC7u!>iGW;f0Z6(&y?u`3DAH`=XODvUY4tJpB zAv!Ve<#iLd1W}hrU|wHbsRiKN`8|c6%Hf+m{Y;_~#;XBDJ75R{Eoj4h_JbFLH`1=$ zAzuzV)%a}K&({La&SCS1ts$uX$Xxxu&O$__5+1lnfXU9mBIc%h>TAc)eS_L!NY7V( z9(|vjld3>NLtfIGfoYn%bf5(5DfKp>nnrvFwJ@NR3oETg8z5 zN@b9hfUQ0_f?W^xG~qp@13^OG(%}c@nkx11zYF1*e?pi4{rVL>GyQ)HUk25!WcS(N zzjR8!dvOzz_U_xU&4U`CsP-D5E)-531)lu^6qNm)n{7Sb?`?);%+>~^%>YA$(pO|Z zCL)KYS59MYL*;{grqpH7W?PFC;EUNNLDZ#(^Le-823;TQ7;rwH#N#rKf~Bs82!R>< z!cY<+ge#!9;eK(pHW)pD6DFi~I}C1)x(YGm>@UTQPAd0Vy`iRl@Zezg@8}Na<90^b z#WU3FM>h8=>Jle<$}py5;l!;gr`csrC}w_0F=k4s3TpNh=8g?e;S0`(Z7Ae#N6OHf zjcrFqTaFn;UI~^Vj)EO0`eR`3bD?L*(3cT7rbmcC%T%&2&I;dKx^f6MdSq_QN;|SA z(O+*dl3*LIVHs`oM)hG%u81OGfHcrUEZ-C^@SE)FIJ~%koq3s!-M-KF_VCXMC=hS` z@k1ei%>{h)^kO+zc2{fiRo0L%3WHa=%RtNdD`a~{(K8eJ_a%Cg)VjtylSga1Xf8IN z_%-|??IS{0#DU4q2%XDhhdcqv*V0w#q;A)ntL@=0pID*d2mm3ua1bF^v4k?!X>fQ5 zeTY**=t1aqE?QrThSdAbQ848Egl2kI@^J*77LzqyqDa!((Y`qeQUq>8HrS? z=WpStNXZ8V5$8A)f>hd(OJr8cm{M`;nf=9&_j%7&v?at|Y^RT?jo&rSEm3is)VX!l zdhuam%E|8GYPinOwL5Km`TF zx&5GE4=#K=hqw!e5~E6``T#h8%i?<{{+KphF~~hcxEjHhnL*@*k343my3un6MxAS( z^pUx(Sz;B(oI?D2XNJ|BH)K|2f58&W!KK#M3KoyaR;wpb7FFEgaZJ4q1L>T!NTrt{ zaQ}oscBVJlqwhk9ArU4aGd;2j#;d3sZMl0nI0jYVCe zhr~K_B@tWW?Nh%kXZH*lZSvf4@&R$%*)vcn+C=I?xaClS-B#w(;^GJ$ZhNY`<;mIUdmfgYJnv9pXxb?D%rrYwWq!{+V~bsXuA;V0dO+N1nr`oK>+!g2y6w z*nz{z4OYY>YH;DTIeNlQCmXww)fEQY`MaMt6Xdw*LZkj@L4Ut|X2sW63u;vSLdw&O zRf(Hkc&MAG+D4w7&DrnF;qdV+E|vZ8(-Sv*6cFY57U#ghZPO5Yx#knbKZrKAKJA`j zjLBS7{W^@YBZN(Rm|h#^dm@aDdOkzybsu2icM07eU0t4$Nl~9vf8!MiIR|S90wyj> zKy!Z(QPkkzE5QKj%JBv&N|5ET^hL!>(q=1O@C)pEb)Q8ac{qghg`GmNEw0)8vy=4S zy|hr(%C|UMc{E;-dw}3^VrA%rQ>T}(>$-o4(#30F7VK8HV}hY@FQ{?8yX1SRc2}S8 zTE5Q3cooPoJ=a}_OAmSyC3g9Xn6uLNFiV!s+B3B?Z7UaZOcXmXF2t1*1FWZ#%hYYT z$B&xse;$D3njrlKrv02pAN%TWpaPd&p%N7Cc^_o1=y_*igm5$GUzt9rBX9&a6eiKn zzpof13)U3Q^{t2yKv9&RH%O_Y`I8@B37A7smxTC+k#3IwO;+r?!u>`gJ~SI|hjBjcBAZ)IiW<=tneulC+UWc}Y2R&4q|`(P#b zEA|>t4t8z5pFUL&u0Adv*YE3jJ@dsRH3hf4*?0x@McB-NjRoR{-r{8Oo{rjsH@N&w z?DxOwcB@&BO?m%xZIwkGtotN1`>^xABbj{;zpf?^s{CrBC#1x3?eVN<(OQ>N_9u+A zCmC6P=Ka&6hMB@B8AbN`x_)M>C7>l~YlM(7)r(#xvGo~8Z;n2Hv^dpc8kEg!SRM5( z)8iu5XZmDeYNyp}`X$jTYNdO*c9ZmWw75oJ7b)@1KtI+4F~XhyZE7o_x%Rt*kW+37 z^W33R9lH$xWKgU4VEwXcRZA=WJmfO^eDYGo#(VYZ;pnEOiCAOAUMCCdURet2P*w0# z(Nbfmgrs}#JMyUH0-7YBSVZ1L1CUMwoWkj2Z~t}GMaG8^d?37E6;vuo$kqZZ{4?3C z74xKUd%x@USoS?Vfa%~a%heL)pp6+g2Y5D;CHUqq1+yHRWC>TbRKTlHpR5&ibC7s~ z2Lu({b_vxV2GeO-ME;hMs~HK!%p>satAnCmpAxMGYecPB72^%#Bnp6ig%*Q2uyze} zDf(vWqh46c0A_2S(SXej9%~nidq-DSE%YS}sX5ftPRJ-^4EdN{ood(+rZVtmpMghP zwarm6OsJCc1Yxo&;)Zieygj%n+2hN)htb?|$hWiHLj^;2nxH4x*1pHL5)5xSz{lQB zexn1Dm=S5cOhi3OgM0Jtr1a^VRqHF>3R%RUye71d;%xY*U%(PpG#SiddDebhVNuPp z)=zNbV`@WWqtJ_kW|cTVqk6nFLp_s2vd{2-y4On~pyE1#Lq@IuQj?`i#1qYI&F`00 zn;q|=?}KlR(k#?TRyaOFMQMxaKC5-%=jR@45)={j?+S$?cKqpKuU;WPSYMq>**Yhg zr52F$>)EbM<=L)eBbJI(7mi+RL7vCL_Tom6%mFljDlmy6Sxk9_?mHMH$h;+H)((sAJAQbw1TPre(^uW*~PN|@3`?XRCI;95H4ZD{3=;#Zy3hx?l2Mw03_VdwFKlJcj--m!@54N1Z6lcyso zCnb;=7;SoVKC{D?POy03?Qg!>KbQ@{_?AK4+#IQbbV2<0RgB788JyY{aINpZ*Oo75LJYYsUku2?l6(u12yG zX+$BpRX0|bO3At?k4gE)?lS**`GXHdoDCZEm4>DCL`PXqif0)=#&p6H81foQ`bY=}j6^+L+!jAT3d28&D4Xb+}Or!c|`@=IW$} zs2Y;4>EeBVB9pdoR6Roal&xwy@PkENnL*G$)+We5L7%jalyFNA;M@<&A@HsRlhC1B zzm=5Q@!TpGcYBmOmp4i*#J+bjgsqO-uAOxIWGiNfO?0CV$SE#4Tb{#ny|5j((xx)` zxi5CDh&UsB6Xn6i$y=hv)^7VtTuiZ)WUmrIO0GX35c%qMyFN)t2BhPuL$Ye?^NU@2 zoH(@amuw2I5I~Z@@HdF5YmEn%ZE`Ci47JM!Yx-ZbW_|mF{T%MOK%!q1lb_AgosE3@e)Q z2N5W7Z0JABw?tgQ?QA&y>KHM#6j8%s19qsPT=Z8;O6gvspREl17?yWY-ts1OqakLI zZfLfHxU_SYaK(gnH_!sH1jZg8DVI2;S0|;%^#@}tZbxw`2b!M0H9inm*%0c@;jOH( z`qCcN^kt_4^@G)3^=*X~+uXnTYs zhOHmzTonNs!44p2)-mLHp_tU~npnff$jB~f9o~Aga(mKA|XvrzHD+3(mWSl;+9slWmp8Klj zDtIr!ayKr&Lo`}oYtumE?Y-70X5k3Kr>@CM!P3IWBAc;qwxqo{q_bFBtllWgq!PQ4 zj{m0qcE8GXUUAaUfi!P_9wn8(x~^4@V6!S?bcNj@;ZK!HyGcT80#&GywL1zN8Ym+Q z&GE3LR@K8fza+#yGmYxPjEf zZ+_Im9v4z|Cq5ZdD>}yD3o5b3UiK|Id%-R+&pkzLxwvJHLcC;$02#>`%l&2A(eZPZ z2i?tI**%-~n365@I^m@6%3J-wwHQxhUx!NqKEwrI>8)-aaG)nC2H|{w;g|5!U-5D` zf20M5%zJ*Xqbi<+Vh>2`yF(HGj9c123VuSB?!p3Ef z?-$5wW56kxrT*D-&WWfX;(R&zv;ioqWa?rTQ;(>#!L~zmC6b)_gQ5`~i+SGbuYle5 z`|G*Hm(9|WU#5c-Cetofs*JhfbBi_Ht%M3K6 zS3P*yoQ$ypK8T_JypoKbD??%N;bFW)Nnoz&Qo9$^VjAfdvku8zhyb3X(m;$U9HZE zcavG@N^+a;p8%QbXbEcag_b|BxBTD5$E^P)KK>u_VvJ1eG>nXRtn|z@%&d5f>mlmb(uHkSUtwO$|_H)vz>=G=a ztVPP##96O(c|30>anqXNaJjzTb^~H~8YdTIyzU?2;BfI;ER`5fn&z9(hinf;eZ8I* zXFA?~C8n!>RnvbxuJ(gXV%I44uXuibtS4Yheb0J+PQ&4P0uFS$zU*9w1fff>KT_&< zKxaKR7dO3rc(P_>aarkf@NSd4xO+JlXHUCaJ=}d(63Z9aSjmddZKP#p1vj~LV{)$d ziq2mT%d|EzBDcp(KN&4dx?yZS0S(^Qr`OkOTS7Qn7SFPpUMii76~7)nUA!$tJsWaX z4Om;iFt_nKXQH=HJ;q!ufcvO@^gC_t^LUo*)=%|Z-}JAEx&VD#B7b1wuJQ)QkbJzJ z=3`Qhn$K%|wzI22@8wX0W<5_^-N?T+Z2&HDtUFiDwlP~+xa(PARIb?pK;z~Sef7Oz zPSg8*9uAvU>!fwLy;Z#euC^cf+=yNyhrj5Z>{xPpmS5ASrE@ z04~SKs(e^Py0Q^U{7kL^gY<@Q9WBU}#Nfnx!qGkOdZD?E_I|SH+TCN;F-#v!s#G&t zPI%>K$|7|+T*v44$kb>kTgP*R4&pr4E9Vi8twf7amfX$rdWTzU*2?{C{vZrI{&}Ru zjE2uf)cY7jyRel#dCIJ0L8xLucXxcfm32LFTKu}liF_p;fU4W&4ug9>ACj%p)!kYH zTG2%q)LGrab%Nl1#JFb#09r0Hc?qS)+!Vg|afjlL`F9$31`*D(ZD5-}<#HVG<>n~y zZujyrWW64z6ZP+~_j}wEoq}{^gC&YL_&yUW?Z?8ekvNRw&C6AG-LRxpukSZ5*O03# z{(eGW7JGqChCa@Ebif)rwz$l0G~|acbq}DfZobp zyinZE$8JCQm3dJ}pG$;$`K&Xz@IN^n@&zNTtcdyA=RXK4kp-RXii}P9rH-ya$)G^q z=D9ssGc~p#%&>YUS*vh9;KaXyAnt?)W}GAgyQ0M;l=0d&sq}`O0i~TWI%%e{^#o<7 zKToUm_+)pElii|ReOZNmJ3ca8^E&lhqwZMl>NdZG)U_;{vL=q2pXY0<7j;-m*XM8i zaEJ@=PEP{@oF9k!`g*1Ek8G<^Jm(KBVkuZ8T7`Hs4`PvENcf{kg#qMM7x15f zwtFK730W!tj+LJidWx>MMek^)#n{~xy6zd1XmLaaffc~PU-YblDxSXNGN zbi~kSeWX=s4*p}wHG=TyjX8$)srsi7aJRm&|J zZjNY@!G%Lgqs<9emeB2EtmlekyV2W4R^!y%t5(a-H)=4+{YHLFrEBn0k;@%6W}2&% zGwa&5i=t_ZH&%~MKQze`9;15Dq-Iif~yKwNr**n+b)H2Mek!#5FtIH{w z4$OsV@Q38C(gCSPK{>Mz!ZCzyd}0QL1PSV#$m)ILRwaY_u_7gB#&>pEA$OR0MIxrT zRRE4Y7(n~*)({t1dO}2-K^_N1IgL-e5T~HJs6P@0Dg~o3O{;X6P1dkH%vW))YzSqY zXh0qZnJA6k2&*zzAX?I;h`C54-_7O}%@70%$SFv`@9u;s=*OU$z+9An3|^jDE1O8H zPKu^q^0Ev~t1OY@Zp@5F9`nx=Knhkd13_`u644ioL{sghh@lVIOLDyTWOj~B4RXTU z^%z92FH#O*GNdA#QtO*xRQVB?Y$@8;s7jM_x41$-G!^WtR4&IFxHC+V2qGLr0$n)+ zNiorqBT}XwUFym19BIe|R&R|d+wD9RxmMfTb;cyPA z`MK%l%N2A(t{CdS;^pK?AelM8*|mF?2Z+Ps$yBvy~Y+QWLNJZdZwT9@AXC4vcO zl0c)2S(eP~GiY-zD791Q#$p?B?XHfy2WfD15|jV0_B^yySdsR9EBkEo(8(P|_$^MtFiIyT~JO{xpA&#Lxb@(Ss6n6d~SA!s- z?qMLp#3az#w90b1F-VRiYASYGuXQJQj3ZjAElnVADhdQ}kcg9L3SrDYN=oDCqdmzT zBU6JRpD~Ef@CUG`GTr2q4EkcPv;>3LmGaT|N0NELQu&2FgqNCB8m&=$B^TR#!!4xn z+BB;31Y;(jjOxGF6}v9jMCa0t)Fqc`4KL}@; zE#4!y@b?$J3DQEb>IppSQ$vB^Z)B$wx(3)E@uo<*J*OitiPhS$448eOj)jPsbNEVN zCKxv8V~HaH{z8l-Wb}FujsLV2N7h-z<{HbesJ%Qo{G~j=|2lBK+~QB$($F_COz85y z-MAxm&G~uqeC^>irq8fi2hQIPN0Emq!l3?2Vizo_YGl0&9VTWp5L@%WXdiq&~YL1NYd(c|%P|O1gwS5MY?;?TG z9xcVSy@1{klV8Cyh0y6A)*1*=XBq71v4sX^P4iFF=Q ztjtzm@{qPtax}3`j1STf^;el;lz7pEetZq%5L7$D5UN$}$=psrRPD}95y1TEWu5II z|9QLX#mp0j-`Ml5tx*c2!II^W%*~;d@Y`W4ZztFKJlIPJ@Ah}33sN5~?MS-&6NDc& zgamk-+nJlbQBAA0y42YlIj##Auu@xy2une`t13RvF_4IJaoQs364~}%pe^%Jv;Q}U z&8ASHk5gBDvFubCrnrym<^rp^WOa=AQ>-CHPkNhR>a3 z%%#S%22JDKo4(Dm;+SpqGesC#lMMz1~gugS&FV8QRSj#jV{$ST$`{cHV2Ogq^$QI zi6AOGf+SWO=7z1;9>v|CIKD_6@8MgDp6~(pV#f+FH%^;&o^md5km4vC z8B1)VV=QbC(D9o?=gv~P7_<#fgDbvGG!P9gOq4PHxzIH!Qt~aB7vsuZ$FZsY_Z09eMs8=Rkdl)d7l|FS_REeM?ltlD^3z|N zJQ5$|fn%jN*G(oxZs)FmwY8Cq-~bP*>4D`77@)ZXx=R%B2qg?;PFpLfi#!{gW!JNV zm@T88*x2yYhbZ))MEyCzP(gWl0DSNCaR-WM#lX*%4MQY@4kW&&PPbOIFc*qOQErVW zhxLLZ2T*%Oh>h3#6ie4F@HVmrR(_woNt=sC+AhlJ`kXnfPQ0acJ>md)K6+=@idDm7 z+noN(Mo?C{1KI~))W~N?-hX-(2kwNITqN#q}-;QsU_f}{tB zxb{G9OjP`deh&LLP9ufpBR!qc`M5u&r9t31J#h7Ngu-41d90=v0OEumj94o3>PQCJ}=jJtACBD2N z1R_huQfvtvX#7ySo>6lw8&>dG-EX@Z`_m&W7?4QnE33E~W#v5*-eZToX9sWQ+8tU8 zs%;fGNqbiH4<2{uf!b%7r|Kg9S1>19-0QE~gqV8KdR;<+TTs`CXLK5(5(-6vcD>%# zc#s8)bV`3c4wt#r*3v+SbQrhB>AOQ#C3Xi|z{ku%BW>+7A$$WO3Nl&WO6Rr}^%8;x z2N&~grj25u$NQat)&oc?v&Q4WDSnXD%y;Q`lbx@F(j}jX!1}edyd&U+vX?!Robais z%4}^#+iXDP>E5zn#ZAu%;eGQB`|6(UgE+*+Gl&M%K5^jN@qvgG}WD^>l zwnMj68cMX^Z15wJb%O?pVot8?!`5xLviVvh;18$`40^U#{@>tz zswOSeKajEoorAUvJcG|vrK8uXqB@#kIzQUlK$EEXQPoGZ&)D4j*2m> z185dU&PwJ3NSMcGJ8zo8RFSF>K7ww6VUpX2bk~#<6rbTEPDCVGf_l?PK;L3I)HtYZ zsIl`tq^(5Wcnwz@Xa^@a6n{fE|4PUdhs2ZT=qOAb+apDy>aMot8^bec)aSz5Cd&OW zll|6Af5!8{{DNEdjQcYJU1j)07`~MLd07d>-Q|v!Sl06F=rH4PJJ|ks8O`V1DQYji z@pu+11);Rsc^;1W8;C@H{C$zdHMhBE2)IF7lTh1_m=0X)m+7^)tycOWN%dYqt-&i! z+>HC-Q0mY>xA(_1EgpC9_jl(m*2pR+y%R80B=Qt2n0|S7FhAcRl`H!b^f-E zk1w^0>!MF+$9$eV``t$N`(YCz+&gITTU70E{~e&4vtRV9+I2R#TdJj~eNH&N>jV{k zJKIj*UZtPhXZ^YukeUcbemO38i~o=%|zoQz#5P`Fl&&6bW{ZKs#1 z%$AIc=pJnvjhclk$P59K*kHG3Z@{%SNjw}?kX>g$IG9oe@?!Ai^yb@8UY=fk(86bc zbJPJSFQ8vWgwXm@N&Sq#D?CZ4M{E~A=i~QUh4IQ_N6Vokd%hVi)QqRDJMUk!v(aVFrTkrv` z|3(DMPGtEM7z;_!^@Ms(nH~&@QJZA8Z5?C1{5zAQq1)hm!3S&WJ5p9et`-jSy)O3y zz3wlC_m}5Yhk>p#Wl?RLrIIUW0*xEls_J59HOEVr#V>KMMc=*mGDhO2Y7DuE%nhrN zgVBOm^kEVeC2dt@c{{qP_PXZoPXP)$6{A^~v}wNYY}h<4ROOmCv8Ww$s9NK3hU`-rwjh|?}RyWzH1$p6?zX{pUI zJKsTM$umliVibvMMT$o5pd%1_4ACwAV11FifmU#&(D0;kI5R{|)#_8QiRuv-!Qzm% ziIU9!xx0dVrdzzKRa`s4O>JoKxiCV;E-)zjy{jOBjX=mQ#y1KJI94Kt4K3ja*Rh=@ zh3!~xfjfPIjhW@|0xV;2wxgG4V}w|#(a`)H<<%#$JQ7%_ZHSwFf{rrJ1U4=&gAG9F zCc-zGwL4U{(Az7t-SddNI$WrV&6z54yh4YPL0A*72o`~~Ntj^X*lFkSyh3jE5F@3r z#_P-w4y!<~9AQgd6zv;_MU-O%)=R24RvN$`cI6k7UGOY)x=FA>=i+uTz=EmF6fzIQ5k1?z=j(@`#kn4#&3k%j3!8N@hAEzAmbfS z#QxGZUYnCCZg6Ax2hM?IpQPz?UG|vM;cfcCY`vcHLIHO_@|um@&P1Ebnj#b;b}&pP zYt+$g;aZ!Q^5FOZjDsuF(K*}zh6lS$ggb(dk|fhNj*2m-;F8fZRQ3Ak{<%l5;e55E z4}w$Xcem-wh;w4hw*45oJf|5LSb>PrIYrRMv@e6m29Ac&?`vE#X%iTkHR183jHcKd z(=X5U04Zj=%!5?z5JozWg}08>24x`>naGv`f}>8RkNwH^z z8()R+4I3|IhD>j~e3wN!cd3%~iPI5%F7Ba9TNcT8#Ew4U7=}96VV$WHS(qciira>m zr<`*D?_L!~>%k8ghu&3OIU8%1V9v*~$&sfpnGD+7oy+2~xO#28>mK*1O{!;Q}s7YFFksa9@~gX((3 zsHRjhR8tJ8N{$i_{k(#BA5m0;&I~NrJX}nsQp=tm=;qx|r894Rql>nsuPiZLFnz$# zPW^uv9(~(V-kz$~25O;TshKd)63tFFYaqFkSPd==(Jwvw6>9E##4i|s-v1b5*i4`H z1Y1Ya^*&}4*S;j?s|-)?5G50jC|w9#$etCK9uX!S+3XMuQDwO8R38x~YwX>Kt<1IJ z)dBj1=Xe6^{fGqgF_#R3qY9i%oOVU0)-85ISx(rFf=gk$3!FVPsBZqdFcDIKdyg0> zu3TMj$YB!v0(+v_)ysenMaleo^t+mf<#r8n1$R|rP|j4JkmfW|-&|qDVMG$(yUdo{ zjO;5gou3zNHbAzZQvM_RUv>H#(MY{x1mShJi(3cQr z*eIK;1J9KsnH`ij(n3Ggo`NMAn%O-R4wt5z-|2>0iwB(@GH{~@pyy6B+^zn@VZAg7 zrLHn>k0JM)u5Ywl*B=+l4Z?vXF10rM>6|O(LvUL={bVDHUow7Cx7WfY@_U8EVd%y> zm({v!_NPmw`mJl*IUg3hc}I(1M}-8Cpc8E;GU`b3yqzLBZXGp!tSXg2p47|Q6Q3GX?IbR=ms8ywU zFZM)ak^uwJ4deL2Djcoom zNiN2Jjz9hHcMh{N{CA|rNo}hbtme2c8oqCRo-5E5=Y&abs0QT@sWB^{0tg@UE{O7$1=%lm`jYFTU32Nv-DleCCSr zdaoXuce(C7n0MK&4*PohoG10^dOz6ObUOO#mZkmrJWZJHSorpQJFp39yt(MFnI(ut zI=-%!W{rv3KasIA)bMb>J)3qJ(n()wP-IlA%Iwz4oO0Z%!rDBA*Urwz#29Y8+Hbln zYPt*=4(a{A+IjA>%7%GKeavpm{O?@kvz_PHz`4oT&)&*s%x7g1byoVWUu zm|MT~9Q>6na{N}Bn!1#U(_zafb8^1FSSm{E`}lbDF!B5br1PnG+|420Wfj6+dw`&^{W5E8p1{+-Ax_0B!im;| zD1zI1RdtF1UTg@Y66~JUnWT1RcuFB?>JG&{HuD;(?=|#tzNkK2N{@9~UtTZ$1%2=FxG$>nbZLt2z-*)_>tCI;EODOv6axx3|47a%{d$S%x>y`hLnX0bZ zei&u-I&yq{J9DhJ0$r-!>hQeYe>%Y2*y{9rpZpAH_hxfi3ZXr>toC+?s_0$2zT(_| zhP&T<|KSqIrQK3kb|npr*saHvx&9#gd~0XTP2ciy{k%G(;LMJEKV^XsP5D}Zut*}> z#gb-uZ58FMjU29%b{^WrV6=1O+03ZYu}+;LWFK1IG1~GQYJZly@B;txS%|Thc$qUX zT89}l*?GpdcQVP|b~_3=pP!7vW^1eNox`o-jWD+wDR7(9Q>VNA4syqF|9H5gULf7p z(nT^&_dZ~9dxeZ&FV7kBcyW@yJjca*?tFT6f%NI-cFOgA8+obV@&v|}U0!NDe>1uI zHqV-Ma+pV7WfXW}RyBPx-WXwVJO%=#iSovo<={O>)9>wj^meCrUxqc>{sKKF0R(=; zA6RL!4D6H=!%FQqv*u)2t*zaf?>Kik)tX$k?9yn*z4|))JWl<7&G_;<{r;?a{C*$Q zwf)jl#pVaQ$TO+kOu^rjsT zc*UU0$xzW6>T8H9*-F3(0z{y%b3hbcoL}9GsO@JQ?OxGp&-Iw=SF)^suXHJEk0Jw~e)g+(3cd{hKmrvyrX{-I(w~9Okuma^8umswa-4UpAMm|z1$LiwpDQd)2J@zGn$es zPF>Z8^NLMV#L|D9C&gseEn7gW5a=9LBU#XsbL#kGdv)fJB=p&Nxc8=qAkBp^JUWv> z9)AeY2~@$&Jq5faIy=71)O_Q*TySux)ySuwPH}1~hFu1!r4DJqt zI}Gmb?l8D>c;4MT`|Y0CFZS2@(Ge9DRauppUESGId8Ll-t}nr3rLDFUyW|Gh)^u4` zxz~(j-Oe@XK_uXlhUTQEN$svLw${xiTWRvla!VaC{B}6?p-18X3g`Gfp9jKs?X);jZ3&MqcNrIb=e!}^USR-Yfbs>R^$R$|ij8bWs5;mj;iXaw{KMBvOA5NHJ84s_ERfUb4)!(ho_iF(3YHbT%E zT?{BKxP#v`~cfeuB4P|J`CO1=kCU0qF#4UmkEq1coK=A`7z5q+=QOR3MrkGr;zCwc|pd z`V-!YA|;_!w+dpZ%{O-965weU!w*^w0y})-GqR?PBz&Hm2!(h$_k3r zaVcG?n@E5(4#=AUi6a{j9d34*aEA?#!V82u{7tox6a+X*<6xps|N0sWSLfjrLgIn^mqI0}65y%`AP4+iRoR==1TIUGTBVc>Xw zfs>5|*76(h6-7QG8fpx>(*vpg2nU8 zl?9jNh5t(^zpiRA*v0$ZR)%I^4=Z8Y&QqrvcenwqWzo1XisP&0ZIQe!Z>Pf8nf=ygvu7A z+JWAL^ z>OEo_$4C41J=ND44i$I}qP@LTk%eEyf`w=--AamVQ3(o~u|SpS@m!M!dq~ zp}|ELl*TIXYUc4-Cj&V>QNuwvY&kw=`tX7jSLG}Z1uZ1e^V33(zaCXYp&Es$NLC=>o(Lh4a;Hmh* zf`7nN3R8F{BU$ZD&dNuh)vr?ot7cfJ%7Uv6m9l zXbr`K{-X#$ma_N|X9jq7TrfTgQ8t9jE}UHbu3OaleIys5^RhU^8xi#6Ex& z@DdeEa8`A1B>DLIVmkV>wo+0=2DBxYG8=-r1taC!$6LGC)Av0d=mAKoe&{UX=0>u9 z(h>nB+%e>bziANYpeP|EI8-U5W3GDQ5er6D@#T8?Zz3_y^ZD-V+zU@`2JP(Ob0yq2#{y}Jg9!@(mbn&Q*)bY9$Vxe^UG=0YL@Tc8h(XQj<@_}I3e`B3(C)&0d zuq~uJg;TQ%EY&6kbj7kIp+!!%2wKQ{PaM4Dyh#lF6NPuj7Y8fTq4Ud#U8%0ABC)yKtz9nf1k%!P^gWW%j{WXSN{XqlF~ zZD^ClYMd^BW7HjGy+s8S{;EpFQ4g}?z(yH+GOW%Y%s0zAef=G@Le+3b9;w$^SsV7_ zhkjipqYhm(B=)aAWs_3^v(MztG_7+F^I*J@EM|c&E>x)9@2H$_-4P<9unB4ctjn)L z5_XSI5xz_j}=Sx=} z%7deV;8PvTPuW(?ZSoc%%>o%7{MLkjL_8T5jt=R*L~asBZClnUwVB&~cVB-IoBy}& zho77ar5hhkNBM36(K-&7sJ!`N6GJtGk2CrQFNfB8?J2bz*G?_sJUh0uCQR7Pt5cLX z-C_!d9PK>IJq02|-96fz%$LrYbe*gm{&&oDkh^<-9#dp30(fg{Y|Xn}Eogw?6lOG+ zgBZr|+w=*!Y?7>YU?9%|NO0|Thzo8!3_1}I!L9M5ZsdYjXz;FKB49@TyaM?2G^Ef3 z_HSW$+fOlT-ncDCK!vh^ET3#ZEabV|_WOU9Tw$ka{$OG<6R5<^ra%;O+f>Lo#0W35 z*BhK!;NQPJiv``X6#Bs=zXxvtL3p43WvWCa6QtO{wX;T<}3x~ zVj5SAc(EV3Eu=YrZ2t}>m(An|qilL6MlRv8W?eEB|H)|ufzD~oO#NA#?Lckn&Oqa1 z5kJ@}C(@g~8snO*X=+j<6v(Vu%&8c*DqO%DMAq(-ajJamjgxWd+d!{L)R$^TW%y}u zd!}JnGP#}x*hBFZ48;K*-@w>XI4Cuon)hja+Zoq;dE?{)WA0DLWO&XB2_m{$Xuiq( zPO}tJ_nf$T!Q0ZwIzVyC#l61GGs#!?igjpu;{>KVwE+fH)_EBw;0%u+P0me<)~gj$ zHNEyt%{htk#xxL&vgje)$!S7laCv>h;^m9vAIWWo#4Eg+Z|TkpybQa+0QdO8#C-!m z#?UI7-`Oz=w@pCB3xP9#>G-+_eSb*$Ivh!RGVc}K-pIPyv+SL+?BrzJelr}<6h`pb zwR?Z;@J_xFePuWltv(IC0a{0aO|n2#)p8M?j`ajkCzQ1!H_6!XE&#D|iFoEgu@=%( zv{)yg-6;nK76vBY3YG`}SKA)IGJs}%?O^{Ah%N0jgrZuc-|#U|HgYe;`vtw3HFrP? zpeP1G6`S8M!!-!p_bs#A1!pQ(kQVFgR0P=*z43tyMOHT4b^s9T`NSoN7``nTzHv04 z*K5dW^^#YQifu3#a>MzN>$kz!8Tx`NN|OY*3PWK!VT7JC7gANjI0dfqAWi)VC=6Pl zIz7^F|I@jA2?Yxw-A@7m4ZpgQm7#&zyMQFk)~+4iY1Y+J1B`|*4f=diwqLMAb<$2A z6RHUm-uDxUo(pLR5)vU`%8+v$2#PXg-FC5jr>S9HA?qT)D`by|axsTjrTbQ3g^Md~ zv)Yq|aZq5CwLhUIS&F780?uZ^b|iIYL4}9endL0s58CQ_b1_DtMVzk9PAM5u z`wl8%E(k94ma$2zFM&X_XuultyVe2sLNwZj^+H&Oah&a6&Q@pUAm>$o_3=i2Y-B5uD_E!l&+80xXoV{oK0wnHnDz$Pn_ z!UL;466tITk_s1B13q2aQJx{4pP8i?bC*E6G|kYZ0V=XqD-MFJAtG6;tN!6G**G>R z3dMC26uyttd(ULD#SaFhpueCrXECVKX|42eC4eU_N9cEXxCl}j#dxr$($_fu{NU9} zmuulMhmzvqzV_q-gyYR(<>tquggmqm`n7YKq|1CLHk2WK?r35}E?^r~H1fYK?Zg?e9{^<=OBFs#cQs%V&ZAF~araz<^fBx4o&1Y3ShDK(h%Ug!~2_>PWjJUsPBFoaX^0kY|>_Kmg#*#R% zUL~s$yD||HRb$MB$r#;g;IL8+m)mfWJ!ei`X1JtMCpW%Yrr$!Hc<8~CL6=x;TqAt0 z5xvt&k{*LRIkUDznF@n-TqcZ*+W3+yB{)RRx}Iu(A-Q?^)G)Du>x;osheMN_idEI6 zwAP(hCRm!8crOV+j%+p*d}66VrxGR~6~QB;Q)S~b5e1E#hiMbR#EcPqs?v3@gDr_f z&Ws|X7;R#xH2jx{oxcA(ZLQo?-*oXZGAT=hWCipOSPb$I$b>^r&jxE1!e=3( z+9msFE#9Xipt1wT7gjokq&Z z#7yVL#gI!&2D=n`Dw4Hk*oY}9jtEwTD#-hb(vkj3*C&QI07P8bXfetMN`}Pn$?2Ea z1&)S-W2U2;#nQ20`(4Xpd79FK!ODM(wI;eT|j3V{uf7$JMP|EvK7xa2N(n3RCg)XHxIp=GNXGTiT zokt3l2iNuD`EG$8TZK+jgg4dy2!|a1MQVe?bp{=go6WvXdt7(MUl^#AH(2XgHetL%y?x`c@!IlYuRQxKA zXfqlvV97YN-B)WAjDEQ)l0kimGvR$A>H+Nmq4eDebdCusbIXtE_{IKG*}hL=0i0c4uVr81 z1VW;(oA~QfUWffBkjFRiIhDod`wVIuf}IHd7f5=s@?+&aT>FVCRvbQTo@1U1u#!}Y z&XbNo-KoYq_oX97sJSD?@Wf-rC^DzdKq}8ql5?~>L3YK;vG#KMvN5t`90q~7YDvM5 zN%=4-%52~(sY9HY^aULGVJmi>3RMAz_bXQiIDV=~Y!_;(k0`6yj!al;BuOXq0 zFxhbwkimy9=R-L=ULVIkXM>-1XRWBsSxJQ;7EO*K~apk(= zcBo!(!P@!g286A?tQ92#H6e6>Ae#pSmob5=HK@Q)-He<(cDpW1_y${211WpKIJ)$e zO$RN2&9u&yO*@2@?G-(5JJI}&6FVzo>{~cd{0>m=m&>L57~N9Mp19PURW59D+_E7> z1$U|8K6q3j-$4}h;62uM;*jWXk&7k$I8)$0L1bclmtlf>9FxmplLP%FE5HOF zRR;uTPtA7?%PEI?7K~Fj-?e3BpoPxsXpxK|q{3lXg~(}(13>)sLOZ4L-;oQ8fy7R! zfpTHX5kC2(=F{0otBKe8d0X1xtKo$s5WOBB$@ z7!w7x9((15N`+LC=DaDKmp#S2V*%B_!utU0>_A`6^~P0dR9IeYitO;dYber1MgQugc%EVMv$67c?9EaMtL{a1lFGT&w>MtT1!nez!t#eRe8Ymf1)uA{ONg+F9Z zTlK3bf0^fu2|m22+Jsa%?T%Zl-cug3=BiIr+j*5SWKi_BqQ^|Vf%>mk^o9V8W zq_}Q7fyOva?lqlpZ(&B!wO#u}^Xz+>x?^>e)}v(o#`Be@9a{`$4cx%!WecKl4sp8hdN!68jA@adtqDl2Kga?Wz#0^0!4~*9jy>~2ze=ov5zcpYAKAD;C*HQ2OFf^e zQ0BE94EJ$tmi6C8zHUD6_ZkP|5E48|*9Al$7m0CNf7Mq{&c8p7u?gS}!^z{^NLs>m zK5ev?T(*?Mv8)C}(D|Z`)VpJQ*pKJlSa!Vf$D9VfJhI)KY|eT=v-+ggWDyXy-=OJx z$T0dYg^gT3VlY13?YD`pO(R=DemN9TK6#Datkm{co*Y9Vp2Ob?D+%%mz}++Rum1Qz zz5%1g=V!zsAntqtJeN`GZ%PQM&BZ9MN}90H{DcZR!#e+hr-~s9I}#`z!Q_2CLK1)w z`}*_Z+|yu2#>(nP{^^h``Cj$w&#zMfjSKM{^Y*STC5h!eF_W2XfjyuQe}=l9fVb=x~8>2g1wAZWC_ZgL<)rWI;; zj8g?S2S~HtEeN-|?nNmCs;K$O5p%UZP~Sz;3Q!RIzkvD`4EN`=%|*V3FsDC9?lAK{ z{xUw59ug~V4}|UN`ajLwOgaQ+8hW3W-KfvA@aeW+s-XJfHCTj~OjBn9Xrh!CRmsSy z5eg%j+_N5YXIWQFO!;wduH{VyNIg|ENxC+;L4PYBVcW5;R?D*x_Yjo7TCw*lx!YQi zIUdWh`L$g>7nphqDO%2Rv!)~KUsO+~Hy!X?gsk9RHrfVN1%(~%OJ3fY4a%yX-n0?a zTRm^I62{M5f*xIZE}m;Dq)s8+JiivL*W}Rc+Hoa)8D~8=^|WB`ARJw&u610y6Z&|9 zqPH^{GW&l2tRg54E~veBwo`q=CWQI$)|)rsAJq(0jo)%JXAJoHh(P*!}%= zuve;heH|*PL}|h4^YXDw$u0P9^L(54^ur%=H*b6UW63T$OTw6Q*aNrotX6UVW_4iS z=k;WNH%t>TZZ6w^FMYm;kYMt3wNh7?gp$`?&WlH(TdqxWYc}FEUS{kneCwH<>T?}!g3>z)m=`0lSM)8dd%G4`6-?_>YCbUIJo z{rNd<*G0`C^lJyvhAh*A6Si%#U*8=Y$bLt|Yz|X!bK7^99$1F5R2fog&P&Zce!T2q zERh3Eejnsc3NcBHZ=ZRA4o1QIp21zMrKa_ydwe9SP!*2fL~7F}m`)^b*lKV_zzu#e z^2`i7hg|(>GCGpSD!)8c1#&ei@ZxYiF7N$wIc(&V&F3*e(S#_kkCxHk>lM`}wY1b9 zi1ayUp|sQ?7om1%zwwxHr;(@2@9tu8ax!<}!o+OzVmr)%=a-Z`Ih+n>S95HJP@FcE z+x50yPKFQ1O^XgBv37!KWZ1|dTW25fBkbq(XwaUJ&sukEq6KzVb^|yDK zeLpt!nBgo`{_amUa|7?Dt32V~M#uQNc>ASUWmC%3Q(~fjEiW$*sD=wO`uAe_zODVp zl#oUFy!VDn+4iP2*son}xF*sOF~T$0X=Mz9j#0gqc{kp6Yi&U>DN5g7t4pUSqx`e= zas|%uG3nt_jWZlv(6rTgObK6HRJ7ph0)zk7J)J@n$sG>pF|u}b;myXUEL>gR>zWw! zR%dKPqyhu?1+HqpCH1MYKQoRfUyZvCwhsOY=1l^CxTFnk zmy?PdGiu)=CmNfUFK{f+hfCU_6Y#4mbuhlznWns{m9yl%x7qcB?v9vM=Gr?DAa=$fliD_36I=%Wpu zg(e0ccOpbTAw5`7Ivy4p8#t%>@Sloj^CKTvG33Fm8F>i^hbbC z6*iQt7GtfkLW?+6t0Emwjj@fcR&a>OUauEpQG|8|&zNCX z>(C~(kR?NcN3l>KM`F2^qc!NO>@e97snLTM_(m4v9fAkTqysMzAdLkDiernStdWFR zVIDxz`=n}HPAm`Ch-DWVc<-x0*xEnjKvk8sk4=W7$u@>YgjaF{# z0+|UXd~foGwu={Ol|7$ry0MZK=(xuTmrjFIGGV^t+erc!7eEa?Y7~Zw6G8(x#{YsU*``+oSfEt?n1g|pc zPEG8@TK{v_d9hx#etXfDLQOhd@lM!&`d>;PJ<|U(Tvw?nY=K$*LMaxFR=u83S;H@W zoB}>7luV`rD7%FICY!$&KSvbdJO9C+ZAnW;u0|9a5aGNIo z*yoh`Iph~L(YSS$V*O8+n?!1(?+X~+_vY+xS!@OHD(UW%eT;Z0I;keUk=6L@+)p&Y zYvj*Fsoz`wq2;kMXCSCuJIo_^h2eu!54G|Dlz}~#uoplg|x!=L=O7d=+z36)bJ4y@;v=Xx#Ex z&gm^u#%qU;w2eP!8!*mQ`Ci}$-9<{lpDLd72ZKlozS%d$7~fN7GyS43);bh&%L9-) z9e3p@@dbD#hu!8&eWxo3=J*z2HuBplIJNj&C7YWIecpm4aLhiGvA};Mpso>xth!+s zuMwc=XvpM5V)7NwDo|Nw#NH|q{HIYi_+*^(lNXiq8o>Kk$mf0P`v{9SY|CvG@A9Pp z{Y4ips}72-QpQsxQWU+Z=*p!mL6Bit(hDll8PqkHPcvqF7J zyW_gJvBc3pk_c$gq!pqN`{k;1P-ru=`SOX_)QftU2!+rt)l@MIG-)}k%)x)ofSV@j z-y^yjtiEFy%u|>bGd3Hmyi~2)az#ucqks-!2wxs$sIw+evUPHQ{fhfGy*fe%u%e^m zZWeM+IFgk_;GevWq*ph7?eqbiMy#chvu35X@9KI%?z>Gi9$3t0&piwG1LuD&&Ds%G zE+6i!R$f0;xumgbK##L3PqT^LIOVLImJp!x>ve^AG$oZ_l-`FK4lDJS4~+b1QONW&H#QJ+BDStoOq>mz5S)#q-qM~akm(VGl+NO=DbS!3 zC>g!ABg;B#g&&UFRK@#% z7WgvVkq?TS0P7|5q3{AI@dUPDIGrlwY=wern!L-X367^ig1~v8+;5^xNIOK)>~isPgXP1j@5Xc#h|ke z_-rimORq=j-bGZYg+EuUFp=05wTLnvP`6P6%Me)ckLq3l!H`5x>F;uc^b{%U+H!K{ z%jxfAxbzelo7$1pLV(Rl>4;)Z3pqdQ2xL?k$E9?wAQu$?_7qN1u9ER!Fd&V$O-fIQ94pC?y3RHTx79_FK$iHr*C zwUp^9m5z)$?xi8egEJl;F~m}2cWoJP1!Ck2G;7>%&0OUx@l6SY8g_#Ddl{E*9X`rG9 zXGC7CO3+!&-MG5*DIL-s&q36DB?=gcH>N!|+o(IXzcrq%3UzS3K7>pcd(=Dwh;MfS^9g;>{b#-?*qxZ* zQ8PxIFz?k#?z6s{VYg@X8nj`K&hq%emol<`t0qv^*q0aZ#J&#G;z2W-K`jOhik$m* z-cwH<;&H1TU zlw=}=YiA+ik^hD)bF-XS7{+QUZIMPpC1V!|^hR&Y$#kk$X+gy;eUrhL8%bOxU7-Nf zv_1T^nzqYgqHupTo39+bRJQ{su(LPkeC?~6dh1Kv{B9I)?rze#*0@d)&E0>tedvbX z(N*ym-8)#2C%WR~k4e7Dv(CGhI!Rr++?MrSZa=F?ZQwP-#soGJ%)p|VgB*gig^3t4 zwFVK>ux>pwxZ!o+kPFKa;Xx77mKJjVIm6=%TtXuUq*VQuCZIi`Q_`<3HC5SUEB2wU-lQPxr&r>D3rr;v2E&o_gJ>rd=m$mCVh zm4ti>oWtVRFSde!`);i1FQu_AkM+aXI)DT2n+tkd4Q@XTx#49Wt_ORi0%wr1c<`VmYl68qkzY)mm>Ic4w z$t5_%+j9;lJ9TY|XZs<3k-Ec3W6HjZkV7cDbeX;FCP8t$EVMzBDC_C&*PO(REPAwa z@(yxv@$MeR(IAQFd^SQ=co&6XcHF8cm~`0xHB={hJkp224|X*xJtn$f(79eb?;V~a zGxg%eE>G71r0wbAkw>uKC0h{o;32$NKVsq(Mo_!+3=&7_8TTW{_|HDR+vk$>pda_W z2i?`oe!c1D)V{uj>8%I+;NcDb{!hZKeQ>z*zaR&_`)1r#BT*Rmo_sNkrOaW?46wY; zupUS5t4P|OU%q(+*BCPVMOo)IaV{N7THMf%QIBX|T%4Hd_!`Z8SEG|Dc!oPiVCP3Q zY;^6v2d1_?LKhe%)#>ASon82}IbB>daj-Y_V4W)Sb1Km)D&VnND&XUTDmccCE7vTQ zyDCy3FkSu*p<#hz=z-L7Ta=@Q>Xe8lt3!i_^6K7ABTV1mg^LWN{E-L&9ms(#yw6)% zxbulMUZ#uK8iixsuiX4}FsHKmyW*9H{RK+rmK^s#z3utmu~M12SlR#U+nz4%Z&s=V z+&`?;T>+UP*RH3efPNu^Oe4`CfL(yEWteab`iKyqG;+D=~!P%9v&0#C0MnasObdc52>Bn|I=i8}I#V$m_kZU5TjO&+We zQfHsY)yC=J5W?kdEZ3Jl4Qt2C$ zS_(gGjFd1x82SoxH+=o$rD`TNhe2EDo06(JB9si!aJ){RXk&&C6%=3Ssp$vtDcN1T zm+Y?g;WfS_#YlcCQMI+1%)KIulwQmzh&gPzBxO->J@`w=>3x}%s+Z64;rtY(MsKV_ z3xl!Qzm+}66DY;(AC8K;xqamE5-QX9LlP5-Yo#H3NIQ9d2Jo44qh`s!|ry&rle`77BUs*4NC*X&F%&-;okBYDB$R2uK}`ZE}C70 z7eE8ng&gp+GnNzQ?s{exsqlfhn{NO@KvW7{E6YEw zx(I9J=!66sbB@aS{31jnX*N+ihftVsnUo60I0>M6H5&sizG^Cj1jgG$r)KpZQh^NoPwqvXH4Y zbA+K?g!Ra+soxO)qX~LH$_gs|S&mre6lotOQy(0kB4LVHApm-F-PiT<-_O0I6}F0E!yY2xyY5S2@fkWMsIA({{p)B4}WMUiHsurD_0h z01O{SfSrbT&apM^LWFR{m=SA!8?9sd1jN2BMrfKPw^2mEK`dRnWoMkWLreaJGNYEL zK@Lg8c`wHt#QVnMN;nhb&LP{u`VjhWBO%cUlv+0RW5cFXcN&LcIkG>?v00k!^wvG0 zVazqPi|jKvxQq!o*L*ww}X7>YquE#0+Bw4 zsRdztbti_1PTn^UI8+mD>aTVl+Zr^dEYkyN4#do1^wz2)m&(v4N`$3wKS4hyb#*XV z7s7~=YKW3j6~U>>LSJEO-PGo@+U0~Jo>fkZb)b*>HH;0XMNK3CwN}|(_a`ci-WC9^ z)8mDJvDWw&&w(cLjfXFoYt%}MUG(BJc4d~V<|#;eo%=ck=?u;f!B5~mXz~x(`p^nV zi(Ax6m|tDB&Zq`}*AoPzm%`~GSH0i|9Vk6Ke&4-Q{zGlQH@YAh`-plL_t0(y>?QP1 z2co*n_L+$CtOd#>F-?^44Y0m$2-Bs=BHc)}yAk)m={c~|5qp($=xivkQ%oiSb>E~A z!)nEOFslJD_BI^XxIqo@)M&MmArHLydkm8-`>F>AckO_%BhE@n-XP^lJm za&le+KYIe5XU1k!yQnmNqpYdPFP0>iQg7N%!^Tla7!SMIY(76e`JY|)K$Y>~$(ohi zz^<}t{@efyI6)(cR;VwgYLh-Ce5ToL^ZVOKR;D1XQr;+Qsy=47b^!Wzl%a-RGUgXt zZrnu=69eA|VSOi-Sf?P?P@KLRvH=v-$m_8rW@C)o#iR8RfA*(qHMSk$;AAZ>5R~1T zj;fAmF_oG8;$yA z{~M^2nVXY=iJgd*ot=S``@euXSvlBQ|H+E{FHk2F3+q2gl>hIbPG(MS&i@*HEN02p z9~V2ZAF)`w1K>%yBEUsw&*?yc)|sP-Wb3!&VZWFpE! z1^or`wsI61^G;r;FNs3r1gLoVKAZ&-s*42g5v8kfqnk;BcTb<*kqM_tF|P$YU!}e< z=X5DSrSl9_ud_P392mPCa)+luY#8;7Kl-PZc~SQsyi|V+VC}j&eWd-U^>>S*5w|(o zT1H>SqM}h>`BX}vAg|&GxqsN4er-twmPV-O20z~_Fqbu~yA8Q~!&I-<4kN0ZBa(Xw z`OrlG+%8ErA=JS2*)FwEN9grtejjPe>D=mXFJ(P5UWwX31)@fk0G75IzdwXt0;I0 z9@JZauG)JZg0o@*1TuC(q~mHQ;9SH0d?!Fy(Xlrb6tZZf*J`5A;JXYC*@VYMblA(y zRd)=eVA|VvU?23$1dZhp0#g5}K=5Y}1b&~eE(BgO0Z1u3B=2__0dIk2CqEe&vN#Sx zVFjX8iUAaXo-y$Z0io?m%s+aJ9JyVQXx7cbV5F=HwdSoKN&i~%Fe3{N>%Q|(0R4|7 z;M4jnITM7(Ez{oAu0H{(9e)CnzmNM_dzRGSe~g@49azT3I|DA@5Zae|;T6a#M3UWw zgHxvpnyN_vWu`vFn^91U$2ol*jYLT|6-+Be!pLtSEmMKIA?o77(ZrwtQ1jtQ%Qk~!lc@;FAPw61IU4!;Gpvlq<~I;B`DF> zMu5rDiw4e$gj|sYRGR#6+n;qiIW<>J?wUiWO;1KZ0}Wlu$t~6**vsn47~0ir{BGBL zpBm7*kKA;3#DdqnT2~g_)~RI#XK1cjd&FTd)PGXvZ)dn}KiWs9jx3uO5>)#GBiQQS zkz3nl2J-F&0B*s=d1&h7Gz_kQ<=ucZ3-}y)ap$!22Yk$`Yk=8pt9qIfEI7>0wMx|sw99z z-kd~FN}j%CC)J4};cn>m%jSWq4+=t@C4k~U2ruLjS~Uwu@zs~utb>yQcB zIr!-(StFZlL8BX#D8H(2vLE3l&P;7|+HqquCaVVB^_Q862yk3aGO=v1sh{O4U5d+v z*tv1`k{Q1SrEsQ*a@W0X>5e(*cS!D>GHW7KwIeE+&f=GOgU!O~BG`ITx;ZO=S*5CE ztj*V^Mh`g`Le@3?A7C?vtxk>AcC|b8IkRv`4blRWEy!B1aqg% zHCkl;rc}4z3oer7EMP_f`6Z7sML7ZJpDTLOmbb=E&#EIdC1|&QJIQapMTsZHkq>I4HaDs4zo(S$>7&G!-W*`qY1bPvQ#9DcGdZc`s7R{2WAfu3Tj~XVDmk#lqMO@m^2>AWgagL}#6k+Hsys{J1xt3Wvr=Vjm8_fca>rSO2HD;(rH^MLx;nrh3adJ307QeGn6Uc zuhWn!Hi><*7D0>7s!Gn<36$6~4$T9XXpi60h3vVK0&njDjtVZSUff({#dd@n>uc&5 zjV3z3d`<>7rV);tocSHcqlQhxDAX1@EI$vz?jrZ@4iMb>$j#n5y?-^w=p=0u7#&0= z!B}2);NSvwT9 z(bvQJ8Ql+eLqM5RprNG7HZh_>iON=?eSH9HN>=Odf8%2fdc=!|c@2<|qz@Ghda zud?-sw=yDL93RZ7$3Nn4pVB$kY&^51oV@xLS3U7vM*2NI`S=BHwkk(o!l!7a|6C|D z(~uh!yXX8$A`ann>|8;`{6joZ@`NMcHrvL3XV9{=*ClCJzU-+&U@}fjMbeTSd=~(W z{;Cl!px_r9)2&`89uJ>$1TTME4Seq8wY&2vc-<%ul6YvG%L6T+$%L%jVw7_mTCHyj zOYHP|ru?xt+Ub8anh2h;f@gFkv4h&XM&Uwm7r?HMQX{61fpwieCUu^%AqSU5_uOd7 zcHAK(;dT92o2kF;Ky6wHQLDNdxe}93L0_PU*jdf}APswdl zW>sc!e~nOM(x{D0%LZDb3CVSP`G9`+!WF`h*^=eLB61YVcng;x#wx-hb8B5>>6ur* zLn+B7CV;V?yC-m3-35KPdLz2pWVj%xelm6tGkpd<>w?wcrA}($C)+!@(+BmCVZ?)t z5W=GdD>;Vy)bMw<&b;%DV7zTq9%%k$bl?QUC`FAEI6>DNo}K+ybGA=llY`XK|7jin zpFBS`Pe(IGEk%H}nTadoe^5ju9GvYKRm@x*+?-9!T=@7H#XVdlRb7o;&A!W$s$4|> z1lcMA0*n$4_O9Pm5^O}w{|NoA`mPiaaq!UnC-Np@W8z?7<04{bXJ%k#`7e<=GbbnW zKaVN@MC#0}OdS6_JpA7ybrx>6|8`wD)RnKL<3!$!WBV#d0~Xt#Zs{WT^h5z6cCB3@ z!jK{pp7n+8C-Kiybx+aXpX~cG{41@-`-k( z3X?-<+YpCuo|VPOoA!>8hTC=~CN7aLambOt0Qt zh7_hCt}e!xufa>86|yHwxy)&Vm2KVzA&x5(Ye_`<>(~xxPmK zoIGjC{Z9JmuZYnUjWs|c{E(t4m6XFbFBEAuojPiif$gwCC0&(lN>!s<4EKXmOPLt* zNi63D*@{BKDs(j~X1SbcyG6zR@;anZdl&>$M%jIln^ai}+154;NN-lXo|C&>iA<^H zU@Y3`hb|!03ma7miR*<~qE-<_j1xWu2m2Mw!MyIssEbrB0vgyuYes`iRtNY;T9L9) zTvfO=h(m$QqR*n!(UOva zOG2SYr9pF`VlxDIqj8BiS9&Lz8wun|!Fd#09^Wn@w(;wT^6w#GCvH0sw`$l!SQ*~6u@T7QI&rQ2WO#J%RRcvUQ44piaJ&M zqI-44C`_n>|B+#hUd7vrr<{OZ5#TmT7_yiIr&z|v?T^Y%3$a|iq*^Nj3Ov8Sn_U{( zYSkUB#lTS3H%7=IuoBD?!L<~5)#g!GR&QtynR;xO`Fp@_rEmy=1_ea27Cfg|!sv`5 zWuu=ESNiF_6`0Ijv|A=nf-X`r_ZsW7HXeT1U^_POVH=rM#-b6SFnm}X9o9bkE={bU z$}&yJ{WJ~gly4SJ++=l3ClK|5ROHR zF*h5kZ!`h0S)yE`w^+};2``T)cUNyUuJc4050~qU@kC;wu+h*KpM;}R%37u=+}jJ? zoTQR!Oa#J1msBqu7mSR0+&7g?+FN2<^L)SWXie%+(TA5LezJjYNozU52_R6)U*tkS9wg3Kqst+L%FMhK+VmaJ|JLw>u)sb@ zEE@@NU*ompQHf_hWUb51OUzFTW~GI;?nuvakiY4$w*dr z##6_SO>r0qM70zSx7@yZ^iE^RI>jGoryK>i!Zd9A^isLlo4zplb}w-?9kM*qEL~8l z0zC}cg-!?IQ6w2aYJ`$csDv)g=)4Mig zxG(xhiC8gQ=Ak4anu9d>^*HZ&A)a_m8-ZFGlwBL}ZdTP)xOyV-Zd8V>jO)NTtlDY~ z9$G{UfU4K3zMvD-7<*gaz6$DLiQhHbGOyz4Z()avCKJT8-RmQ-Ryc6NYdDSGlX8vq zH~2)@Sw3R8UKM(=IRh|SRy+})j$wIB5xb=0))5?F_;y5X`?*@eST=kTq~_x7DLW1~ zW_yD1&-Pn4{H-k#TisC%czbSReH=QNH@@MUuO9@{)ytCqi$(WzEuv)$okoC@F0uCz z&#|z$;!)H@W-w=blM`eqXaF+tf&w5c%H1uTd^%erB(`BToh-ayrAVdp$xF6;8aLpJA=s{rP9Q8|L_ zRziZ17uqC06+FPJH3KLDT3Z%07hQxfsS9HvkRF20f`+Hnx6;{H-4`UgY6m8Loz97? z9kQcT8R$CDpA?}6saxSHu*bG$eH;QxiEhGd6G(sChr35oW2kJfFFE3JF0`z8m~~f+ z$+b$u80WSaE8={@64La$;dDLDT@WG{-Q?c336%M}C+o_#xdh8o9q!$ht`vAC8v4!! z5*c;gv(B>@Ycu^j-~GSipKae*CzRKpr2w~@4o&oMyi}$9-PUzRyKD7F<|_oSWVUSi zG2e+e?jK|%&Yd=rG2{Q-d@{ba1$Y=0lu?|IJKYniqtds(mZX(K*7!?3Vyc92DOZ5i zSGsHw$4i}ThqW-SaxpLCIpBXp$8z3nl>iexM%>8x7wvS=tJUE-*gg4AP&YH^x_UiJ zyQJJB^5wHH;7#>zBHY|K6;qE4I?WdjF*-7Q#bHgpI1CvAd)uD4wnDz5OksN*Fcp*x z^37tJb>0N!mam@rcAqD$hK{i>QZgv52vkDCI0M6ms|Z!=kWBZzNo5#nV}z1RiT)CF(w_zgK?z81;HtJ zbap?&Y1O^mCa9kle;D6vdbe81*0piNUlpE(*Yo^h0=GG;nUkkKa=>Iy`E0d&1G#lU zC_b}y^Ea25gQI0G^MoJUGv{5yfltryiTJ)q;HRm~oi_xhDyv+71@oM%-g`bc1MlYA zJly_ddK+Wb4HIx;1Y>+Cp7M=bpGS>bH#|vMRP?S*ar3h8j%h>txifbh8XIDoNx0F+ zgLQLT7)~DMcYuof^vkz_in9y}xk1L7t;u=xX+ABd+4u5)TSfwZnZ#oFAX)e^ecX@z z{1!3DmHy-$LX4MQ*>5Q$n)Wt8sJ@NK#lZide_5Q+;V2y@z;6Ad-FryJSB{spQOwKv zdK8V}W317+3-bA5URdYM!%fe!N?iqA=urHGeEgZ@oifx_^ks1B3ZAlBbY5=y3cds> z`(}X7^Vjn~A&dVt6Qa14t*e&96roC^Te^sJJUk$)zThYD|qHOm5WI06N>Xq=GoCEJ2f>!OvnQ#T8|Narn541U}Ax-=YC zeisQ(vY7B8?6r)~*{(f!8MMw*91g*dN(g!7^Je9O{Otn(u zbQ_me+sWZ8&Z;k-Kf2UO4#{4W(AViUu}hv3(+8fv4vGjkr`j#frgjs$pg@;}hR0Ly z9d`sWm>or5F}>@iq!t?MD?90^tIA?l{7hgU^m>`$R}ikD>m7PTRAEjI z-qm>jRb1E@8-mx7TwK^6a8}zymn|JbN_f(+U-`j;mz2JVvyQrCOn!{-K%`7yzx{zsc9;>jO zD8C>kwMT>%@yz{^=mci0gVE9SRaj<6Jlcg0e?#IPWYo;GShjs7uKQ z7qAkWW7i+|J&S`wRl28m1P|(tzF$1NO1c<1glvOrEm$Uzw~XV!y~SNmlFw-6(Lz!8 zfP(twmMK}lpfcr_AvVr2Q_XUuOYWNqa;$9o8WC`x5AekrqVD(d6>*@ zbe_FRMWZ)q!O^frC#@p8a`DRdI}^5udE$4@7<=ep0`J>dy*2IMmn$b$thR{><9GqW z<6rlduX9vG(1v*)KgOxiT2=IOQc|ht3w<9Z*U<4W9$oX3yDhiH8S>&b*3yd06OA{*&bWgUJ7zp85YSre|WN{|5VP-$_l` z|EKkhiG!8>pX8wbFQ(`E*An-Q`v3Drz|6(*|H1S!b(byh+lY>zA?a2z9o_;hQwJbo zF@+f+_R+K;tcYMPm~xmeZy%IOD0VZk@iR{9Vu3z&y#@SAXi|xdMaQR&V+(Z*=>mQo zrDvmGJ-UkzXGhFuXAG#H&t4tHj2nmK4j-3W4=*L4I7a)$CWxqbP83UsKVpJ5fQVV+O|U{3 zEt3X?bCdOD!WeOXeb3x#Lxm`popSd&9ZO$p?&>WsPA_2<7#)6BXe13W?u=)3YMY&d zCnmi7XT&B4HjXNQjj9c})O}<|?f2?hLPF-CW^BK|F-?AUm5<0i*z;N#zzjB(z1sZ8 zt|NT8vh{0X_^8&}oyemu@K6U)8_gQ9pfkMT9q=kOaxB;`V4DM|M>U6%mqF!Q*_0WOO*DSw6=kJ z9E`0<@nIp?$GwF<@U{h($YuP+$|?j=StbkEf|(c_G8_5Ng&RwLDKgK%)9@#U$Nlna6H^0YwwRq75t~k-h|bUq5!v@sLHD+3 zS= zQ?T3u_~}=m)@CjTzqj_^(I%9IsA|q4iFfyQRNZ>hol@3JC^B4HG%)m9zI0l$Rf(>2 z;<8j204rabAUvr;%|qj%)IwAdyV}4y5ykW{byM`(Tj0 z>gsIKekA3XKe|h3pq_vnrCL?-i?3#A=`Tn5f1Cd(9`TFq%7Wom!~ zAU6Qe9m?#L)i47a7IMVXQmXyB(+;Fyy8nh!dw>p*ILmIk>ok0%G>GCjCJ=v@_jC(z z^VkW6ia&xc5JM`;8K4Pb+hueDNE-mc$(az5j3NB!MfD3$Qg&4Ug;_U>51X`LFP_M;sAENYW2z ze=ExIkwt~Cn``-}pGuw^k+Cq?pq!m13$- zqvn`B)O^h6_$@lZX2@o;(gUo=5c8fikDp&R(;>*gGy(1qnYHZ7H2p(AsG|T(G!9EY z^U#ZZ?uaf-tjcWRDFJtz4uLDqw9922U@&V$V)aO(lr?skc`fkXf;r~lli0NpQxB2! zq{LBdL`MtlgImZo2jQB10)AB#Dau+W_Vv7}tNbA9BBlMN2zrObO^gg<;S*czXIoMZ2^kGwlmDXrqUO79#p3?(5#CHCSOk}Nxp#p-&A?Rz{X^(b>f|JL zuS}XyY|b<2tc&1kL!^Ql27~JBWUFiX)~ zt|`|6+N8y0^K^2dLucYbBeKd2hsRnk2FU0tuIN+3d59a6%7noyX4+O<;IhQxlnyDC zmpL)nrHqq*#}+QC=o7+$FJp8r`|bf{VEM1J2m8P05YSO`DV3lQ)}K8*2`xsuMDV^C zsdf3^g}9k3?V`rTlOifuD^gl!18{D+ItBQ3Y7-efY#XC*Hx0Yb(uZ~xCfo;yV$Sp3 z!vavv+3XO+f7XrGX|TRNX3F8?XI}s^OnL=er(|j>bHiu)&f-X_ z87c1dd0jcD`n|-nJcnjky&#c-7$wJJT9crlkbc8mEbYdW6ZuBIlGUS8s--~x*9H-X zAb;Y2j_h7;D~~35NjYw?4^%h!V-74(-QlC#L z$JwY$&!Myb+k3I}XTsdXl1^@u3n1mR(hI=d@z^Li+RBT!gPu>5?GLUnA}b}yW(W|& zhYF+Ih`ct>>e7XnEnm6WAN$kZ2RcZ{273^?ts8eu6tfGTxQu>u~z1 z&VymF*VhvrZ_G4lnHR+jKKPo+s}v&m>5m1B8t4gSp~8ybEPn@%Dg3Do_(aJvAwl#! zN1XB~#g28y# z(bZUZnmtN$fd7GYh+a&tNywd2Z}HXy_`}ws3jDSiXq+VP0-}vC>~eQP0Y_PH5J<2) z1(GYJ0ZdM9Q2v&a!)uDX0DQfNT}_^xeVwPrrcQM3>2{L41*T$yX6klvH5#9imQ?32 zqviD1JKOZcCLSY`vtOcxyv9q<6aY z(r{}-+sq#pR&qV3hV8-mtHP((!KOJ+VG6ISIoRF8rXoz>s|mH*$7&hp)qB?!9PDb_ zC>_kxFB?1o|64q~)D`tcMk}dk})o2i>o4h&~74nC#2JT?-&h3J7 zCZCjZj~9-9I>T`o5zBY%mqOFCQ|?xc+z8E~dywpYLjDZaz)GR(Wj>-nnHUvZ7FswT zMu}08)rxFrW4RqJ>M4#qM8vW!yu8af%ti<*M7wp!o2F%{=t+ijp_^TXM9!eqj}CBq zr-k3s1#yB49af*Nh!%6tQ$s(jf?>G$~y{6-?QU_E-M#J}fchqx|UfT*Cysfrq z@SEfEdvDIVD`|%Q8r7MQU{@$k)Y#Q?Mc*UhX9{*lx=-5GES<+$bPsYXsTKIWAB#X12&M zSmWb4&BkOgiz>+%c5f`{S}%O+d!mc8YLdvh`b@Q49*yo29}NN|G^g_b{Dk08%b|J~ zRS-%FmErp(66dx}uN6<#B7(X{%>>5zvh%wxkdl*BF>8X<_W9r04H#g&+Ap%K^i7$P zN2#RDWz$`Xa({oy;Q0!jNF77llqw%c*Gbo8?3fL%aVVju>>O3Qmqc>XN{^8r82lOH zqeH{3(a^$4AS>%S#>p2`f*A2S@l0W@@T5JMQ#;7dyT)=9PLCaX4#)WcUl)i_RrUvi z*|)fE?&xX~YlSp_jW3&}VS`PsM1)s>Vc{W%M2W3g4&iijX`FLWj{A|S2hArkzL&7D zJ^7}y)k@JaQ@2;wc14`{#%{PYb0MmHtn>{vTE0L0uj%xCp^K(eMI)7w?RhqhsvrYL zG-;UJ&po>004`1im;WGptp7mm|CYV~tC*kV+vAq`8}qYp(lhTLCojsLsK z#Qd-A{$D#j3&;Nf=4WI1-`HK6wPotIT9CI5c0VEM7BI|S{B5&1A)+yaoaj5KIw)sJ z#dbR_J5G0QVp&Ng<^fb|tRO?j&kLh-j+}KQ&F}B8#)XmObn@1TlS!e7+Wmz6oUQM# z2Nx3xy?%a)#H*&0cfBj=0=~XOM<-vwwlB}GjpauQuwUB+oILQv8%AmdTsWR}JAti^k4(5MWgL_XNIH3^P_pyKg$FB^? z7y$aiS!STXc>7_>4g~X%p*#yQxf?WE*-ugbX^qCubd%>iZldpSd@9C>^eSc>Xb+-b z6OvGPRc_VYVa#((w*@n{PXM?M_~ar-whL+GAyev56S|DdG2M)b=Z`jHd6M;A(QcFB z24xJhgvPd?V1E}nUt z+U+CkpdT1BZM8+M-xGPT{X2W{#R1){iN5^I$mQL?^XpE>@-!l!TK=guCqeq<8Gbm~ zc7Pp3t}d{ap_ltld+Y@|t=#O~zTT8Lk@+9Ev+o+x?fV~i?uMHy;xOj1(4#AgStSOP z&k%toMVxVcMRCdQuI^;9o|h*Mhu-fn{Ne|Me21N&+X&)Vb+bJK^}UEzJBpE5c_glT zjz(}YGPJI^o&5VJi(>2g-lhTBoxH^J&rfzM;uHlA>VXpj5E6hNZ{eiQwKN$t;^*mA^hO-9jj2Z9z{T{^E(n@a_foL z;9nc2GE;&m@?lT2#tUlZ$<$aLf-B{rF(=8?f|evk)$FvEaGm7l;5~)pfN*RWD$Z)^ zr(M`gK(X;k8`YFh-=fXSVe%ym)B-C*BW{R<9@XIWv7#az#>J%IE#U@)vOL9B;JWi^ z1j$H8XgWqHQ{;H`bfm~ciU#iPr9O*_tLgM-^pw?+uW8*y2!3&Ih{2pCyRq+llr*#k zn{fC9->?YXa=*&n+N5b88Bfj!@NZpqixR?)iVYCPK&(?2EM_|NN$e=qi}QQ(t-8Lb zBF{w?w;TF^fqiX{hIlB7fzxxoJ|$U8)ZrRy<-bu*+bwdW1lKy^*DkxBIOo{YkNi3dWgCp0&Q zp~!!ZKQc&D`uN_wow0bnlNESH@mN{P@D>D=dPkr%0%i#{4dM+3t|*x`1O{nbYCL?X z@w*yErFIS5#Bm3G6ZAJ#A1cC2z)g?c>$oA;}K1mX0OLBLcbsW-f1LIS$KV@xoWpCKFC zx_Tyzi*LglY%c~tO4iairCd_$K_&tEX8mQ<3BZuYdD8p5u+fEFAK74Q1na|WG59NH zDzXZz_&H!^D&rbzw{70i8^;;jGfO9k}n z;at*#l&yhzSg8(8$It!KGcJNVHS;~ns;?FA-!Xkb=mHcdo5evLl;EGzq;21hJy{&@ zIg*aa`ZDo9`YhS|w~sumLU_AM;n4)+RexnlD`S0#DiQz) z`Jq*vjbT%{so%?z^ZA7dyfISj@=7*r%#}u>ev<%0+iR~_16$v>H9=pGkezltIa#4* z?<;RHtb~~fa2HcHwMKy#CI|90=B!I8vOxWFM5PG(ua*lb#n!2%BPB&OieIYzA-0X3 zlxt2CVq*;*n+JH_@gP@x@UD#%gy4^fc)9Vx9dzJ3$@$@A%>7b|gkwGaXp44v;1w?Y zqU7b^Di|r&KQ{MiDdP`2eNZfES`P9>1YpNWW?)+T51FcqAlSXC>8x^i!%0)jO~kbC z8}uW4ro33Zce#zfa>wPrbi^-4~n-!U5$%=51d6=dpQn4HBVd4`X zInho<;*kWaFsC`qb%;RnI(eYw&Iuhpywh226|g|pp%uZ#{s_+v`>~AAO@Zi|G#5l7 zjHq*Fxm9ovGn%IGVc$I##3iZkaT=@@VWaQ?U)ODHyU{3uLHDByce~|-T50Y3Qke_N zm-b$4Xndfei3|3ephDjOlbSg#B~bznvvub}l_W zo4g0I446sEGS=&9NN48N@L0jr_$jp z6(kbbv;*hWu05HgO22_}ng|8;gcalpV{IOz-drkxqH)qm<2mQegkj_yCz0S-JkFy?9u2>z@em0L=Wa zp!hP7p6$P*j98lMFfM#)v=4+CY@CQ@88`{Kt|}coI{{lRJ*~d_9VvNxVqo z(-pkP+wyyny1;`IHxU!+lEn3NWLqLh}ks9^Uy9i50a`;Zoq=@(Ic#(Pd zYiWPB&6x6|qWE2#U|HJm%HC$2>bK|tWfapFswOXvNAFh7u`0L|Won$;=F3k+N4SX$ zK=qD41FueZCJwU)Z1|wM30NYraLdwj#8{AbU5R|E$*McR197Glp^_4Ng)h1j568P&f%6bfuLSz&d;gl5`N0PE$?utwGTJNwOrenSaig~t&u%ZhUfUO0x}xX zGMqYoE{W!au1!=}Fs|XGx!`Z=E_oAZkRG28S`OOvY0iKLXEn@bxC-<$ju*2`nZ!wk zC~<2j=TO0AO)39~5CE}$6A!2n@2Y)p@yw?X?#xFa549Abn#Edn5mZ;92MAK%2AM~v z2&`fiLOeRkN~|aBVB0=)W-&rj#%tAKdZZ8VwBYv3iiOlYCOWvao`OAH7*%& zaswN7??^2ap{m1#pIEOCap`Ll33WriR`a&WO|Y+WJ*Hkoer+^_t+wo2|3gp77sil0 ze%)FR(=P!}hgv>BL)mGHWtQjqc{;W=eNH*)BnWDENtxmMx%b?WM($@|MGqR|Fw;?bFr}g&t30I zPbbE%-O1m9^Q(aHN-!<`dfo(&ha3=^z<)T#;8mZK!4JI}^!~A^K`oW{RN^yYyTSMi zJ7b~hsjP#pLbZcFpzYG&>tAmHyP1H;KhT~Ak6$4Y>A>et8T<1l7G_G9yM zQOon|)dbPdL2N^JPk@&cKOvVMYIP*icIcIou{8BLS+Otc-}P~11;{jU$+O=zT0!ZG zn@-0o-URLBV$gu*6&!>e7)2r0vAt&2L_BT)9+_RFXG#lTUTHA=w*(z-A)Z}2aqpG# zdg8pIt>uQ?YO~(CLRK54q8zJxdbvF5muoN@Jsq4X?}XBtz@KV~@?tOE4P-tmf-SG1 zNhk{X8eC!Ba}H5X=QSiHHuBJp6yz5ujn6f>ZzT3%w4jbw?nsbc&28sZCSbrxF+zfF zj<&D|Q-y!OhhK$1vBz;D`sV~f(F293f(JTrGm$+|KzS2rk8?uKM^kOtFR&#^&`fE? zppZM!cL5r6y5brNmjR$!d$07#%W*Z?4k|h9& z!Aa-=JvAh&U7}jMZQCBBs2hyx5lelRiek~pULPKij`cV$o!vrQy=da8Wv1l@s+X%; z9c^D@we>vKJEhSrg8YH3(gUj+Tx8gTswynjQ6^~l$I>!@>QJAr@M*PBva*|9(h5;H zX?p?cw}9e`#D^62jG;p)3$tKHeV0>0OBx5ZKB&@4Fw(p@-hIOF6p}H@NR8Ykq~Mvb zMbl6mVcL!o(h%JS>Tp-82Cx;>lCoC*TMQgiN2pTtkNRd?3NBKpE8A`}8H+i?AEuT? z6&#O795dcKd-0S`ZECMtxhlJN!cafRp!((TF@$Zc3XtQ2p>8C9-;K%|WmgrGf-b@t z&%u)cvS>JGdHo&|<5{aU>_{g>gd?*)g&kArQdV_|+xwDI&I+^Rm7hJNY(GYb2+MXv zNgrRFl_V0Lav;}M!V)0OTR40dm%i6Kz`LlLHZZ-)U0s&W|1j^SK}%#r83H85-b~V! zlmBs_UwUedx7gde&FF-)jr0v?k@s%X(7kBYR6Wc%bo?QNTuN8*HZS_zq5xftdG;8OA?FMDSb%;o zVZR>^D-5xgEwMbe1&h$$7oX3p&8_KsTgom;hoG(qxnV$C$QO4!**nfILByWI`U3OY z(H|P21e**43F|F{+Y(`I=a-zG2=pwJMj?{MP~ixT0L4Q7(E%Ox%8Zpb8}L(KMC(^z zirE#UH4RKk8|{%y3Uay+L?zy|K_Nz6VKOjg*Z5j zzYPTlddda(c%;g{Xu}W^TPKme(yy3Dcj0Qwy^E_*N-uEGq(dHEaAD_+WOGr@O^C>d z4O2;qv-NPvmE7$qR=p21_|+KeQ@gD|_-16x@ud!;vjkh{6bC>1?e;%Br4!vl@s)|5 zCtNU+neO2-mnZ!}m_I=kaq80CN#%+E%=JNuKT-6d!RjD>Aij&UfF}Z9 z@Rgp`mIfLt!DlHiLdgUJSYCxgz)3>IP6CV+$B5^hI^?1}o9eB&kOyPO1~0RPR}pVG zPHb{(J1#0Yz)pjk$rSZYkvk2&z(V;yLHi}xZZ6Mdp1-qyub~V-U&oi)D8NY$4u*t; zgQOLhXJEw63J&m!BmGn+E<~;>sER2*0m%Twg#GLYt!LrH;_ZHDNM|fZ!k9~p7rd<& zfTDPV($lj(1U>J1i#55`TLkf}#$tx$xJv>I;isl#1r~goGQnjFhr^a)3`(fXgfYrs zApUZbGIF?0I6Es<*mNzLSoE@FD7rZ$-@IvMiK&j6{~9ZrlA3#ccAEI%Vrc-W!r#d6V_isOW}jeHpzK_TW<`%qBN-yyLeb z$98YrCFJxC!FcztjNLAMt;(lah|_a zO9t=ec-uFPJaI4o(nn>aH@o7A>c8U3_{wx)q#tE1|8i1UCA@)7CJKw3`qW-vWWe5# z8@EaM6gV}_K`xFjH|hdzL#7k577CCP<^(^?X(F^USIUG5@4mCIthvQoh1+WYp2Eod z;ZBaU>4}g5ZPW+dN{?}eiE>Cbgilpg00AH!or?4191F&kK^C@8T?FcfR8B$KLfbbQ zp{L5?v5#lSrGHyAbKr%=LSP_Qg@$ZbKs>!)FOO7edXUlpqnW#?7+lVb^dupd!JQ~@ z5Kij7BkEEJJ|qK(kr+DRQpud@5AY@sk;=8GkwFiw!jNh?T|-I9kv0*pNDmGqwQohL z4BbD_k~}q~_UWv9j?c_P$Ysq`dZ74;SNL#Y1*OQ4z!6o46Q2)BsM5Dm&#&#oHzEOKd zGbREvz=`U!^>mYgyff5D0@{KzHVUT)TtbhFRP@as{sk~WMrt$39vs16ahH-WA%{Qk$Ps=XHhZREcvOXiJ$ZimX z`gDy=cp}%PC0K1vWR_}RXWZBWnhdw5RaapLR5cisG?tzUXHdnAGP+BzbqT2*os}qb zH5HD-@M#`1fQPC}8SG24fgWJR9#C>UMxo*IIGEW_3*{7LSjJCLdH=B?C<5`ylZd`|LjB{ znp#sfwf4SWTED@=YhPFTd4U!_`Sp;kU`QccCuwri`M?YB?YZ%bLaAVvYbv|yp(8^D zOY3J$?5Wp=F>*W_$wY!^9htWnDAUE9A%Lxh9b zQcSutQdpjjp{q7!ekz_4icH^~ubc}M@@_EkO&m|q%)D+-JXw#Yi+osb#dyn&gaJI! zU5=>_bQ)5)jXkO2{h{UAhl|q6KcJ!&1We65|!wMdwVgL(AWo z%x>1*W!K(NC$7M55jrDf>!yg7Q&R~s?8w~a7}w62#O;Q{*5mh#+5W`3Dr4xFk*R1= zZvAz2>T$OyDdGKQGtj$BlZU)GNH25rvI)$6DivuB*kA7FMvxfJ-@<>=`+M(NbMrcI zCo=28+OYDb?bdHLYz)Y5$lIq`541^SM8;BLtdgT8l_sANcBC#vV8Z~h(Dg=G(%Kyf zR+NPJG4>ET)}&dm`ml*qAL{997_6S|@gdB4Xgfg#ZYKb^@>{tyxLYn(%8missnNcGH z#S_wzRhG=}KA&~&3~^1mQBg&5@w@#o^Fr}e|M273dM{+vn5o5Kc<-|vNz%uLpSky- zf|)lM`9VsvZ(BJiP)~43$_3Q8Db+BJVBoxCW59B2i|fY?qh%53u_r$${---Zkqb8g zg0KL=_60JY8VNolB)}5_4A0yU!TUJcEHqbhb?zFtkG)8m_IS?`wLv~U3*`c+%xVm( zIYiS{L<+)d-(BFrbs2Kn`{MC2)>UxTEZ~;Qh1Y_6E5_m?)Q6Dg-tu1D-96XylU_uo z)u}JT95skT{KOf)LH-&i-mPV&I6*))#*KG%(G=*?5TQO6yc z20)GuBpe8%{GT8|41C!#d4zq0Tt~dx0?D%cfe!cJuxwBp!0&1Cx-Vcou<$Eyc989S zrNn2E9egt+=j^BrOUJOV9aHOopmcCRRZtkKN@_> z-@rO|C^bd;z>Xan(sv!e3#84?V#C(6?R)ic;mtuO%94v`&qu!CtS60f0M9pBi(ffz z^lhwM??y{QN$*Qkp>czR6iY|C0>_;ki0rdc=R^&lBtJSvPO_9nx7W_9_7` z$%BOUgvQ)O1O+@kdCPgle|h%HILQv6XK%WwMTFcYhi))J--ueeYomchm=JPPs|Alfs@LwoRDwa~w&e~> zp9%>tnpym?VCK8d_{KE%FBE!_?0YMNb6?HIkRc{q|%2Db{}p4&4B=vt$Uy z&cFnl!)bzTBpV1%mxd@e6NQx~OE9=hWqJ>Rc$R1XIwY5A^wYbFEsm4j#HbhA5m}tc zmOd+SBd=goMEoB;1ZPVLJ6T;OOz8LOz?xb)RxwWYny(3C*K%C`1%d9+*`v;`@ zW7fWC>@jaiEJQ%6r@R~{aU4RGT(I`{Zz0gKe&@Pq@uP5+88iXqfomo@sx1yx z*u@$BulF}am z4DK9=@$t(Ddic%MzvrGep+HTC9M_xE)GGyg>rQ-WF(-wkNtb^uCOG`H#-ZNm&cm&n z5^Ur=KY9X9z3kB;TR#SDP7P9mEiX8L;FFIDMY??-ZO|4TuF zLQuUI@xU4pF+vijaqsZ`rKma2HoZrkP#tMVX@7y0G29gvS6yQrJR;jRNH8V8ORNL& zjHK_t`f&{cGMyQ;Q;2g7L?oV{C&chx5j~MZ* zEm2c*&ufXh+s5kk4g8kv$`MP*HuakIrC=wd%kA+X#|%$X0(Z=(r2WfqwbqYZ#pItN zjc`UEbdZ99*&;g zuV*X*R(A7YZr#`$T`Bo($lDqmjr0rK(Oo;blU_(0?TY4Gg$qVsqcLxJhP{LP{H1x? z%Q})Gp8)!6l7^LRO@XD?5Q9y`4-ynk`n5}A1G3XA>VrtD(+xB}gpS9$QLb*hor9+y zf7EiMLKN-Z1~jlmD~E&S-G`V?%Wiv=St!h1(R)(_3q($tkqQr94>~BP^8$>0r}d0Q z)3(x4<1`Fm%94c4*wb)Yj4kD){+_li8G(=ghqrSK(xvIv^xkdTw(Z@vZQHhOyLa2R zZQHhOyL1S71`-ej5cWPEa7zR7nP(O9NA(~`Q4+yuS7afe+r+x9|sdLLAz zU*Z#WuN4YAD)cQrGg%K`uZHnLOLjSVQS)E&nAgLni!P0J#ZwJ#3EW{s$A?B<@hRJV zVzk`3GbfhN<&nL6a-8u3eU!O*m=TLAK{N)tQ|%`cL>siV^Z>S6xbh5J3k^(bY{^ga z1~(|30f$+pe$qIug}4a4Y1*oQ@0LPjJ#xqN(%pK3FGeP0_fyBHayuwOt9=YvGhg`4 z-Ds?N`nR*)(Mz-VJ2wfK24DNo6!(B z^Q%dtKYB?fl|NVQVtjGLRlDgR0t6est$SQDwq7jZZYL005GN(h3h``IDHG53bW*U;qOP~49mB(;64ew7m#!-W-dGavo-1OdY zUW+xC#*GX6>ftWI<{q832gOChgQoY&8>o1C`R>sJldV5!e;5%CZQAQ!$Kt#)j6GfXm{mZZXn=2Nv189 zKEt^%Rh#q33RTh{^LS^enPUzQdP0PIHOrD%hTMnyX4HxzEqB&Kh6epx+e=f^mzg0v z3h`xEmPBujO3OR>WD^a9TGZNYZ6==WqlYbD{e*cEjJgfH3@ZWCfYUMW+2iFYJG__bJ*7Pi{WFAuN|^15=2{`gJA}rg~mtOR7BwK z+IjkXm=)okQ!i-8x;0v!Wj%RvBJ7x+0(eeaJ)2iP_=2j+j>%y09T!2W?EKIbybEZCBGg^7dVq+7WD>d9_k;b1?;CX>-la61IFk zTO7Lnsk$xk6Bavsb%!mRZ|{KV%^ID0?T_P0#(tpV!Oxc{;E*5_Vl)NDQ>0T+gH<-z z>709GmI&lJ^2?VflyEQ^CjITx=q(?(EFYblZ3BZmO&Z(M%0rYt@LvYG5U|fb`xhj% znoGDfKFMysNz#u<-f3wiS+rRy!O6xOE9V25p-BVwmpU*rP}q>RU}2z8%V4U~&n5Zx zQ^f7h&*-W#L+1U@F^(>2j#RQV{ zfz8&N$?m1dzC^NCas&yi{rd70(J9E~eJ+>omu8T+qu!?O9V3XY>N|7uW1Tr)Vn_od2 z1o(^d9!+t~RG+O9|G= zGt4b>H$}!=5~$$$9@Ap!=-^uH`_vo~>s1kuSLLM`@6Nhd22_+mE*P4T+4m>|G-#t* z4Y!U^r1@1xi@5}d96I7^JpJb?w#!=eKI-MnVuOLWO$@QXcB7RY(Hg9Vr>AU4_+=?8 zbU^>4PEC8o5^0n?-bJvE_62EN%aD^`uxKDu29iN{tK=%&QN^i^^}IM|KSW1jxYiI0 z6wP~XU)qlqXGu1|y)5Vm4w9+44g?bO3g_tnA>DrS8tGhEQ>!3rb1175kax0Ev>`66 zT8s4QoI7q|O(JT17Z&8*U}YXPxX779*BSo}yE!EAyCkeb+%k*<^mOm)mm?4%_-urs zCY)mFxREAb`0u-SHW-og(1dvKcTFUY@$)iB(T$>Rq}V&o-)cebRlXGi=4^OUPP`Z= zFU)E~N76O3H7sUmNnk&sixhB&*{fFy zz2Q|Yr0Yw+lShh9>QHXUhK4?n8b|cQQt5{)@u8%lx2XJP%Jc?%pY*=E?3Ki^@Q$<5 z@fXijnBQZX!F*%eCr0o|;^pZ;+HG{|HkeW-Z#AwiS-Qhsc&Kf4Lj9-0pI|g~aIwyb z(u0EVn(vPIHSe(1dkKBS-HNU<02+s`whdapxxS3!!;t67juYA6CJvdc^a~lHKLbCf}>~1FXtVbTxoSi4)`C1NOq7^5#bo zAC)CGe4}MH->?NhCAgO8nGS?T2FyV7kzEmH6xsSBLMU5I&G+5*jm2*q`JF6}pxw|o zb^vPbuejkGuMUh@Fe^(o2T4!`os*}4G@nM^BHTDBLohdL}jN-l58B=ELzZhO#X z>RM(P_PEIAx@#A7X$3osj$+Qnv$~2$UTz(cB zXzbXp2SDSUHvyLqCkmH6ZH?@d#=jZOt~tBwLvKUwE86E!pT36c@S)ha75#3wd) z1g5L&9dzvCot;Vy<_qY+xB4wFo>}D!vie>W+f7qNKPDik+(<))E=V=>hOw7Xh)$2H zKjgNWqGLMEz0d>B`Z^S>N*qV2(7fU2-lR&?m3|_x&0r|n<%h>HTl-Ha@ zduJod%puJ)t0N)ecdW5nq?6p1<*aTT6%pAIH!lgB!HQpJ2}I!wScE25aSV2-W~0Wsw|CkecBrsg1_^MS)*xTW z0eom)AP9~`$_H2%$IJYd3DN+<70Wf5`FsnUlMK(jk#ky*CJv3vgka6CZoie8$I2o` z3}lSzvV{k4hDOO(mM;1eU}a{ll9B2?a+Bsr?Y==Q>HP7;Ps5t@#k^u0Ar-r2RR1)J z#>_$Q5b5f4p{Yj|Eg)68D!5C52?xbQHoenccCjw~&al3|wguMUnW3kCkuScQ6McjXK2jGq4QSF$ZH; zSH88SRvuXzwm82`_pu2g6<{{0WevHob#pEeH#faERKxp3zL-=|K|_w?m?F_AhQdcc zc@29z7LlH|kV@SsonF=0wsqyKSqo$yUv{fOpsXE=*ux~f!ZZ@?(~*grdT)OACH4^9 z2lI2b5=w^t&I5dIz{Il6wbEGbd)vBA=6eb6>!Y}{=(&rTtcY_m%L8!K5aAQbdgpW- zW6e~%eXM@3%`ri%4|97Bg1_qXY8Rt4Rwrwz35xoCHms@Tzc*oirlJQH#1>Bz3 zu4F$C_LR%ABFT~|9?Q(h?CU)pU&TU%WN|As5!cW>xqW*gHsjS;)C(sD&FJMg<1i3j z%Yp*fE__}+R*M7Y^A5ERJw|&wQiP{NuArz9pLP5ejDxW+3^@^8r~=YuY3B0MLqgfZ zl~L{0rt162ne$CnOG=UW^J$H|QmChs@BW~{g`NCGyUrWLEK**LI#H2*r>^YqN6m8y^g?UDKWht_gWjD>& zLXtmyvIypy()2#nzsR}XY*R|-qs|O}p7HS;Cx|NV z5k@UQMDY)?fnoyf%d}}UEvA~Vg+7u|5y`Lopd5KzT2G9at@mdQz8+;P8gw`%gx*q* zyFFWY;%8PIk8OP-w`OZ)>gBW#FA4t^h@x&rpc+s(;3RB7mz{q39a<-Sdd{ib za*5qZQUx`wfc2DPP~{pjn$i*m#iE@wF)b_EW#AL>8aqgptD4!ZucmBUW$c})@eCtL zir;r91*)qCOLpe@G2T}h)&6%m7a#7?&}yIRzy`b}FX6adk7jx0?_%G?oHh4F{O8yt z3N^${7~5@w96AEi8y|T`Cj^LVuBX!aTh)k(B%vLB8kiq9eXN^LD}nF)uF%gh7&uP> z;ky72*==2&pJ2)DAhbIM=@(_%1Fj$a{7P!1D<=lBIZEMc1hgDt(p*QyTzS=vx z^M++O`_}WD2FaI4Y>M0Oq6<6#)|ME(HUEdz<|d|il3~?{A1eyRY&zLLE3b6x7z7 zNu6|=!65`J&o47eIO1D9BVVR=4;VK*|F)9|tUa-io}3M%{s3}&kj67RuB4L$uf9e= zJ)`+PV4joNY8g03sa%BOZb-Iihg#rfMb)z{l^(UPQ^$LHPi^G4Fs>%0oU9c`MLk3| z$b)e*cr-4GAvR!47q{$&VKyK!F|AO^t3eLE!de6$t!LtoV&5aD*M&lr!}DAN3%SSZ{Cz;$ocRa zF&pB`3>w9Q&9ym`Yl`yBl^>YLl5ClRqrx$99LUnU8=T7u0=hF-XW#S+f330u)2gk1 zHl~Tq)&53mrPYI>?W^~)uD`g8$**Z2Tbp{uH)ONZXO}|r-sVA$IFMLS^Bw$#%UM?@ zWHaI02KU=OMyIWPrujBizIGIv5P287mt@?JvRNS1aulZ#6dqc=mR8UyL@V8=6*G8L zkbgiQWtZk}pQcfWLl;V>?|4<_zU~0ghAf)e>*8I9ZL)`HQorM^{FnpO2nmdfeB5Y^ z6_9l%X|Yn60eA~56~Y?|+uxqd{>kzdw+I}G5(^4P@#%|U1Rw>)1=RFLf3>mrwM!4- zb$9nnE?)_Anvzl`MPlYo%rSVwuwLVHGo&Nqby@+>%hC>5HGHC=4eO9caD`81k2635 zMvWnU68k$)|AgMnM|K2Azq@sI*2C(k7#X_(02+47B$AyODI8mw65h$b5>ZnOew;rQ z!ty8L*zEVl;~4*6kECC`BQY8~4iOiRWVyiB`Y7ljjEIwc*xs{YC_+@MvwODJc6mYJ zH#G*Um$TbQc*LqXF=Ko;i&MbT@;Pf0)Yi+SlChmD6VzGplD(71-fo@kt+zNh&jW)I z~F7aRz6QXh;#Mz^0@`Ye$&zDpY`y$a%Iyk?|(;u4u|nBRgXoNq%B@3n}s{iRZ_aj=UnH4VB_ zNp-A-6Kh|!o%OR{h>Q3E%3*)Br?#Rq)mFBF%Vfv4n4cx_jIUvnXbNmAOWIy4gr#F0 zaX%}bil(kwiHWqF?D_oKr@A&rA}043A7EqvP9x^p$@KrYqlRz@T#wCGw2%#&Dq}?( z9O?UN9~LW1zQPrJcD<5YKc&U!!nlYbnrr*2@19n%NBh8=6AK`Pp6gIN@J3h2nxU(- zF*Tl@TI%82Lr_ekwb_=0Y{-uBG`W=Kz_LSnn|WZ`8S5n%)y3khwRAGR)hGa10GtR_ zZqy&u*R%U@U+`Ne+ODmtC6y2xfs<;*Dv>*%=BXjoVwH#Y>qRx(l7gccdLP3oz2lO2 z-b=874O(nyZtv+eeJII~-Yi21UDz4-0CzJ*MHBqRykWzFdVyt|(IgUn`xL~T#=By- zKb)?h2G|9m#fgp##?GWXal1*M_?bbkS-uj@*qnT??}}kqv2oI14z5hPbLX%8%hjKi zTsF{GtfNDox}JdOT36IGBsOh8aRfbuLQazBfkNn z!v%r=-Ad`dqV)fLo{@o({$DtEo$Bk>gscc&s5w461}-)FX)X*YU^UG7d?@YU`j{&r znRu~QYAi#^QB>E4-`?fnUMkJ{0-G#&rEcX4RLa=O^B)H6qpra}sm5LgWB*-ohH7Bg zc&p|4(e5sTnEBDuLC3pK`TCv!BUILTaObHMUFkbX^CM47H(%@QY3y~*0K=x-_-Tpk z;shU3g3l|z*``oTP9;MPdzE8OCy19X zC^AP6oHNgWvLx@2yR&Z35A{qpfmZs)xHng3Y#DxKxUsTj@+rCUu<5xLz3oWraxLz3 zRU{oOJ?eSmU`d;3KKIn3gP~5!O(u5@#;rVN1T`Yu**|_0sDOBB&w#ZgB1B%k7Qua;`dtLT zjg31AZvz{F@@}5*W}K3!8P-F^OGyI!+!$Spz?>U=6iT_l1Z#V<|~%89Qr%H;b@K#p6wz!iKO~`(PrqET|p|s z%J(k=(dO=ZoB&L!LD1Q%OGK-#Q;H)Vi>!?&sbc`~Lc{ zl4Kc1xM)NS81rZuM}&p+5c6oF#wWKH=JZi=u|qWrq{+`RZp@yw=j{u`O!oDK+57UD zT$4#q4R%p_MSy>*m^Lo^sb@)CO2t@cb!(}mUAS5x2oy9OEoL@K8JXFbfXGF!Q$KGQ zfz25^hl(a%pTMC$p>eGGl%eJ?#@`)_66S!RTXfm)8z{=9YAJTY@ZEITUO?g>sPx)3 zT(u3D4=y2Ni3|bU+G*yUE%Tl}H?TK8VDxwFnrRM1aw+BYxf;|GV@^*vD*f-G+h;&s z!bn;{_m`-qLS%Z7VUHdeoBn!i5F{Q>^`bzwR_c$r(e!Kha{{(>^Hb{B+5M%On&&@B z-BQD#0XBR}{B4U1CCqf;OD93+NTLsOGyP~yjE_5!;LARyK|+cIDJ9WYb!inSzqqkh zeKee^*u?ALVLqa%`?(JC`HH%NPs5#w+a69GpUC;bJ%S4|U4q*@q50;OBJ6 z{jZZvV!;vzncSz4d=9dBcW-Xb*-Z;Ey~;{L?A1J+1g#J`g>8sajO<2i z3g+A;_!uluSN=w+EJicN3WN9X@qL2g$pK-JdM)Z?4jOM;qp&Bt-xdig5WjGtj<^6G zl}FEJjG+9|(i6g|^(`Fy2B~;T$YdfOgs8 z@E+?5cOV!@N-oqcSe7pT4{sQn7;PGZ8|~{LprIKtC<2J!%QnVvI`%9Bs^d7d#qVbL zecHtwz{DG*ZA+>f_^9+#wxWob;76z+wO}{gX);gTIeW}EFP*6UcdZhGS8rD3&F5v{ zQHC&W-?U`11D{*$@`d}Z6)9yoA3+1z;*e)Mz|jnMTu?Gi ztdE`8h;uv54#>w9^fVmQ>w!K%vt*+;M{Y((9Iour4=8o@7y1{>=`15ld0A>^Pb`*c zyTsVe&cf|;spEBqJ#@KUbkuA)RAeV`xB1d=`N-*zKM1>H^LMXMGV{6N(!*NhzTPs- z&gqc1_&9az7@?z&Oz@Jl$BbHtese#fb(8Cr{yp%Q*kD}r33;0;^VhDY+W))V>aL{kc_aP|{Mfc3JS_Kh!zc>JV~unGR* z3iKKY6kK+3VCbQSKY&?fF;t*Xr92%u`#CtD$r2mS$s>c~w5K(J_(=yh*4qJ`5X2NV zu=086K?odjB6$PW724t%(}9D&_+<(&*_?T8WxW}?Kq4r$%q*quricNqGR6@PPi`d_ zs)FT|=3#pj{sl8Gf9^+~Y!?ZDf0a-sDj4}Sjx>TfQSDPmdv;nur~%tBtMdoki#xEW z;4_H?rDak|J2Go55g8Gib;qNxFQF8+Qp~PhQ?6=PCJfpCRb~ZFUe@4%j(kg_gM9G>Dvz{cnsWl z_>*N2co2-RV^dDeaPIzMsnCZ<{#L{a)4bMiqv(ufeaVj-in;E&r}zp*>|iE z36ccro|PtRt|(Yw180n$69-TxN}^1+iLOIfU1s80G-%CSHo9w%Qoa)DPrH!XA%tzj zq8cwv(;8~AIRTMAs?Wt&rHU#&^kuleiQ??{q|5!?XAiu5n?!`Y^Y%hn}nu+MpM$uq@XI;7Z1Ix}%*eKO9WRpE| zz#^9GzM#{5{-s#JyC~q8vDIH?&?#*AC8>MnV&vE1sjN|j4c*EB;m~GP%0(7)c|e(} z+X#!vch4JSnd5EXNzPo|mrY!NdPv-cxKkIfRr!4B`EET>E<=a#O2B3sDf4fPEjN4D z)CGP`3*2tF)1!8k#{4EXk1DJD5cufwv|p(HJ{B>W5gQ~6iuI!9pbLN90f4(}CGb3%0=H%l{JDA;eSuMcKmAobPO>v$f51KuPw|K1Zs5&$3Mm@1GpKk+tD}5R@3`ete4lf6%j%=qBZd;EWk6WF zj27PkUS|bhU?gOgFafqB1XAReXUB7v%0FBt$5a*q{*+463s+`hm0g~jb75%#SzVp% z9|x;W8QL9f>?NnGi+cX2$B19IhmK(NfEFY-W>03}*2*MO>eJkTr{&XCFv}_-q^vKW z@6Ph|;(Qh<*4*9fIjTY5JKd5gN%n|>i~*_E+H+X6RWLDIUY_^FU(Xf(eKre@_ef#5 zVgr4zTT-d!aTgCzevsY|!_?9|lr|ts*^Q}=vN`LD)2u+g+4yhZ{+R;EH}4`E9q>*l zAlmaJ4$46+$y+bl@2g~tp5Ob_OKctnpi4Yr3ZJbuD4OC?Z;d7XVg+MoZ{^Np*zeKF}ZqPjlvwf8tv%djgNI3l7};&bMmvSQf^?+`w|zhkld35 z+GjV)QxJdur~!EAXN0zVu6RJGsoVHY{sN*0SYW9QmU71?I@TL?f}4J^kp_L)ZQ{-P%%=f&dWtewMjR#Y(FWy4aocr`HMux0L|LxU;CR;W= zH^$XK5P-RqZ}NBEgd*~^b9(szJs7VRdl7@0X&Mu%o~4TO zZaHL-p2SE9V63m?e!oRm9=mIaJlg6;SJm)-?hRD(UWP!;R7o-~c(1|MQKWei=(JX} z$-G)1)u5bX0p%odW&}4EM5C+eL!k_=MFUkfPBu~G=9iCdv4bvTAbA9lj9C{MX>P-zk)$*iyPpESF2ua zB~X0g-q(Q=`y-6ZPgl<(m6UX}Z|OMek~hMKR}@ICm`07eyVp40_XY-AUKfwEdeee3 zIo%R0Z0KS%<%=w08rW9j|CcR+upc0?EaF3!-?yHqJBBk=!X(<^#?30A;^Jj7?>RIaeP;B5`#597V6GrY2*u`24{`E}a?#Q!o}s1fgfx1<0W8 z79(2p0s{kYg0ivZgy>I4z?RoL=A780$=?m2EzY)4T@5j%tM!`%g4*?YLZSgaFmeii_v}sVl`04O1It%GEr1oiSk{Cs7?SF zx)3hJg8LZHyCxxc+XFhw=koRiQKS~!l8}@KHTV8#SxUYhZAedgN|-&5v2+y>*r@S@JlHsSU1;B zkzw;F>3NH^2sHtkFi&Rgi)?!UyfVHkCxh%Ky#o-Hx?BgxFcn`c>VQ|zKuwAW`7V7J zMAVZ{C|Q0oV_PsX4Hk%j;g?&eYVNvF7^yGDUn{ewo+rc9%6K&>B-aCR1(Nai1^Tvn ztrTmO=95nw%ZvKXo8+#pA$awuV?#!e;yh%9$dUU6!w~N?itKrjT$%TtwW#rarkF76 zyo=;dU`j5tx8a7smMq7a4 z@=1QQa;YIj2vIr*0BtM(e6=^S_;V)XOu+M>7j{~P*i;ZGIOPvZ07$c}2&3$*abN!+ zSOuOQTpKna-Enk9g-9<%hKu0Y{ADAA13r6?BC1vu$aN?@7?vc4>*3E9Ct~+dO)0It z!#V`+Bof51I|!NFCGQ;#C*6Ke^tT_$FT0jeq{UQKOKxXK3!D zY@8h2wGD7mxUXn*d(9{BsRpjJoHpm!yVbW4C5@_9(?~0U$3e7cf1;@Om~T9tY3)*} z>YuNT_WG0f9NIQ{rrDEa-Fd4;bEO=I#q4#&8isNlGbvN#kZ5-y!dkv-rcwVT&<*PTYS6*0QaqBWuo|IFvsr-D zJ|5A+`{b`NYtXeaK#4+6vE3IlMWy`4jj*)~Fo1-g?>TEQt&4YS1(_4z#<}ebPcZe( zDppcqaXUH#+;ibykD$_M&84EDsV|O?Hv^==u7w}qA`P}sAn1QzSdMH@31+dWODWQI zP}qf%Xc~-MEC7?9?O_BU+r5x)f`n=s$VPg;LMqCln<{&z*w#~2=pwRK8-)MIwCRpG zD=J+JOGa)OtiP`rDeZe3**^0zH-h1efPY$nd%H%Z?RcRPw800!a|G&yL#1C8U$U7*j6!s=)tei=K;MnWqo63s81M z$943Vip>``SKvgHLggJWkiUXC8zNh=a(Xshy#HbloQdR0G>$9EOI0}E9Oi5vpX>%a z3QJ*q+3ymnoMPI>Dp08qKPR^mDCZ@nKz&Ozky1<T5~hMerSkULv+pzD06MgKTgHs>4Qb!C;ms zOvpMm)AtNBuu7ZCYQ@FpI{i<6(dbHs?+1Yu;Adm3Rwi1xkqe0yr@O$2>J68t(<{@2 zh`#_h=w(PjE+o0Ql+pq_a5+(9e$*`qB(N|p>HV`@6hM_cE- z6$xN2n-iKy?4LA+Cp*W%M<2##b(ryC_mg(O-qY1pB@a>mEnGrAoGItu1RIt{J6nkV z9@nW+I^k6@?bwhs?5pHtPNR8#A2}*Ik*|OW+*kjy>k!pud9J(uTeasAq$1{csbc*d zAu(589o|&K#zO2oJH%rUc* zEN(uRqAgtp!eH^Y>7Y8n9uFhj{#g$hhn=U}YCTx<=tRQYT><~o-i-P?si~tY{~s;} z^%cd2OF^*~`t7Pgt2aGyM;>7Ec$sXs%@Kz12e*4uMVQpbqjN1Yls10&l8q{HrR{p#w?iyKtL6iXT|So{vzf=# z_>m}B3%-e2riFktXXL!4s`U_5}#PMZ3E6yXYpaL&Q!mY1|vN6#>n{aEl%Vh<;{N!@e$BVK>pfY$mEZ4!>=e?e#c(!Z$ zh6>F*y+_7XAFZuY1gkrq$ol!UXFePC1o(8HXF>YD7g08^s0p;UTZNAA08id+YCnAc zLauy>=|z#QITtwDan1%Kxv%;)yY?M*GG@_jweNwWzOU_%9<^OXlNRpY#oh)|A4R+N zv0bCeTs2-}$VjtvVauSMdz(<+8pbe~bGCM~{4~iwjh@B^E`tQ;YW*OF%vJYKlj3_g zqb{bg4u8pq0cZ~_U}Hg|L>69^z?L$|5~*0vWmfO zj=OUC{RMlxKLIjtUAJljY4Xd=5L26NR7@$0_Gy)W zn~PN9U=Ao+dp#dqEuA_`(syAWsG_aK#1Lq_ifFnlYPt+rPw)M*-g)k_%7*%4wBoVg zc=zz|VC;1;@KI?V`dX7|W@Yp?>}u<5h%Rb6Eee^H^UG{%VtwdF=g1#2&H$I_t{qrp zbJNJCnNpXTT#%!-l6IM{vPo=f50iz;k}q$VYxS>lj4{l9WPpLJAJ$9U7yC` za(NK(s%!h9?e~VSWj2@>1=f7S5xKykJUs7+b-kW`J(gRuae4XN&0$!4&fC^iJe1m` z+p(3l(v#q;0_VLqURJ&oPkB4-RcOUH6pa#ETJ4J{7^Xk2z6$5bh@*Nnou+d)!#jD+ zYf0V6I868$c~Y;p))S>QIZ=^(@ab>Ov(LC?QCPJpLNS%s9(0Z$S|qm?tHts z?XxWY9r?iL9s^G01gqJ6k)@e|4Wvxe{vJbzqpj5uhaXGSqRs8ukU%+jc_?xIho{B4 zZ3%}l8{s*6*V}HftSaO*MV@*H3iWtxomN(|(_RPgm~|N~eZ|hb&Mva+?ewdy{cZJ& zTdVVX_f9XLWm1shdp@S;>F%hKdTs(~x!uI2>F_8Vd}jfTu;uFPVN*kP=lyzjyIV_r zsj7K?-Nqy<6MAMsH0?OVfIEM{_989J(Dn5xN`Ntzu-EA<=Gsc;yC40#eID~4Ijet=VnwVV&Il=JxN(+`CJ1 z_Wq-iw6(O%6+Xoa*w@R$3vt7D zVf`iSGPhtu*XzTU)HYAgffs;}w;nu4I&euUg55l_72~>YKQ|7D1?v&h1P$PV79@Ct zywtVZ*Nu%d)9*v#ekvkg$92=r1f4TyrmUHFXw$}`G#2_oWUcm)@Kwe=;qG0X0hq0TNLQ%SA(nvtVE*}ykDit zP7zP*QcE%G&MVedn2fJJ{=pPk0Zuy(4bjK|7)FZXxmHRrsYi*SR?iSW@R8GEW_Sk{ zAk2U_r}s^2gQHUSsK|&?dc`mPaSxY`vp(-aY8gzLxvz=z*Zy}Ch z4d%*M3_a@xskXG1OZUUnA(zF(tyMB-z(Em?@_5oxx~nX|T6$W$ z)BFB5q5P)fO&1aW+U}>G`0;fd0U93QR731nfHo^xMFM_gC|*V2(G?B3xzESk???ko zk9DzLNS?I2(^|ogr)r!u9aEN`PIFU>&Z9WdJZVg?jbkJ^PYAHYu%@LrqKyA)pZ^7P zn>DVo!9#=ckx2dk_dZ(*y-bOGG*SX{PUoPoho_CPd1fs8!!gtF;!FqQ+K6*+6|**f zKb~$?!`UqfDI)%ZB9FwO(2)D7u4c1n1ffe`B5Z&-Nzc26a<`9dcw&tIX?ZXP`b(=EV{!3(@qs39DAmaHZKH}UG#Mm3faRfY)ZP@LK3Fo) zQa(r9dahpOhd{``%Q^Gi=(E-RNzA$o$na1W5U<&&KF?(1TZxedKAUoEz4SaS(&=5+ z23}@z(AMwof*&&bN8sph)t_c@pl9!vvqjA(UvaBkDit#~}jiAB0oU zn(`fwM*rNnraG+0LiKU3)pf4VL%NZk;y`iK-n+(?y)%3NS7p~U)$<@O>|lNqWnPP7 zWo6U>%TJ>c$9BS9`y>Io;Oo7eCW2L-b8-2G|n)AjSnJ+d8is3b!KFsl|UGOBS3fo{-CbDDxX_~xP z%8TQOIRBtQ3<9477r0moK6Lh&?9ZjBpYvJ?@R}K|d@N3@M!1u??~!Ga7@({Q5#EVE zP+*a;ugf^4r0E#{!0RD0$!*{gS<=p5^3ancz4X&1a-VyVIr8jDkw(Uf7$Z;B;@pjZ+newja9CwA`b&f5MT*m21M_AFeGie z{qL1_4Ht$YiGh?-6nD6uvO) z6ikUlZP%Dzz2M_-qMQO8blfXE#h!GJmqeP)(|>R!VHmPkY4?~>Nj>CnuJ@5M_Uz&ZiJL%>tJR+hYdV z8WQf%G8P$k(zj8M{P&vW@)-vGY$zWLkcilf99=uv)j4UgUjnYjUiuGXEk@N4gM!t1 zFIcNQ#UG51muOv>Gfj7%we;9=DhP;Hw;s}A)c=Az9zdJEO&p_zg{%(}MSfSzdUM)IX~g4n{N!>l>w&4e(<7)-zO(~uCn`eQvS^MheYf@@ zT0U9qGUki!6s3(I(pR|fl3}}g(43%}EmLX+A(1jsv_RxXL~)>S76fow7l0y~oGHrJ zX1QHRf1D{C5kd!hqkNB{^yb>opI#XoW%8X7#S%qS5?` zB@fi%bVAk^RbxbJk}0=k;aIO3E;)XPdQ11_8cq%ns;&`tukfQ#^cS$+88V^XB@;H;7}~ z>P+*wOBk&ZL(3fKyw#3PVwD9H@sovqY{AXjBN?pMN`;J=9g!n>I$}9M>P2Jv5uC>$<>QFq5_k*5ARCu(NYo8F# zH;e;&ew#p(+wSP<@9;ty3niW2o3G>3yGKw-kvm@KV)L!v)&_*o)dC6-j`C0mhGMg^ zOZ>9I_q^zd728{EO3)7x4T-{*<*>Y{5gizWG4}6F1s=}&HGzhCRKO0qmw9|pO)~Z? zmqY9i!mmLhcT!NbHxKB(uOf8nU-5D&-`GSL0}zN%{s#%a$hhtD>|+P@m2-6IquL|S2iJ>xT!R5feK_~GcSlwaQ`erN zw8Bau)v`9ZdX%_9q^}OKxj4v;{AZnj}+3-WK@OU0yNgMO-AwOgtUjr1R5L z7^Sy+?V@CoRZcHxrbl1@IQeUT19x|@Z?5BbKi}Q4ibqT7==;2C5OJ)}RP~&05p!Hs zjTBITQ-`DzL|L_UE5S)xbj)Q_zR-Jm-=>doZ1yh3b^Ap*DW6)2oh}XOYPY{&TVfCJ z6c$;ztM&RRb1Ym;crf%sHRJKK%}+Y3GR;%S!^ygK1NdJMu{^;SOcx;@?UvT+jkncZ zkmxd|4FwXIcQ`>?!!a3?*qr?dXe6&;shU$X{7f$I#@SUiFB!ztTAYz^z+tjH$6I@S ze{yemL*4?}8#@^P_6aKcOPi^obHLMCq1zc17*<6~+~>E-L^9!+{}*}yT)$SCLm$N> zNWByKR?=oiS#f+jnt!FaQJwgZkBttXC}akQ{!NpjzI=;Y98`y=XxcLaQJK@%U=iGA7{m|h z@c>J| zWDJa4q-ITB#-AxrH6;-F?w4T{4sl7^^5w2)91x(HO9h6ELC2TtJ9{jjyUzTgE30gp zP5A(~KYL_ra^moiOL%vdR+=LX6R-vaS1koPYci*swjhAt&Yv7ayhiA0RU$Y^FQm0r zqIe#-Y$=|fDU!<#NOm&s_ps`i()8LMXqb7_tcQC5B?3EDBMXgcCUzAH>J~;2oWXBV z5_>sk1xou>GGnUk&M~Cg%5T!iuLR4i;r-KrB{RPG#WNTblI@llE6Zd|)3MR?OMr>9 z=g4IyC9n`EWB#(azXdc*fsxy^Zt`dtT**}r>5T!YAP^=XRA6!Sjn!M$n!1K1L7NXD z1bl(@Vt}EzfGA4nE-`l0P29jrI6*mvR=h2^O~4+igsGu^qXa@gqq&1A%Kr31gSR#u za@w&jDEhRmi)|;r2(U&i5TwyR_moTB;197`HQVz!fDAEtUtndoxzB*dDN;W%7nug_p+%#S!M;w?u^2vF;m)2MULXSuhCOKUTXfI|@?O?*=F}s7aLl)Y7V`kC4To5T z-G3xURp$?|N@Cz^63B6xb_=)N>Umjm4S4ihV;rK22h9-x4pjcOW}%ioVX-b zXwhLRLtjfU5du$Nt3NLCyUihgalbb~kUi}8z-JhT z6HR%-F=D^_tCtCV(|#B|3hHq|lPekk3;=Rlh&Z6)wpxNo6UkbR&1L$nzoKnU%2tW7 z;l^Delb^)&WupNTn=;JhNcyd49&8Q^*HcW{M&2-5^{F>}4lY_JK(ssLl=k?^`T-*U zEN*g)z@l_$`?aUC6M8XPm+CieJh%@nUZ3NQ!dQ!;NFZaqId~klgc@` z34L0}?~O_PQG>6j+dYj{o-J@CT;BuRFt9@xkVguh5;1`p!mRl-dK`1KL&>~M@W(!A zC`OfF)c3cj_hdgywd+!97oKAEky#{8(pr>v!0_@>ife1e4-3Mo3O1acMJCBI7^`UU zbK}={up4jCJ1H0#j{AB+7!Hd7U4-2i$Qc-3`&b1+**q4Wm;0+pCx~S4NrgtSw~TxV z|G=rQ#^1&Sku2&YL%7z^hBpFVmbdMNKpqQf-G&vCEZU1=L!gifXE6h;Myq*`zS@vTD{H1sr4PWOhae)JIa&hW4$ayL5>*EEA@;0ehyozn>^ z-Vn#~1+QEir+e0$ZwIfE&U!j=YxV?O;az-P-}QZIKV2rh=by>3XU_|a@+bPoWU!uS zjsa1k1i&_@0nECc7+SXV*yk+DmzShl&6_=h04@m5H2Xc9ukG``pOh>-*q0i=)8{%6 zrPoxHk*w)eL(HHy4CV?ML@<=?+9Ax;L2$(su8ma`xn#bwD&n zk)l4;&QnnJ>5V%ey}R60({|(jdHw@#%7q8vpdyfAPw9vxs1$+nc3}Jg8Rm*NJo5Ts zPccX;?dYO<-%TmjhNS+jo;X|Umi%u8%R~isv@137u}!&1q<;!v;(rV5L^7jEFa?HH z1Qf_9EQVCww?X~z)aEmVR^pB>bIQj6$REM-0j=+~ju9mLOHjF@Of|~W<}vXS9%?a( z9lF#RIbsQl%a#BkTAacD)TONYOEhU^Z0epLgiuP&_E@*rG{|;pvLFxka)r%$Aq9r9 zvfZQes3^=u1aKulS+GKiBOr+vyy>FN#Yn=G&YZ!n5s5;#HMSrTt(JFZ)o~v`sY`yU z8ZMd4F2+#NVN^E-xPumDfB6;qxkkL^o*x?ni{6JrqzdgDhPu5{4BOFf(<2@#^1%lrn{VK5&o{|tmZ)UvNC&6C zTENpos!jso5O)6n0qByiX!r=1w4a0t681=I^Pzz;O;`2~5Z_8`7CVY)cME)6(#98Q&wsE4^j4{5yC@$EKttist~y|YrG z1{GKv?%(=fbh<+!h<}1Z(x<)d(A0jvIVIXeD_^;wre1n=2)K+o7Gk?J6D<@c!fwac&C{U%U}P%d{)DqyJIeOq@H5k9eB^rY%D-uuHK+ zcv3yCDI`rt!Bu_@DsD(hivU%o*r&fG^xAHYmBI5CGiw!SK|g#z;m!)0ogwV)6&Eu( z9#rW4>w9IP&J5s@0Jgi6AOMD=2(Wl8eqc1Ja(36#zd!_MZ?(f9Cwd;K*wM}+uUvUq zW@Pr}F5QuJ;OrP|jiC==QOuI(y{A#?%tf)ttDLlxZguESlywPAi-7NLhb>ql7ZTuU ztl`uI{E=h}muW`?5`!yVLIx*#TMqJK0W@8eyQs@xPJKnRbVDADmY6NIX-8D7oEK<9 zMjP5w$rs>3i83$8GC6R5Q_G?AyequXF+fw09bQRB8rsuCuOO7-1}qQT4meO_-4H?EDm8qDNeGHKRGY+4)K`1oo^`$wyCqiEg#O+W?js}D?eCI#YPPWn;7rzrEeQ4HW}jNYzDfL?HH0Pr|_iosY?U8vw+^D}+yyQ}T8v)d~ih zrrK(JlAA!V{AVNX=(d->h6vX78iy6;_ATJrqAXObt8q_4L58c&6+wX(fPa0C`BW%2 zl!E*cb#raAeXiRz^EYL%^n?)Wniz@V7`vK5gk&^x5313HmMt69nt-AN7r5SPA-QDn z5XpzdVkJQ{+*RM|x}!)p0JgBejOPRC9hPXZ59~j5{%`Xo=%wS|jjh29YO*F*MKG>B67TV5NM~aDB z-Ph;zB?qg43WQ}N-aE`8C7QY{=*c_j{f*SR&xv}gNXaR_Shm0PG|=eX#{`mpG#^CM zlh}+C1{$I5elHv)ff*)a$unEkEe4CtC!ZC=8RZ?=Z>5$|*Sb_T&~xgYeu9uF18afzybubIguAOSq)XQXE<{w5$FzU8 zT)*}jShVjLx!+O)s;YVP2?QP))n$~df1{8o5OM6x-h74T55R|-)qd01Q8ns3F|7;D zI9ioJZ0^iDHs?7NcvIrg%xyRE8#8{qxO%fzya5;Cl{4}L<#k2vr0>x!nRmW|cy%^S zNnelQZFV_`$Xx(GHF3g2Zn^*F#ooEN@cS5ae>nW$lwkGpd8zs3P|aCt*d6e+?*bgy zr(;jQeX(kGYzUu=7PY|D9pWgYra*oU0Y4EM9~#!_ojOF0!j{PcOd>veS=`>Z%8*T@ z&=tG7M=M)vcM zffOdqr&r}U*&j3ycx7u?&)U8IPbc`tE3mOLdewFMm#z3?-YSu=9$U!rHYJlau0PfJmk-p&}|f1I81JbT@i_6R(m?tvTk8$P}!8cyBqiO`+j zd6xoIK5?d5G!NL*N{?OkOQ5y`zH5wMfGQyF>F-j3SR+cpX{%5?{U2gy*T}Zd*h_!y5l?^1= zc~8QdRPLq4)R5L?wb<+oAR7RDdRK4gjF7nr@%#9E(wnyGx;AcY(_4*K?ZV8eZT0^<2ZO=TEw&dVe+G!yp-3PnS>yXpR^9 z1pg|ANM~;D0;XT*Y@Bl2`Mc}yocLG3L99>KxGP>gcux$0=mNJM;sMXiax3)8=km|Tcz*iy>+;!SM0ktcN0~IT2cRYg_VZlwoAc>i|kk^GEsU~R*w?2d;A&&?Tbj1Y>-WyO7zM#B-eDwk&??@%Qk~A@>F|~%IC~V zWF3P^v`83}bhV^^R_2{6Wh}olZkZVJroIyLsuQhfB`~!e6{=_G07ZsRMC#i5V2Hm} zpDrTO-Var$g8t*{meRlOioX^wH;Jfj()#?VLufLt<9P-OSnN?0{6h)r(hODuohenQ zPiHx}u8aA4uhR1E=Fzyit7+px>WZgLDdS@WK5Z)EO`Lb5^ac8h`MG)N)1V2j*P~oL z--0^8?4|H!6q!XwZF%D&^wEZM7a1KM`>FoQdZTZ|%fo@USB2&MVhDc$nJVb?d`gd` zIc|BpsbpIeEk*Sj@gm6=;9xT>$!u$)i8qMzyz-3%J=u zjA2$YEPl8JZ7yuG<5aBl`i`Dp#m6n8wf^OWvl-6LwnTa`aKeF$s-$zOH=m0Pd3fW* zl?jE`k$VuXl8mz2z#h99%plet8;+D?xq`1vA=601hS)A{MQPIT5N;r(;4`OU zj(pj;FRf@u^f4sfpG{(-FlB%~Ojt|~ZN4d}{^2EzBg*zhPI)j8x{iyAVsuwlKRRK= zFR5vb{klt!+izW}CaTOy#ZbkZKsC+o2&8`kg-bd;b2Qbv{OE$0ASh^l(`byh!v~x; zif5&VLPTW+N$HxgsM_X{c0TRvgz;Q}(y=%M_gwL;biOkmX{>g{seuf#X^jAP2OCA& zgNBy9zXEM!cb0LhNf0a6h#X=>wiqEc0j&-srMKKKet4i9Uj_Q9B7_0c52>QEL8>fl z z*EoU>UTn_PliEY2;YMp1*~|5rtOocW$KQ*}ONBbN+I`v|+~e_=O*(Yz%PUkkkJfYo z-^mhJw-}0V8+HvY8t*hUjtyFATjM77+id#||ByLPj0P+~T(QpjV%;T);+f-Vf-sfNDn51*|Mof=tpKZJMiLuImcwFBTn0~UpA(1^K5NT$+zaj8Ech~DUFUv zvAoq-Qe|Tu51j1s8wKKQoX5TF4SLQiJtp%2{4aiGQ?BA#SbK|5)*J-1%R`8b#EF_j zxajqQn)BJcK;SXCKr$lB5M{b{%p-$ydJ6TWK9I~3&Nb2M1rE4Mb?ak;s5JIG7BjPV zp>C3OfhyhkwMMjvo!8fcqSjnogPjVONRb=?{>kIgGqSGtlAdZ=ascUlPb$D6+NmrF*H#ZtEq7@XyTO+iNCLbL?v`_O*LkSfdnPc z6dPlh_(z%cQajL=P7s zq^aF}%XE#HPSZ83`nz7_rUYBb#$TsBmRaYnPrzUDt`E~(H))i%)+Co*@3Yn~FdDpj z+Ea^&cyl6qt}3QpWo!F;6NPf?Z6UmiZyav~2-0iSD{n8(E0v*X;&+zm(%wesWqxpL zQS%j89)8xhG=)~kXZx}qlfB|s^@t}g-1Q$D;xir+)A7(77d%3B?}j|ORq#iFlF{!_v_6vRE12mj#KplQxOJj z<$&_`c|)T1MDnqZTI9_XB@e%&3^z=B475A}Bg@qk;RXS2*iaRT za{54VBXu4$4-JeeGTW@K;DfZE8BZdPuMkcq>P<3pFUjn4BB0{;Q7t^1?$5_E4Eaq8 z@5j=EAJrVdFxv9s=wI$mQRCuBr1uiNV?34U!oQz~#w|`RR%g z&*seN(&_j|cOAnR;9>{7XsqYP=>7PnE-IoezH~mNQ}?77-1YfUqdBhELan( zZ=8EPonNkGeTjnJalSZpG%Zp}f#m%rJHpDh$@B|}GbNolP$5!212wMGL}*gn+}lf1 z{U&EzB-2H*IRLwTUgLqqNaH(h<$(;TXscHY4(ApP4d)Jx3MV3AVf>Y|Je-_FF)k@8 zc6)XWB=Ir}1V@5-aNb%?O20WWur`tieYDI?bW;n2MyjOS1jHYq^b&XK4B@Hqao!j6 zQa|l-BoQUPQMk}=Z{AWP8fwv2pNK7Bvi3vHUraYsta#lv_j_WohN?O_4vV{gI5%Zs8X+0Lci{K;-~i-~R>vbuDBP=FRH zY0HLBhz|8oK*ZNR4eRR9jX;>HXMot-#M(oIIsMhAFH=Pu@ZQGnOOyj1Ku)G^?d%3` z2a5%1JBtH`S(w21d`y@=OdcjZzS(!?NgFo@DgD~0khD%l()IfQcmH;OL9w_cWt?Qi z@{gjq#oELSg`Sq4;s1$Z{d;ovf1+5w0kHo&iuE7J=>M%-R@VOno@HkIPE`8; z2G9O4-LkNL|NO7u*?)L8|GnG)8xIN_oJwN=W)X5nP7yh32)y;~jiT3Yp58hT%J;ENRcRnAJW82E!p-EfKV5w@rmZQls7?`SI`gqu3 zR@v#je>lp!@_cXH@_ZE9@MSLDr9m6Xzb!L1P}GM?FEkgf-I+n-XqXD1%ovJ-wmGDlEBDaG(5Lx;^}9WxrF7ZzN#>bxe&R?D zDx{KBP@|c^m@?~4W40xb9RjL-qT@pme}Un7{$6r*Tx4u&b$MStm34-1NUDH_7mba`$(Y??m>gj9XEU_A4-?pprY2>s+rZ3t5aAw9Gp zrmgYgaSshqx+5d;v$r2wmQ!oDX3xCu12WK*t1(yBvW2^h_e0%uiU9zEN-te6{DCi? zzd^B18qm?u++Ijb`Hg}G{>QpB?0#?sY>Ax(e6Q{L)e6uN3J~FBQ6Ta>-T{)dRCpho zT!S7i;}4}iA6)Gf`0WqNfnPa9BP>|fIJ9!lenGJEqWXoKL=F5rto!E!m zy4T^h#iJIBY<30jAjCzg){<4TfA%|>9#_P-5&0mBI@ngNk&wHo@Q^lVdVv`YI~%DxXzxfRx}E?{)I_N7{x^`+mkC9)~tPd;*3 z=A?^-=d!?LVWz#Zk@u>yFG3xV;T-F+x3+06su>8tNS;FzJ35W}Ol6Brca%Ya0KiX?(7%#?&|tFN06_Lqty=>U5mFCyZ#_rE z4n&|3;$RA($-mod&n#<)HzHyQWW0RjBCg}&dlLfW5V^xXH9~^aiUrn(HfV&{ynS`G z9F9P~Jgj5~75}#BfSkxCD3V!iPAzwTrx$ zqu@UNMv6=w#fph4^5Na<}@`micL8s9-1$_u|mjFe|Yd8Yy(Oc$y27X@jqC}^=bVW z0WxOhsG>dFp%wcy6Bpq8{R5&Xt~RD(3cC+FY+%qk2=?x*SE9dQLcXkoM7P>b@Ylmv7%}q9)QvH8>L8sY*oE5oWD3V z*+P@(_=&)l_Q69W_>;ehFw=4n+yn&JlX4KI(2&qXzk~3d!3+*CLo}T?G$@cSKO=(a z=t_msF>u*J86#Z4KvN=6?vHq5b3%tCtO%*`W;%iMcWSzVd2(C|o$|rFveN2fYV^1xWD@|pL&9QO^IhtpU z1h;;oHnHVbf8O_{uKz~wPY%U`M4Z~)sr&_-!X)r}IBL_PTh6mPB#TFi^k+}hCh2Bw z_~K)6_mjlvNpITfZAnV3LSPjOboGf!BvY4(c)r1V<|({pLzafMOA**18m}Rx&!0<% z0ir!6gz5l8Y+hle&u=ExomCqKi>Ha>BfX9$YW!1@LaXmx8;Pd^ou^Y|cW}NKaj7*5r2o9apwm$c{sU(kd&-OuWul$lv+Tstn zKf>u>b5vf0#Xf0@=KmRGNZP8ylhYG<;Cm$c%^F( z?-sJRHzdCjg3P8s!Aov*f>)!k|2t3g|1TE?3p4wFW%JegU4_#W^Wh2j5%kH%+HJ|I zvoV2B)tzY27Z%`04s_cC3A54~6VPxV6pL)&^`RUy;0dE9JbAAQR!P0VZeQx08ns*Y zsv~n5=-K^s=pw%{&3@&Y*S1o+d3L$67uVMHS?Tt+e_8hpnd5osb?SUFc-qC2xkTV{ zC{1(V{Z0&T|GrP=?eUUS;`Z?)xov4jhw0;NjO@==I!{LvS>EN>X2EMPA;P zwzEqjFtN{Cl8lL@{K*Tmx>I{`@)0_9@odH z=gs{h)`Xz-;nuG_Uv^kWYX`iEbR`8|m%>6`fR@xl)up4fv_*T~@3c(c(csI6pL~(Y z##qx6{M?Ds)5nJ&&&M?cF3kHx#wYrhbClQn?OcV2{pvOI!)cKX@=~#&(=^elhAYc` z7bQ#FEM*3Qsy+2j*+{=%Rl$|%i_!?*rLAS|UaQfbHZ`#xxiQ-xcD}woJ;5KcB4Bi8bDKP1-p{<=zpqS8n44F*-+30BNp#62CT?4o2o-Iv- z1tt~FGlkc8XR_t_;0S)831Q73gZX$`+4VZ zkj!E+t}e8ydlQGR*-=H^ty zsW~%-!3lMe=<7#R$UA{GIiV`2(%FhC`oh|d(y`5ja@7-vrs z;V)q%Z(;!TxNKGog6&=L_da=1*UP>zhxj$9X22FDIc=$T+*l!p6nJXni{T!Pm`k^> z>zD#ianqKA5@2p-+LBXUZr6Wl;l@huBr#=>DWIEVq2`X1lFUf%TCba%ikL@dq&Ic| z-l^%v9V9tr5a?lj2o0hH9C?Cm(47QH>6a@4O$r%`;XwhdL2GKT40Pseo(1VTUd?D) zqb19{tI1cH!x#)Ah0z$;SPgNI`c@)58e$cO&EMHiP7oHQhj~k z8}hKO$X}#6Ef{E-ywn73PIJH107dC5I_jdFmS3k{NW~ityNY1{sr3 zp)89ixcn0GFWsEK4d8Fb zA3o2BOJ(7M$HX;RBWRB5AYXHb42sH6Y}$MMFB@-5HiWxUW<}yM!GL1XVm<({RT2rX zuO|GhQaxh@{cUA-mbKFC7x(jIJ>G{tQ095QamIO&1pb*k&_1Zu-rhv$``(KPF#fxj zWrjYe(kBvM!mrA{Oz62FsJ{j*n3+173nKlIV`0F{0xS8Fex7XvOG;<@^h?mKRJe^X z_~-Y0AhOik88ZUoN?*t}kI><8aRQWw{!r(S_bY>BF!7KOlKA|d-!hQQEv+86NCWrvU0!6O2I6)y;P z4|SvjAqA0;{8g$ady>p**X4q(rC-VqlPQ9g=$2dS>MF8GWB7@6d#|DXep{&~PIUG4 z{$AtBL%@L-Si6Inm1g9=qJ925%oe&kQ=|gkOw}I>C$z%^1`6xmRwy$RxNWDVFrRV= za$v$yXp$%l`u7FjGj=|hj5Dq2&$pU=!Xm=GMRC4Y{c~mJmw}tJcw#=MM-Ji#b(IJr*UtwpfbE-4}&KQC8t=7V|!nhh%FQ~keRup5cj?6hwy> z-B^a=V}DFmOdyf8bLs&xnM#M`#|G8HTGchl7(@e5GO1tdRsy@QzFCH}w?HU%j}pm6 zjKbT-WH{RyJ3lC!+Bs5qc0s%}_Z-zeX&D8@!LxyHJQg4!&qM`d9>b52Ip?~Jh_;zH z#;ILT-%*pl7N?eATG3B@Bjkb&sD=(3%TTitgP=wk4xP+z0|b(SF%dSI4RQ7f@Kv8l zae#Br5&QPkMpfR$j89r7cMd-&?3#fRwouO8(~BST_IFZS4c?FFQ!4HISa+k)f_{yL7qdhxuRdae4tgn zLE#Gsrg6A`yZ%{|KLtGUqZZnsr$!7;20GXy*v(sjZILq&5VloTK{7KwvJj>V?j8?& zUE6A7+qH^PqbjiR8o;ZcSYK6ZsYKuRJ zoqCrqqh=rl4th-q*BbY%CxTDC%IaLo5N`2P04#e9cVp;`^WY(>ilOmQwLwN=#_SL zfAgL60sBg9!50jhdOqMm?3NsTEba(Q5vAIK-EBGNS}C?gvI*NHK=0~wdXGCwQVFhKV(hmy@*QaUv1bZ>F3wbnrdJVTIzPBy=B z9)9|vkSY2SSt{6pUK8>F6DQ=jESqi)aEhZEaBo^K80e5r1n9j{2<2@EeKZ+?dl7{4 z90NThI4k(M#3HsB;CKy9s6SnI59!cDf%=!gT=|RaXV<~6hco(q?{i_iKgLs(n|XM1 zr&C(Cwl=53U!3hEMCPVZFAv-_r6*~=DJ*V0LoFTFG8w#k6>0ahLlD!G%A83ESJ2`c5Xm z@6BfRECJS6N%m|3PuC0J6gD_e1tM9kt*ubXTVmmw=rS|tp@wO2rTBE3kC7@x_7fuy z)2LOU1m&zCsV1l9ZL|wk@0*a{q351)Cu-H2*>-FwE)3$G;iyUuoRBp}wk~s$Md~!s ztgy&6X*)cGjpCfn5Yb;Mvr5)UY3lpVGd-8ISuk_%6q=ewATl&QDq{JM66tv_@4i9+ zpZ$0SBuA1D@AyB!DB^70MB99^uP$NlAK<@nw@G+{t-HyMs{HY59e#%&&#zL$Mem<| zGwvM){sZ)6O^1h3K2;Y^nTxBPE-Am_Rti&sA2LCCjGk)e~CHA8G920|S%m zvtw5^oRC-f&hAlOTH;?DQOm=4ZLH60O{xQhai2mvUxPAMp8~L}P45s1uwDCn$7s^j+>s5F2Qss7(b5)(y}<#b=Aug zZWW01E4Z6w=(~w{HByVT^be{}_fEylvH}4w8r^M(FvD;e3OaaWgvAxO)!u6d=yVba zUpe~fU|(v&0>fNNZLwXL6E6?XLH}|7kU?n=@N+;SG z(3qkx|5YmvWTLK|r5eJeRLitmi9dyFVF?@Vck_ko;8OMosv=lSCS;%TG#Xlt>JJkI zSl7fmMICEZ8RyMhEm=+3%D>+HU$5=2Gt0FA_6GqAgyyKEbCVuLR4cm(w(Kq8Z#*#d zZ}C7mWD^|LJ@r2oEelej!MpU zH4jM+g)D_vdt}OVwo*owDTl9|-8PcFH|?p0?Fl;vj3k>KWkKLOBW3EpAtDF4cl4#!6Ny5V@ zCn@Ioc;44wJ>DI&W@G-F+@y+s1$=?1^#R}QT%>)EOw{+tY$~(}$?CGS!MV{2J)xqA zrSeJS(d|cBZ6n@^ycHVjjN*9=*E*>dIw?KiG>HB!4!^`ruzxGpXdb-JfQL^! zR~RGSusmxb|KlCQQ0YU5CG)0M;e0<`!k**6X*NTXld0K?Nv(q+L_`j>oTQ=F|1|Bi zi@y`WqaPHh*Zef?I`fv8aRn7-Bt^ihV7&=`$!lqFruDi9{4K3j&+jkdZJyTuKmh1T~z9fcr&tLKD3}nbr=0xzA0?|)anbR#l z;)7raVUYuus(pk-@h(<8#A!Y59|qe)+WIR9UtPRTbI5Zc{)&cP^UthU{wzu7ef6%P zxP)U34Nj3Re5h6>XhlSrNdnD20kFtqQGf4DW?HR9%}r-|6_-#ywmNV}Tz=@S*Aef; z7dXB*@Ev~vU7r|HN+daqBo(E8WGBUiMll6otVnz&c2`XU#quBD3k)3TMZYzG_}P4@ zVjBp@BSuZyuC3)1IQb^}(;2>eH$1J6;xM5g-G3@b@7H}$q_gPfc3UR6=nv7y&&Xg2jc!>&+p3lc7&{}O{&SEV$bJ;){mcEp-q8kq_mJ( zWoYnno$_h3y}tuS0^boCkt^7glj#li8l4aBT}!pu_*&F=Oj}BNL5fS1+0^Yz==HSZ z>^yn{z!fU?%v=OYWy~IFmL#DQ_*B#-Qa!(4)yb=xmrM;-O5WacoY!~HT@ej{L}pP2 zJ4cCANv78`2ngPtg^GE4yGa!5ZNg3&b;*rifs>Zqx)bMX$v2mjDn_XoxMm0kry`ulG3^J7D76Crz5l3B3uD+a&b<8j z%pc319eM;$Dby?N1L=oF$D~yhmQqx&e;0x0&@XUqsU|{sM_K&dmuhXA)M#pNWFgwL zGRcspA&VoGdWj%)TKb3?yV%D2_ZRg~+9zZCgMfmNIoz3)hfMG>fqx3Fz!JzsoViRt zH`LU`&5CCoMD9(vXC`02Z$gLB?ANNhnIsxPn$8rHY}!i1SOHE=OqzOG4oe$U z4h~IBn0gsZThVrgg#5R4$1pYh+>=PfES8zf?)sGoqq8~VI=SK$vsR)6tGcy+dMr;l z>x}y=!%UImo$%l4!}V7DqLQ|)L`W55*GPy`e~1ewTI;*x3pxA0FSl_H283jC-`rxF z`+JifN=JJt!UEha-{EAKRVl$j8vvzr_IUiZlOYDAok3jVIfJaPFK9QiGip z6Ln?Tx5c!26!ofMA{;+#hFt1I%dE6784KvnSv#)c#q!fi#Hb%8^f@%uB`=6STMzWm zre26P}kVA~c()2FZGBcAfdoXfI;M?q?Y0S4Q8qhqok_hX{ zZ2=`p{Vm9k+%gab9S(U_&GxOWt{k{^U3Xx6-@`<~tOB zj;Bw|>SSHG7UO+(C7`bxi8>Scg8JlMX3d+kW$=w3lma-=2vh~72(rPO!0UD>f<8z! zCqxymhi{9&di8v!(!=;{`2dsjW99^I*Oq79Qr{>CXFywkEjps3{Ue{5 zmGxs&>$32!Y>qC8)A4iYER4Oc>-?qb#tZM->+5cU4>UDkv*je}^L z=;>u%j1xjj{)u_MsMAG*MIClearR|nVgZvO*|&8L7b0oS-Sq|9LEX`QL0O=QCgJ{R ztqdES(<`;n!2WbSTcY4i9D*BKZ|IGay${>Zx*TC@@H)qL%0JMnFO1>o4|01G(&wSu zoznC0&RNqFE9nR&ck&dg`}8=VVvqCdNrRN@BQ_D zBx(~WTX71^(VN%+j5#YGTh~dG#!N85%waleTuh>$i6}62jv!B@3LBjH@PTyUPkTr4 zZR?<xuF zbr`yb8HZzU+tPlgkt{m$Z)|O97v2r6A)k-aqmLbr2q%8Qf8D&^10;N2E=-_5RD+-j zV_RJbnlec$h;8)IvF$o{ojicQANBTZd3LO0;_uLSzQU!gJ1Fajx?^@Vx6BpwaGCLH z?9I_ntmxzN?fK<;;aF9o78YB~}W^}E%-V>3&k~zx4tDsa7 zQk86tExfAfdxs<)zM{K)pPfScBG|sTI_~PtT7Lh4@v^)7yzw_IuOEFLA+k1Z^$nW< zYQI)Wz}j#A84e4?B_oMs@!i0Gvj<*O}=O3mrO_1&aYo*%&b|Qk=q$ zX+@9m*+3^&l4xO>AScGy38xih${0h5-?mcz&_&i<$+(&WNx((6+O!8m0QeVff;@E+ zvM1;UU$H2}g~ItLa|)57{-0#lQ9f+}@^4lTnq*OO{dqZx0Xs20Tlr$-|H0T>2G8xW@culW42>vW{5FnW{Mp%GaoZEQ_RfF%p5Z_JKxE>TX*+v)vfyeOzB8Ht?ri2 z%+r#lyIfbqBFCuA(82mhHKsJkK9?`-IV#l%!ZyASV?1wqvB$hE`9!r6^w6@*)!rG> zD)RqLLdI@1hS(r1)2hodT~Q3pTNLC*%gI7ZxHG>Kr(>PV@Q;HNgl$|OhIN2PQSdb2 zpk5Zhopvh)(a6SxLKpPEI0SJ@(WY1f1MPO_i@n@VvJ9lziFeSkFz&QHgd{=~kVI`H zqzvXo7ld);(rk>)6`u~JKF~ICMqyV4tS)Nbx+d~swzKFrpz)5faMt}FK|qFK4YW)Hnw(NS(1w7YkSEUZh1Ahj(`JsLj0J?v zgoV>n50nDvQEER*uD=Szi z`7XofWlfDiaklBlZ>|AyE|u|wWlM5uG@s<1Qh!I|@Gc8kowB%EX<#)UtZS*W5qaN0 z&?1v5kQZ~uHG#%TR+dlG%7FbLGUcAbX^3 z@)NAZS#%>fwDna=BSa(mXBrAMy{q^J5ZM7sOTGWhg5Ier-&CLgh_1FeZjovFpH{TZ zAp0FS$>SCHfh@dPBrtegaU+%THMiW&KO z%Md0Nm3sTDOjzdS{zvM}@81V9oB0Z+EY5_-YtUQ}))cq72D1UQ2`gkpx=cK5(d4ed zuRz*hN6rh1a@weGx<3zv#f%0p+MOdYs1_supmty0q$JG-Ei?dv6&Ki%#u+BYEROKO z;;+O^r`3t?8>^!p4_Kq~2{_`~xV_66pxGPe zxxyW4$8LuM!g|7J*;Yu3bgM_P$T56)OBCcwSh)yrQO#9jZ+CWKz zijJ{2o;{b{KSb5A|dk_Pv&=MWX;^J0SQ!7;BU+c%`|Cl@grAI-fR%tuvP z4yc%L&mO}4^1w)~08L2h$ahWX=72Xku9=vxtmD|AI1(73}8D zjSH5xl0O6el^UYr2kQmIhv#JTd<&G44e@NJ=~}9Pxw@`SY&Ib^gw>C4)zR@k`$u7# z_i?{jI8Kj(jSR?#Iy#PzrXqgJ8!RHE?rZ(XbYmp1cEZ8cIK2RZ**=zA-7v|@OAw-I zavOFYbg$JA?JuZ94j9^eai{c@vl**Eu^ID}V^2;*XY!iOm-V0aR2ka_4`^abzlccs zdcnMalT#Zc^n%Zki9@xv^uq`rQ7&^d;vQ-XyDRnX>vR9|(_hcJPvR5PF(z{0b@Th3 z1W@rSk3Zkz&K;x@6=B{`zH zZGuq?9&`8xqJ~P;{2pced@o17X_Am1JB(tZJ8WOAJuQSWTuw&baz$Jj|8yHx2A$iP}2!%N$!uvEC$a6mg4;Z*gO({6m(nHsW6`zi)>xb$igfCtsH9PAF%~mcQsPEMWU6lbYP-L5<(8fHomBX0T z+;jp_(HI{Fg5=>F<;PGj!nQ*@LkKCX3`y2^d;2o!lZhbD)6YZ1P@C{+Dk0uB*9g7o zs{8vy4$e0CWql_=8=gB8>}-G7(o9_W@&TUp&LP5_HMM+d3~OHaQan|?Zh=F6v=0f^ z3hO}t!qvS9a-9Lam>g_hTGxHaiew~gvB~qx*WVc9UD+cKH*Ge2quS|a@BUmz+ zx=$#^aSl*f8HhNyJ*|^JX__*8$xdwW3GjlF&nJ2#@%yTv={pv6MBD@U$v7-dKE4II zA)p4TS^Enk^aE)7cKQTB;kSj1mha*wOVb@sHH-r~Jw36M)P_8KBD+k%rYh&$z2UNItvCc8Y-B%V@pN}cC9eLyWbcy^L|G_LFQ&dQ4lZCi{#olj zf-?V)wz0b|e(j#1v}Yd8i=UJ$JNIX*?fw3N9bdQi^8=afGn{2V5GWvh)-T@XoSs)Z zFUPg3_Z#cfXn&A~1RLL;V0#I1PAUEi2DKkO3%!H_kNpd)4BXX)%2GFhoQnQF~It2dAs8o?VFo> zR4}h^0L3)RQV$$y<8J@(*P7c8@qL{9>5@>*&p^%86C6SBk6z-nEuINa8v(~LbK@J< z{wn%l%eTHt+rQ;1xwYE{-WEC6T83Tb7Gc(XM~d-h9{0x1R*Te%cYJRY^JRPMCGKv9 zJaHDUVyjsI(zwZ!cA4{Yd~SaJ!$Z!X3Hma`W@g5ygPpCS^i!U!I=$UDd2MrNqVAqp z`%Hg>L#mMZ6k(8I&Trfq?e`UgqD#n-K3XU=Q5v$WJr>?PgSs3}Se+hXyV z7N~;+-aDsM`2|#Lf3x%(tMmO^-saM&BDWz)&C(7ls>VBGcq^~l?f_7dgJCa3`YU^j zqAlPCPsi4h!q}B=ZGGzYDtGH_^7vI0j76WEkGZiojuHz;t6y)Rn`gJXEdn$|-yfuK zQ0&_GsRF?*_JRRoLAvg{eT@C+sMcI=Oc$b5Bsh`us3b5w=x*okHL|1AVva zvXI5vL_3BoskglirP|)bxkmv*MivkXDw52MlzmcRjUh>(3XFMr5H%_J3#=EyOYxQ6=?#O5lqJ zL|*Ir6n_LF6^Z@PeAKQbqz#0r@Bp=8D)hfTlHvEIlcsfjj)(@Y=^YFGV+3d#=Y&9r zSq7)rAA}6aro6r6A@W}81BdCeS-yCD%SjZx4R3>c$-+gblSVOOOWAIv3#6S3;_1O} z`6tOtqr1tYYiR*VHbXE$-r#%08-GC#aNjPK<+iw+c zY+wpWMALx*;;5>ZH;f=yylTjB-|-7XF*rwkV?&M60bTYVoDXC{0O9XcCmt`X?;xch zr}-oL1HZ1rE;=byOVGfRiNN-wfK-ycuDq$N^PiCmIAR)F?mN!IPuMT4>EJkC9 z1M&h`4$=VuMG6+2z0R>lUiW)n1f_SK?M(mU2B3wIHVDHdny(5DH~G)}6LZj=Id_KR z7B;()IK%y)D_FoGyZgPhijkmp9Vq*{31nS}f2xlkgAS_EPg>!J_gbD*TlUT+lO*vAuokFr`& z(TTbxA^YE!U@yF-SZVzV8g6gS-}{K9>LZ2?xeLc>kLq1uk|% zuC^LwJy@F)iszsC>rv8+N$lhLHqLI%n}VGV(D;7R-f7XMOS6j@&CqYtd7vB!f~KxI zNNv03C>#bI8qHIz2ipF1{I6!tfvW&r)7jC$FtI)$b{1$JIH3C9RQzu)^`TS*1sjVi z@ssis1z{540QgBN9=R>kKtXySW6Gaa#SG&$AExno+@>+7P?5AQ-JGZ$L<1sE-8!G`XFatPc?YpcyQS3;ogT90n_HRSy?R3JnpI zrh3Yn>N2kSGQyIw)+)Nl&<}8<_pVpe*4d4PLiG29}}xKL>JKUzdsroN)5vNc{W2l)sv? z;AT^Y^yr2hA?e8w?b&*QA484)NA0idXxq&H1YO#RMB4KNSu^*8L6X}D1wep|F5vRWqY)oAZG5T zgAsZ4>*{is5@h+sWn|$Pu$Tl##srE{@g&921kxmJX(xm{Vs9Pd>twcITSCPS_($ zN-@bpReROdt-N8-nt*7-xpZQKrhF-dwGtUz)c!8~3TxF(7lUqviXu6N8FyF^Gnvyb z=7}vwY0d!(P~oe_b~$NS-D;CiN@nA$EM?gtAzf;eP#VEP71mEp)#ELeVTRe(cBkIP za{qnP?zYasW7ESNJtan%@Rrqlr7AbS{Y3Q~O{#o&Ogh1SbCywtl*R7Bg<7#)@miQ? zr7BpFKjZL^%KQfmvqo^P2aLCGXpLD1*R#=kB$Uo%rpXvCCBD^vUQi{V!-tIZ0kr5_0!xQV3^k0HgfrhA=}fDg}fWxk`?+r1*TC+qCc2 zOBrb5fInu1V4{7=>CKy7)b>*5bHvYhS)?g5RqlHrKomc8dV|yo%xFXjgE(ndUCcoW=JS9!GOqct8IRYC4TibAy+Gyz9 zR=|eLK%C^uGKor)Uq=G{%+kRu3rxG^g9U!f{9 zq@USH0>6T%?`2TRm#k-sGa~egyCKDih;l`C(I(bX#CdM$R^{2l*;6=i8@@b8C+Mkv~bij{#P-Mvmz1x%RK2L$j$|s$yI{5$WUN+q?nOoB?L7 z4Iy&bwrKSTh?<)-$mT@KBlVs4i-YMb?z%tw5$%%G_|~xq$l7r#ds_CM3gEY~oo#A{ zo`p$Mj5EpswIxPtb@YCFsy6j;ja=nxJ?(W+-J2Qpy``r+ax`uZ`i)Rdk82uH<%i4F zxw+FDWZg|e?9E&wHC2sIsbaipgWB=AGF2J!V_R5StOJCe|;PU&`n3m?UMllom`g zv<{?E9zeY$+EfTt!w z5FQ374x4GWY$nb7Sk9T-@ffDU%dl#3@Ev&<@qurz$rhsj(>=y4`wBB=D0IjXG$D z557C|V;n6NeM=j+D|0W!E_x{s`DAeI?c!?4K-4Rm_k{5Ta{OKPIr;@F7z+KqSjw42 zQ2SBNQ(Pr`<7KLRa8u;sxBA<}xkxdYrIY55o(MD?chk!& z&ded17|`bmWFij9X-$n1=_YN$tD|MJ0`fv@;sT2W!VcqFt1;18I_FG=kfXonuUDg$ zoCfy$1js*$2kF?L|EDcMP&9%63Rv(zZwa!qva$U?pYZFg#}G85djBx^n@4PpAeZqJ zna7a3I4FfeQOnSSEMYJ*r_E_ZU;BqdqDw%%5Fo03%S<>)z=3*}?N}(!3v(ed}$xnJcGTEs(eqSO2erXP^P+b#FvG zEXQ~3t9S5P=I*BcH$@T;cjC{ZxxZZ*)2U>;LY!xuf3)5 zxPpFoi~}^TUDtOPlgq+gm=F$wy9r-Z4@jPm%>wqt8~8T33%2*9ZjXL!b@;}axqsG> zYFFewd}H&Y16XisvpERTaiFh2SuNAg8+?-NR>W9c^o<6L@>}IyYp5?`xi)pihdq{7 zIgrq^i~P_CRgPMzny9W;RleY_T~OB$t%Z*JrQaeh*e38Xgg7o)MM*`)9ZLRca@&q~IVxlS`~__9dBgnYlTERH&-L6Cy7y>IT=v>zNz%=41MphzQ!o z;rTD}%Hz2(=up3B>h#U+qNp70#mFDxB_zs)Ae$vIo6sHT6~m4;Ge%K{%|&SLt=}ji z04U*%K?EhsuqlT7_1yE&X(J0sp?rQ=L);AV0Z!7@jKGsqGGQ=gaQ$TA9S_{o5DR(Q zoY2RB8U4=ke9bcDu(mCGWK)#7lCZI0$d2k$sK?Y2NoRMY8qMoh^uXqA#}Fueo5xoq zeLnA@?$dM$tGocN8hovgnpSlOepO16)10+IggkYLTE`_P0}D(PaXe}kG;281SGedt`Rs^7aOQ;ls z+p%>{NLq=E-FOYLK~$z)SH*Z{PPmj2cC!eFOsm^p(G;cSAHg2me+b}|8Hm4K(B&L# zObZOI?W)NM=7sd)G%fu%77|8$E5fBr|6u{y<*!)bkPJ8jXQgvdNgXf?5#xjqvjGZY zjP~Jd_M77NUCy1!&5x?Jx(MvmGu2piW=T*Au+iM%3K`XBx~=jJr-dOYj7wj5r$bTr z$UN24kpy466%Eh-Q`_?KnTS@bmBiKZzJs#hU}05Y&`%A2h~kSDM2dA#Lavy_Py_5m z9LO%QO%>tEmU9AweY-a5f_VwCeKn{u0cd%+^Hl#!>%BhhuX(2F4T^PW1?wy{utf!t zB(Rji;WJT|=xe0GG}yXU_Z?7q9de0}v`bX4k@_Nz2PyxZheT99C{tBXvInS}WJ%vy z+0vw0uK52ZMpU`E(w=E{gCf8J19aTfyud!)2u_(42uUfzW)_h-K$%CVvUYHLacnAW zkw$Z&b}reP7c7(Mp+^pc7LTNoOPnF1oph2emRJ`5*RG1--X5%Emv5im7FZ$nL|-aq z2`!(sr6=*E=M?svM;GdZq;VqE%?iuV{<~lW+UvYFi%cL|3GTd0AwQ^}fR*qE$bycE zlt=TIc_^B-9=TL>iSFz) zsOf+-B}bm_MxU#EM#IfesS z8P`gp>W?ivrT_f8Hy_qT=CxEJ0~n_Zo5x}prNH3J*Hb2!%_x}un)fRR>b@py6mo`2 zvY5zq%Uz``(c^?2FO%iDo^R=s4 z;%X*%o&=T(rE+{qDUq=luOYZeS*DCj86nn9IJnW(?PRHH7bO^?_qfc5};WP&8fV!EMc!Vg~V@cMsE5&QrxwH}n4IuzOC1at0-d zJ+uw%^~&B9xCtqVy^l3)&s&S31DXNT7t3Z%Rj`fWEDu}wxy#~Wu$C(+_&K-iVldPG zQ{VM~2Ja%;o@*A}W=1Jb&UA1R0JbxHVG9_yBsQt7K`P`9bO;m~^GGwrP9Q-G!VH6` zzMmbO-&>3JB32xh?ld^gKIMS6jD)svp$XW4EU2JSU?LRErZ_4^FAYQ}brwcT$5N!i zU3vrG>oZ!W*#E6T`KK@Dkerz|Gh;}6eQbU|h!CdE8MkHKGhB+T4@e4jqXsdWm^gq@OE~N>Xm|vjAA=BVw#`-|`;bJ8WI$P-u-;3a>wyn- z#)gQem-1MNmG4HBJr?*!nYH$oP(2yAuLLTT^)f)cVi0Ns!Q|UYL^#vpK5yiZnf&37 zjmyikD(gxhCk#MDkS`ec6?URe1FylW9D46-=@ewSpoVBT=_79`iy1xg z4}IV2UpY+J*+f+*+I*(}-skl6F_PX3@LJO!ENk#>K?5bh5pCoEo;$X@I!G9`Rb!I@ zvQg)Fg_9R?z91+Wx4r(oh{IWCp}2yGVe}jps&m5Zmwc$~lK}IsjjX76_ra|bhwJf8 z*uQk>NM6cx*>Cwbsi}J%DRyszW^bh5T`OWW_06PW_J5YtqPAa|qG6EUz}Cu6+$*W- z$SIg6q>jE#1Lh0(YymuZX#=TnRD!)CVbYd}mhwJ!fhdUy_;cy+E#Rb7McjoP9*F{^ zRFu4+f-f(q=362Tt&AKICk()2*$pc(F`LI?Eo>t>QI)t{ZT?Y5L{7#}3g9t+LO~V# zo;qU9GVPcq4rv~{eA=FSx$2Yg#95FEMdAy< zB1V!uBe5#DF@Fu1=RUB;C`;#?lDFprcqtVisqi$~Z>d~vHR7yCHI(rM%TO4nY5q2Y zogc|xqjz^vx~GvMMru-+e`riiyEmYsr5JmShq>vavk$s=>V}s?Oj&ESL!!{j+S$ys zu5b*|!L!CLjrIdYxx6EFA=2y6k4UPd*!MovvIZyQjwup@#wO%sD#0m|%XlqWM=cc7 zA+`5_VW~N9@sp{Sp{p^J6qciL+^cnd6K~}q!YcCe-*+AZ)?6gfn~odT;0d;hKT)Rs zt}#TVK3;Q^=XUln$`9xcRM=}1KF*eVk6@LThwEo73=SzJvTpq-qsW$&v`1Gqo+Wy7-5k{h)I@wOk!D7k82pR_8a2y5x_2c3xs zcdt5i;HCuDWV>4v;t{-k#Yd#OkAw8}@^#!*uiAdcEAa@zX+ZMq-6d2R5zf`jTAhis z&L#?>X2#3ZXlya((e}h;Rs-dIDq9eVP(AiyhphmeGcGo_HbodQ}2lutb(N_qzqcd9FtM&p+;G|G}u(CD+& zNofsig3p+A=c&AMr;`w0Zx_0Mdt9l}Q%)%?p5nCp1#4CD_;sWZJ!W)0JMa8NcY9ob zKY6+d=n^2hsa3hWSy6znZ*o#QQ20iYQlp|PNDRXdBhbEI5BeZ4+ju@Ucj&$WH*E^# zn6R;R?t5`P@Kv|0zjZaJkBu?2CfaS)fp0~|4S}7)ZV{V4P|C=Z(sXiOXveo_^4XuxJGe@`ohw4InJ zH{TDoh0+c}a9Ha2g!X>As(Jc=6q$4uEia=#?P}@DcVN9MD*od1nc9!&si;wtxsQdV zG~U$c30dUtP)pU9d65Y z%ez|2evX!xa-|Dt>Lv6)$a9%}**Re>}R9Bm2G~UVPrMC8TW7lsUaAU6#P~{FXni zyUj%*80k51&cVUq`vWdEIyshb_$ebk^h(d{9r-Ou6zqRm*5LgAwXDI)$<6ZLmo*l3 zZSB{&(LRYt{`wOiKutv0lR=$h9=9=Pte@?1PVNb85F*)CQc0kHb2Ztx_ zYT`*;v-an$6Fb9oGRsaQrT%pBNxmca%VQ2To<@tPnZU#7PPIl0f#>Q679 zM>wMA@t4(-d8pcrWAZOB&Hm1HyP10)0GQ#`b0=0zvW&VHE7r!<06oQG*7hnY-oS0S zN7NrgH>RG%I-Fmul}AWdzuRzYfoI|(DjYeK5g;qzWLJ>3VCO1gZYDP-bkvHb+UNJ5 zWS)KD<_r#nKU-Pk;I4{7vW0B9n34O7PF%e+R8&Ve|5ek8gT4LgPPdhhYw)hj;aXxJ zKk-Nq<1;_Vx;0>XH*{&b1ZxZb%im4skIrtjz3Js?a^{5ih_jpHf^dRbwVslbS%w=o zU3NhY{7L8j!ap*=N->!ktTl-0rZ1ndp5*UE`UKmYi+1as%e&bL=3l^X=yX7I1OC zdLFMzKSfN+z+GqoI(g6C%lC6aX>i%{ObG<{ge6^GDjB3%N9NJxXgvKPw09{sN{4rI z98q4v$`jfa(_YirSp3qflSi_&xK6ET&Jh(oK0q4X2*XZrMdCSoPw?z7oG11Yn4X|M zEhPWWt?KHtgI^ro{o%jGInu18bm8QT)ta;fdM3k1>eY4gOuk6`DAxAxy;sMx^TfCy znQ$HiBz|LDc@j#Y{5eSCa-RkVq00EWizBekbJbQquH|A=;STW2GAeJ%6Ati#!M`*}0e0Q~sfeA5>=X zUg!EahOcVaD^?u7@?th0!OXTc-po3Y*km#sb%%Mqj{Sw& zIFaqBXxX>Gh~|-{H_!9M0h0=zxw*IYaDL@8?{6nc7b4gAhU(f?*smj9F;8|0mYsp= zN@ritB<9P_u6fB9AwC51)6ZU@tMhB7u^pW2%8psnAlBnzw{!PW+ACZe!)ts)2bE}C zUF4+_jl*z+(dYaC6-0%;CO3J0L*qm=4iCPa{e6V7*82KGL+TbbovN7wp{(CwqjH;* zNgIe_;OTHhU6jyS#rzifQ83ks=qaEjx_!dQCT=451S)1o5GgJX{Z; zU6?fnE!0w_3z4N7FFJhfu7#4w_28GpT0M`b#V5F24G@@DGjlH;KwA;S3Hr@nFZ|XW z7GuUiq!?l$_(ON_N@O$~2cq(Mic)qk3#b2C`)CZY*{!Elj`ip?m&&CY>;%z{Id{2o zJFxxS+u}&00#B!m^E1j!=FQ0TQRwnHVZ#wS=;&&e{$~E>j6+$86Dy2Z5C@)^cdkNA zM&1WVR0gm+h}a!bE@ZuAvf|xGil=ef$P0dp{>s4TN~<8?c#)Xr@WCo%@#PP(xo=+j z6LlQT7sW%gz)2WcM)8D;CDK-QVPGA2w2epK_CN zzWFTFXtm9aE&PIgQ98^1GG=j|<0jSf!t%$wU*=3Xwy%zsniomU_aCDy(F*jy)rYyP z<>d&%l6(BUSmS(J@tqS)0TTl|#y1zExykLdC+D?2K!_^S|RN9S%F zUNeZj8Y4|hq&l8eKTGzB@?}>(=s^@lE`m?JxHg_AD`tn%I=8yHa(gKEeKYj^ z6KweFCpK3akFRib7m#eu0|_E-u0I5RRKy^2ZLTW9m><9p5E6a9DDzb!AmHG z-&yvcUP*L>G({d12|baAr$*Tw|k;s*SOgoTNNmHS^%Nl+MY z=Kp!8kd>XC{l7!%O&!@91}@aCSeH*xX7sqIZDb10j%tHZp7HaTgj@?IkuQ*fInx(T z?Hg?KkN2=rt>Hj?&+@LC)A&pL=4)&InRYmgPl7<826YN(!=qIj$wsZeP$q!Mj!G zh9%s*?FtQi{PBZn`(y9mcAcyCqd>1v=_TjjqCExPEAAQF7l$$GK61W~zPrPJP_z5( z_2R1%2CIy1{m%N;>|08Er}!hX{h(YR6t2C|*S9k`1C#qQa0XNxt^zDtK z3hE;9_R)~TW>=u9Og9jP25!SG9reE(M^u*&RjDzl?fW>1C87aJV z(hes9$%>p~=q)uj^Y-oL9E&I(&w%?fC;V5`gqqR=^)c4uZfpYWI>1M=0Uqp!(04Y4 z`&X;PjvW}6UIsjBTm|WO3zpmRrg8tsq60@dE?mkaCA;1h!@YVX{cmtI$04Asp}R{< zbd^~SvxoIfq1NUXgF8F(5zesM-?tNc|L&F^iXh2S0$6dOtwTo^G)P7JqUE+7H}|>R z=(c&qKu1A$Q_+Tc98l2C_J-{%u!mV!p>zdBfyQaeyvoBQp=3QXqdN=S(6}Qez@1^5 zVOHEh%FJvCPZ86bfX8K#+pq%!Nd`1mh+cx|2>0@rWj@5%>Smj^yRW zj)e&VH&-lBffHhZ>5PgO^~23>AZ&%BjxzL}BUGVCkGv>(=ME%#@2)ZjFPB)GpNRA{T|f6bA(8zSHr#(Ue`yJ zNQq8XUunfcwL^pw)Rc_gYuk6_$xQ@EQSAcc$HdHDZ2G+oJF|Z8`#!(e(HowpHGYuW z5r4A#T7ve-j>fWXRVNZErZpVXW-M(5;N*4b2-sKwb- zMkA@9nbYR#PvXwY>O?a{rc$4{{z@&^B`VIIDYHy(XyIRJb0EI>J#PwJlj(ymf2Kf} z!jG&(vTii792ZKrU(H4*_-|_F7EqM0cFlZl5$0 zubg8V{{s-A?ogQQBBip78Domz4ClPEC$Kd0BdSDZBSx}x(skLd zou@hGX!84Lg7F*wJU1A2v&6%)nIj<9wk%M^OFE$&BLcL?oDqGzau*}(4>WihBEo%T z^)(!HG)K*6w<0A0S4+~d#dM$sEk8$1Tcb0PY5|Q7 zPMYK>NAQw|Tw);9Qe9W6OI8k7nOP5sJ97J1*Fei-ESTS42wygaf%d7qFAMpr%zZYG zJZt^#9Y8wgS<;kupFI`IP=59DKVZ|zbgh@?9Fj#c)x31-POHLYzXLyVQs{`$@l)uM zv}8F1^~w?wae;KL$7L!9w8Ld;9%s+|Y{GwTO!MdSWXpa`ML{nz3$ox9`FPl|%X}oX zbL-_ZK8(};dlc!Mr9Az75$D+;J7+^FM{y;NqM>jU0FWLy=zo2z3UjiKPUylctGca{ z!rbb@JlTC7%u#*mIai{srS3lsLj@G62a4>8=4nV1>>vcKq0fSulPu(?f0+`Z**8ek zX6wU+X-wY(Oi7ihV}9j^$kWjLN2hJ-=*Na))i`LJ8Lw2qgyaA%!f1B%t<;5t6|O7U z`W74NWi)DxxM&d+8r1x?FjB1@xnl+U$4k+|k`tl`ePS>?Yom5+2rpe({+e@F75~S1 zmSH2c(R`mV_=&Y*Gttkl24(b!OJg7*fc8-T`h9dA2MKZPDj)G~VU28}4RwlRMgf!@ z{%-iZS3zhBMZ3T3**#&-IA&z95m}43#X2^)1%e$zGJ0hWt5uUzaO24Xp($VPZN`JR3&70L(2rTo_I_ffZnO6 zZ0b3xShBPKs_uAw&d#h}m0J)>MLESPr~JB4#t%et`JB|PrJ1S7 zWfMdesal=Rw&^l`u9(y<6WPwBzN%q87k4=qc$E-lOVY|3T#aIwltInwXwL-h0C7w6^OS$$vdB+m&3RsGW*j@N3hO1PW zc)xsKh9V)JGp%oGzWX29X+FZFclowMU3Nd`v35tTZu`~GM@?HyCUy7yxu3dE&+Xli zwLSxVnnD*ew81eN$Bg*1QgaN`670}@wjTW6x;&58b z%G|9M|8==sPzHY%y8od7>qH1n1*9LfDG;I^vMo@G@;r=rHE9Dol#z=cX~LnQ0drCE#A^8)txUU=fW}jsJI%2 z*4GFD0g{P_9WUQh4sR*4jn@-O)76!=s$#hW3ylhSp4l}i8Wtx&Vh&4WBfE0Ih8?!H z19Q4|<9yGKtGvSbp{Z`n;SRT$`0TXP{X#HQ`@z!6=L1?c~9y-Jn@HGK$5|cTW ztQ`8KBL6h9Uz;gM(|Zm!h1K2;4k(#t0e2Q}zdc1w$l~t;mm+QI&%+enHA=)KB~0)d z069AG9tPqrjx)tsW7$YD%QR@|&#WA$e)DslTZD*HPCaqIcsntV2= zHR=!g+}(d7u3J>O_}-H!;Sm^K_rO+p|GCzZ_;`|0==(}882#3!C;3#E5ZWVi+w0aR z&|1$5A(u{p%#!hSb*r1h@CEmfWzg;%+knMv3xbOP+0bdZL0Qiidk-uRLq&u}Lyz57 zrFJUwcj^JZNreqFFOn7Mg3-cEDX?0hfJNHi{Xr0o+TT>fgx(oHu#+ zsh7ynL)SkPnZ8e6cUN0`4AO0$X-AZ+c3g@#uJeHs0$r82Q)B<={^fKt__O@bnuX0bF-ik_X(d{u&2j%V4R7cM@D7nJ=uG;yk%hyR+?+bx_`{`mvjz&lKd(P>i zFMsPZ7T(|W#7N)COF3%mB?6bB`(B~H4doT%6+RCaMcUpi3l)Rj00 zzRG5@pp9CNuHxA8)?%lxXedvs4l1R*s({WlrF7qvhrAF!4}aOY z#TdZ9oGK|x z1i?bOOxpzo-KvO+pEfana;4w9kZx32U>6{^49S@l2p=!c?zmy_Ea2M}77<9P4$Pp@ zYF1X)f*@uV5;xi*6w`q7x|~Gq-zO0J26wfNJmSV_|CsV&70PUXox^o)7j05{d$qM6 z*%<$Q|Lw!Hc`fS4b6Co`=8GwZ`BP@4Tfi|}n#7s49>Wz}PvXu1wBF#S? zb{S=IkA0pKTJ2`HcT3jw9{xYZzA;FYpxJV4+qP}nwr$(CZQikM+qP|c?&$7(Z)1PF zjd-!!f4U+vD!M8wtD^H{R-PGV5l0wT+fN`6H`@)Hkdn?@)vFydOXx|pH7O-D9iM)a zt-z*|{Z+Ga2ZVkGZHDQxu1Reaeb=fUOm6cDc#3dk|4&PXx1ARDH+*z+dVyw9XFO$A zM1AUOp@@mj_=NOU{Vb0FXZBq>u=X#R`(C=JS1U3t<*HkVnyL;!U@O#GqO?_54?v}M z@y6nL)_Cp4pi*qhqoe#Z@U+HT3f8(bacfG}IYSl5^zv*$Mi-%fy~i@Od4c+eJ}rA{ z4mWSn?nTP3($9ai`5XrlW=9uTI(1YutMh2{1`TMD9yspjszwetXxcde-)yXnCTJ_Y zy86#Cj4oOR)z&cjFq-Zp-&G7He2G) zlKqV9bq{!=l`GcJcTaJP4ws*>m3m4%EJLYIOJPiBCq>}G>-#&4%}-igq%Acwa#WU* zrSya&7HYAh$R}2aY#KJ-6`}O^J#q>s4xb@bx`i1OXQV{3gHNen(c#l2<;>gf4UN_J z{rrt-m}NeIvs(Pr{C>Q0sa?jOCce6}mv0WFI9(nVq1>UxZT3YO=fMV=0_Yasf!+5> z_Jw@=T?q{ zbtZ_4n5kIL@Fz4Th!RI@_S&;UR~RAYk5&!8OAFB}v$q-tV95>M%8{u|k+G|=ETJjt z=-m{i8+iCO2?f2Qn#PTt{n^czM`-oX=tprS^~u^Wd!)-wm1D5fUgoagb^@KdhaN~% zgd?vu!AQ5DvzW3r2?5>W;!<$RLo8Xe2Vwalj%y$oO${tT$=Q{r;#}^*% zw%D}xB#7ktwl)bU_Ad7Fs{=2Ozu(ET4u>A<1~1>M>z2&iK)4S-V38^~&o-xF^e)oq zsF3vrC^81$GUE{jTrcnPy!4x(^%-}hhUjXE(#whcAD1mV{pnRV;o-cy?nbU%qcfNK zwy0uloxbg?>FydmhPNZxT~`|?7>DDjY#H|a;k-!9F^ndcjA2iknINza2AAaXAiCCX zTC1~co4u@gp>lQwqdi1~@z7 zZFc>0t#{MVJ)4K(Z$phnw$Ns!GZ_9ZZ*JZ70}jv8l$2uoI|?X9A4YsFaBHjNTlAQ7 zJ}O*J%sR|mKLZhLRq}V?MBq=f%3D6IPW`oGjaw9345^s#W*B>F>$1kxzAspMS?$WU zej^>WMYE$2#qUHPqD@uiDJo#KTz8oxoLKDK)7>Rdz%Vw*6g z?L%s?3XK_7)9evuD7%S*?B3M|UcCDLkC*UF=uHed2PCjh<~ zwH5U`emhu5uXSTBXJa;mpT<#t|DFz3&PVVg>ni*OZ2&?s^+I#CcX2MNg(j!UB5`0K zX;6*j!7*9j26Q`G9iC@Ymcjv3Ya49Bz3K;iXE?n-#W8cJ|7(B;uUOYIKK40dAE&tcd2 zft?NYmKKmLFf=-=D786bkri)9vY(sR7Dz&i{rY_aGOlTl-85|VeLdl;1+T~(&{kRK z4LrzQtUV*yElC<+cpB|Rgc}haAr;mm43Ha)Q^BK*bEhh)RRi28;ADUyB4xJU50Mn^ zb-uWvZl;8ThtXO^y?WshSMKiJ!T4{1ljTBEae{3*Wm74(^@uxnY|NKhQkpBbKY8ES zPBtjCMy@v(Q)=(2W@omKQozz71oWz@wFkg%`WVYT7rG3e?DcO~R z3qi$an`Ao$TbFF_<3U?49B5hp!HEV)ll<%0)HH!{d0+cLECl7DoD8%Z5gf4aB{8Z= zPI`oj6{s<7|6xgnP4nV#EsV$1E!1#r1&QH&qz)ns;LiY^;jMG?ZH8WjBFF`qee@%W zJn&;`h%;-28MyHgvh8P!c3c>q1x|es7gvGKYj&I)82ac!fpbIDF|5}^YAcv;(*i2F zC?|5&FFHo1z6`V*t4TAaBLtihK?-F~+Xm%@f>t#%1b7=?dkk@A01ruCvT>T4Sb61n z&R}&qHKxVJadhRd!3|$?QQzF?km4KBppYjMI;2A)PR@n16g}-6wUY~F5(k`0br|KjeHCyv%6Gn6Z(mD43Be0W;*0 z3UhJ^X++HMrGN#}O@$koH6;RO#7f|F2=cla4>ng4RvJQ*gVbjVNK+15w&NPskDS zau#4)Fj1iUS@<(8R9=M9={g_TJQ2wrOFb zRG$bf!hWlxsJG>1v#@ewT@iKA7(;!ah$YPdLBYSXV)Yu}JX)wnhM5SsJUUq8Jhya$#8FPZrF#z;*EvZwd<(%jmhvt(QIYr8CNJC zFuVg874k?!julGKNoPZmed-YWzEa%@<0l|R6M=H2Q`ksPkg|=_*hEv*?UvT)QL1Qv zI;!dsPHx%tTPM`s*y~k#o4Nvy2tVEeeim%1;?5r5!joaOhCtt>nZ(_)q_EluqN;fm z0C|u3%B#~+CCz(~W?-bHcqcxUHS0vUhq6TDBkicJSXq*%y)-LiR;ia#$d|m57K zi<~Na(s~AHTCnWuDrzJF?M0OOv&|9ehp!YyFLIL}pkf8C`!R8D*f%<4uHRE8O@Y~h zDaxjR+z=5k>mSbTxk0@c;VGOx0uET9Sf#*hqEYt%s8FWBt_#Fn`uSkTK(7JJ&HDLj znCT4CVT0YN(4y8^s8WCBK@)uF<6zf7C**O`l3ZXMYpF<%IOrlwhC~aqAw_`_Trw~- zBts#olOd5V%E;jtAGuKgZo^32Sj7Qt@Ra+k_#nUS|1t!Wodt*B%FTOa&4k2bbS0FvGNTM^ky-zV|1dWeJV|Z@xdeR9GAo+~34t^oUcU z!S&)MEF6eqV!;UHG()+O#(Ag+hauwRX9p4(;~6_jfFpvOZ^)RFL>)Q;oVUq4?H1P; z?OXSbkj#RDN@HMbEm$rZamHgXibUz!uwlL*Qy)r3n9Y0?FR^)Cxf{W~BQJvMz)b-@ z>zP1o+Nsbe5)E!GzWwZPrw*Y#r+Hmwd>Ht^N6?z4dl^fJJZa@RqdvC&9PtKNl$0|p zADoBr{Lm~ufzrDB6JFDK*F04WkNH6kkJ~Y$^9YFO|UR8_#w)t!^o0+6rfH$2+aJg?k0{>3@vto zWU>HT#W=uGj;y@|G#k+zz&uA`;KG&E;6-klBZN%ghCmxPZUju-!I!@$Kb4qWl1Ia4 zRUPumCv0_8(Q6VUh(6L}aQDnyQ1p!GQ!EH4WDDs{0~A|7hL)eU<+G{)o`2$0I&pNI!YF`5<%+i#PdyKT91wt>^QO@Mmp|HC@eir`;Of zR(g7se3{1nYLt*fc}J8A)%Jlyk^MPHgs+vzyS#64B4MAiPv_BBG;bD2 zmZQ>bOR}~)&OMStQ*2{Wq*H7=3`^a`Dg^CD{M}J`f&sf&l9L{xVg<{q5Hzu5H0l8_ss=Bt^&=nzfnG+Fw!HWvm$?rjeq4D+~I}>(FyA8~zZsfcbqziQ+!n>~! z6T7$2Dj9Q}?7$JNLb~yAuOL6sL#e^al3b+XrOo?ep;}7Gj;2N>TqSm* z)I14SB?|x>%+7;zP)Qc%jYdN$m~3g$*CxhTCo~* zJwuw;I!V`-rahzk{mQBP^m}v}^A=)1;Rot&RVWX1}BsS{v6L~zCmrmAm%ih?IsH%d+#VYsa9=L-HwGM+07ipKXz zsaQc^ui~n;&eGAQo6e8U}nmuEg%;E;EhR?Jx5zix1chP_=62%S{O zD$$AC#(k>jK5X)OyzL##9n6HF0QpzdEyKi#XjJnwNKT$a$j+CsG*I^ciIk1}NoKfg zB2FZU(dVn2R`yVukx`SNN`I1SVLtewN`Cq^s*~;<6|S>XYb%mJzk)1^^l{IQ!ua_l zK>@h#P$F?lL~P}g3>1QMs-0B9fKg1M$Q+s&NIq#`SRj`9eU6iMG7^Zp2xMayJux{< zT*0VZl`NF9gKinD*-v0j&2xldPot3pb2uiaNzsY4B&GebG*1qGyk$%r)FJ${0rME( z`Im)I{K%~6&`!Xi@sEF9b`aIT%gkL5_yh`SF8>2O$@ZU|i2pY{$;{63f5DR@I=1oK zt%yH<{omle+prFm6p1My&0tt(EdhzkZcFfz{5IDwFZ14xbaL6x>M!JOVf08X$1t9Y zoviy^Ju6KFfuoqgT!&o3t< zcfAQK8u6W8-?xuI1G1!*y*1ftYTpKR+kn?o>b|bu%*{Hw*?nMdYw79edv`zW>0NTy z|I~VZmQF^_<}dT<>HRisKIW0JXX)o;*Wt*!5>!sgo z@g(0X!Oqo+y`_B}Zglvvu(-1O;NX*EkN>1DbYs5<`>JsZ-}3FN+ArDu z_Vs7Ig^LG&7rx`e!MA_ixiug5Y2UCGiN^ckYr3htId|>cR5fRuDIo^^E0DL;SgN+t zcb~2Xgxz(X?N!}ejm@hjP_)^4o8o`;`goXX*=J9EpBm7{lCh~;QyyMm_(`T^M>l=W zo*~}X%dLaYt?T=$plMB7{Vk|2u%cF3;jIg)k;`OJ4zmY^YLOfzTB$YSEV3Vj{UF{$>n+|Lkj8S?3V@s#3Fj@D*u#^>@#x_0 zK1|^B@AbWPQ@^Dv{LOpeHg5fMYzQxS{F`+Yv;9|e3Jg+`9sX&1K+@QpOpviW<|Iv| zYppTqTjemB+t@Q&i|6-yt30<=VAqwzn&=BvB6|jl8 z&oDyEw%D%s+8X}h;xfko1iYh|(_fIHO$b_5i{Eb9*DM1!QipCtkBN!RL}mI_V8XU3|-{u_d`> zG1_~iuvXq;DQC^GAEB738}A^MU1%6}Eba<#_(L6!iY=>1P%NQrBtNuTznW`)<&{F0 zSmc?|A`uieh++D@{n{b{5}6d1L1BTZq}#+K`$m@89Uy@_(JVV%a4Yr#QsyRH^J&mf zPI#h9a9#AbsN0o+ffx2?=Wy2vI+=_0UrL^ftb?Sj6> z=nC<;#Mh89MOQ}yhycIE`0le5ff0zfM4;2J1K{vbwEQaje3T(z;K2Y90VkEO6K+W- z)(YB&;KT2LO9RMIU1OH*0Bj%2aMasgyJ_f_`ZAo1=tpyN%#@x6JsykZy8}B0)Bm7h z)ZIrOb8!VZ>X|aak?x4GcQTKsb!LU&naqMS-Kmd)C}rTs&u`EzQLX`tCHxNCqv^KS zy=OgN?PSh|bpHul>bGt@xP{vLMIN;UuKbCV+Y?o9{qA3^a?6D+T4t5l$f#-W5WS?> zv6AVajTqNDVYRegdgn0og2{2gEEQjC8X_CBI-*zqAQ~^A38_Gckx*vC$Xy5^rgcnW zVza=V1Jh@;gRK8mI5@p9&~0(x--3T*;>qCO58chAkmOWiie#1{q465;{1k(sA?Fzb zlvrOR3i`OxH#c1&08spqmIJN}4DI8hLd;T{{8RuUrnM}HW34*)N4*`*FYw@dA^yc# z1EUSz-T-cxxM2niC4>??NzbujNr}@Cm%9W&bn__0-^tA7C(YPL-vqfN0KSAwth>E+ z!D3q@#cNXvX6m@aZEyvYVUwr}GlEu$;l?V*Eou|Enna~BZY=FFKvjb7Qadt5LY$Q0 z11weX)*@kb%0vX>#g(P<;y%u88rj`v(FTipq#2-X%@S13*RS#><0=O%Uz(lr{wMX$RX^>uOg>%99SUAF(-XA*koJ>hB@&9GNYFh}@rakoZ|GVg*MCBL@n67<1F zuWI}&zN)d!9t>Lt8y_dPMwnf8e<^%k*k{lp<5f-QC6Faw6WS_cm+)CI&+sm^`>zY! z6(SwA2@$p)j_vKSx6E1L(obY2`lSAsW+|!;RzZ17lw_q*D+3UF4JYBu76@xq@8Y0x3E;bcO>Blp5n~1pcX$A zkhw=aHucM}Rq2*ipveF}He&y~=v<(Mj=)!kI~#dC!-B@g78%rFL)Nafp*l6#(819R z>A-lpx7T?1-oVjoUeUW(@mcfDhVA)uNL2SBXi(Y6nI34Anee zibxtQ)O{l-JLNPgC)sx55(4!_PFTeGI#SfhJ$wj0JoVHy7r%vat*J?+VAs&546a?gX+koy+ts2B@w4k;f3oXV8>p z$@1|MQt=u#A*GzoWL)7^(^&VOq@z5tS+F!5IBXmwYP_(ciFL3=8lvRQ|F5cNze)x(n8r1z`Zn?%?Zn@ z^vUAm6HYzw>~0gX76iIvrdW^%p7njwr{Yis&m?POGgzG81Cmn=`9R;>x z`|im71R!EE+yh;2;ta-ylk~G}kAx+uqY#DluP7UXyv4`m+Ycwp6sdqs@sy%N&sknv z6$z4}GWU=^JQbWf(7sK5m+pJL+vDjTIF(f;a?dfoIF*d}M0g7HBc>z9Cd&5;8E~k@ z87RjFC?uriYFWhC$j3y^X>VI}VD z;afclXdbp|wp$slU#mRE6z=@jb2g6Pg<7vA$&=lJ@eF$kQ1&N~S#Y8$2%=_+R zxsS)M=vy42yfHfGwq>+5s1M72lyua>ocu7qL_m^J4NbIeA-*C*pmLuPVpOgu2Tt)Q zfszMBd@HHtx#&O0YszhmR2f0@-^x;QuF;9Uo#VRX`E*45clpaLYnf%yL1+>Oc7!<& z=2#D;4A2Ks2Fk?E&20!`o6ffFn0y@}DX%)jw-?Oj5Pw}328lyn+oWf#K8M=?8P;~@ zX8<0z0L);%Z2@>j|Aq{`^M$___ohzBk+-$`m?fJD6|=~IWgs(J*<2QK7e#2=#;Y9UYoh}DJF$?WwYP{=$3YlTwv>#tTY*8cU{(SiD9jP<9*2iP+7;cYYKk*uS z^Tc|;Ac_@OkESq2=XiX3cz74TtXo~yj(FQN;ByF`KFz5hbL$d{w&#!tXD@4zOsFAa z%DPFZluxWCX(^(;#UYzMk6Q!dAIyc6+f|_VZrPq|I_E4Ijau`cV+wwBCEssy} zQOd4K@){COyMxWd&MWl`VNmwB9E{WkIw%GF@`rl~hA(CA!tSb!EHQ-!gfe-uI;xQa zKe+yKu3Ssfj)He!D4PL@BBSs)sLuUia>Sz{coZE=umvLCSAA@ytC=K8G<-Fz3r9U>9l&7J(#1<(QHTkG)wlMc0ZL^AEJ*!QpRqm#LkJvx}3dq3wS)|I58) zVqp7EcK`p|)n)sA{(B})kM35|Hd~UfddN>;yejf<*<28hje82A)sd@MOz*KpD`K-| zc-jt9R~c#BHtpVN{h6VkJt9Do2%-c?mWfv4?aNE9(B#bF6B8hl@ArE_W%m0$4!A#7 zRIC-9)>Vp8URG7v(c$nvXYea!;qbjafA0tSc+*!@v|S2D|zhc$TpeZ2!`#QoRi^*0|LpJyrd-^)QTK07wE zo9j|OhOrI9vOoXw%7YCT5BKEIiXtxEF5X<{(9O()O!-jBPq1GN+?o2=fx?CU$pLV| zoc-)e^pAtLqqFBV96#Xc>*G4G7R<-XEM7##8sHzE^4C)9vclVyJ27(X@3M=xBIHUt zuBh9FTZr8C+$QTQzXFcF&0IOQ7Ls#0aP2Pc7Niy;@U6PfrI!}0yRy4-lpPiK6nA|V zS$4MAOZ?nO#lD1lA=a7no^Ru-f2%GZ?%(k#@v~sxT9DuW-X;7D|FI18&EenRkgT}1 z*!Z^ro|5_FQt@8x@^2ZKz4>m?s8MOMS6Xd#A}g<0U)EZQ@`4F`iq{W!JAOpA)!+Jl zKYV?QuBpq{RrLY&RQd(_dy)1Jg9{h&uU^T&D3=^a`8TV+hySWl4@25TqDv7d+)i?` z6Za)cK^jv-DeTV>$Au$Fl^j4`CgY}Kj8SK(dYz4J{%I`T-p;4Ab|f36OmUE=)dac0 zL;k+_j_qX`Vx5?Dmityg#JC8!o{~v?{w3+Hq-n@(_|uW5cRMGzsc|YiMHU`P?9cZj zZFiUKjL2w9W8H{@equz6%+{JxbhO%U`K>o393FpX*9QJNC0FTtHCfu{m%sxSm@eeE zO+!&m9rgHpz6GKdUKM#hj5B+IaVt)0_%?^%DkOWm7K+{#iZch~JCLnz0pr89_*V@@ zGTYrkG%0Hu)VOf8AHx`qJ$&6>P(y_u$T0!AnTA$(l&7oHOtdC&byUcIua26VKr0s* zBsB|SKqocsKicUVkZ~*zLz81$9fR6j$8cYi=C4Q%%R}auMD#DvT+RS{-TfKN9tf;c zvX4J4Lw-oHRIgSfT`d0MY7uoY)Dp+G@;Tl2xx_miT^^0l#xSv0rZMiC1X-}YNn{|@ z(jef_t6F68}oG?%X>%*!Xp+Z&3P zUNWbz;~&^YnETm+$qdm%hZf$hKudCU=X6EF1-i9t>BHCPpZqxTw~ty znDK((7RZ_N;ly0_8Bidu0 zJDQwkGh)5$uB9pE{}{il(0&~+r?)WTGG(Nztq*ACtM!tS7h&ClxyiQWzuEHl59(N+ zEqGY&2vxHrSNZF;#~}%t`Ilf*>+DXJb_YI2WZ;uPVf$0AFo(dPBUC5ZYJhI+*0v2V zAHaY$k;?Z*M>3dL&p&l~yJmFFz;Ao-Zz9)s-ZS+rZ zxKjfg728`sfXG)IQvcMOQF-vG7Tv6q@_GA0Zt6J1eDDPb!xE9|HdIus-?cU_V|{#U zoHG-%g$9ZO^;_d=v8WG1{1tF{%G7rsPKWZJ>p%NwZ@@{eF;iFF!EYc8+eGD-L{a-^ zqJkGPQr5LZ*r~$pEN0V%xa}_k;Bq z=$>%Fi7yz`fbxuV4me=I$W9ZWWC;4-09mAU$eKE89;!;Sa4Hv^wb+~Mmgp;iO`^cE4jkX66)VP2IX_6_wwVLCwKMT%Y_N!tWkSpxTyArBGq0v@12}!hI@;riN3fCeid{cxjYd zRR#vmQFc7CqdNfW8%MMSLJ{}j@t zxn(GC3fehOhBQ(KnobcUiu$ic-$T#4>L-;XsD@Nt7+^P$fbij?wc{sMhh(&M2thn} z(pW_QHIZ(FIpmj96U+g1K@71QOjiTVQ767)HKMoROKO$&M(KP zk`QO*$7rgg@U3AKdM@@70d)?9b8SXxxkS*CX+3Fv(J&GvIkWKN3wDzYV^HI&6>y4M zraQ+)l>xuy!M`{p)a>bL_fE-WhRtS$Lv~5(2fIs)M>u3vOwhV?M}{P3nRD$l70Iw= zp-Y|$YC3e>p1|TYh2j6Gdu<(|b5;F7d%>j(4d4(v-Z&40TNu*GLA@g=EC>j7zLcbd zedx$Ch=qQ)o)mFAv5+%%bMM1#5>U7$iAII!sYg7No4JaM?BbnK|H?&Jbkv|MunvPH zfS6S7?MOKV6bXQFft^cv`p`vKz147Vu=vcV$%nt2lQc4wvhMMR0~jqM}M+F^=5m|>Oxs*a^F zMUAEysnkRBvhSc=+GZC{rf5h33%5{{G&G~3DMJSHok2{{;REe1rlx4yDh<$%?q1<$ zlyp_PS{>_6-amgYqts}x#>ILFCQvdFsZI;IProKdX1hR`15_V83 znD);Z#Z6CCY54qw&W5!&hork{kcl6lc-^n!O`U?M4!i(qs2~1I5hQw9MBNb-ek#*yM8Ca)aL&P6$yQ9Ql~kaei83lAUH@jGKtD*LYbPIO zWjLevlC7LrE9th9jj$k%XX(gJzQR+V$=&V@PYD4rTr>BdHzUK0=8m-YJ7K`&jA_ui zM>#qkFkoaPMEeo9nma&i z$wQa6toB0qj`LASHSk6)OL`hyQ*}%0kyD>!!V zZH@vPBbDflWFKN8nC6&FnW^B?X38hQ6gg3o6;nCmKbAu|vlScKuW`#wZ}$SDB`cx6 z+rT#+Zdl1Tm7t0t(s_L_=eD%c`vKnh9}~%~G0Ol+93@eFF8leXhI{5pd{m${FeZ7Y zNGt+)f;LX`Ob1yHr&zw4WY)>*HxoV6Cbq$HQCd69GwWmb54NY9WaM%P8^yR6GjxHF z0@Urm=DR>>Mn&_63`}^#Hc0pXvs}~-()(UHi)j}x)E)>T`MpPUz|)qd9!kd?)hm-# z6Ezxf6Os+xSre5f85L8NCo3sxbo7){$4-cpHR;6snmyf{!qgc@1$NHSYSWC$dW>}? zE=ioFB&1JPWL23>hCGR-ant!{8gkI2^*L5?op$9}+)Au<$IXo$EKqKQE-FtGaTGA5 z?Kuu~II`~he^Q+b|JfmqDa?h_r2$d^pEf%ig4_y;MV}=hfNTOnPf99E^x#v37s%x- z`=AY4@|7kMBo5bf6rUbtWjrzV4W%yo^ulUAaWZ4fjIkh5q!;YwCb`rCdyK4#XT=G& zOCu8~#JnU{P6gOFcqT;e!aQcx#E0O*J3Vj~CQB>S>lh?*KW#JOrK7rOg}PX^)N4=N zxabbcUUjC5$gPTs&|U_;OMYWx=2mJmpjCDP$%!q~_8g1uNd3zxjV9`xpcGpa6#-4M z!zHHbj7U^-p^nTcm>RiPVeL?Y_BY57Ha*#HoC|ZRE&GitNtK;K3Gb&Qn@;B9m>Fu) zsq`Q(Mpy2xmXE0+d)7%Qhs8g&brJG4FPoqn8BO_d5v{KTW z04*K0-Y;(O38mjz0O+-13Z&h6#6Yu#4-k04==K^jVq_;o^Bq?;BP>>LV5fNK+>VC_ zsdY*m`8v5H>Ff5flk@CvkCfp**X0j!hx@h0lb|gfT%y5W_VPQ0Gp}w~<+r0{PvXwh z%XDBzcxc=jh}j@|iu{Qnccc@>BHSg9qKrMiL2u^$F+;XI)DSa1?QC>7nvPIsOHZul z&>HQGF|kCZH->IMCnrSzh+pc6b`=X^EUyNUc4=ky0E&_u)Jp)eK|L@y>ly@THyvj( z1;qfLf~s$v(w7^7sAoEJJb5OjCGOYIxz)K_EM;)?!I|U1<~-muw(9 zANmapnshW7=?9mF`W=a(@W&rF9`zeE&YO|kmytzLq2+}YbNfp~btu!V-hm_-+p9e4 z?>3A&qj>as6z5(_ovB1$rikh^GpwPZz$`bj%E)%xu-de5L3@;EDdjZIiH}ZJWo7v7 zf~xBlF6M$f(EZ{pfYx&phC3$*4A`bI#=@K-0~;Ya@kbZlYkFh+?7pMs!XE4kO0-|b zFQEVOTovv7UFM&YwixQYRQ@zK(A0Tqo}(n>nG64iU4AsecR!@DWRiD2Fx)iM$z)+I zMT7RR&@MPcUVdukHpuBy>Z}y7H>jIoo1^BiWM&(5;;0ck0FBL*DLFQW%fWbhqLd69 zb4Rf#B9YIkIcXf1H7erVn94e`_@-Z)$X!NvjTWBx3B4fF9+A~GkFYMTU^~iDKnzWHp&XOIeLzpUb zmOJpQ-6k#Jz6T&pR_hQF`)(<^&)yvSz(iGD5CTXus>F=Sw;@61W3ZVyz4Fx8p2{~f z`(P2hIYL(dy)@_%(r!fQLb|lD1MT3Awc>fCZMc-4PeJD+$rVHoS<+@>fPBzeh86`V5$^%(~8K~96_Fs`gq|5GY*4>fy z`Di4<)ig)m&*n2kPLI=|Vh1J}Q~{bQB~BDI;ZhnFE+w|ro?0T-l8-OyMe0f#aOVmV ziydEQDMo!oAWjcT$guf91bx?RcYP)M))?uuOCGq5k_fWi-TO`h*HMN`lCyA4wC{w`N2fFRM1mBkp)?lq0LAp~I#bY4ayJ zHC2R8lhS2(f^#fYTJ3j7Xhza}NSsn!dAQ_XELxeVC zYoxG5$k4H{51k0rR%qG{P=UN7#uo@@?Cj~d<^Ip|=R z!UaD-cVviHaLCT!i4iht=5EDAXRpq*ZGOA(U`vPGLhb6kWzh{xSrHr`z?U$TSA%J7 z4*jw&BNqk!YM?3DbP`Yez+fZGw(xF5B?gAd8IPPLU(QS}=QlP56J=v{&x7NdKWE(Ktr(pR4kX&oM3Z|3R=3psyd} z!LA=9;k0O!#g7{x)!nI=ers)@p5L9^fKQS^N0|MwpF7Io{j7;2`uzu*p8Bfx&21*n zw#t2(^!tiWevqdp-1?gER0ii{Gklf zIiOnaOjT@^<==KS9ZcZOJY{3AU;V^hgYJ99+(e$fnmCuC(3ig$b_RWGwhZX+Qorfo z+q>^eZ|FEU=;C{@(a*5z!a;JfSd9l`XtHD3?%e?{0}J`ztLz^C zI$PXyTy&msv^uEBcqzR1qQ^u*_Cc6x!9jgrnSZPEQcTW!4tPqsBm-00mSI_iR*HVjE%HmKd2`1ma@=_R z{Bi$sLj!;6Xh8nfwqC=3`LbV>f3jn86V`9E%9qa?i3v2I0!Kfi!y14B^zI+%&pZCV5XO%UeJ%T?SiE-NxUID@-!2{gtVubPDPMX_ zGAFK+-Z|02P-ZX; zhUq!Sah}>gX0C-d5h#)+b_Pys?)0ngiVD&qk=Bf|<7by3hvB6<1P#cJSq!l^UX_Wi z7Ok7wHS7kj-8y!e8oLAN_iDARF4r!r{Tdx#9s7?Jx4uw#ub1wvz1oq>34=WQwao%e z=zMvbu0xR2+Uu;#_lWN!LcQ#bv9zP7Im_;+pW+=ba~@v%*6gDra(VC5b~6qc?- z`uQfpjyi_yN2v>bbrG`5Xq^zul%40)jE3FAeLrLaA8$ypX_KTLFU`mt4mj#8CDTwwcM&}`KX`@^wrMRQ9oVGTGY)1 zu<`HN%FRiCijxRoR~of-cQRT)UNM48KINr(DRTU5l8nsVU`sdivl51WX&ST9$ojGf5qX{2nWIbBD0}7xCKhekX#7v}=C}$8R=Y;U8 zx{+;IV<;RbC8Vu9kzoOORnU!a={CRD+fX`FkYieSytI35ACtYu0`qG1_pm~N92nd< zhZhG3x^iSpz156Z zeo&hcKJPM*;BE~Z;x_$LU-qU|(?4Cr6_GvVI}}lx0xDgccTM7&8UF zJcaO&Ob0dD5biUKQ)icKH!O4}>jaeZ(@aQfa$6cHPOahhgx6)XRVSIVvw`nN5YN7! zSA(c3>IzF*-NCAi#<9Ll4<-6`5%6dOFNA^>(=$CGiqr5KhlU}&wip02_)@5E-}OH? z^*e=QL-kDzh~HUCX++nFV+3ET%s?4TQbm-Ty?06>P|EFG+<4)Of?G+5h3z4j&mjXk zWZmat?FoZ0r(9UT1oSd*upDfsZ;RW7j_U0_ z?ey;QuOXi~c{k{YOj43#%pu;&!*1?rE?sH0-`&47inA^C{%uF6&B>RKrf7nx2AIK( zw8Hv&ILX`q#nepjgLhNy$Wm4_bL)o1L894HX2U=oqqxvla5Qf2r3@BrXK(zvbo@5Fi-Kr57syeb(VJ187+V)Rg9hq0$481jh)nE z(_a|cgcX{bjya0@yz^2;;uaT2hD$mqUK4<->M)paIEQmG=;~w`a~OvGFUH<6Iv`|LcieB>@5df>#;#MR_E=}FU8m-n zYc5_DO9K~bLI4XtbU4mha%Ml%U|u5;5#C4l{(;jL@_|-t0%@QobX01Ic@%=5EjGy# z`G{n##32Rxe2Q%X6d6o&D^Zw%vlE5|l`|X{gD<~}y@%)LS*&es&p5Hydwzg9afZzN za_kG<#jZA8fU2_|HBIND%S>nF=ZusHA~ik1w#webU9X*K@;n<} zuYJ**hICq%3nd5G9L-skA(${~y9QrKA&KGVDaxd9SQ{zf#sw`o1(Qp2E*?hE1b0}J zSYl;}e5Cvs$sez-QdU}0V1!&AQH>1l9mYFR7oxhL>wdbX%$iKkFoQ|7h1{Wz{#&#U zYm+}OQYDu>9_Nvho!4Y(#HtjdU7T%fIx*oroM^0$m`HRe1S)E&)I7>KdgwdZ2vrn{ zVK6NuRgR<*X>y4M5n5;o<^ha3y=lvkb|_YA2${C?4%aV&*Y{JtTGHT-ibp^5f+yRj zC#SyjAuSAu>%B2V0fJV%PHtzfDixtAqd)6Q>UPembo%r(_)9Odp;r7Y(VX*Df%(>x z9#&mfDS&N(AdKe&oJNaQAA9EFsfjmy+vpJL*^zee2>nA%H#0PYkR%)7+{me%6X{g< z3#3v88OwXkOUe(`Z2qJXx%#s&Ut{7j5 zt&zTT*Fx0y^+dFVp1a33z#r7!%mp(uWPjtR)1?l}=A+ne+KY7#GI6PN! z1J_`l0MQ+Tk+#QX!v>3!<`FEq7L`aIfKdF$Z-kbxtPD~iGpG{OWE*VWUuEAZwpcM`8Os4%u614C~%z}MbIf?0L!kklZ)VX zTA9>O|Dx!};PExGz!jUZGzHmQ(wq%8z_sw~jjsupdQ}+rt=_xTbEiHw;p@f3JLbMr zs)<%=Nf`&N^t(N`SJbimy3^<08Lf)w5f;!L+H3fmY{B;bfO75WqNk z#{FZxdQaKE-~h4tw#9&sU-OirPX6%TJF#HMji^Dgnisz(MihK$o_G&P6Hj5!-!=kJ+!M2M-gu}cHGr@L?A?$kt zA#1F(jT6WcsojXv0^d=;L9S;PN<*$u=4Ag7w3C4pu_5mxoyz}!kbRR73qvbyo96st zw5fO&PC6nk;V~JpiFfaS`Cj$IyXa6SY>+6Hix90`x#gUG2+=d zq3GtCoHzXX_^-B_;AMv+zQhg?czvOzrA~9MtM#mXV!acfwv}HP@OJ+1vccE`XfQAS zcwTwW4#u5cC8f*VTr)OVwn@L5xsw3gc&0x&ulsZy+UXY%u3^H=$E^gZF5RG*34QkX zJtp3_%xfO+Y=p%1*A0nRdx9p!^@$Kgqg8>zu1F&SRbZ-|RZ5C9 zCr!j}iBc<^=gRlvr5FKQt(aTvKr}s9w>hmp!!oXczHZ(1)%+n&ysL+k)&8o_hbHgy zXJ--Jg`au-OO>a`-Q{-AWwz_pwr_i9;aSVfME@4KXDdTrOErGl>pix-RbCVr#hpJJ zRedQd_s|?Gv;TV^UBZB=&UDX;@Akb_ zU0#tm&CT}%sZ_}vE0K9Llnk|}WoO|$SD>iq#1~BSfIQ~_EwBPrL|$%Hh3CJ3Y(-?- z?W$Me9b*eBi^VJt!K&pP<$*)t;h*P$+QRWZSly+*&qy&*3au*gF_)t~D8QRto$W1w zs(QGJif--CWBBtAqio!d;bGfYN_{HP4{5+Jhe$tk%xo_oVUlnjgCXVTOw@1Y@AcP- zOMCcNo4E%@G&oqbn&M^lU$wN94yCm;mbDhfiL6^S2jKz+pDym6ZbsDrUesPqbp#-{ zsN7h9lCWeg-{-PAR(%c5O;MfjDbtr8i0^_Lk_$r=)iKrG5m|Fye*OvAYe+-co=%RM;M=* zs$fP`6!hSwz;ITX;;qDyIsxpx(^aO)*$KWLqM2}GS<9Q*gY-NrYUuuKs`+~A*oif4-y zvdi>3hY0P=7?yX54}N1GNvt5#Ew;U15`{e?54}c^MkoBuEWzy4JF_s%4l7&jty=wNoLka0JfBGcHlxP zkO2d9#mM?BG8yelV{g(1DGhVZt?AofS|6EmOg zMy4biD5FCv>_}sqALsr6PO3>Uh@beoJ74#5 zGkw7Ay>56j*eG|fZv64S21aMBfAD~A^{z|(m$si~rE*W8w|xI9%?*93jWn%-LB(MP zae`NwTZTY_I$jb}x)CoTAUDo5+eqC$OswEr_eF>`re}k1-uk+1OLFR(Ou+5ikPHHzbhrf5$=pF!=C`w9iI%b`_{Z>V&)`rXfezBJ?gf#@NC(!#8p&hgUyMsl zuf~jA&&+^M0V9PZv0AQn>=s9j6;4wvA6V_*$1uyOGGQ)C1Z;DZB|SJa zWKsc5F^bv-=IrUZ_y?&goO7mG7$#R++LF2j8h^xpXmy36wbO14O&xqE{2i2S2oypw zOR)E+$S`;uPi9-orEME#s_l10mClsWKkylf8ug`_-&{%ko|Bzdhez7q zI?8$0I$kbx<5l9pcj8t}xQQLBbn>HqI+&{)dWgr+7=H2GjJS2h^3KJ6jO=tywqx4T zEK|0fEo}$sUS>m=PtxegsN6n{D-F2{OGCqSDoiI3J2~_L}@%* z>6$xp3{Ra@F`%gQ=w$!~LV34K1@L+*sw}lEQn55anHbJs9Sa@WuM3 z-o^;C-&BVlIk3Y9YBwZF@?U>n1%KaxNd^aD(OFaKX_{AW*?+ z2Z?rB^Wt_>vTAn$meu?&@lf6cGJ{(p(IGu0Gq6l|jUn=8%^}m8#$HM-To$hT~R6f2=tL~sWm3CngtCfsH7 z?SxZ;yj6l{N{wF11rvj$drSt|KcI*nkVK?cy~35x4DNCDCTcYPJ05ccYoSXtUn*iXa*12A-v3Uq*r1++O0>t8qtmKQh)Qn2aJHcr?8NuT!iAfJ4l0tZG>rx0Z2RWTV- z8V{jCfes#PeUskH-*`}qEdmS(qU~fJ=IZ;o3axv})aw%)5^}yPV-(;8e3TAQl3aiHQkt$HQhh9dV)2!#@S2GGk{wO z%+kYEOwp47CgXQ9r&pphDNh8u8|a=8xv?#^Gp7lRGpFSZkM%8l*aIg)m@_9#9;+gpH`3aUE)OBXucC7uR9vT{N@k>Czl#gqrZ+Mj=Uq zooOYB?9bqc`|MG%>K-}v=qa?mjlk&e)2jMur{SGR5^MR(Dro(9Jx7T?cdnFpWUT2Y z@-B!>HpWi_8ViA81O#=3FTuKqf`N0|fPYhbHG)RB0f&OKDAuKSoqEH^ya^D*vkqjX z`SWqd3w3Wo;r^L9Pyf5rif*0`#sR;edEiWA106JAnbO?`KM%r?D!$>uqMMk5{Eg=O z@jnVmO6r;kIqE7J_~oEW8PV;5sE}TZnN!xqMn46!X1^H?PzAcgQ3rboA&%xYGMDmj z6)VydfK@*LPx~iIIoiRG$E{AB2Mjd7Ly*lbLE|BIa|s#Nbf)oybe82r zW(nDVTFF-8$rO62Hf^~=-LVtoajQ(}DSBV7Vs^G*5kZivU}0c#c6+K@o5P5d)C_I( z8i%G{xdO90O3`|t2#8|5138=-NZ3N#coBu&p-7_bKVw;gjHQpCmaZ=ZW=I$$m?!0o z0FD|L2>K#=K@~92OQsS+eK1SN1+UEDsDRC&X6Jt+-_DzEJX#t;%2@xvcSCIULAz>_=iBb~#Ans)4G}pbIDid6U zdX(wyfS8?hNH9-b5t$N8#A7N-H4$9szBi=+UI#@mZxcWI4<#ozLM;@rGB3)P+B`F) zi*Yh^e)QEBucZ~PfZvpe;QC>rCZRrITYN@A3bmmQE*spCrnu~+7^K8ux4J>yoU}=b zK5>qRjvVom0A7KjwMDKNt$U=jszZjUxD*N$>rz_G7J206O10$oyj|G~MF-jD`9sHBPHt>-}g#FPh#DsnfnzL{ajzLq8{GK;{Agy+nss@E&wWf!pvOLyR zK4^DU11(D~UZ7SDv|crLpthK#{|U%~%a*_nh=qF=ImOUsxmg&}rjM4$C0b8WusnJN zks*3c2-hhQ@jNaa*qUYNQHC~}gEV}1v-F(#$=NLR-^gid_qx2I@G7lpxe=AU?tH*@ z2|gfKh-u>CZ4a( z|I8oP%wI}77fDDmfr7xLAX3!=KTXp@2rSyU^Jb4D#sD?QB8iA|zZRE9^IW$@b^?Nn~V|nE-QkgTYSRG^nb}SUSBf zHpZV{5cNfD&;7<*#%CnEVt^OyF~J8hg~u!t>jnvSi6{C-9%4xzbxN`-f(5^>W>@8$ z`2ykC>l{BwZNOWpS})nLS-tUwj79$oKB%{05gwDjvku`YIpOO*ZZ8z0-M@!&qr_dg ztxo8UpE%weHT@9?MA8Ny=(xK6_K{O|#!8Yqc3OJAc`Qj&FYyvb2qwDht@I0bcTjh) zRq=Tx;q37|@H8jc=hZyxUTTjT>S{|dF1k0Pqx`o5>q?7(GIsBiz8cR?>gu@u?zsfA zXS`*Q8C@NWM2@|rZyEi=ju@6Z9&mDVXG0xm>ksv!F0<7ko${M%8yE^qgR}W8S^qSh zss$0L3wN>Qq2QQ2}oZ11m0c67Z znD84aJy=DZ#f}N})Z?}b!!;twgFD3X2Hoz;BesXnOXQwHjY(yXn!NDDAt^+iY2n^@ z_4tP1DI_BCuD<_X$;cD8Wb9IDZpWQAHYSmFC;N_jtN*gsv0+}r%zSO-`y%;uB=xIQ zw#zdh()AyBzB*=|t(N4w3lh$<(12j8aA6vnF*-K88v~?;*rY6nG8&V+rQ4>^ZBH`s zD28#iz$rqhKiPl^qy)2)A_u%+7DdU;6B^YY{?f&CiPKI?ShwQ8G>M`rnEuH`2$Qc zQ+%Slnr>I@=U6{L~&H9$q{L)rz+c^GRHKPY4DxuvxzbHL3jKoBwq z|NZOdBzL02VeZM{KT&_rYLapJYZ{my=SBa=!!gcO`Nkef@rHuUEvq{?FI$UKV5wY~^6Y+(+3!yd zFoFzdlUUy%rU7{Kb@B;FK;MW-nr|E&N$lXjca|-94BUB9;7^GIUdaYnCXpjVSMJBh zRv*5L(Tf8YAKkO(xPM*^#!giqFNO~2&wml%K6wV`*Ug75X7ve^$qmMc$0grlI8r4A z(gdMPCc4vl)hz5EE1(4&OegA$HS+y%Yi#xUg&CR~31`x2EscwLJ_xZ-+&|90e+U_W zoR1UuSWf_5h5nU?7#D732?MD18NY9AR~H6yH1rn>+(Zlw>J(sv%a6;=#;SFCsV3CQ zdd-s?bc_6z!^n>Lb8#}iH%k9=>1OcCba{-x!{28wt$_#ik3nwGfz!`TTRD@i`^YMS zmJ<{xjfYq9y{M*R{OXxw|B^dU-^y2UgOU+NpLd_ul|{vL zJ7Q)!2dy5PzZ&Y9cA)Cr>mmv!^?xOK`4U!G>Wj_((Yu&O3yeLf8p7P_>&>0mY|T;j z#X4>BCfQVam{7kY{H2%{xNaZgyBxbo!RG=Kol0|gltp2^3xLvH=P2Ub{tT1nF8e|#M8!|bDn_Y|QMuVivTmNxC|RM77R*?{A8}br{q&K~o3U_n zdnYt^`7WC`bJ*Pc4pl0=L7`-Y&6`Za&eJ&CC6}ppl?=8aX#>X94|BSd!qi6Yf$Q$K zRIU|fAk<;Pl4T!#7WWqMil5za)huI+-OY0TNHGYywb}bOTS~PozxoY&2L)787RWrO5X4A_b z8Mk}S-zZwt)ao!vOQ=xtZ zKI`6Dbgj^7%AIWD1DbxBxdR0VCf4|ZFuX7{N(p6ad~R2gtlvK zdon7kAQrJaRzmAD{MfU!v*R^n)fny>7y3jQP5K-05@^l(2?OVXn)H97EO7kafupSd zyA*cRa5{mo18WO~#(%ZX}4Ra3f@U zJvT4p59%Fz!Ys+&asRvb?e?ESXio$ug)=`>j%zbj2mBMT!~Fgl2dm51`ZH&@p#g;F z2@43vh|ec{IT?Li{kufKdL%iq7QU$uHzSFVWJKh33G}q<_H}@gTJd1K@VP&qeTErR zR-EasCgS-s_XT1uJkmGd8n(nL9iK*Mnbf_vUc6Ob9R9sDQ)vhfWCT464EB!${S6z8 zyyuyy4VjkhpA@Px-Tb^*hxPZTtt;mimfJoaT;0-&59}_H?odiEPP(uJ*%p~KT)33H zYUe8}uxsr{quN>p1r2xH%F0Q|n#AHg$5^_V)8w`ZkM_=IZ%c#)gb10l1Pp4pmv`=5 zeBB9A;{E85ZxV6t_wM%8K3EBf8$bZ<#~x0+Z?6zC{;bsFbMyt1Z{qyYY&D-O(1bU| zP7t-SR(ECUIP`OeG#lBA%j;?rvE(YEOO+$#zCH6gJ{Lp_bm0FS>bd~7_buJGz zRrr?^_`D9!0w;ZWF&Hy_wzh)iFhJ7%Z-1tW#CqW|f6zV|5&&X&rK@LPhv z4NJ?6&1`(DTUzmUj(_b8bg%B6DJAx+Q1h=eI#=;uP@>R^PwnWwN&mWL?VjHusPuX* zdfQdgZ{fDOHl)Rf_XIH^jqcz1TNZVQABf>Q-e&1K>!O&e&MTPbL;- z#U$hEGjt>X_-L0{Tnz!o(liCQFpl{YCbdTbwp%;EMm1lp_UF5&skjG*{J$PQuByQg z=qI_A_437+ewZf-h+U!wg} zDZNN|Z*(>$-!MJ>?T!=%w#JE1TNAShPCPy_^ zaFpaI+hMNN4Dd#{d~H**x*n@3aK=&{wucjQq9SevTO~=^Quh&?CVL(r<8u*IA|S`S zM6{W|9O_WWA~(9skcz4py?HJfN?Nm2>-#Zt<4awQ<@z^5H{{3gr)T96Fvbp0(c@F@ z1ihHq73XEr=?Ang-QHBqgfnaY>NH4;jsM9yI@bR^=8=8|=3&VxnQOFBiW$tna}o5X zr>AWkb9k`@%m(kObUyK3pgd|JypgZxdts#3R28)0_#apXCa#c$49Tw3L6}msO^!RX z3QhcnDpUwO@UeLNF}~y!<+?mbOiLl@S40Jn12i}_PTad~HTP2FR|A%qJy#yxfccwyROIZoZL->=>U)5aXMxps2k zvMfx**mG3FhwUv4+`7HW`1(?+@4|HzjHH*pVxApM3A6!mQZP~trmIiwe97Gu9fsaAuD-Qz2U z(}R@OxLQYxP($=LsfH+quHH-I9;-w;^S}8`Am>gULvWAiS7#*;MZ3#ax1g6P<0cM)t-sP% zaJesM+Im&XbTE#Ab9&(+@8khf3j#L)Hv^;Y9Ih>H-7U!~*-iMq>0hUwca=uk$uJeN z3ywn9Nn1hN>2c5Q*Aj{j*-`)MQ~*UR=HjZN>T(7d)%x}9qBmG46IA%2kh&WZo9+)K zGSvs&>1N=<7>2qz5Dd>WG5`g#91aim5kEtx1Zo2}8YG&*Mnl%_vAkh7Xi01Rm>8RX zT_s#x!OFm(Iw42E6kLu@x!+j(MoA^R+H6NE^pA6?Ht<9pwd0`L+BnKq&5}y~EIQ(x z-2*_gb{Ff{R#}x~Y1pHf;TcXJNxqc6{N=#4m_O2~Lf!rqCrVcP6j3&J6j3r@8PBVK zNdIEpI<`Ymo{rp6ub>~|oW5A;BSs%WCSugN$Lc#FC?pXHs89+{Z&Vg>$fg9<%RN z_2p!mIH8!DnNIIXw(nL_DqG#{J+DW$us}&xNnp9YaCzO1sI6v4$zxzM%G*X#XV2)= zN1F81N3Hi!XAj8lwxE%hx5N-Px6oPtk)N2GTxY=UYf^`hUjOl6sItgS)~VerCuH!Z z^FAVNb(FFXfUQY)lyahjEej3m^pHSp3Xwp!{$(qUz#KzI@64>nwRf*vG}RM~Ugj;S zn`E?0)`l~RIhtt~xZ{<5>|6=?SZCj#>m3~VUy5qknD3i_;sCJ{!vGds)N)ZQB*%$A zoJ<)UE@eu%Q}qb%g}rL)Gm=HxYq(6=dpU*Vg~_=5XC^Y%nQBMcNghNyQKIhdkLrtP z(10|K>DvA4Is$zFCIboY!W;E13&YC&^*@bW4T}#)O@m}M6b%P!NKqp;><3&M89^yl zNkM9Y`P)iaE|w5NZO(1Qb`kL^R%Chr>)MZGE)Y(Nb>MdY(QEyJfo63~aTItB8c!{Y zOUPhkGcLO#vn(S6vn*)C4FR=(TOqJU7?2o*W=wjpQrCY}%X{}PQ*C6LsSyYz$S@)Y zVHSa92H^(D)&<&iJg`8-(8<-wVfnH5IQ##b>_bW`Y6Hctf@1L+w5E3EQAm*XCz=5v7@f#Brf;lZXa?1VEC~F7 z<9B%8g>qM_z4SLctq1}l4WEuhy<5Yj#eEPT@rbRuYA{`*Gbttx`l_r=%pe;+>nB>~ zLJtRy=RgW+>ZA%zWfiIOF=vTEDq;ib@P2UkW&-55TfJcMUK7L%8WJ~tbZp5R8Avue zX>jsnesmAYUp&Y)Fl3;4584Ku;WyW)nFL6W{*4DuLlRH8;2>f$)Zb*^_SzG0NOLb} z5gp#gNM+2wSzt(nk_sav>4cK6Ehjd`x5kgLN^-8C*gRE*A)QSI@Y9M;YNtU_!4OYL z9b}b+EX@|OgHUL=bHkM%Gzq~l9Se|ERqGny8mc)Uz*(z_0UfnEl)M--H$>tsNR&Ld z5mm0~n2>4Y!NEB=M@54(PYqyB%z7bl2sK{ldF#}F#f1W zZi~Rh7_9u@-UCM|p5Upfn(zQ;9GDOMGnK7n0lx1E7U{$4f~uCx7^8J4 zB1Ok0L5`K3aHuUs60Ksvm!@3`8_v*t<#Y0ry(A9Y;Aauo3ITBt2quLRCFw6>O~Qvi zK*uoud%`@Vtp!&O^7V49HOo6$wYGfpG(SmQ6}w%sbo^1w^c*LleyF~1^t5i!j!OJVe&Rn z%sHhed^@0Y`KPq_TIjW9{ay9}bh`A%skwCxOpdzoG*kkGV;~ZuV_%E7XI(?()LKz6 z!Ct+Cz;|2`%MYoFTP&8=+c`u{uKpbQiyoaM0-P0 zg5$aknLuZP}<&kmMryb9zUk{b(+pIu2{UkfU7+dn4~`>~$H zH|z7orie8+pS)NuBfVryi_Pw>2zK4Jf{jYox1Y=~1-d%QGAZ zhwNLks8N9U9w}m(F>HWOZzAb#$PIg54eM^W;?ofV1E8zn)8y%WHL1VI`GTxBcn40H z0`YepB1x=$7wUr3zd!oHdoad*eU*%NID;U_+OdPcZtlba%V((%rIg^8QhN8?MhVPc z>Sm-1!RcN3dgKW^t}IEXt5cg^^@-w6CwiED`2=Fj>UXGH#M9C^74P5NyH-ku~M%gOwys< zpN19geUVqahPMy|aD4uUR#q?k6#CWV3_!Tj54iPjFzRdj^*6{M-Jv>9YlBz4>qmW> z``*lR?A?r^7rw^c9o8bv^Swd4(J!CkpTfJ$$0t*L%Pd2MrqV88}M9YsRwB z3TUnFjbudgJ11!%rv!os;;J6% z!a2}1I~U_o*f0b#qw`yjS(DZkbl4H@k(naZbgP1~>K9Wu!fIMiRyEhTgx1zd`54$x zd0nuHO((aX8d2}sVbG}70}!}dn>L6g@@#PAMKAr5O*DohC9^giztwf-CzfGm-nU9E z96{&{{7UT$HdB2-!t7RC_(aS_;8r=6SPb_;!3?01fwkXL1a(z64Tv;A$MsD%kF0)@ABZUb#?3WDhnUni0fnG16$8BFFX=&> zUzGrX?@F^it{<^at|l*5C(kVG9l^S!Gi8yt=Q94d@LCTnewQtFDC!mD0y8-=Pb?yu zcPyB-tXy0 zW%=VS@jG4~S73LsMJ?>xz+C-Reo#K|+~!Dt#Zj-L?U|c~64S(h;i|U2z0`$4LYQ;@ z%>w$!VzDg5!(3H#{U6EsGH7%fB?D3!jGim;#mHFqb{xYU zpf^tIoH0<~;p^gWC2cbMp{&1CP^`Ch%l&K|?cKYK>ig$^?99g;_u=<$d=zps78QLEv3B3?44Mq>ZT>ifX zeG50x@7JJ@orCY{2x~AKe>9pOeBJ=3g+UBYxH(W2mj5r_tl}C1UIeM}qT-*cK;Wm@ zWYyDfdo0AGJN=fmsI^QXoeFYl@2 z&&JHDoX5YPBY2IfV!@4w0QKQEb7T42g6)#&-U zQj)#h;BPmY=Eff)0tUF>hQMY&Sj5>#dh`I3&v&AS4uj#zb?LU7rw@X?eXUsbsUu+sKzYX7Ec}1ckH5NimX#QBq9y>nb+e}}jlXKks?CSnAa~wMLK9bz}J1-I~_Ru8&B)09<@$Tv!(Dlp4 zdt7ex?}s{WXiY9ZR$7HQ3=1DIutt7%&T03>u^ex8L(u$h_2!n5pZn}onVIF^x4&|{ z+hY?Yt(|uDALjY9S)aE?h4Wg=0f^6PR6egux91O?eN`}!9d>rmtkq1Wt5TV21EIC! z-{d>%H88X)d;BV*{91bazGD4q2-sR9QDRE9=NoRYn16LcVgIbNFU!$JnXP%#BI@)n z-zG{Jm$y-R1~hHb)@<~3!>6rOI=8`hY9I&Q*i%>$5x0&KaWvE^GKg+N3+h#-8s{BW z5WiL-%j=@Z9dcAu$8mD#p(0t;`h7$r$F;Jmc73AJ`cg3PE<-#VvEbgqyot8BgT(?DWpbGy?n($x7Ey%g@6-g+I)NrNXyhg&w-cX_**l6`2U}A<$Q*By4RN zFw{4KM$GEl5t&L@H8;?;ZTyfa^0$`=G_|*Y|7t(IeDWYqLHfu`FxiKh$BW;?Kt99!!O;pXt(=%>_VjJNziOI zh(dP``$Vp-u{a?yLSXd#9n^j?XAo;mlZU+QcK=lo76&KE%$EV(T_mUfLL{eeHj$P& z%d*d#*HYuE7!M4K41*&tH<+Q|lMR^DA{O?z}bA1!TWK)~I0N!_`%c7(Q3)IJf;dILiZo()wz%AA#XYSA329Da}IuhP-HkBjfkP=lqz$ z)hU9m*K*N-yx#S_BWNF*ih4hqGT$21==T!+z~ipgIzer(8rh0GE#zSj-|8l$-gzF~uCvjeoIO!L?xG^q z@%n~KSyx)=lk2&k+;6lGX`4tl6>ntWK>kbqd}ZCrOU&YkW;fdFRD{}Q_%;U-dMR>D zJJVw=SSz-9{k{De`sJY+`Q#KmpIY6Lu~rsO89kV>>P(Ou;+f(@JrJ}*cA$>|7GWbr zg`;NJ9U;R0)UG<4mdD?5TuxQRLhdj$PQmZd7VMa^`4G*}E_q6YH!i|B`HtAehhw)> z8zDqe2iw5^5mM6{;)ln)ov}gJ))5&@+x38$SfoWYm5>?4%r&k|bfB)2^3AaVs3-@Q zQ3ya`2rtolTX4w!GNGbtcXOu;^!2tyhaEBLqFaFWU|-NKPVW80Myc8VVv8JeVR(MsGSp=$A+B?AUZAfT<`Gr_XIR1aX<*JTxSWCj zaRX)=Xt}94O&1SlS(hh@Xf&_(u6}J-l=WnDciP~CtAb@r`>EQ+i(J5dnLfM5=8$x@Y5G5w60K&l;%F&tEWXpQ?vprK zmXh|SccUs{c8v1d$vPsFDMFjQ2*<++V4IPJYA!;JA~3n)zgUT3;uxPJz{=y#pPf_ zuwg)}t3bOd3Eyq?WK2TiRJG%AG=#H|oIT){WUwPI3a>I9NF(rxM958l5oCXvR` z@OR4jD(yhSZSU5QOke#CIOqbLwxy$=x5t6%Owa2&ZqEe=<=h)@$x6%(TZwM$vd^(( z7ECMW+@D?5taKNe;-avLd}+lzFsYqiRheGFTWU{f75Z^wP>C!j`OQv>*|=R(ym%MT z!Yw3V=9w+)!rDTLiZH+3B66{oV>=wW#j4oRMYyofQq8oBmt&jBREcD?%&;L|S*vws z6$YK`2ZCRXxyiG*bxG5=^^#}yB=o>|4=qt_owE|Uw5Jkah;IB`yj#<%$ zDh9@O;g9V^MT1i{I*Z6eF8MKrV_}lVdq3K6@X?UVRh%0&$XSAqXofWfP#0<0wNpd3>Se*N<>7 z*-HG=6tD-2-d{G#t+d}?3abPDF}IUZpW0Uz>}cxPZ;;_v3HVc8HD7w7p(`386v5u< zrS)A*B57pws9CM~4wY<9Cr8;1H7{R*rOEdVeCLn7k9REsDFd0Ylx zqK%ZAZfMJLdX}1N$s$`;@(#JB483JKRdp;rM_XDq*w<)*R2#Yz;eL#|M%zJP!FO5B zS=T&((vEaBfVH@a9$TVlukta4Qj=;37PGO?S6NMSYP^hONeEmj{1tX&h2ti_46qF+ zr&))R2Z(Sb)d03KhX9nIc{C;SJ2Aw0UBfFK3`}JS^tqkIRe--ylr%>t9+to~S|XX< zvX22}x*4@Yw1PiHLX8~$5!;-qOG{e!3<3-Nr!*86xWY$tYF^ba(Iu4_(~hjF+0yZj zPi;$-VD-O4iow~nq`g?8kRhm}P2YuL%O@zazhG;yp) z{MUym-#(?quIjrbRV_%29}h)Qw?zihoN;CoSt5JpUTY2S4{d=Dl{Z10X$?Xa9wk+a}gx8&>SF3)@-O7;FsFPNC^6)Yhy!(*~*KNOR{f zl$F{(lr(IA8d}D7As0QuvEbikTLZ9Kmx%{uxbAy%@Owj8`7ExS)E zlNq~^Jr)_ih%~ISD2UA*E{wvotNdDz_MCpQVzMLxcdx1fIq0A(J0qcTy%N7Os99tl zkfS4J*Uf;UWuKYJ9~I@ip6h3d4o3z`lI4K7{2qDh$LK_s7J$kHFg z-xguuU93#Y)Lt?yYfmZd!bu|QyDtcH+rt1|qG)kCu~<{}Y?0kS0or@LN<5q@hu**~byuO_yK*`%wJ z{YLNk8aR5Mc^BD6OVIzR?fTIX3rsY5Jc$LKj2eOUs8KK@b{+iibm~^p4k=;K8Pzp3 z*!ib+_qI8b*Om^b_Wca>;@cK6pzAh6%a2>AmQ!hYFKDW;Q~=b-Lp4D4XT%ArKegZs znIrXDX=gGfAo$ESK=!6xf#flS(3umj=jyp=!70wR@(CNN14k5oiJCwi@||FAC8|()+v{#`*D~kc-8S|gHg68W{58tR z-O|g1>cu>&e6-YdLMHGjof_X5_S#A_M!oMeWRP%2x|zT1sU`5sFCYZ8bRM@;z`Y0a zR9e@jj5@z0rVz&0any8+MaNXvgW>D0Xi|K@^uz-7&5c)}Mq-Ag;SC&PaRouQ zKqecAA*8PSKa71-kSIZv?AW$#+r}N+wr$(CdB?VG+qP}b+&2#!yZf;b@2fj1Iyy4y zoRg7N)qRpk5?i~mlw3mRj;lgFxXL)47zbTD%&)?Az5~)7kk)+11eA>65OyY!$g4X{ zvA(Bj@*C{m&5TUVW(DcEpH}PfNOE(Q96sJnq*$5D=BE~oe%QhE^uI5Kz1Z247Xzwy9Ofl$PADFt7Hl9+8 zRrc4(_sz>!lcqp()B@a)O{etMh`W}>lBsu(3ZO<*8Wvpx&8w-{mcWVb#RG29Fjxbm z(_Rp!d*9yHe%^tnS6p6}ouFqwgZCT!*V!bj=?nY}t?+4CK3N&T2}oms!4 z0&1hz=ICv-nMM5bb7=9i-!$(6G{V{Np1?wwep>)GfJmHn3{$^!GKfE)e>o zMKbz(pB03*)H{N$y>`HzO0M)-MUX$pevY60r^o%9u>uyCj zsC~U<%$qLQ0(Pc(}=i+Z;&Cb_4U*}k8Hn2C7Un#``9`~{56hW_0L)fBGdV0bU z^Y)y@XQ=Utz6AejWaaGgSv!QoScwd&=_4wWP#X|uN1DL9t)-?gM+M`8ZNOu#U;`IS z8>*@x=FBzKzVsU~rz;3f5?MSJQ(|HJ;LV6t*}zPWDn(dk5EC^fpJYm2tAHK!jV7SaEbjjtOoy`Sb4;RYxq51=djzR5YC1tk)+(Jn;mhp!DSTy`QH$*+SAPu#@LR zhT{q{$D_%d+sjR5D+2x~WTR8~_|fkM#D-IK%M67Mblk|$2baEiY=gF0c!2g^7EgPh z(f0@e*ztmG-)11@ByVd)(r=bl-TEn+nrD5MX-#`n(X9ZWrYx~mA+X+a+e=a+V*&6s zyb&O#e~1-j-?r}imypSgw*ytNH4x-P-<<2-EupX3G<*lBA>@IRX^joPA+p2!t0{kb~{?XwkjB1{*X zZ%tQU%2R**kiMO>KqyG>A>AXk(CDh|u~TTB71EB(YE9pr_E~Z8EIi&?_4S=+0(7sk>d!(jKJP2XHn# zb@4zL+X2yLar*Kc-ym38DvlevErTt|HNpX%6p~?KZeUwJ9-*pU908j#B{dxpaX5xB zScOW~sYkZu_3U*(hit#;aWfkn>`%2d^Sveha zS0mBHropMKjlf<=6dDjKZVM<7r%5H#MToKF+5z#R_f`g_{ug(ZoyH}Zv z=}E|zmCodGvn|F0%~sVhw^%!(%9x$=lEvfs?)nj>qNh54C?Z`&albNQTNwXxpUW=@&1Xi+n(av(X9|(X(#jP&6B>K zf%DVAX`d;o!`?hErJUR$ph4sZ%N`;2a_K`lo#G#Va7A$nO|VV}O|T>|ux-pYa>5{R zGz!cs0!YkkCMI*_eDWd~*$s}|#%fq0FnpVMrZ@AT#C}RKuu>9iRUxoAYXqjX67{2( zwB8nyKD`d&zVKPZ5xoqO5mCO7`-E#oRp_{1g9%D*J_cdwBe)bfZ-{DV4|u(7zP5~j zOw_@X_r-mxVX;D8Z6mw)>Bj*clCR5to;yQ4=k>4!y9jh`mNk3WZt)7;!6P&$5*p4r zjcIK6r%dHMPM)G8mFY4`zQ2dw8&BG!ob-D=50tttn2GG5Qf2a$?!a+(5w6}F%4xT5 zCzN~_=gG((AwywGOvL+>t$#C7dm)#qUUEK?R(cwxAS+$ljaEZe3sZboH&^mDgjIX>?=1J?bfj<%%q3 z;6nzp@MmX~upV;|cXS^m_?XRB2A3Z+#}^*p8hRRtWSwIZJKC!`LR~y3;jrBrQY;$9 z2YGob$ZO!bTvqEE#qe% z-S70HEMD?+UWNW?=p%{LxPi2gj`^hCj9VPw0Ch+s0=#E@i4UfdRlgU+6d=l6BQMY> z@@=&nk*hWvFdYs*BWtzSwHAy?&x=^&tA8)Yr4*Mv1mn?d^5>=$w;pfcHo%C6S*jw) z_((z{`JElX#NXL@F*D_h%e)>knc+#J{44QbdZXfR#zaMs?FnZsnlOZzQ+6*1h}Q&EphF5R;gW*%2W%8z6RNmFk`h}? zX4@^XP^WkYY+L2RCSIpFm^jEre-1l>Ev8Pnr9P(?oT8U`q*o4ZQxy!3d?SX$2R?lB zHtvQa`{EBCxQS|H$!I)_&$!x)ywI_3;;@l9nx3wT-%*sQ*(}l` z0bU^(6KQ5&ZphT`lCdWYXU0$dK9c`M7Mwx_FVi)$8`{~=iJ<%9==t&dZFZcwtSmggnUbFvy$!m5dCYJv$ue)`& z8@AYDd)!iX1=F@0twfW{ddo>vB(jaosFSRb>|ThN(aG;IPnS0MB7De_)=M+Rv=a#^ zBuM~TmSQWd-CBFV1meE{;;qlqM&mL&>itSAlvR|To%GynSS?g$ZJtkF?hF6D3qOC{ z7sloB`abQLb!1+8l;wV3-xEXIQmMrJT8DLDk+(fe^zr?3t|4ho9^{dYPK0Or6!`j#5_kEf)Fe<6B4NYO30W1CZRMN@Gn<|x?{djuV z%Cq(3;Xjv!x0{hu_UmBAPk;SBKRNc`Q8_8*`!=3ux3itf+JCbDJh|D*+0mRD-1ew5 zXU%+7vkk}uKP0FCU2a}(epHA5zAceuKPh~FRVw}HIHz#I&j^?+ue`k4@cdm@<>~3< z*jmbzY00&`TvT|eydD{UUVmKtww2kLUORWXIO!Cz0S`r2XpQDJi_JH4#0?50wAK?yVvwu0nl@EwD1v-P=HnT6iEEzjFs+tYIKd4d1Z z`&VscNX*Enhb`R>*2!eA``vQ$5S$*L|6@hNPTWz^^!Q*0#g!9hU8ax4F2k&etyPKU(gOeGb zB|I5>MD_L7QnjaAtb|e)m||8-?WpoL^JZTbzV42$o*nyA=A0I5Gt;(-+3J#xPCXl} zs&dffMU-6b#-A(_b=J~b=?5pf-5ziITz3cPJimve$uPT}^x!q)o{y)!o!yOBH@N$i z=-5JH;`;8a5zlPOO?IE9rN-RrV}mmCtedP{HeFU}E(|WFcjmd5-Jd<0LqUCX#}Em* ztiMcCwret&hAU}M17NZ*ot$inGBpAJOiK4-?preAzt2;0f?8)@yFdTQ9NY-EP!wB= zZX_}>Cc;oGHeN3dP4hs|C~m7)LT+zmaO8Tni@F9xa3B;clkW0oJL=vX)HfNEP$g&BR;+->q1gDvfrMBAJhQqtxzMdPFc{-pW%NnoGu#4KP(-%W~-FVR-h|{^VE6}_1XOrRVk~_^G`$szCG5kc= zB@Luxq*SeC4O{DTxnu?TE2t-)z7u6wCluz_q{^kvTf`f8u&xtE!QID)sh#xE1>a=L z1lxG24b0i*(3Hm4FZHX+k&xFixCbTR5MZRZvnkhmZIPw#l@zjX1`1$x4tC^qlxWCx z3@eE4^eA7B6NZa)C1u}^AM{DTYLs*CqTko7Ia4Wk&;_-k3B%OJNiTg<{wIsUtg)f= z#7^@BPs!5iov`#tZBp;mHSV~-r$KZY`tkK;;v>c7C4N3nNBqI~zy&bti0ikd$|lIN znB}5d%bouYK}AeA)@KWz6INCwHIwvG4JsyE2DMgH<;8T=H25xq58xEfl+AY76FVLNE6o{(FvyB3i(t@crC!+xFy< zIlLFnh1RNyYwmf2?xH<#;#qn?g|vYWB6v52!Wo$aQjuWX9F-8Cvq4)qmF*a_5(G zCPyy2g!^2|z*Z0(-iZ59ai~Mw$w>#>!-8GhhN2n8c>H7DC1yk^OsiU#q1K_pheIfn z(M9*_k)lU`xUVg_by}T9YMOvLVu3>)3eQ&9S!a;JlgM=2b0+Q#(-i*IY^=Xxiz;+f zuCCvF!D(`LB(pOf=rpUkVTcmj0cdBoL7E4v8@M3dfbhwnNH|d<+tZ+|-Fl-1YA!Vg!FNi4*0=N3ufeV@O zy%Ccne>|yu9t4e`h5Q|mK%N#pagotu2fb>ku&=ANPM;kL07_QBCVkP?y}n+&o$MCz z^K?E>$0~b;+P<-(ULBOzDlSw8i+I-cjpid&`+_T5k~vj9M@soyg=MYQ8|FmBtLi1< z!QJs-tKbW0@y3JNiTkiLMME(Vfm+4^e+1?HyITb!LxWLMGq#*>q6CQKt?ld#={xhv zBv~cIoJ6P>a-#xlVlLQN7zyIWTt_j6LP}y>E@dvRF4E*xg%H`AzrxaVtImm$0B{f& zW-F+Gi((U1R906K>U9QbT%KCslZk@5Qc5AHATz9vQr${}Jr8MK-p!$4fJ%JTYfGpQ zf@T9_qrf4AgDy0U*~Wl}cjUs)R(82|y5w>t)dg34f_30U8E(&d4HfufR+O)Y3E@ej zSi~MrVS&ZfibrtbOCu}&6WU`NMor>pfzs92vqg!V_f7gzZvkiA{GkGlD!!L#5NQCJK&nuqr7mbNHZ^#r; z7H#6HgCWxDU>8a4=NRWZRp1m_5D1r+c5?hWk-(XC!aR~GD=cJ^XXIk8bqmDylszHr z9UVE~nG=#f-7ga8UnJ0*FdB5W$2AcGgk;PnjqIx`71WCG^DkO9Xw-)%6Ad>b}dot*uu(I)7>loHAUj^xomSFdCn!?q`vA-vpOL#BkD4x>_Jjw+NS;X`w8oovFkciv&gK_T;ve&Cp}asu1BcRB z_}{`J`TGb0&5i#$lpYfWlS#?KeZ&stpBn1GXk5(avZszG4o4W5Pw!>a3Z$M>CjY`3 zW2%oMm6{_>APqtqXS%ILYLJ#=!mS4%cdS2EY`{?#X9{=B3fX%qA~XneM8KC%p{GlC z^hy{^*-T(7*?OU<*+N?ift)QENLF+36-n7-fWv4g0_P!M0ptCpnKeb3n|*vDtzRs( zF>y=x+c?5d_(|3p`UHci5dkz>zeGd(OEjq-VJUT_?_TLTF9ce2FWM8SrZ|R~f~W`o z1<8`GM2LI*Alg9`TMYs@idOjJMFHk-fH?6@#FYu*4*dt$)hiqIv}QXf)thRx`cS8$)&!;o^Xi zCYtQ%A!$(EfHQYq2{ve7wI|aoV>G}}H6Iah@LGtc=i>~4FU_anYg=L-)s-I?#7NNs z4ws~MbujE}D5pOdWfLfrlST)DxfLbpsWSuYVv_)T27=@#0sH@?xR3;YWw26%`-~dQ zIn~pBq^)>nMzwT$WQ(MFs{Tq0Sv&h*L@XL5FAm1%E|A9Ke@PlhzEp%#kL=7`*AK^; z8h$gTi9H!{EHUUm6Q*-|#VwX52q%>W-3CVkD3Vl4S10|BhD!R~wi7d#P>LV!Y$_2@ zz-|s;7oDY#+XHYe!-OPwibjKT$Bkyh%eFXbhUS{qLGoqLBU?Q6&_3qd-UL5Ds@^0Z zz!^6eYpj9v4C%rij1F481_Cz!5H^u6mT&0SCfN5_Vo||o*e<`uQ?(LHIkD5$7F=k z?t^JF{hve$d*Q-9$V)KR(8m`{^_k&Ir77%0V=^Ak7isw41Vb~vyMNX2ANAARL^va4 z@3|1qAjlaGU#7W(pm$_nZc-E@LCYH{MGNX;Fwj`WaO~0_+J+Afqp|Q`BSJVS3L%r4 zjq`*XCOA9X!DJi}H>yzR!v?WcrQ7<*ha_C9{0W^OyBT=ad>km+cp_WpUVv>5xPbva8(u{|m=@i;mhC8$|> zq_FSR%1`T{$#3F7M-X|iWLtn5nH7?18Xx&}@Q_xvmrkv<*b%wi92H=-?VRsH+=Wm7 z3q;XfWZ%+r8~)9#fzpyib_xD7l27Y^*b)ivwf;%3Nz$9+f4QS;9&CTV;Injp^19u9 z;?fB%O4Kb+xqmx2ZkTnT^P>Xbr+~UGj+PpKd+%(?^a9a8xaIF&78-Evg<>t%k{Q)iWj!r^G zQ7PYIoH>RBP(|=ka+XkTEAP2xy(u}X9GSNQWyr{A2Ey7C1BdLpfdVk4)JGJe2eo&c z^ZJ!j$d|ufWs|coi@sTH!4*aXl0<0aDIS2prb%2a0A!{H5u(>KG}dXT>7gm_ zpb;CDnoQZ%W)uE~M1SBu8bqvXUGpMP-$;Hx!0R{0Lt1ed7_2LoQTii|BG)E7Dn%-c zOCB2->rIz|j3P>Qqy3P`P7e=Iz$W9Npa78sPycz3Nc0#F<_5O^8T3cr4MYT@T^MT@ zoe^U2YN4E5q}T4*xDal zPsR`I0Js~|e|rgQ0SFSm>m;->TIeNA_*G`A)Af5+We?n;51_!Ezq zp)QRRlyc4L0g>573Q-p3@<^W^`jR{4vrKm{9sxho6D^jr9zidH0|I{;laVl*AUNj2 z->~qbAS&u2Iv*icJxT}(Eby&tCJIV{x*MhK_iRmyOiWz8v}j$|cMzAj^nl)*u*9n%_Lr^BUJ?sRMEI({+ZW&vlh@UnjV zyj~8j_w)J7*zr^3WjjUdtb1enFtq8Ww#v-DbVQNYkN-2QNXrq1Q-+a z%Vw`CTWs8jvRoE0i@ZM%g&CuIxCsVSg7T5+5UEqo6v#+WBLnqPHp=l^xVC zL7RtyD;D9_B>r|nL$f{a6!L^1QjP8;nf;5a32{bN&I4w(nC|VaZU=GD_#1teKRib|x zKn1&`Jjk;v@#UQAD{}3| zY<}HfABMUc$BX&6UEp#l?U+0@l(TVcpVnnSDh4MhUOR`*k+YQ>d*uOEUtAi@VC=<( z%#@npwsPNWmv;wcz4II^aB zqC+Fqj^Cmc{m>3bEGy0oZse3!?~i6Hw>K$OMp)2cC3^r_A`!rkQ8GL0`X^o#8~;IH zm1jPKDIyO<%#09 z!^{-Uc{>gnspv6MU|y}ynJ1k6nk*9HsrCBhF{^So_L%D{G_WI=9_z5X2(Vj80?*{E?IjV|Xi<$|kD>@f6lAytZ1GKy!gX(8nkk%_Oe=rs=+x z2V|2f2%pmYvQ2jJRHt~fZqh82;y<+gq3jTBW8sVIAjxegy9oUFh_H24T9J5AI#WUc zXn_4g24XNyZh z9Rk2LbU*+`o0xlB-Qc#j_JMrxU&W0D7Ze-e@|%KC@+D%Ds;M0582s0@!D}g`4;;s` z-F&`ep6hRr6-C9MV5B3nF}&24m(1+poCOP`vqI=^kaTSVe%+Q^4`f*p_>ozi zzqX1;MrWCBi7q{445w!ghTg_unU;&-oq5WdZ!ZPUxLszs7Or2t1JHm?kUV#!$@efogNJToDtC`+W02P6G67sG4ih|N@g6h32HFuea7$qi%ywyydTMo3k+kyK_A+$B_QABPx!4l0v~6 z2)nrQA#(CllX7I0NBRfeXhG+D>Tydpl=igoQ3MK3l zC;i)Erj=CPmU&e0kcH5+%KPlX6 zAneZB(|V8ZQlB>~U6nVqFSjp^;8EY}J%Oz+edDzwUa$Mm@a$+`1Kw~0|L&8%XS;oE zOgOE+%ucS|-)Y@YzFCE!s*%HzP^77laN6j7|tM!_X(LwMEg_qyH zZ5K&g&rZ8dCoC=<8Pn&_*Ms2yo=X=e!{GUR9`5>kzuUQ|r+Kn-zrOAQKr55@VoDTB zxMPz19)R@o{Md7SJ%CB`d>#C<26p-U-u6Zx$4L=jmnH;$^`Va+m+1cJh3<8f|1-C0qIZqb_LueYSG(9PKM|J_m08nYH8Z zIm0ENaz#$^MOd62*jsxe|Er`A*P9>non1vp-ts7WlFv^6?8hOT?^S7>-N~KYb{>CE zOB~s9(_-hnLdcB3F80UkpVb+s#b$B2-hhE;j`touy{wMKit|5H3nw4WHbw|iy8%Yr zXP25r&UyVb?_Udfc6mCs8;_f-Y1rF&w|n?|x8LvQkCX0v{c+pxtCQ2-z8@cyUnU&A z-9A2U7PEFVC)e91b>_SSyS3V{J56sK+t(G9%Ct0Myj9@atX3tNKTl!by?<9*>c9&v zPZsA`Ez{)$7O@wbe7?d?;qkpsC-A2sy^Htrz{)JWm^v~V0>Ak;GCRScKQeU0dcE(T z(l%W=czsY*;BF@i7V)+pNqF#$M7e5?~G$2EURftcae+3Ga% z^k$Y}d5bqF;;{Vt{XONWhc9BWY7g}&iq8= zbP48sB<{0NdvN97a{~kB?&}w=4)PUXIX_QdFP|MWU%>ro<;x|VoHpPft*O0}r?+`X zG^%4YUi0houc_z3EX33f;`!Kdp;oF%+S*~<)i=djP?c*b-?e{ zRA3ing0+F#Zg-}MfyxaDC>cG|*bdserm9In3CTj|xH#eUG}-=G=yNo6=vgdKq2%2T6h7f=a4FdcvWTx$;Ko3gbmtc~n@@ohQbgbb1`Ex!(8Yu+ z)Z+g6zA@QfHkvxzO8?|QJy_N-Gft668+!Y2R)vhqkg`e&%*R=(5tnbBwD9-)R_%Cz zAQpctPw{F9IymJ#yy*WJNQ0qrZ_L`l5pk7QkZ5xW2)z9(H%YTDcwyko$yskio(_>= zAZHk;WM*@!)DZs9Ot zWSvKimJKlQ)#~BL72fBu$2U%D3g4$qu`^`dWvp`mkJuY!~2~>C?1u8(IBW$`SyL>p2cWZz2+4R!WVuk-r zPxueL(glo@nVA(|`%Z`NVijY0L8yOV|GsYuIx)dMV;D`j{2VZ6 z+(juI7F^D(lshbPW>krF|32n_>V$ zR>*@XO*X&$MM;n{d-CgnHy4^Fzixj2D9SNy{p0sOj5xBuKs{9&Y2YIEIljgmGTwG# z0SHr@5T`kTx@9OVA;p*XYmWBW3Z~#8r>i=_9 zfC`c#ANzR@iFSNd*^UXgF6D!%N-B3u{uD_KO3}ne$V7Qr*oPbQJPE?zcK?Nu{fH4I z`;ss_5$Rse`~{SiUXer%AK6(dY9l1Buyi6^%(pyTQ<_|6=n9_LSo_{(=^76jF=lxg zxsQaQOI-V7rG&n5HwqEfli<}?j%A8)N6^KjTP8(zBTQrKq-*H3pdO^6*tYXB3)vro z8qZ{S!%5ekwkyWk8YW-BjT%^K3r}dwu8@GTILd^uFN2bBu&N9~)?F?nG!~kX$YQK2 zqNDYBQ@qkmZKe9vZqMEc&?DpeYbEVR^|Vt?K6zTu)T_{iK8OXTow6Ma67*5eS32G776(PNTc>L_u}Z z8ToMp!IZ;8??lQ<+f>KGPPnbSY3s2d~xI(ssCwzHMs53+)I5af_x7m`! zQL~vv8_0;&S)mX`0+TC|YlN?3TdO~(LjT}+uO%JUFx z11&K^xFDa#69zP+285OUS>f8=$lko__ATg|I( z$TEXHZJv2|pm*(1>E&O}M0?}t<-~TU@N>Ny$`y#>QrOaNZY1g+%G4@^GBi~`H+$u2 zRLCkEK9fRCSj2G!x2`Zw%U zO;F7e|02)edm-9SSIUptfQEp+x z%hhYWh?hS?#7i*(%RC-|dqGZxc;t!^=CcU5iU^d05~6rP4h@M&bULNPnyDy3gzIi^ zxHLE1-E|AksM9s1Fv(`QV}YK8v^{o6gzq?``#?87_vpnC^%Ui|GXIFsq55)l=dr9m zc9GscxQ{)XC6;vwH2Kg!j)}+mA<65?XFU?HIbV#|x_3zpdKPY_0o*e}{cA&B^CE3% zX?OVL-jEimkO{@rwujT+8=zU9ml}I0+|*cuN^^&jBb@|BC6r)K>k$udz2w7j6d={MDR7#4FPv}e9@r%! zC=IiMLk}sx1NVH8QZH59+Q{Wq-8e}Kk}fX0_QXa?Tk$jQ+k4326FVm`4%Ry}Yi7Li ztC?YGLvKqCypsU0V5gdtkd z%rv;MHvT;~FTr&E?KeKHodsKSGliClt;9Gv%;B2o;V?e}e%#Zkn}x5+`>0D3!(7EX zo92eyX#yp@^pa`EM-ALjNNcf+S_ZwMS>>5t-c0TyRboeX1N&z#@Nk*)?{h`AGLs=H z#ZsywVyu){JT)a!^R3C#dw3$^wAdBt17t+vSRG_UcQhX{A&L~?n|fQQ=O>iDwyY2b z{ABrEQSjUw0tc-!BVZk?9@xfmipRui;h9p8bFpG1O=@u7Lou}EdWkZE!$cY#)o>2gZug(qyHMXEwnp)l zHk5&8EwFGw`%p;Q27g86+@P+;^Ta@6%y>6MSSUQrfDT%U%~G}vmx?Ai-lf-9N{rU5 z9e7((1e;slK* zFqN4vh{ir6H+FU{)N8L%dlnd&oDRy@u6?jZD?TC{<7{syErV@tcWX7^-unc0TN%Mt z=|&^+f!D@52|mW~;$6#O{#hD&thF@~G1$Cbd)0Bc)*8BUHp%#0pWy4nmX{sQRNr-f z@7RUB638X^#+_!ryabjrHacI#1;n2ov1ETu!s&HVAa!@EJcnzaO z#!Lp6NM(fANt=4W_#+{At4SZWaaWk+gckyVV_w&nW+D{1Mt2WrwwSX|DElA0M3GjU zV#I9HrKabE&{R~5Wv!1ZdgTXqWJ;nY*@&<6ARsoG79$8+3Cs&#Dx#n^fuUeFF{Mm6 zQuL6pn43UNI3oTf;4wdrloW%LKWr$YMGYQp_C+|*ng;3n{iq3N+ftX|O_fu>);cjj?CykXr?^Q1$;??WP&`r}*7`WqUUpPS98y=)3shA+IW)CG2i z=!30mu9+o-b2W?y+}2(ZnyXIsi+A76Ing6I&$O810OYGGBL2etYXD}Oac2Nwr9?nD z>mXR7k_AM-I6%YO?X;IkB)%T9#|U|NSNpJkzZJAd$;hS%9jw!pmdcL@vvZ;erx4u< zlN=p@i6pBd(+3GDg)`xP4= zd^zzu0*#51C@7$HKfkiiu$s&j3d*EoOgE{ul&y7kV<7&<0()1|&N}?fWeAoporvHg zVeIGpmBD1Ad+bu35@8HzhUY6jJ^3y7A_HqSc&x04mIMX#;&!Ji-4`M4s-9(BY`Aqb zGmo34eJ^hFv5S0`)yjp`Q@3LS`J* zlK9DeYx?yI;0bFoLrP##)q2iLYtfs9hF4j8Zka1CFU3&B7RqfHWdj?Ek1ZghmhT0! zS+6Jh%>KLJ9;ludS`Uk=+?D2iHXSJ)v{i)xleLAYwG#SOLOUzr%40xOLWIyUuTuYn zRCI_zC|sch%sY}J)_~$Q@R}wDj8V;pT@hoKM?`Zbu6Ke&_N7zj+|wwJJGuadO@G** z1J)fewzS`Sk9`7&y}K7;s;-kvl_}k#t^wR3x`72yk`zNMIn@HL0BDNkxdHHouK=sjP_*M@XNm9$45LfDt|lG~I@)-XZ<1 z)~?!VePJPKTlBS~Y#4fI#~cH*ETUiWBOq%u3_4)R7UOa>Wlj5MD`$%#4XS2vTg0U2 z`wX+6-rpVzl-J^zPTU$~3tsnbS*oqBO+75Iejlu;ds^zE?RO9q)hKmC zRKBV-grEwxjY8USdQT}kV1WQaOlnXJ=b+3#{sJzBqf>t{%2gdcuZLq|sLOuw+f3 zD*uHRN;dV;B(`KnTnFugOq>^0XypqWs@&Ocx?W!Z0++=J@(`MPk`{C?@GX;%_alQu z7^KRv=5GaoxXxR>iS>DQyCcwZyD++JeVWd5oaruvhbaRl<*b@t(D&EGi^~>U)z=7! zEAUdYs_uh$H5z>f0~Z(`J0~A@@r|lQs2hDEa+i(`0OvM#K<4XK`l+A_n>Te^Qvlc9 zi_1_w{e;Gpb3>FSdTdQDpDhVIr^pJUdDWCRo)R2)5ys@c{@SIi+mJ<9)ti!isAg=N zX08uA6lWZ1nYb>lyKI~goyHiPX_>q*x33;s!#W){UV78*&x5O(U2mFRyx)6tGnRhB zE_RL~UfBZYkx*Qy=TuKwd#mAWtv+>>Tyv$*X0>llsWK7VAEeLgMpR6zzC-Trz&>Wx zEI5Nx&$Zk%`P(DKEo>%vxM)5`LX37J7IA4WtTIuBy~ZZQ8kh;4gd=d0)cm~x2aM+K z6Yuyd){EJYv?v7XG{Utbr>x4k^hE&dsMTCVZn+!JDan2I=LQo?vO|-x7>~ zjDwn-Kr`;U7uHo~Wkf7JFwV@0tj7V@QO=&^iJWnmdl^0_NC`gWI14<`*MuT+#F19u z8x_Pv2Y&A&;2GTqZ`+u9^H3u~=t-jikgDq+dXXboHOH2>*1$r+iYbbM6$%Qhl#q8e zg1czRmlkz*NGT7W29FovP`&P>$B3!S`3RejRoHx8fwc+AT;j~NN_2pU85B$URDuq@*W5UWQDdk}m+v=`&}cAF383vbjlj6^E<(P6!80*i!Xx)EHqO((8oBcfubUQ{;vH?_FMRU?R!Dg?HB_GHO2 z*VLxrLc(J-ac&YzT2P|3B=GL{M!^DbO#aLyW-jiFYF&lS3ISlZWzwk?qne=J1bL)3 zj#}E92?-f1=pfRUjIlr9m-*>Oow279vmib^$7QVb)(8e1EK*%_t#>37H?Gf|1hn@)6F;+KQTa7=C|c4UqcGmAAQ zD;sL1Ary9$FKJfEA`h({?c#N>H(`E;yVk*j`MW*sFs~i86O}w9HzQJH3pixtw6{7ZDLjiP}Od^CBD^Sif!*KjsId*=M4ogrsc5C<$RsBtmUN}nOz@jm6@pPjw9@2oKF*KAEB4`RO z(Gips<;%LG>;x1{rDu}w(Bw8zMdRP3;4Til`yEo&1PJ9B4JsNF;wM^>!`a((^@y#_ zgA}gHBOzk}8%F(>HVg!&ly=sOEw9SEcQ>ou0(bweq;+nTD1?^Za@cfDXHRH6YP-f8 zuwQE-8G_%uDYzH2k;?2f!H0DBC=c0QwKwCpHBS@nW)FH(zRkrFFkh_DgdJn<&ZX>{ zDLm5$LUGG&wTAfOsr3irp0=XbM%_Ph%xwLlSRh>d(%4yGxuCJrhf>fTUIoI)3Z}LU zChsKWF|YAj8<}^n@k--mT-I4T)TM7vd<~QnxoJZ699vSe9#-1F!*X5RT6 z<8t$D#eE*3;MwnvE490X9XsuOz3(^Xoc@aMS`oP19o*mUroD>2No6HbIv6zH&7T0j zAc=)tqF!9&RXX^5Gm_M1GY|v3ZC^9b39O(0DEV`l>>dSn`N{F^fmV(#beB*zrk^8F z-_La%v@w26ocuFXGY86OEh#NsC3~=@p5rKNqPIt25m&~1(N>ceHvk<21vnVgR@e~& zJtXF$q4<P{BrvZ9Ev2xuGGK^R z-`t0ia*VYYir3KGx0XhFbx?!xdxw&2(v@u5g4R~BQ17tRM)NhhaJqIAgufy30s{WV z*T6H{NDe7%EGI5)P9I6l1{wj$SHdvw^DB&f#0{E|p@VRfR1|~{)D?h*q>x(^&bGsR zmKVoUKQ4#cI#KQh4>XODM{^g{!e<|s1~4-v{(kngy&2TbQYOHe=Yij`fYnJd#K-@WA&Z26@90? zKds2>CuMJ)+Eliv_uE}04f`9uGRDvS>y60-)BE-9@|OPaeOwG3H!)y$-S5lx-4!L{ z9DTV}`dRqMROve_v{3Q;*LKVF*zr5WC|&y>UyEup-8#Im8nj&NEve&ZzhonxPP8r^ z=~6@Y|6=SLqeN+zY`gokZQHhO+qP}nwr$&|?bEic)3)8OzdP^8+w=1@d~>#<-;;=nZV%tWg+P zK*(8-Td*(=%Wf;H;1+rTNR9l3C43D()^|OPoRlkic0O-)O0r%meYS zSsF*jK`#)F_MtaSo=lye}R}KFn7%kAh7_+dpImJAU zt%?m`OhCZotWT?)fZqD~d2c60D#{NHR@e`$Bt%-FN!ZSIu=vjv;^Lz;oZuLmKMS9N z5V5)IV!cShg8&pz<|Y+bPtXTDtOX)78ET1NJRoa?5{x!l^loIwQO;b& zJ41yQN;y%Tjk3EtHeQ+)F-fDUAd(=Er{CJ>gMMd~&P^ZikdG~Am&&e?`8?6*SJm z$WH(7(0I3wjU9Fy!WS+7w?Qv*J5}K&?U)bMK0riH8<2#SmIdU{Cq7rAjc_WqhT#53 z$5`2%mRuMa!-}CAadL3 zxH#VrCkGerhvC!PIlUgmVR={^2Tup@;N8mdDB0u`d6AW@)HZmFWSN?CrLt;gZhi9FZG`foXhx3+^KI)N9ypZjfDOVdqM=3o@r%vSoXrwo4?uloE} z>zwdu;_~~=m(aP9^(nD+vE2uD)3cVNbJeK>NVjywQaEEfy(`n%$b@t!t?3`EsL4?YNO9R~zkH_)+E->#NMh zlKHm0YPS)!)-nue7e<>B^S%G??HrR0M7#16q>DdsiR7G+EP09}^_bADEw(;Rh2U~{ zoG>&)bFN(5NysGWdcCgQ%N3uVutF3*g940bMM)24(zn0gIObhnEA#GYEtT^0>2@o< zw+UnE#oCwf#npCq=Z8NXq>SU0t?kp`6}Fe33sg5-UJtJjaBDV(FA>Z+$6Pbmc9+NR zopE>W1Cx?*S>EI_?;fS45&$5=%*OkroWftzy4tyTx^=*S(c6WT20bSvD{NqD(Bk2Y z(qR+(7|@S6uJ2nf$B5}RE${N&J)Hue-oKiku}dK);|1HeePf#TE?z!;8R#vaUQiE1fg0bj3ZKU#`0`;*OXtM0?p)O0THEaGI&TBDoh;Nt>P3WMV zOGOOSqq(9Qa;>GKLWx#rA!j(D<$Q*#7M#}i+0G8A+cCs&guS+HaPODnQ9Ir!^wzSx zAY4~8VaNQ^Tao7k7yI!OmqZ=l8!5m<)Z2^=^P5%q?(Jg}R7Z)O3ZU@`q4@!rSzh<7 zu2{PHoW8Q`_{ZxdkUnPv0`|7@9I97IGdEsj`5PUO-&vEf2(9FzKyHtgSUC;d^t9Xs z>3BgG{Czt!!@;zl?g^N5^!W=G?zIYUU+0f0H}3sRnGOdZA)ciS&yak*4LrVDEUfml zb)uV2II$Z~66$hI&bQj4)a?-9^qg}%?{xyxu1rxNWZNp0r7IRIkp=F~3`rQ)+L#>6 zm$p3#-_Mm~4EFx8m7!OAWCiuR{(NE&7Zrl2vf6Pn}unqrvVTHe;0qVyRISd*o65O1|!*YEL8mY2s&$oQML+G*lB}$^c4G& zRoWH(7UJ|Sskk+uL0@+~5kduSqcWdri}61-UiMxn99WAt&UdG^7_H{R-!b%f0v-YQ ze$B$jat0#71ETz#;}xDk&0WS)Y)tCl^zG^V-RarGSFIb-X|P6Qz3<4iD@&}cwTJ4^ zt?pz2Zx=(b*waMEzMNf9a@)deLibQ&#JZ%}v-ZrM)Ul=He(N42*=ytzw_-e12%`xK z;08z&_v8}Ri8duGkX*jI*18%f)iC`C-GHiS43!pQHjkg1mX$c(RvI#$ z#xC%I(@t}S-=Vy=5crZ>G@6GZ0c{sW>+h{(zglwXGn^t|g?xrd-gaHeP0z4t4skdQ zjcgZNtDAZRFkBgY;_U13&Y{*)t+=`?tEu{p0|~`@WQDMROCib*I1P$Qq+i^(cV0%5 zNx?V`57bGa1EI^nFE9kjAV93XBpl4ng|%P^z0ATupk%=}eX4JQlq66BGq!7mr6$_$ zT+m`d3Dckk;t*mLF0FchmFiP$dCCe`u4aw0@Wr?ia|2aEf9*0w8!*+<1cx4h z&XC%NM@op)JoQXz4YO4V$58W~wy{RcoQX!vbs@EOqTM5;QGiiWBz>$6W`v^$W>K=$ zJW4hhM?LcG9rW2ku~klwY)a+SU0=HY!(1i3UAfU^a`37tWx2CgFe^JE`?`L}O)~+h z@!<_7VgZZ-K`LaN;J}Z-XfhHu*Z{=zM#d8F&o&MUu6}5UO`C2W0H@mw{c?o@^ec5F zLEaGkG6k(D4ZSSroN=}((n#9yS~<3QB9${rZqdX(B!{PZ7$C6Y!*3a-r~kMd*H}a#GG5 zeTZ}C%&iP)vglWFJZQ`&?obChA`$%Lq#uVWJoAtN6s@_mP7!vN;W0Vkyy)T(hW2dw zUSXjqYo!9LQ+j|kVRTeuwJkCV{dnm_c`<_lC;1K~m%XX4a5yj=eb7kj##}l8cS5+~ zsGkC~2We=7uLA=hp+Q;L7}M>g8)_2t+f<_8Oi)jk+pL}eB#8G+vUwjK8yAt+H8m;5 z36GYs=ebV^E96}UPg%;T^}Qq3H8wHICXY~uvwm+cE#)VpCl4qXJYK~|yD22}-ZBIA zs%dNaLb$rjpD1(*|I8!N`ogI2dI;qhU)W`*u3&~;Y4Fm_1*0*W+fb~SxFDP5K_jB3 z-ig*EyH(dSjuqSY%VsaHPG_~A%KF)YjXRgI?9lN1L^IHv_WcifU^hX0p(woyh-|HV z5xh9vZ0Qp)pW~A;r0)%vEYHxSxodR4L&K=|V)Azlh&S&txf=ltPY+*M4M?~b;aHvO z`I^b0XJ@fE9deyE;#i%VeB-&^2R6O?vXpw1Z$n&@9US!qx(=3jhB#L8f(;-kl4mZb z2Em4IlK7LYY@vi)_TvKqiTO5WQ>%jB5oAIi4c`Wh#S@8mUfg73A1Ncor|%VWqUE#| zm+`M8+}y{8bmU7d&BTV&UO}|Eo-W&k1W=^bQ|X|zG$#3qBvdCWMmWvtIWaJr`EIxN zbVP)CEUU7hP|2G=0VUJK!~;1*g4qL>nnnUo*hq{i6bHdDcgN|_ zPSFG7`f&=A#`MS=)Y!2`Of{xM12L}5rK+K(_W*ZRjc>eER|t zzQmx}UmCy^H6bM8vwN*N0`bev(cp|#F` z#U5%jr4ZLNgorEVnpw9qM z>0%(1M8|Fv1Jz<8y73soC+QY~H+8@eJFFNbB6Xe%6z;q6>=#}fGL{eN#08UM)<_`x ztq{?Ul?tK_?>y2s1sVO4uEMCbFTx3~NHO@u?TRm*Pq|5gveO8{cGY}4uW|kf92|-BOI}}QJNesd8PFnoa;3gIVmTq9MQL{n@ z;8Umdwu%3vvqTsUlh8*vhlk5SMj+yn1*{(rbIK+MNQyj399nU2n1>{t$Sb{$gp1yq zsVc0fXGApGjg{+C*#?C@le|krBhPY2%$41qO@(Af{Ywfv%;Yb4`$>I}mzcQeLE*cF zaB6^!;2{aQ4WWsMh*b|UlnY0rqA)W8L`fpMg2}TC#1y;}(9)Pe>A;53JWMYt6q|&u zW5MC*5BmS5Q%aGY7?!7g)GJ*i!OV|(rTa&{F37x=);XA;mW_LUE-2sc(}AUNa?U=y z9cMem>K;<;!|LT1j=xH7?caoKmZos{?mg4GvKLqo%q*p*jH!M*OO$WR0w{sB*`a9J zn_2EtUX?8Pt@FGa?EI_)%W2iYR|;YqP@X+yx_casEizg68{#n+Y{gjI%& z&ByV)26Vyvf*p!t1p55o79%__e&0^HoDtN~viR zg#3aYFqteil#sl(PqdrCtzSv6scF*4_i23Bw=7!KG7x;0X|0ETbWRQ>mq@K$$Q{X9 zc=a37qGnolH@5Q{tQA?Srkur?W@hiG82+;`Yp%o}OHC^5co)$uy%4t7NRFXqaZTAL zeeagVg5?qr)D6?<(%Dt5a#Vu!>Fx9?NO`Vi)Y4UmsU1Z^kWecDfnZuR{2y6*yakm& z<*KT&G-!@7A;yOUshX&JUE*>=g=Cq@A+$Agffy}Neu4vh$9i_q=siUItu>IbHX2xh zohg0`Fo#PH0f6#K%Y9zrOfX5*MlEGn*ENdqsezT2cfI)Fa_iStv`r3ENzFUY2H&og&Kkh8l53_VXvH&A~s+c~!+_c}Z4bX!pjnIQ`(x|i&Kj%9REAm?~r+Vyb z(a6u`Is%)Bz)}f$a|OQavTc+&5eVIykJm zCCeCgpB$Ikr6$ej#?m*+SmUY)mf4CS$KrK)pYsrEliq&vMA=GYwY)%L8944I%0C>5 zGlRK$-nDbcTbKzvmx&r?gZP7!H`|cgk$H(&v6c74qg{~4!@P@&(B(<|R5-mxqB+N; z;o=!aJ~PA0Df1WCkD47w5)oh~lTrp?xxDBiZ_OjJ%(i9%8|sLmqU$;%@vCH=WqfjK zH#;^?L8mZrb!rz`SjnAZIN%26pd7gqtCHZhXfQT=RL6vh(o>Ctz|uH>KEr=f=WjCk zMExOi;ZG^Jn1O|Z{Hd04I={gcwZy4o8PFb?0?sf`qR)vTQ@EM}7JvA)&~uXdU@7{t zI+bD_@|3%pc=Rdrm9$SfC1^}PSO%6Tx-yF%qq<>CKSsd@iL}%vf5@_Vji(s@-TFw2 zgvkb$bU^-I9$w@66}(r&x+N|=! z$p;uix~aVWKD)Zdm{vLY#^3wmaEn^(HOl#=QXX@N8^&rpxt6Y zSG!GU0$RH>SXLh;9#=$|L8{v4U%x?p?k^YqNa5vJBz|CipXqiFRO!Yo>ug5XzM*_? z#=!Xx^PNP&X-4}7uB3Q8RsB9tM;du?bl}5<13hJ=tD_muf@k(QHW**9^XSuipqqsWY5R<-S%5wFZHvzS z)gY~OC@;Yd$k#JH{?}~{R2cRxJ%L%lO@Ux++0p%kMZ{Ui-8Q!tB(JyG*KG8={&M%p zD_A8V|B+el8Y2rmIxRK?~Bh3*9!%2vhTUl5n3kZ zhit?3xW+XYmiondpMTN2FYj=#7EbN7OnjslDxJ9uc^7@%%!nvfwwyA)<5Xb%^4-Q- zjZRq|T&L)Jt2K~OJr_s)&ocSQ%)5`$^(p1R5NCGh%m?G4${_%v_U*3|cQA>ooGhGW zk!2Vovsmucx#{!EE3V6e{r-IZuB^xEnBU5QIIlRjynRAu$byAx#?3cuHB;`wkpa6q z&-8v4Qx<0=n)yiy4!~qtFZQy2IkY7Pz5B*b_8t~_WEen}u$Z^P`8c8HC2nz6C7wlH z9r~;>urX7q5V+(iZx<uezB?8INewvoH?30&_3hUr#m$>`bO~VdwCl4G;G90Y3CV zzPJ!5w^bC=e^3kvyJqXMwQr`eYeV4txSnTLY$0gQ%7C;@mcid9~$m*SOJ<<=d|g}eYOtKf9loH8*LB(wY} zqpejq$~)RUT3&Wf2h$18P&M9JnZDo}%s0gTgSV57{(t4|WMbm@AKuPk?G49GRzx4Q zl&^p|riySVcmN1hlG?_<6Q)*5EfFnQVT-)vt%PzZ)EkZ3vb@&ccAX9c0zfAL;+89L zcat~Lc~>JfaD97a3rMO=A7w6os8lO-mkKMIf3iO*yQ!6t^?EC9<>qpCvh%!7NNGH} z?5Lvk=H=q2t8|@ZX0kM%HFw|P>euPIEj^mmYwKjWt#^C5c;1~~o$6iz?|gT5ylk9~ zLDj!4oQ{<(=>m9d$ac{m#@;V2JNu|jVCxK9PG_F&Pt|d4Tgyyl)NYWX9phy!H~@M~ z&8bwKC`Z=Tn9z1yqa$T9Eb($!?X?W8L^hb!d{zg{r2DU2jAe|RBkJChA?i|o9#*^I zjit%8PA8bQ%*G`Z0qgwosZ;r8;+%VKLC(na1s(Ayv(dY5D^1N_YMc zSzHO~9dnC41DTFxb+7AmujNH-eQte4SN)5^&C<=I>Dm>gE4kC{y~)?j!wtf2ed}{+ z%Ye=`55dWAwJrPzr)y``Z7KYNT_?LzM%!s3A@Gsk_7tN^>lp9HIwxWxxVZR=Bd%#3 z_8XBlmbP@SVQmLIoAkKUL~@ydC|SPLUt`hC06{%}LdCfrvabyNmM>`iI)AU{s-0?@ zxd(YFw`Cy>GLI_L?sj;3>h>cJxgKBF|E9aPPYG<1yt=ymO!4r0fwpU3#o4a5`DpjF zNYPkWxSGlYBz0ap_}dzi0Zj_NymzzLRe>^TYBP1Q`1#H@(n3Ujo{?v@OSw45{x7*9%)u&9yH>u8_O#1|Z5+op~O2sEO@5KZQHN(m;Kj zy^VR)bFihci?pS&CQI2;irQrV?n%j^`wQv*1^4^o39zgwi@ana0}Gafmu}jeNVA$S zwDsKKDn`*0*yPi~*yxCEN9R5B4+TwQa>V3@oQUh!-V;sf9Y6`y;o~4pPefTT%Y%Hq zqe8YiU`;6)=^9xXAf|CQ0*$n~kfumpHn1dg$sp!r*}&VJbVHj0rrNAa&YVezMly7G zM*z6uEV1YZLh_@SAW#PS9XJY`6mjFIGG}uDKFa!V9(#;$G}R7@w@jMdZ(+)U4A0Aq z{AKtEScxEQ!j#I_dTN&X@zOhWeR8B!w!F)DQ-jF1Dph$`(&T+T}h=)~|F<2*bv&KGoQffP1lx03UxaZrC_H1c}7!^kg*o>-_;Lz)Q+Ym`5%lAKE zejkk#T$3hhF46$);f0ClJu~QW2;INhRa_l$(x58Hp?n1#WYGs80Lml_&#K+s2T-D@{k81c)(-AH#HSa{y5`hVWoz&8 z;(y(%st5Nnr{#3JRqETq(+cXF5@t)nmURJrVD3$T$0h+meGsF9>5zKGR9F~o>?=LD z7SE+!K49wCeeH%;m2SHCz%!o4o^9;9b-~qKNOOGffVwvi%dv#O^-y;_;{bQzbrJ2* zt2elMtLY3O+Cn20m8B(y)SW7_Q75{#kt{NyiROmcw#`XMrn}Fp1SHjYK)kexlirR| zU3JtI^_O@Fk@JMEn=)p52{QK&L@fIU;if%$7NTH+&v=~`A9Db%4EeCaYMM7fi z<}!Qi=xLDfE=axfrt0tH5uHh-kgdkd^Zj}ogQI&ZsW;(OkossYRnwuX4>B^k)c6PP zjEy1O8B9c#G?%gh#Nn2hS<)v?5?N6w4i`g&WNrd$Xe{07gf4!9Xy^?dP(g9zfsy(- z1Dit;NRvS-?_UJQ{~Wc?#dOPqS?4)_a<^3pg~FmT+XB#wd;%X}#tpT%5-`v{GmjLf zhORCqpr>U*h)&ZB*lbBy)2zH=IaOyZ5)j8O6Ue7Uj>&)SEn|b}?(KAT(#hvw#@Ora z>5*Z-$+IcnJZ;(EuJ^vPR-vQ1PcVE^*xh?th{)#Gp9>{oL0iL%*iCiglE>T?noERB zXNdsxRBkA$7<+6^rk>!F&}*WIV9Zn&5+*iN&R{5YUt@)afT@r>#ll2ZaNg)FOx8uvDg_s%=pN24U}1BcqN{*m^RkCTD?xjI zAn?6(@h!|EhvPIG$cAC-Z5=r3P_hTbLs|Ax0zM*AsV87|Ip)W$1M)XH!2|{U0shJqb+VnFC5z9d=YM z%$apeu%iv|&;ef_DMs38LvE);4ZMTm(X%WN_Z`Izyj=yOvkicj@`0D5iY(2|o>70^ z&thRZR$KQ2p`53Boc50-49RrX9`|T?cfM`a4eawFhN3D8%}rL8R)~kLML`i-rdPIPiYb$#p#iVh8^9*s^_!?1 zB<|`<@H==K3(L3!U6<&xO?t^8#G=MH`HjnhrNMVB^m7>K0@4yTga!RMu2CU=vZj~Z zDkZ)$zi}XP$idGH7|aQxLWlKR#nZHD(Y8SkjK{WfjT6FmX(Yh}KK0iA8TcG-yVjSs zUMqG^B9Q}+c?l%J2s(Wy$u9H#yT|4t4Cia^ei3%f@R^SS7k5B8*|4* z3m|lw!HGiJ(y@x00|Bsg!M`&ImnQq@4j;Zp$a6s9Lc#-r^`E8XM8X5Y^+6@!zy7JC z6o(%OjSsX}6owB94G7|gFACF$D$coL(4lByw5)*JcTn}cpcc^c{zV=kOBq_NPJmBC zgFIkDGCYJv8U^iaa?++uWY>fldlguOtVvJdgZem_b@LeLmXYvA4952RZLR|I<*T?R zxzB`5EPAkoXMNKB+11h3(eNjaya02P(es3?ymhy#Qu$pYvuHgocy67}GP;_Vl29Uw z4<8|E{OlMle*a!Y+G+348R?al$+(?>G0gc{t(5Q+qTE3A#|9&(x;wU3KSYyWlQ$j@ z>8Cih&f_1Zsn^RkQ~Ut%>Yf>@7?SL6dc7F-`#U=XDDtL2WPJAFLsaDD}xgTvuw6@=p*aQ@IIuWJPSN8wiOw?*>x8(?NHn29(KX1sj_SAjof>V z$f8EN{6)|~em9e=-)Wk7!^RQCq1j{-4duN8le7d{%L0HCjmc@h+Qw5E1dFIy< zXl#@P!Qvuzcn~qa*ary{=`WQp&5PbFusFLpa+1|%oo?#7F-ZRb+1AEFKY7#TL*DDM z&uFZgPcMTQ7!|oLYj~g!w?X)YQIz3d-C0C;v_FXxcdN`>TugxY(8odaXiZi9g&aKTE>#Gt3c(=u~iIXO51dkrVr zt84d_PkX^g0mkZgRjLI6Or71O)qq&-6HWE?pjYF3(0lU;zWJZ_VhsOnFQ)8aZ$hUo zXJ~0+gJV(9!&UDVFehECDM$m$i#`8n@+^tSxm{B2i|1hJWasendY)K|wort)Ch_J}W&vEfWVm6C(#L6Ppe`os5CAqs2exXc-td zI2ixchMty@f&HJ0>3Tl|(6+l@c$(e7>tM_LGE0<$*8fC@nH=B1+|Vk9)H+?CKv$g%o$wIy4Tfj)7R#YAA&nF~E zv<(&*EV3}*M8@SzygJ_;QXCdp07s%GJrTv;jWK;;j9xHxjE(kMxd38q8U%6i=c2LHu45KVXZrLY`ay#lBUN~|wj zV83(v)1s?w1IvKVTyT< z;UcR7*h}t|Jd&>yUr2WtCN0mYa^vsgtMU(-7hZ2+NLSZ=xJ74QGaawDhldw$cNevo zkcjp+-+DC6#N72$5qP5P6~C(o;DecFE6KC<21blwe9RK8D@cRVB8qdqyNd)6wVd~G5+GPVa=!ti%Pzkn zmEoY!ayA zZPygDQh6kqvNjMJWu<#9iFO2~Cor{(TzL6KyK2l#B|V3FZZ@S(tqG=KdAkcP%g!%r zZGZERaiZA=#uE3WMoK@}L1mZ94~T^pAWJ4Mh{Pb}qTokzL&B`eY;!RYd0`pI)_9FW z4(vo|S466QUF{Zase)R)x#D)bLI0z!|s!Hqp|4g_@ zRFMm&sVWr*ZaB<+UI}xF!K<^vZR7$kbEkWu$VZPDw&!k#K(`1dmr0Ew`T(H{>CBLj zaajlo9@fTn6sbJesC5*w85b>O)T^q@>>?9c_Jt0zMhgPuOJMZE7mxKn)TLnJ;V-LGSW%^JeDYVL<@t! zsXg&kQt%O~1F;P2zGbG*A)`5G;<=&!@F}s zdXW$a-TS^X&BjDUdmGo{(b-@81w5iulm;%2;ljtwAVrYXso?e2YvbW{IQh&EHEgh(f=DqJLT<`lg^BmDE4#}w^1V8w>hm?dO9skY> zad^%BaD-vQQ*ZU=!P@{9o_Rj>=f11we}c6mF0K40WBQL3voo}Wf&9k~{zvo0%*@2_ z@8+vpXCra56~Skx@)L}Ay1kKE003CyZ#iWuwU~o;E77n={e6Av^1P~QVt4BJ(@q%{ z8Y1y5%%q4UN1~~F_`+6ujxFq%9vxjr>)>}x5rWLhi9}nYohZqAh251ME#23>#Qo9T z{=HcmS{|?J)S|4={l)PF6tG*2x%7@}x8>wXY!~Qinw-r29h>=Y4-3ASuZ6TUv?-KX z2qxB<-0ym%g|FVJCO>UmZ@?HoH;>!%v61M;%O84`Wl*Wl!CIRqwS}vw?)9z9$E%~) zFUhOzt?Rnr?X3Z{8;$GQ>nGEdKE9nYK738|7jILz zA!dGma=1*Vg~ucdu+O;@WiUM%yg1pD)+FSu9esRp2Upp(wx9y`UT&_OGfk1%8Zs?E zS4$dA8QE68X*srL&kuSdvE!3cRmE+eWf#W_P9E-dL?Unb7Xi1ez9>$kW6<2PIeprrqBw& z_E04+oSyd1E_X|sfcS9*0TMvb3YI+_T!}mDb0B zM9`Jy(!C|!&=uyli{E8(oHOL{xFmK2A{hM4)^U^Oh7>y8n@|WT3j1ep)8s=33&j>w z^u(i_8{6^m5)mz&#&)Tdf&VH8Yi1MDAmR4(1&Q|;ypd&M4J-22pc-pYd(WR{z(lYXuds!a5#OGWHI@!K+q^u^Cd9!*fU#c| zt7GrCqQ|i{p@6W6TG0?Iah=zAJU}a1y@sSNrly1`-7cU;2dDGbHTY%CE&Fi+Qm`J{Tjx5=BN0NshXA~kcNK-KI8#Pa!8oFZ@&z74R>%9t#T@LJ zjO~w~n)-bp`#hTDe5EoNt-3qp~%gY=sc;4 zw6#M-ZMq|@RJa~U(ZCyfc)X(+e1aH!0{k|Ah(q6>U})h^dtCh~e$xxZGRKz|8>He3 zB|})xx26ea{Pa&;EExnXaAmVi0c#twT`P2t(W_0$ir$&xT8QY#z_cW$ zEHJUaErY)^OWA~rEI&;OLWN+7jHfgjz(!DG84`WE>AlQU_5A%o0&xVGy_T3~Ay$aj zG^Y&uyjFkmKm&{qLke}*u-J%lzaFUq;b4#DOzd+o-0zW-`gRuKw8z|CL!}yqwAhF_T3Dq3KsSxaMIKg4Gfr z1MncYLisHQE;{UhO!d`{L`CJ_N2x2vw)0>n1*A z=&C?7(1~v|$WwdFAc3#(OM@kx05E9FrN>V`?^Mv2+$eyP3(Nzr&_C^c_vmQqs0T%j z1LZKJh&TE?lVWUSZ!$V<;SdX(u6m>s=%PVsG@HzAOdna#?|@XWp3hL_d(XAF1qS)iKo-asq;APei&bmbRGDYO&}}=xo2u5ETMaR&xSD3%`;}q7Gga`iD{I$Id|xt z718VlM!98h&j(jkNu!Eo(fx_aXUeRT1UmLP=L;d1$`nE3R^GNrn)kqFBU$t!122Pg zwGM>L^jx|7qeB|^DOJ`oww7IwPUqVx3l6pN60;1Tag~>)tGmBIZAOu?>o$g4`>74Z z7rYUhVoFlN=hc3ztR%cnAVkw|lxbg^bi>VW-?x9do%>=S*CLTEzXTIC95vOxYZIrr zSw%3~R^cM&mAh_G*^3<_3+=l=2yxjs1!?A;n>*54^$IWjz5tFz1@(K_Q@L9$SXJ-T z2`aK_WX#36vJ(gKBQCpArrpe-pFEq;uQpSO&NLyK;xSG*VlZ7ccV;TEH$_O`k7H;` zEXvBD5+bFJB<-K{w6i4*-(VR>6P|3$w`ORfG}g+j0wm2Wh9D0Y>#2wb&oa3nOUGw; zO%;SaiO&4%6`c`czNi=pX*4t?wn61XIdd;26n7LC8mk#gXqQ*%h`C!N`RgY#gg;yW zTAl$PF-~^kMlyQ{S>$r|z@##}V-?b2?mR($|7mtl@x#5!rLCbuGZJqaqnLeETrZQI ze>pg1a1K?N5QZ{xs2Hoa&;J8(ODID41h)>+%b`n}d&GG5PIQD6j{pgWjt)seT7lHI zP=f|3PXB?C;gnC6)Du^ibUwdOdQ)?7Vh9m|2#IRz={;%YOg%%~P?E7>{+JU}lvK4H z`_d<{LhJ3oc`MNxe;q&KzzLDOz0r6PKqd77zJq$K1%ZSxkVdL8hI(Ar-bA7{#=I9b zB(V?+BUyh859Tw04>PbEn*3qkQbO39~d80K2Sux94ocaYM8XCA^-&hKzzc5 zhg^+6yP6dP#o7>5dBW+15Ihy(I$OSTBduNgO1*!X>phBU zvjod|&yD`<38a$xe6H$VlZciO>%xoN`?g&*MxJ7`z{3 zUdM2yC);P&+Z45nN_%0e+}lGDvVUCj+KRu_fqhLDPTB%^2K{nhWTvmtoaBPgaZ=_N zyCU8B`2f6eY?&h?8B!Rfw!)^J&Hk_t2wKrK)#o$hlmkads#7nJs^V+Pv>FqGVDG@Q z22-qnzL`r8&*Z50{)0UYbQ~j}Y`$!sk~jC{A;A5qF{D0%G$?-?eOY=di0C(yOmOs6 zXuwaj7*x}f4t(&F+rcodW~kJr5;6V*2n6_?E`a$lCer?xp?9BpG#o@2gg^v?WspX2 zE)Q|>SHtrI3vFtN;o z#-K$^S#Xca-_Yq#b~yFqA&IbG>?YGiQ%@z+L)Nk|9*y!M(ncB~RA&V-GA*7b>Z)|% zXM}rcpM5BlQ5NK9{vs01Es-h0D#p>ImCykjt%95fP%#`oe(uwLz+_%W3leKTUdW3At?;2XeG|BaAwmNXGOrWVLLA-t#y@c2 zdac=igcebt2cAKLZUE!pYgvB-y{4n`(^`VWLqWscHE0AZq9WT24+awjc85wCMUEQ; zOLVPQ;q7d9n)R{Y5-xSEh@dDkOj(v+FB^{fqXx!ZEuwk3nLJ)$!hKnYAd+yzKKF;m zz%93KtXy?my}pPpK>nRZE^K`}HTQ-UQRcOfu1HON!qbqVN|JYB<{7}GuK^v?h3>Sw zaXl9MvtZ&U#1Vs$r~Y{o{xWd@U0#f(WCcmmEN766R`M64()!N}amWbP6eSV1aTbvw zUHU?LV*2KKBVrPdPyYwHC>b$TH(cV>eLdPFhP(tn>GBOwqp)z)AI-LI#rylTPJLM; z$ijx@pD$LEez~0+3SsRmLN)q_;0I$2bf5*qE4`v50cJ9ym`8SEyc|$c%@dr4`Zwzl z_DG9>lvHa%Jb1f({C=X9k*YjGaD1BW39v=F>XJGC2?`>7VGlsps#KLF_Xt?b@JqgZ zI(!Ox5o@~m7kS9?ePiMfGD!yRErx>%+iAFMLi`nDXHr}tmpnhN-H*?=r9}nk&b|j9 ze{WJ?8B$zq5K0_V2{~RuE&-@fS`boPJvL_b>h{3+`9(}Fj%-p~JVZ@au}&T0G#nB! z5j3GwCq7=w>TW}aMnSb|AU)f13pOi&Xx{}Vf*=RBqjvgKGB-OD%LYj2)M>Sg8?Nri zTwY;n$wNnKsQkBN+o$V!8DiCuI5bgHb>>ETBQ2O2@&`mPJ%zH~-;ZhlQQ{00l_OCE zI0HN6y-Wy*YxEjbt;uTA6Vsz+Tj+(nE(K!C(BBF}MXOjMkTg>of6!wVfRTZrca-7p z59UuHNJp_-OEgY|$?r(v`9{Nclo7>*?Ec1u%Bsg6`6-P7cn_KT!vRBpGlT;U*-^G! z-0b0ec}O&T)A_z#!fr z0_}uf?--Zi158Qtqf32}Z&VT36}^@2;t-@J8+FXbMW5l_!71fR;7o|p2UD~aM%BPh z+I#&H7S&buA_T215om@e+R><0_k7h$u@iiM$z6IuRjZqAs4IJ{y|63jT9-lyteJDi z`SQqPgivb9=g&g>0kxxLs~&c)V|~YeA48aI*7>Jc+WkvG{cVHXDYDU|OH-a=E zIEd=8nkD3HFGaPfEsE;3nkHnI0SM6M9X1|kqi9&@?hO^S`AC$w{BolCiV9mQ&SV{iu%u5cxt#uBLJaVTqv@;QIjqBf;b3AQLjIUgH)13CgsN$)`x~J1{)61aF#)gWog7Z zO+dS<65BQ;&MMH{QTYV{Ls3Bv)QEK9Q!6oe)=$6IQ@k9T15c&&Rs{&5EbWfuTC%t! zm!So@Fo17lm}Q2jeWik;swM}z{L0UbR0vF&eur6=YsT-oduFmPPlT<0=t>Pw2tbJy zW8}Bg1?2>%`;}pux`_%8f-%aB^fw$}&t!KVn(P-&WLki?l)^wD%`Id7>>}YegLF0Y zp;qMLbabIfK9;G#&=@ZW^ozW2WwsWegJ!?rOC+7eICQ?pfT;ySNFx0h(=YQ}52Hr- z9@DNHcL~Y`t2HPTH8ofAp4!QVDfCnuT_+qYJulN!pwKcXsGM`AR^F*b5a=0H+_b;h z2e_`yhDuni7)T8aG!5kHmvCNx05jyBTN$rWKWcI6`FSV|^5z@g2;b{dMdqU#+Tc>= zabVFPmZ35jf;{m8Fv+;D#hH%MxWCS3(bEK+>q8X`8r-_`K^j@y9k8a*`M>%V>D!;p ze0=V&FiWc+=w>4+WSuo){GGKauN@vT$K#fN0ja4EdgZNKn7-JE$Pn==Xg9-2g9C)j@F=zYILm{(rl$S z>30x3MVf~L;<l0Mz^GQQm+ys}YME8Jk2xp|g%bbCMgyS8&yEJDR}`z3GZgd7j>I0!K@UvXU= zQm}K1H1)zqQgui4pPVyH|IIn`ze76yUqs_Sfgk^lbTG2h(zD<*)3g2LfcYOt2Qw@4 z|3x|&*jU;A|4;`b2mSxxYR@5TYxgu6^~TZd5?#LoCT&G)FT_$Q+XW zEn2~yJ$`-c4*Y3nWqm{U zh1P~x$n&n-;>?@&KA?t&eh%S_xj}Z;nDO$X0*StBJ^TK{cV|f zQ?dv}s<=*><$gOAWpcGxlQqS)Ro7{w6n)+LO(dAq1XUpAccQ3As2~1-7uF$HbHhsu zr_Vy$&fA%fd7;{*r`-D!W$`Qo%m=!T%xb3Aywk;fw~Pd6Fp27MR&ejP6f*s?7}D#b zE8L#g=BdR`zGSdAY5(F=R@TA1$^o6tmWG|(s^8dpKOyzyk_9DUl_{0!K~+kf_j(s- zX-51f3nQa4Zyr{mzSbJP)t;}|Wr1!6|X*P@&I*>VsS}SmE z&YGZgpfwv-bN+M1PVTJsP4@wwCXVk>SUL`Q^6t2eITN^F1nJZZu(Ex@m=}A*=V7jGf+x> z9tP9#UGyM4bkP(%bkO}Z9{rr~yBQTbkM8%viS#_&=!tBdkuGV1Jw06rMUPPxQUt-~ zR0Y~%EUlqcOkCK3Z)YA9&`M(&ZI>z~?{D}apRX8NkLu6f^KG~wiRNtup*_0<g@UxDb>wDt=$loIq|cv7E%Sf$xodjYI~gxE^c^r9Nui~)i0^q zC}EQJLwi#XlP%JPTD{J_#e;1dr1w)gLj|`GAP)nQpm)o7TN58 z=s?xDLuytbkN@SO(SX`Z4bqJQp`wj4zWP73y=812F*g@ zx<$A|*~*h~Somb)d_a+;F>XHcw|&E9bD@+=u3Qw7pYGO-k%WN8%_+t*&szoAsXCpw zz$fjgx&Z9IziMKAH7-wEM*Io|-i$AjX;q2+geUmEkGu;#@pxAD#@uo3Y3t{T1`|5` z+IjK15bk*cS?^uYH#xV^MLuTEh#j=6XLQ?Qb96B-Xa%WErykGnkKmb4v*|&y_D+7e zdgl8>{(`iQ#oGdFlaDJ9Bj+%r1IgS4;H(*T{BWsxL>-7(C}PuI+@TNrd?<_J(QW`P zZ*o!bY(;qw!?_@w;9X)+7Pwggk!I1~p&4*-|ErY(UOocLwito!7FmGXA!G;gm56U4 zJ>K?#a9>gaoD~qZIoCRaNko{wP#PVaHiPhf#Q1LSUS{vdl(gG?z^m1ZCbPpL4GF1o zJt^?F7`N+@Xiy(F@t`Ms@G#y0X|IQnDuBbw3A-rqc}O;GfcTw?T7+{ z`Kp*{P(J3QAi8OqT0jm(IGv}dBkz7-SDK{-?@`MR_2ORDSKEA#1;NM{Pk*L%du+Lv9I?p=~8SI zMU^tspKD@Xw6`rK0;b4XUfvEU{O60Eb18{;D9Cm?g&i1NJ)rJR7ww}C4yCBxpS4wh zvfm6TimNo3>%l?Yx@-|ZKLR=me2Yx3MLW>0Y-y`v)+jnd9~Ohjty;A*EGpy?18^Hb zOsNfx7Yrlw9YeHTTsSbzVV?OdMxPZ!NH(Cms@d>3Cl)M&c&6DCWEKD7RZR$9Mwp4* z=a<6&n%rL~FM(9kR<5c;+)uqW{b>Y3(7O1l@0T-B0-L?~M${?HaG&#ZyeU|Nxn(x} z8kQz7n#Oux(#94)V);AJ@@K8?iG$jb7__PQpT&HrJ5$9qiK)iZ$cyEctFfG zRxa)<9ya6qfuo;)c}}<}9Jg{X&LB*0x+$1Jtu7Isi>=2`hj?F)5)vr% z0t_p6B>fJ()NIcu_%2jc2(EI`8q)23sg*hfj`%Jp?!E$dSzVN@dl!$aFT&2b#6+1n z6e|>KNI|UfBTI;z^SR1un~L?CY~jvN|`pt3HSEj1bVao#J$79zK_Hx!1%3sOD% zewA9|Ui#V}&I6n36FhHJoBDL%2o`2Q1O*thJ-jj9iyKCM#Ha;(8-FqC#Q$E;~V0`8~LF#0>5s7Q+UMG`;#6oc&lpw1oeDO5L ze~aBdY^j&vV~R;0zvJMaG?%nI?WJ`@``>thK=KPtv z`(R~lTf;06Nizse@%GSNJEkqs*YHOMi8%S~59IUMKee@%;K}%;4j)#nL2r zMABR|fSKecR9fN*=i(H+qez$)FbD!clpWgn$5L4O5-BZC&+B`5noZVWKz^up(O_#$ zxQvsGF(&a##~TJ@vo@~MBZFf>48WU!2$S%XdT*M#&5Sb0(kJp?>u{gN`tC1qjT$Ij zuh&EsZhF8p$agfUOuJ9mzRQYny)h&~oUpghk`cZz8H~P)l4r9p7i1?uAE02d(Dw&B z0+UB87!0-pp-h0M8~o{qr%G#klX~^;?h}3WmP4G7GH^cGMDH~)nYTQQBF>S2K%b9s z$=W~(ikEMdQ!UmeRW^#1DwA2#;68I&=uWJyXF9{fY1(dJx`NRz>Jc$s=V2|?75ca7 z5kW!4UXOJnSjQ6(=w*i=dOQE%zv6@~vhwPVi{ZYN!kIgP03sQL-&Vp&Z(Uf2ce&yU zdBUK!Z?x6^YauXQU*K~D`pru^|E_(UKRKOoTVDj33Q@o};yO42i;@Z!)Sqa8sDTWJ zqK;c9O1I?1$jBHG0xfGKG1HV5Cyj*#N3XP*){0+C}vlL+T zrSe?-Ym3EHJ38Sc1^Mt54~)xfyTT{mVnwWpDeDz)NWSOi~AXmuxlg^J8ac?b+TyrnU`S$Vp z%rC}#nrDiuvZds@ZnZ2eR(aZ0bzPJxeBHY*os=o)b$h%WX4$`6)t(f1-Y+arpl_;F zVsCU>zFTE~q$ofZg=gJ-fjTO0DU~}Bcy$bmC=7!dEPSse$Q!qK9?!@ za&5s3@xxWAasKUp-7;R7Hr`TpQB|%gt=aDWya=%($kJd_=4)0F_&%9CQ!)RLo>MJ5 zb&0Sm_o{U7v(L1%!sg@kV^7cXdT+AJ#0dJXX3JyCdF}A{vT0oLx^ME_&LVhx_*lc` zKkxJTTIJ8o?9B4TAwWCTLh%avDw}h?*LlW6I-8AlWRvG#J{c{&R#ko`Sz36zJL~Ku z^L)eMY~^UEMHPFNV3G0dUc^|jXUKEKcy>>lP3~=HB1qqP99bHjyeniDc)}o{&zr_j zcUM17+uQ$oyFPC0dNE>p=12~LhGWE&Mo>R zVqF%I7B^k-uJtq7j``ie^|iXEy^D1r^>azV!EGP=a@f?j*Tc)hZDyUV>Z;W9(wC<1 z2IFjbN`QU7`Nt*Q7S4r#Ri96{ueToG65l}9QOUx?>98630?E=|XX5~Srh(V%0Q_Bw zZu9k&v}tP1*Z#Jz3!XsdtC6qz@wfeKXg_}%mJ6S&3JFJ$Vd=APYg*XAlyy%=-!w8~ zM*BQF3A=mhQ?|h83|oxQYwAcwS;iG>RkC#-vyAw+fwBn&NtlxB#x?hA(cSY>&NNyr zzwXWkCtU1K=bH|RiuESP&zMz(Gpp;VbL6$RwKvlfBe=hGO7|*NOrGso&)X>)1@CB6 zY44BgoX-S#UuHwE%biaLGgW0{8?|*Cn%9f1_7~$|qAZ=N29??@`Q#TirJDqB6Kxe& zqn`41c;a3|SG+WhqR;e-e`;OthnG&=*{|4`su{MgKD-*Cn>^!#c#_)Sj7_qd$GzRI zLoj!8Yw^y1ik-xI-e?9OdCtQwPv*QW(~0S4ySL5oj#!B=L{e4Ic!?OzpNyG0o%JGC z{WF>Raj4ejS=msIj9BT|wHW+%1-VHTfn2rkhm~4OZ~St$O*p}`Xt=YsIEd~1sr#=YCAFL>+?~Hg?S-S=F#?Cb=xrPD^o*4taE(aK=t?ojJ(ix9 z*Xq8&EKiYS*$^XUII*5Ghnu zO*>MFJ=T4xF-#gr(%>1}adU}F{CsBMuPd$wqY4MZk7u@Hm6QZ_S!n`)i8m zXi{KVV7G*=`us#Vv&l&tGf6_?P9eiFItecwVuuqgnkhz&ZA}m@Xq!V-GD#_B#%5IJjW| z^TZR*u{I=rcSE%fhDNY@C^m=&%gd)-R@`z$A^5;e4pN&bs*}S8xu@FB_U!BAV)AQ$ zMs+v(GIvw&;pF*A`q5oGzNjP+ zryNKTLe-1bZLJtU&0|=(kBQ2wa^C}TLbilcUHQ*370{yc-?yV2OrDDtsa^WAwi>eB z9=_L=xte^xWW!LnE1xM`l9|7I9UP=1p)=LEo90>N9mh7&lr3kECbPZFp%sg(j7t6b zRk@v2)m>8B4ap>8$l*sE(VRQ_QK?hB)FT{lJ&TADz zA9QLdRh`h|3U!a7iU+YIr&M?`=U0dD5_8R=h1BeuX`V{=&yX}nSVm1WK@G_^q$e+O zxkx8zp-42xB}^;UVH!;csC8)rgkwaGI?Q9om6Bc2oMExWSMzCOfZe>2BQH%V;B6@u z?5Sr()YFp@fO(?KernQp1{P;Sr)x^TYvyoBm{=}##&<;sVibL;-BO)M?~L4%05dg9 z3gTXY-BRtsphy52b*m$ix_Ma2C{tGtZ`diaXPmG|g&VVs7qCpvkVmEw$SM80fPepJ z<8xQ}baDTTlELU(pZeR>^%sHJl6M(%$zA?3vrpbAO#zz^Ba4+7bE`0()o!b4$Hxb1 z+Tg%GhmrlsprB;@#9Cd;LW`|^eefdf+cVNN4|#oI-*bm6y##_>f1o%?1*`}M)oIZ- z2)psgFIwE)RY2w)FBN7Mb#gBxR>omBxxH?+E~}ZpehYQeA+nhzDr6PGvBdbdJ_w*6 zvc2!e?A&TnRZjCx!9;fY#1KQk9KdpNV0`m2e3*5V51K?3l@GTRGqB>M0(RUD?@2@c zxXnAt&=DuU@Ei*UNvYdL_oVj+^f@y1e#QXI;NoI#9ij&np_6Wb=?JFi;vC4i&OT~N zu=CB}VRZdKOM` z1!Muqjy8opWqCW37+56xhji~A8fqp$M{Ij5`9(r_Lq*g?#~30cdpeLR;)8l ze$}20Pk&XapM)9JyskdPqZptOsCuX9`gDDquO2_CmIGfhH*-2J@Kp2zB9--_eplAX zMJ-!e$XPcS7aybNm^I)GavxRvVuEmdP?JJ5-e6C;XhztjhUwb_HbhL*AcrGmR0}R( zv^Wn)HW`(iX675)LJU?Y9L$6pIF-xKA2$&qETgu&uxRU@;3ine5*)E&3O8=Sj16=n zfS|DCQJvMgjEpYz)LQ6f~0Rqn!S5C7q^()pTUb0j88@nGjSfX@;<{ zY{euj$p|B~Oa(x&M%zfH_9n3hvXa=Eobj2GRBdSBG_#7Zrxu2_2uZV;7**g6*DMvX zPKJfVoB2FB2>#4W@W3wNoPP|-8w`@FDfA8Sadz`^*E;L;$U|)Aufw2Gn z6`xn+q*6@{N~xB7F9B!Kab4(1Yhs3SexdwY{2)1iRNbWd;Qwl<{ns}qx zyl6~ZDFJUEE>QL~sGggPTrZ9YlV-G)ZqO;Z48%%y`L(@r9|$pDgDwNhRNS3B;Dp^L{>0t+!Nm!Yj${o5zJT>6Z^Y#xfqH^+$yjZ15!|SloyR+ z04P$`pb-d>1sIt8)MMg1T!K`I&TR~S5OoRClt>jL?8rjmr;j3pl5VVrGzp7fWn8Y8 zv@w%#6+$DR;I?#?lP>R(?g24W$Lqs$An8Ex|Se_=-aeaURAl7c9GW?C=A9;@na;Z#? z-fLwH0HlC=S3^wm08%?Bs^qf2Se^s0ypgJK|FU$1@YEx9VZ_sZk1I@rN(bJ-Mo9Bo zVr|^Y;LKnUJ*gx-Iior{+0Dg0oh)f8a*<4ha^i*0>7iea89c9DC}sj#*@Q|G#)N88 zKcGa`j=?LJM{&YAp+u~w^m7Q0ykw|fF%`+&^hvnCBi*Io##K1-gLfIg@$j(=BJO$D zG8VOX&U2*wrgt_{I12w^M`6Spzu?0ZvX+Z3KR?5w`E*H_<{{1EXZqgs`vy}4S?D<4t^ zobDdfYH@^^G?H$$Mc@&^VJf7d=53e^U6}TI->;~29?do@e3a54Xl2rf(J5qE=nEnM46I zevF`nI#dzNALAytPZu1aMh!P6gNX%kXuc%0<Iyx#?QpB!nfoL~RfFR|77d2M0gUjXe>`(>%yVQd&14hEPNj zhpMf_mh|!3_74xvn0WHQHbh4gux#-Ir!!AO(_Rs#jcvR?CN3)>+!si`I|nj^jXj|( zE>8GeZ5ZN>*1y_9?8ec;_)jw*k1cDmf;^L;ywB;{>s|QUi@{^4*N-KhXC2r!45#Lj ziC)&x&z5u!ks?uQEe5%A)Qk~CBg(6 zJoPnh-QWY(u~xbHQSI19PbA{UVEtqebvIAT6BwlUB%&@gAuM9zBG>Rg;D%DBK|;X? z$pB>Rd{F=`6@XUZ1B0FzU6fNk8cJjjSf?2q=}CZ;Ey0>=q}kOUZtM(+9NmJ?DSZVN zxq`#n6McMspfrbtlv6$ts^}kb@`MM0No=%u(jWIZaEm+UOY9GTHqTs@I%H9D!Bq9O z5YrK=SPc7G8*fb9WR2F82nuOJIQ0S@B`CwxG{G4<4WO{p1yPcv}9B`$|V8 z8r!z=5a)bbH)TqTMV##8U-&bsC0=$*${<4{R7k?j+BN8+j>kQujx}712{m5eqml+h zdNhL$YH&4LCTPUslKij|Jq?Y2_M(0|gO4_D0W5+>6jZxEYQm!c`MWHpq(L>JkfDF@ z7+{l&05&<7Ut!?vFG0*I;eQ^JAjG1}ujvPn)YnW1(Z*y@u^@y(@beJh#4ciEPoNn0 z<&eP*ZzAZBPSk_~U^xm@MdMzqH3EaKgo2W=6`RMk3&_E&@s%puKvcrapvf@Mh=d~O zB*pLrIEjBX4Tflt*2SgWEpeo>y>N;#1K10DAK59n0%ePJxSV;2B)w4=w24^>As~N1 z1@!@q(ItS3*hdKd$HoP36>ZJ{F$;hT;8Y8RyE4n$17;qsh(&0-1HN}(%b=|mj%8b` zrhU>$#m9bE)lfp)@6bRCG^mDI<4RB?LdI7LfwSt{Hlqf+jKV$FtBN*S4!IUWLoVn1 ze~FqU0RtpJpaG;>BZU{OPM9!Om1u+A5RG^jgj#g@yFH)TkT9Tu8Y~1@iD* z=@zA%7;fC0tE#sShVj2Nv-^sn@z0i-{b z;&-9*9Lh2@bl9IInrA%!Tu^-W{j_lhi zMwksvxyjV>~T1x9d05jc}CCgCs-rcj~Ry&mw@d={!Pss#qXHi|mS(1Q-} zYw|q0=-EPk_0-p7`ipUYkb*{{mjA+mq%JU0hu!^Yvg5DX^I;(ncQXsHRvJnx=W^&a zInb=oXGg5i!Hn6WEayBK!j@?POmAAnV6|62Dc1ZFrb!jaX_{?=R2mRh&AtIeE7JgQ zgtiNPj`#>O?mH3Fa(3wLu;Nz&h-0D(2XExP@u=5i!0I9VXAX$M_>vec`oh$Eyl6=P%b*nTrt+fcD67UqP~ zQT3EQ6emU!|5E@m5inD2|leV8qLcXA?q; zF>aM;r(F}--TC1B*KkwOYyp20=Ap$EU?eo{XxAulK|e6`}+L7(e!SAf141?USefWCN&e-xlEni>O`5l@KGA6&Wl ze8GGO8NP*q2vs706IebUJKcJ>V)Td8L{PD^y7k=;MCVk&5x&+~$7&d);C@ZwI^p0P z1WUDDBYc%GM#3N6FhWcQ$YF!pBk~QICI;D}zLMkmd68p_%i&>++9dt*)Mby8z(7{k zVChmV6~1d+C9UGvOCNOp}`QjyqXxcD=V4BiY^v%hrK6zJk$l4^)CFQa2 zrg^ce*aM+Tzc)`>ysWH;M|;yyO46+7{4oJ3xnN@;ggbRD2B#P{LbAAY;detoMB4*U z$Xi*@k-n3uY9el@$WX}P#^4bdNsqMXq+eA+o6i9+CsNl3jts|yD-Gh*_b1E7UE;!q z0A*qbP$rB_bl54f=>TpU8vRnESgKB(KEp5#N=cZ;aLXG()ssa6CizVduZ$|-OPsg2rGXId=~3<@p8=q>I9 zv4CtCn;a+}f?h(VDeh}nWLTe*pDtb>E9`?%FS35l2T0Oer&?|V#og@<<1mX>_=6T~ z1{5g-cwp9S2INbByRpib&{-s_JWz|45~<3U6pNJf;^@F@LTO7b3AChL5$vI@*nTU~ z;{KA6tYQk?Z%gou8?E!C9q;fywct9jj~%>Lgb25aSuYxlrvyvIgR$C4wvmCz4{wCy zOgXNIQB9-(Rpix-%$O)xoVgfm+R>O~%vdgQGv|w3%aL;_;p+rXf>yPH#BinG4vx1S zAZ&FgB5wT!_`-p%HhLRS86oow#M22Ot~Gv?3KKPG!gU~nInqt)d;}U!ZOUFBMY zj!&TWBMWAXtWc}n&$7n2NiDg7+gFYM+BbBKG}A!e{oVVw-%c}A^YZgJ=$o=;Muw=+ z+LMOTYipIkO|j{nSSNwp>Z*EPVNIk3!R|N5P7i+7vwpWW^-a1E8#^X++v&PDYbSep zm3R(<9YqO2Wm_rq&d1r?SEa4EZck?o`;)?xI!9>9qeIg4^_o3vno*np#Njn-zX(1T2=x>i1xBrYMSW@k(9 zg>F<@>q~{zRyO!T-8{x1A`qX$lZDJYv6B$35dS#r@=+b)#Ul~G{wr*>meDUhJ`bo}FGtE*N%v2XCa!Pmtm8>*q># z)X(ghPQp02lH$nl=E^msbIwB7;?$?V%rci?mcQPexTfyHKm~lc>6fea_Y-O+mI)Z~ zvK!an2LSK*$X16es*H$*UU-ylUPgr~id?y)nI?)e zb>t9(Cdl2k1a?%yNY*7vx548oAx&FG(YaEp+;F_W_+Go#v1%bow?-3MLoM~Ye~zHg z({R8eHX1=;JAfGlM8l+l31xVWA(|>26h{jjm+#&f8z4d048JSc1v+ye3<&7c3w%V* z10A~<-YfkEC&|Gv)XN8ZcjQVdgyDuiIWFhss9)fs;&i{Cj7Lvidcz5$^lCEco-s|ZlJbFsOj`2tY2n}!Ta@RbT;*h(U>j`aRfKbDsa<>n!R53!=DNFu(#O6s4Xwv(N;e?q z?cx=cgaa=oKSOO&0VHgLjp2#$(5O_mmnvW8c=umDEC)0zrxV|{9zHsM6_lG3Q2HmXJjex{z?Ax7S<>+ep?3vOm9hpnl z3jn)r^I+tf`VQ-a5Xg|^4-?h^q7t>g32*JBYp@Z^Rk^`b@5}>OylIvvLy@0>)LITe zZLguO9mI^3f1@uF<#St1Vl(aWy1Y>UoAO(ua%y8kJzfUq!YAs&w>uTJk3cx9xnV`V zZ5Nb{KC|*KG1PLK8L0+@IlhdgQD>|H*8HC`4-T}@l6??pnKtg9b;wl+>;v2436i16 z_ANe}xMz_J8~mndMw5!+ubn-w^;xQo%1_d>2Yz?(QbHvwec%WH8N4E`x$EniqCMJFL+BaAtvVxvK;Ix zg&SckwxU}4u7CEq<3Jn@X9UwkL z=_^HSlVXe!HYvLNamI$yg4fJYDUitK7glgWPwou(5j=MIT(JdyVwa~cL&w&{Ru=$2 zljTrb7af!xnaU-h<@mA;vDE^vTProtq3sth>|drOkwz57eNP%v{|GYV`t6w_?A89NI(%#o*oj##23t);Nxg@21)BOxXr%!NWWckb)-i5#Va80$oI`CuhO@B4 z^%Ft0lLcC9j=-vC<3s=|X@wU9Wb1tWcBXm_rj6J#?$7YlbzxVwpU&)i=YQ3IPW=*r z#;{{En4!x*r@ao8a)@Er32Us`GG>eP7BeSBQ%U(5e^8VQ`K zd4LhDJDdBp0mk(zJkA>rTqxC3g3?KR{O6GEeQZ~$_&JbmW;h#rsum-$tdMTir^p z*4J_UDD$3ID}BR%&(kb?c>ace++AjazB6QZtlG6QEVRl;8%ImCG)&?g-c@vqRhg}3H;^Ro3J~b83s@Zw zt@)<7bWT-rlAfXYL=6z1bd>D^wYkhrcU#tOYlGt)fNJ=JTxdkrb|N~uwb7d2NLlnD zr@QgV&Ogc;YhqSKe^FE`oj|%pX|6d_8!(TPhX+Rz{k6re+vq}oq&}Z84~u%vvi(Lc zYNmvh_J{u*V-f!PdF8pHhrW6KYrTDs)ma_|Qa3Ujocl1nJSG$ct&4teel=(f>70b> z!bIlQTIktgE$;3~KG2JtdI=*dZe zQv_l9My4)ycKZ5cwr{fN$-nF2EvQb{zR&g?xH`6>Z0CrI<8!x#?(~fpx-lWomKdS} zvE+Jzp^tU?8|d@Akodnd{{9Kv|G$R?v2Zc}C(wh=M&bql!8Wh*6_Q`N0xqo`k+iC@ zR=PXlinP{d-|>iO4RVAeuFUQCLG+Q%(?#3ANDqXh^YW^^iNAsuw!p9eh28LD3u*pc_+=Pr%ZWI`PHHr}r1nJH{st6y4q@+q$Gj&JgKMHkXS9?xQ`5v`S0 zkEekxx8nO*ot3>x$0G1~svvqp1XKjNthc>pw|?)EzJ~ngw>KPdYVb(Omy~+LQGCOHQ(;YkJ{I<3 zJ2b(JQ(c>al8~aXX7m;yf_LXJ^yy@%3x^haf1Dw!Dm%7!bPW*p0VHPAvuQ)`c6tas z7_FBL*=BOsEUpgUZri%X_1>yWMGJiSZ*1BR%jF4FAGA%y&T%7jNOHb3Z-==`ZUUKCl3Ce2{IKQ){8W$!8sUz_N2 zx^i{y4blv|7D4XQO3kyj2Pis*u702zbdO@~u_mNPOH)*-=T}N66BMm$M;*3gTml1O zZ%EWH$T@DTP0Z(l8l93Y(M4Ablb+?~{tikGpG8&bsAmFtfY_PZNTed!UF%ZIVUn(< zg1N&wrZIe>bz+C2KWAhRbbHJyN?|gI=zawr{Cv~3!$`Mj>|h_%PET@UP(U3UZVl;p zikpF~^*1y?t|(d##7xY#E!M_%LfO#5IkWIS_b9x>9IVIcq4*oObd#`tvfPk}FfP1W zk&*Ci1L~qfQYQ%$M|CN+`lhaOph`%QWxe3D5zMi#+4KEf7jaT7+O~$p#*Vb%dLhzR zP;h-OL1QLAS`L|C!t3f(y(C(crHah+s~+`U*9Bua23?6}jQ2dezt!R59WHjMMMEcv z5JydMzc7wk(jGs;N}Q+JJe2TyRi>ua{y_&#dR45O&DxMCk6>=X3V4e42L@Slkgn|a zff19Rrb5+QV}`243Ky+dR_I4(7T<#@i$`>wyKP1K^JArva5@wIIV!G%3ONrKkly+olY-MJ z+NuY5Xcj!XTzjAxToy9pVX0u-gy7f%d*!liu75GgXBW%>cfb-->7 zi4BM%&!*zL>iPAtg*-3$8MFsA5z``N7dkH0FImrGP%Nfa9E#pB+fmy$=tND+!BBLF z2md`qxcXpI9_Hw7#(Th-x@7qH+8h)-AE2XU3|A z5s|BYIJZPCsG&Fiw6{JQ8A8+-Zi-ejs5g-6cO^-vb(<8TlS8wVRwrQ;5WQQy-FMMixY^46@79G|Jy; z!R#_@`=T9|!D{BdIF5Iw5K?HhhnI2%by?{MD=paALN=ma;YfNU;V~MOXgG1G!<`o* z#4RWkQkkv#*!>QeDQx$OO_fzO4r>QLG6@vRMBRWAo`XCI8??Yvx}({H0znKZPT%ogqI`o+}%1%6=wiQYUm^85Z@?7|ZAPj^%~2| z+fHOlf2Gg>%#p|iv4~$B5hGiaX66iR*yB>@5Nv;X$YID)#!23{*r4f6#3QTj7ywj-xrK`V6L$a&ji3Da@b$*2elHV+2paXOOO?o@*PLa9*Iu+jwD!bqzCOoB&7IV- zi7Y=tu8G-&h(?sD4)%csYYHXT4eBARLtb5A4B|~Sq!)0}4N-m`7D8G{AVOmDI3zrF zE-?|Shk>nsdM;6!;M@C1DC#)0`622W1hJYA57gN^XN(LUtHLvLg8G~5${3jqe(v;M zp|j0V%#NTOdi_EV#`tx5E9mtlkSWG8&PL8RSbKV{EV9kOdqk_Jz@97#1xPzo`Pc^mWs^CQ|a+E(@-+3p~yP$Qge2#pA+D)_3TuHDos4RkHV(D?idI49pxG%U zpEEj8!B28))N#*PQJl_+md-bmF+_2mPpQ`|6eXjbwC7M=oniArrH;r-!P4v{5K2+R zy5D}jPd&e&OrBtjZ=RB5U8w6{RGcpj{{2T*+7fdydhs+%+UiG;bYx7kTo1L1UQ1#k z&ROYOg!*d=v9v=vd}4Y091zYWu%P;wr);5cs@14R?jUEM51cMh)9AjOBleFq279eEnPfp zLU;8aq%B?R9KtuX*ib!Pne{^`pCXn_on4t0!%08&w#Zw%03}W<#C|;c2JdRrl%#@V zAr`5!l6E*ruFjKK6!Py_OFG%^A)RHe1WyKd)((RV7tX`R2h1*>|Mun^yDL$nLQl~u zDek!tlDc`@GziSlnV7oeQp}wFoEf=Qy&gqOV4DkKf`ImF{HP!={%1wrS3d-dh=1dp>4E>nG*VwzqQs6or2iT27z7n9=-D>KiW`I9W% z3 zVoe@??XOS@8bf&;zlBB2AiVW(Z{DT|e`Rz~vStXmXhma^Z;{+$Y5bY1r6Bld)`F-_ zne49)qTilq94L#1`ZzG(O`Yj4f6NSDfz3G(#Z3O-wvkcszE1J za*Y)};|P%`yCuRBvB(G7h`!dJvB(!}8(i*4TD+MVkd9MKB-I9?eTl##e#{wNB;C#r zs+CKM$xm0$*5&_!Qf8;O$3P%#mDIW7$697_`)W?4Z<)xpGVb{(;c$+FndloF=F`gA zDN>r(_Jl#}ce%G@{h9ymb^oE+(4qY;mGu&o$~cui7g9sI)2Ek%Dq8VEYKWd#6bqLz zm85G}>F4VgvhV?U4<+DJZS+ZD%if-?xv@3e3?j4Do9+70+_(uh^N?hdmT~8o@dl&u z-yP36{8 zqB?1}$q3htb1QI~{?s3iN~W~Z%kBfjH!S!~NEJ9;0si)0Vl$rl17p=Fz=km$ONk`m zV(XsM0pw^G85pTk9h4W0IE^?sdDGRHQZk&cUUJ4i@8I^q4L6=7oVCQmm^OK)i%IQB z&j~{1#(jZv)6eJxID)L}%n~;^yDiSALRiqz$b4>>oW{q`L*@Cy8n?SEdT8s0V_}lh zJKK|_4wwZ$n;ArmS{~QctcmQ=5oOQggwWaIk@yrV)ig#cRt)yJ*#pv}|CG5ruT1r4qR6?qE0P-QW%=xf$yq(BMmE4H;hZdLR(~ z!=qrE6nTH@4t%~Df9y!ez39`g=pd2jk+nPw4#ILqZU{Mam5zOzZzWLKFHU${jx{x9 z1{P~gO0@E7&!sGNk7p`^Zb}-`vuui5K zckoVKd2x^PxUx%4S8|uua>t(fQ}u&D;=|G%O*QMdIt@7L;RM6udD-(HO((b6%~O`I z^wrLcdfn<;nRytZ=YeBP7p%ddkPDg}+57dH4AwQEknkY>V5X9KLsnNnjBPeZLRS}% zSZ}9jkHAPaj<&0rpoDBkMG)flocpeJQ^zOCvEV7ST;Vo+X?YS__V>QWwq!fbRvN5H zD$&fus2Lc3f@ehh68!H(>z{r6zutWPkBSyMGc!FS085;i4FIO`U)`QeY#jf1U;hy; zz}5c`6b&X$w*Qc=9!;4z5;nwMi3z{cgTaAjecSg=TG}{JVH8IpTt&66$wWYy(1#Cy z8-eQ4*@FrFoMcA3ihhqyEj3S_!srl&ewU@%`penF*Dolu%)2l@t&ZgR&adoMS?(jOkSg5wkx2I#^gvy2p@ zau73jQ!-q2^<%?0t1!v=AdiIgF1VfTGInuC6hApaUupr(MO6B=N zlUd-*rME5DW=;zMG3O9;m!`hH`(`k)AG`2&9rQTJgph@ z)0Gy1TFb3~$pNEM%sF>d}2b2_|DVf&H601EDW1W z4%&O_f--z&&_e3+Qr-aR8TVZ3pqeHqWN5591sw2E92-w6&bn=z+)I6q*;}`f)#I&N z5&;+60mR20JlhU#$zMH%+tS zv?1Cl40>0%41GeLV;u9pLfs?YL5gAw8b4U{%L^-oI-S?ze>;ak&3P~zjJi~vc(2p-bGvvcSVs+?Gz%SEX2vxRJ{Wm6imT^a107p>{QUn0)^jvTT(!dXi0z z)dS9wLu#5{a@nEAZYqkojjVH79DJNxx!zQx96Ew?+#e;0Hp^6$S)6Oqyjc3w7Gh6u z67y%sm|{*LpkP!uv8F&&lgwa@HY6%YTgH|89VH*-G!+#)bN^~Q7Z?HYQ}IQvu{=xCok;H_*H=86Xo68#j14t z^VH=tSKk|FcGnJ+Q11<->64+RB{U~S?%sfn$D!-evg*t}^oYo($GMo1`|$8VP@SQj z^WEBiZWWIovcv4uy`D@wYhfMbz$d?ku}uXssCs!OHMS5hUbe;(a{qjjsFa_d>tOM; zPS8GzRmnuxe+DCd5?2Q@S%KF^)yW^Tc@J4k+$I1j*P^W4QpBW6G)v5ZiM;BAD6oA- z1B|YhUIrnfL4+UQRToK~fwSC)j&CG0`tmZq_@LvaR(Dv?=XA@imYqzkI z27X{A?MOz7uEUn4kYgal8jr5*%^GS2a-h7Zvm(tT=+}xjUjKTEJ;s1e(oX<)gP!d* zQo#3vlT){`X7Py^>z;Wn+Mblx>K0rjfbWh)+S-`yo4%kph|$jsTT0ERckL6CLGtWn zCuC#^v=us=OvJ>I7$fBTWehEN#~OJ z(e|OkPOX`;1!u6!n0%(no2?w!)uff9z75v(zQEt0O)eTENUIBtD$A`sQs2lIk`}=Fu+fE*Iv4KdR2p*`l-Q(tOcH#JP?<_JEb5;62yT0r60!wI9xQiz=OGS5>J@w5 z;F~+?_y4Yc!1*uzgTJRhXJTPu`uBNA{aWL;#Oz3&&{N;8C_oWn&1)F!x9hQy_=4EX1qF!2(hVu;fP(;;0sfgUA9U9wu7IXNy0TRaUwT z&%k=Y(PC_t(AY4UO~NAyv<#`zNGfFxKn#K|?!b>2Af{DSO;N{7LNPq6Qe0~&UZ zvwr+Y`F(EeASP~FVlMUa2BF~EC(+{VsN~>H!2Sqwhn(Kbu*c%G3r`o=#n(AV?j*#t zUZ5eQrK5N=MqWc$f)*0xCk;^xsuz5`C#`4-Iri`8zRL==*y2ct0R@^kCoYZNe5%yP1?^fhR!7IXX4MWYG_7 zX>o0C{~NArFsA5pZ5r75t@Xaac;UoxXdL%jhCIHF_nE;vo1HcHGaz$p&h=mZy{c8O zr7Sqijyr3J35yc^Jo>8>zqFmCTf>~l!^(`=CV#SDmglc_OjTcA4@N=n#Lmx*xD`<` zOjeXgo>(k5%(1<sWIx}TllHE>Z1KNMJ1ZJ+7qA-H+HVt6Y$mx1jKWTb6Xa z)}v0UG0#SBVX8vNDrHNycPb|H8#dIv-pLm`uSpwFw_D`JEblUnVW{0ZjBgK}?W;Cj zpI$butA8e0UH>=nuam5cQ#`dk^SX0)l;Pz zz;9`))wEE$MGsmG)wTc!X0mD^l8Zd*_xtZp)qTd5tE=4MC{acG}b1CNfsh1$?kY=#lD?GSY z%kS}4qWbp>#uEh*6vq9jf>qfsgTQROHPHD-eS{AN zX-ZLbGPSXO+$tQz#$+~76%{}Eh6>^zjE={c!Unfau$s(rE^fKkvfLoD4mn9s)&}Y6 z={Ls=Wk$abP|jkW)_uSob4gdqEn@o0`JvkN^(>Q3A3+c3Uhk1vaj8tWiNl7=vGQ88 zbWf_!nn~k>Ctha0h3#smZzn2wfU|_uCMqACHILS*pHax%IW9%=C@e)X`(y!82di@g zNW;_~EC2dNKYSf-Q+8by2_an-Ih4u^MP;=M4>OMtV6DbM?S*ARtRt9x1fT~7N~Q+3 z&&?1`b-D}vMtST77iAjG7GH5u&NPeOwM?}hvp8}W7ucD2Fklt*A|Y6-2ydw@c^@9z zNx#ENiGJD<>DYKd*yz|nMuOw>mFU*3E#y0Q$J~$ON%~nmJnQ#;df%hzDqc?d~X(tuPGO4as+0#20oX39sh?256lu&Lz6&hv`HrLQk<&{CD6(saA zPpM)WHooiE;cp^6a`ra4Eg>jAl(e%-k0AKo_)&n6W|sM2hPgX2Nq;2rS7_ueg(+rGvYllN6oTw6n|WHc#xgx63Cyu-T@)c8fi)xba?7i4sulW)cm~ z-5kTuyi=6SWa#IQe&sytQ!pwQo<_Q3EYhr!(*%-WIlrhT+oDw7%(9u*RP%PbpCDwL z#1b<2pkKR@Y?v+!Ck!YPmgj9{^lxzE=8hcZ%$pCS@rRakweB~avom^&uR-53k|Vsa zxyM|SP1Z{vD_oEX6i&&&M9kjeGD>FI2b8TK!v{>a4H`qXX`$2f{XA3gqM_% zp6NOMB_!7KEa~0OG63R07>Y^?RBdFUbg^86ct{ZXkM{$4gqPH3!OPeJUAGT1?OlZ! zK@>{UAWY8BOLI@o4AwQ3=maxq@Fd7wv36PyZl`+ypU1_Th6Z8Fd{oE7& z1Ek=~-}K=){tT`B#~9Dw_u*KW8UAx0PG>cGZ2-|*Pyf>|2B%l5KHZxTP>jDqye^X? znwC^hf6sunxgcvr$6EXh|R(A(;By?vX%8P#9cd{MbwmG@w zt~{cDPj1WgHe@IwX)DA0WhCp}uORp};vIt*_kjTaX;UWZTLxhuqv zp(fu6u3ZUh*UQnFYx+A-?rOI6+tFN{3sR=_Coga2$=;L0K=jzO%=!=aN0Uu>qfM#% zt;nb=!4&km%Pz$W8Mw=P;5XQ=KsWoM?MCA(W?lrn)@HKX*XsacaEY5-xkO{}B8V`(2Z?nhva09&g?Xb!r z*-v3P2RdvF3ygt99>!tClh-lr>?X#$)CS2Gjo9<{=&L8bi;@VW=y@ubY_xo1_vLzT zG!pVO_-JkOG7DPRk62gLNmL&upSF0feIZs+={ORN<Ae^@QudDTZWNSaG)6;_WL|75JwIHi!d^*Gp<4!I1{oXl9B8CygpY#G3Lg$6-@&LoY5gz}fx zP5f3XwhIJxft;f8LeM`SnWr3_w_Sp$^(S&ewBjQ~>D=@Ru=SKKmIBfqaG6`flpwzf zT^1_rc9nbZ4F`{0Il^j+m}^&#Y(@HEUrxPKcHdL1;EA!hx44SyiCROz>ptX-&-?uV zO|`9pjM^-1I}(yakZpwyOnd@z~NwB=~w1GkWL~pHi z@K=Rwd60Y&g2+?VuZsrqDH#do-LTk;KV;iuy|mK_E+Qa^yJ2NKEqot>Iz<6o;0mzdL5&c}cHQDs-kF)e*RT^-D^Gu&>%z9N>XLP^M@X+-Wl zoVm3uiahM)aMfJ!xcE*yh@xzJ;sFcOI0St)mj|etHc`REL)HaPfm`*=_|;_cITQa z3qw*^Y63Mw6QvGYK_P=2VQ-3nTJs*#yS zo(39PCd9giiCY3-+W2I@(nHjeJetbe_O!6rLYFM?XR}oQHz}QJKU5P7Lxmt3p2(@u znGpmxK#Y<|fHC2mNU9MVs2N)^h>DNXpO{T+nL)LIOe}MBxg8 zpH&Xe@(S4sHF^9>b-Pqqx$xi1ykX0G_R|M4AqW$f8+mN~spt*|vd)JqCUe5Rj^(n$n^g{|K9Z*rRK&d4g8bum}sg)41 zD!(ZHHNTbRiRbH!B&r^I@t_jUo@BsdM^;axxL}1*LpAmlLFKv<Iwi7oXF=Kx z5Ht`}HiS{2M1Bw!zlNjxdTd6F^IJdl=3~7~t>OC>NjhmG+&;)Fi!q1JYmdu?Krr5iVG@}=If+}Y=YxhiU-%iKOw{I^%eMt}F^UD^={b(at5o#8sAgqU-& zG;0(WMc1#m1-E&1bClwk(YRMw&dswLwUh04<%k~P<5gRnHQy4He8x4T2St1giKI}R- zpMj8$F*tTro5n>b+S~?331y|XMbbGtjp_jW5mplQ4k&%aDi%}l!P^R7#=+&)kiGF! znDycv)=)9c?q@OBw&kaN^)Jnz?JPc6iN?mWT2IgOn{i#skcZbHMlUN?PWQs4#RqqFV46+XlbBpkwcZ0Bzn3$7eHt##3E6Km3 zhbo^=3D6n)1us_6T4S}g2+LYrctggAuUpnF&YcjIl()lZQK{Yl*yy`Yx#3q!cduWM zSMHfgC^}DH+LA)$Hxg}M$9>8C*ZHnn1G_UH(%NQ@xxO$S-)EX;%*d6wqb8!RkqRj4 zkfl0bU-(kSI{6}uMFr+tzLl3c(D9gB&0KIP#1CMlKvNqv;Fwv>s6#uI8fY&xS<84> z08~nRgHVVkTls=*Fs3;zr?Je74`B?Nzga8L zO7FQmmpQ3?z$XjJ3}-7p6xb_No*#lA27yt3+R(9K^VkJg>q?f;KXm|gpE%H(Z`s>e zxc+B&m)kLhq(i;e-Qc&N*fq3BC7Z_a27+wlS^4L7S}?~nRpH5w)zQUL>*wjI z2)#7!y2~2g6GkH>(qf)uy&gxSLOAt=`EtD4I5h}z_s=&B%cX2p zZI6W8ZVcyIUf7Vv9bocNDtwT*zb4c$8Qd z3w5B@V1LOsW(+uPZfIm|V<@3vb*^_ZMuv0cg}?vCIg&9&I{Yo+ZC>tT^zLj=)UI(j zma4c&s`!m+D}pW+_ZO5J4LB*TJ{xhpiZ|W^&0|19Fq|>^`_ggvxH9V`a=A9&~xu%Ew(YqrfUpc(x}#pcU%8 zk~B=OAK>v+ztU;Z+s=%-*d3%c7Db7v=o#`#jOo8-we9UH1Z8`*!ah8gs83V`Vye9X zOEcm6@>Y`nDs*Ke)cZ+>1#~uMZ1l*pa7GwRMnHO53@r$(`GZ63JFwT1v0vDGL7#(ip^_O%1&O_4#8QPtnaG3y z7)0zj_hR8uoULfy82!jC%eZa~8KuWMc~YEqVm=yE`3ZNB!w?M=Y2%HejqojY5KTRH zfUuJmnyT31uO|(39E6@|AVFnxevc25z{H-wnlC57jqQs2bB-CqZ=;01lfP@m$SM>?4zg4Tkoa;^1)rAm22)<&=k zR9!3(2Zs?q*e{ch^TtSXbNMJENfdIS|67GiblIH`8+mX zQnR3$*m1chNkt2470?maI3HrxcH5>w21DtR@~Q=4va53c<<)eHqEGgc@Zlq>wws6E zX_s7~8Qsn5*F_0yiynG1L5B~G6K#FVU(Gt0a*kxGx8>yK`omU2-SnKfrY}Nupo`!E z8-q4b^m~R`(7Su$vL}Q)LAs@BXNNiA-B0VXOisO{-t}%;*G*0!Au&KAp9G;#yu(ND#DYLM_r*KPCLl1;tC*}JWxiPi^7=W)Sp6tSVl-A#AbsxocKK=CTUH7fiv$WFec^h`n%L)-IXtq+ zxgc=#cj&~+3l%9JU{$G?Uju>D(zPNO{x%zz%F2_n{62m0&d|Ym(Ad&BPW6WPyJn!n zP5KmUUE$@09BsjYsmSq_$k`Ccq+-HSAW#oU#P3~;1aXnLR6;RkV1X*fpV^pe+`F2# zEW5rvnPldFH#Wlf|7mQ5iHVitKgYMU?68}We9&{g2%$@VV8o5C0`Y*QeM!CjU^}mk zzm3fRpI1->ZaU+Dl3mr?OeOu5*B7Cx`)fR)z3q)B!&i-mkX9k=78KeE?$@Pp^@WGVng;xn$W7Ux_B6h*4mz7q8j;>&7hs)tbjE6qyS3HXtGK_{%JT^9eWEWYHRnHcodkGs$` zlIq6yEgx0P0J+y(s-Zx-8N@sRp+RB0``+1cW0>9lM>PlcOpc`xNHH7I8D8GO`foJv zFEXq0^!;L${NY=zorc7khe|Sj(on-W^Bx125%Dbod3W7ZpnC~my~f7IjT*A+Jq%5T zjiEomtwHcG0&Qbzw9*nuqrhE%tLp$q^+4CT6^WKyCV(5H#}<!98 zPM*FYYO7i|*6z*if%4kl9XQ$GW+ew5S5O*pJ3cRMKL+G;cEWF1b~~~=ygzUjce?BY z$YDC}9^T&ypaOBZtGlymYi5VhLK=fn=nbf6=lH316C{9;e*YYLyiS*`StJf>5>W~5AXST`h;3)_Rsr9Y=G8OT<9Y1XEc5Oi-=|5dl0$tl*aX&S0#GPjD>PdO@EPILte zG)-bA1>etG1Oc-=ED@?I;xC1eGP6*z{RM=v0$e^}BL(un8zPEQ5o;{4K@kJSp zw?8uKh%k)p=i-Fi6F3jWxlt5+*WraYbe`!4Bbq+e8jwzJf8z3|ek77?aC7`V^>Hl> z>qRSeSNn}%n{Oh08QwQ54W+KwM$SgZWMr(#((Md$HQ%EFa`n)?zEfiy-L&a#2KR2g zniqlF^;cU@@BRhmniHGWM)9#jhA6Bx!NQw&Qd_l%u+B_Z|6P}E5RZO+Pvs7lJQa>wvy$GNFd%6hVbaypEqisC}A=iR1 z>d3k&N$#ADhxo76is8_Sn)XP+W)0Ljo)$`qI-BTlrWa&r6ooUk@4_gW5^4}_rZw~d z`4+U%AsW;`Jq6Z)I?2rekh0Z=?Q-Q9m-Sd=9Haw7JkoOse$O@L@XZM-Yu!nTy}_hA zs4rO50avbBfvpD1s4}DW5nWI#Ev**(p3e-hzv_;Pm3u8ck6YlHlfSNbV45yn(}g3V zYD(r$C^+lv@OnQm#Ido|qb_9%@Y<->!<%rdvuMMvGCuEFd}T|u+gvbla@~G?Upbrx zlw(_0MKD-TMl_g5M|@8^oTgM{gKveTKJ4CcyAno3@Tvt(xYbA9eOKsri) z1NX+>sTZq%l?uph32>LEEK%EuXMHmX9l|Zcjeo`~LQbx_Vj9dS=Paw)QeywA$d}pbbdsHN}7JKgubRckeXYD<%%ybX4`^gp!oBP^7R6G z+6{5n6;kXLc?lX(SP=6$q^<^g^EqOD_X{bK&&#nPA1bui=| zRH+U731FjqecN^O49bo2yB(sp8^`Z-kMHe1Ez1$>;C(oD53G3_z?bZ{fTxxa@@1g? z3t!my5lwH_zp(L(`9@_G!r>S23Ci@=3B*7NLR`f?{}dWda%RnlvQ|2$3t*<%R$Ek zd71ECo*tKXqXG(AC`dmok;lFo6;$JO`pJc(vrgLdZb{NnNz{yFe-{zhxxB3kYjFNs zLXSN9$S#MV(~ZYVIJ+tl9qtZ#A7KxbH}KE7@Z1P98Bo3QZ7mly0PSwIUyk@|Ulalr zJpaJPtH;&t(N;hfCIHLb$R9JfGQ=1YV(f%P5>jFIIm6OdShq*tf~|jlaoYynRWKkz zH7%vY@9ppE^_2uW{mn&+^amQRw024+Do#dGhn}Mew=|L7wxc_WUg>H3aDMTCrgt1M z=n2sylv_Bl%42je8`8Vc)A;sl>IHmbP5XRNGCK#AVMUUxQ+Y^mTd8{o)LA=GM*Pvy zxBGjD?}o13Bz2i0iCaWjpkMx>E;$=HSizYhEL{ZpYlQ3f9|AXKbDj8A`rQ06^-!Y2 zfV%j#yO)eK@C-Rn-upL|czo~-;&3I2)ngQXxq!sR=T{I|1Y8Pi!(bJ<)?`Fgw5UUd zQ!y2=Ek5fV9xo_{if?#Ajf~7yNG{>2r-A(rXkAp+$%`*Hx>Z(31dv#{blCb-*y1Ko;M6l6AEKTjhLKXX z?*8UZM|K(`{@pM<(~^!&Znv#rvrVuEZX6xOgu1(6MmEEWx`9@_N?Wcrr5INHf}pje zj03nuX>m^ITB@u|U9(4~OA_p(h{CJ)=nxdfBI=UxmsK$sb5_zZ2F8mmzo}!D$ODsv z3;{bVTL=P`P)5QQ`u8W|rDLkjRMs7sg&YvB8EE#eGIVUlN@ZuAnQ=xr|@5S$tTmH5)`&b->F@=L%l(AtWR_A?X82^j`{vSx-bB;%{aL+OJMU zl)qMvuk6EFS_89>I&EFGW1~6;l!}}bjo;>}@3pC`QSTcgXsdy6a3-FHwCOcIa3w+E ztZ5J`B7@-Za9G;)!A=1FZcx}69(N1%=qxzm*&$bKXTFm;u0=BFnH55OakC{xk(@p> z(M?7O@J_ckmAwex3grPGG(BiV(mC4}p3M#iPLp2|HYGL~NDTv%I6nVV;AfE3tFdLO z1$q?Q3Sl+rXi`vxP0073W@dvPdC`eB)*zid@3p({1I~FT_KOdr%~HP&iOpJNM4Gx) z>m1c_J0)-3)asU=+!|Sb%B!Q96j`WgV$msSiJBV2(VYJ*^)@3jPNeZn{@Da1)i}n` zQdUzOZdOF2{Q}lpst%lur!tR>wwBE6N9}j>P8a z+`lDEV0b4WjbdkPZYKF9mGx|yGmBke)ANZV12T_8C_Yi)_hDC{5yKg<^z z@)UteW>P5=AH^*$hHtZJ%S;*DC7B(X|Eg z!S2VrU$W+j&F z&eXkPNz*P$tnylGAU>> zAAt@~668_wk~G^kjVLOWbDmD<7D16oJ#QwOaN5RA7yo)MP9n&xP{x>9%zA?w)MH1S z3RggJZ8{(3`#JQ;K|(vHK2~wBy;#$A-M%~;tmYspEF8$kX#&KdafCMA=r`?M`#7{1 z5XeLeEcFy8*fuA431aI_nuPW)C&q6b>iQkA`M?r6L@TCI>aBcJ`S& z?TremQtSCs*lloA>jC98cxi|m?~i^J08;lQbtxBHf|GvzP0)#kWG4-A;|(c23?1#G z@-<~`96YIxJ(Ud-&_0>xMu}7SMUzqO zS310BQUA#8i_w=D-Xlgnu-D@NiQmyQ|05?7N?Fry<|o z+mP4Rsptfv8QyPnFX`XE{UUD}!X-OV6b#&krt*|R`0YbZ9XIBvU;k<&;PnA_{rn*( znz?Pexo+2T{BW)Q`_PphF5uyVxP7tu;9(c-*C45IQ$&l{#UN&xyMWY=DX7g>{Evcc za`R-wZvx*4C(s+9Eh=-OrVk)if+|#}Chp(Bghi*oge?TxVuzqs+H22*^S9Gb8&IrO zrxbalAXiKZRHqJtx+`yaP-)F2)+%x`UxcAoVCV#=Oq({tQ6@#8HKEwZ%}W&sW*uEI zO~$Vm{hXOmWE4v10t2(kLU zr1g>R`zgK6Mu(3EAh+b1Rh8Ev{uB@>6Ygd8oJ;g4)w)zR)IGf|HC(A-3?rz%rh|P{ zz5`T45<6nehb8BY8qE$>)DRoN6h78@UF_?^93D7-?1_4+$9!}K*#EACtS@TjYh=nT=AFArr8i$9XVgs^6y1qfl! z44M}znVB$#L5~XZSLtMlYt@u-8~l!da)=d-=5SA!C<$J ztAYWb%<7)GWPdHfVDI$Ex^g=QV3tqvEA%Nu&EFh`6Zm3UYaKdX1~etWF-o!@!&2T{ z7mCDgMp*?As)0p|^+_fKSZ0)+xyJ~vl3P9=SbpZO``R8SA0bIi|JG~3#52wP8>Wxj zAdJ6*@0pUn)D!lg)P^iTXx9GVJ5uO|H188-ZMFq@d7xv5QVovk(-lHd;3#%IU(xPU zInR7gwrMH$$8p;wEY_}Ky<~~8Iz~&#dMGb{b#uwHRtCM>9hCW9J*=KKK72b6rwN`d zhq1=8rJtOaQm}NN7LQx-mD(vNrPde?v}d=e>pUY#aoi_NK_yRL6G;k5Yl><7M}yv}}|(;~Lk4OTA3!uYwaip_U*FwIK=U|PdYhXbP>j4mTG9AQhKV!TcQY^JAMb70@VB^x|pHMVy@oYX^ zZr#?C^1|fPxjQce?io8(kHOW!z1}}%dWwlnZElCu-hX%W(q<9;n#14Nk!5>>gK^SsHt0adfyXU#(S3t|gL||8yZ^BN`%3X5Zq8y#&IZmV+}!kH zN*n}#P_l|&qVWHPg1ow%p{0qD^Vf+IHU?%UObi^X1b;35afQ5rv$Kh#?bl)Xue~gP z4*k)fRQxN+_9yv=d+zJr|0X%l`9%@Tz(&BqLC3((@^4^Sb`A!HKQW6xU|9}Ec9uW* zoc{rb&i0>3>!MU->{c0IIx^iw!sOk=9)uSP}X$ujpSJm zOU)4SBk}UZ$;HGK66U-x!=Wd)mDs}X=FIPNP~`Jcf3zwE_=(Gkz=ulkL?X2TFlxH+ z!7&Oj9Dl_oFqWcvSHr$}krZ|lkQNuEJ8X5P@x2)VnMaNglb0P6TD9PYsPXB_eSgDu zZb;%#lexrvS5}bf?hE%xorYJkXiRbinMf_V3oFy3PE&6yKY9e6Hy08qE?ndX8}bOk z^B*{aBNb;;+42D#^3Ug_!(45Va6^1z)#6$LAXBXBliFQCs&1FwxlcD%jqBqXuK(=Q zCCtekL;5XDHaH^Kgrt=Oz!Q;wWDQzR!}FbcLa-`UQx&j`4w$W>Q4nvpN!5h5k?K^P zcG4S}l?IRsnhug7sc(x~UIQ-9399>4p4())eHiq} zTU{j#zJqFxze*tpQG2aK5SVvB6cwz7&RRK_*3&{EDEhM~s*G!?UjdL!cbH5=9q5i` z*?ytcqSF9UUfsHseJKv427!n2fr(=D)-c)1BkZBRI;q*)JL5-B8ihh>TejFdz+qnS z*>lOws>BP(m209xo^%%Lh>!w(*!s@H?Q@5FJ>HhfyrI)qP-t#X(~3$y{Pyieu+V|F zrew$c_FEmVh|kZ;R3zFuk1g%F*oeuQqR5t| z7dri)=0yL`^`CtNj9)1WTjzgnD_dAw*qZ&7V4@ckv~$z?%UZq|x0#v##ghJELw}b4 z%gEG~oK5V%&Z5`&j}1i=Cp#BMBNL}D^ZoDb{7>6pVf|(4^rF^Z&4#dvk)5##y|js~ znX@?oGyA{C4ylzH*k+gkfI$(2_el>=JP*ia?@s6l`L4uPO-{;oj&N0#!x z8X##MQ#cL{UtTfRTV( z^smpCsO{H>_p77?Eu5X?O&o>nZ0zl9O>CXN%2~qpD~I-We{x7*YGCbT^50~diQ(Tf zozCfWFvdcW0ttGz2MmBH&)5BTdHh#0&j0(;zdXeMKK;r6DgVXs$6@{D4FB?9oPUyk zJka0xFU~JQ2d01LQTbQ@#m>q4$H4!4_r=WdpR6Y_UPcax5h3_e<^}(t1TR>&%k!Su zLmtLzClP@~fFCsS!wcM8ZLX@%x~|Qe>HPH}Q`KPVicaLx5MkSaGRPmA^2Zj`6Dyzq zMr8oTG&nqS>Y#4!G-Q!tU!dQNU91@chPcC8P0ugTpaL7mDQ@xZ)^Pj}dHzCTw~#$< zmioppF-o`#_;kq6{O|$wESPc1*-^nLSQO}vjj4Lm^83c7`Xik4s`c70lD@F@Ho;5H zvIfW?w#t;NvvphJH?k~lHo%Tq_ea5c$PT51!Od`8&fE|CMlAXR22H{0YL&i-Xo^}k}L|8IdXF>wCrw*E&A`FnTC z#LE8vnrvfbhy4rn-S+pVAATWd-;E4h4Z~DHx-+!3p6fJFgpa&7DYbGfdtH?6%c&Q^-T7aSDMTZ1A(4E7mSdLXQul#+TE;O3ihSz z<7HvZb8YETPJ0-M7cLxFKai&$BR}T1jA!LZp?SPP6P->4_3Y?iD>?E1Re(iYF24P?6&@Z$V>L9czM`S0Jo7QerZ%1fw z+dZrnYIEm~-?~~%rD;9+7;HAi?mKv}sh~U1%8Fqf0J}&`V$ARHJq?;iC+cX3VjH5E z6cGaC2jwUhW4E1_Yw2~Ng0$?|kNzl+gOJrJ-mypKc?7Mz=b6ag^wsrU5f}1#6!&k2 z8t7yO$pM*;)D+@~l$|fNk5l-ilM4127AU4;Qz2EvOm_HN@Jbc7$JEBvgB&q1LZCm7 zW!@csn_~;>Ee8mUFrC$v$yy!^K({9=+1Qam1Gr76s&8k%H;Aw?uPLyll_lwR#~9=6 z8glpc*r}~|a(#w&fj6C3unYF{Mn z;K5&;iD5{R05fpFz!m0u2w)Z88C&vT(8nQE3vS|CNdz!8L`pJH(H>gbGiYzt>Z_CS zZb<{_-Y;N+kos6o6UYljXB`BHM+Ojn`HI}r08;?gZL~dFbKvL~Kuurx5g?L4O>U#& z%`IY21f*4Rc|>ZsUh@k8jH$$ok)pk41+~CZRaSLtm@&rKR)eg%zHUQ5d-X8)_Lxn# zW-7Gq{DgPTVk}c_p;TfwTG_HfjKy3JPL##$*bj0NkG9oHVcvmDhC^%*MofYYa92#c%v?6Q7NFGa;z zWbXRu6F7#`?TtYdmoyWKw*>{vWT9PX(!_g4JfIU1QwjBe< zz0kicdH6KPz_&~dDfQxrk(eUzWEzyRxYQNw~$+Y=r!vAS<zh6OLX~iaZ5i zz(`(X(DR+p$OwQaM{)p)m()lpf}<7Hku@GCxq%oiEmR=z!;IQ(OfU+ck9in!=}hDR zM>7@C$v^=w0dXLZ4va%f6cIJ)CsAAu9Pj&0+F&fZXO6ocs<))FOJMYSuQ+2p%m9m`We2g?!Vv#>Lbf+Q~G z9|{k&hDHge;@Zhy-y~WjWZZ}y$sP`^*i9wtfd@+1igfLjufZg%7^}c)0gY|m3lB9- z%nZO$Og5iru9i_WaJwIvsw1-%BNuKW*=Q3Y4FEEQvrJdZdKmOZp{5P3-REiB8#l z@jh|*^X+fav3yc0cL|_p?|-*s8>&7~m`|NaIe<_7`h9=->1iOX z+!8-@VU9*f^}?Ev3~GJ+Jn{dq_m#1cEnSjkw%g3i%*@Qp%x*I?w(T}EGcz-_nVFfH zxy|hLdpq#KzR|2Sqt!_J=T??fD%H)(JoiML$T%)v9ty&c?F{K?dWIA&Yf0B^HejX4<>%fqL!Q8|Qe7 zEx*HFs5_0i$Hb}!ZpNAWFlZym7A?G-%2HtY^DUabvyz%JxyVm`0Dt_rYCORkK?ObU zn<97U@V{@p2d!rPW;X}DQD?<7#sc`QoM5Z0m4;s>P;10k`4^5mqsp($D73=0{^5MV zFnXia%I~W4XAt&`8Mg@{HBtn z5~1q435WwnTxXN531*h)DgA2_=ECH{@&589h7Dr@t@0)AGc&6yx$@=tOlOfNzSC?s zI;FDihHZCVrQ9;|^13#6h(5($H6OC7Lr%IU$lw89N)<+kS+tVVW{R;nnc_DWzZQu- zG|s(x3g(|FYn`MeYn>Dc4V7O^Ns^)@D3WA1f7c?n*})dEQ1muXk*Z$S!M?b>S51`msG!jHaEt4Oy$k&TPis z=mLUx(UX3V-fm=Y$v8@#0luDs};WAa1^Z)8wJ*{^=1OSoiyMU0H+Rt%Lyrqf@3 z65OWcz$v^mg_HY=z3dj}Zn7$>22FC$1JS2@$jWTLDacbAC`wF`m45i&r{MxfpdhP0CG#hPhVm1+P(oA!7OLf!JOPzcKzy;5qe6$!knBeg*n<; zuN_mu^{EWDHB*^YjlewDkMzfQotug5>YR+8Fyush^34CtM)Suy|5o4rgjaSI7yTCq zgyV0;+|+)>bPuwJsRZpshVSmrg0WcX~Lm$ss@p zUVpERZvK>vVB{E%zKfFD=#;p??7bKo&9e_MW+C4V_V%oTK2LD4P@M;QoyebeEH|-u z4ra@O`Vk;=)-!fIaC9zo#aPeLq!K(MfhUzYTTyv<_h%ef~LTB-Dc>B9!5;^-Ns1kdXucMtb`=slc)xHQbrS{ zx^SBWCYlnMwh{z+_KZrRq-yF=@`w%=)Go)rOetziBof-K^eq_~%5- zjP$6IMA$19)TJs1IaQ^o?^c|tK{3M_hG1|rrMqGvM%*H?h6vIjOz>LS+Y%WDrhNx* zQaZo~^$qWpg&i%r7lTz2Uuskaz%s9tYpp_@a>5{mBr_?a%)Ghf2bwO+MNmAS)4wS< z2I^p|YDRX|jRdntyEg=H`1%TMVi||v&WWFTEG?KIAl*OLui!MM9E>}AP)HSVSwXKMa zPxH2w0k*K$=wei`UWcMCGwwJDvdpJOn@lU6A+&_f2;+3P*I1h;F(XVuvA}dzzqdU6 z?orOswGZ3!^zr28{sa6KoV{&(_R`=7Thg39Vxy<{mFUVK!P>J8^@-kTDaXhcxoc>d zEFaa+)cO|Q%;hE5j}umljirU#e3R4ezI#F-DC8qlNLDg4Rh=MT-(E~sPO8Ca%BHMa z@&h2N$7ScQ_Uqq@3;(+S^8X}y_sd7YdD|EoitPFG{rjk;@(lV| za4v^|hh8CCASM<$sz+mP@fUwsoJZtzS-#!XPz5dHrJ#1KBbLaTJ6+_6@5OJTv6i<* zocc(bH(-!m>`$>DO;tU|FV_heK z)=EAfM@HFDxyY-0Qej&2uk0sIRJjoYl`?pzRgj!Yslk0~v^N;C#bkOhsb;-p!)pezAJJk?&7<=c#YKM2uF7$XwI9R6%)73M}d_VEBz&M zS10A5(MsCT&CGf`YP0h6xH;dw+pnf`Ure&j)bZlx5uhJ2Tdawr&tg$*UI#c|SR-xg z{Fzm6=}a=QP(Bxb4s&cqR3AR3=dgT;BYl~V;X#O##jUO>R zrUm|8J7`C8Q-|=vArEt#g>R`0 zYCB~GZt>Mht--UBmD{A0=q^ay9|q*H*JXs{&E0P&lfb038bn=0n4%1O8jezkU9COA z*1fi>c(~>4bNzhoWgKnygG6hQihL&L{6zhc0Q1((&9-f)jTQf_E`1m9R8 zKXuQ#R(dTmz;h;em=603g#Zf$amTp~?No>AOe$N04iUzQy(xO&V>R`c_Gf$0hOa zfM|M|)fmkD9YT{aEvu?CMMFh?Ol{JfOOcJM03`yb93L z%0La8X(3Kh*%OM2wA|~nhj%!cvZCMMZ?&7{+3fd$WGm@pp~fX9b%`8)0C`5hY?R!$ z>~OP)_JpHJE~=`X>kJN(VzGf`7+z&3=)j7^>!*`TT0~E(OQ};(#RU$|BPzV|fxbcGGYon#^n4lOx1^cDl zw{mzrNu7{YC+MZ8<`UDVCXJFRN598Mu2LpJ=8Fnw5(4rjj8f!(eMEVJ@k!qT_85CFg-q{8z4RvtO@40cwh0e#wEo@6;74K@{`j{Yzv?+z=o|#s4?%z7u#++ zs!f04!Gr{t^0MNwIBNWdQXtDL6W&gf)&QDoENS^YBuhz|{nDV%+T~~gXLa66d zfw19Wvle1A&R^HXxzZw3S#lkOsxcGBDh_B4aiL0>wBL!?Lr{E<6Q#?EGWw>W?7B(f z;OJI-T~8+~y523IuaCeQIPJ71k}A!$+8*k?rAD!xraaJ^jGGy#&gav~EU*%Vj|b^G zJuI+TEE0Nj;rcDu7COByHpYg|YHWBm4kYhvNJG=8ELc+$Zc465bBVt-nqlsHBOSTQ zh#9P4hKdTyvWEMIZX%eId$j5^o7KFtf0HX#@-tksfpb-KQ*x&^ zcxg$cL6Hn*w0u<5-6Hx^&M%N85Ai3i>rV7h=~Fc_;stgGKiUM4N|nV*^|WAajdtqdHI-lR7F_TH+jkpGjnIFP}>c=Z8aM z(&)nsLFUU_OP>knBuD+YO_LZP=(DOb#?n?YrRRjBbKbrxq=r(1Y|+4j8J2`v?lLdM zRHVqXfHE>M#io?Uf;4{9l<#8;%2_ddpr{GM^W4}(zOd+XBn4Q_Ufj`fjJ8QT41&p@ zW#f$6qth3$nxZAZTHtCEgQc(lC=hGR?{FE4{wvV1Agn^8Lkke;0l{1+7DG^o6k+`@ zH4C#<(fk_3i@}w!UXzD8L~Y ziR8jqJWvXxk%SEcoFTN~F!+P`@4CHYPTvS%$mRQBdYs-*Qpdv+%< z_X@`JMfS;EpbQA7ThC|c6_irR`;B6V*Ntt4aa#3aoKMZ|g&#D9xRB2juo z)G^0Kq0D^`h@5!w8DSz&)Q_LpRnK#4V3$FGL_sj%W^hg#$v|-ejR_4p3LS!I4 zwFRm#?UD198H^wb1!EwB9f}ZhIL%?`f#Rt)j|Q%g!wi%LbAU*FNy&1Ab)LJe?f?cv zTPO_mJq;A~mY@12)_Pi~vq6#mlcVKKT`rjT(5~d%m$Mo7>?8&%z=YH7bF*|9eE}N4 zchsxdT_+CM2cvAMd)hPRz;TZ>SKoxYQYK` z-?y^oa&6%I%*|o^hC7BMHi$o*#M{IX6hZwP%CLM$V~40HSaaJb%{3*cbMW~oe?oO> zZ425vpy<&2dvxaFQZ|(6eIpO*i~dv?Yg_eG7Qsj8k=5BZP-{3c)ze!&$NP-?yjRG* z#xSjI8`EAS&9g_|&3DHg35d01D;=#5k@RvMZHbwr_Dsc_;J`$X;)%fW{px{P?wA3$ z2#kq6mc)@{?W-&x=wcPpXxAomD5PI&;~Tvr+=`+qqFjyDu=Pj4DBq`WxeB&PnLOlD zkVcM?S_m<`^FBzs($c^nYeph?4<%C}itmPEM@R7_g{SK^m$1 z!@=v<=&G=Y;g(!7ln9Rc7W6SIkwt(Z@)|_ks!In9afXokKw%s)#6U!D{P=mA;=Vj{ zygC~*XqZ;oH%=d&S?uln6jI#B+yguXGJNz*mzQ1#XXh+-B`nvH)K}Ju>wR6#A7-cu zn#>{!rwyNhjI0;anT#%+cgCX_ak-|+YstJ?SX6*0P|q(pLPaDbfwlNOF3B0zr=(Xw zrMfp;??Nr2n|S)F_fg_IWh5?YOqldj;-&Y&cs?u;Eg)u$sU}RD_bgcbF!b)M`ss}rRn@*&nP}RJ((VLXnF){U;@}<)lIFPb*rXVIq!|V zZ6wnx)hXr9=c6C;TbcfPmP%|)8N=(gzP-&s{n88{-`H{e)Bg&2{@?fS`Oj0{eTwzv(hvD@9~#_@ULMGD=YKgqnyltXR4>gwznHDd~_A? zec_WU;2*^y!;+B1-*_*xd?qiiB@2Xgv}o1ZtgFG~PLEpG*EhiL@4R&yTD8yuPk+Yo zO<5Q~_vAWYa>u`wsjKdpr05SnR zo)LzkTgfA6L#zxZxpAS%j%=_a<9vyeV|zGEXw2emmETZuYQlyl87KX=>d|phO8Ozk zOf{&0XT){9mkc3@$^k;atc)0PkqPK)yb{D&`6E!4#Wi^vu`@@6;X9xl)JDgNQ7WMb z=^{J``AY>!EMtJmf^jntyG8`?;Vm$z^rjwhNdD@3jVI9MViPx0#bZ+ zEM%~>>@7F_Nr;5!#MDxila|2j`S)wZIX8{9I&qU?0MN?9rEiG9F*h+T59w zn=PZM*IJA%iqG?6!bdvO+s-t8;4T>q7R;MDrqC4*{#29L8uueTo!F7qF5&&S2wM7e zKQvt~v=x*fq^XA{^aA}#Q9Jind+u*_U4OmE8UJaOcWCKEuQ#Fm;ivo)mqj4>fK3En zBd5uTO(ZjK!x{_!q|c2<<6B);r0bAfoZdq6N7ts5BBuEh!G)x(Z-i-oJ$HNZen+s{ zZ163UB>Be@bI?~}sEW{2F3M==?%c)0_xgyo@bPxOvhciur#Cu^SYBR^H#G$>O{Iz< zOP-u)?ltD=+tJFrFadn0z0sm4^K^gucK-SzN2LMX^djecpL|XwLvXzbk z1-4L9uY$!Z`AJ9NMf%keyGj9Y>tvv{#|3h0B`~16?3enQokVB5`l=W#N!~LzujI77 zx~Jy5jZ?2Cp~&~b>RiX~m|crsoiXAR2xTS}>$o%Vxs6Ut?J5EqC)J}aEkoj(sZ?yM z)hre8F4ZiHPI0t-x5_rxtE6>$)HJ%ZDy=(yZFPUs!E1VKODw^IcU-Py&P(;%f{xa) zkKHPkb6Fr1f<6%!;Ej=ria=EH?)}kVxV(~@+pnsLSY{2%9Og)jv6s zXeZGpFEW5(?t$|f&hD^eC#+|L`+oR-_?^n>ez)o-Ke6T!O9v388ks~?4aTMT+?gFR zAzQ6X|0&Uz&sx$`M;^)D;j1%-t#KS{TKy0mqAo+0GPNQCN;N_d*5oeuP7UOd$#n=; z0$|Kh%Moa5igdy-t(v9o53hRq!npo@^d%`r7TJt~kxSfZUNm0U<|p?yi_^&PqP8~g z1I=&gY|}+&8@5<@jJZ1i@tvMsIxZ#tEd9$d}j?Qf4hJGu7s4~#` z>A&0^H%QVo6a>`|5NJ(z2CUoH*!2p_5+F9>+q&8@boh%4AC((fmEYx<%n~7v$_*v0 zF{lElCk+#AG(w6xO+H)X$6Ie?1OaoO4)dW4bmkh>|Cbf&%3xh`234{c0PG@$pT1^F`#Z$)!6u zJQDJ`jEZHC$!#_D#nTTRZmRi)f>$*%5Q*7w&5K)=pk(w}Kp9Cwav*<1<-Da3?}HX< z2KTmD$6p^8RNWkcM*Vhf4W%+-DrIqub+0p}A?_+NL4uWdZVZ6b9Vl2MVc68SJfHvV zbK?T)-=Ook&c)$<%}B%x$eXEVFNs?#Zyo~%gqqkx#8e`+@)W&@v^POJ(E5G2W&KnzM7vuTscpEO08sS6QbOV&y+i?bF|{U zT6M~`di$>l$a%79#;{xLdeSp)gJkou(9?Ylv@1?BX}<$oPB#IJ$YUwV?Aqh+Jx*l_ z7f_SD6Uuu+Uf0S3;sZ@`{3bHr1a0$9;!_oy5fb{>Ae3kx?P=)UC*o_ouoIit%WF%M zQ0=5``S2|$x^b0OW8$_OLea~NQBXnm1Xxf z(TVvGtL=7UThyC7CP&hSm?(l&A|zN{F(&Qz2cWF`tYs_rIgjC5t2zqQqIir!!-J8L zrIy5W>jHRh(h8@=$Tn~aax^8q8w~H+%OzB1UBxt$o?v%GhWNvRIYyemqw&@xTMP;CA4`nCIu=mzYddz!Ry=D0^qj)*v}@cdOL_Auc=ev|Z+NE#;18%%liAJRyn#?hXnLthjDy%nHdl99 zf+tZ|dO$g&C-1u6Nm+_K(3zS<`*E`85IlB4el z|DMwol(NVt62aCEVm`qNoXOgMcZ!nsXj3w9R7O!V;~$n(Lhb1ZjCc4lprY9H_zG)* z=G8zo*;c=dVf2JCmw7SFO_?o>lI@D*eF~87;<8geLsU#Aza=vr628ERgZhy(ElI=n+xP^;-8NA9P z5x0OHZxwQ%Yf|8cQy`+}*s?6kF-P0-<8jHoAXH3>#$3JE9>2cly3iUKxt|WJ_V*O@ z8hMKSLCWwTo~jg+{b|5xL*)!9*#>E`Lt{0_STyvNa$6PK0qAZC(0{3s`GF6Xzgd)?I`JIIlOOlePJ5l`YU;t>TOFV z72012rF^_`jUE3u>J1wj<(cgIu_u4^Z50#>)HW3~sn} zjExVVoKng7p_r|o3PX2YpmN%YLA0i7mjD8vPmhQG z9OlNvaRJ@b&IOBu5h?8f=|fY*Wq|2nVCS<(-@uB(7$`gK}3*BbG*5Fa&D)^CG`9VG2a z&w+gMcGOiJxBH8ppq)fMf<<$gZP7o$^V#8v|Ib+~ z1O4BM@BgdCQGbsV*;!~=SpLsQk@3&U;2)Z%|0GgmWBF&KxWEsyAvVf4j;P*Z6n)fK z2?ZLkyzbjtJ)d`42Nb$BG}1nYRkA8sX@!G_=V>MOlkDC!tI9@BfafEPtkUL4BKM_Y ze=0sLrH8#d11!40XA(&fg!Vzh>a0g=Acx^;K|lc@*Ae{&UK*{J*5A2<$RlJqaG4+8 zb9Rnff)ofx83e7jh}cBdWpIBV#i#*v-2et5M`_Xju(E)fo{Nz(+=~1G)hwE^A3lE< zVlchGk%LgmsNCU!n8z~5n21q*D}_)P1ttZaE#kI&A(mg0XAuV090te}1)F^}X3$K( z+Ob0)7Y%9MD9AUEXfFvOlyLr3qjLIGV zR-G;#V+NkO1nNPUvr3# zHNilJFQnMG9&&4R5bw9A;+QpR4a7EdNx2 zLMk4H`Q@|gE?LS8tw5ZlXGC1Ziy_rXzg6$)#)%!?=Z zMiI{|3}#m)){7ALkl_@9EW1V2rAK*E#4drw#+mI{CmlI&ZGvj@`k{ds43GtWtRRD( zT^l51rrw3gbH}2R2r6p5SS`r4yuv_j2V6)Ze6g6mhKkMtjHNgxtxg*KqqWUZmci#du*7fA_z*7v%Ur0@IrXm8mZ?UO&z3?Gs1&BU0;TiAR-#1efw zU*7h+)%=PK96`Bco9^bDQ%%lz?0)UTWJ^I1QH&kVHZM2(>yc%`MEXEB7EU!qrX z--9>ti-^IU)&m3;&CNguUx}KMzz#g-E0GtRIX2UJz#~H&(xans3nKoFEyLDIN!hJI@ zCh}=u^_ZSJJeB{uTUO9IVBrc?-};73O<{3^C%wA|1ac390U^Wz?nv0z}kZ*gvi8Ne~(bo64F{)Glwk^vo|K)IsI#l<#9 z3Pl`~YnJ3iCE;PnUM#8%(yrBMW@(pSk45gN>yyp?YValP^it4I=zT>Ys-SHm222C8 zwN>FY+7mpd3CiiVVCL(3LP-@GU@Q*V4f77!BktYY@;qq`Ho0g5Sm4f&lR2j~O>bEi zY$6?aQS7zS@>C2VAfdIT;|iJh26z2s!n;&6hO8Z6>^bEL+`u~ z7wY}ya5i)k_b{!vFg^iU(z!qXYN!5_D)GNibM|)+N@f-|+Am2NBRvx>8`IyMO{b^* z>WI$x$ItlVLCM6z#Qf*@KcrL{7#aWJoa}|VmMsno{8z*A&!2co$`M1jTexl%$v}#+ z@^BE4Zv45B3Bvr)4cBKFTP_!s-jiAIoAL$3S?_NX%S^aa>UQC{%$_`QS3Dg~=Z6d2 zkPl8-=rvR5?;bs*C$WA)v?y)qdk~<>iuG&mf|VTa%}}&QCnJ8tyrQJ+G3RzXa;l61 ze0O4i60q?>zyMt9pw?`+PJq#HbcZMTLTNgCEZ|yubWiXWzsz%O07OnkHo?lo*1RheIcWU=FS6Jm%LtY24BEluYWjkS6N!MFdMeWNjg#gHQ&fbk)sjyn#XQL) ziYlUxvKNoGHE%H%yuobYG~YaTsS{(M$6|%bpU?12yxPy6@$KO z*2nfEZ?3UbgmDmlz>zWGtC8^ANgLQJ6f%@BnvT*!VGMPu!c@?G-X$iX3>yjj$zG37 zrC}D6M`72%srFXp@)a{^Ax0x6mX{|2nnCU*n*?lo3A^Wbv#ui)eSkY`Vkj=4Y)MR# zWe!EQJ5yUTsDHxAgl5x)&qMtJIg5`AvEcC#{CcN{@oW0y=Nl++BHH{YVGC^*6Ce!eQpMZJ#>lGy# z>o`Qkk=fYS-s$k%o_qn!%nKL?10c>O6O~d_eyqb9)-A~u3&g)Q8#<$?;K=2|7fqY1 z(NeF2kf_#gb*2tNilL);6#%d9E(=ivU{ANnlJP>>Xf+HP54mn!if}Wy7Q7G_r!Yt0c?)nT`L46Ac;2FyXtGTF^rhx8F9(e?Vre|g@%mY`6mR3+~S7Tmw8OUsTPZey57FN;VRA(&n<1! zJ8=9l9^=n$z}j>%xdt9qc~@ki z3JYrjS)k0&ycIORbXYKx54to?XJMG$i5NRO-@1n;6z#KxZqa-94{kwLbU(8kOz6jA zHt#R4e_ocJoAxA&gy|P>Wa(UaP;3|5%yw!j`LfyHXXP3E_Q55&8WPv+QnHr)MAv}N zRRxCuvfWL|3w?R8%U2pn5nHvFX*Tp%1S)R*T_$f{FRzl;bXg56{h?We5}o$eAN!u^ zbSS_|CKO*=ezml~JlfQ$mhi$L3Yw_D6S*I9g))G)qAvuJ;o!YB(n0m6^3^iajjW{Z=g{)63x>h z8~<*e*3R|i|6D`QBZq`|h~F(SSO|7>mPv)o#c6M6A5PXMlJWfAC4sbfC0N^3a-YxO zg+vDKdj87Xfk_>@Kt{P7Ym zHcW3SZH7tfRO@2~)zq)r_}*Rhxn;Xf+cTC>OYNN$IVibT%pt=(d$YF!h>1AfjED=O zsni?Wy?nTg{^k0^t{r6v>GCXbx@)@~FPt+2s|M5z^CeRXEWF~B^V&OD>~e<1z~ep~ z{lxZh8P$m!L6dS5U5NMzd%z=7DmuqxKse_IDmp<`TB(d>D;1ifU!yh>-&v+;B%p(D zW1ZO)?~hLS+ANS4($0pry>o`#M-o=3wTnh1xVI$u z^&qwDO3HvJQhwOkb%>`8MONNLT+U3X9f%ZCduj4lRt~N|qQaB(*d0WH6W~9Mu{c|EZHT$? zcx+5KFRIy7>wljb(wxYIV3Oiob!bqzo#eo?SZ=#emf(L@q|ajvQ#Zb?a$*s;hWT(8 zJwWgJphtpe%YmcoV74xpbMpk1P(+H;hNkxe;oR#=<+Z@BevAA~++UJJb>#w*7No0Q zJYQ@trA5R>p^RoH740!?Fx~~JRF5BdW%X9PWX82zdfhv3s$&|giJ|PZ#I(?5@-!-G zYi^4$bcwA=z}dJzhrJ+=u}fV^Lc@?u6}Hmh;sH;lfHyA?bshqWjZ-eSH1FroHhQ|0 zEKb=Zsxg}`abS&xv$trZxyVb#45wEHox=B~tZFmhT_Y13P`$A>5vQf;*)^M*THR$@ zxya2?Tc|)dWN%xEICaQh?x}ZZ(>$hzf3=PO?HqltKeDl}G>h?%!1EuQ zmasCh{{wGv!LwFnGNf=tG{JX{R=_|oR(`cXj&H!lDnJm*frJd{=8L2kmjS8I#SVCo z|0Hy}*xYD&(UBY-Z9hmPm8H~J_EpQPR?*RU%}k!k?s)Iys|=T+IG7uY&gPSPXz2ZM z9j&p4_&$=Wkw`SiK|{tgoPX`42i_Zd6)TNa<^Z$8_OH?40LK^9MI#s!#V zn)RlXfjU;+ohBQ$+<~i^$=FMwmX2%4JZPRIBq_GsT}??g^TKon1a*`;$@Tsc7+H-T5bcL+eJ!NZBhvtj3k|S4cQnQOaodUE#e;ptNpw~x zZS$SDrU6hMv<*dE%Ou2?<5FLr-?Y~POzKE+8&7}P*Rukh)aO*sK+UPLfPXwC1QgID zCM8_ZHb@bJ$+tnV(yNluVMJp0wWw>UfMVS~Ye_>(%oo27C&>l_Y-Lz*EhNsV8vg5m z=p|;@vwW(w5~rk}xZVc$v}UEuT=W5B2wy|2EN3~+1LB^hXN=(lyO;-XY(WCx5?c+k z{R(B7^lj*!pw`jq_ptim#i~*k{{$|=fV@lPNJ8d&Cb^|M#Fl=H-f^T~X8_1;g(-;v z`D3ymn|7hH25cnU0)!3Gje}tj!k1WG%mTGd4BxVitYeTTD`^SnBD?FIOlSdz)--z+ zLLCFFNyhE4t1j5QYib8MK_e!9J7%24(;lBw^^SM2cl*p0al&)<%4i;+wI$xaPpEq` zEoNC&$e`wVbh02gosSH|6sBL(eW>!Vzf1CX_{>rhv7@lIozC%4v7<1r2Vv@Y{fI_> z2-RpoyDD>dmrcxBv8SzmhI+`i*?10ms~mj@AM6hDx@1XFzGb>seyP?pY1P(~E<+F^ zL1m_5!wD6$!p)oGz^ffdvRfogHYz_bN^FoY&aB;$ev0*zeTfx)k%Dzs1bwO%ed!Ck zn@RQZDcE|^zS-?9N$Oh0Nv`N_#9+BQ$Vu{kDXXq%X(mvw1%_h{$$n$O$}l86&w0G4{lFm!YWQbP%W?r|m`KbBRH z`eD{C{}14|J##s|6=oP4PR0U2PPV%fUz0uK^AuwcS=^ZaBNDee)oxyG0q~M~uE}t$&T-BbF-|+Y|*&%7BDgnvgYd z8S8^GFS_ZP8||vjG*#&X8OH*rB1P9ci3?U^gV?lQAg7|zvS4LZ`X=kW=-d{`mp*b$ zRZlSP=sa{0&`Nxyb?aYw9x@7>)m1m<@>KEEG`*1-29v%^N$(T6x5Vy6bEfWsLz{F7 zuM4wkv@)Wosc#0ZhX6`F55R>_EllDJu^eCBK!CXE-;_5E@Nd&;+J7zfkW0w8nOdYN z_p=NgRzR^SZ{5GtuTN3ZBJ`)`;989)6e>`Pb#*IuL`963jYDmwYxAmG#(Gz*8O(AN2f5jl_;xFL8o#lAMfJ0<*S%C4@jOaaN@_&B zm5bAQrODFOiIaUNIP|Xfc>Pqw_2+syrTjbnXD)$?WM=6mc&q!%RWq0Woy&<%c@%6T ztxD}T3urj@%nunNl}eY6x8$-P$03H_#^DwQ>bN*g@0gc@8L&g^v&HWL^V@zpO<@0K zEW`0Br~@wJnf`SFQ^tbb_34eRZkNew9i^*I1MwjGCUl-GXH+#YkClpXJDyLn2evRi(4lsWN+Y92J zO9uGy*I1iJm}43-IBSi~Q+z*v66u32Y#7&7XDv)27^JfsJNmz`L@$ z#gF7wO%>`6otLUwTlSF*7HhXt@IW!wsxsQdvseSWZJ6Tvg{dS?)yx))wkYXzzH?`p zgv37b@OhOVeCHXRL3+uGeoZ2J6kAd`dUNOxrp1?}uQA*wdyznbGi;yjLRW}{P+Qp; z;n8@~5&OAp3|50}MZGppqD0r?LOX37a3&*k za$;dqyk*W8Kf2EHQnAh)+zHC_jU>CPmzl!(; zeMZbNMbgeY;*6Ae15z={GPZ11WEQyy#r)~`1B^j8-$~5sH7f{>?kJiPYLjbKlxAB9 zbf!ltN8WZvbTb<*CcfMexyB&?sVD*dlxBU{)=d{c$>zRzKUscn?_T_=*wYC>tZ;$d z0WRH5JHWCUVHK8*nq**#=fFZuyX#&Ou(Aw^8jD~uI=(2^(iJmxiNwE@33-d_$N*k8 zl{{WmVLry)ma~@tb218eYO5q&9lABWV)S!UdI@+rQ zmfY`})d2L)=?VVM#`k^@A;sN_UsZf>3wRh3p9*<|X%su3__!Qby+DH;D&c20(UG*o z=!!c{KmHCMfe<%EMd55r*3I_BR=8X8EHr~(d>3aPBK`K{$%<;asgQehTH$wz?S!M+ zNR8x@EMA=m!ep@&Mwlvrm=deQmgjXF8rKM`K_Lj%2o;ssY6F_ybt+nf1^AdKo07$i zEU3oFW=Zzb!S<% zS7A6Yi8fNtV}%mo0&Z~6!3yhC^%W0Qwr;Hhcw^c4QuAq{7awJHjd}#z4vNjPvGIFG zH2~{4_J`jeejLg#wGXPXOjaX{@s1C!dGl|61LF*uDu~qE?Zd5Soi2Q4;U!d!njX(W z&>zU>^JfH5hCs(pgij}r6;IT0Y#m2&iJr_IM2^bRUMi(QhEbB_(%Zd#N9{1$@rr|t z1%aDXDp4d<>eCEMAJ_Nue@6VxAM_}Xya5dXbl}Fm$kb9$A;;4UJZ}rkcac`drYx6pU51Q|0)`_?xhZf`<+%mlz;p(X$Rj+F1I839hKP zESe3H%DQ6Y?~oeUNattgkEphJ&Y(>LbT11(%S946k-VHHsK%7$pq!W@&4j)K$|F=6 zHX>R9VXGwELDS!UMrQZQC7}cmv5H}m&_!TnxvnIBhpfEt#)yRG?ZbrE9hX=&HWBcF z7oKY@HW3I1S3hUZ3gR!#ygW)5-LdhPDA;{$itOX5%U^gFddRWKpmsRNVnz9Ko)TGa zUS!-X7o4GOD|LE8R%O1bwmFz;tMJHFi(!i57JJ%6s;2!U$DPsU&(uFv|96`4#$IFz zJqQLT$_hp?#iR-!?*Y?6k1=mFDj3@^zCO!hSJ@&cQx&FPYpGzPc(AU?P4IOm*fTL! z*%a@R&Lw?#(74iTwjt%uBjj?bST*1pxDz< z5vaj(_I5dqGZG5DBJaiq{`8(h>ZmclM>Vf-hXbqdD+v3i*u7MhKyX<8WOe16aoRW;YwiSjjEB(Kwu|uL|CvB5uf9LOe1Lx#G*ktZXFjl zt7|YWuXH;oy4;zPYU_dm-KPi#qlc#nv0f2TpTDLN`a-_=V1yrJk}HXGVBs+U0khNZ zgb}*CWVhbJ|65;v6U|@M$1n8C5*VS6RnlA@z|L(kZ(Sx7T}rV8a1%TLaVo;5um+Y4 zoSSu)EISXI`Kh1PO)+8*yp2|6pyKWOk{vFU*EUQ$z51*|7-iYSBA9DGiFgPDxN6-z zpZqt`ANtz=i@m>$ifdcf0O1e_ga8482Mrp6y9Kut?yf-#4ek&icyRaN?(XjHP`JCh z_sZU9pS$$Qy=ILu|J*>Q!h%!rcx`lW=`Njofm=x7VVY1S~NnB>@egLr@q zV`vl`TwFUuz)vZz`iPP)KBeC+|1M0CBF#Pe8xi}dzv%gQta}-SCK^1Wr3OEFTGwPoJTK>mTPHHfU7RvhsG(U-Qcc2gdCN1Tz=7n z^Sy$C<;-j5*}vbdvE6RucGgTjhcg@-b`Q5@qYi>MGK*Ar!F+hl|Q?K(-T2JKE-ofGQ6jWPsj?{iM#q zv}sfmBG8E2b0R}u{-yy+$=dMEOZiprE@W1_c65c8y2@p^IszJAKd|*)2_hSl!f@_$ zw#%w~>oX7{Eq$5*33Zsc-Fyrs!`ew%dY3tg5X$fKCh_%m9sDme zlDrvr2^0sA!`Gtx#Cm8c<9t)fU+m`OV~3!($9W%Xe3y+krX~(5m-R+$!Xcf28z${&IIj3!bH4bR$B%ck4sTOEI-o z{xsXcS`Uf-G+xgYanzL52IojFk?G+iQ|NWH?^dS&;gY<&V*7R{KH25CM@^Oc1EcZM z!gOk!v32^xqfc1@#qFiA>~=7m-QXFv5!91IEHlkhwTSMHkgV^LNN%Yz!Idptw_OebUDQdnpwL<@qjQ8{SA}3Cn%j zO4pPnr|Cvcv8$YrE~><`hpf5^^Y&tuycOZ&UV8`6eoQo{kW8+(6E(;t3_0c#_FXho zT9$5hH0>JVHn`0WxJ|*{UTU@0%p;9*#%;!gI9GP7n#TYbPj!Zec+_yPBb@a6Bfg&_Y5i zE>t#BJS@Z-dk2eh%>2ppEhCf8SoQo~v*)lsPHztw1S({y0r zvJ~HjFL$Zzrt)zLIp!6-#J*>V$aiz0G*{y-#%>^#)2A;q%iV@6aC3Ov#|`Cj%v&9j zM8IoWtuH&(A@r>~Z74hUe1{W~>Ehe8xhz~Ko27Qkl;^v=SGW48^_IKoMe|vod#?cz zd=_b2FV#~(5Z-x)}%h?28e7QxhrTfo18Q)J^3gI2j zO>(@tQvEEN49`l4`S_G{WOUL|wdqe5hXyIox;5ib%hA>HU-6+#+llAP6(^U&ms*1# zeA!(p0~pF*CVcR^)CS?w=02qn=QI>v&1&ae${j-AF42|TxD32$f1n?7 zTws>|R^&t;0{=xt(#zCD4y<;EoMyFchr|rCKJa-SoQo9hL3FEV?%f(Z(r1&V96>QS z^7+Jye-B+aPo({3yN%En6XPKq%eAI7D!Q1LsvS68vD5ZK$K=#AB0EdxldZHIE+Sd% zh>x5d2m}>(%pJf+M9UA&(5(9@M@>>qI}cQI%vI7YFqch9%nlxL9Lnd%6GFPYQOl>xquoe z7<kReAQXP{NBBDt1l#Cu$s@4J_=Mc(vKjt_jSHe zhd|4%QOHhz=)hPGZhPWu@v8WqKX+&C_?czO-*jpLFR0haa3{rsB zP1H+0%FWhSlfhc$@DeFkHJ6a|SdZ$h{a5=sC4~<$&?@WZdqhTS%cTXuDAr&-QUB&l za@pe7#4iQN6Ufjkd05~^!6Q&-tW zr_s;2B_b@vqsSD|+84KinEhRA-s%Cv4(4%`ie&Vj&q-&;RV&S&r{pywu?eV}?$f%V z``~ZEvk<$&<#;Jzx4p^SLs4{^8C-U>YqS3fh{-8wPF6ecKCVq`xB}5tlK5o{G zEDQ|}Cz%{UGz#zAwiolNn1=PPouXr9(P;j2<-5#(xYOVp05hAsEN)4A^8saE_2bt^ z>NWAPJUbxf;9#XPEC092p1*ID^S{s8GBPmz#}3d0wX?Itv8 zJ#y?~(sQ*FBGqQ>(5ENQ#b8m(;hv1t5Eg{bD)#Oi1Zm&8VQeCdLud8?Wjx^&e6 z?h8J#ODIFUTqy=0T8rl6Z;`e!CIjAdsJa|4KYL+Pw>ZmLO=pAfhg?I(cjT1x`)!@0 zR+spgc3a&r@y_Wrz2*>K4~i&rsG>7bmiH@9^J^Jb_L2I%A}xKJF(XiJsoGM(^KE6% zK2T<%;CQyGp%sLwR+iKyC0+J(gC@{$p0SHSv+x6*esM(uKaBVKr@?o5&R<&f-x6hN zZNf~7+8htzL9OK97p3`M9b;j{Y1;p~GEYPC!Ysz$*SQKPhmt_uT45EJcpd0cI56kg zY7+AXb-zW&i?8cr8|}mkO4v%7=k(U?mwQLKgnfm-R4z=zqf!uN3}ij;G({z4f=a?p z7uMncZxL@imiaQjx_*h|4+pMYef!AI)Syw=Vc9({al&IhJq}iYCW| zWRo@ap1B}?p!0m$FsAl59C1TCmi-i#Lh(vSE!or<4HAp%OYI#Zi#iS=g1#g8j%57O z0kROxc+@NqEu5Ag?^uf*6#g0Y%%P$}mh%QXGd}uh<;P#O-Q7-Zam<<)eELDb zp4#`Cj)OK$c!sN7eTr4DygR~8sTTM)AR$#~bt#G)Z=|6x=E1YHfeA(Q{O@Az3Oxtk zx@bcG6#F%yHO=?cg0jApoEvy(u=K8aU~N&5YWgmGv?9aUZ})r&uj``)T*9anu~*Yd zwLt%>e=ddv5wS@Rgr+|d33X~!8N;bfULZLwmoS7Ir{_KS!?;GSZg$B8%S!07-pkQ9 zY+)ai}CAjI-^9-)O2;gHk^R*7;txl(>1dlbt03&#rJzn?&d%4t%+4F4mrG zA~?OAcjgnR#Wv5ABSC4u36%A6Ulvj{D0Gl5@bbP&@>gr~nHy141oM&CI*}vEbYw!A z=L%*)9jc5hw#u9&OA%Fmd5ivpQr(Bu@2j|pgOuFmJ5aR zF~&g9o&~jim-0SG(d%rkPz901ts$|Pcujx#D;hr)`5>tb8GNhFN~E<8&KC^+MU>99 zBb2e`x!(xD73JPB%@57LSTpP7srL#|kU|i}V7?$7Wb;@Os>Elzchvn#*KQ6Q{d}KUr#K6wfZO}xn zeUasdGG#ARA+F&TS5rSM?KReMRR_|B;-QMIZT_c-jKrc+)IP;byD_1!$OCs$KkH>q z-!4l1^7!=}X4F|w-k7cZe*1A%H-DhD=wNoif&qn_f6Ti@#{Uy|C8up=w+*+S)gs@t zxhDa3H%n}!7#q*m)kU?Kabu$U{6wNR2M+#LO&0FWEobR8T9_>`_qgvOYk;G@?~Ruj z9YR6j^bS8sL1W57H7sh;QB*WzYkn1~+yqUlh{?no*i0x$k2*1JriYg^Y4nj1#xIO_P~z4@c9L!Bu{X6}bF z?dMSuo^?VPtw~*lk?WOds|lY6X*H2Jb`bJR&=;v8Qmm|PU(c(cs|7PZW%;#%2}fZQ;-+HijxANyjN1OHf8V>2y17U@Hi&i z;LJs#e1mY^p#-F~T#F0@79QE0@Bo=;5I!_F$qzzQhjHwNa(ze(IOSZ1o`=L%gmHWx&AIMcXUI{gJryMRexWYnsM=7K#bia%F=n3OR`l;Ti&))sZ}`4 zua{t-2fpu4%hjg%{-7E&!o5V5WGGD^HzLZCEB(mw`ZBPQ$RnX9R>#}_4X6CKGl>3g zTLb*>#VY@C7?XFe@wLA1hJ*f3F2*X8MoSCsit- zP<1HO~OpwDUhMF_Z00_rL7^y zm9`)#vg_L12INAF33qLkN4$7ugYUkEPKoPmlwX|A8PKAX(kQXubx0f$ST0_q6QW|U zeeUsxjteBvvl40&^Cz{Di28<{T<2(Flj~r@R}%q`#ez#7^l~<^o;h1Uu?MD5tCySz z-)#$;73)FXyz`yzkCCt3-X-g`Dp);={G@dZbU$3a#HW4z(zNRE%V1Z=d#vsoV5`3Hq|P;CXmcMP;M?kCVfbE~)4y!q>)WjN=f!mslv;n`>YD z)Lal&2}}lE%y-FqR6J!7qQmpsV5TEV0$){l?sW*_7R}~88#aA4(0Ljz{B`;MmuNO- zJPS|NxV|gu1I{cSqeRpCCY6UC=bcwmXQF@c5)-$hIjolH=`wN`JHIQk8>`#rKK&M_ zSt>NaQf4CUcFwTbOiG*}^&B`fp7(md>DQ^B3=g$Os{E)#co8#XWpD%u4XQSNzI*+- zQ&i={W}|WRPX!R@qP9)Phd9hJ1aXo49>0+>3-aJ4BFVj~#D z<(ep>yEjDO7j4ga4314JrZ8p}{vb0FRWKPB`F57;l51hy zS?3(dYPHz&qqTm_gtmUnE3f|4geT;lk*D~bTme=)cjn+4zq4qgxQWCt1x=4tjgCe# zQj&Z%2IHVlBfGD>=EP4be)|zE(sJi_qXy-Ab7Z?I2N9%7cG~dQqfX3`RuWp_4j(b| zU!kdp)_>Zgn_h&Jex?=itJc|x#HoThEvtq%!Hx) z!7}*Q=B{*ZxszV?(>e4$+k{uB@vZf#IhExyhJ$&)u0$BRjh**?x76pXnfF#NRucHT z{EC~x{F`YVG_hAx4mtM*YcaTJ-J`O&&h92RMMPH9p_@yl352gcK4B65d@DrKSxQ0O zbLI!> z+s;8<&d5T_z`J3Zni}D^I#&0*_Z;QpPY%yd@LyfWJN~!&^M7u;PzHLY|J*KAW$|~P z?1A;`*)voQ%4*|OkW>cSxQuL;UWf9%4ssU(A_>D!=BtD%mFI^?sTkC`cU*8^58&d7 zrGdWrtobI3I!fUIA#F+k9xIud)N6_{!m*wzhoFc$!zEO}r85 z(8mlVHXU6`5cDJ&IjVOx1=7*k3$1Feb}6&AuMfUKT8k;!84!jg+nm7ikS4``n5-mi zW&*tdy_`vxmQ<2KO7Ai?X3rU#Zf9KvTivlv_kJxJ>-mo#K_&VbAokd5S$Fn!+3TaP z-;}s^ZQIM<)6baiXap(P8=sAnF(3YFa|)$7FEIbI7gv6UB(PJ#i>pjC~~;jBbJ?s z_I#G=SK+DJmPpqa-7gA-ElL{9CE-dN6pH^G17nuOu=yb$HPZl_eo4A~W3ip-GO)l) zn=p2tYrSiPt1Nb!xN_z7i@+c9<(C4Y;KT2$szSSNif`8tYB7BXecomCZ1&=*d|ZZr zX2(*R9>T&#XVJM-y;M6|<--T`I0Pq?ULyA*Q=ZgW>AjIQUF^yOHzscGLG@7c<|Av? z*2l%nPO!eJaeBqRJmoausJ@YSk53?-gKDwyi8dfn6=be50H&{`%FSxnK`|8dLsLN@d(5(}JQuG2b&JyOT~OM`JY9|q^I8aJV5(RlEI$KUk1EL%87irF^zfjB|tuiy6G?xOW?db zF_}CxEf`*oBCW^SIrOZ{OMGLk&p9eLS7CKE_c?U$@ccD$*YSlW)LUovoN#BH=~^Ec zyygj54@lgi(q--#>=cdD zAemVUoKhR$u9Jo`cePy!Dux(4tHMQ;mQu7M`iG#4S&5-F%~Etb=*dZX&7XZC<7B4%ij(V{jYOF|N9}K?EjYz31whmVW9ty=hhAu;cd|sn|n^V*4b+Zb2HZ4 ziC18~yk5h+F7E}-9F9Tt{Om!drI6nF-As_#8cIrKL>cI8xcNiEl z%s({I1z!G;Ikv}QPS*M~wOCVck=2|!L6IY8cduPjzo+zU{AtP;>cxu}t*9@7-{0lZ zG7l{#;efaOXc4Ty%L>e&FFzbX^IZk1z$Y(4%i}AP5pRHZd`^Pnu)u2)-~adW|1uS{ zb|&J#sQLnV@gmN#0{Ryw2=~PcG)wyzlf+=?7dmT0Z@;UNn!k7<(KrVs6O@Vc;(Gua z*9(*IN~jm~r-pERG{3!ueCgmtt}x&l|IZxkdyW6NvECxNVTGf4J8q7gAFDxifEJ8T z`t_>?Vn8!1ltre2rhKYMveA&#+zmox z$F^u2Ij_m50qE@nGdZ%z!hDT|1iU{1n^&OKbXRRYzi{;GD+!py3is!NY@*RJC1H2y z1frhkfs%{z`vOtyZe-L7WRL6aHtCcA3$1eJ$yJsNH1(Mnho6^`KPBaZ3AI_>9s6#c zx>`%LgDIbE9S>+X={`=mucK#tE7LvToz~HlTG)onGOy>}orTg6gB)bxw5jTu_3UCg;D3virQ(SUN_%`ILnuIY|#q!X!71i*WfWlPO1vjgwn>%OW&OO}H64 z`gslK-K?`2sYu>BvhAK?rWuF0JA-*pfm+Ln)mG{C*Q@8XAi9`CE~&c`GmnXV+|Bh& z^_&3?#n9p!LweZQ(@&ktm7I2acyoad9zFAwut@JoC$}xPLf?G89mKUvY1+M^McdRr z?xyH513wjHp0(E0H=RBnH%PrP16Pqy(NNC5Q?UnMqrnYgIJD5#OJZvFM3=>n#tru= z)v%r>gjZgIz0u7V>sd~!$MYiR@%hb2&1a*yt~s2rlcui1{ckH;=wi-slQi~Te(M}Gz5h&U zbaZDQom8yd;vWBshPB9Qy?aZklAX-@=10Gwp&|L?Hl!!^4(>}NmoKb4cOz5!Tuu-c zoWM<|9#$~(fIH)Cxr~%Yvzcd-RtwEpIi_ROKO`SYWTSh~gsuMo?#MQ2^K7J;PM_cm zVc#qUuiEGj?9E)fkxGiRQp@wsQ7Te1AU_m$$BGMyN02`LyjSR6F}N!d{GQBKf4WGW zt^ToP$xU?F_4)C6mfE-sESbm}EpBS(qV%LeUuZfgX}Z+tB;XbkJa0Sj_OX7ip^?vW zy9sm5?65fEYMlq-bTgaebZ*!m!)1@&yE`V$?P!|?hqP{VZ|av~D^*=z6)^KNvq%~@ zb7#rrm<+uCGZV?>YCv!0$NQl}=Eu8bPjN;i3q%dO&#v7Ot!))9n#Q|iu^MuQ<1uI+ zxr_OO82FE_>@)P$uqB{jq=zo;KI@L&pt)wPCg-sN_v#TA>#cekPt%G42}s>>vl$?M z0y9G@t&|07+-wD-^MqQp8BWsExK!%3#WRT2-F>ahqbmxg0Lg8qxm*i(J;pcZhtgdv z#He>y`6$;V1qH+BrlsJ?7<)Nd6$hV>cw z{Lp3NIqzVW3^wyv?B$AqeYQV^M?F>|Id0;)C~&f}yZ98+H74jOE_CF!lQz2#;h-w5 zCptf0Me`2*hHQUKU_&)nAXz=wa(xombJcVo>P2?l;;NUm!t~yUt<(`8qGj6%M7O?v zoOla6ii&MlUyq3Vj%txwRr&I^Wy_-yZGRu79a3Y`M2R+Ukd&CI_7P2D)l(1EDn828 zJ^u2xn|XgxLsvZDZb|WW9aPgo9rWCH z+y>Lkt#`AwQ&B7@+P$6E8@l^<6-#)(c8Wn*?~^pfpZ2omq4x`%#f^tM2oJCuFJ`kA zuSZlq#d_3#^A$$jk%*G=t}HV~D~V6OZdUj+jfG$-83EBOi~bolAw_$y7)v?$E_b5R zj(ck(IckibcCmr&o9<;2)=_Y{q0j8aY2sD|Z3FeycK524f)sPh4tkIs1}5y&=ouJ|8NPL?K_lM)8lRI5?g`o!)*9o;sW8Ib! z+?oes+>sOx4znd)j_s$O)Zpu=ENNI;U({_Q!pcJG#Lts8B|{c`Y^b6{=7sZ}zf zmLHKNg1GlU+0ar+4qy-9TynjML8Kovq6K%iK^M2#Wtek!2qqGB#8o%) za#RpOBFgPz5EADlG7s4|!BGE9{2kpAc+}JlQiu^wk_X}RlMj?*aTv3qG8ge|B3N#|STmWK||YGeN>?0|*BE4e!tGifxrNKY0Swh_W@ zu6bgwNHy_5lISCIXRzUI%N((F{HPnnH zmL~{SLOz7;Db|alU1R$uI>q~)B-h()k%wH!Y`3;I(c*-b7fU3ubnc}`jh-ypE%yuf z1(?Fu&yDB974GlydsF~qvujx0~!^Pi2uRl3xDNUoHF z8y>K%&*cNOLB({Pd8?rLMx_P!)YQatAG76}klBiFtzJ;EaBnp2L+f@1YElv%*TYTC z-nzDoYYYUA%@h%g-L**9I9{(h+rUkJeERV1wkFptN8`dI6yeP>?#0+>!OKIbrtUSn z?_2*!_6HPI%YjFNm*-qj;g$Qg$UOHB0#SEYXC#UC zcSu&Ohlq6&Qj>KDF*|qbF_!T5$GsTjNQ@TJxg+B~O@{qBgkXjJrHG9420Is(VRjX~ zIQP4;)3z=QFB%WR{96dF=f1?-0jX(^o7sdt-bRM4gcVdwa2V^@Ihxpa%*#lrh{`ex z@5$5ly}{u*@;l{P;^?Md_Q>v*FvW@~&2uV>&QBglBwNb!*lk>*CF~j|A=JcFy?&SG z0c%AAueG`#?MlylR}Rx!ke=$vXM-KHVq!a0F)J^P+b-L@_Prb$SWC)on4hxy%2Qz| zs&TqS%ib4qs0l$Zs7+f&$mgCm34_V*#fPF2TQ+vIZ3z&U(p&^jv>9-{4Q#yK-qtM8 zYu)y#$m!RSJ9_>dBm5Q^D80Dnf;~rz4ZM#R6C4~ITS(jjL2|%JKf?n9k`c*0a6sy*tY7fy3WvWy2-alAVOMB4DbyDsou(q=(>X|T zJqQH=@b(L;5)>%ymjMHs=aQR4IN;}F1GO|U zCan@4w>&q0UfG{2*tQo%zu%12Mps%-`t|4Fo@Z&9nL=;-WHUrA59VwxcE$pDl2;L* zbJeQ$+IPyDW^zr6nGo+^XE^sDw8^H*XZ4ulI2WLq=F(^W0$E!SY;DQbW z$7%*VBb6Sm@U^<_o3D0sVkx)f(q|^{@obqOi2uKCf|%n@Bm@tP_lVY;N%tO}E;n8K z`*l?4z!zUcoUi1ENlAEQuQ(`ne*QVI&EWL}0A)3;x-jy6KL8?Mbzy1$Xt!Uiw`*d@ z>kh`HXjrVX*#=K!Q&R49VYTu8dAFZM-!_e9h}uYIE1y7|+Y?w!*SauDWaiC7n_u(r zk6)ogu&!`OZ9w@}*f^Rud1W4IumFwxpC6N&Tdr1JzKN)|nF45mKeVt7SkGr>n(7N= zSkW8c1%5k0N(L$N#^&ZF5EEVqv?y799D;stX+D0GnNOs8aAc9Zy}bo= zfg#%B{Vs1sVHbncd^?|?=Feam0dXQL~BkY&IW0Ddv-ofqnqu{Bu~+ zSUCN`VvRcMcoq_L3=Gt2`OduYf8JcA5P|V{W`LbuP?q5=fR{@i35fA>#7c<3T*^oQ z4Z{5+6Uk93-HC{TLbRHinz~Y+iTs=~VyZxS{+LvNUD5d!Xui$_U{3yU51V&G(B*SL zFqlpM%n^^JMZDcOsdxI1f9Z!Fh@q*N7&OG?nHJ#?8MiNf50Ei`IDXQPGd`doTvqFEn@E6=waf_C{@0!Ha#g3;p$_<>bCBy$tG(;b!1-ms&j8wX~ z@OHe@n1-61T!ah-YWsh4ISm4p zX4g95*%0M?)0txHaKya;`*$CbKK)00g0?*k24ebhl3b4JfQUFX@im*T9%^)IH;C1& z28vv!3xZU%7XRPPn8;oOB`%Vy^KP+sa4<^RY`ReO1_{(I-ggTqG}@-#;g~>;(|V&9 zopo^r@Ll1XUO6s>i3Ja&0)84GTZAZFy>vnO;(r;2%G&&zBwcD;kDiW>j*4nP;w6yv zzitmFZwlo|B}=id!q^z5^0={DF5#K97>^NioTmrTa(-Lkvo^h80;CEb?JZ~00YSdK z2F+8<{8tOs5y>Yz*=MOSqh_b_LsyT!Bu3P zRGA~4T3kKPWH^|sT9MuE6%dg)Q3;j@2Bqvp5MSb77(0Q$ld|4Vwei0VAKEV1n*o8b}O=fZrZ&7b6c zNd152kWW3J2ECq;@_Aul;rur#?svPK6o&v~6O7L_y;e7D`(`wXyrQ7@a}TI zU{8W0;~y7iwb|{DrkcV8JR>LHtIzINZ7uuOIG`CGF0T2tx{ynRQQ5$rMj26%2k~7@ z|3ptH;c}_2pkgwm(SI}HQsfm?Nn?6u!eEVIo5PZmD5#Az4&OhvvL^(8K3A3`Xq$7I zj!%@5;Cxz|Ef%8c;|p>D?1%K8S!OEU+86LXQs(jlM3o3uJUOk%D^F;+K@CWisWREC zAxrhhyX)hUOr3Wdkdnr;en%`l05Z;hWplDXeo09T?qPDiFfJwt@cXw5_nVHVaM)x+ zo{^mot7ZmG0Nz4bjvn6n5GdJFjGPf zYkdHteWe{58KD3}e;_?{1Qd%AUCGuf@2`8n{8JlIuSWO540|4FJx5`BP4;hD#J)x+ z-PGfH(P6&I)M$)v>(CK+>ICaotZ)#f-O++7!8uSt#OwWKC=_JIuJWWIiaxbM{y$gN z-+V}TJtpHHi%c&1Pl%`#l?@#RHCWTalR z<<;&4fKnaTnGWGFA5ShkJls|X1k2Ahkh*_Z2A63QUTuRqQq>QIrG6IL5`*vIK=X@U zpu)v;>AQSr}Tfabk;Yal_)c&hLp{(TwEr-ddLhe6m- z-X}*95#KHwsMIgdim|80ad3r^SIyF?$P$}P`Tz5EXg%C7#zYx#Ra~8de+!ue5d0?A zgQjtCK<{otxQ!rNCcKhLX@vY7<`T-M{ZAp6iZvVZSJ+D%-8W*C%iIi$d|!lF^zD1?nClUMWT*mM|dj$@_=v!?~!$Rk@#p}WisQ{Ho1sm9$?>n z6Hwf%IRE&0#(XjRHy@CaqPtX=Nji7;@{)LM^x(pH+`Zx&QrVn z=*NR^b$IhO=d*1<81LrAk_WFDb~Vq_8xJ=xPc@!Bcv)qCPEo?xH&$gRQ$FmPyV-c^ zE+9}q*OBo;t<~VaTrOsf!E#%2?n&Ks?@{(+_Y_HyU*Ovep_;z6>fN;?W#Rq870O~? zb#B{mo(A~oKOvaA7({qkYCqoYU_DEIb4Y`h4qY544HNF#$S8Y8@E9+Pt zvliIPTy-4QUxD(-Fs~2%7#XR5#ySa@jI917c9$W8qa1qBTenrqG`x2!r}e%e6Z!XT zMnA2HZ~hgkKX@bT`?A>}iO_jtE1p5sgG(l6h~)aWOh$8x znKTri7U;kB5fpSUV|Rm+Mb$0yct9@0NgNFGy_uSI)&@a*J3zN(1J{_%S-1ayXPDpE-tIX_ z{2h19KoLbc=d&F@a?oAzc(}W^sB>9uJ+xfbTavgxXYjDe^R^f_VrxtAC7}XZwdWJi z_}dB!NAt_HjL?V`l1z5TWV^Xni;@taEjgGi(;H+3`nk+Mg|p*5yjnwE?A+yst{HpM zbCCT&MK7wc`7a0m_Z`<{y49f!{V>MZACvR%7MLt|x$NzevV(L(08o?=9}gar0J7}J zD_4O?JuM)#0fz6XQAs^@5ytdiX`#as#C4+_e%XFBjO9FunqG~vjc{tj^{T}xe?UpV zRJ-{;Zv6_vrUp?nKW%@nl?~hMiOaO{i6CfEF{!Rw&0ICo=Lbm)3 zWb-11rmSU#k&Em8ZofoY89*xGmFoeQSm8M}i0;|3*J{Xm%dT$q3GVJo_Ld+1)l$g= zLZgCQ4k1+xi2y`k-HM%gv8lfIY>;H@Bc!}hyp#EuY~`r7q&wHK{Pd`3CB@4zw*T`D zN%4KgU-1Ogp)wO0@vusHsyL}tQ_H2s+s*(qJ=XgXkEivq$5$UWc64gayYXd3Q)O|I zD+zP$&H&mG@rwQg|G@fdZ%&KW$*4vw=MMRQWuJ%GN{@nS9ZsK;CIH3(g(!HAnvQNH z;~qiApv~)59))=op}{`Wr;Ny}WRB$kF0E+pLZXBx?r$aXW#H~1VJZTL0zcZ{4yg8b zRJ~e}`RD{1^zFlv$T_KU*qiK2XR;mxO33&)C7&qJZH#RcZU$tUM{qxM(Z>+H6^W%v zeU~nLcfZB?TQ)Fz`8$E}E94fkL-s4|ZqBS%_^8cSZQgL|w%KCQpV*ch_0%|D?eyNI zrtf9~Q5IWS;_6<JE^i9PyxAY*@vC?arT0{6q!b5dd}AzK}>b55a38L`$n z+p?=XD>l8(_w&b>l|U&@^>~^)4B3f;>z9CdnAl(E?>upY^f1kk)5b zW(1CyeiC@IO-zr0Pdu$LBeF(+A?z1k}Vv?sg|F_j}|=^-dc250ER^8VQZ%vkBsC`CTTsII02 zDoj@~wCdG^uE$5q%T=My#H^@5>UWe6Zl~AT2|J--{KPGW8_~+OC2b- zMeJn-K(RgDp6`sW392|k0hSPaTB7-60Oy`^<#3v~uNU*iP3&rz>zIA{be5PkN5JO| z5d9_-*^<^%gEBM|RfDsP;DJW`@K%FUfTue+FpD2Iuni9m3Jg>*gIg*53jubVjY|Fn z0JA;;Ai^9Q*ZX;u;yJ#F<)#8&UYinn- z++S^MZ2{Eo%+H0qhgj}kZ$MlG5v=`Ce=t}v+7VYH&*isK5sgWXK>%^*blQcLvs92N zeBc9c3@NtbpskC;nq}HpfKM6^c8_M%ZHGZ^>~;Z?uf_GNW|8p_zsz9k?|<+#o4-rr zl1F-;uB+ah>taODdv&wjbm1W9tAo5s?|^_Ebt@~Yn+q= z@ETFh<4VFH5A;AONNR}1_2x#QrS?&^J7fqqd--gUYH_o~I$NC^rXQWBeDduS^R;#d zkOyx_z`SMD+WrXAXkR7nBasUo7wCDAmp~2lH|G`U2BAav-){3ft?ZYegQj~5lvGsM zU9JvnFS&hpnozj6DCe6v?R*S<`^9spq9t~$W1hz&;D;z*P;@k zuMIexa@a3pTDcR1)N7nyM}hu@RBuSZxNW#9icKCNC>Vnt^@ap)c$fD!$1dl7Dj1)Q z0L!tMOw#8_bM9t_;B$o#@-8(>Y9|~=oBJMmd3o6uqtnB45332Zd~Tg0`3UzLyUYGb zVSaFptdy+t9dlVCA2YXJu}NgIKzcY}RUPiL%kqE^T*BLbWHjiHf+KL`BR`C**&XQZ zrMH{_&_g+mdNupxcD7`q^Tmqi4A^=F90!*kc~z-C;$E=q6GV`Eek_Vh{k%+mc6!ut zY3-c4t%U5!_+Rw2LIF*Ne=+Bm9PqSNKUh}%-vXs>hnPG;zlJEbA> z%Y+L`{?l}>b-asic4v@E^eNi6=Uq zb>e*@Xwl||M6x8ejYPe^g*z=;ST{K=dl-=E=5g3+de-VTgYI@B(-v`G)8Zz*RLEsb zVQ2qWMgK44V-CzrWAx>Iwp!~PPUcDku`Fmm-_4j7*JT59(i1t-&wB+WKQj_Z(C$-y zEi5eLq&SNWKb&+Rn@;6pIDb`An!Y(*Pkv(NN+@moDMULoaK7!6`c2gM#v_4ALrUBA zc)nu>#&D@lr@@#>Ov<(5hJ#DGMn%mc!hS^xqImBgbcFDEc%Kd^1r~*F3rH51qr$&& zG|9i9Rh68a9HV}3SV3|9UX;94!2PqjZUUPntw(>ari@If&9>CG#k5fm;CR$pCjg`M z6PJxP`O$O4Cw1s3@zS7k(6gkZid_RKmCU?@9i?D($@W5o>F*cdCf(ZVjb}ZETKmoJ zt2C|vJUtnhB>8K~(3+(B=f$t!IuHcOr&i6s1=b@WR7pUiSki9-^xOCUO$B#~L#UJr zzjzpZEq83&Ob3|BtO}-+x^bflZ4$%xA~+sgI@`R_W`Sx|17F>68?c{)8MX={wv~@P z+!e!TTiAcNG3pwSMYno}@RXO5Pd8uZ*G5P@#yHNJJKIgJJzDsk2@2M`_LS{s(wadoLqm(B zrt<}tk-CxNd(f^vK$s6FGZ}5gvV+cOyhmOG&3);4F4=a_@N#$C_4dx#&9KrA>ZbKuxhwy8wWu7BQ^6BoowlPzhq*-LQnOQ!Ci5mD zrFn2fWnqcH(1a2M)`;hPhT|y@7C2+bPmltT(^&MKUc0KKUDe%S?I##xl#aeLV2*?U zBncTlZW|rueSWAl?fW{JE1Q#KEw(pt(csYOj~c6KuZky(^F0&EmENhBVGvsNSww&L z?Uco&2ItBf&Xax;(U!Y|7ClO&Rx01fO@xSQ?udlSsiRcU6iz#n1s{D`dHD>}L|%{P zbMW5LVwGtuPZO}n?M7?>A%gX!ZR0}^J+i(yB(a>PFfjf7cRp;X7`iWzt1qtO{|9+* z9!>QY{*P-^X_BInp@=dzA#m1_bdS~xO zynJnhAm1eJg*fhY1*4TB?NaNkx@QCVMIkg0nL@pQga;;tnuwVyB^K*sO#*7l3p>tJ~Ux%u_!+!6Bfh#8i^2` zyB76g$Ca~2Zh5rt1N+eHpb8Kin%9_-QU{rlBJi#l?yx!ECH67|5j#~f4oSo=mG(Ud z+GD#AVe(~UAwHPTorh|rpWs^Q?MI^XWwr-_Ez+dWNk~X?vw24}J<9Lpf;*pxntYdblCidBVvWi#C%mh7o5#NG|?8Gs>SMp(>=z!{9OgqG;cFWw& z^5d0wNL(by3oisXeUxix0M4|M$AhQ^i#jd17J~M}wINjcGh_-6-jiSPN0F~0hRQ`9 zomJ{$*PST!6tt#|sPZ5F#qhL38bwbnHaFJChkiito=YqLQqEUlqXcIS6&0Hr&b*22 z1`(-lAJZ+q+?Xr3T+f*`d04;JFf1rdPKOV$@1b-=ejIFKc~ZH}<>Y?6KV~}&qq^;e zBZyO_&h{ro`kwEaEF4dVXt>b0N)ao;IxsL0icud!v=(QcXfxirdS{p0j-%|a?HI>( zAL^zkH#t$63B<1y#<(~f)4sf3e9zc}E{fMh@E5Q8V9s`j^IL(5s6Iv8wtB|9*Z6P{ zW<2D&#RCY=+3$d)S;<1HW=isOUi3|R7}W%qK4+6{;As=#D!J%c$ilmf+23_JoI}?K zLvTSO3x;Zf4NE*?K|rt8l2x@z;p7sioQj*%4xPM2CVF?j;w3mi{-~B1awl1b@Wt;h z_;T5dQ9~GZ0k#Drj-f9ezeq$nm{NFZdx(Kv9UQM>jSAm{h!Ct-Kzsb1b_QuHY0U|* zFZu8%9U2sl38+O2N6TN0VKTErBFDl9CD-Sk@a~a(1EXoLJ_@00aUVC#r+t45hWvhN z0Vl(a1V>?2NgB2djBcp5Fq(d?MbB!$AU58b_W6jiSRx#PfXq^@CPKV^=NLEE;OoGM z@dH;FFCwFA_DZG{{^&7g0vfVMn&6a-JC@O-s69E15}I^5x@>zLmtCYUv3DSd?GL?W zMH5)k6_@*gtZrz!9<%$@eyDSCS5V+KxfTx!yuas%5*D}*F8W1bn?Z86v(I<4637{z zO}~HW^#*$&p0vH&$LSS1;u281P@Pi)(++uv`MmnGMhP{my8^+Yp8-2RhI{WL#1mY} zR0+{bX!cuv%Kf*b-Sk5}#k#0V;rCljmytv4UnpI3I0(}e7!W`zJ|6XT7^rwN6SO!P^-G|OVmXBWg{H( za8k=e!^@q`pD!6rK`sTNc@}DA$N#}({^$=M?tk++dzqT?yivj#%Wr3eC|oOiBFRl% zc@bwFv$mKgg1JyOE-r3{`e z3!0r(%)&?7_agnoRv(?+Qa}hFjH^*=YR5=p>|pv%KZHGf<{>=utNAK1TrRmE%5Q|1 zRMWBby>{8~>mNUSV4P%Q3_%a?==>n7C>6!QjC5c$V%_hDy7cACm+%_bd)KDNKdnh2 zPfk&~&^-1(KI*TJQf5joo#>m_td}p0cSdY)Z4Ko?ZxS=DMrSLA7xsSxSp^>Z@#9QX`NN@Hzt!z!t z;lTTQ|1+cyCOf@gRH~40?{tgHZ3Z=>`PkmQBRChiKA$G-l1xZ$BXfBHDycT4V{ zC}7wvA=}tCWf51|eUFai0yE(eMB+ZY4<@%fYgF@Mi+m-zn`#9#s>`x?2DXJrMT$Us z&7>6@2J<$@L1AFQ1u$$B>aXgk~T4SGi8#tVJZcgk^E(8KGh++ zQj|K#q4y9X4CeRi-M;!7O{#|hY*(JlSbBH+8m`A+)-w!OTvF&J!oPf`%yGxMtkT;>id-d-C@V z9u;Or3P#H+G7B1+bW7H6Agwaa>re6$C~UgJ7Yv0;CTzXAn^-qWxTJjVOJw=ye$Uz^ zzD2g*FJ3Tmj%#kSDV4`cC)G(K6^s+C$~vg%?J@E)QP>@Ve=J%Gj}i+*OWnbl$ygs$ zkc_F9*dw9sUPu`s)$E$+&>bd{E?8bUckt396I@RSQTm0m1{p%|($Arr^I1hTmlOZB zU1O`x&0&j^@}k8rL$z+pawn`i%ad!(MD;G%_61_5zF;J|Nk{aNKCWc(XyL|_(~Yk; z@^}u3#w?0E+jr}s8yGU9zA@Qs@8Yh4?cD*I`m821sWM6DP zy6A_xPvV(vWpHkKC(`enV^*z~amB*bu0yrw*!>q9v70CTH%2s;SVNq&ZC9_yFg>DA zas^TQK!;wUVf}2s*4U7qE1HwyFF8ljm4g1cBBgCVj@S!-T${Mau((b%Ty3H-NS&OU zmtIf$&SdjX`L}HbX7L&guPTrD3ix1lJ%quJAUfq>o22mlguMo5jo6uyv3qvIy<#K| zSS!M2?;zO%|D7{4^6v*-w50G^e+c(K#&FTX%{@ng@I}j0gB9Yp;V%fRn`Z?a;KmG-30X7Rl0ml z*`A)P53!~C;Az4=-Mr@`LhGeF92)&*N>y|l-lpbW+TP@8_RZIR{PEH|p9lPDL37CA zllH_(pAcF;+<0oWiCk`7k2&tui*3R#2Re&)+dko1u!KT3GQBM!Lh=A!pd$o|jjMJw zI;)s~VY4+Cs4ew7gb*z1{sam%2cXnVCMn=366$LH_EgFw!i$l=kHqv4l)g#gUf=)CnIJ!$GS2PX-fdlj`tT%LJd_jXQzV`L zlV^4zI*GT|&TiQi({Y7`8hrDiCh2dmJ{tex^J3i!hF_$!7LT&~86sqR>3mM~8z$E$ zAo$VpK^+R7`A)CFhQB z`v@&FQXMAzOg1RkPkRW)uqazjcfLN#K3SIgLQdx5!zKz6*Ne=RIdi5s!JJX#(lY}Imh z^CWII%Gva)VTD3QSNp~gt(TL^;u!%?U&Wt22Vpql>v=pXOP&i6_Xsd5;NWt&ST z^N4G2M@9Lar({V z=ni`C%Ve%N)|!dL+ghTZ;Z$c`F~V|C*`p6@uR+P#0Lc(PbBX)NT6Jmkq~P^n`vRy? ze12&;l!JR0^s%13iBqkT#`iv(lP&rsu3dBsqrsK2oBZAv2jav63_S=6P#`qVvm2Rr zWS#H%C3Zh?cYhDob>eTJJ6&`fLCeVXm*{Wf5**?xRqIF4=qf2uneW)aHSpZdu1`i^ zKhA9A<$kK!j*7NU!R|QTYZgz)?y~=>!I%kCf{VG%uNB@V)<_q$-(u}3zzbX8gd)nX^Bg|NIta|8Aq_L!^}2fFqgCJf$n zr2uB(CVAvh+>`(C9qxr;Y|}!VTESVdPuI~>J)>{u1mez(G*bw>fbV^B%d92I9vT0| z#g-iI&$8SrM1h5`i+FDb8dXI%T9dY^dsIkI5yoHd`LoD|qqS93PS|n|{Hd-$&eVm?jui75Vf0@+Vau1}DhpBnB z4%UCc_uTfEXrf+A|F&8oB9+N&L@Yi439o)VIHg|_qW>X9KzR&xa9tdJhW_;c=!>c| zO6PCx1}X3_-ihL0D=B*=d!?bxaLhxDi!J|?cHeiA!#w%-Vc=lHc^bK*XKULXQSEfprdOe*s z92{8;|HtQ$I8;$4LRLeC_>uXA@$BpEEz`a2mwPc@`o%sN@Ehv1z3u?R8iV+wpRgKzNF zLW+_TNXv_ii})_E&;AF)fN(fgM`)(Z&QQ*Nv)r(4gooxt8ewj}Iy>Q6yU8rMn0GU! z!;BRE)9!y$>Z%bomC_#V)$SpQ+sm?L8vH{fUGe($rbKdd!y)8CgJ`au#Z$rcneqjs z?rJZSZo$X(6g~#QrTB;Q2@Qu$FR#R`$0Y6czD)UB2XV;bo45p7PDzfr7e8f2-aogV zWSYk-k@f~37ZEGZAcm2D6!_9!{QmC0{B5@{2;z7W&H6BpTGHEYL)Cr4u>3DfM!Tqz zUiUnk3T%#9@(47>DryGcqnm%Utm0xPpDhbGj^;_Hy-hwQ^i|@5cf)xcJg|NQU-J1 z!T(sZsBS5`_3v(-^P|%1qtULYh7Lp&(mPio_JO&-%#M$3KfU0vs=2P@mU&^h!Tg!c zyon3igY+wJdt`U&9y4;^n>Yk^?ojWu&G1{&oCixIGr#n(koJR+H1VlwU9$~4|0PVG z72h^^m@D|45$h2=^PcU142p$-e!KqE7vu6qS$uP`XRqVx07DZyU#|1Y>)3_TRm@^V zxuv&YzSdiGgwSuRw<83TA^h?-CR!8Ulz8}BJXLp7;D&YiW)s6h?}UCy_bsLZ>BWMh zwVx_CAKN%+T8&6pvx^L-yHmxnzn$b?MR8=up0-#&XuB&Lm{ZnaJ|;>_f?NktN8YH{ zrZB!4hY}wN-qY9+s{WB?O7X@-P60_Tt7JrL2HNLn6zAqF_NHA{c~SX(-*2A<7IV-; zI;!wPW|jAwP{qnHl1aQxguZ_pysQMfs5@iAnY{P&(Ed}WHpX7dGbOWg{4Y?N2zgKK+@{51zVK6e@2#k8XJ~WoBY*can726^&bdpg0*Oo4p zO&U1R;xsYtd0PAFf|Fau)6@+7OsL{`Y+8L$dnYs%+?h5?{2g}KmXWAJXQbMmBPBU) zN94_AuH|gHsALSXo)iCnI>9IWi9s~lE8Q|}NJqkJ?YO+eJ+VK9t}aW8o?8nloj%}R z%xg=soo3B1ggi`Ub|=F#-}*CNsmekyrOG95JQ5Sdll_3ZC+>N>iB%;C8qm#!Q+?oR zss7>BlMM0)hBz*^-phtcgs6`fI+A)6ja?L{*cQAL=ZphHbknyN$7m#e=}(sOXImTu zpbeH^8fn{z^1=8sZ~yuoGg9eJ;BHDdf`2m4K7{7s{}Hk&K7`I!1&yjq8UcUQhd1b2rx>hJpRFS?Lo5a%rYnpBzrRtrUK= z8h&bOJUl!Y?0!%>K7c~!m5HzYTsvL=CH>qY5Mhbr1Up`y5}(8QF^h*P$hB{gVgq}> z%X9aWw3h|~fq?3YrrwQiNLV(HLeF+< z_pH`J{q5Kuw=pG29o!W)g zGqcoYhixgBkD+k&n+`u0O6zp3fS!rK=B(vsvudqIGjUpz2DMol(4q zzt5+kt)1qd+oSjW!_7(dUmrD@9-E{Hmk}2I{Iu{EDg5``AsrvU~3_b8k8>LYt9QiEjU_!7mWTZyQS zwTwv_SG%eph;@9u&1y;Myd8mASyzU`I)|WhjBDTtjSIKBCUlDwLG^lEkB!~uLww7H z_QiYRpB8Pc=wG1?u!0W8`8J$W3}dpdD4_@SG^o4N7)@%7VGq&4|< zWI@_}^lIh1Q-fNC)}xBSY7O56y#8<&fX#@$LxG;ejljoKx<`fW%5ECZ2vgEfzbnh= zdf2}%74hzPkMIhV3XHBp0xC>^wK>f7QnN`1u-rU9B%l_!gjYvm<%MP{_GZx2ANw5w zuMOgym&@F(9)h78-}KiuDgA7>A~L_B+o0Sl!k~`Sb1#so0F)fl>ySxzVa|L>XHt)C+IopIsOrC`aRaAn!g@ltBa6$F zPC{E!TV!zXu`$KxiH_`xgtX+wt9}@VrOEC%85;2ucDR^Z6w%&K!t_NX!JE)8zBYar zB%A7Qt+dEONDh|19f2V_Xcyx>Ly77yU%eV`E8VbpS9iq^vrrB7;HQ%J9ZTt98jBAz zGlrbd&p4q9b5H*5WCnr^nwwVRt5~G>@Zq1bLao#c_Cxmd z>9c*K`j7$n$@Ze6`k4f>BP$Nd6jq-Nmrh*I`Z|%Swv_W+Xp<4Zk#3y))3eS?w7-bG zos=f6!nTm3xUgyeLE_=pca4=Lc5^@7&H3QgGV{l-wgvQTDXM8~TwJZeq}GBR>5~>a zV5FkoK6W0MfQ^D4u4)}@^7f1;OO(Hp5A$1_~BE%-u4!yhi{ zXI6MlDpiPqQwMD8+XwbOxBgQ)0aI(Y?i0qPhVz+9u4q39c4%1l;^gK|nA!~DC9}2j zGJfRmg+6q2?{>5X5)o&NxS%|zMN(N?o35^Ij~xDZ@084rXb3==vTVDycDP7X$K|T) ziZmc2--swL7k*o@WDI}H_z}Gp{NRs>jEDbXn-Z5Pmbiy+Aw`PqC4G{hFZdDe#WUrh~VjGh)ol7%6*QbS;F@B!$6gZ9_RwW^?Uy+Oe-eVIuWk4ceVcIczs zAbalku30~Nj`m#gym3j76{#d|kDDVSlmE)fKCu55E*B&PmP=8(lp9@bb`x6(;c%ur2< z=np;~D>zl8)O!|su=Y=}JUdUoP8PCH*fW-aYCrg=%1^P>)$d>$eyMzrynZV6@RYfv zy*wUxkMfx}-7%;vDF>!?*~(W2fufpFd9;549=U^;t1TH%$BMD-GKG?eKP9{1W{P{P z_hob@;WB_yK?40yELoYhH1--+ku2d}71Jj6HHf_H&$ag5W>RD!*)67?^ z3qzNNH|ts0oQd2z>65lDy#mOmW(cz1I|N7f2iw58hy82RCWCsN!bTZ7#TuIq>kDmR z#R{29KV4;`tZ)SGdbMQhV7&5D#)igfMW@cU)l7+PmHYc}Fz~I$O)izHUw10Ic%;-} zOqV8d@!J4I>Us*~(?^zShsC_Fkqy`3vJD$}^Aj9!t!9OzEUtXyyfvX1;dE>D2}c*n z?a=dY@08c!M8UhwojzJik%!w?V@_Q)cwcz-c`Aa~xw4cvwVqo~*IQ)6=g0fh?JrV5 zgmpuW5;^icdhcgct3w8~>aDKj_1M>ZlO?-;g~2o;J?bWAD#Lx_yI;Cs>SC{M%X)CY z9K-v;p)n_#<+P^UVd`kGBeoYMhO5t)-nn@iE68fft(nWQnKstlUym)@?;N>T%*Up% zS7~ha6oYN)W}VqmakS{|V6*o*WtA{QUBgyAI$g)?>m8ZT4+8k$w*Gt+CY1= zj@stWu`)IQFA6Q~{>Ij6ol!qEwgFg?(NM=JP2(UbIy?3XI0*<6dX@6?OBVCybWQ?3&KW8^ZkY4w3|2 z^y-M-Lce&9GmB+qSdKFf4mOcvdeZfX$agI0Eq-XX>1=JTCsXZ!hQG1WL*0M@VcGk9 zW^JxP5aICU?TOpG68L_O4g~Galfw2GGg~lEh0}Yp^lLKpK8LetRG zSQ?OgGJp-&E{~Pn7!I%WJ55F5?cF;q-!b13h8$D?)0`KWJ3-0Fwq7q$lGT77=6QaLkU;B z#r$(OEFGZWBpxL$H3-6;jJf)m;^_{n&B%v3{Lc6UwY^Ej1BT&&_!clf4ry^IuWT5sBKH79_cTvrnLIvWm0AQq$iAh!n+RHz1Vk zOR4>A037`162PG+q}Masq8>M7FHO$*Y|KyE@}jU)o)l3UxlNthMC%YPIIn4DVhP^V z&ukX?a7mskF&^?l0H^44q0Jwco;qw_Qn*A?O_kXHah|i!6rphBk!-rY6#iN3k2iCP zw{Kg|ym2ED=ByER%A04h#s{;K`p>0{;ZVH{CSAd2jpo$-S?gm@pKAQV3LOAsQqY1{ zBHEhI{onaOe~6=Vs)g=rbe*AWSaku=%kEp2RFI6CBNO_L0`7y8f(64zCq7uYumM zo&mTmJXAh)<=mi#7!BD@etGGwn%>srxm|Dp071O@`uc+7*LSBv)XbkaE7^qJ>XmoB zH<$(Fr1MnMZP!kV=_;P3Xc^Bc%ji z2_oI1xCWo&b48i4G;R)#XKOQ+ zE>q++sZ+V*b#15RRfRirlk$|tMK_iQ|F_BbHhv)?Dp{!Q=-4`^NoZ|lWel-sDPUDO z=}KHl>RtBRcj)CECZcJydc(ogTNg>Na9a5pZd#D`Cohi-sHJP2vOVELM>;n@gf7;B zHNLJc)6`K&+1Mi?8oOy#wudGhg*W<(@c-TxU;a_F{{YtxOOjN6gNsT9u#*q+Li7FU z`x#OyMU?#2QWC10=MRk)$d0YljTLn=)I8fO{;$(+0EU1?Fd6^$T3F*kLr*&vLer3X zFqBEk=|Us`rv+r$6nb@>6Q}1%3{9=EOhf2~H;CM8>I<#)`1GMx=Y|$Q4Uz4Zc2>j} z+N8`4SPpvf1qB9<$^$l$C^YvB*Y2U9&ez>K4*S=lbqDS%y8xaE$f!9)5*m#LlP}49 z^b8coLMCX|$)=P-sN6q+VHk3CSk zHFc5?F)wrG9owZ}vP=BrcW#SI$)C<_izTq@|F2(G=s8W|h;yp>ke|s5hF8KFa{{Q^vy+?O!lY#6}c@5w5eZQ#2NTpMhO0F;L!knm=s7?wy{bsic z|8D-{6vyA*r%}jlJu269jt9-*N_eJ7|Gse;G)JKmW z;55{n3`z+|c?Hb^E|8cGy>>Ok=;xf1O}0PaUkwL`+?hQu$3oWUkvBE1T5NBl@Xpmu z?kP{?)oDE*X8^}CP=0&tNn-f`@ay(7337BfCVt-4o{Ae>Lm7(kQUyQq!?6u1dXo^M zL!F7)IK&a6L2F=&;xYKSFEks0=rcSa&F z$C>m@Wp^PD)XUXIiR$w7fSG$SPK@@?!9fae&5rwkrMrX-fB*iQ9X{ww^Z^e@ay{qn zDfz5vZH>Y6#BN^ab<8`HhxodoeuJ_&0M24eCGFYPu zJ>x~E8#KbXxF$12*n0yMqdmn>P(vn?O!s!FBZH{ZGaxr{(Qb2@kBs}`#fu#s9bY)1 zzk7@HGQD|I;o;#Bn3IhVScrC`FI>c%bgP+1UN!XMiY3!a1vNb>9uI(I+|XeI-JPu& zy1Mu7T|mI)D5OQ>W(dR@?$QZpG4VXj^L8!iI9c3xa1r0Xe-H2M_v1~Kg@g0S&BDAF zlxPU($^-jJ!dpt}yZ&*;cR9$;T=>Fv7wF_0D%PSPKg?eo#&?(HZw@v8aHsEYI@}2P z^f#ehuDJZQ;G&Tox#ee%Ky3?;mw+(Eiel2g370_RY?CIt#7=rC5M!Lb~C!7Zxgtq0)J1$kU>E~ zVZv&m_HPx+1}m9PxjX;7AfiI2wmOI(gm8;vh{BQXPXr-X4i2YivIlf zN*5c!QOm({TW#2lwdJIA#GSRi{zDIN;eao1HHe(%F-D`7PH;O}+?q1ydTJ5B+;)8C z$Mwby=UwsXm$28iR`$Rjt=&3Ke)K`n<912t#OwHD5~mjxN}U{0d`}O5g8fv_>>4jA z%OSh4t9sRgeyvhvgOUG--pMdlLcU?L_7gJ&r&%}K5=E8Nuy1+y$*}C>-1gJDj~=1% z-MvLE*KEFNiykq}dVIrmig?SBj_Pu(WT0ay_a&^r%YCrH4~Eg}>-kQ`PG8y#3MV&) z%f{(?c{e++ju~{nxE#&-{q5}BTpibrOS0Tfm2~(c=R*Dbu^;`yd`757j7r zpIkt2S#C0!O?DOh3?gT|3*Ln_Lpd~Yo#eOt@nR3f;$-)@hOzIG<4Xp=+!}O){XPW* z)^Wsc(kts$vHO0*8G%LhfuC<}ub_fI@ir@&eR{qF@GLXD8uZAht=qJ1>)u}$zc=W} z&@b68WHg-dMBY0ra3oYmG&^Hi#(Lx}d*pRdqu}<9+)gv4x~@$zZaE0Gtc|8Y+xXZ* zLWKdeloUe%q1*Pznd^-81{25HTJFNnz^<9u0HsT=JI!?D`_|Uhz($G|cSDWhZgEQA zxpOV$5?S0FbV8=M=79+h4bW9pRRGYqsHM?rb(o{39)WF0G&iB}6`TrO3e!zJooY*O zmA;vKZM<#bZt%V14c!)->r2FJnzgn4uSZ56JBNZuJY-lqyKs;BSne%u4#2^$*rrGb~cGENx z6WA1;%5$=g88XfvwhS!k*ghL3Zp<6*lwQ{HctTB!^6rs>f`W8(F`&ZT|GGzuboQP3)=_SlSZKH5Hg~%-8u!`{SP`a>7L=_WMr(q{Ji1JP;pN1FkCp|hw&&d@vTgW zz{IJ1&K>(P-T%4F^m9kHA=YnEhP(Lq_qWs?7BG|_TDw-;L#XGNe=g=x`{50(rGS6{ zAV)HNYq~b=zn;=@_RGcGYwrOVF%3s(rYST3tT=z)mkzE$VMO4EyNh+Z>h&4AyKlPh73(_pGE{0~TJB=nER$;_c5tpi zl*VbzvQS+Q3lM5LfF`>pb>-7*mB~s*vxVw@%9?tc=+8pyr+ADN z9v^6m2i&VypL4IvU{j8fZX3A~Vla#@zP_Fr+I!obX;ie(u+{2z1p^=5em$EnI5|Ad zPp@;qWFnb)dsIPIr2da7hcB;?4+n$8P1K7k!@Cp2lvNSZcknoSJ>oZCrtpMAKzC#m zCNM^{NMzPkFK73SKw(H*sN)v%G}>9<{u44Ozg#(j=XdbYAC>?3?Sf6;ryUL4 zCw>kO6P3Je%C`xP7dB$xHjckdyzB1mZL2?l!CbR_v@oYg&+?i8!JR@tP=#A~(8d0! zJZE6$qD9GDBZOsJ;Y3CSWwMJKp(}duZO0@B0W0|6!GmUN z9i2#&8Zef-`@V*EvLssa8V;k=9uoTs9vDNbI_#li&2(x4aKr}su~K<1@!<-9nfYz; zuP?^Nhye{iozzxNGL6_+!-mM9L!C=qR^G-a2mz3`;dz}e#$&$wrt5)=FWAc>uUnMc zDp+8}S0iG?8FH1Bl=vNXZ=bA;Q|lw~%L%AjCp|+k+l4&#k8Z00yG#PSlnGDis5TK>;yX6gDPr@pRDH=UZ;bo&_xpOAn$6v4HM8)>*tczLAUxt> z?bM-ScUu70IAgB>pz~QA!hj$#Zf_Mfa+nChi!~oRhkNK1n$99&m!Sf0+<^@c=2qhp z+5{1j_t-!$HlHaJ-FBvTt_b$|G#B7OXk9V`rQ z8iA45=bu&mGHft~3cnn)@jAVlMz#lajjQ%rqThiNY|E#zhdS~TV^RydOB}JNhvP4i zyZlhE5ZcCeoa<(v#KqQhEmFGHrOkP|r(=Iy9<^-V%WvlY&V5C?&MvVROO^XgUX|X? zVaAKG1`gJDIL+(5W}e)SS5v3fR<(Oi&1c*$Z1V{YR!dSm?<{xPVs7;%3L2mB1lfa~ ztn!h>D%o!T#bzF2^L$hA8-||bO~=v;HYxFeRY`&#nsL!bsd%4mnmYEr#IWeEg&c%? zt&V~W;JG@kOzC-*V!^oxAX1a%12MZaYOHK4zJ5*agxrd8#)Aj#i)@;e=(K|fVa5t! z`UEFTk@K>pr^=dWKXBhCMqZLwEUPRkha@p-3RIF##AcJxsyf}E1;Z<3WEaFuqcFwtqEGNigM(0o zuL3@cpE$T)3Sz z*pe2iY8AQMkjj_>cX>_wgOn$hL={<1@s!N9+{uy3h^FN+RxW(c>Cr(~>>yY&o(GjL z1RL4?ogfU-tpw5Z4W|h7s8lV%lA29Kh-Md?v$Jvdv?bx{%^+BFpQs=!VV=orWiy>s zj)V5XT#1siu~@eXF2_lp?EC09QJhxi8Ycp$@J8HJgGIg!QG<*sreVK-HT1K^FvX{rqp9aRr^ zo|VCS@wQ;;rB*I{Ae}X_&p#2JL*u)=#yP!vIn-z`SwmDA3@?>Jo16@^UPoq{11_>9 z+Ze~rSMLzpYU{`}T&iwcRyxjk`g`B7i>&HFv&+%C;w>D4{pYt2uO#kp*>`}{HRt|*jZs{t9>PPNPkSVTV>AX zj6JESMJEp$xFZp`;60ynLFAO_6^taVjiT>xS+8*l4=v01dX?%Y(9O~ObjSb--4_5( zJUdAfEW)+1_%3liCUK_F{h3VjRR6-MBrb7sfDcEc!7+~(k{4Vit(@e^5Qsz{gm|Yw z48gNu^Io~_#!gy$P^@t}Z6-0-gAR0pZ%RgHG=+97LGIEBP^vR72}&e>FJvan&s!6| zw(j440D(gZmop~=N>-{^H_Pe9$wF9U_&$gtl2WB6Qb+kP4FeVB&i zF7hD?RI7((za0@coF;=)&4cb}mryALqEV+NAX6KHNou}O6f`tJxjlD+NLBxVvs@>7 ztWT-wPuwq@?WO}CN3978)0%V|12X9-Df>e{BH(VaPd|ZyrcSO}bLXwT=#2P&58>MVUUY2#w5Z(4j*mbs-hNw%YyMDj|+%M15J zq^b$G--Sg)AgyshtrVTc8{h-|p5GB^e&&Ve`Gbx*n8Krj3`DHZT3SGY^%*`ew00vj zH8@N?vN3Ahl-u+I<2_QwoHmF}f}CfjR`-i}R8EkkE7zcbpbTyxPiYFU0`-fH?>_aG+G(LC!{4EDg5OYxD@!Jfs!HHyzw8>97^ws7q z;csmi_SZ)2^(-};%DddVVBUJ}Q{4!g17J2xuEI4BAL4^KHRFq8DD^t$9+`Uf{I*$% zcPh*l&1zq0?|oNrds0^G^1O?a&6qM}i$noy27!k#v%hpt^Q+`Z$e-)9&5%G(ye{G! z4ASSsAvll|wlLM(tIbK;-u7@1s|99*N{47?t{C4xTC|plH&Qy$8#Y^+cw56XN<-@c4-vn zgR>;vK8cI-Fm44n15e&ERzm-0wygd+qr zr|f~wh4D7EIWib~xb(i5h3(`ojo!SuDQ>6Yd3B`K)00o7%FyQAb7f1sXgLcYe z=N@p}jzZd4zn#hX@&kz>Za$T;Y&*M45l>~0U!2$Lv^kbj10qM5hEu2L-gWN%Cx2Ld zZCQcf$C_zWK!9?gcNt6tVryI$Mx%G`h|5uL@d6(6R z1!>1iPqd3bYKMNQtfX1#SOE9;g{Zt{q%3|Tui@LbCuvy_L*;{fj@dz9s)Ar1p*y3@w zhY-n&>#ki8_Xl*^mY|3T0>9+mVimW8rvQ~M__^x-*&k0}JV#$lU@%qNsmn2L9xwCn zxl`=yewV%ohD!Mg@!iI=Ea9iq_ggqPIc2dOl?=`k^5#)at4X58cDJ;^uZK9#z~JC6 zjUmf~`+G?Xr9^Zx?iZve>ENdMS;HXz?T1*%IXdS#tG2z(Z-^R z71GMe*#II=Fc_@#R;z<5o#^gbo8l6Psv7ZOfB4B1MGDWS_D?13b z>IWu2)P^S#^1ylush~dS0OlZJkyO=a_PxZ>fv^`a zFD&3jmbaQ?g>SzF>ry+I6^sv>HBig|`ip*gly|U!=^T&TS^DEJ{B;m%3A}PX(EP_l zuX{QF$q?&o!@UB%fG!~&gUT9V-Jo+u!A9|-)Y`Te!K%3bJFwPLn=8h_fK#kKCMU+# z;;wc;L1!C8(ctXZfyPgYVKd;Y;19tWmuVyb;rEL;b+)-nb zhDfgc_O*8|T7^d$M)}M(hqz2SW?DN2rV*x+d3kw*{lV%l9!buE)gHk@FF^Gf-^~a z<4Zll!OfRR#3u0RN52tmr6M{T-_ym;-4r@$ERic=#U+%Z{8vRqqyBoh^X|glTBF&G zC6e|xUVcjolUTOT{$$`x->h%jVRK9lY;ybg#LyK$T?@TS1#|u8i%H|C^~=oytg~`b z`~iT$0$=IkRD-y*lc{CjUpkAq1ybncX+&prIe=tl>uGks&b$ERzMBnz!Nr5@d!uyL zle(^Jn9)xy*PLW;^S+?9g18a!(gdf9?x%8*ulbcfb z+jCvk%!G5aBq-LtwYf#cQakj7utO}1b&wDO7A9f~om4?p$89sWoyf#VHbu3Vpy zZwutlc6yppke{W0fBZGCQNyX_%+rq{pL27O>MqU zlkgA}{dVw4_fZzYx<^k*=gN@mM>{Ji(IzkW@$@`oDFGj$IA=s#VR5r`{_>Tpa+52H zlVKsgmk|b)f?TUK`*!&)DyWDrrVh^q%?Dp0)D|%bnpwS?NG3GP8W?=oWSpjkueWEO zC8@fX=lybThF2{Z46AI6?V}tGPdr;;XX z(?{~<+#9{M*!kWC&PrSqXuNF1V1u&k+)Q$^)UsL?xp%7$p_A9t{nLZH;X?~8JGj2`zdrL9Pi)p=>nBVnwY!`S2YdZqdG)6-+a@=w_~IPS>FZ9qMx&4p_>D5yE4 zq@?cdIbIMzq5>H+qVtAx`bm7Xo!3`!LS=f_-DEBy+e*;=$|aEeAVF(YtXOm4<-LU$ z-y7~YB0PT=3NYa^h$w%5rd{%Q@P5ArQ2^uYg^jCzlOpk`wwW+HZatkF#MW0sCK|k?*2B1mw|goraBQ zjvpsM9dmJ|19!!-UAh1_s@el!(QsW@a9G$l_Sy$Dq0l|Aeqo1dU{q8oc%Z^$FEXL1 z5)!C@5*X@uW7l~mBR9nlVG3|#*rV(KH|r^QCn7NLOEv|sLY#D<&qe|Z+4Y)0<%L+Kl-JcZpNC#6TzQxZNyo|X(<25PX12QlF^g)6|48we*qssOD!XE2xBCsh zMQAUvLzNxwfvW`~$DWs*<~3cOt>F&SeiaF6s2$p?kb2(n+gI;Y+zzRBeb0+`}bOmRi*(608~Ah7UfWw~;s_>eMyC_WVh#7r=m`LZCLE zgu_5zA1yBM%sE*uLI5tJ+F!!3+Z#0dfw@G1#e*Rtg=UG{; zB*<$MVHg1@U1s-yzWN{6(_VScpBaw=)U!Z-b<9naddD8DrVE5p~qRnhS*AVsLSf7 zQ;&Atid~uc>fs8jy-%Vy4qDZK%vHZp08$lIiJC_h`*U=>k4AI`^pTt9(g!;;YB( zT&C<5+G5u-Kk=Q58)v(aCQ4~WBbxk~Urz+%;XS45q}q^-$mqJhv=BC2tS~IGl7P&fn2%`Ohmi7U?Cj*p1USCOj{Xe89pH1ifE&m}$=XzZDQQp+;s<%Ivk z+*`j@xdv^+C=U-VGCubOMFb7sz&iFZml3o+?{WlJ`D_Q`!rI$clsaGJh>;wJ%Tm8|#7G)I+t z`SPV;{j-h24Msk``9lWS-)o(iO6ir8bysqR;uI_~`8^d;lH2Te_kZ*N5Pape<|noU z-`nr{R=(Rf4+KKl0C)vo4R{19r=+5-c)c6ArOR@1 z@(XQ~f+KGdy)X97ZYI!vfB|0iJ-q!9xCVY#ZI~pEemrh_BKi&uWBT+D@9!()wZC0H zk@6!G_#3*^6&T^kxO3O@7DXe%HZx4h$dN!pJ;2>w;Mjyl@I@3DxD^nO5kQC~+c2BV z^JV6ZuNa)NH*TnS(b!v{TMsZ!SX=mJ8x?)vP1G7{Fqsw6rs>&N7Q^kBw)u>bWwT_FkfmGt?=EwR&fP;~l+IkOqshgP|Q=YH;%5VaP7|=enrjpO= z^Rj1W^xFFPl7*AEwG}qJcM$$7IER6(8&EM`K-H!0N zdNeAj-58X#0;|MUVvPclanXT7n*8+rR4%KtX-&fveaVUE-i#vcr^S(PNqnyPJwE#X zKF;ye{f-Yb^OYQImMX)_i7V;*q@?HLd8+%66arLVAlly4y_hyHVJi0Xo+RZjC1gu$rom<;q zfs9!BeWmrO+WBH>5~_0XZ=vmp5>|fI2R8s+WHuXyhzeO0_xr(jkM$9eX1JdNCGmPg zNvG8HmaPxwo6~-`RL;9NA%eKTROl*WC8X$Pus*q<-IInlK5bshhd;l#{R&9u6Zu8| zj|0s-iSoE%&eS+NJ9{>%ej<;R z0JdX^(nBj1uWGyKSXzqEb%{YO&~OZZ(xD5+28O509p*46_rUkJ_XY6u5Fg4o^zU25 z@y;^)&z$;h_xDuvLr3Ng6I5`=vsu$E%Gf zaS@>J&gG-jC3D@xo}nCXp^5qBCZ7W|vRB$C3l8(6y@tKJ|1FN_qHE(e^JCs%j$ZbeBit4_K^=hzSA3pup@jNBNN z4^kTH1yL0@YhJ%M1Y(fDo&wao@q>(o$z_k#<@$;Z@d6;mKfM-&XFyjq3b==rQn@~j zN!vrmqRVFT8_a9KibVQ?K#nYsdDC_d6z2z=!lGk9P_*jH=T|*1ca?n-i(4jkJ98Fl zRKsxYsc z8!y;8#Sca+LzfY5fG^KvzYM@^AosQR5&XQY&w60S+U}>#lPFCD?P~{FN9L91UH~R! zxo&;AD7R&V!OvdnoDPIOUfjvI;SD@8_3Q%+%bB1#R-Agw{c6XGN3)O8z%9NL@qs_X ztG(`hc6Y5=VppqUv)ruXQKnN;%Thc&qYmUa*}wl%qS2#X>lR+p^^I)Z;OqDG%mjY$ zPh6yqZyZRxg>=u4A4iDSy_Wj*ovEDLk9VwH2IAm;*aps+9*tCjVaGKp5~SETJ4!-+ zO6>yuldx%4=m>az#6bA^SP8dU8?3g*-Hj=`80;VKRA*GJErPrxNb9}4ySodxeRQXi zI#R5xIXpeC%Dh<|ZomAY;On60~zR*JtG9#X7Xky%p02s*jEba!;D zlzdI2rEhf}u&8jk^Y*2*h1P=YHe_AIu8pkCr}CNFLY+$_IL*4@!*>Q~@^Z)-&xGP_ zguNn+hty=Jj_5-&Ld*I@$0WLL?SQAn%_3nt@tpDPB03QxIb?FO_ANjkXK(ekElt<{ zzq4op>VT!$djZwtfeh*Ox5C20^M+$GMKz6Lc6zUH7bzI+KEG$M`-}u|;`UezDmd%7 zk-IR4hv>i}AbxKLJ$LtFURrW;QMjeo?WNsh$&k;hkKCGd*5T~9!T1;5GQ7s!sFOQ^ zWqOTO*3-qlfP0!c&Cn7k3;f3i28ZLF^XYv2h=4gGwZDC>7*24vcjR<&4F<~H9<_|( zgspH+yfaXmMoi$Lqi~UUVFw>r{N27Hk6?24>I8N0Qc*!|p{S_HypOr!SvuhMkB?el z(5>ykM;DLqHvYXa($v_Pf?BzYr(pn8ho5Z|0vds-OvV7lC+zu9RYj`8{HM;5lfswE z1PT$F(M3vwC*H**g*BpBDU^dI4{IZ7trzCc3uR|w_Wu5jVblatNe<^Zt2f-Q&$a-w zp-NfUy2N%G*Mtd!eLpPb7cUVpu^k1Ou&_aW%U>nCm|#(VfB%K`Yf!)gJ5gz*?QA(Q zXHCTq-IrYHQfkT#GOGlf@QCh%BJV5+vg8z0AYk=Jun~#=)i|2MyKRg@8B%z9nX3T; zvZ)Y4Q**OT1#eZrqknaXv;ktj530J0SxFtfiBYJsQiKFWK)a{BwKc=grL2IEkiM>n zjSsH^(KWyTJ=qh&eATyPd>9t$uUuDz0-U1+A*tHy>Y^IeRb~Q1>Jlz`GVS?vgou7` zgu|J#wD53r&{J7mARDEp=F5!`Z^^l`?*AFe-rn9=m~&lU#D_)?p%ge!5)zWC0;49I z7@`#*Z;yoF%^NasAMkRWxqI56e(4b|a)wz2ow{B3wj=i_@O>QQBm}eSrcII90-@mU(xCHpMHd*xqE-AqCXzuCcO`ZLjQ`#FNAz8?vwuf6TzpSO5qc(~7o$N68lb%%CU9 zAP9ew9l7hDuS(Pb6vF<8`=A3opwR9Fu2nLdH*6P3PY(-gaoPFB)F>42px0cls-p6{ z9vK@8IIQQ{kl@*nqkdoDMFOVbiUI}tp$ykW$H!A`obX&71mc^VN2-4fRsIz5Zf6XH zH=iFD7k5+v1qzaFj&%Qcs*=CltZC{9^nx(ko01Bc{fhJ1Dv;u{RhJDpH@Et&%1X1x z@i6b!+0IzN&M0vk4DjTxqcBG?8Md|T`7DJx46bn*V~lFH#7ac$;g>vRkMqvuN@K>H zrnDNicq-pE>s6T!0L-zFA3yq&)iv(e&hD;VVmi!Oo0%a3gjHNGu(JW_1|&QoR}-+` zBa@c2O@L%A%Bl_&OcEedB*@g5NvV6cCmHqW%Kkwz$2p}92`u|(?aD{Pdkd-_=EJr; z3fZm*u$XKS`5<&WpuuMkrx%cm z0$@x6fC`=g>SBO?i99^Us}jq5g%F*vg3=)54RiGHSZ(%j5EJ_jDcai!{_lX*FzBcc zlu)WrfYA{8*MV}&Kw~n9f7DBqk3Mw{tHODz2x$U7m$t^nIgx~Y&$>sSX7xKgrSCgl z^^pBxDs3}3RniMA1Fs3dqszei`s@S30;i^?rgk|#qI)>$M+!>Hgwf~k0%eKbiK?X+ zawyy7+(=BHp5hh~NaYLJEH!}J+uI@9e{3QgHC=Cmfgd|v>*=O+ci!TF;*G2nG`)=< ze)$LWJpz>AagSB&Yt-T&Z(mfm-Eq-9rjP7TLR>^^k)FOhmv@IE;kMUoHmNAsmhCEw z(7T3UId-Mg4xFE?#j^@_;U8#tETyivS@>!TS*XxPiY|YmxKdXd1oOWLmi0hKN+)9? znIGh)J5QokEI%(km9RVck`y;`ehCCtm9;?n^6vFlcSbh0H)hx}zlp`x9r|DMVF1!T zuG+h8*dm(Ick*moD_W7+vdC2m0T~#*UQkf5#w-@f@(hJ94Fm$YqXLrnX;1GX!PB%i zipSG~Up(9ucmJ&0vZ$qp)07K6X9$k5s!wFn?hLpA7`zymn40`}p3Dj$Vg1|vZ78(N zl_d)Un0}~3BJoipU&G^N?7SEUfhnb`B_k{|y;daCC-v-m9PE#LB%=#9!IL1cp0! zM_ycHq#3u>%*_@s7XOyQ72rMqug^U2+i-J?JK+BC^}tWL#1Qd|MiuY>NB)4D8CH`^ z9Ssf+S9WW98vG|Jz9LN0SVg(b)N4@I@A`(V%T)-J#StYsY%j-!&P%v zk?nfi=05s=VEHD2FXsNFroX4k52Rm)jWoE-{9YDD%R{V)aZPME=$|rYRXngXv|DH! zlHEb#!#8;N_}?t1HYhPT*(|iQ?Y?DzQb!itb@y!lyk6VF{GYuQVjKR$ltYNfV~D2A z|EiMo|BOa)y?MAJTF&Ijj;`Oy(hR0!#jSq^Aex`b{LdIE@R){s|4~WtIsAwXJl9_fpMlP?CYpq)wa4F^xdhsN1r9}dd?I{z znR<2GhwwurxLLLU5#b9y1N88iB4lFGB#PknVl!?S6BQXR>rMTy!wHf+2%;_^KC)rM zS9H*39<}x19QVUZo;=Ry2;(8L0=LcmMi@uz!sk>buZO;ewaq3jl{Ddf669jPo&4uN zqCtL@_$g`CTG?E|O3fSNM^O>ha0+Xx+r!`YYUO3{jmRCbCLMS2o$%oR%a1nK%d3(L zC_hvVFYLeVnqkPmLioe@*~%gSk1t|gHcisU&Z9h7R-gb-vOhoZb@!>i@(y8$y75|N zUb?_UP(w{{zx0Ud!Y`}IZM_TD94M?TvK-k%nblJmd4TkHI@36=l$iv(zx=?MMMn0kP~QGNLDlhOyGH9qc#+$Z9HM^wMW0as&kN zsn1p@C@2;)Wq3=)uTOV`HSU*$6dfD^0tMPuHqAmTDqtc zzt*|8B|Waskj;+3zq{gSW(V#*xt9yT=Pm^X^O5JD0T&{NB_?pY!;n*Waw#-}bZY2J z^0TjlbOu&qsoddX1OU1CX!y^ckAVdjghM=MSN3gm2Asw!3!6`5X01}M)O*LRQw~-XVV&hzgn#l=F z&|Yw(B5_quC!nk=~sAi_-c0Rl#cguk0y4rB_O6tPE*rbWdx0PKkzKfIg_P z;JW~{6L59>@+GUo%?&6dh;{5VZWO%Z@m9UY>)x`9T3%bJ*N4JFX%{uYyvs}Qu3Q(zPlhIZsX{GGt& zA>5rcGfg|g?cJ$Rg5odJ<>vL>A zvEL4#v?Q2j{Tl=bqZcY~qnBS&*_|GL{1`WIw3Xk3lo&r|;-(&?sI!LO42N4Jj zPa!6_zYY{K2cW~#*8qFvYdX@u>jkc0|EK>3NoG`|liL$QI^ie(=OX}l8qk8k*AV{T z{_`UM#ppT16NwK2LH8qo+<;$S(Ek|$h=c=D`1BWFnSoC}{o%ivARyHJ|K}rQZ4l(~ z1V0mixPh>CA+hhTqT)ob81;Pu@$$uJ3qkxU>%c=ilLiP_EuY1KDhz zcAEpJtOQ_+&c*pT=M{+k&$o&EC}aBqwn)Spy{+YrI$qG-!!2bD|m-J?hYeE)D=|Bcb~mikADOqo(bG>!qEOvksvf)f`Z8 zyBd5^s4RT5H1~^eu?B%V{ez{=l|vtP{`+6V?eH7AN5@uX=U1}pQH=$p*0fdjxzho& zri)wS%1@$?kZhJFD-hZ@J3v<%t{mQ>C1LFvbw?lJo zy`9~8PAOo|-poPe$)80f%oR20m4&rT-iSE%9iU8|sh~5iL`YUB$$y_Fx5@LPU+5bE zleM1sEjRYr_orrp^Lin5h1}t1?2WnDBehvRr(KK?M!UxnrBMDiZf%8oDZ&}}ms%=; z=1=Ag0s@;{uk7t|#PjA4wf7rqoN2$4%=T`X%qg2|gJf&AmBv`1lE)vCt)RuTCFd$k zqdOBUy${@Vxz=#q8|sPn0M}m^1kNr)Swkg08HO{;yjcfR3K_z_v=^HgxLXPC*5(R1 z{P#%&yFJEx@FS?{gw6a$Yw%M^unG;hSn9U7$EVb|4C=fBT4y^@D-jCu8ObH=f$ z(=MUK0eAlUE>VuVgdH~~RKg}FG1aGrm!g;&uKuulz&EuViR`dusw zz0EZ(KRas>Az|!o-X-6s#P?p{@sJWOvrRxC@W+e|)4%>!<=~8c(PTY)0J_Se?A?FE zbi6@oafofg%e5LFfwN*}VG(g*{7HkqAiUcic*lVyXMONuw2O(<&B&Z*b2^PdPfen= zzSZnk4UcWCasJvMD`5JNv{g8RG-iWL=oKhTFaLV0P+!v8;IKzs2WHH)tSrJb4`ZL$ z2>mFWk$w_gvEue%PyZ@4=%2^sPieY}m8OVLy<2zjahNa{fhVs~Um>=d@mHnaW?q8) zAF?(4i#c@qY3aW%!#V46@1$l%Yt(x`IiQ?JJTO}N4`PV`m|0@QvMihJR; zGo_i#|4O}aYuhd>K7M4~s7uI9x_JEl@0%5UZ*VRvpnu!0)$7bRl1Sy_SH2CX#qTS5H`E7@J4LyJk!xxImFPG*rv&d|T?F!QIZza`)$3T0O(CM_Uf% z3HH`~Fi~bi9^vR@F@Ivk#dVIV*BO8=LRh@+$y!Ax{RS4ZTuHI*2vy?1X|l8wA)b$M z;W`JS4Rr~aQ;vBM@Mr{0cjo(lRxKVnz(0p;jR{%npFoSbCJ_b=ETjl z$_*@^JI(*@^@zle0{kokhck_Zfuz}X-^@3EcDhN28&Y9rt6^1|3%+}2j@vlY@}*HD z$!u66ZMdh_zV_9roJ8swDixi{`#taF+L;-mV01~T$EbLvEW$quU52Fb{HZ!#MipfSn+sQ6LBWA_wh zbQAP3+Gb?x5kY^C&Ne!BD-0Wr%9Sjf6eSVT{cD#4Y-8`ubwfX0Ti@Com-fP{-_r$? z?d3_~D?C@$_SXlu+_)`Ye=xRi{nkL2f`$pv){1hfX??R))xnBU+^jTF^BW=Ms-O<( zgiYtPkF};d#XQy@d3$G?Pqwk-H!a(LXR8{5L9h0MxW+gydw$sE*>d`qQ=Kb{7|}oe zjBsGH2?!TatZ@-pwQ{o@8_^6nX=L|3Zk>?MWenIl$r-n|`rk#r>-;y*^lIsIiq z-r2N@h2z;C)eM70Fyk0n>Ba)wz(?A68eJEHbk^{ZmpUOC32pxV|} zn_DD4VEy2vsrMRER0o+UUOQY#6p4hXv5}VSK^J}bmDC#+6~@iwdfN3DiqbnIRhE|r zVllx}CaH`TnZ}1qCV1tmLU&jWck|jMx{{!SY#DqTZ+0NnwtCq;b!;Ka?Ne($==Rd>dnhcc6Bd1B_i3UuVAfsa^*u+BJJ5U;&!0K3g)LHx<%^N7EUU9#bt3TwD}d;8xDY}B#A4O&7`mN-d9 zlDl$hwYLc#6mv4mRe4_(${ZSf{VcM2?x6d#>9KD5ORz*w*x*}rn&OlY;Sp(&#d4g1 zvaNVJ8<;+`tkLQr?YH^q)%U3@{Tqr3$DORGFb1rIct}<<$(G$`v>aoJX>J@9znmrs zkR=Is7y~wr2C$UrU13$vw^zN^xUDlK9^4Gq`YaR=JNUC^gHn0b)gQOX{_XFb{G-%3Rp>zcyN3r3lTQYXrMhSWh18lHmPeF{JG6v z*9i+SAfh7=6Wlw0DO3JzJ9~gc!|8fwzgN&}PaU6njreRc%zQ;V54f(`TLULpSwlG{ z_v16vR2wV@8W>1180p=c2P4v@rbJcy{Pph&MtV6gCiQHO^7ETK|IC?S`Di7|3P?`Q z3XMe4R@gwI|6A+NIlSAH$LaXtIm%vaZUGU|&JcRErm_0G&&PP}LbcZ)Z)TW7_Z2O{ zCxwtAZ7GlF6H@7i22k7mR*rs1^rgp5+3<8n*0yZL3Ua_pMO?^`_Z;>FYNQU2;e+0xzhTVM@ zrmVj+omA&M3`wBa~lyjjq6N=hGV%WJlKce>X zLcS|92l#Z1n%TRg8}|Xe9)~y!Hq<-a_52Ih03`q0q=?9e#?d1uZeMBNhWr3!Z54pM z-%M?iCuH(DaLMokq3$5wDMHv@itf#ndjgNZPI04Ke?Y|j(A>bVPw7GJXZP+Q^cR}% z?bb>cKuN<>T-c}4`s3-#Z~hY1XtVZ0_-7`-6LtYRSI{mKzu<090fWVH*6!T2f9_&l zS+VKwA@($rgI6&t58+}0q!Z0ldd{49X5@0kfCX9)z*aB$np4c+YYIk#x?#0Z(Cf!) z@fW@JR+&Nvs)>b1Z0u!N2{@Df`RqRBSZ)lm%s)S$!wUxlrpWN7>k40Fyf5C&^A0Ms zV!tU4J?Z&`Sh20qQlJpXJ07jj+5NS4s&BF>E`VuYc?CJIkj=UEI%`(?*Zg~32bpWo z%qQEwe@y-YnQ*CcmwGdIs`eoA-xZ>YGVg{75c*u(qjtcF=6d@!6FthR)^MT;WEaL%l=LZ zIC8uUzreJqVIfSW!=b?v7dsgf?O%RQTSUnSj;#D7d9n=uBm;0_Wmjwdpid_}*ssM$ z?_S?Tq9)gozFUSGOkSqi2lIYFrzb6U$Q9w{!FtU|jA(5bx{xUk2nEvwG`~b^1=_^PML7x|0SE_K~wStAXoL1=`M;b&W4$Agqn| zI-o+?19Xa}w=WZTzSgoR7A9OA+OBhQgG_+ydt74nH#C!HMp*6>)z80yzp>_$pdwK!?N8I6$gR?McXuH zb4yx(zIZ3?1|aSwYc=j01%wH_z>u^;x;y^FgI%XlT7!lHfq;{+syMv)0xt~lkvf0b_dRv}EYN;?mN&^DF%QRC6$&p5yFB~_ zFG^{=5Mlu6|b~gqNbVOYw?8@t_4^j z<1S+%dwm-j(kmT%N^d=q6$k_8+-sWM)734VD9reUth%;qaQ_<=FrfP6DZsmwY@Cqql z&?5Cw_WOk{RbFR(&$d=8GtWY_{0rh%8J7!^sPf~2jMSoQwIL2){R*;Nhlq2@t3$wA zri!hH%q$T0@t3^@Osy6Cw%RC``HRfEJ#PAU4N(|aBkU%! zz==Js-6sEq!(J_#8Cz-ee$P|$_^Gx)LouSU0P%SjSbvFWVtD5tEc3yqOo_zX)A4&h z4)v3}A=&&^R)A|bS8gam>1;?=ek?g8<#bi??c_oZD3w+VB8;i~_L}N^ueWd~XH}9n zSsd+glG3E7`@XP7p@u1+vOfCvbD>16Ek?P`>0CPWRFiOdrjbD{HU_(J?|0($wHdQY z;UYGnyBQ~b=>KX73;+gwC9XEg^P9Gj+<~u*w;ggPukXIaqURG5%JdtwCD5?z+ihy@ zn_k_3kbRMFz33_qvx4?Wq~=)^;5*2c`Val8%Znv;PReM1Q%YzkmSna&PGvA40e+#o=AOYtv`V|B8U_w~2)!Byea2tpA zND!t~2WY#LfXc|lJ;f4MS6$KtGiU&@;8^Wd*XBiyh!f1c7%Gr+MBBP^Rv;v|RlH=^ z*1&bPG$+7!Znf6(nzfzM%4sBemzekvHAE7j z?PHJtUDR`xeC#Deqs2Y}VKLih^zF+_J=W$vcR2Z5Vy3lTjv22;OaOj^tW(nXweP{3zByZ36%mU+#Lv7eU8rQeLMh zpf#nS-m?Hk-B{p3t=KHB*{DN~DAE-53}aAyT^-O)mfeXv>j0bSfO|yB3v)fp$Al?i zDtR_oxR#E+QGLmAxe`4c6kOg|;MRvoRZC}GSdCnVoF=#f3X^^w4guH zi6+9hmu50iH)k(i0~ou1>LqhGEzYBkryc{<<8LEy4ja>VnZ0`!gS;zbVl#nMuQ?&W z$qSX6!er?>T3`}F6nZ@m+eS5fcoY<|-kdTR7WS*UX zig(1N6Cun#h_wjg5q`KnLqnJpena%G`!?NRZm?A@ds-+Qc-ICqNpV}v`Q?_}Os%W& z9AxS$cf zH^nzpe((Xplt7_`G98mM zn?JHlo^lZKweyTB{}A~(!pGNS4tW`SAF&ya*QM3sD&`XCPRAww4h6(ca@I}FB=B&j zh%s9zFJ4cbV(x>#-;{xyq)94#CU(+jSZyir5_s`FG(J2QjKs#JxR9`Iw^05ZYq>b) z!5WytZ=hyU>;1uz%H0EN5(bZ93Yr%XpikGl&F5QQ7c|+CIBYVa4*KcjEtEPNy3Okq z2mmown(N`ec~ts7-AyB4Z(Z9O@(Nq!0V4us|&)Co%IL(H@lZDWF4^ z63Jj!ruAUmeE)cu@zPg*7OyYLPZO#3Sd_lFMl@WfB)}$UhR_BTD~#Fwz8<0z_z?4h zN^Bz56uX%WEMZHY_!$dqifK$sfpzrQ#ygNKZ@$vPO)?615jpodX4%Q)B-nOJ4w;ctfefh!9o&!GeB2d<95|;`tG1z_6ODx`LD#2Av|up znnLz=FSi@nA=e?_8}lDZRh(a6YAlpVrEBwj^l0+Yh-wKtRAY%SQqti*IS+-7A;J@UJ$xqxSZ zH+R5)Cts9Pq+sh$sX6(%4$0%l{_y(czjF)QjDpNKU+ocPiw~ERTyt`CUHC4Xh3>%I zrt^}}{5ZW|l|9s#nSB+n)>qXzN|~`fhRu{;DqMReCtWe||L$v0+J7{f{vs9>jL>=} zJd@{a#MCT0pKv+i%3t|nK#;YgsD6m~Cqn#}fOz`8#7;qtsLeO4JW3WMCQ4NhI1RZ| zDVw7CxhiB<_b~QVjLd6k38tS&oj&dcxWg37O2FoAn$U$*>j`tuzkj3i;~871+$_P}QII4)ychM7xwmlwixc zT-tC{KuuJOpqN1j7;=P>M#jc*^jBGK-!%A2=z+f>`117E`+c89skb8Lnm?BxkeXYi zn<5E2HNWZX7WjkaXqm)(j*!uW^WJ_ zf=g98BL#Nq-ysx=Sd3wkV7=Nt$}`C8Io#YZGEhPF8X)-{v#YJTfLNpLeVHvvnt*O1 ziJ^h7Xjfj(;^Cygs#8_pRnC?^rnPfl@6VT7wF}G5vjDLOz$0*H&#h|417AqTQh!L0 zG(eX2!A!SQju_ydGb?hDDJBRiLr2M4M?FsBE1E$mxHsSiGR?y1-MlpAzjwhzxEG5_ZjW@QE9qRG=( zKAK3=@goSk5Y}B|OJl#FCs#vs6uNg8y7%fOB0_RFl z%b@{jJg#v_cBo+E*2gg34^0#{vEpO5XfX;l&E8Y!y~}N<*rg|zdK}GvQ*pxghWOVH zt#>aaQ2HDQoc)BK4GtQkh`vF(w)Cn#av)jAjKRqBCT(6qam;!)Qjw7LZJf!*SwPt&$1sbg!VBUctE z1r{V}xBRxEGpE-C(mUg-!p-c2W?d%?Z;RO%b0doArpH>9WQKaOC4XY1ea|;~TtR4O;JB{pdd>k68IYH4yOr zJ~$3S7+C>OjZn7Ye+xre@ zeqSDRVbIV!Z(H_-VXevIsQG$(6@}zmzPkr*CI`clvC*ETHrCcc=@g1s2k_6=fU-e* z(dpvugsbKp^6cpve2Lb!vXEecJ`aKk80xl94xCL@u^g^Asw}^i0Jyigxw#XDKQhwO zfu!rJw3{u~IYHa>s{Wmgwi}AF?Ldm!_6%uL#F}n4hnO8zzfIF)j{5i@YTIa$LzkBM_MyfEfZz zNtsYP!CmLxwr5JpC)3HNLQ%r)H?9E@iwy2QNdQnw|6|`wk?IamI1)MEGIA#G8zKr? zjdxeA3-Q-Xd*u{}ps$oPwCb@O$M+RfzxT?3(^8|6Ephovnq^o3_ZRk40#}hS79-7bp$F9M(BfHSvl{|%;^#M8FTis=!C#!Ab|(E;YGI(^)hEFOR4dWyJUi8Cs#0Mi`Q`60_B0$t%`w)FuvXHHX4!gnR5>- z_91{KnhTKHs~UTGQ8`?1SDyiSgWV;3iPuwV6@b;*r%qqEn)RzDy3s6|x4C*&p-sG3 z<9Q!HTQ2#qHAc1Y5X)#}@*o(y>Q0$<^sV!^StX9?Lt>asTjIs#wR*S0hAEu(5<0=+dK(0G&xjN;XIj zy+<TP4Hh>h9F@Se9W@PBg%ge85?`mbYg`Ip}e558Hn|ntTX3NqX zK)txhSv2@cc#gOA`8kl8>tSfnwXQ5fx1hn}p za&mG{6kyP|3Y`zt0=W+Uy!^5-&34p0=H?Oj@>)9X{H!Q>YSHErsdnFvh`lq&Fd`KEUF4Nl?OZnK^b# z6Xt;=X-+mtn_V!VpE@L_zJG=zdG)uq4+en`kzM>9=}_f^WyFVHw|h9zE}jxWt!m6K z7r{k%zdgxIGQh zwQAdxN%?>eAGh$I5tP0dE;(qlo@Q7E8fn5W%<8@sH8gziP6aBP;lru?SO%^lVxBNH;9 zOXCiH15NlV@=fesfqQE!=QfHx;FTPVIIWbRg4yFat>B8t)^#?4wemts7Z!2&O@g~@ zH_3KDzEqqW_bg`Fuv-GHAp1YNxJ#J}$xqK0@)@rvo*B1s%Q5hav`R(Kft0;eSqSxSCkip%Bh`Ckg}K^H|{(;UrE^sNLtD2;+2cq<-XuLsH2w%q2vc(54#!2B2IXN-yh;z*g+YM z5dujGlBRA?YwWL!l%NGnu;{4wUI}%Fs4Bn(#l^)15@~jJ-@JQgYGy`l&$5?$HlKg< zN;XBNJ*uLjSx@%1cl+-^>M8v*cMlJF-<>Jg9)uXUDJ0~Gu$VKBIEs1>V_R0%ISea zek1Xm10d6gEEb^Tn+1(h(mu*5-roSAY8%|hDrOEjh5e4^UkQ0MH*l z&DF02j*7#-j+kn6Z-`ZA56k6 zQL@3gxv$*$OIf zRvT>Riz61=1(6ffxSrEZ$Y?FX`^P2ng_1wb4zCM1(Q{b9w;0seRq!8C8o8JHq~r^w z^PxbK)q#tw!dJ!9!y5ZhO=ve-S>a|Qd@Ta}-VSQVJ_?nlV&^<|fx9;{El>o73@_;3l=T7a*PkNPO zO)jz9GvbMk4JHGikLF0LffH%j3rP79^I}TZQ9+%|AW4+%bp7niNbUV>n^(y*FMig= z4*g@cZb%s9jZ8LJ!ao!mwm$%*>Q}wUC@4hSTL%X=U%Y?}DWE#xz9AXQR|~m*R2N>!BH98vX6Z34i`g#;og?%PRzx=Z871D0Su; z=xvv3i&No37wAfxbzh&Jge6}#Sphb-@vL(or!4tcRzw6*6=>BLDCn~^PG~J!=<{9B zH-u-};Uq)J^ry7w=oZ1qw3%wlS7q#D$PSs--!w^y56;~b0c7!ouL5JaH$X@V3L=7x z=W}{GyU&F35@vmo&$*1Z4l%RzT~(SU1AxclS*qW8-?QsVjEMQ7AyXfodHcQZ-q zre=qgy!}bQ{F`!Y=a`XMr%Vrt^>@Ow6kRd+z%H0lPHthGPY%SM&?dQPuEfl0{^MEL z5?5I`I+72r2daJsdItnNK0`zvr?Ig)0NQ#I)R>!_L-O4YJgFI@cmFn575N9|TXG1H z1<(wJD^@e_E1N6S#||yxxnBSDI<&X;t1M$Wd>*|qg};s?Tu%h)!om-y__q7uELQn; z7PawTAJUuRl&GOEBu{$YbPX6h9;omZK+O|P?Bt}l@ND}|f!#Rd9?hj$4d=qpE8 z7Jym>-xBhPx6*$e`sTG=Ud0?wf;PT*cV&y=A;EDwvqyEhPC8f)H5jZF&t7d0e!=`j z2N54$^yBb5#}7)TCzEi4iF|fmn)w(zR<>VUj0EfKYc!Qu`3XV5@%Veu3qSx+DdI8Z ztyF=jNJ*QSnkIASwWjG;n=53iI;JK30#r_Myt_uXGxnBLju3`iJkcbKCCnksf;!ME z?>_8R?vJ$5Z#31}9bAPQsNA%o>H_&BHw?R_1V~TNnxEPbDGTW%!B=Nn`eC07)V}F@ zSNM~f`2hd{If5yqm4O7aS4|Bvu2HnpC7K0@ytZ?HjAWa6bO)DhbIr{}?>n{BRggZ! znBL4t!TrrvGTVd`n{2R+-1oOdBRm-S{q8$p)CbO&XJJX~0q5>}MQobaWc=?xf$83$ z$^ka7@JfuhpTnZ%df%ZecoFoa9xhX_EPnO4e86vqqZaja0mNdItr%l4?(UTC=DG0qzuyn< z_kHYRt~G1UnK>sG7Fe0(*Z@qkX0DLUS-nO@*2PRMW?a(^=3u*>t zYGwbd^o&rsFi71eFE7cTJVpi*T+scbzq*R67rXVtz`@}Hw$56%p)>V{;*#>&7koTH zr_Y#-^PjVA1MjxsuAq`JI5vx!=G-lmBGeEZ6j>KlXU~a?@X4;SRfhVj$AiMpW(AY@ zjs9|)%=F}M5ir5C@t;n}wU2LHo1D(3F%ypebm8B(`MHnQ`RZdI^j~6^C5hvLFFW%n z7HR;2T+rc&A|>u01{`kz0)A}WIqB&S$_YVwx*vo%v2{d7f7nRFr77#F+4$$itB#i0 z@1hzHq}i-wY$Za{?MtlAr-~bFJd}Y~@T}VDjCJByA|LV@M6AYrIdMQG{=9)jPq%8Ry^@Cw4Wj-C z1vUTR)*k=)3uG~?%imVh)~c?vS_6M`XHFth0QV~B z2vAp;?d3sdA!5>jmDz2~ej1jdS@A4cZJeGgeV^%hQo%t6@9T4>`Rar2t1_|%a^z<0 zNrI=JB-5xA!t+&*OSuwD1?kXr&rb}z4E9Z0 z#QehuiK08v%G@!{+1%JCzps_4_ISYhCkd%qVb}XT@A0n_=hkuwH}{!2{E#{fEFYn) zx2RFXJ7M!bqUAvBAJG!GBJ>t`2MNpPda$flxvib=)Ic>O*gK`V+- zaQii6xMrhq(Th&YHalRzT|rEfx8x6w|y^Hc`ikr=>97$t+INkPAS z4lxr2Z5i{sO{>>08)Y;5aI%dPq262r6$OxuY`GyXKAXR1dcnWP4%X^CiD0aD1F`1T zr~}mM0^w%zBf7=f-G; z4QbOQcyrn5bybSIeFH1e$ELaOSG|Mff-RkvAX6y!_&fBd^*))`^-foW0w3`p@DfaP zUP*`9uW98P*}Rk}19J5jFE8B}$6;c*VdH%=^f0RUhtW}y+Gc-w?tI*9pQy0#rm>{0 zY6~StnM~SksQ+DJbFPA&d5fxNlJjN-tHjgfp+tB`h4W8ehbNSaj)9OsDk=Rqj_72= zv+OmmShQ}9U>BEv`t~Nn%j&7&eC>2)v`(7{ko`9C-539D&mANW>Z@DYPkkm$odK;bmR>UfRs~%s3Nd^1mns$pjPeiv( zIw5u?ZxC7M5%MZA<|029+r2!4c~nw;d3o%pJ< z2x7%^-n-tgH#t7V7O}##3;dli^$14mI*=NHs>)qj@6OX2InK#cY6^a#3UxVr-SLca2x>v0u@_0FkP(FB%x> zOq1pZwlw;ASOIdifA}gp@Gqq2v^v1*cx^LH8#7l>mh;(H!iRm{jj#9IZORyp9R|=ZJvn?8UoN&B&DA??gfNcSmbdON5oJE-#BP0Z=l1y@wmqaJ@QMHLD)6dC zoC#;S2>@#Z&6es))s=yLQ^4Drsql}>Cb`+3`Xyr15k4tHtm%==$(#PjH4j_A3-eNM zdmg*dvlq^;`NfWq(5Rry0>k!x9VOtS>2Jpqy!_AO8<;!NO{eD@0^bfFW!+y`^H}1y zL8$jsM}Y!Kop%J{b>L>Y%Glo)))?TpR;Qm3OjA==XVPjIFQIpHbF;9pK)-1mk(LA^ zJS$&Q9FHL*vqyuIMFBZ=fq*sIZG3VTpsaKfa}lt@xP$HXpjM+`M{k)P>j*K^6Nb%K zj$tDHMk4Y71VLiT+4zrx-M2jd7DA}rWsMgWg^&nOaD=;OL`Kq#gP=MOST6wV;bER+ zpEAkqHe(O??R-=C5yV1@W*4uZ1iz3PGna9ay+2vH{n~`3JBi}?jA6nJncwswFY7+| z5RwD>8}c|x2Bom+Pk9Jph(!pFcq+M2@WWic9ioO*@^BbTl%s@G%PRNdl=DBo#|HlD z9IgI8c4ETT@bU~GEMp*=oB3&kR>9Ov^Y=TE3pE1olajVs?lvp{liKzW+d-f$|9Btc zC?K`ejb)n6WI~ zJg+c}>F7X|sN_MRQs;j`nR%s1_Z@k>`|0c?biMDj6HNiHI`?-g__{P<3uRYt8LafA zwTZ0~h)djHjQxKN#W9`iYyXjELg9Ed zNC%n$X#AB#6r>X#V+h-3pY8gC*nyETarFB;FT#lFljlX*g17fx)B)A|7tHrk{e?0a z`89TdmBwRF=)^!lDF%^h>1BmGaB9HJaW4t)0X6-1E3JD?RI-BIr?(@A7Jf#M)X6<& z{W$^wi=DYkRk1XRS=(leLWVs#(lho+pw#l*ZsL6@#^~F%vL?ZXb z`--o7iV^_-9+S?c4Y`jv4z-S0u=BxL2J5x5eExcqV*kJqHba={=R|ITQu00bmx_3| zhWp4}pd$EBFc$ix!Xq!C6=#}2F#uA9Ag0T0fPb4HU;0*GWcbRa#!V#iDV8<)oAMzw zdwXNDLj)?YhzHoVxnWiWtm&v}AEzHqiVea~uIIX8R{QvSTlq~MfPtm}d~LtDNExPG zbnAv|*l(`|kFIVhzVfhs7PO1nzlB-R(4o916%#$WU*~n1b9(K_!B8&AL>IXf|J++_ zmZv{Jl%kBS=6l34FAPix$un5~b`#GuT>4WnNfhDX`?lPC^W?lub*h$}B4bKhNwhI?3vrx0q|1Ocp;TqBV zGPzeiY$?vo&2>mS4{B7K8y6Qa5ft_Q- zCU=<2q|WIFJx%r2_Qp38WP4Lz{iHnW3bTnJPo}6&i?hCY(1dIFi@<hlhb+uu=be=|PlWM@MFIAH%PPp4N(Y3^>_od%b_m5&X zNlQGe$FTycSK#%&7q{)5Yv`Q$mMW+B!q|a==lbOXIV-jh=@KV8R1;F@{g&g~a$nNo zoryj!~5;nf)266Q>5MCl7*a%$3U>x<(u+yl}2sG;#3pZ1m%T2ltg)N?t}UnzCLjL z2QQKiYW7^G4eiTfL^i4=g0y?G6%!q+Ox8!+ehZr#7}=tMr+siXIS;pwdOE8Ggr^0J zm)f~&GfI9myhzr33!X~fiop=F2s5Ug)}h_X&|(hGh{-V~2jjdOv+x3CBYUkfYG%(| zV9V}VHG1t)bCmrdPzvhJMc@cXu%YS$LJr>L#r@Ut;r}akT&BK#1St$imB$5H`txE; zx#`_RnyQbT<-^g*i6}#J2@lPRV6~d2CUC90^g|5cNrzqVBtOlMlxEY;eSc3a!S&4K zyoG$3Txr8#>5X!BObPFT40WYf=gY{OppL5O$P+`@DR8ZB2~|z%fxwJ5iKTzTsLA8zL;@PhyRxvT}U@ZKuoKpq$My|YL zczNcu?bF_6)H=A5gK#t;yUiZTjmLXwy?|*8o2}R!5{I7Nrx-gA+F%}4X zVgYI_LrM!QHOO*sll>O?M7yt*LWq?jE*~LT6|oQ5TsaR|0ZaQ&OR@8(&%5E_`fLkE z$rx843?Yczk;tk5Q+o{rC@j-zO*M!ZXgUhZ>!49a?1J77v}!|f4zt853bzon5f!_W zh?1?#bdrQP3_aX>OZ#oUb9{P-G_NibDEc1${}O|6t1lTdpHw|e`S@M6sdBovnJ}Bx zKGnZvD+Q$g#LQ(l{>~=y2}r-jq3@Tsroe1$O1}$%)qyh8hD4?EkJ0OaUo0~W$74>m zXI(|oKGiIEtF#&*cJ{g4YXw9+2U9v{1eRZ5Fq+8^o$a)~(~iB(gxK+p2@F zH&LAZhOi)M)pHz|+!}y$4?4&XdjZ$FpE(MwY)JAirc63DGM5|p7<+=+f?|E0h1!TRdX(7 z7VcQDaR6;%kub#H7EYJ#m+lBKQn9>SU@agxpuRz#VRvvt97D7jmrH3tabSIjjN)bX zo0>XC686@B$d+Nv|8jtoP$s(m@)2Zxapr@w@6;XSxOYh#;}PTZ{dS1o9HbbHpi zCfM;e@F_PpH?y-erzt-Ys;a6q8f-E@j5#MzyWZA-eMxHV;)n+_|Evfq5UG#dJr6|r8ed!gT&U*1{hC@M2*7_ggR z>3)kZ_;S=LqA67lFa2DzyTTU$80I$NeWA^=7pT_EDEHWr(}{;`p1t(^q%aJM=+F}1 zFkvNWDFZ84?vZMD<$@QuNSiBY967*xPol)i##KHiCs3Wg%b#w>9s0*4iP7&`;Fb@H zrO$?~3%jRv=fJ@G(1l{@sjE&XgGyNqH9>lEY2EsMIR5t2CO5686ry8W{ghCr(-F1; zduUeUC$Mb4lw+*zyJ8NOs&aJMIB;HYv})#ep^l}aqJ+nz!~MRa2mnQr78t&KX zaDVvulHRng3Gr`LGnpeYTlfTdfi6oB2Zzd)Zirik=Gr7CNcjFju&E>hiPHn0qMv5w zwDSj_PcEic?4>>cU#ZBsb{H4f5P~@koseUd{_`=eJCLBN131JWJG09If@lk9rn=Z2 z7yCJ20#KMUTTTLQ5IZzNZYFr9vkmrFDOKOz-pG5AvWiEn-pX80( zlq3JFn#FAAyag@_Rhl?|Ffhj2R;jl6$X_w+!dHZpLI{D4V2}5w37Kv6mK^6-_F}?N zf_MPjsiT&@@Ju^gA9xC>2Hf|CFGg;oJ$+lAeXVxFu~Db8Ci1#E>Jl1bS43T^9<#y{ zK*Vmw?iFgjE@=*QEgD4DWv(#c>cwQ4-Aa9ZD3Ju%R&!30o1s;!1 zLLZl0Ow(=Uuu9tVc)CH1aEgHI z)bsGEz37U7cMS@A@u0@zQ@A7UD0Hg)G`1l9-CRXXj&6wrRO2^!-C4k9q){v4QtR^aF2{|I{%k!o=L6$}>x-n!@+oTGcOEM!v=c6q3DTZu%~PpNm}frXh6j}b zpxTR?RghU27JqN@O459?Zc6%ul@W}#>S0>3K+{gcfaQN~`T-9~!i4-7TRvgQ4=RN` zwMJ#}aoKvy1lBiTL*)Mx8Q7uaFRiI_hwfRuHMpIs{k%tw43afh{P^^ZP=Rnv!D#hN zj?WCwv}J2N*hxAy#=8mDmxw8y1n=eWY(Ig03X_?s;-*h%7_UhoegtKWG)?6@BbY4kBT_F9z7LTxMUVG3tqo z-(||TW(nkN`msAlE2@m5u5Bu;0}oi;<9^xW_J6cms1o-v-5iz&U!R>`mVbArw0zFC z?Mie>V~JV#HM9D}Y_c+dR8~{#bu%VDr!q!=(3#U$#-Ef(;;WUmInr4+2FnLNcpej^ z_AJV**?C7YJTaX@5SwkVtQzdB0Ag2ESWi>s@bL(6%ADq&^28 z0y4#v_{BA4x^wj%Je_{-?(N=GJGrJCKrgY&^Z0UqsUFt*fcFbYK?7uIr)%-ymSE(u zhoVVdx=(rR-7ai#an1SYVAukx>$-@GMOQG``)voZ-6kU9u(dhxj_Z?%cAC1&+5A%~ z(rVl(JdNeR`mwcT#&^$AN}GBR)wHvL$H zbY*-`neGMY<$=sT&E4}-I8yRcteEMv(&A{_w%`JIpAkj2@Z|5XiTUcg;dTb$b*5?F zTFi7-LG669SL1WsJxLc?4+rO~Dd=u%OLR5HnT)Z*b56|QBSR_6Tw>1^*FhpOi(mMfd;HLwowckeRS_$WWDv2IA&X0RFi{H8!c=CQY=o4~oDMggL z-uLf}UeIZa2?+_qSvAUo{p@t84#+gmSCOgm!~>F-NzNW*Tl;{l37F^wM>XB!efm{y zn^jwqYmsL(23pd5S?O0^o9O$=`IUyJ((tYFprHBHb_8L4n7&N-g}$go>1J)I{pNY~ zcK2PJ+qxn#bfY)>51?g=;q9^w^g>Z52 z$G%#BrSe8;YUp=12E8e)Jk>b&$7p+~SjrheDUu=sF4Z^CHVcf)B9!n>_^ll5QA$?fm?6Un!(@f#&6=011_tE8d!i|R`K zd;xcMq?|E4o1C524U+}>`#oE z*Ly~8ku|0-I1Rekt-I)`U#Ztw3==-Xn5YKw^30Iow*({3s7p#j4E&A2-@?2;_xozL zJ%9kQVw&3!SL~cHY4Ub<1PqqX?i!$D$t$?iPpyzuUxu0#EtMJ`>x4g2KAq5SfU{~e z8OM#H0x>N{_=|cn1lSTLPyVc21wBNna+cYRNBvnCz`e&Uo;sbW1_emUvuH~QF7w~T ziJ~rW^)pPuz(tLd=9X;4PXrfkf5IT7O7;&KH9wv%01N4R{CryUSJoYAiV}w{vU`EJ zaEIsm;Pwu86U2Gb@n-+Ot(BtYkI!Ag-;>!Rw%TUuA6{N95mKyWXh9^=C$7h|sqqGij3HC?=z2qryH(dF zHxz7AR4nYu{}~?W!C`J|KvONO#RcqC&&a5%VluqFsRTL}7AAlw%FEhVnxEm#WWC_N ziTV0*Kolr|329=3-h20N#iBS-Eh(Zo26prFNj~foZt$+UySK~IcCF>h%z`E9e!ak6 zhrHl9Xk&w?Na%##>Bd{OhO9bPbi6n1FK`LYJUGUEy91L!HD4Baqc7zwTQCs$nPZF; zi2*|_aAO9^6)C7XBbvTRF#T9n8(BlSoVRq7F{){uV0po=?N#~=OPbP|+@Kho&Mptu z*nVD|1-l-XmiwYC_vL-p+{;GC5l26;xOFldUDf4XTbV%Z%~=9%EK3HYfAhnoB-o%% zURQL<;eT+1%q+HdUJDE-fBY?{g-36@f478rwV*KYd4I}n&a%l-*s_Y^TjTqKi)`qB zB8LK45CFS;lA5xn81=DN`muTpp{a`)i_}Hz#K7L}COI!pY3VyG?w=yU60V`x<^k2*J;uzu+D|Jhk_sh6TdI1XcOPc zVe@OJ-kho7GG)LiU&6jJzC~L2gW;nV)xFK|X|63z3PkrmKOqD72^7}-UdZG+Fm8Z> zqGt@1Z%E9|mUipqRI~NpjdJAr-%m^2!0qYDz?hUUGwecVJ3ReqsS%IM1||BqzG2(zc$pvcfRcz_2#$x|JRfalX}(FQen~AABvk zt1h#WmnVG9S3-;Cn6JAo6xm+n*6Pe=5ROb$<=i91!So>h47z`IPH9$FW4+=?V3^qY z@x-+n?zq)Ku2XuJEynTU!`!cMaVJNXU~89R%^kJX1hM(FSAJP`NOw~#$Dp}ZBzWb; z1NkI+OJu}RL1?;xHCP^U?smcRjiyL+dF@|&%RbvIwZ~jDItm;edXyE+Fqng#IS3ze zF@ouM@?nRw$-$N*Aq@4X^jJdP+w%m)iM?VoFqMbIh`bTqx3m5*||36t_pWU9}ak9qs? zaHJ_Hzrmb0&Z*X{N{1MGPegn*>QR%c{8=$WJce`U*fw4GaxZ6oAw0gtSk9&7J$0Pw z{?!*o_3t+R1XC^BR&c+b6Tm;%aShKTwdD=K)o8%)a*fZBFwRDuQMPh@1WQISa&Out zp8Ob3@BCrpph{825GL@d-stkrVxcCLHhxw*@D?~Obsm~7Mk}hkMy^(VFk-B2) z?Ajg{-BGo|M2N>JX|IgnTw90*mjh1tvw*n~NJj(48ch#KvgX-h*WWACtK&-RW+J68 zmvIgZ5ytd5_4Bb?xTISm>0ge+AZg0Mf7ZBTX}X6CQ=N52NfX1NEXQO0(*;c1gxGHG z$&1M(Wzxd)z@n|>jsvd|dWe=ZR>)!ny?%m*i#V~z5h3nZ31(v9CACx8SC0N~-rh{6 zi7w?9-V!AQ7+$y(ep$G#l3^QWq_iL!54r{#+k&?^2@-^C($bn*YFl7n&}J zI5YD_EY)azm^Z@haLAHzCY{G?X*~7$^q9cLLPlW#PA`G1nahZ-dA39ug#XXqn^1UQ zhpSHCCb6SRy$N;sms+YwYSMEGH?JmkivZ`=nj?75JyZiFuL>AbyLy5WYs%)a_A8rs zKL9yhn9OlMbvFs+-htZUG!f?pmKK9`m8Z@I5Y02uPq_s^N6LdhnPXP&wsRbQ}WhOXJT197Dy- z$u_yu6-ayIPz#Rx@sZ@K7g_}~c9oBZiMTDyhXk?%c8ABGe$nzh_29$f91?%jl^fq6 z6#1-zhH!-2%FS`Q(=8r5Dgp6ekI_q%@0HqRPlG@je8nd7CFM z6JFT!XG-C{|GzZo4;2%3c#B6a)psL!l1?r*f#D>l;a@Djs(mJ{tlVD4nC9G$k%_l2 z?E5$Nx+8>$9Oqj{GFA=zv|yS{^bHAo@|lD!lFZ&IB6g@1oW>yc**amlC>3)Ys)+wQ zsjjEv%Yj=8+@6TahW-KN`Xi-XdBpQayd!<+c%?b)1{_LU|48cY7m;=P0+(99 z`mOeXq6}jhAB)(p8EF(5(LCCZ-EUJAi`b|E%sP2@I^&(rOih+@1Z5C{vM4=zCe!BZ zkvVY(QkkH;Y_W`|a)tlh8d`eC<6S5rfKWBZtZyyO2E;}<6k7~LZ=xlhSY+qzJdM=d z+=q>FH66E?p$bE?j@m;um@lDZ(iS~nM6{(KI~;P>;eBn2%~%=4PeZ?OB-^r==sz+k1Ee0=y+eTKE~Yegjio%lj=<_3RN8%n|yAbdjZ^| zDr%l4#r6@1`yr_Br^*5+hZtW34vNk*q~>^WySic%m28>}{GeuJ&Nh-WFIWm4IU`2y zhy@oy70pX2s@;tY>839_LVHG%?mi6KpIna#(k-~GRgnWvdHh3KI<~g1&!5c{wA5t~U)Sm0yI7teo;(Z{#JT2*%)HiD~D{ zF+}8M@1TCOnw4_)7~8U8%xG_AFIjEA zgRXTv z-}-CM4S|d6$jXUV`OwR>KsOITFzx0%Fm@@qFYxZ*hshGrPoF&@Gwgu%?4ifLc1_cv zoAx~-NsLLVt}xBJ`#((=5Q1X<94@|_ELo@VpS!4|BJIM?Hn=tSBHFWg*g0747Lv*B zRg-S%6XZt6YCbTJJH~W^-mceE;J`Y9ARazi$=4-FZ7Nji!L*y&r%Hmjd%I-lOVrMK)UmflW>u$)`<(h96Y-1C&X`7Fqi8*Wde{mxg zopr$OwhKcI`ZQpr$R@V|sWBXFCdHP)>61i^%Jw z4>U1}Jj(UHpJ{c3UES?LNZBNR>MC@hhseGmCWZpA^!q=;C|t8_OGJy>Bp?7HVKB)*FL$0PXuDz};bx>(t7ecwiI{I!0tvP?Ve zI?rXUZY1my2rgPQKIlA%DWgAnU>r_|uIjk$i}42dc$m%(2XfADysw2Q`l}(|7}rjD zT~w7}UBeY*Vok`G=q<$n3+#G{agD9!B(ODbl=SN=9EneMjR{<40<4|tI>yoNWm_4{ zO4*U{9-9+6)Ti(`_!oI)1$XwZ_4^m{i-*AijP2Z^f={>f6Q-tO088Rzu$i2_wMvry zlU=`T_SO-3{kBifuX9d`#4qAkp_cZKSPhnR`-dH5^}vj=E<~38UjXp}6-BdjwQy42 z)r0j9G%?;l^NX1mfzJE8&--aYy*8naKb*kaPgTa%_=95nj=GEvuVkxmDwYQVp)pw)lEN zn&-ardhfpeAirYw?M6@C$-iFH@B8hWEARXu45aGJ;XiDu4@}qYD7K?x%E;}pBVi5% zz?qcgxpK|e)w;_a4j-M`V|_=N3QoBy3F;3AKK^w-yZq^q2~n!uH#c+8uRNqYgGP|# z>!OL#T%v$UzLqtx;pOoyg9f4aH_N^ZQpkH{L)oR(mJZ4Go$`Gw7+)Xd@%4FKeLL|4 zrC@jYA_y=1XpS~UwRoRS3D}3ckV(%ySbL}sxqp$y;3I#O9Egfs%?*X}7~kplYq}(a z78koJnht09VM|eMldOwGuB?5#vb^z3B0pJYT5*_DPmH4|o4EvDD*ifv$fI zog1Qh2C*CzqR?nhECOwGnKer8;UyPa*`wt3k(>gzP zKn7E$8z+~XJH)rB3>EJ#doSFswjS(m4+@i-8(r|7!E;TzR9o8(NQyyuY;^(24@KCw zmZo1U4;tW~R?a`<`m}sn`K%g3G~+TO`D{RF(*lcMBMXwg{ey{L*V+fTGmgjDa^}^$ z7vYb((0;Chf=1UH(>e3i>_RT1Pxg*9_rOg1^J$H0pycHk(i@V)ic-m%F^G-t!Xj3E z9fjQU-=7h}stVSevF)A_`OPw27|$#0-Ii?6XJ>(EK9^M9u7q~631VNa9Fwq`i2?D- zI8;GzZQ$bEyesJ3Qj__%fIr;)F)Yts!q3sTe^X*1<1i?uCggi=m|~Y;d+dW9voFK( z@ogKGad~F_DBTfhQ_uUg7+7Lc9t#+W%@MQ*&t*bm&%yNclBtV(l==ypU~jl&7W@M{ zg@P$JzfI&=+Vwu^$VbkjVA-5qdj&ZyCukSs8NOFmdo zN=G~BO`nPAt!{D>Vtx9lzdA=^P;kUoRL+lr7g!PxKiJ1dUV|G0kKQ4!+>Vx%YMNV} zz_-qv4k=q0&oCZrf9usQST4<`sb=0M1U6K&Or&1w6f-hOh!h*o2G#=eP&$Mwz~bSB zM(q`vI#wISD2Gq`PHV;us>B7TmX(4s5&d7bY{%BlBH;g=sD?r+$Q?nX6gKrC)WATCQP*KF?dd`bM}OH5pcJ zaV7Hf#Bc%DZG>DEGwFH9Ym3y5-;w27QNjGA9hh_99z8>yr{eP&EaRwS)6RV(4^q(= zJJohVAV6(zbEBv)a!qF)xEEioci_92PEzBgfs;yfrSQ|d4*O-|1yyC`T+8Z3-`6XB(Y& z`F<~II7nQiNHBqEr4>X}9%spc!ps<~EWig&%Q*yj_<9V|SUQIIcoG%%mR zR4!T{q4atV7;-{l&I<^1*Rz1_cJV^5&z{y~Knp?s^OeXAr>(Z|??{-oO@JB`ms-UZ zEt}cq`>@6!@F_W#Z2Nalx($qw3$%GN5dHBS#kB^@WIA0r?^l>=$eM!M>Axt} zGN)sxKI6@9bToJ#4Nu3c_2z#LB;PFHL9)E@UK~4Dum$heGv`@|Js5|VAj-UC5(wY+3@xW1x+x0OOiCaWn4eh5biMIwrw7}oU znJEvLk*?%@D%({?ZVMX#kEZNjK0-WqeRy4I(e_ZS=?_buNp4p!mkx`(pt^}D_`lCg znEV>F?)ihO8pVMEI>Nf_(a3`1bH3x%{#TAo?+tE>Kve6f9QQ8q$0_%uX4M|tje+hk zNZ4(>ab!Kk(T@++J-o0o_@EfaI@2bwjx)Kt|CnT$>zcdj#ZGr0sc8O$g%Z&h5nGN7 z-_Ba4Y1PG3BsmW+H=$^0nj^=xXH>@9<>NZRf@niM$v}w9k(XocyBe*X6}{kQy|oyw z*c9Yt)wCvRFY=v$n-3F<*T{ZJqmGZUbLrc(p|Pn5{Pv7SYkYebe~kDRt_F?7snpx{ zFFkM938cJw2N)FF26A)>--6ap)H1-DN1%NCJt?aHy$Qrt2y|k>FQY$H=*y=JevFP< z$8DDy?`A@@Os4x$uxa(A6kWH|aDHp&Z_Qso^aeV6iNicv6|p?*i=^>HfOPUTYSp_D z=fqf05nV^0x6eA=)bkf+lJ6HZ+dg2`kBooz`dDeY1%1TveoqaSkBn^tXN7~KYFpD>3NWa&FKau`RP;dLqrGdlD`VRJ_Dwn~8 z#Pvj4EL#@zSf)|AN|+h&*iE;>Tsk6|6)v`3O&PdvEPLIz-)_6%+2MJWMPu?xIUqar zHa&-8qcqMHY&Fizt6pi@QXIPP+e^YxC}hFKK!FMIo>=dB$9ps^^HdPt{%LLjr7Hpo zenoP>le8P|jBuLT7Q@=0n&tM^Y?k^co|wnNM~0Qo|J(NpVb$;K+r#K5%o%48_c8(? z*p8H8BW8W^EAqTaEINJ?X?4+abS2ZDpO#r}T_i0EubL43aewSNNR1ktBpCwJSV>dG z(6o8C(O1NLd28c*$){15n4#PZaBkXd*JKNxZuYJS`Ije= z_@?e;2;?CD)2R4pG)l9t+7Y$a5jxw?u7Ke#egU`giR?wU%+C>3Nv+gofU{y8bLlyB zKS!ZIi)eKT*2&m5NnD6-QH*1lN{cjaj!PWwR9k8DNKOq5U?$r>QkW>$FN@wU4JVB= z&#VQB+5c=yHtawiB}%vLGaCLox7T`l!*+J=;rug6_o0dsNOeFqnlH1Tm9 zZ4C{Pw^`tp-8p`)#;Oa-!PW0+qrUUVuHWC%oPItac5nTzf=M^Io1Iy7yG^oU*PZo( zuo6Ap!GQCzFpm;pJTc1?cGqkO!d%@9Dwan952pRKcWTnM59w+YrqxNDbOj2!cC{f# z$inYZ^jw-Sb1cPY40fmKXb3Jvs>N2pC7I*PmpQh%NkEdS_b=$KYel( z4k9aC+^8(2)oEW46z%b(crGvLqNeX(@SesbL~{sk{wa0>-BvVMEL}hf!^xt*Uz)J~ zoU=g*v6ogW{IV;GCPF>L;XJGmLqec#{GGxEsj z@26JjPp~QeUM^sr&>GxVB7dDZVRzaG`*6r$nf-E{d3S*C)iCzGW~NFDj;@nfUPauD zb}u!R*yvXi1G)OCzDpt;8L2ReErsGQMjO8KdjkHFfLrEKKWSVtUK;sYd{1J!>vk~8>mt?H1GB*^Q=}EY z$YJk=NY=hnlUoS={9Rr&6jVRuWH?_|UTdiOgy01nK=~{p_nlqdmrN($Qh9S&;4Ai^cHg3?`9GpH?MJ zGiYtuYM_fp&?yj!=L&D%Ac-g2hE?tj-Ha%lU%J~}qL5<3crW&!RP9d?tAw5)G8ETs zy*ox_&Hi%F-^aLHd1Nfj-&|~MKRLXtHHs_iPlEXpW8lH{Jh(VvOPs#HH4yFQsC=!7 zWjkwlIm)3Ot{(GjruFIE!HJORu~ZO7Q!)N`uzT~xYDr~0q3t;i3#W6&CJFGsk~eWFS~YP zI*WpAX#|sfniCR8ov5NnCZD0jDSb_RcrFUAvu)u1XLcZrmoC~s=Y%ov!$a2M*+kyj z^XS68mL?vTk@DNqqcy;VY#umo?Y{<1eR* zpaUoLimg|xfLCvn?={b2cAkfw{t;*pc*TE=q@PLCW=F{QDRQf1-tjz42B89sUE9B6 zzI=xrsVQE^OywpFABXPta6sLTJ^h2Ah%u zrJW$)HmVv!7)GPvFi983rB4Z<$e>9q9mg9wdEF{Q)glr?=YK}Jm{ zs1U84GddR$)my~()4OB}(SaVbOhwT40K-GyZZ3~sIg01x4|wde!0EPseJd2oi*FdH z2=h6cFB1h8A(t>FFhtrdHyY0BW^)*-H3>DdIdBuyOl*qP6tCoq1Pr@JLyqSMiQR_> zkC#Q+#@Y^i;e**h5iy2XJvu+?5lRylfiNM{ueZRUwr24@ZSPSI`U6H_lT`;huwJZie6AZ{-%2Yb}Ep0V8u}a~3 zpQvFLZPGYwJ2d~O@%Q;yy*&-SfC4(UIbY$qH~)OE5S0o%v=#hII|t+AV?NiYq4&6q za)HyrtdHi*>?kw+V`6p13aWFBoL!n`S6H#ZS*l8Im%aJf z(#J5!gQD8G#NnDrEY;OcyErEoNc3Ee<)9N|ii$m5G&=_e_e>`&HQ{T&v{Fbu(LI7q z`|m6I$+*+6_roc9Zm_dV6Emwso)3lh?oy-uJnz!l4t3&9RJ;;dZl_m#&P&*||IwCa*@ zS@cxV%JTfq)h~iO6-F>NV_tRudf*Arp!2(&R7#LrjTf<9njRys<{z}r^)kHy}I3YN}-66QUUV=+5?he7--66QULvVL@cZcBa?hfyMnVGj{X3hWe zqr1=U+Eul8cPDB74Ndbga9w)xGj;hQ*YOaHB_k(^r(FC6QXnKq=OM*h<$ zR6dw*n2CC!10<$US*{)mQc{>fg7lm~eiS59^W$aYK%&rH3^79vgmWA8*lUIIX8u2?`9!TaoyWCuWpX))srlPr{;xs#U$iz#^D}zPGi^&#DB2Su-UnP%DCipC zyqY|a?l(kU4KX!w4unAu1hxO9Agefcb4Q2O5XOVopp)}x+vXX4+vWJ=EpLx#JBax5#?e2i?iU` zggNoNFT$bvM$D0=iV~YSD@tgL9Oya8dSwwd_7F<=k@TF55Yo8uOr_N4;$FN->t;zo zaNpYt5iL*DT%`~5xVDCaAD~qmNENGYhaD;BbLU*gi$Bxkz_d$N)1tu6xnwS5NkoDX za0bDXsBV7FC#z%ed@5e2m?C#`StOI?l4FiaY0@Z_u(UXzx7?qo?^x}Ra$^eKc_;Jt zzbB34vq_f;67Qir)GU_@vw& z`%9%k1_=-E9U^(i(W*~~@?67xB-?=lt93~u8!9E8;Wvr1gAyJdG}DI8E30dYLA*jC zozqQt-6+@wNmM*4pNYZ${%d*B?aV2_#6rDc`eedGo5Rtq@e3h2Sgxky z^?(N(RIZXPJz+Af|2tCDiAo7qcavUYpz1_D@oLCxa1aj?*40R`7`C|T{~B-GtV$$a z{p_#oQ(8-?aog+^VL4W*l!%LtN2HC7m2AT|?pSNTDLyOXr6~nJvR(R^L@Ms&rYBv{DC&Ba?7tsF~0naGUQf5usr*mFI zvgP!zycB-XpbrekFzT+lSQ>V6`_Oej&s-*AWJ50bz-{~n zI1fVG^g#Fi)VO8|jy~rh9v8+t-(qfYh9n)L5mvPDBS*uYlXqL<=JVij=nKliwL&RR znox0fT6QP+BtUdV8t`F{|2Y)Be3&L**Q!BOj!V)E?`iA=%ah+m>bP0GHG68}PoML< z;P%r?kv(}2UfpaghD1b6;76yzif42|0gXFE(qZTQ&mePzzc5aey1MKLIm{mG;|W|M85)c({3{`y0=TkVdRga#RP?%H9+*cd$UM*tlfF0a0ByBdQ zA~#5iYiH@SpdUT#6e?pRhFui*FIGDzSy`yb0>&9Rwt=LmVRQU;lv7EM?ar2RXmOLsJlJ|llQUT%z$_*2;#;TgGXMr0D0;S`c zV?*@2PajfH^uuqQ-Dk(M8WS#q3(N(Z%?`PA(MU*Xh+OmMT&tqE=9TEAA?}LqJUDd& ztVgpj$OH;Sha9b6n}K8_1#I)yWj@DwUbx^~>8jG5;|Im)b1*Y4q)n*qLM}wxDl^jR zt3FF6ZqAFKnSfBw&wt-9?%JH)lQGPhv`QtrEk(zJHy@n<}q8`Nwz5HLvFb{Qq+S^T`Y;OKEF2TOwI~lk>{w}bnTe+N*?vuaO zkR0`{+**I23PbmZB3YSzrg;R4x?^y3j~FOV#sSXRYM=hw|Mp2R!aM93BypBKcUrE>kDEHeM=S7qOokOdvZanoF>!mXi^qoAJhm z7{#Y~u!32mP(!78ndC^R*YTR6f1>ww`((sm?w12etXtd7qkGivoUb*>W89DVPy|R- zLLzYMG*)^+uKzPgKS4n%D13x*Pw|#GzAT%{I)RWgu##Q{^-ankR}J*!8sJ#{kyQvo zv>dGR^| zz^pR-GG3mwDosJAg|ifOWU+%K&3`z}5`mmy{d6tA=?~x`bk>a8(Hh~krcg>XM~&xy_thU{&z~J!?PETc z&9T1OFBmd0q)-iOW7bOGsG>9TNru&704reJ&j9Si2SzK5u9|fWo~)=i;zkdv2~}%! zKE;-I5(sx`N93YCqAEvw>&|?Kql`C_HRT?(1B^L5j)1akbDgihNV>azdlZv+=(j_#rZfDEQaRHQ=it2gJD;K1X!uIv8L)%gubU@MWCe<66|c;PHUYVU$d_0_&n-Snsph zPAaz~*1=(-MrRP|Iq*r)aTx_JhC86B=Red9wcEzP{`h4|pB8CSZb|Yr|u7g6k z0mwBLc_ROz7=SL{k|C1-!3JxgYgAt(&DW#-?;nxF!6$)9MmA+wLq)rlP_KbW@REBI zN?*>o`lnIQ-K=-|8xtbV2c!a^2}j#T8Eue6DadAG5;KfeS<_7Il+fx z9M;PTov^_OPnpmUKq;yh#fLY>o;zJ&Qj=4bWE`T~T2=o)bejUqf92cRfC>C#+5cGMs^VYx2 zpYv8$RmBMX!X4;UZhZ`cF@)^<%QJH3w;z&A&Ztpdeh#WqCX4B&4=EfsM4eaEjjFM? zmq`^;BF7^ci%_734);d6&?#K$vFy0j2jP-J^@PCpJ<4x#um$LX=H=N8S_Y;1@c^W2s+HA6`6gc}$<%EH-)(C$=y z!`32aTyY7+Rqs;d$1H?*X=yc}D=)E)R=?|Mhm4I)OsaqGadZ@d0d%^16`Uv`qZ06I zGo|k#qZdwS=;10K@YrM^Q1g@2zy20D&*h*`m}2{jNE0$G`@r)`=vCS^UM7L+5H5A! zhc5zEzm8vJhh$`y}uOex~6amRq}&BJM3PsSkCI&(UNq%0BHT)!<%A% zx#CK~i3k=xgc(L~AJ7xh^D+JS7JNn)qsSK8#wQ*nuaWB86Ap}+mCGCW3XUSUt6MeQ zG?&Ze?}Fhl{p^Nv=&2RZC-Lp5{;XaQKwu?@ST;B<6j>p+&4oXbin7H?&4w}>MOvn? zlqTX`vEnciH*dreiUR*^U?Nf4qB#%Z>DwW!Nv;BS2_3vKNr zTowyoLKJULh|-l;ofMoNS`Fq|=uyTJzR_OPQ!NbMm&v7g2yE;^_v%-w;%`u7n9XRN zWd9VVw|bXKz~-&1Eva*3M{f@93fk0&WZ?VWIN>m*_2Q?Om`JEJLhoRaTH43VpBOv~SRX z4so7@Wp!9^G-dZLo5;3gAIZ5}AOF75EI-rMk%8f}kf^q5;KX5{YO|Jx7kUc0xeDXe zt#4?{g2ZLu5PH3L6=0&(`crDKmHKZ=h~tVI6XO4KN;m1VgHI8_ReJDgQ&b^wG+g@L zwrmQPDK0P|k|=Nd-}rp6O%@EG)je6MgAQ>5_VsqpBj0A%Eq|n2dA$#eDe`n8LV4e% z(w1|+>QBuZu%r#~$`PSb5F_Xlh%r=W_fMeZI9fNux9xwS%Cqdl={nzn(c&jg z(qH$E{H9?aiGh`y<$7mzq;~Z_nv?r~ZL&bm;`)Lhc^!JJoekP{*Kr=(U50p5MXLrl z^~YQxGU`Qy(fUApp^dI&lj0H>_sV+a)$VtafJ~7Pg0DpTgpn@d9{mwqXxvBLBG$0( z!PC-#I&)WHFrs{Zza)Q=KlYL?+>|8agfslgzu~e8#(C$9$uB}NDr1nw_(j{nW7WB6 z=mNa#aCtdz3-Ku-VbJH=ay_K?225<9l!fD=-R*fN4o!y))r{-0A@Fbt{hFqQfrL;k z&^A!}l@~mF|7JGmLJ2`IC zY^}rixzMGh!Thwh=3WY|r0I2cOcnjN-bXjj;d1&J*~o(~E1%Ws7vN(5R?O^{$DLsw z(iCPo!#aIBra|*35AQRFdb@_RXGUp?i`}*1uQqrP3WUre|#nRvvkM;HO%eg!pSo zulciC8V9;fGShav%7jhanK=>;J@xHC^LG9>u5X`OU|o`ZPk+H(O147uU2mVe2*TWl74wM`*yy}DAS6dhUF^B&rc)>x?fVHC}(J3>bL~#2El-ZnjEzA zTci#cu2z6W5w(!4-Twjeluw0ZM5v5EnZ59U_YAFcLGEXMCBZm@N$iWIG<24-gYpU? zl!i#{x%!tgx_eRDJtVLS`kOZ3do#pxOw3i~IHb=1AX(z(oJt)vL5r>Qk*jKz#{{*J zlBNLB;0LI!oPU)_M1VmgJWTd%_Pff79|y^$3vo|w024t_hnl&diYC+3gQ*&}xp$E8#Np#-WZOSzI=(fUbLNstC`m=IE zdeD}$IB%2F`%;N9qv|urLKl9?G?&G<43w%?{%F)Lfutk>LEDH_NDxfi z_VO%QC}XaTn8?7j(f7+slcT+`2fyzi~r$9p?tx1_y6= zLM;WwxH$)WCU7hrRlRu@!7{BoL!+I3FT5!&yt;?~io21@R2n(K zk)h8?a<)ZN(cWw7-T1JdfR=WRtJStfU6m*opsx+X9L$iaAscR;jZgy6A%yv+$=v@I&V2tr9! zRRMtaA0>T9sWQDrMJ5>BY{G*~!<1a~DR|erR3oj$i|kLw5;(1$RqR6^ zk=LXg; zP2w4F#iOj)p3*zkLGu)Q=ox_?J$G70p)WDc%y!mk3TjvPVipwnKcWN-NOQamj{6=_ z_khnWyjw$6?j2xI(O~os@_xYAtL=$vC+K=d7W=fz5$Z?K^4pSvWP-dD?|GUme9*Aj z148ce!v>eLt5)P6_}f1G;4RnO#`qs;CivY{J0sg8U!cW_1~TWqB0$%_(OLj(=eKKE zWk+YSYokl<;bsEe{ICNbg7Z^Mx5{q$& z|4~tf4qFvB&cxMMGcT1D9FfpM%-H4cRtbaH=>n1%7Fl*%D!o`oEu9JlaMOBGm*I{J z5Us$K*rTz1+ai63(nN66FZ>*gtr@N?@(8)t#AP+AouqY$Mp0Vo1SQ6Ywut<8m@>ss zb+RLWt_K8rY74KrFpZpJ3_ur|BWua-l+{BUN&0)*d!77mVNQoI#wZw&eJG-6F;tCE z@>hR1(IHf${vVWk178jXFTM;&iBcoX(wuKL?O#nsc<8YH9JpKgW_fKh@9=$`m2z+2 zQ7bZVpQTNN_6?%>H+Lxgn=5MW=@;lNoiEx6`$+3Bv594pNiZ@cUvGWLPf$+>7b+zG znZtHTuBWwluSS=-aD{h#7%1bEV)WtQ*I0_~h_%%rH@47#+xv(6S3`2P@wKb|5F<3JY-ev(%36MF7npBm)KF zQd~S&q7g9`9W_`F&u)2lB%Pvj$|lL$ROn!UaGSrm7S-Ku7zAKHErcNkes1vOOG zZ)S;>bk;{ZL*}M`fu2Ru_yUN&9r5bxCiff9+5CrwTO(I5D=Ts*QU~!KIS{yb;h@0w zLHvq5t70qI$;Y~P58(=7F{$NA6}Kag=On5YalXFyp**i zcxL^$>SFU-8vCMZKmIjXUjmfSh5m;K%pwszZoA)u4_}EbntN1aEcIdA|8MCt5}c2a zlI0^$0bZt^(+uR2rSM?r;M8JEW3(eYe8sv_y!?d?wV9Vy=~yIf zi-Ow;(f$=I4G&uf0C|3cXdUgj87p^L{OW1Zsc730wi1@-A9li!H^-z%pz;{~#y}{S zbTxh0ehmFz(I#QsS0CE1w*(iM(?i3Y*gGI_I{|)G2E64Ix5vx^@&a*n}z{6{u5uYOR`hfE! z2G2$LpA+#oclzXyR(KpDJ~a7aLy#XMLSE|;(fIag*6@jErAe(|R+TpWRjSd!J8pZo z7Q9liVF*Obfi*>Aqw@Y0VFEnN*W(!=X9u0=6y3(6_P*xB_M?hxswDa$dS2~)c>d+C z>Xny8yM52r_r&#ZXEY0!7SIC@v_`OVFfB*$O)Gz( z*KnM_3%duLhve5wYrWMN)`4=^(&qI?4kYr#dfwHAX*4!|Fu~Wuo6~+`d+x{wSAWPT ziRKql_?r>0|0`!?st7S^V%I~C6XaarXS_c20{*RtnI#-GBI3bWw1lD;8Epg*yP4Js z2L|zBOb?~IuOr|HAB`kAQbNeCF2~A;W+(db=ipw}oR3Io)~h%>)KhRcGdN@I!^ZY{ z$anH2;z_wgMYS(E#6!2w-4@6UtndBt$DwFW&2ilhqvnkE7nDp3d`85iK_IxG0V z;>-t2kh{Y6u;T$^gF3RL`d?kfDOx^h$U+COdcGh6u(CBL$M-k_7s4V%qU~+Fg-0>K z9y$;mh9?xRNvY=dHBkQ`5?guHxnYdNbU~PJ=lfI3oMkNzB`FM(AtBURVzl4W_9bX; zWVGlVzeE}O^ZUhlw{@Rw2ve)D>h2NBw`FsGpm-g2+#|S!P(Y;fPPs| zXlqq1a^JYX>Mn3)Yfr-UfS+KPo~xY@-3kBK%|7MDqi86}UKDMKk%7oI4q=&%@e?Oc z>hJ<;el7FjyR5WJ>UatTnjN7-iPD+(4ZC1`vxzYV5FpGm#qCkbs#~`(jae9fEp5VF zr>fLc){|9l3ea})AA+w%BSK2IE)ps$__Jtoa2m$wsro5AaVeDabqKt3h}XOEoq}Y^ z2RraTZW#2B8+ziw<$FeBA=H%D8v%nB#z&JisBoIh`Ld9{z zL_5oC4`KkzjZ;DlW>-WkQ`&f)oNqtIZ?YC}5jFcY63Gayj zOJ@(Oz3>N;7F(3neY|4aG-ng^adC@hNRl7kF#w{?9=`_%e@WXJJ84(0p8dZZzqsss zncr=qZ%z*@sr+R7hyct_vF(1WCJF+MB~|Pg&@Aq<{|Cdx5umBykAq}f_%kM@>9;N- z%^g*F#FBfGJF?j#TjgeN&0hr_XCD%Awe-T3U0RADkA1=Z1Pvn^NdI=)or_@e6EwV7ieh}nba6IKdYz^!nPofB)v9 zZ0x`iVZrRetWK+V;2m|QdH!5+VMSO&>O0qqh%c{q5dI9RL8UpDXv5j0QL?CNm7e4Z zWTs1?stG#o*F;px{*&@+>k$LgI|x%pu}2MZq`s(VxgkL|Zg=Hx$ruhbZ(yC*-W{ar zj(nY$#ky2#Na;9uh;OnRD6`-pz2l(0?rzrLvdf2EnvzQ#npGFiRK@iQub^&rA+Fzf1 zx#hYV@@+Pl^qwkCEp?z)z_u#7tW-NIzBu83+#U6+MSr);QrwM}mFCjF-g$Cte_ivk zPnrAOu0QNVX#0Xooem+oO$avE-Jv1s#J_SoBn- z1IV=Vq4(+6l-cJgX$^35J7?Zn7X-Ts3e<7HZJJRGCOp*}W*|kSYQe0vW#m|GNC}c% zw90@FunVv;{4ZqMqhBTaGPg@GD!vk^Shc?E;FBPi$Lvx>y>t>t*iWD$Mvq? zL1llWr|lY7+N3YJD6nef$D`|Pau12eq9e~M^G@+<8hWdO9uH!+*;~H7AOPczG}L%B zG%>M}T+i|#ihy^e!6Mn|zEWf0A(4N~5Vt!a7#EDvs79(*#u&r*rbTDeG0CQBr5A(@(x31+fu=Fsdf%)v}gpt^PlwO2lPGzud{bm`uO`Hd zfL2UzlJd3#_W}L`+aG3Cu+uASkjx9Ye}Tm;I5H;jdIsQ$RG_m~dv$HS0buT?#WIyb zH*U?fRIg=P9Y;{*R=Nn98Zw|;l`S9PnD zg^D=ym+?vrJ51eIWj|=m9mwEiGMfvceO}Qaq1e+K@AnsWIUF`q;Ex|aR4(40Z|%sr zxKiK)>|dw?Ec#=#ln5MdixDIX{=7C#h-@m6E;Fn<*)>`2uIOJssc+ z+SZgSU18R~%NEwb>deGQxG3Hx>36Co>^uZa72gM855|?#sM3i@e^Wb(e@{al>g!`! z`%OE=x=08q$GK`tpqKN#TM%;8ySW}a_w_{TgsAV^-M)SETs8N?C>UEHVLFz!9AE0N znsNZ57+hzhHdc7 zFXTQ7F90{s-27~Uz~D=0DZ7iW9jpYDjh)uoG9_Pta}2k{ds7^J)Gaw3tKFs*yE?cg zP7-C3mKppo+6Wy?Hai9w&lF$3HSx1v5Eb#a(@*NAHu2Pn%&>AZNDkSps#d0g(qa;K z{dJtWL>=u$oy${Om;P7@`~6l?P(KU7)?H55uGd4DKGBytWip8tgMf`pF`J(hx;o~f zMRU7!*J_5K3wW4`mvGlPZAmBNw!qwx7X%%2b#)ikES4&_pUPCL*jP+umf!+fi_moO z5?op=l+19r;w)0PJHQI)*&!YD&zX%1E~6zwLTP;~+xoACcuc61pMh%ISXWUs5GHsL zXmpXvGCVv2ZIdN#_fs0|HyYDV8TUV3RsZt>4^n3b1EZv(HSrH$U54bmuUTK*7D(`G zm@rmjv?Px~u0XAJVr-?)g(C;To8<5BMc zknBsU;CluC`)IhNyd8%(*gpmRK#v!2NYhF??mM@5~_#`3Jyjr!8N1O>$ zKG_0ZD&=aq3@(os>#}KV`X*a(SwjdT!s)E=e+cj&Sra~#BM_fe&9F>4ZbQz>F`Kn= z8{d!;;&ZtfG^!DQDvm89mr08gc9P!h%=c!272L*=u)a@zJB+2(z{=$$3#PQy|INol z8jT}U@e}N>r`Iz}vV|JUfq|jhs30>Dn(U$1R6J!1u%j5=-5-GaGm81URpfX7XlZl) zEag{7ibmU3vNtaCPYUF>8UT=|zqzqml=gAaX_&>F;gRxXnz$|HIAImxlJl=O z%P3H=%_vgR?;7EjRUMm;r~{T72%SSAWPD?R+0fN<<@SXrnACX*bk{}uz_Il=i|_qb z@5VYIS$X7z_9r+S)XepR2(bCFt*Q=M*eA;wyJP<^NxaZu00MdQB%XnRa3Hp0QT$V zEi)9CAYtlrZzR4*F0+&&`7y=0Z2-b@ICdlHRN;Y6HCFqJyhtX8Zu;KGZ(~$akj=DM z>CHuZGK?N*y#tGm2tFe|0#OQ&{0eW}T%xCDd&GkU(|=wS@II9~MhZMb==mb+2!Gl> zfc%-}0>!-5_7a$%`{drO>16Hhz}$YXQ{Co+qjWd|}pK_=&i^Y{Rc742mV|ykr|3sL$S|?tDaM7xy#bio4G|m-!^8Nud z)QHMoOgR|4{|0D?>79g1@W$}ECj%Ep=_)}Uh2d+dQ(u1`R}NaT=|K&<7~d%r(()uV%`uHd4LH>o$;DP z5~tlK0vb(*I&N7;BF5njdQv}=Q{V|*>|1lXH{*TP>9}jcHJWthpB;}iu}$=9GaUz! z*Wku3OtnwxcZ>-OjSu2h65UEsa;+~up18Rvffrq$_S(w{9fWYWqI)GE4O( zZH-nH#bm=ZF_u>KZ@g) zt>xx(avsg@EOo~Cxo;{ten38_26DpYmSv;Pr^V*;7h5a5Bmiq#?Ecv+;m=c?^u1nYZNBBxHjqL3{+myby@L53|aWF!OoPk z6O8|uDN$>f3DOT#Qiat)s1g2Xaz>m?q;5fsFT6L((7mP@_ap0D)C6>zt8T2LqcFyS z>+-E$cr``~y&8T@Z8-Ug#@22B=!(@3T>*T-g6VWdlHI`dbN=xoI)fdC^-X|0U68Xf<8Kz}l?n7wR(5mM zyDm4A^6pX*VgW%X&cK=YWykc8A@ws99G5@x^~lv))`k7fAFpqBqnR^RhWT#eouKO# z#JPP93i+FYcNpP0qd+ysDXXTd5kgB(U-ImZ+CS34dFM;E$D&CAG-=)dm_m{!&b{vh zxja^_{9sO*A|td^YkNXLa5#zP-zrTD4S5pUSnCO%>H&|l>BATs=-fl)B{8X+PQ{5dTLvo#6{M`l>3eil}xa# z!M-WjzMzQ!9UE)B8dkv^m#_zo7z40>b=B2^Z;IL^G|=UB;v{S%dKr(j=;k<3E3Ob= z{u<=Zn=>I(BT}7td1sU2jc;5?%kg{;jv9tVc8&y^5mNmUgCPu*e+m($654GSH09GE zz$8UKp0D{TH09*t@F3Y@-#PlXOzoR)Hf90`HFWqHqHQgS+Y#HPrEV0kY=bG&r!Vhi z&V1OC5wT-Ydy5NJOr)zJ`C@k?J0ol7GRE0hptTVt#3uQGQnxztKI_i3iN%CqT5sj{ z%w&=>j}@*I7GWqU&`f#w6*uIiUwS+4pnB&g8YY_`&ceLX5S0gD@$)CYlKYnl_Mu!n z4?#!v@HNhzfo~x-EYIL!>8Rsu9Toyl?7nh#d>alVzNsOEzhrD78mOY0m5jB$`j>2OR4A2MCpMxE{0Y}Bz^9@M zW)_aD>8L*5{v2@twXU>_(O5apPU-<9nTZtWy+)D^U={TMmVq$eV+Ck=gR!GVs{nGIfNfEQOl? z>0)wcj96z}jU*u2Pd|uXnpF(#<5A)0C(vGrvetz40em8Ab3%;(FjmPN4<`VxgV?!C zmM$?%Bne85UEtZ5J5&lQ@<$&UFVIbXSr%3d?JZ-yd=JzcV=mz>2onL{Ydv_uc{Cb@|y`wjC8_YXZ2*$XaUGkR9g=CQwQ zE~b0awa>kkPiL@&<=~PgbxI_4$a{-_cIJf()f)!b!cb_B{W`JULT3)NeA2zBStE`P zCGKp3#AO#6A-InqfE2_t3W+73H9Z&H-^X+m)Gv`wToa`PC^0;0FcH?42l=zPh0Crv zyiFhv9$tF|O8w;jgY|k&6OR&EF)uxg+^Vp$KkT*AcE4f)r!{WqSMKa`IQQ2o+F_Dn zOFp(F5q`=-$<7I{ws4}vYW+EqcA_|mqTUrHjvs%BfGMSxv1(rqyNMv!G9YVt9!piu zg3Pxx$pz&^|8kB~cYzSd!FcL)oeBqY;luW{wX1(ICFUNV=`8;IWA}H9YJ&H7EA_ou zf0~tE|x8!@8Rc`&Lehd~Sulq%iYO zIEVi5mh&(@Jaf(O=^W#5t(CDCxeiv8_AsJ`En}4(E?h(d!NSdm%R~0G;m_y3ApZ?Y zh#AO)=(y(*3%DSb=%(R=U?M@Cw!i&zU`HZ;dxCu z7D5eyuT2#kSQ}dJ!hEeyMZ{fG{cgjdpK3_NT`i5Rw_qnHe^7;VeSHh8T6nO>!3j=g z109?PhFxZ65<80MF~O*vUCOv$++*e3zUJoN3-{v~nuAFJ`|e1tMFM-p42Hq^-FZPp zdA6RI-57T*OGIGfboYH&p?Y{1=83{eON>x<9_R7cl;&}jkm6g*ttnpItcn7|GQPu^ zH`69`pG)ubxw*U}M^P4C$IE7GTM5_h2lfu6xU1;dN$&}V6Q`q9Yp1j@XmJQ4l{XJZ zuQn(AuhOp#HoZLv=QUjg1oz%AE7MWPuJiL@_T1Vnm@*{>Q-tQF+B#GF{0aB%vvudo zAlQf|vtTuy9BbuUHxHv|%O~4MmqWH;ls_&LG(z#mO`x`x4EY?#-v*PKL9+~6R@ zL`EUK&~7+GU3AK^bX-R+!{P!3UcaxT)A3$#yO4@3Bc40(Tw+A=lhiD)kJ`K1T{#E^ z#t9ir>w;Qba^PUxu#Q;BN&ETGDwy#CS%q>JL5MIjpcqT|Eq%M}p(33;E0Y_i$vNm# zAskUv#o4mFX-YxTtd34q9<_Z;*-+FLv-~geKIC5PFfK|vY( zX>*RTtNzHg1bSN+~sfu->MpR!sqfo z#vaxVQ5dF)^a#3$7y96c{r%0d{&Lm|JJ7Z(=NA`|dJd4}xziGQ-zAqStSU1cDL5hl zND?z2G5%NK!A@Xm7dBmApFfX1(h{Rh{&UwrN`+@@(3I@oC^|<^4!SX5jgqXroOed} zyXWQ)t20fUn+AC^LXU*gChy@(ilSut*O2Og;NQor@*%TwabvFlf^28LNvHn2Ot^aA zhp|DAU$-qi%4RNak6~*CJ2Z1;@HVK|!FCj~a#RISrQ^yIlj)MFUxQ)}knvnMuogAw+^XWmd+;xy5_oi;8s7|aTc2Yk7>gh~iR z!@<_UcxDO8f^Nf!b`qe_0o7@0LJpPb6KQ7xFV0)x++462dp4k+; zZv_E8&Z&cL@JG6-cVUD{ze`j&8;4Upc|!{@Oc%#2?E&9_u#7%!^)fi3ltGTL(=7;iVshh2$^=2sU!#Y|pH_nR*Vs zWOI1A^}Oaa!ka`XAn=O<=3U32nILJ6K^JGh;wJhc!GN*nxKOYxQq+^u`tOYReD8F* zu#B_9zog}q^xLF@%wO~yaK^Xwl>J+lp~`KqlD6+fDsW3p$nS8kIiL1zdwx_;mD2)? z2H(~w7LL2G89MR6C*={VF$XzeGo)DNE4N?QTYD;OJN;G4j2|u&1dNTJU%Es$DxD(u zT494?>N+Rff+HVv1s0l@ra68BAu`1f05wBtg;61nLy8<-$N-LIcPvbH)aKCGZ98obXn{}>!V(N6S#d= zFbFm(tyy*2^i<@CZe12jk^&9eBnNAg8@93%WG_*HHJD3?J<;eYE}! zoVphEFW^7pm_G%_Xa zKwO#Z*HWs_LpDK^9kEU@vEaIxGC3MvUTKTu@ed9?t7+-1r79KX^5ZovMEt(Zk`zRq z`xf?APzz~eMk6wsiD=RKndFp#`E>E56xjP0{Tw&z%RulsKW3V8-u1WxoMkNy)a8lm zHxu_gXmRh-G}byWY*89*zmroQ*qmaY2O@W_NzLN@piCNa9y|#t&Hp2DbcaO1V_r*& z8rwC1HzlPL79#$|5_sA&tP_dl+Iret?OSQnQpi+adMZeG*beQd2S>-2S^Iq06e0zi zoY6`00b*gc)8@^>_*uF4XX&dcP-Zqs zBHGGayGU>uMv4}qkUa{#{{mfHRJ^IOnijA&gd{}5z>RMau4Sg!g-%hji|PrFk+=8u zz~x4FyP>r@Dn3vvqM{*scKP@X$@rqo#O(?ZmVD5eudu0}MYH@^ z-gu;V&yEy)Nnf*!PhFlVw_5 zgO5fdixemC&Ikut+;bFXboBv90&&!P>!LQZQ2rK*Of47{Wx<6Y6yp05ij;hm(oRd@ z2oTrb4hK7m4uwx!c`BaMo`2!tJ|oC{{stIcHE6+iapa+j`;RY5cC=l1l@OMtMXm-P zgXFox1LD*;hk%u)&rtUc*>O8&ohaextusA5Wqt;$32>V()8wo@68L%yOV^g5r2Pdy*wz;Ho<0-gj;Oq$$mndTzN>asu{#)^t;-4B^KJ z-Fzc0rD+g@eYXM@DvS!r?K#Ev?Z)f3M#96>te!UH0h{l@gr2d5+T3>2z4q72Q!TI| zlckveljExVdW=Zj8Lzd3y-2l%PBbCTWX*9+J=+SKSLM#s>)lV$*FF1;o?S@%#tVS9 zZ*=tUwiX+r-#_8pR7n7xvHjr=pQ^>41EK#PS??5BXVh&C2aRppw(TZqV>Y&JJ85hi zJ8a{|ww)%~v2FX?ecy9&&i~)$W@oKutU2cxbF8^woDor+58fQ#-bat~b_rHA*m|>& z+xXQR1gHaYiHxp_(s+%Cl6cR5ytGmpFwve9_PmF&o4D_in=eA8ObijN#ipMBgy7C> zrdpyB6BY;2)1YgZQD3)}l>2%N&=iy@-dvmJSKXc=)2WJAY`2hX*qCmB2*A`8HdUrP? zXDBnb*^apnosX-QHFqhdv^)q=B%;44{Q*%qW3 zGrBu%d1$cVi)ouWmA|zg&8n-tUx$IrQr#?xxGW1x?gYPfq%TxA_yBxtb zrTqsbBiqDE(07HU8E}Me^}7(uVD#S_MA#066>_{#!@}n~^PX~yWhgs)yjt24J~VM) zkSoa9-nMrcn`P(3(!Oj-BIyb6=h)=?eg&GSl^d!v0?82aS^Pn-hLdtF3RV^n<#4M0 z?Jvf#ilYWohLUtkuAe{(OPTQ z7&5n<`CssN6>xqGV$;Sm+`|a?7dbrT0qsZ4v~LpCI`wy5eRj~?xK9-GsNYXHi z4AX?@eX9!UOZUs1QD6y&%)5|uSet=yNOAl!_*w$v`xCSbx!Dyeup?tl4t%*;d-=zE z&D29W|! zRN=xsn1fTB5J~dRVOX^`O3}nueDQBdeIvxXlHuTL9G`;nXo_Lj44`7IAQ$K$0@5Pv zxe65BSQBIWoyqUA^lyVrb_1a%onUpBcjk)HG}GQ9cP-2b+P_y0s1@-$*t*sFMLH6h zYA4wa*}=2c;f7w~7Hm-=C|0qfsA}Q931XF5Q4*lATsON=OKgh~ET>k5lNq>yr-++9 zaF8gO5s<9>6#?me&)1KzQ--+l@*)^_f5g&pB}e}!b}2q&4|^+m2ROeByEG(Ct!^ba z4)IS7laJY*t=mB^7)d02`tr{ftlZH+O#H=a{MG1%Tfhv6Mau{-s5EOb2i=7aWSNLW z>68SqEdcl3Ww-U3Z&pE zH|ubKbeqUePieyaU%_lZbYG1LD7{pgm~}VlX%;vK78bgiK(`{8<^k(;;^}5}iVzp8 zIPq)OUU|h0J`5*ZB}f^6^T8@SP}tyQ@1!Pqbs-IY!m<^4Yj$?Q zPa#olffs#D-)vxN*}ION_@!F7K)W;{v7?hwd^8rcMp7>d8k4f)^$M@laNt_AwxdF| zt#fy*BmD-m&h&~Q4(D1VQfy+QOqb~w9T8%*I=rITH7Jd3ect57?eHVe7;Z^(e8=i> zv~sA-C!)wRKVtP&V!K;yU%?be%@rH>vuat11`kKip74^*bPzjNYLj_(@l>mMv#2Kd zVg@z13z~kk3{I>n?NvqvNdAw@e*A~af@%oi3EPxS)%cc{py=XT6Rh7>hoy~35y^lH z=_X3GX7bZb`FI+R>yc{O&MylR@-x+Q%)XnG(jOBxpMy`7G~ye;%avZ`n)q)D{0_JK zMSj+S6v!ZY+AYHOp1L&fDuDTdN7|@dZNIAnsTKs?pq4cZt+nadDNR5^`P$+Cu^BL| z*Mc?- zh)A)3f6ncJf}dhPC!Vkhz5~wj31e&Q-wdvCr{T0V?N7e{jo(Smf%UQx0qPO}YNDtL zk^Lr>u?iTAfr>Zz4FQr|Nfn!a!S?dv>uc z5O+ugL0TH~5(f`+TRxkz3_$k%K@hi=#djtMmNDs??C(VXTK+Z%<2N}&e3W#tNSSX~ zmZz9AHmgjwC{3m*ig(K?cs1gyUck!}#Pz1aqqp08ZCQ$$ux-&u#kBeb6^O<#BEzn z75Z(VTr&Pl;pIc)VTiGD!T1BmXQ(e;QQL&Lw)AJ(7052U)h&M=ZSj(0d;d^v+Nql4@!25Ja{xiLWS zW*?}he4_+QF1&3^9p%~};8<$pZL7NL2(`oJ`lC<# z#=DP?*7WZ}-nWKbZsGZWO9>;ASC5n(d7(y3QUvR^UwP+`7f_%lM4QF?5x1sFKL?++ zKs3BRfP$ni6=&=%%4gVLAUW_&xHwR)KJI3Y$2GRPxTE;*>+s)4yBFcS)Y2{3>Xf#O zL6rYLN( z61m<4aV;UHDrfSAtU34A)W%mReq5r?zC}8T*+y)Ib^-Q3Q*RB>(EW^2OB-eyZ1*Qc zgbdIF1&vN==re_ku7io}GXUP)cZPuxAooS)HGhK;p1i4pjx^`M@VfZyRdIRB!~UT` z-~>jngR=H~#`p65AVrbuQoBoMxbgLE;%OQ0ZbBc%zdPUV1~B~fWKhgFC>fNjZd*#w zJLdyTJBc7o3|uA%uAs!)0buv+{+0KI@AabK0vb^#AF~Gkn|Ua+mFz}%H;K&9HdZvs zLh?HE#0Y?4U+`M94n`y1U!{J@(g1E&vv}28{Per02FQK4>UccUu zA0sJ{q2uZZqe~^mYZVeQ=GD5W%mN6AD5O!<%RL((-qo{<-FtwJpdJM-HFflNy7Sn# zFH{pKIUTuP(D*=Ir9;BtTFna+bM=>ypA*bgsfx{_MQXtP--2Lm4|G35co*M#8xs#S=nejJ=2_cE-G=JZq%8a?rmh977dKUw{|wKG6U z4}E`Qi3vh^>n>0gSUSERC#)L5IX2D|%y-B_EU!0K$E8T6Pe^=wP;PbuwOSM8q5^UF zBm4-_NfXGwQH|f#q=z83d`A@RrC7qeef*)2GeWOplR!{Ym8^xao|o1=T+oi6JCx1#R2xwP$Fso_6D zw~sJKFk5qzq#wb#!2oO1;G1BZYcFVfdz1j8I#w}{CBw{LbAqX)zt3ABO$A8`3KrQy zDmMD07`Hh$r4Cq-))hW>cyYD|zLwMWWha|OMqLS1n8F=(nJdp6elCcWfA{>MA!MiX z*&$I3DomWNa_7Im5cbl9#n{1T+Jn!CeK>w54@|VkCBi*tuM13UUEc(?GhO66b4rpy zbOrF_bz&c3!)CwY(l^i`c!8VRAS2B19zAfQ&gDM;pHEf55gvcY?yl1!#-p3?y9{nv z?mJ5!pgU!5W2Ow<$~$`+B%h8Rj8h{Q7>eM>XzF8#JWqihi#5Egj)aD0&mB%kikQRJ zM@!{~)c^*R$PAq;#AD*62n98zy1Tvpi5jHr-)buN_d7PpHlr48l{M8SluorW&B4f@ zopp`&+yId85ury2%a)g_t<8@KBaZ`h71$#Jjoq62riLbJV>PQZWK`8gQ&=A;TZI)N`D^v z`4D%XmjbG$KRUjH;0co5m)3{jI92%6(1CdU^=Y*oSd8}49RR)s7#)h*O5|jQo^p|e z8OS+W&dL_kd8V5~o!XZw=WMc)KtZa=se38-7)cvPe! z8M}n*)7d9vnrX=0K8G(#mK0l4rnKs+ys0jHxN9%#|Ars!SNw(ulfGZ&*%FhiN8ZCm13m5-0jZ9%7Y^SV(u_k*vTj8uG)c0OC+y`E)K* zh4F5l9k3UOH=zxtZ=_{o=up(C8T!Q|Mll@(LV*|_%WfyI|9nMR z+Mse;w*2Ag#35!fo`W99FMv$a4Z}3)PaVuxNQgf*$qmP(%*=e*nE-C;!p3+=Q2{w^ zDM_8(Ek=u6XrXpf1?5m9;D(1d69Y{MGBLQg69kyUe&Wd?qK9}QCg8dbIvy@i7j2#B zj3dVbvs9!sAwPWrruDq^*+gmNd|pqSU{Km-EwkKHnpQPXXn|PGxrQf*N`l2Q>ZtfD z`ie?Q_BcA)rW=Er^~7UBX~0Xi}K~)&!W{F zlgUc1AP`CfPRrSmtXD<-U@QemvVh{b$hP4K_72C7o|ji3*PFiA_moNFsN1@qB6g|1 zy&4{Juj&L|(3^Jx*Up3xA#_Ds9nt3bco zN&9U3o`OlR`*8JvJ-LYE0PG{Zf6+bjf1-OiEUUNt-ze^!CFA!n5?JkvPVMjC>^jLo zsKrCkb~i4ST@LSd%BuC)L$r5_fo9y398t8ADJzV?W6GY7>f%9bd&~9QR1f|GDQ`B! zgF-(E%GY4YiFp3vT_vw@qnjGAg(v7?-(@O(#U=WtqKXs>lb*e7E3c0k_G)4OnAFU} z1W7geQW4_95Bs}1K}M?~%EuA+Y?@*EkPl%J75Xsgv1&BcTq?LT5&xllm0l4Phk7iKX83QQM4o^{L2DL@=YC!yw^&!xE_ z9#IjzgF9sq`}`5TmhZh(AdhHl{@=iYyN7Z4u>?^70h6bQBSgJq1DfU>N-hnx)>1^( zyRfqH+1b`qHCs4074z!Z)=;+Jh9N|e^nUQBK-{0}QGZ-s8-m^t5cZ03Z1lg814f%e z*cC6`y%V##W@h7}p9r`5tV2Kkd{4KyWLLF9q?CtvUYzcv3^WjIL@8<%{GEaxDbC2%+aWe{&x1lcIKehjtwaI(D znFunC#i9^<=RqMHR?6WbYKO!Ku}bu}z=@wh{PoFq4eU{GcL5L8kj&P52qNuyde>(b zmz}4%=fBD<_8n@LefSWJFR=u;F;UNomfOj-l%tNk$Oj>4X*IrJNg94|Gz21;CXZ6D zAdNgmXM8j7>Y!{Gj$ zYtP&xe!a6j%I8d3F7r{(*<<1{vWNnol?WS&J2;$lPv{56e`=Q``Rg$*5bf1)A!utL zC9*7J^48>?wO05Y@v$GO|H(~Jtmmsg`X zq=hT~DZ{Tkh|)ZyA!6!?F*46rJ=AV51T{p*KdfY^6r;Iw<~}@;rP^n-usl$m%i^$! zbFKtAOAsxKGEZUd5q4cG8%e%g5HyxlNaJ&*id%Y)02+fJGaYvmrD#iWX9{xjZ*_El z;_BSJzD(i1$Z(}-HsVKPs-eWQFauD}Z8@zw#_xru)!Awdfobzk zqFu-me|E$8Sg3=LCmzT7x+6)T)qz~EleU?c!|n^Jw;Iod546gm-VAok+DU=oa|>}B zX7jX#=s6RidoFKvY*@#Buf;=23=tBjha)(ZDZ3de4w{Z%ktmxz9MmT#Bt!xA%)B$jTkCnK~nf1+l9sN zoBHU!&irPo!9O^XmEpuKROAgNEOlaeiC!PPD0r+S0$Nyb=(Z-~ukW{-)DZ1oTsJsZ@B1~aLZw4>?w9*GgA2_Zz6MX282vO{c{0oZZU&=_g|8fYR-4vTx86-U0AMp{XMXO%SKUGHQl%xKr#<+4G zh0L1)^!@CRJY@8ow|NTIXZE!tp>W0V>zXh~IRuV5tvEcd-e-z_ahI}`7uOd?qc2eY z2)Mi(3hvHb%ze1`^%&y4R~zYSH5%LgV%`mikY#>rij+o6=PT@6nR05=bxL7 z3W1T%4>1n{a9Oa!Q@*(d2Uy({rrik|aTaze_2p*fWE+Eq4a`LCMSjmE-r4RkNL&9O zynXWnMvjQ*O76*Jy$-8usLQ=(zgoKnK*BFa1`{eB`PXlmAB=aFj7DO_29-o-!jaPG zjTX9vL5-i($)kElbS$hAhh-z0(#z?cpFSU)d>$Pv$Xz9WOZgVUa)$_g&;FnDZo+0u zJd2BuBww+?DqCzMKY@RR*%9E(hrRL|l0?NHFeEeWVzp%J4oTUUkaSFUuBxzoG?5@j zP;e4;VWv{Tli^-o&fm3Fh9yqL3k8SM*3~z;{9D+GTXD7vb?*t=uG3SJ0s#SW54EaN z1=xd7oU!2EkX;cnjap{du*)Ko@5k}*)~;;PcV%AF*>(Qw;woMJYrzT8jmjT6pX1u_ zgofjbz%Tj!rfI!3L~W}=p}|CtjCi!U2Jc^8{;h(G<9}AMHPKt)#x2gPd`0GPiI>H{ zo)_+5$RkP~12~N-YLpn+jA~wb*b@n*{qG^kg{W&z!=|GDGubq}MzW(0Xv`JwHh32A z2!2xPCr&0~85rLaG69Zq1d18jLhe~UZ5HBH%##r1!6oQKi4AWSwK3F~O|yCWZquKU zu~5;T8(dswgMQWL5;IU2ks5*EfH3|MTybHwlEH4JCa0%;Xt0 z!34y9z9gptU4JU5=-$!b$*mscki%{g5h(6h96DHfG01r^FVf@H-D{A6<~JhE5Eo#G zY6dd@^K|Z(A`k%w4Ky$&t~U;*j&7ksJ4VpGHcTXBa$2rK%NP$L;l1Ei2;O`Qf1J3A z?ROuS;50oCjcEX@HBjQJ8J8k0H`h#LkZl|)oBYS3IUoOlo8!OW#)cey%R9|{+ej9( z8g@F5l<$@kxH=9>)CP;n{xNGyO#6@)l*(PCOi%h5cmPIIroqqAY0VXw^{#o9ZpQQ9 z!%IW3y_7A#nv{3O??OB(Vd>eS962{M{Jxnt?Dz1B!uw|XQ1Fz2z|+6y?7SjUS(C}wVM3-EhwRZTov^Gnv_o*`Bk7K~<}#3cifm6d z?War3SodIuD(~v`I!&_5mHF`GP0PYxZXb>KdM{wi!CGou;(`7V9-_55Ya2Ko;*fDh z+q3B~x@fVq zC*)B|ZF7GM-iHVlkhDex{hIyvSm?qv{wGiwCG0L=Xv>D-9eXM<@IedqsJl-=Et*I<9xJ1ly5dCpRWUPWeuTLv<9)4OzB-Wc~fJ z@?}3hV3%?D`}G;Nt6LxP$n4CtEXVNy8~4$XGCl*@&RUVepv_%2#irB3|NU;{keIkX zqis*~Xcnvx^_w0?4$H-j$9cnjTwgc1funM5 znyx6UXf@-?XFc|Yk`UgD{n_~R52{T6g(|beW3Ij-d+-oWRWK;`$kXjumFyjvuCoG$ zTCO6xk_k?h+??s`kOv})a7*=Bh)Y@#$`urh+${VYu4W6iX(X=M2k3BX9!2gJ7J6aI znzei;!La3m%#T<^G2V9dePUve+;No_s%zl%D{QE@6!pozDg(oYFCj^DAtEBu#o~Wk zno4_p!_OtrCnTgtAlOCoA{{5gHb4o=%kEt`(cdWv?tT40+F?q{OOQ4I;qb)tKRB#3 zMhy!?*005s3~Olb(FJ-_gf7t_TpEX>9wp(b4{9Tlc-37d$QuW2gKMiVrcTP z2KMY>=&ov43bgQOeqzY7v(TLadO&O}9jB+J3XA!tUwq+_C9Pmb&LAB{R*6mW{#>WT zNZ)>^bfN}?XUA!Pt2^c40EWi$vkVSND@=iwTVQeVSg}m@U8(oJ+x@7OORw#+rvrcO zDpDaq-O-DOD-(39WN|dwfL_doj74t?& z#5p@dE(xTf9U4Q_E*x>_5)^17N4DCJf|Po)H^G;Ks2RH#w7KLVNgq)hMSMQJN+imQ z!fq}&sq0=iKjGM}9I?c*nRW_F>cL_rU#jBjIjdKH&MiG!xC4c>bk2R|c5rl~uVJ^t z_~~)0f}nK3>2}qNpnu%hU)2hzq7{En<*tUCWv0EL_N!@|M-xC%TzBDQfZ;qV$U_YP zCz-TPFi;QuB+3+Q3>-mI?Z~OeFwW2n8oW2srqBYSrg4&~Vv)*a*ahiM1iUnQ!TZ*O74rU#_*Zw+>Ga6`bm0 zf?qY}j~I)-7|w_;EzS>;p1=%RhLEasi!~-@N~ez}wa~4H@7-mM3akXCi%9h{asu^) z@kQBCB*eUoz5-%rV5;?5g2*yJAfJg1M`sQ$N5DO9?E=UAE%2C83F`#i5VF%%4*U1K z5auH}Q7IXGg3z#B2(dB9|F3B;gM@oBW_5k|8(Q7YZP=?$+wvW=>C)1GMHyWbI;?j! zE!Yn$hYOz<3R9!|72icVzR~z-U+xi!YK!#nJ#6uAhns@p8zYmlGhZm-94aE5QG(fC zk{b0P!ObV=n|~Wp=T8r&*FE_#&J0Cymn!^Df%YQ)nmx4AUN*(jPPxTNk+g)kZ~GO= z77_D|fW&vN%-FC4NAMqbkX-eI^bpUuGS{KSN({BUZ`ZvqYufV)gji;RG>;6wj^MxE zYd4IQ{>$F^C^VadLa2BjLE|s8sW9S#ApzrBQ=h(hwn zLx^WU6on%49xl?325S`_{{wFGi_8Kny&bS}j+D62vUhpjRyt}Kz)j`iW2g8sZVw3+ zE-uCq=^TtBEQT zlXc|yzGo31J44f}y7Oo}2o&V`?A{}8)(wO@hak@0tv>*rzC{62$9q?{Bcr6GSeeU& z{(kcm=C6l0ch#M1W(I~GXWT!nTPb(^%XhCbyRnKYlc!m!48H<|9>)-V+5Uc)C5|vO zeIz_bL}jYG(*VK;^?t-93}QN?^zcD;a91VPuHN9nI;7Kd$i^ z-Jr()O}aTT7RI`|$L=+RJ#DX{giaO!ea~>tdWo@|*WLV%i}iq32v-K-w8Oyi7uj@i z89uJ7Cw7u5heN4tStNzeu|bL*(O zqJse}=W7Y|uFPe*!*js21Tp)c!R2h&wkB1qhM_Nf5{u-nx}oN1C2p$HUEjw`yFS|R zv~1YNwOPrbBud70MOHVGW%mxUW(KXd&!>&r$@L`2Wpl*$rno<0 zAzWmJ{Fl|Ra3$^F0-vS97B)rd@w>Efr4ejJ!r!6=L7ImVC#xQeNl10 z$14kxTW{zX32dUOXJTyc^6X^5ghu)@EJ6#nWHpe@@fNE<0*1?cqFnNWyTttNhhxIO zP$oOys6USf?{KWU+swHSN6?SK-^vh!y~Ns4hCuC`=We^zisOe(gw2>&ig!i9oDojr znd^zPIoD0*ibJEqidgUb*KO@{QlzJ^07eCY73odiU?E=YviSD)$S4E-$t#6>rk5TT z;M=@&hl&F8QGa%Dy-PQ>X=R4>++{f~j_ct-DFhx=k=ikjFA>^k{&K?{+=6$LFQv31d9Y$H~A$sM6d~{&HNZ+bmhzhvFkrCh;}Pl zg*6QDX;TcGdF*XjZC+}0%F?TWU#M4Eq=A8Ndo?7$t* z`5_uz2k{Va(!*gHLX1%-@(nXjpM<|WTne=*lixZS&5Ad2oM*Zj&AfUzeC?I=of=ys zRz>$^!SulRuS^MEEqc!;%UqDb;}7I8qj^PiUIGsT=j8OM41b|aO-*Sxm3d=59d^><49Z)2~6qnUd#7fHyT6kc5d-O9V2FYn{vSSw=rjG$z8K* zWgaAqkP3x#_xETH4@v81p}E-Ezx@u|ZpK+zjT_6!yaX6#c)0fDiPgl%zd8>JJ`DNp zH9aM-?g+IHUkOMlLr5zFY1v%sS+w^xjf@!Sk(#oGXDdwHUVO%p8XN1yDbqgW3g6DmaYn&lOPG>a}LmXE=MLLmgS>}_}5J)bd3qM-xbGV zpig9IsQe-#1G`uDw6GK4N9VvZRlYfa*^TAk;i*wB9_k5#yf>feho&NqnZvUeeuYm6 zLv}GYriGX>PHpO*@yPmg{%}xbORV`y0qn6PP;6=(FOWG;$`$j5J}G_S!KdlO78MGV z7Cq4CEQ}MDJN1Co7PZJugDe;OZH;&(Q2pE03RYkfqugo1SVn0X0pfR(byA5YHE&I6Bv;e6)dVNq;6>Y_+;DtO1t4?Q! z$cmQ**Hh|I`00MvGhVF^bxn=Q#*rmduSas39x+jx-WR`eGGl)Klss)#^c`9q&eQ$u z?m4IC(>-tv0Dkt8-psFlj^3zTddPd9!xAVGtyLI~` zjhXf>W)4Oj4snIx7fJudwp91pswE?VC=dS8JON<@55@XY;$k`su0f)8Eg9O!z;nl? z`<*92RCm~n$p$3d04W$1?v6x@Z>Rq@vT^k)bdZaMVFE`8sU*Aac9c&!ctAE@Gs$Oh zKc4*N@2CT;Hn6^j4v8d zg%yh2zI&yCX2hBK%VJ%4TIDsxVqpNE<@7MRx9f{Oeii!XFyFfEMy~3f2WZ>2?!104 zJcc(xcnKlFH7Jq$;o_AH3cfid&~{0ZM&1bQl${%fo7I#Y zn93lpQp0Byu^BkjMX3>$5L%?jfr5Fse|H@Do+})n?vq-4lDiGk6|%QH{TS*5lbh}V zqOtZw1#Ix>?rT8~QUkM~f$RC7AuQh{9?uTO?ssC5Rc+kLM?LNa{(&`pbRUbAW$T3Q zcfo?qGqP3-zSPw~apoNZ$;3U8=RUAgfrGdJFR_*uM#PHi0|QKNL8+`WM3#$-gFQ+l zY!MoTzbVgd`99xBJ&N_+0e+6IqaaCGKTmboObl`<42diHw!_=PLQR0P6cYhbBpfRk z4q)glRa*{yYu#JqUE4^;X!8Z(q-Vi7Si~`yaly$;|3pAIz_`ToKZ>MWwsba&_ozr~WSk zp|>58*8mIBiYTEk_Jz={^K$sB@@Z$cb=q;d{-G^jL(D}T?G1a$P;8k27<(CR4YL5` zG_8l8{;UdX$~OO_6^_BaC0j8jLC77V8ZaHQTzc4QsdTQIn}{ejH80XX;%nM-&Ekv? z0pgzsWf=B&ktDyH58K^?I>9Q<7Qf{;)}c6Q6OlJ)zApdVi{iRh6%WJ0h0z|E6C~=P zz+#!Ncc%ugnF8JGH?-I+IwZpmk5Zl-4y$}-RJvb|x&9u761172Nzsl}$NeB%9Z7BY-g`Hix9$@=745$(zV@K$^dP7g^0P2%Cf=Qr`Q=el{1Z{|@{^ix9d zdd{ZCK{FfX_uuhjm(=A?L0u zE*#GhTl7rA(Ahs{zmjbM7c}9KG_%W2yWRl_j(Dvg;`H0Vzcr=FUqnR=HtqhWQJ$v> zrFc1qI%XkhnHB;Roa&x!;{$gfoju99?Qi(meR z^qW-S4?({SN|Qs{;Hq=2iq7-BgRaCW16A-`3+s{)M|pOy4{)ZDOt}_mJ@lccTu|6E z=1OCuBRFftQSCH3iWJShXUzD#5Rvoc6}H$Yp1po&%1cL#c(Uowu^~|mz+`^lkTFqA z>mKJ<4xLNkw)l2sNQcvVgfnv$D(4ub0?fjS*wVsn#dY}TQ`bn9d)4T<=)#EXss3`# zSjX;5t}97*)q(kiWxZr` zR@8R!rufn0cj6YZ@Ff_F2*s%euNx9#otKHbN*pF@y*$;!v9-3T+mr46led?2^Ce;7 z*5JGO41IMMSvm1XJ7#*N_wb0jmjXgaIOV%jTIT@zZz1)nUuT#*QV12rJgVaozE}_rz2C{KG0p zzT6p+>$Rq~9BR)b=dd#JPfrPlSA|uV$AjM4h+q9E9y?d2ZgQT0u+3!aE|kL#0z_54p3($V3$oP0-z8_4Me-zOnO!x`i1=@RPKmrQ zvrefp19DrBQ~1H2{9Zs3Yc0m^^)%{dr>SxkHuH)nZ-BP@ZHdJDzx~$)qCh+%{g_k3 zU;$KMibHO_M5Tp90>TR4e;}kk%Ei{9LfXdSytf_yezr&6lJ7=Zv+x=^I71j55`7LR zIAJX3!#QCN4VNEPF+o;HH`iqC(kOLJAkqJTarF64px0}_t4MG4{;iA~P4Mq}DJ%L^AR{27T}7H{2i>Vgl7fjT{kc##U$EP@RYhIji~jh^y%mk@8PC z^}xeJlMZAGA)uY}+8NARwajc^OOR5=EF%5f)l*`0n8a5C8#f^R9Gt;jn!q4&_8VZ@0o}NMQFUvQN31y)AL{*yynf|VI8FT zW>pIOjdFzlqj=cNznKCFQbMP)&D;IAmh?gWzbA0dGbAhRF!TweTX=Ak7B=Km}dhOx|Qt5|Iw(Uggh z9uPoiiIG)wI>F%ZCE!qw;an{jeg!>O9`2E~1f~4Py415GZFcQ=r987kRrl_?W&sP% zaSYH@FDNQ6Z2F=%c^p;IY#Jq&dey(3aQOY<+IbAy*xLfuL+`JkRll22)$Fs8Byme~ zD@QT7GwYG0>?jeMFCv>_ncBCnb;o)mOQks8wQ*gf`X?D?8ByD-$)i~4D(;UAI}S@Q z&$iOnJVy-SI!E+cfK6fo1Ki7r&6ykQ0889N?iOW~?OxY24=r48Tl;p|ThjCX@m&j% zt;M>!e3t2$R&Jbi{Q-1peK=f+rLe>wn5S}^MMZF+4kHc%KIWH~%GKo$&9x^NgY^ok zo~ci)8TI^o*8gd?$s^$ZsL}O3WH8|U#uhr%w}`u7yL-O2(xwKp%u%~+vE(JqCJ{A9 z{aAD-Nz0SkqEcZl#%(hZM1Mdj)n_(rcIn6^Y#%XjJulou!cLog(5qcE3tY9xjJT%z z-Kf|tv1B|#+8WU~P5-5^q1jzkfWxEVA!Vw@zg*iY{_P!SWS)mjk;&V@dM9Ae%9pNx z+0lF7aAXPjMY~^=Akh5bJphVU>Jaa_;-YxWjy-b43}<1d?ENE@BOtz zDJIg?Fi1ga!R^}6nhVb`@ZpvpQBdZlbW<_~^mpIRIc+g^V!LW7(D9d-a(D86cf{$#gj)uDPmcyAIOP*Hxl}vJV>Y|9PR=hla1V^p)X~t;q z;wZa{I5a%;^5UaV!9-Xu1N)p^;Nk$n)nat8KN;zAPL%*XwQ0j_#R|{E>Op*b|JGg_ z_6zxb$$Jl%;@&P2)x|hNnblo*vk24Q8BPQ)2D|e+SpI$bJb&9iFPH64_Jr?tU$f|N zWOzj8?$ak&T3q|D4A$cBOVVX1Z+E4zp^;7?Y(E5OZq`S&<=mmqzrSTMe&y*z1>Vr6 z0ZvNIX(f3s$r=}BEJ(u6)cLQjGO8PkJqNZSe~f0ep07bpNv+hL*zR$x*>&o{QVlo0>?!vg9Fx4&EJP&QK98lJg>Gs!mp6nW2J#gofeLl`jxjVGj$a7 z7faJQiEr~>nQ%#BDB=oPZx3IHoItLn zeb(DDmr)gpDRnT`T)W>SWynu8?;JeB(Q>Ns0RMwB6WTIm07tE+3D;`E2kxCu$5)FM zyzg&8@q7=V6Td<=cn^Di1z!AC&JshhF|(L~tU#&kH2@CA(`{^X#}nI`*qLB5;Y1VLwylY6+t$RkZQHi)o^#&s z-uJuz_m5{+cUP~qYIW5^W{z9u!ffbFN4q_nV7I*ZJEOPQ6QdxgEM*5uXb`1TB}mF1 zk#`V~+cnm;fcHZg&?wZlW?*X#QvZZuKF~(2xeH&r__0#WStr7@au0ESCBiBCF1bp5 z_u3DG_I@Qscg^h<+_K(1TH)dlW6Ku4W>V|W{!sXUpZh*Z@;pj&uTZ*~<)taf+`hlx z_8^+AC6MW-FxvgLLdJ3#I?(sHb+XxA>q_zFEYIgF*uIxdA>#W2-iP3Vllwd+*C#cw zdUNf^h0_3KN#O8;Ceb9Gw14U zJGg*$=5gIDhTZ4$EtBG9tA%Nj-O)T~z*zCkI?oEA-aLMB6!2^UXBZjFWN6>zvUEY$YBRo^L}uwdh?uLnRV`4og#90 zYkM|a2=kHlnClxE{+jU_L++V;K&+^g;U&01b;9BON0KAu9SA#gg$a+5|QN7CZr ztKc^VAnohu6jTI*+EJgcfUAsGW$o`amuOceTbrmrWM9VXEnm62n#dFjctHQfK%A^5 zO^g#zp1X{CECM9<|Cfu}}p8I2C~G1)#JZ@bRBM#(FO zq6lGs0Tzs;KwtE4^htmMP2l0*a7#{zQ*eUf)6s#l(*qhA#T`)KXkZ^%HuEbd9?vdAb7^cB$E{Ldt!Nl7Z zJZxht<_d!e-x+TY)ija0hD@8}!qv6R1+BC^9tp}bZ_#`E?uYEK&$Z9d%uuJZ+pb|x zi6GDC5eEM$gmr)elnk4utEhZX5%3W zaS1URMg-WjsjktP8d5Ei+`lC;C=(0peHd7eMbw*Gy?lDc^(6Fc6)ai|@eaF99n2u! zTZ%eQl3}5;RE1^MBeXhCZWiM(pQJ8&|18nau&D$QFb)`OIgl&wEri&dmh*mryb+>X;M`tDYH4jc}t=*v~mKkYcP+J-)(r!<)aZ-7&s~77y ziK(nNkuUbL$8RU%sqQ&={=^9HP0TV_#|T?wb!ZKh>5wkG}tXuBb)t9;|l+Pa6t_EZ!#VXdayJ5HPfj+>m!Gw-lyBZoM3 zB3~U8(5TopLrM_)K`iA91^I;3LPS(tZT_%Og-ZydaSs%pMn<+U_QO0Yrw z#jrJ#Rwd&?@kglk9{nlP(na{Fnl5m$rla+bMi#UEiZxC$i73;ThGMrT+(Skl;+lpz z;@`A%%4+hGVoeIGAc-&ssU-fjpdpjw9{QrHGpM!6v8ao`-V*`hXI)$d-sxlX$V=kTkF$o8zvLhI3PhY%Iy*xcj4wePn?6E`Xp44dYURwlmfu`O~ zrVpAcP(Dm|x~+i`1-Pv30!brF&yf=apbNhnzjxr#e4CgZB#;L5jOZttYeiyzEXHFy)X96^L!N0*T%sF{;E#x$@)KE z9;Ap_LO?nxpj`Ap16!iEzS$q*g8h%ZqySM4kd2QxJ`1zu?1L6gV3MBZMr|p>p)M3k za~^7-Y|{Zemdss5a`l9J71@`ANP#eeSd;widZ1xuofDN>7@rwhHCunsxSqn^vf58U ze(xOhFxH5nx7IW*xkq)@^l9mZL87`REwvVhkmKf=2=-V0CV>Cqgn>ebDvHt%SNt39 zHztbWw`6Z4q@nKh^NaJ1ME`e|*!ATU>y3%TXDg=zL{>`WPbZ;kL9uK0rIkzfyOj*b zJI1mYUpzMfo7zwZ9HL$R>-Xm6ERO@qXND)24T^^`1pHxtIW@rB#h1E_6cwhd)AvwE z$XkRq35D3ir_E&R?Sr7qqjgyH)PZ&*mU{E=K0P4MtgY&YS^)q_o@nlpPYz5?35ruu zEg9lg-&$?w5*;VRmOcbd{*M*hfLf2lA0e$RsjVa9Pzd-rdp}B8eveG)iBc$)uRpS! z14iGzQ1Rm@H8f~%Q!T`K`RRSqkm$0aX zCpx5U%8{msx3yQU_NS2*Y=b;Zl%1eEJ*m~T#U?`X;X^Q0B^~Xvf0a{AK46qV{L`M) z>ehen9C^C2S}~Z1NaeYXPH%9(vDDGYnZ(N!)r9h0)+UPU&u4ef9RdeY_y_G&lI`vbRMU>U91Zx^vLe^#s0DyG zPEMlvdq^1{$g33{>01Q(q2ESiH|biYH1Dg^OtbTXu~w8_6#m7FL-LPro$HU>TpIg- zqnI|Ba|TgoK1FT>Xq!;DW$3L6T%P~lRRt5#*#;VxZjS^mPWAk=6d{+zg~3Yb5W2?0 zk|O|Arn~R^7gXf?oHw#jK8?gM4)T1(l~3Y>p(tT&CHix1Px}Kt}{COu=A9 zjy6Jv4y1vgiS&N9i|y*0(u!X18Lq=I4!#I~V_heL=VDQy_F{xRY=6w!`m7-`6ocGT zfg((F}=7gCEL5z8e>AAry8w2nH zX)jNmc@=%EIYPK&l!521W?EN`#g_u=N(LZ(>anwtdnUP|cZGY6zemhWVNNUyTl zyXkc=2P_bJZ>&Xm3-iOl#Bc7U_bh8|H%-(ZbT4+W#1?=K!_28vS7DW#8`5BeJZeFA z9~lsW>niA$b>E<@W4kW82lJc@zdW_zm1}_*sW&%o$b6cU4-90Z#q2T!Nu%g>kOU#I zAik*1IaSGyOpJVnU49LX0F>6ak{a^i#XV8PVeCEcl>@t@yYT@A$VJDY$HgeJ%&K#s z)4zbA)*XU>#dO4^#ljpQ+%(K|4pPtbRr@2RQ_NWsZrLm;yGI-Ut0{*;cHgA*nrq9el60&b5Wa5_~FFCf!6# z1l=c9L+ZumVnjP*);saOqVtWHqti_aM2yM!tn<)oQ`6bqs`Ght0grPlLObK)Zq+l2 zYd4e0U%T!PmzbUqUs>P}Z|X9<&U|+q6FtLFw0Ij-ksZm z80|U4<_$fBr%q^8zr&t{*h~B7 z_XzF4PS`w{-|~&c{ffoCfZ&Xo=Lf>=ADm-YP&K8hpRc-GY6Rluyj+jrr90fs8^fy7 zlVk7Kb7w}Cab;(Osy|_Lu@JOf5sCyp#nJIQpiKC!S_Or#QDuS++HyP#VCQ(k2EOkO zqBDXSGE4mChBUFlbAM#Lih@BblP zX*@wnkucRJ?@i76&NSGjE0fqSk@&IN?Zd#>vPFvcYs2S9?fpQN3`c4tzL?cH7#3K>m|xCB zPQFTK&)&=Eebps(Yu}8RD>qplF+u)xDEA)=#3{c>lFFR6*TjaC9>0YcNYxD@@es=4 zR5}+PJ0iE80O6*>wWr{w@BSUzs+c3dj(p-Q?A9};ylon7$;@L-LMk{ILtk1!Y5dC( zWTz{*)*h_9&^Nh(5|e4OuK-abot+|{F*KqM3}If!05g9UTZ1IWSS|Iu2_os<|h zMa5+A)v`YYnmrf2eT*7X9=ZF6>KxIzv%LM@R9dI!#OD!5H*jnBb~58Iws0fJ1-2pW4KgK=Q}zr+I4Ff4U&KK!894?Me*V8A542K2`c<0Xl&N6 zRZ!ak`knq;((Tf%$%V1HKx{LTZ2+~5D2KDBmz`enFkNV&32Lp(K-2ge^6;Xq@PGLG4A1v>n+mmj)oeNa9;OV2`bHfjvjXL z4AuyHV9)$EEpdPb8jKVpIffpdfMY5SBjyc&g!@K6!CQkexD1$))5Or%T)b@d#_R%z znYxh5Cax7ELP)(Vo$^Txo2|~jNwRqVqLjzYY6qY$N0pHdLD8~baI+Dqbi3Py< zuSW;q{|0oy4t^VBpVG#efu4^TnaMsgLfq?jIKv;IV7XThVR7whI>me%OI4Ko0vXGy zLA(+f(huNZ>aui&-5|!JIF;Xd{e3&nAf>|M>TkdJP;&t1X3Woesggm@Uyo?~{csF8 z1P!CZwwsXpZ|YN1wo5<{>E}#e3SUb$-*}yWzUG3_$AJ9%85unrRO!*soHhe_r{3bN zstU3cXENipO+ewyQn0Uk`VTuA?_s`%R)FQJ-EkCWR7woP?xltC^+>K<02h0A(KR>@ z>h<#il=NC~v}b6klk(ky#71H}w#IL?`6ikYEOdrKQ+_63#}Pw07XLG-Vgx(ptv;N{ z=UCFWYb(D6V&6mwkiTcdyji?RnUAA$|5;$gh2CP1xhn9rKInBYQ67UBx!T4HmeSty zvk3rY8Hg3Oveg&kw&o8Il0XAIwkpZX5*Y|<`Me!#@r>14-*){unY${qg;{RV7*yw> z3$;AehOlPCuaUPp4Hrx~4hH4Er@j;Uy{i*%Hdl=^fuhSS&FZ)OH*T^2iJK75bquL` zz4Lf3qEbSmpv`eOLG@$zmPpifP=7}>KaVx5aZF}3bTU`PDi&_r+E@KWvy{;#_Dx_@ zA(zNc(%n-2X+!O+$>qm6u4|qEEt32@oEYplc_v}qxhV;59=6yQswYtoVS+!QYgUCm5@DvPsAHAD zWE(&BPqNiOV6#niFMR>YQ?XpIpyXdFD{kCCQ7oWG(Fmx?K@3E>0Xakyu&wv~DkSYw z2tt26!k9W0%K)K(oE_WIHsbb@c_CIKK0P>l6h<{^im!7my;C4?I;uxhnqUL z2E8%W+iyhLWfex=Z980b9A8(Urho+#gl3q3RadPpK^#r>wWR&E%6|z2eku;{3jrVX zqH0pJ;eQF!|4S;rMT?K7EJ6A~Lf>jfC?mGhgftgFN7dj2F>z_Y;)`w~4%PLMJiPQSp zl)VWdRT{;|s&H0=zcci(aqRF_tedhfVY&$Z1tu<(VR_DoLoqY-$yl%({P5<;tWBE@ z-j+bJ-T%KsleW2p2V^t{3&`Y)RxeT9qY|MW2~9WkA?C@p^7xGty!>+PtmT`8A8T5Q~J!kNwWtV9CLH+i8+A9@cN-7w7c% zsiiF$tzn5rSJS8;BB;+ zbW7m@^Iyup`ELxx^UK3ncvDef{~y_Kr@BbHjH|mTfYGNWLP4=)n(x$E{DX8xvP&T9 z4G8TaNmj98k~V$2L?P3ftf9r?- z#&qWkzd>F-!<#g+9j>UDV2!+-9bJ5ygp+r&P)o_E?2cmlFh6l5)&wc-7D|hKwuFoX z#!W{o;9v!aAVdE}knBP6l&0p7vDQ^e$4gO?4pb7~eZbx%<$<6bh_}wq#HpYtX}9wq zMD0J>L^$|WMvq6uISz%W$T5oRt%=!IS)m39K&p#jU6a1PzI;4<9K~TLwXK%JofD}K zINBwkvzliD?F@5gD}%;!Hoz;@@aMj#zGRqXGedx4)uzDv(P}HL{4uBC*EWArY0Er6 ztl4<9gTF)u?q4Fq07VCqZQ`AT-50pX!ws{CN$~v!f%qT+)`q&K$@yepaNYLj-)}9g zH>AYyk4r$Ilvu#FHmOg@jSmM)Xk?ce$QAR}_L(pT89^F_|8yAcPbbV~-8&H%<4nSp zRW!pf&y^303d$ul<>$M>6J9Lw*1@mb)zq<9(^CUD)=GYXP%<5r{u?}E5wP~) zy%wT7;X^G;>!axMtJObVOi9?;jm9SAyg>-;OsliU?$v4sxp)HBW#YlH6x=aPgM~}K zOO>Bw?QB+R`H4gxX^&gNV77}&Sc(xwnEzgDLK3#XLkTa3!djp0o4X}@8+Ajm2fBaC ztz=3YA%`HtAjxwP8E54o)n&9#d%nV6xzf5)C-TT2zqoG47RryZW5S#xVRa(bB0erk z$RiQ~XsierjBc7?e>hC5;WUs|(GJE6fvIpp>{4%qPFgWl1+!+uX&2?}y!qb%}vsNR z|ISl@>Y-+C!L62ytg5#VLY~~o#QFIJcZ+C!sBZFnt7YaqPOY7(}Ks_mY_bo zq}5(5kyJMg(6gyU(|UO|zS~Y;4YN6y5NMAhYeqe=IYW3?G{06ofvysk_PGuO?ezHh zuJUD1_Wi|PG!E7L1iX!#|9QXW`$EHZ8J2!u-7*S5Y>SM^NTZ=QkEL1mjaB@0cPL?X zGP$c(oJVfX>#ir|ZQi1d_nYt@KSpjOp*)Tv6e}xm$0Ti|Yet=633nfxqiYBq(dDJ- zx0I84Z?%jE z^@=O%7bO$Eo#?ds(}SHId?0+sR(NtnEmRN!BKmy-Zb3G|F7zqkErt)1y`%4U6^PR> zjMlpS#nrS|3nD?;{63gC0P$YXBq54dDvkdL(88n$CCY5mT{foh#2PKx4k-y0msP$L zp!3T#tx+R#h?u@`Y3pYMbQUQMOb&|2K4-N>?IueANdSsu2il7MTNWg#r|-blY6oD_{~_So6T=SLI$1CD}X1m zgRUxH$*yXcuXwbdQ2v?*OJoaLo=G3=wqe#%32;MFtCnAfzi zGpz-Lwg~k51L2$)vxlqON~QS)T8e-Y26XkQ8WYz}JRAES)qnWImn;oKp{ zI&9$2}kRdn|esdA4@)O8QywoQ8b4(UQv5{9Cwr+JiO}@h~d``26XnB?O|&!{CxG2qH(t zN#Uq2*2gP?*ABZl`eIP#0%<+f+=;i?&a=A`X5SxKrus%O$f*c^vJZApf(<62@84qO z&3KhwN(7YF_v`#CHU;_XBOu(ZGb-j*-b`Mbx*G^wc}%I8g1 zQAeg2B#z9Qwt89*fv)L*M&^4^v5K|$Ms#mc2A(LH3O6e+|66ai?(Wye0Zx-fTQdH> zl!F3cLJ=>N)s-oTpM8QpJ`L-+8kAc;9CXJ?fX(1BB$ztbK8r|n)RB|@y4w}L4q7(} zBXG7X4d^W(x=0Pf+h-yC5aWO_#rTq!vl^kdBosK zy`et>el2vQw^XOHjJKLVKY*|sRst5@Uy9o=0e=f+{*@xT42Cba7a~(m94BYaaZ-9m za5oMaS(q9%GvH{6$xn}O!Gz@62^1c>SxT>%NJa_!Ua`s&md3 z3MH)ksRD$}A)QF3f{J6Up`-8BE6!P*7gYQ$p%J{Kx)584nvyKONDwZ0m|7%#+?axp zJV3U~5$elR7dzP#{_jSJBKGm>_Y%AZcFpfOhQ1h_17A=Y_!&A2EX{hEgI$q!$pVM0 zse!XW7L%MIg!`1%tNxJ9_CnArK`!~$AFjp>Y(GODj#H}nEZIuv+2##(1Tux1&9`m> zKZTZE8J?H9p*3f}wNAcJir0MELdE_)5(zt*J2fg6K9dd7OE% zfVaO+H}%zX+46r5U4Qg`9y^k2y|S&&(36<+jsrJ8K)*t;SaP*^hMbl|eY;A?-*_@KmjkMxQVyE@ zIQ;pq5u|v+Z3lm{gMpf8XK_TFrUwy(A;Wv?%QVw?;3 zW$iV@Okh-&8JC}@=%Es?yo$c@mv{|R?=%~4*#WEatcue52uPGq4<*o(eSag!ZEvu6 zr=YxN4u>QL+>c{FRVqu7R+bgmKXrpIeqblQ1VfRU>g5vHS)Cxi?FUIlf}grEJeejbdHT#aE#{I@aqw)TzJuZf%b^KtKRF3;5O%Z> z3!bImz-HR7L?0tKnq!?PF4+nA9EbT5CDkGQZeAR^CB%RR+@`r5K)g=V;fS5;l`nh^v0r@l{=4)VZwZ1V(?4wJ z_sqgw0J41uVEX~#GV-4ir{b;c@~<@D?Aw*{E@9hB=dLftlg}MOEp_>Su^2)Me|#?o zqJu)U@~&~fNaX4d<9v1~fJ>Sev|r7uIm69UF=KmfWf<@wpB9Am`DA*w0`-4!G?w&< zh1N@WRZA$UU!Xcgh9L%>hWM?6znAelqi!=hJH$Vh z=R?4y@r{%rTPvIO+bwF#hZ*!bTYL{+;$X((MzSIP$%_G0mR>m0@Jgnv`gVa}-fUFH ztECG9miEg{Wu3K#5v~n$)1(8s4z|fZYqrvH`>_{j>xy-swUpqF3*|pNsUYB7m$>}q z$%Y|mD8ChWQq^~TieU$Q2_`(E4`aj_T4j6cl3IUi4L;CWx8k1tKwRgt`5E$-UsjiQ zu5qb?EG=GP^Lr8hbEBE8d4)cyYwKL6fu6qp7lfRV(4gMTQrCMkJCAOj)PGKmTtZ`C z02=MND8Iau5K($2iq|1_Uq!ls=kYSsEpJbfR=6s`72)Du%T~Uw{_9bpZp8rCR~=LI zU(BAm}#_jM(_`#!m3 zcC8m7`?CtQ^1-eK9A7Ir?JC@TY}^?tO?c=SQ8*Mb7MGsdhJC%jMU|SZUKT)Gt_GG= z?mTPLkKU!pwh(vN!pjgHq2C?#jKWMf%rX9)ti2^Zugb8+_n!qsu;7p}`|Nu~2lzsU z8c5{(i`)x)x1kiQ-%YZr1t)9Wz?pg|64?FYr)bUtJHN*LZH{wOY>v@phx*p+I*ggcjI;Y^fjxa>oLD}6Nm`mudlIr!StCvZ zs{N#*qVtvmhM4CS?Ee6I4D2A6fKcR3P`TfG<=jfEto)yjG}=&k#d$5$T#-UV-;|OO z9z{;IEu1KsHT-*r zcM%xlpUDKjfl?Jw4t^+y%S2RxQo%Uhzg`YECl`zQwEME%I9FQBJUFE}$CBb>PEYS3 zz7j=XCHL^%ef2n$Ogix%tWp{5S3|FeH{o|dI`0FAxfVBiJ2b=W`&wIuobqNr6t#V# z#o=ulB$wU7NO#z=k%|nKn!HNP`5>)DtHJC;-C_N<>_{6lt60siQ*7*;g&2g9O`PtMp?4G= zuSxh98okfZ){<;cetRi2d$TFnERhaXzZ_v5lmtHkGiv+|9-W4}vU?L+%b1Msm0xcdn!Z*^` zt1XL3Q}SW=y)HFk^ALlk!qX5D5Tqelfs{i0w;PXb8Y<9ie)4)^`X6jLTZ4zo00BOix^m_W2u5~JkbtN zt3d9uCT`=SV~iGMz<|0?dW?Bd^C-dWJt3Au(CN0`y`_+O@mtQGwL1W%g1Mp>WH8*w zq6p5Jm2$l(rDVSbbA}2{I=bL~qovSsDvV*4mhQ4QE^?)TcY@E154aAfc&A*Gcu3DF}5USdWKm$&#! zpw0CpDwb8+Y6K_&p={BV%IUHo8IFD^m(D$rh>9Ia_e#}{Wa-l7XO@hQv@xtGXL#%| zUiVI*MyGYECGI-_>-RZ};#04?RM%vo0%DD%k2#bwR1W4N5#IStl;Bz+1}(?ZEB9_m z46ttjUdUd8o#=-UN9{y}+8c!&gyIwdMw-t@3_AVfPo zC}DEXs+DqGaM}3pZ2q5#fdlM7lT%05voW6k%mQAOyF~NMP&we+*Dts4ax$l0oM<;% zBv9MN#6-PHS)!K1=*tc?;*5neROf^Bj8yXGO31QNf%V&#b=+G7|Hfj?lJj9mRt1+@ zj+z=#wUjDB1XpvcOmywVv?q3;Z}NkB@xNrc5&F z63L#cggMUThp_HUphK4eGtY^)kF78|SXqW5IV$MpGn;1tyR_$C20`I}(0*PI??}3w zNzj0X*=ykGu1>v6YlGd>@s2>tK3EXRDVhuTIJBcpXOLz$ef-!GvnnW3?lT|%ym{1C zk)-7FA31O7p^bGTdHN=Tmhs7CD(h2Ib%cFPJR%6z%Z{-O71^k+ z4E_|EhUkpY*EwE0X@~X5&LWk~3XR7pgd2z>Z4LFt@sl6Qh$@>XazytAD^YeFH0c9*<+e*@}H zSOXHSGn{@vd_{AQ0?>&M7G&Ncj5|sDCPu-Redw6wL<&9AWf7Gvx#iR3EF)i-^|duB zigAW%cN6@GpsX|W41{6Q2)ROY{SHAgO+P2-=YZBPz2Cn2s#ZDIAQcW)>DIP;P69G{ z6mTH;8KuVKYfhZ+uToygs+W5ca$l}{hjnQ2(XfY_P zar!C7miC{xzxpVGdzPu{t}+wZu7kH@X}D0%T+Uh`Q)wiRRJSTdX%>-4QzAkaSemFj zOY*q1&Ue)-|8eo}^w7}%v+kkS^OffLj%V*SWX!Qsy6luaVL~fph<;@X48jyeJsZwf zz6w$U_HY9|X{VcQUvq_eSTxuETN2BZ#hf=043lvY4v(#>fb6P3i305Q+X!^9F)QR{ z$77MdN9H+)r0JlxdfqLr>|c-OE??I>8f(5s)YP)e4*AT38}CQ!joKMK?r@CI?GUd` z&2-2m(?b#1T1CIOGjET#`{#5%%)Pd5pDI;1l(OB0X)-!In;-%dVHK`^+7DH<>K=(8 z*|#5|{02e?IoJe;AK`p8oI|FPJj7EUTLCu;w4g{pipNsK$afyCUdY85a+JavWoOS~ zgE?RI>E0WZFH+@XiRaa`RGz?YI*dD!;X&fJ-drceRN4aJ27`gl=-Q;$lP1ufj$?lr zNBX8}y>bTKUZgr`_q^6}^*oZLUCGc?i5ST985!4*?KY`*EyRET@LzNVbF^e`qEMv+ z59azhWI!1X8phe4TST<`BK@6L-go!&&y^p(r>igz|MUBimRA4&Wejo2_GM4?!X7L^UzK3DJ&HLbwWP&~+@FkJbOB#rTAu{lqL1Xdmsb zDUl9}Vz5S{RrdpyfA7&%x!eYjrFd`g@*P24U#-4TYf)`OG)9NVc~o<8>3nqthaeHB zwmiI^Bly+fYhc;!g=7G(Bi#g?V*hj4=Orna(1NJmQ}DbH=tfSj**~x`Xg1)IGp*{p zUYW`%dsr{1??aJN(yUc}olU%pC?x*Y_9N2&np*zuAHvPi($r4+cqf~C>_bWcfH8{ z^$Ct~CR_hp(cxVn^1*06uC8p0##uu|`JJ9u@4uD=j)lSGF$caE^ZonCOGkL$T`J-ZV>^Si4aJTig7q!Gg!KV_7x3EU0i|PH||} z@C17E8AU3>eKU&#(#*zB<`@_dYpKI;pon|WHwINtE?(!hH+T({2tvjM%St0eCP|KN z$wl5YETZX!FkFk2YPh_%S>1vquB3%KE!sj!^T6x4F?N0h=t79%<9=-rMpZLyrc*d9bMuDb7t|hAqS=TNBkRY2Pu2 z!>VsOH(69!6{Y+QPCbk+5$8 z%B^UN=>Ju63?zm1SPUw^kTz1{huL7E8x7rflbbZ#*8ViU>`g z=5v3Xs4OymJwwE-8C9*;>D`d2ah4ZUw7{sz$kkDaC&|s#0nh)Ppa&|NY;6Q~TAMa* zWL|6W(YgFi9+&djCPk5wG(6_sZkvYeZtn(#HE_!E{O8PgvxKFqeq5{NHjJozJngWD z83}6Lu#3}R9ZE9d#v@{aET^_zS*kjV=kn%t<;Mg#8*hUf1QGBz(NUk51b%wGb$7FB z9kQ;jDSg(o9LLi-wNOFDC|)q@LxLSy)7MW9{0Mr{zOT_@rhm}w;eBLNHmaAWmJV!O zy|nWjbahDZ%3Cx5lH#qh2U_A3!qTk@IDodhWIGo8<(m4Jph56S`Im&E*B~iIZHR0n zht2vevz-!%jb;T;HtHXrOtjMKVIl|{B=volmb3e5cwi}X`SMz@yUND6weBI_$BWon z2C8bMjkxBM;|C`ss#fl)i=^~05upaw@lFX30!=0Dks#dpk0?2m!o#LYv2;qw3SodB zZ%Gp^zt&@aN!OP^=}VTN9-DWmVbq5F>J4+CK;KA4u?OiVl!7@dTRuVk^~92wVc4M# zeThsyy742bLidr#JC^5iFZkf51)_aUvwDz-+1N6uMq+WE#nk?>7CX;g4qWiDNoUuj z8PHto6zAg1fJ^`_j$a#Yi!Ppd3a+|vqtBeGxPh1BD$-&8WrWEi8-0| z>gI}-5I(VXtA>jCsO~&-kX^98-%g-hfkQ*L<@DI5e~iiou-BpEB(BTg9AEE5Y8_GOq2zYl?ieyB3D}WMn(A00msbtoG9NMc+qJD#>P2;R zgUCg^WR|5EcGmIZ*pM>wwiX%lPWYtx7G#bU*5cKCf-!ZrPeB5npJYVtq_J@R#vh-S7<`R?Vqvm4I_`ob^h zK?u|advV^K8Ng+5PJJfP%(J!g5mP%;(X9oen=4E^x1SafIT8taR2~`Q-3EAnGsy(A z(@qDafr61!`spoByj$YiZX2qM8^+ZVSwHfK5m>_cc7z4~*I(-3kkm9gF@CeZ5(qpM z%!7qGbF;q!iBJ-%BV5C0a7a@zM061*Bb1MIeKkUX0WgqyZI{?e2m;4rU!ZXQAe+Vq z@h}sCZfu{|DrbO9tSsQts+>UCzr{JQ0CV7A|tKmN{w4Kgv5K~H3;6{yy1 zzr1*4wvP4`XgpOmk?*dfPY&hns`<&g(_Wi%zRc9yp6{^1;|jl=C(QX=@U{6mGiR1~ z8HwaIgYfYrneFpmPyPl^q%z>kkgF1EMtc%`JD(C{!sJpCnq8d|WEt|cMM+UPppJDG z%U)o*0o_whlZS`BA2Jfw;kyQWde%M(gMfoJ-qSdkYaWtqx*qit^CJNCB|V#78tQEe z2bZ25L#72Fi)u;%{5vA_SfKGxRM4F=($NJ~`sk_Y^yHI5T=Z;~CjE=%A(BCfG{GTH zEWZVzE<|t(wq?e&eS{d0LELoio5oBI73YUJ0o>MYa6a?rlKu4f{AJkXnKWt*kRimr zY2FBtA-vq6Ils&Q3>D|?A%YRjRQ^8u^Zig=Y+ALLad%ooeQ#AdR3SzIvc5^XLVQd3a!TmF^-j6Jb=!gTTLy1!x2tL;G~1%4XV&i!ZNu|! zw;e+e?()_?3w?43F9s3*gG2zLoF-Sh8U3U6PGaR4XR$ERu~HE#w&OlUG(dXAfLGYM zo*RDOg`VyF%!QUi-?s`u5`kt?Y>x$|(lkpq&8V`CA;y2(<;gWLd2Vb)v6D_QqKRpP z1tvNB^x#x*Lv0xT($7sU)9jbGWpj=rDqIN8UTz*SWXU)`j>`zwp|?_)Luz!xqvT9I z7mVX{Jx&1e9&VBkc-FArAjSMc=IYH#ZRCe}%WK7Php|>!V?~&WN+kr+RdW?rHe`+j zi0cb1z2CyruvY_NxAyjQtADtqBT3%K*|NutP_pZ`qnjH_X0s^29$m$KF?xi_3ND#$>pZTQ-rk)Y*JW7m#aq42wfEYoAS~@5rbv2^xx{VBc zg;2{tMtG#5znw;CotX9+KAUt*9N5n6V<*UmsMK7||DC9Lp^m!euEKT+@~E z;|94d7p)OdZ&Vf7DX*`#!Mev_q#4~ksA`Om#U_gdY(zoN;vvmC<=ER^5P1$!h6yiL zx*PB3Yj4j?TYeHJ%0G0L2mvS2I78`)H@7?qa|t>6unIB0ln$M=TmY6;ku<}6oNybG zbI_*HMq1EPhGVTvWN`aqpon@n(}lcF(eU~mv$vjiGQLWX2Cu zH!R*5R>0HN$?YUa1P#k#;hCK3^wn%N1Sv)qK1iygW77$sdQeJ1B`Fon!)LJNM`^y4nV~kTOd*q{@y{7GbJ5)xPrw-V$I32z@ z{ezL-!sfHX7vbq4%wUAfYr1Qd4O1H|E+1b?gwpkGkwMILLli2yj9sH&4ha+Ahb|WR z18;NkOEvbLhQy&Vr+9k*urSqe)0qiEv7UbpHPm=^j1$~in!2~Xz}(D?AHeSFhe;lTYswzp@$<6Rh!haW-pPkTVJ2N6Y3&{b&*^#sWg= zz>S_KI8*QXf4F)F?mED23v|b3W2232HEfb5X>8lJZQI;QW4p0!+qSK@&%JlN@y`1P z9~S4rBs>d%yT+vk%0Lfl1C zuY@61Mg+=W-LJBv{1Kf4jkmzq+3On;Tl|sS%*TU)@PS(8{R@5b@7JL{l&Q>d#AcVN zoim^_(!Tde^D&wC_2H1^cIGZS-_vY?1;Z6jB@rk6I|b{NVu2cpTvqv>+&W8S1`32M zj@q1wEee;yrXd~iK-xgHH*j%uq|PPs&MMT)Xd4cKb=}*3+`^)8_a6D8a#XWbjHTj6 zb`|T@`iga*K!U=2&yVwwPc-w&Tiu)1pM0-}`9Nm%-9_IgP%1KGd)sme);71X($nj- z8b++%^N9)ayL2WD(Q?+jUerJhVKTaM_nY>_?ewDnKvpGAXe zGib}T?!m{GCTHq~a?hFUjfe`{Oj93i{r!3Ouz}xu^+!hwLsJY_EnT^3I(vS~ z>Vc6}kWgR1TcUnszJpuJkg10Lu%C4(;UXyfWHMfo8XqiAe{~@D=BSUXaH>RLPH~h> zd@5(URPA$-rwbMhu9OOsDiCvG6Tw!g8b5jgl~2#2H~O#-o6-(!HO4NoQ)e=`J_B8< zJyl*fG6@oY36qSvuRI8|APgo#K?=8&g{talw)fq%IOa&Ya(n61;TOCm%cO%Q?|Rhy zJ)K)G01=JqW0W-&$RTj|kW$r#=;gPS0HE2E0$lTUs-6UFcmSRWYw~+7^ZWR8lzznN`GvJCLCY^O>m)1Jf>aZCDLi2^$T!9ks(N}<*?wtXaA%s&?F#ZcCIi`<5n zi}|Pw4VEx8qx7>U;HZVHWl&^oLIPUQ)_;mw2zS?j)&QH+a;_bUETYK z+xNeYtOgrHmXv`sB?fV^C_FpVUFG^uNq%zQU)|unxg+0?Qm_ z%ueaG$#I885}A#d=lv^Oa2visU;6oI0*^e9Be5Kw12$WChMP2+s3_r&pS~!!=z*aF>%ytY~=MdKL zb|+4!6Md2+EY*w5pBp+aQ_YUKuCrK}jsVj5*`m|p_9#2_PDS-mlxxeA%>=Faul{d!9%gO%1FeeIku zb{<9WE(cXjnJ6e=lCh{A5S#%pU>9TB9`nj?%zQTB`SGHvH32|dkH=HHnyIqIwwcw-K+Z& zam}i$i{hTcL@e$3@Gs?MbzClHYQf<2c1BwHV3ir@TrM$Lr``S$t0R3QrPp16C(!WS z)q1VVb!!Fn-Tfn5cEc5F=c&koMklG?Rr|8~OfaO*H}@@fI$?N^)#D<4pO^7v*UN&* ze@TtKQghKft(U||wW}CLtiLrj_Ac#u)^Ydj+v1gs>;Tvm|B7u-e66GUqdI}3OYWJP z2FeADs*;bE>WOT<_~GD!HT3(3%MMH3^msM2D|EaQ=)TF`6Q+^&>JsX(u& zI3;hbQ&!+se>*PvNPQm>ItR5dgqqptaDx%IiK|Ab&oc+FAf$P;3;v;kZW=|3VNwB)r@&7MYrPauX)Zkc(!nTdc$ z^8}0Z_wu&&0qmk4^R=CENDy{~1B9xXwVTt*-erIYyZlcE2-Mr+o>)388T9VI95sI4 zWd06f^vLEEYK^rbb(yK|L2_-P#k7&7-gw9%ig5jT=H!Ep6S=f`bE~Xy>CD+pn>?v` zX;F7{`O7iC%<~U2_#kA%KJcfnT%pXJvdl{7QE;X9*-Hy6g1_`IL zX}-OKBi*;xXoJu0BnFj)lEl;y=e%9_Cw=5@amZxepX>b|u7l)KP$Ib!G)0d<6pWoe@VnL$;xGCu$RiBBxiL-h%(otM=7gKv z{&IIeZVJ#a63Gu-BLi>eVuk#B0GM^XQ`2S)FoZ8r*mpX}g=#xkYq3MVwiDCt#*azRig2Bxav!aIwg^*IU3cc7G*kB| z7uxKNRwj3F>?s=29=7AJ(84PWtNwP#$Vn)AU?OjnH1`|*p#uVH z_QU-)c@E?SNK>twa?Vx2j=l8UpZ_zCf*`y{e)*hKD;h@I_$WwsZTeh|E{8+dRZ5tx zR$il37mECW#qNiLV)+4EOq#aS4`dx2w2W^)mdkS0tQP*nZ{4lw;8bsf{~>lP#q_c< znux48T9?OL4?DvXF?3252R)Z$&+UTKX%#){foEl*pKfh!JOZ-A-=2p6J)&U!`= z&OK%+UYcKa;PbAJRA{>V8+5t$?q_lIFHvJ1m1gEscym7qO^+g@sjoIOTI}jql>1tf zRG9l3ai|c)+Kl)-Z}rS?KDbqXS#}l*Ttb*+FHZ}2WH)l`O-617OJPF;sVn&XN1OVJ zMFU%cya$DL&iWKR;n~-4Ltgaarc;LVA9F3V6QgYL_qMtQff>)tFtAU~^UZ%xcka0` zRn0rSuoep~f_afe%v0G$H{JJ?Em-Tw-~^ESVvW7wOpfpiQ15h_>Q?#m{#|m>PZRxI z_0QpoOKzEYlRp>2a0GPN2~82s^j!?bgAEne4$xNDadHocWg!M2kTAmz0Hf>=)N^)F z+1zziOweFYpd$6$?hO&8l!=+~)Xn~lYh!sv}6PjY|gzq{R5?Ndy;uC~ok zx2SdW`__oO1s_r<_Qo#xn!JM~7G)H*Byq6v1rIKRIAIuxebwQuwGCYXmcp(3t?7kJ zSG5&_^RM9pjz{d>2{3c!SDOT;l9Vy9f{||4$PsFeU8Dc|%8ST1hxAuQH{oK+{yAsX ztK-3Mh3`G!YCDAgD9r8da&1U2|U7nkJ(2EM!)Jf(^a{L4ypb6-r0aKnI``k6;j?X}^+ z3*^9hNA(DkgUlw5AOMChV`NhbB}S4Ta? zVWWne#r)iz{ge_+RhY51VE9Jq`3swDlRZBra&K#6qk!Ox#;*9UIevhU9oTnhAWj2= z%;RG=LLLdI75WFL^wyDpkC9L`5$%3du=q@A4KK0ba&;0%-Dkh~{=s2BK_P_sth9&F zEV03Q6)VOT2d8?Inqn?(#%6>~p#dT}+r7t!{2^w94^L-fAF*+7&M`c8-fmA;ucr1A zH$&nXcUXvpakJM@6R{&5&EE<%5|?R*-z;pVwV zcc;kP=p0I~0`|kEEEz!v%{IJ{dRg;UEIO~bsoJ;8f{^zUVQcomEz*LdE_%MRmyAEh zJz%!m+61`1NB^*b27}s}l#Emv`24^BFeiJdo($#VZKMAY-)I;Hkh;6P#v8lcAP5Ne}__lXB3nE%dK z$=0znf};I6jBxlhcG44J7NcuV~T$-=A20l^Y%i0(p6ih1l=O5 zv|KG3dEhqqZ$x3{cQFA&P8hRvObq~Ap;VL4&dA-Oql1Ljp{(?U?OzM(x<@7v={Md@ z0i`p~R-_9@?D3bkGvzi}{wBts6hYi53yoTEl5(2S1aN97;is*LYBlaE)snD32Zboq z60Z2R8x9yuk_cK-ajHWy?&`)Z1wU3a+HPji1lIn|gfh5AePRCG6bj{a1D@PEUT|og z)m^wWXZwRf(+4})%RMLG?VjtMA+q~fpVyU^UDbsDBwLM@YhZaJX&dR_5THGnzg>N9 z`ph=$H(rL6jhVR%g$+B{`qUxu;9v-IQB2SfVksH#dT~pGSX+7LE=^C%nv=J^8&Pb-^>7Nto znu0AfL7{enRtm6y(S;83kbVoLAY$dA5%smt+$6eXH_ zD4h_p4Z@W0{HtBaK}0lHiYAK+-{%U)j&TvIzyME$-FeK3_G)N}+6`#`$s+LmWpXY< zGBzL>#(JJS6HW+mqqaZJ@PAbxVyAZOIyL88VuxvwR#v8qvCv@jz_2ZKYYXJnp!=U~ zhF~ESzb-Hy@CKl+;F*GHB2NyuZ(3{-QrV1>^X89@G3>L>#l@$ILr>jBDDU?tmtAn; z+U1yOYjqDj(p$yDn>HlYI0g}_WE6$|}vjG;gNH0!2+0C1Hb|fIwGAUSdpJZvs`ziR* zzICgQ^xqs-*CFlHnHzk@uq{JIQ?@PQV&Vma098;U*vM$r`tw`{D4oMMfmqeD7pcrW zfeH!o05k&1b}8t?lq}|!VJNn-!CiA}u39q2yT5zh3zUfhs|J!&4D|MhXai4l0*R%amfUW%b2> z{%`U688^y_;u0$T|BBaJg}y!GD4>W)3emvie_dvXs#9+$Vs3obT$PY|MAPk%%}fs{ zw<47MDq--a_DACiY|l=#|K1(?8=xZN-O8HbVz8?IsRNF+-KLdh!HDxQdSDvv|5(fnQj*u}5?o9l zvcNT8TbuU7j3v!`W$m2df^X2n$;mri5r4QOct92G)xfrDG&l@Mm1VsRWwHDzEfCkj zApgctd^zwSCI-->39~KrZI9z}#3wRN`-6=})mP5)Gk)omhaA2F?D;q5zfw&pBKjZ! zAa~&2TNkM3?l5H%F(u}(C4U_S}b|2Sk0=P!N4y|{{{RpVyG&9bTZaB=L% zI4yNz&accbPUBL4U)xKf-N|zCV{KAqHsE3g$MniDthZuJERvo6M6cfAOWNn#Ro}8V z(;zM#XxhLtdUOGs;sepqqGvaxrjEP99LaJm?n{|oPn1<{5fW<=Cexz*J6bV(nMzsx zV}X4}M&#s5R%BxCOa1$6X3J*01}fe+-S?%uHvE~rjpLSY=_P)B^&LjDy#<6@0gG^C z2{9RuC1u@OF*%->yMVqY@OMFMYy<$=XfCal_mKLASvHl*r>u?wO36Y3Jy217VT@ z>gKC}MwN%seAF$Y!T7o|&`JTO-3Pd{q)11_s@0u1J0F##H@E-Xwhm?Yu<+|;9{Hl~ z7Dq#gzWeBwaG{?iqeSK#7irS(GM9yj{k?yHyyL>D6*A(TD5y-`WnNuhmRr#?f$~!R z;W?jEOA)ixTUG96uBx_peFwtUei9St9;i5+AcJo2uajSFy?api>+o_%xwBB=&2%IinYm!68$_$RxwP z$$DH!QXGiz({e3~fp<8hdJ1Lf@W)i7N=QpJ^GJ8#PG1baX-dql_Mk3b44U#A8^NC{ zkyM=iagu)^FNTA|Ptw404R@af?zEeq>rI(c$Yq-adtHACZU$CA+ko~FfFS3qICblE zLmXI~^7j3ktl7k| zueUGY${eKy@DVmR8v`DXtXlJrkd@l@^4al{#uk1j;GmpUt*m)r`;{1eTR37voQEfV z!IWp+mc!jfY30dhZ`9pF&EwJ30ait7n626%Q4ZdeKAHi-V?+#AC+3WutX^pQ&2t(Y7*IGtKF5fH_7pFD69&gbJtaR9VLI#z{&hPVee zL%uGrIyi-h7GGKD6Wv<#+a&1wLIS&OsM}Ax+4#6Kg7h4C*+0LLfOXbz0S(@JKUI)I z9)`SH{OO9BhIkkDpO$(JxzF)fmB_32Co&VELIvL%_LZERXlb;N_6dU_bf8>O&hK3&BEbTcBi-}>B& z{SN?ee~TI+5Vfl{<2ZEBd*+Ni8rYf80Cg;AT+U+T8Vf~vY(ffF-NyXirqj<#kB<8w z{^E=(9IcylA85}mnUGi_y)m&T*9Pf#RoB%(F&`P^G2Z~O#{z(#tyM^NOZPcLP#43M zQkCl*6-2uVvqZ=qT|fZWp_%+>!z?hP$--RBmTJT)chK?Jlb51&p{f#KegP$RqcW@l zf@pws45_&Cm0*9p1@}@Q2jD z9y=!kdK#blLoUaNtoT9sRKJ=zwK83(SOd%7H*LM+4hh1Mhsd8?hd&<9=*3+y=t>l*(U&&F z*OQ**%YB|oz9qu0?vtGmpbO}W&N&T239Tx7kfGhb^=iHvlg4*O@E5qI)cXHmWL;Ia zcfb*T^oLtdWJ9jP@!wjunjFx63t{jT0$~dS82fi{+3vE4tfwMu%FV{m+OwH=|NY95}Y%PB!ag5mkV+yHda-UT2OULTghip(I}=FUqHEM`sYPe>_kE z=(-o9K2fC=zz|0;ueV^V%JCqlDktI@j3g*4WT-MTHZ_G?oniTgI?>DU^Cf;BnquwMv6;69lA(dYS@iTK@O0OI=b zmS@4hG)!?$rjtux-F|z%PB1AcM-zMNeb_qbKgY!?${p+Ld=vJ(Kswn$9S^eMh2XVn zo$)$w7y32IVz+c3v|hG7nW3LRCK`ZM&fv7^appUTLbpyP?l~ZHBY|^u8ei(A ziZhkHgc`VLu z;&yw}j)}?z-;p;Q7Oj>FE`n$pX}*51<7ydkg#0i42_dL3k4%)al;)i=WoT3GDt_Z~a33_?r)O)o3ujK8>L+jy*4ynFTcJnA z|Dx$KRf>Ek#}zerm%W|_5)0FW9$-%YW40f+AG2<{B^?~jBneps<#m=A7B#0V?>rFO zPbUYFeD;IY5KGRaY!ttKAO?!2D)BhCU{v>D9LQo{L?oCj+h4JIhZSOa@yD<1kUBXd zBBPl9ijTn3<8<|}PLC9+xnclavk`J(M!Ffnah8k2wnmPMKAU@Dax zJ`m{&b&Py%TMpl&>3J+xf^=VK4v|eC9+&TrOhAmkolm3sej~9Y^9PAf0A@oc#)d)S zwpN*Glj?Wu2!_WuVAEP4>6Bdi8*O)!4qphFK#<}?JLl&_1#Gj**Uip*MSnd9HZ}*5 z$OgrME#sep1KsFn=r>L-%+F@1yg`A&otd0Z&|YwD*l2(}Tp|+jB(&B0S^qtr&Rp4+ z#u9FaKq>#2E1v-(#HWcP--g^RE*5sKckeAHSeoXWXH6yCTv_k(tj9kiP|@hW^k=&U z75kh?P+TGk5R;g_Rce$zGwGR=FB$04bh0&Zse%4p`@Y=ZqVeriR9KtM+E1hWRY^X~ zmSyj^`Xn+l2p0z87GH~jx?vood5X+iLi~h**t!C8Z@{dcoS!msRS5dW&G;Dd9^GFn zZOAoLF&ytF)q}$4KbdO>H$9^}Tn%k&pZX&@RDVH1Ez5nU z5`_}Y_BFw}{@1pVUEb!p{&o)0R?m9X$;<2cc5y!b)UwH*WWXF25xXq#{xCv4a&(AR z{lKZ(d8g@Yx3#a;;&;_=Y&8xiSBkZJL|TZ?Ld#x8A(+?>rH$c!8ldOqy&8BY?zm{?g9ef#jS0r`e`%W70C zc(*&NdSx*Bf>p0ipBaT2L|#V7g8UmV2Plc2d73!;<0LHrgun`%`!Pc~rpMOnm1aD& zBIxD(youw^zUCjpIo~aWOCk#ir9V~LJ8K!+Ocwe^#%6tXZ=aHA*yqlrZ?0pGdcVcK zgxH^@qG=EZ4d!^TA6}(ef-CMJQl^3-rdD%xGqSyU7~(~JsCsqIS3_(n?Xjw>xay8T zvlpi1MWJ44|BEQf@krRYWoDS5CxY}OLS<;nbI}OAbIuJ1U-as1=bCX`9NYVrOF-nk zRGJG+E(foB2niqu-hZchqg2X3S~wJmK9TFh-8(DZ=@zYmTVIFj;Fa2l*c86{X=_bN zq|1@ZX5}WCE{Gg!h!D8K^qZl(mxLDj;}!?QK#YR3hMSpwE%BpOZS}rIH9p2^TX;t) zFEaz})WDql^cp*Fb8*x7*v%9OyQV7BD8Wt{|;@ez>J#wf*Z=JnoBH>}1qW|K1{~yBsF8nK2{t7pFyo z8kePHTwjq4cc-;)3(nh<(g5rOPySgG{yb(r*{$Ztm`02Jh*(SM5i`dq8q?hIZ?cN3J7_V*AMxH`}*c@!8~7LKR4`; zO)v1@)I=h15A@Zw)wT%E*Y;O8M=VU61KL`#S7&~FrMR?MYZ__F6Pu_e`S3;2TxUP@ zxL&5xN)7wH_^}@^^3*+7%XBnQ4I0+{2jrGgbJ*Ew1KfT=)_Cg8%%9N~s`S4yOVPJ) z6$bCX3BqPZsdnW{l^R{{tU!80dCefJ_PL^@2_v3IOmAXs;h`Bo=|B?|KgoZyVIBAW zY<>z}UVBY=AmH>HGFz^tYTr)Ae%)nZ)xq z6sRZLSX>>^A1es~(lyOu?vX)wTyfAv%E4_Rxuyr=;}y%RQX>f)zfMs$)J-Hm4{_Yf zK*ogNJf%}@gL#+;?#^t6N}og|6*wUWsDM6n8Vmz`{E@dgjD$-WNWJ=b;spa#@g+!G zuL|S;+adJ(qFlVJmy_KwWaQ^GJ)(n2oR(j(5DoOxvC`Bp^uecH%Gp}S1B6x~nEj39 zgL)lIaI!meSF8hD>yrq6GgK{+rj#bt)%^w4?~pE8_>#S1GX0c|K3^DiwoiH3HhYGA z6hpb`{@Cre@5@}#G3AV?_?0JhEk~c<>rDr0)SiCRKhe( z9cP4T*roj_^NCVCaQ-HLY+^`C~6helYcFKj~6;lgLYp~EH zVgf=9({O}P7aw%6-|x^h`vPPEKM*%A6pS&g$US2^ z)MKgZ@Q%HeJ`?``$fMCfo-R>ffT$6wuqPS+2iF*3o;m+;HM@R43`jsRVA8bVOq3x{ ze^ls)bt`c?2We=o9@xd~23B|*HbeUkjy1(q#{gk%eYR|b)I%hvH+z>@g?qJLt1UAQ zT@9l!*H=~vy*sK93g%7wo#gIn8**E6($q?6%-;)v1EcmfI|||Eo!tg2RMmkW z#xnuTAxeehlg0Tanbn#Hr}9X8Thj?w40Pzj70bUZz@s#pe_E5@WOUM5)4Qa9+rQ>& zVoE~_+y|#atTWi&{*Ym03kjy6%)EyKW*RWl{DO-WE09_HSmRw^Cd?t*j?Rjevy4j=Ju z!Y7kwxA`_*Q}%>m+`xS7|MT#F4Pc!K-$5cjc`$sIsQAgtPJfvzaJ{8UNkNTtoJ_ea z+cf9;Ah#~64cn2zCT`2_<8!hwt8!*|Q7X6co%rn3J@1_@f$|d4VP$UQoVJ9wgWK0upU zrXNh-h8YsUjf7=*IyeQi9pE_wOJu`5%qXc=4HbDbo4g>JPNmYY+Q#81o&=kjhSP-{ zz_6f8aRgC$XqdqvMfrvMe<;%lhr@cWJ8pD+eXsfx+`WF-Cz~Ll#)Vqghy+ zo7&!*S_5)}ov%IRqK1s#X@b5yCdwt+6*%$e?e1MN!|KsIzf|W{C7$fXFU_2^y!9`F zOyo+W+gr75YogvOn;3}m30Vb0$1`sm8a%0ObmXpykbhn@kg!nzG8kG3D9dfNPJOEC zGN(~e$=VZ!X^$XY10RAs7Ca?Ety-+~NY%6f3mo@^^r*;Aril5hOqb?+`aULbThxgg={AZi?J;Cl{IxTp=Ir44`UF_!+B(u^+DiijAds1DG^Zh4r(mUg;TM8Yq zOabTRac!hrcr~bh?7`3iC{RQn@pgm!E4ROEE4+8&3##f*mo%aLZrA*q8$jo#`3w?q zPG$n)zn=&4{HOZQvlkK)haA)SSp-H^-AGMWR-X>vd}~2;9rb-$mS6rr#{{G6SHCj4 zjmRa{#_=LF;9`mk4^dGbQ&Sd!wPx^xO#Uq*%wPn&d`(t1nFC-S==<`jXRM4*(=o)2 zSwJiKBiuIeK;s_p&t?r7NEH}1hf|7hRx-~l@^G6nv*m1V>niah0W{xLg6;6q=R|Z| z8@9Dqev%dJ&UCGVU;=j7(~Tem4NS43LwYrxKJfnmbFBS+%rN8m0DiOGQPA0sESTbQ zE{w@gG4Ig*+DhU>SdiHBkuZY%eqpLeiqj_hCfGustH^piL2&Lm%27xkR|% zF8E0wuS(%eO)1t&qn>+dg*oqP6GkM4kI042;&^6Q$XE1!<=eV>o9~=+ z=W7CX5UNe<1)U9W*sV`qSNK!9=@R!*MTGelm#K=Mbhg9xufl)AgFhp` zH_AtkXA4a>eaX{wOsGE`s^+a{hrN zxwXiw)It5@sO_ro?T^L;p-kx)CL6TV*G`0trPOR_dq22Wf3~R<_~HuHAw0L{D#9uz z=|%I10#5tg+N>L#U@4R}0={@44MP?@!B?OR@yVZf|1XUsop~TmB;ZbOyIa-M3lU)r z`@nMKj2n$ z+6NY|($25Wa!e<)tSWDApGL=GUey0Fmi2o6ly}3P?Cza&%C;@O`1F2+L~-?Gc{O5V z-~#0y&EKQ@K79@8th-~of*xr_Zpt{$_k-_Hp%bRsY?St zIuR^ejj5qKE$vT`&SVp*S!))Fh#@?sII==;crY0tuBMT&hdxh2Tr4?|gaOA@|4!0N zYRc=8cw?1NZ8+RltD@kZ4{y)THM0kq?wwn!CJCPm7ruk_(pj1(8mgli9-iI(LB@>X z;*M|9G73t`qIos8GWY%0o=?xcqH!1aAY!J}{?k%gj8UkqBct6M50<7`gt1dQ>N|Q! z`}{$k>f%#=*_z0Xyb&1s4m{}zKN%DVj@K?1$-o=qe4`5!2OW;_)=GC`AoKm zjTJ(W@K}mN?lSd_&Uz66+qKC*JM`d4mF*|v5B7@0O33Ij1pwOK}QW20$n{I`4LbW&G=-R@ZT z-ur}6f_PQgUtw9@ZgG2CYV%ikp-q~-f zsRtllw>=SKnU15uNQtPm^0_9l;?FxQ$>?d;wQ9t6t%Z;UD<|0SPl7dW^{kG_V>MnX zPCoS1A~w~(nD(8KvGJgnta37uq14Ev0$l z6-T+m1`ro3sh^g{>*>2wz$n%>kP>PGc;Sv?$dQtdG=_aE^IW$y$Z7N>|A}CeHV|wc z1C0Oy^JzKb)x706vugq(Mf?FB8|Lao?|#%sg$2FAzU{al&`d8JeF z%wS$1mFl|@cIA&`gtEfIiJfl|(xPZo2Z%TSq_PB=p0;X7SP5eO&^fx;L`#j~zpOOe z>V8@JSzEqiS8u7U?e5lvm{yb%=Uf+HHI%*nFmKL>u?D5fC`Wl)kD4y&{kP&OV${OQEF_LdwWk zlxH#40jxx_PmA^SZz104Eg%sW@K*@v@?o(MC_?BEn0^?Gi;8>V-Nl7w);8Om%}RhhQ|NSaBigqP_dde%a@$F**mVjxoob*=$Skm+lX~kyV1S5t zPgrT8_i9}Ulq@w6BEZ$;s;QU(|`RH_MOJnIx zZyDH^MQ+w6D)9HW1;LKo2oX8IY5Ri-5}vc)N&byL@D=(v^$>iMeYu=6BkrO`5tbI4 zl`|&{%!{weR1qZ~_k@+=a7_Q){ey(LbqJM_ms7P^y)N$(L2qT6- zWacrh`nehuvn#K?7(4${5NjKiVr*|f`n~#sO6VS)w?gK%zT-&YSxEN8*>AfusCkvD zJ#V6RtX~ZySnS`pLlFpe&gH4QwK@QlVlShot!`s<;EZqt%|#}52UD942dJXU<}Vi< z$Jc+4fV3f=0d)+p@ITDvSF5J(c7crC-qg68vw=dxThI`UIP}G_C2C6mC?|=E%{k^i z+Y5$L!)$Fqf2kJpDdz{pp104x`~v_jThQgWKLftqFa(}V2v5Irc;&G|l!v^JkB7{~ zEsETVaVMb3@O2uXwn4W)4Z*>T0ro(!p)n}N>LC-v}&a6pLWZsLhLx#FJX<^MA<`*H-6Xst_G)ry93|BS7EDOqdyw098E?2e7wP2{ud{qf-a z=P<1nRzysHde$3uXIBBI&NV!4rOvtQ9u=o&iM13M^3PqqqdZlcGO%-{JN(H}%wJ*l zY<4G5JZn*O2**-!f@nTSw#kpkD|-Q)U+K!ZGQU`mkfKoOCZDJu((waE^vT~nlQ zDEt1kQ+II}6I#wsX&9^WXP?jU|LVHiGIB!lfDUwC!gaVOuaE5_hJFc6YzYz_ic_~0 zkYMUt-XDK7TjzByP;4$Pgz4ISX!OQ@8mKh6}_lN+N@{#gLJW=GG# zrjuWdEi!dFkXCT^^MnXDOIJO?2Py$F@A6XY9Z(Dj&ljeGO^AZfc88MJ*;C?GN$PZw z8LQt+p6xvE4y#^ZOes?<;NmXnSUO+(+{K{%)aJMPNl1U#MRu6jK(_!mL3{2nR|`v=uN z(eGj-2PyLsUKC3H=^X{!l~cEq8*w5H*RFA{c#7@fts9f^;N-z1plg^)LARAwWML(g z(s$>BwI3QP9_{=-Q?*O|!rUXF1CLG1-6=w5BnYtc9LcoQ{ibh0duYZ-Ir~-(AY!?V zCgsd6JC(~y>yAy2DN*LjeC;!_ng-@ooA&Mw?|ER?^&N_?slJ)MCot3zb(8nFI?U%y z*rRRps_~6T=A`DqB(agdC|?NEqQE~=9UJwuhz}lI^J6dLC{X}HW*yW2>rqXOQs6^_ z3UbC`o-M`Wexj1Aa5mq!y!slfM6ThC%d9@8{|-D1d3mUJJ65j6-B*#Zy{4!I6JD*D zV=`FG6c~o~W7e0zAnV1c<@6mLh?1G8in}s#EhfcDju`t`;%&L0!AlOaE9%ttC z+4jl~uK~_s;^|{Cq$`eb8^Z1sA-8Z)A>a2{AsS0c6M^a{80HSzU9v4UjQRq-q;EG; z2D8c>bhl^6l>o*GnpfK&2%$XO<)9SF1M}r78VR#Vp|}G?h{Ah5@n<o_(Q|6boZ07C5)j7v=?v-*lKD$x&Fel3TWlL1iph4OnA$mSG(HV$MG0u%z~yV|Lkqv(EH z*sjVlbr6IX##qV@xn0s7(Pnf$otWZCZRXus4CjTN15B~mMQ6kpob`Ogs@L07cc1R~ z^7#@(u8oLi(@@7@mt}j^dET(%j-AZLc?G_4XwItb@B{r`h`E7rksTPZYpclMl zor%PwC-Z@^OJWuHmugWeVUjM3t&X9hoc$Li{dFknsXnhf^E;cCo%|TYRrBXw=Sogp zV3XyB9bVI;p)Ow77CM+02&!)X7IIGJ`^F<^ia)a((KhTHbZ*3Q zSnqv20EP~?Q5GzQc=oXc;M{$!Met}%?Pufs4&f!DbIM7r+UiTQ`Q&XJ!a%Sk$vW})svl$3bF`88U0(Nr&(`h9< zQ`+{dLi*mmzrYi~|M_^du3t3WxqveH|G+9x)!WipR2t5U$>Dq0?QuDYG=C9{CmH`T z8e8DvX#a|^`0`4BwGYpm49-XM*gbB^eZyra6JmAim1e7A`}hY|Xu@2x6%vWfxEL?w ziiD#XsF-(-QJ}thK7Kfn=V?Enz0^r^Nv1I0LG+<)%>fp|YKw|Nlrt%Jw;IXF!vbq| zElC!bWpBVF{B(?>jv7tva)7`yapx?5g>-v0L=1>XE(C+BUAVx?NQ<{lcP*j7yS79o zz#j`fG}AIJJpvYwlClio%y;h{n6iv8sQUUP01Ah(T?=O9~dki(S-%C-~;m;3N!7U-_MP zK9%b>i3LDwbMBRkW7zT{nzWdrNeeIdl{b%p8whV>|zvFlW8`J(V@>7-aWkG>+e5m7@2H?*G}Px!M9X+!i85jrTKYdd91ksTa* z&|Ew#R@QK%ox~_;WU8fMqFhs|NZl_QHwqxtfN94U(1v)oh*(z0dU5G)FF;P@&HMa; z%Et*sT+ zYd9S!!=R(KSDxr=ltym0ssK~ zJw(#&g9?vsFH6r9Kx{gS1BG`M7VEo}KEmY&nbdAc1kNR=ql&bmEf3|EKMoEy@1R2C zgsB>nzA+A|RP#zuI=86fx5L{U-hN=V9H1<3n#t_7#%|@mUutD!OwZ8KJ)Mb=%d#)U zTvO%l%%0fztY1NjNTwwjv>o@Bml!Wq%R4@4cki~q(b-uHIX^D#uj`1eOpfLCD^ z+dMH2qB#Aa;R?FYXYNgFd7|fcHdVDVq*w3~Wh6l$cqg~y!|X4B3t&se3CX!GQKw0! zIBh{6t=gr_SlI8EYkui6R(jJv1Kjj%B8TV+#X^Ca4pxPK(uUff#RGjhpQbpIxRaW3 z-b)9uN_IEwetTeH6U85up2>tN^!ig+X5X5mQoi(>(WROt{F}|YVTFtZnlSRVdX5IO z*@Ml69$v2@pFjS`Ch3=&Y#AmAzYcLJX+C9J9j#ivhLTOIQ*#&j8!re=k_3r>@-i8{ z;Wh(%hEGW)31It_3$>~_*0SQ;L4Acug|VAjb@`tTdjx%yvX(P{UTizBf#(;mMG);q z4c6t;f9y~B@GL8BX>%Ci=R&>Tt!ro9Q;^^&YcmnpH@(pJ1BaU1CC-?Bh443iYj(Nx zh^Z7?GYQiU7^;-0c5f|Fv8bLcTuwgJ%d~S{bu9!g zHENaKcl_ zzx+<-7`EN;i^_EcQ!Kg1@A+6@UD68;=|O0s zU2c*k(Ssx|xE4^UIuP&lKee?O(ZMfX{9*>D9D|&i@O9}&8Z1LBV0dOOWG57xrgh5c z%s1mm76{!s=`9WcvhzB4LsiHloz)8Oo?F^)#J)Jp$;kf3k)1D>eoBs55R%a%cc|vZ z%M89Lfg;>}!^hJ^xJ$b9#gb9nNr6asb(6i0p(USLxiZ`Ue9k|e22)q&Ryg+6uJWSv z(V08z03UH1gy>qo=z>8mXd-cQ1Kz+bpq?T{2_4(}p|^uQR4Ushev=ILEadTI&B>2e zbtqCZZG9OJ0Whb( zT!BdbBkqoOaRiDR$OL!6<1hWJA)&{x{g+r`t-HEav%S-(D1+JMH|^9*m8K1emb-q> zc6`qrn+U=X(Nb)WpWpC(4(ha_6FsC4;d1HH{o@q0II0{#`ovjQRkcavsrk=eP6q~( zO7aP0d#y{amT%`xgjR~W#z&;B&OFVNHFj--ZJsdsSpD3$W6bhJ^X9P-+b;)*Gc@hw z$zq}-Vtsk`Vn~qOitlc$hEi%>NXgy4vwo_mTnRrvI(6>pqa_d z6})a<6qv~uOCwFhY!v)glb?DuflHM<(#nM(oA2CjvZ<1dBD_EbN|(m?mFL5(Vk|xN zKkkb`$XZ}(D!-)P;M`vJP@5ZgLhR0Fj^T32Wd08H!k+9-+F?VVGb9z1n6`lm5DB;o zW6R+>*33vn3PGY*rpbwf&>PtqRoBcWEYujisLeMDt%}V-R;p;@8@JdSZ{sIl#iBV0 zvoVYAz-JRZGT-2hJiy#ye)U}>)PDSf1h=aakbR6%R3c<+w32+YN7*xX zHH@^i`}XzQNC{GuRafLgWdVD?d?7rL&JmeN*!xfScPCgti6da^3YH^o*}L9(K+I4w z9{Wz7(L&#!pM=eReKeiub*m8J`?i-xJGZW#x&JVeLSo^%&C28#gB zkg4qq*=jUY_gPR7oj0}uL)(q>1^4pZ*>6F1HF9Hh_&;C^75H|D8p>&JpBP4aBQtMN zjYpXk`IFE1rz{_B@u|eJj*YLrh*CyXwfwEuKUyl4ny=$*RW?8HDp})P!lwKY^1jSN z`}!-pM5WAdC7CBxB`c5YobUOWtzVQFDJB@`P`jeWgw<6N_@bI4VEWk=$Qs-0pdUQ2>r?Tk+bmZn__yJg3M<7x$p6 zqK+XI>i`;xb*g%DAY46<$jn+un!9awyq8gio2{Kx%HpafyYcp}iYhX_z+KM-VTwEY zYtPxk5`a{B^A{vF)Xrb_j;)Mu*(=3oQ#hj zSSxthomOku_Hp9Y`eSf#A)556;GWV87{-hpGT(~Wwm(j+`ySN4F#vi>F6&Y?lgnt* z-@s69dB3aSgAt1WU{-AA?Dv9^9TmX!9evAwU5m*UCz#G-q`k6Myy(*2;CVJ&I_M)b zUE^X`qWkuBuevwESLFMK_b}q20#Y=HCUH+LTs5^F)^RWG1cA=YO%pE-!BYx?DWw92 zKh`D9NczXA<8WXe%S={~zs6nW4{snt|K3`~R}4ZFf5DCEozw^(>)P?)@ca1)wKhHM zIlUl+#Q}?VU{x|ZU#|s$f6oyq9Pe%?K6xLqH?pdmAcMU66>Ff=%(5g}hlJUqE=WCU z`8~sw+$)86OgHG_tqJ(jF943UbaYwHw8_`g?peIm@hXkq!~(NFGN7R3n871ABFXyV z-&$(f@Uwmgll#5x`(kNs*k`|8L@=Ir(yRc38hR^=uHiKT=WoYn`5Eup==-M}dOrIW zb@$7!u2cdegj9Au9Y`QJlx#g1^$Y5041*uU$BFkizeF|e4t}~s*18GJ4|#Tz9Y+-x zye{NuWqG8#%;Xb6e~FhMF<-^XzKLEV(lCe$Xh(HNRP<9PX%r-%UgM}i0vc|TIbpcI ze@yCmEW$h%31G6@JU3{SgQlJ)OLfJ^r`T1nG-4C^Kcd??Th-e*ua3R6ozZJ^TkdL+ z@LAGw{|5Wo92^W!4>OLrW^R=kPDmH(|FoE+bcZcvRX=|kmc__-Xi*s#{&b;;Db>tJ zimX66BiI1%-P%k{lPP{W>f2srrK+L#^ghDwjiZJ0mT^;7zf9rcsERkP8 z7P17h-zR7H4#jnMy(cc1sizZx-$4Ft&^du3!bO9_JhSbu?A6Qd?&7NG!)V>n2DkB# za}l;k=f~>7#fF%WU-wA<1|VQ4X@1h7SSH0QxajR&hU+wGJ?tq*JE`P>Oz*j2{KQer zn=xSi#}}7^#q6irl8lu*+Y=ss2570%YHGG ztkK%Rhat|0M7vY`|KAde6?hh=nJOk%IT;E0koVi75AIH+)0l46(dVcSMyu+~{SOtr z00_@uf=`EbPLVZs>t|hN+dZYQ+$_kmLi!gZNc)Wc@cV2z!iuT4Fm}9)m?<#{?^TRv zgknKnT!L~N5;lwFDvc(=$!IBG9#GX9jg`eWL!>CNwhf?k>EObJe;ecttA%gUYbfzQ z_Rq$JUn_{1QFXhKjEsaZikG+1lk=mGMA2~1`$pNrQ;-i|lp3^6i|WujO@kD{hm=te zV2t!S`4210QOQ`C#SsftpF~etS?UYyGR|4#&Zxvp{UIO}s5BTZ7z-%iVggGh0Z?`) zCo;F73$g7U8RH9tyYAo9*2zZT7m8s0 zh(f}wilD>U=;6x8D>^lz{tl7@xR!1; zAY20|T>83i!{g1(FA*}Xv--iPL~E}{nQyePHSt1~kN#kCOXo@FMF`K%8%MQ0gR6|u zy%fISd38rJ-vkQtXdS`fh=2M7Tg5mZR}dIT8905pnhMv`IXZeWdV)OUk(EAD>$Q`< zUVb@plO@%$yaN9*0Sz8}lx3K{8GcB&G5xSTXp51eM>Go1z$FVz+Q@ z#$&Gzp$#u@SK{hBk(;CRaEPVqE^QbI$>WB9UB3zjslsKEo+=8_b%Gw$k(g5roOm(2 zv>-Iaw^h^;qBf;D1@ES@xs@-HIm94QD3@Zc$6>H20enR5ZS=b@O*q+x1pdc9k$(*I z$lLAcH?ULKt{ZZgI*+e2n_{jc_WvM~sB~?Ar~Li_b^%TWR!XX0l74kPZc1r6UZbbS z5noTet*Upl#WKY}PVCMIR;NApJ^Lnc0Q#VP*iN)k;bB|UNb--6LFeebHx><(f0%U< zmop7${bZQt{buhw&28zBMQN*9N}S-%8@PEvo|TqEx}7l-MOHNk@{Po5ur(3nEuhHk zB7{_gZ9!ru$S=Wa7jJf1oX$ZqrGReb&}y2a#1AzzHc7HH83gwQk5VYHq?gzFbVI41 zdJ)vJQ(ULvdJIJtxv`$Ft1KD2^*j(Fyh84rT}M)S+eZNY~HD+`%I{3*)F zMcC7RS3}{^8eY&YMl~gnU2Fr@5kx=b^V_muw*TjX(!f7g3H(u`R*~%3wrjKO27ij( zACJrS&)ZF%;fTddFZpQG9D8Hl4n19}m=TNZ%Y)v(^}nn=@Xm@Z3Fk0n-jXYMx-V1T zKykh>V?9#u_ABpFXF#eeAe1vnmOxA~jx^-KFu@bUz{a7#%JB|+ucR{-gY5)QE&lH- z`BOB6ZRn>I)r-aEPi#EO7-W>5RQllP~M6>CIydIFwix(62iwVhqy;9zoLw=2DtaemiyOx3q@D2b*`k zrrplItddLbk^B||P=&IwZ28so{gqg2s5{9yd@kAsOi$m%{@2-7`Scl7fjHlV7crB1 zTwg-dIQq8xJ#S0jp>Hx5dVZhRE;J_Gk+v@XymJFpwcF8;dy<{^ZrN#fanB*)*@%%`jqKzI;FH zK_gIBmWe%Fw;v1l#nxkFX*Vh^4zNCBL(2K`6>^=*KQ7|b>pPlMMF;;s^|ydT&KExW z$bUTQEedk(ZaEIHznqgm&Jj(0tC|zf$7p>L9uGIj#+2)$UQ}B<461NS)$+~!b@uK_ zn9q%{Mu(E1EDqcF?~dCJUu_y&pS!AY{}B+}5Y$l}Qv`2Hs&ox4i135RtAN&Rf{og0E0)M9Tf@bH$e~8oOE8#}8qj zl)O@A+={EVl@yCytej>z)k^r4OHMdy>K|Jl=2EzpdCGX+UTm#WBt+mwUo7UttMrq6 zfqafn_*i{#ZT5=7h;Ym6*4M9wS%NKt`N(Q`xaw9w&a_%+=@sz8WH3{3Mp zYiRcWfW($71e6ad-dg`778W?p$2y&I_*sAx?)7u^<9Q1!j|G>WF7dG}tu_~60Hi4| z1&*hgn@T-(X%EV6z^-Asn333WTU6%l3p{FLB2F1MO*CQdNS{oIkom4!$J+ar!Ap;; zvpOWat2P}IB_qv;BN~l!dJcc6AtS{9+eXH;DlL+ow-G;nh;<9(as++~ykabGo;!VU zYD)O_RJ@`t*`OtaOFI(dBJo#U#A1G29BB#^mPr?udQMK3Q%~3ECuz)_Zq_eJ+Hinx z`*B0y7|fET6FgM^FOz2>I8QC9r_atISeU6eslJd|?_s>6BslB*Zbdt!J^gH-$GZv( z#?6_=5Km;4^r+?|u3Q5DaVJTbjK-R?N=h*8+fe?&#IM5dOa)#-3h#_nKT)wONIv;X zqf6pSo%m%bhK>LBZEVg)L}tldT!?!*3RnVQ#a+Pmjs4lT2K$d4&qZ#hpDk=!6}=q$ zZaK4EiW97`^?dV#0*fk_B^;SRt?E-1eQ`WGtT?(^L}y(AmyV{pp;}ASN4RM;kIWl` z?Hch^RW}U5F@co^v3$~|p>1nh>%eEiSf6hBFI`EJ;aNl;hV} zDe{jj6~`>Adb>|DE}rjHE67QpEPjB&r&D91dg^tKnB{_1)eys!z;dFct@u|s%h%Z% z5IpNboDkN&xKcVfLSP(TfOWKQ3I&azt#EDK=Qcstho?g2Ldw_06xu1h(txoBe++`w zvtEtoL5*G!-X?lZH^{3vB4ovKRgwzyVMpJGmF*%r;@7PGpRUn-46;^{8(zVNFg>!G zkhvr66u9q|CyipgtC~%z@>JsJu<=qDP7sguu~HP)OzF@fX;@Oi2b0+CXWO>6S@5i2 zHZ{_RqguL8u!|3(i`>9mOs>Vjotb2=V!pCSOwU+qO5Zhw+;Z-jSgIOx>Xrc~UVn-D z_Xi3&D(31)X!I2Fv$UbkZ^HUo#(GLF$Bpf}@;_(k+KOakUhUlR-Jpf6mO~ZHZ9WEM zPRbvIW;Sd?UrX?0w)9!8NB)lKHXtGGMN%6@N;johTSEt9H}HUY+Z_VQ5xSl*8uvsa zr1l!%B2fcQL%SMg&qm1mmx;3TR-kB9Exr_v6x(=3w!kz+2#Ys9H!VHaVhP*5OieJb zazT|NzYxwd>ClUSu)No}Z!OJLDV`zDtlQ@STeX}R24K!tdBo+>EGmd+tDXzDkZXi_ z!cLi5fA&=C(i;f?E8htUT=@dRbHdl3?B`DJ$9TiV1>m^arg*$N63!1S2&q(HvhU0_ z&klGddTV8E28lWPWarA8V*M)DdrKQJ{ib{eZ_$z92sT-I(5qA+}(rKx% zJVRNUojqdgsvqH$1}TYk7k-hjWKSe^5)cO-g|fNWLY#rVYcq z^FBqL&5#IrvF~#$g;&n4v_*^7t3T-QgwE!o*H*b{Kad75u7E)j zKBDPsAW~xXBsRS$hJ0*DmxfJ}Py)x=W#yLkp;emtw55y_1W@zfrSR6-@D6H3!Q-nb zBsWNn-ur2+0e%?~fuZf%g&43$$8>FS+2pD6KKgJnm#lHa0sO&JLO$&GhA9p5(Rkgo z--6-o;mY?*F>_B!TAyb&7sW`|n+bg2DDMW)KPx?!MK6<`lH$8t6g^v6Bn>0z^cQt&k(8nhSW@$@Q>nW_f424Dn1oh@v3wiH1Np>-DRHRAK}*a5~krTeTsMI`cpM zZjM0048uaMZ1__hG)emz^&IT>g_(bDecJ_=RN6%93?kiFv({=Ix#p4;MKJw z(1iN)Cb~R|GJh^+{oLxY_ftd*qAg#aLCmcnUf9H-4zt5YTs6RPh_Zx!#FPdjDm_4(*OA1b7I zGQU3G9Pps=x-@r`!=#G{Z{zKMit0Ve#jV?53T`}<6yT#^VF)*BuMHRT_9hcEKmxa8`rfW#&=|V~7cm2Sj~~W zc)S>}$Vb!Z75?H~-yx&B`PGd#!SH$9)026~0p*1*ebaW%s~Q@YsF5fx0m(`TfVgCV^qTy) zxQQ)j}KCXDU#5#nBK?yS7$hHXkvfIXj)q=G#D@#X~^IEe_W9rH4)KDAdv z-9dB=69nyTNUIQeN=`!1X;?;cOV+JVdLW|RZNJ^=kz-r?)Y$ikv1$&lI%wp#=n8F- zvG;1#_=%!jQw#>u=d5(As=eToJBgw^7MX%4?WSNY+9%Zwk3Aj6mqniyj9W5O%MK8R0=6USa0jjEtX}4C#Lfe!>yTbLjZZ|%EGfKP|bTfd|GIQW} z_W3sRR;Ty@(XijS>lgZeUa<6>Z>h)#lUeKHGzz8)`l+`Dj;%J(a5mT zOo^J(DPI>~QMc+emZPde@v&tzH3dMLg7z)T;5PF-8WsUKWQn7;LsGF6C(uos0<8zj zM#=yTzbzJ4h{f(Rsy3@RT`^|o3Dl}_>HN*q^hvI2-Tp8m>q*Ik;f;LO-cZC+KR0+q zRf?&>$(H;s)L~|}WNE>y7mCRl>hd7JNo=9n=7uBsU(9oa!9}fn?=ok9pVdNzL3KwA zH+F@(M?COc;FV6+a*TWXo|U(Baa~ipIX-SmW2zpOi};u07U6pbh0Z^(xD^*_J z%iya$)D5p3S-?{^$qK8U$Z3s@MvMRxUP*W>-zL^pW}1EX-Axo3AtyX7zxcfP3#*O{ zI5`O4y4^D1*ymsMIk z)K|>_mJ$q<5g3; z#GT6Xax-aV*PQIUsmXr81p)_V&>q7v@QU(#lf;nqgmMSP@cb@G=&xa8q}q|+T5tVk z3wD^VfQN5AZA~o$<}9skOSXRVH;%c7!ESf93Q~d^uq2Z08{uUpF+di6u^L_ocidfl zbzSdvZ-~WOHl{U*G0n=$?0!7*Vj75X>1xfapFFXC$vZyl2VQ>vn8y0>=yJx4q0Tij zxKq2@Xj(}*tgbcYg_jQ0rs}t1gvi9u@Z0?&6}}&ETgja(|4npqC%~p(`K+f9$j7pS zl(Qx04of@`gQ+(3jvT10y`O)0Wn%yhcci?)NT3$?= z$mDaOPcRvUr;44!4-GxxNf*cpFhT0`@^bF8NVqCdHjWsczdpzZ^Z=y=tp$Y)RSCcM zcKo9e2?!f=937iR8pWRNt@z=#nr_!sQHiBSGL=vFO?n&3;x%{QvSpIk329d!&+r6V z+5+@+DeC>LPLiLW88ofQl}*N9AYVz%}i31Boc~6I%9CW#EGMEZFn(i!t@Dzj8^ISR0)8{tSQbNvqXjFU=OSu;Br>*qUG=E(5H6LP?6SF$q_36=7yNQ}%tPLA0uRW2cX1PRfH~0_=Z^eOZa_N+P-=?2z#Be{Tia%3_Fb@h}nORE2%S~%^VAv{ZB_xe_3hPmGziYhnW_GTw?dpVO!asBE(rJumt;*w#HVNV zYZO_0bLnI=s;B-5^wfoAi1G5xG^=9U0ZJu1?vj=#rPdz-S92-p!DPMgHXA|Ah$W9$ zx7K#>RwFL8IMEH1BAV8%z0KM{oR~1FgH*tG6ceX|{5O^ZU5P#uo}xqrlxuLC`;;PGUva*EN7V+QD+ zeMxT=%dG?rxsmwL{Zd4f`8OLed!UV>JEqH{F(eWQ*+x^M4OI9T_b{=rGnV>Aj z>R(aUDyr9nN`4@I`x}Os#dapqbC^=X+uN*8r*~NSym2+(BT*6U5wvZJyK#pb4cd}# z#js{^g4xrDaymLNfd3l;5Wa8Kpcaj$eQXI2h*;Q9`knX@CyQ$N$`k*M^rww<#Z0Q4 zEIeQHx=dz>;FkG%vsak+DPKXUl?}hfyd=c3ez38bGtja<#68`wVJbGuCvtCS%u2BB z^#knQ8RpOjL3nOj#^UF{CNvc<;H~_I>mrbBC^6oWv=G0){oq{Ti*4NX)i#y9L}bdY zwkIDb!(}!spVV*aH)P(nT;}(czX1xL_5bSdhT#c};mkZF0ZVlZAe#ey6^R8(cCW&+ zK8C!m8=W2}>jy~+1>sd_>~U?pb^=&9W(otp{>2nVo4}Fk5F}ZQRIrAYvY>y-kdD!C z(^W}%k9>X%V6yrr5xhWuiaZh}Q9(J}*}id8xDm26#tPk628Kq$TC?~XJY(m|B|=1t zc=Hb(T{p|^hpS8t@3JNfLo(_kKD%&#nku%2U|zpF2BrrVt$AuE;$6rH$!;)liGC4f5^0apeuF zNa1GTYEl*NuITz_9U^c`#`>AX{Q#4|sa@e@o)8J6wG$}bl*4uVVQ|*8CPmj%yZn5w zesjhD{n$+A(@e;RTc8ep4YBTWZE_|$`SCk4T%kJSrjHCG5=H6$_E+%nwVUA6mqsYI z!%=r8JOAw3YUTDuiaA!nzlQ3b!a@Arb>&w97;nL}p_}$}bkVM6(ylxD&yX%)Mk2bH z4r|e(8JwLyCe`q8kAA2~toA?o*_bH;B^G~~s(*EP

      s1a*FG5Xo;pNl~$#^%#>!Qxj^*Qv@ zKWKA!{zf`Oxqk^3L01`V_GnDw>?bfi_k_D!(ilW3(`52~Hx_uHlF1GM{Gq1IF5cSq zu=Q|p$JkV==7_V{G6lA`EWl4h^ck=67t(X}`EkWrrvsKOUKb46Q_!u3abP-XQdcZU z)Cr`V@{YyGS9(h3Z{>jdAIVa9V)5v_bc4++(&c)K_0mLC$O2@lGtn*O zdR&`|4L&vjvJY>pgXb5U5s6k29`Zgsw@jaT)nH=W@~sB*N_G9~9aCQ&=kCwCnpl7g z0?}jtagSK1vbcYlo^^n~yAhGnaf)I27lCChe44TLrfR6CeIahWqVW9i=J+Xmf#e=@QnBXaWkzkNDjN=RRb)&YeCeuX>UDV$q63}t@ zm(iO%r9Ua?t4>a24BQ>8_ddY*QQ3Vr3B|5j-L@A9&=(XxMJ(D9&pz2P1GoHFZ&r*u zhG0~nfNbQ&U^vLqA9AD>iVO0tMP(n{Bdn_$Z(h+v;gHSMa$Y-v3pzylR4dv+#13M| zKIi_zmMbdSza`9qqP!%Pw@(A;LN)q7s)=SrHN&r$OSF1-qpLk@b&c`*sArHo%IzaS zAqE@8_>K?bW}CV8cdQmaJ#u2X9Ln0jF5z+4Y+bDTytO?lXlh59%(tW7aH>GCsQWro zfm~^Bi1^i&Jy`{VjUa$vnH-+l!V=!b_U4<=MXAYV6hZ5?7_fi(H~;Pbq#rE%>^b|k zf?`EX2q%KZv%IWxA=0darR|f?I-I{Ykql3=iHtxFKq&LEhxbuchL$mQzJdl(7{8AI z{Atq#56rZAp~Vy5O+&kl7`jFM%9~E;JCjHKl0_ja2<3LeS43p#J!{{^A+KM*RkP~q+-?evo+u@7fK!^kV(Z|rf`bBG8=OSvHRrbR6| zZC4XN+vdwGJ{Yw!ZZMYNOeHX^2qPXY@K-GaR&)PA2Hqr@IH=_wVQBr&x4;4WgX25a z3UH-up&SDznX&!83QTes%LNpRK<)%^-gzA(BP~Zj09Cw&8(e;Vx0qIP@9bKRRO!`u zt7^w7rSasQkY&Ft`jg2|(ttoA->mtA-nscRj-_X;$^?EL1n2>Gi0m2>j1u@n83eK-HBom zjLL_&b_=QaF{Gcl@VgHJM;z~O@r3`&~$LtZ=ed6X6rFyx| z%S6{X=RFr2_*7f3hPSy>Q?E9+^)v&#J`5HmHp84qbBq$F=RAlqX5fru#)iL`zSLjT z6h3Y-rZ4$PI0N6U-omudP!=~K^*4z53<3_)BNJ?;)lxNLkmk@q@b2Ac%RV8f1A1Cc z&37A514K{D^C==H>sgk}?#{+KNfel;g8I5hA2jl3cpRWpr(tFMZ$M4OP{g$_6LTxj z24v%PYPGc)&z<>h-kq6eLV!1w*~8U+ zI#D>eKs5_DI*ejN3)ntFR}s*X(SKNL%vLpdgu-o;m~{~?e8R#s=6-i_M3zf<4lo<> zqgbg`MmM4N#5;)-#&(lQ{5CxEj*X476y^my^eORl_fTs+JpxZU$pz8?SIX9P*Pwwl zS>YZmfI>(`@CreNrWe^?vPRpIW^;?}i*j_QfFEm>QI~CXSaw07BN9U;$Yfspg}1uD zEsx2IEy!romH3Jwt~J(k!PdaTWYvkY_)wY6!Yf!KpjZr|QlS5z_!H+Jv~IFQce3>J zrn^FM=Ih*z+Olklamg;<>th}93U{HM^rUBO=cPHM*XvqU%{2hLyD(T-mig@QOTF3U zUcn!cIfVE^@0T8x^=9*JxDT73)));Sj@K16isl-0tYM=V#IVtz9|VF zoh?V}$>5F-n6_H}BRckj_o4ilA_&UlCKvyeNQ0^WHS&yp56|Ryx)@2UtNx}G9N?TVG&$m0KWZi zf10ZQqP=U5t5=|W z!d@M$>7bMkC34OUmxx?bTGo1l$BFijk($KSng1bS{yRoNG474!rU6II9cumbF<>=1 zUjm=adIZrHd0M0B1AF*6^47}Ww{&;Fh5U{Iu?`ACy!X``AHHE{!Xh=%z|=+9JPR3P`t#iqCvm9Ol(_@k)MJ9yK< zcGvk># zZF5=V<(QEB$;6^UI94&wfarFoc*+ZJkFgR%XVtc^%}((2Z>qBzP-p|r4)V+LiEUcC zh_n_3)KRiP@?RfdT9U{_3(UkiN^w`y*mK3%D*6&ua0~SK3qg5HR^{|%+jqW?{9wz! z3k(05{a~qV)96L!3wBcP{E(nj@szn6+k+HFSST{?6x%r%ow}q^jn&2@h;|$gz88sb;Mbn>UAliu)STF>f zAYzJ{BZ+mzXt(v{g89bwLY3VVRVH1i_kD2z?Kew`zlkV2uY}s}O3gyp#L}mtuLAEg zuSk7DElC~rn*K3E{uF)s)e9JfUGQXxW;GnoE7ss-Ah9+g0+jMWcpB%K;sEgM?f^XF`b-cefJ_$f#Xb~(H0D`Umg6^n|uWkVOS z$i&W!Ifs;3CN?3Reus2DX>RCjl!Eb(Otq)-G3_@v@F39V$l)IrqjVm)K56!*9hC1G z(A)HB=oeO?vRuP|w6)4?SAPm9j?KU`HP)p*{z{465c8loWTWv;PQ zIR02@pZ;m8yKT7dr;1O&J<{bp|6+>v!I1f-CZFWH z&+hYlMpte6x&^*eRNm-fSP-b1DOF73x+4cSv1l2&cxS3uQZ;7PaMyoWHO%);43gS# zdlmI{_7g<{SlSv}g998pa!RAP29ZneEqvzi;Yt)TC-D_1F}OAD8%@_#PNRBq#%!~y zqxV}~jnSnVTnR6#n!Z0q73Cp2VC6Qrc-v;7_zVJpQUklhIMzE|DQ{`o2IULMEQ#4z zx9MUh4%PK#m$rT`+qCAhuZy+j$I}^*!jST2%Dc!zhSw*(`{};NDr8xa)-_8->YNT4 zbV4X}MJ&y?_H>oiNar?Nj-v}st@-J4N1pn@9mKb~#MkH57VEUNL}T;zmnRrZq|OP? z9WPXFtF@({!6)Y!VH(IFP#oerH0lk_D&{{a>K55+8kP~!FcTpUL+CQyh+h2>K>N2n$F3c=Z>fK27k=S5f_5Vg2nYKGH zu=t%URefyZM{kX=7p?ti=DdR#yTG{uZ_NR)sLHs72|S$owuQ2nPp&e@405QmK3|m~ ze!V>&+nSL3pDuMxQNvY}if{uvB*IRzg|T~awb8paeFu9|^e=8Z&74%>0tm+^P4w*j zeRmw-;ad#SwzJNeR{;Fs5%|qZ@vNX3CwFSs)-{c;_X%z`IGgc>} zmGL72>NAO-$t{U0{xmESDlSgh%>Kp|4Qa^0nmE|)w#6epZoK-GqHdm9IQj-dEf>!f z!>-TwB>RkIw%1+&&ul~drTq|9hgE6$zHt1GVgn+mba@305}Tz?sdb(sQ;jGu-S_e} zJ)Kf$mb!Np5SZh1@njr<5n0`>o^CZ4n>;y3JJ1YoUCj}vvDD%x+?Gy`h)(fJs{d?t zbc6BhcVB81@6qc}nm8ocE^$N5766^&2N&G|dI9wZpdsfJ>SRjkFR0*4JunkW2 zT7;*4rB2jR?Y)5&f2v3Zi;~5XBadqu<4Q325|KxNrr3DK&ag&kSBBLKcI-)6TE}hV zA!E;#>aa>|5)9A#v%Al2wP8UOlg8+c32ZSPVlU zb+6p8;dcTkd_sINB9E?G<0$FEuA)jhNhKP`^H%~U&3gA2Wql!O4JZ{fBZaoi8d~pc z((38Q?~1D(ChN}&OM;kg8CvbcenlH3EpjzJ%^KkZb(Di0zO+>ipnxAeOUbmPU)ojQ zwz&BWU{=1J`(Ew0`Qbgo==VGARn!l*V>%>;mNI3e(oMzbns^|Pzb^TL=(Uf}n^ruY z)YtF5c(|9V)_j)2bT5B+H7-Aks>2Xw0DKTr#HjX(v@tXPehu%QAlf-C*Gl|s>yp;V z!Awk|X(BlAm<3h*D(xz;L;Sw2$j~77Y4QtA?u8_r?Q%-dN`nZ+`CRm`nPdu4TVP<+ z;@-1fCh^ASxQLBG)XA|;GVs9B0SH8{z zYYHwmS<)lR`(D`|v(7@0cd679uq-?ZbGL76(^?NNrh2t@x_;E;V!bwYd-u-z;Pv(h z*7IGj98~!iA*=FdWz$WhF`$vWK5{#kK>xK{)EH%5G4}xrHBbADm$U-wA>n2# zx3aBL3Q@EYlRR3@W3lE0Qp%8GUnw56U+7%TR{h@zTiSoMJDVgizh7NuD>~9XO&DrJ zI@J615lt~;lnB@bOaV19wXH0S+@fLEWum)7q505GJ~wt^HB`_RDOi7=ue zC{HZKu7p2TjhyozKZ$rKXdUqwWhOjo`?(w;cZ5<8-FX6-|CpLsYheGNkmBThJy+Ik zHCe(_P9F3#6X&mjj~%F8$(!fqO8$TBy>(cXTlY4)D8Yr4lyoT|jdXXbfFMXC-Q5k+ z-Q6i6pmc|HN_Tg6$NC=B{ciVuzu!6My3Td}IoJ1(sLVN^F~=O^9`_h?tY@_c%meXh zl)<0r7Pm6FY-dGRR!t%0&OyoM#>c%pfoRHUJ_2Q((CA*+ZwVv@*#--_X z0SYXar<*D_+a2@?2U9%ho0&hp?6Z@y74WPVeOdVwQClgkQ-|!jDOsl&f#1wNQqC-cp zw1(jD064t-jiSgJ8g}>6Leu0_QI?oGiRARw$#Sli1E6q^e4X>)yX~g8EDdt>b5+HfM8#itV_-*08@dNp7_ z-dkj{_dcHy_Q{S}5gK@=5w=#b|CLJAfEjIO$&eL*=Xeob!@}*7QlUgM@#%-D;Gx2m zbKbhf{!n2vZf*Cht()7-gLwJDs0q%+f**F+SW$v`xl<3&0-nlFVH!QK-eLLJbQ`y+ z+Yh50rXxE1_9?|g12*ZKuSwbI;v%Kg6L67sc`!Bt&f z$}=-jrybBEMt>Tp{3D36qyUQL`JNc|1%gTtvQpjZ?)P*Itb5GqR@{#bdug3myFIp^ z*+_{wH_G(vWJQA^RS%kyvXizENgSb1;PAOBwh`2MdNLM#GxJR5mU+tc@?dz^4c_c= zkZC|71S_h@h#yI!PQq=Q+0HgG=3&)aA!<*x8oKau0j8cQ#~S4v z3CpA5SE~Z@ai3X-oQG>fU+l4yS;;SI7>;u*Xk_?irwoy+wLW{A@-V;bQqKBv_+4YQ zH$4p&o}&92yL)3z>k7i{E5l|i?l(?zVh zHV?OGy;XWr&6(x>v-ct&E0RaqJOdk7YsW#6J)`6)oxS*Z~le$s0-c8$L(wV}O2AJ$^F#yGL8M*@MG zO+?A}5?qbmy*Zf73!3mAAZ&2kG$q@gPAmVU73uROVNn@ix-DDh&yM^~OPNvFL^#a73y{{809@d`X-YqIB-`Eq-wllL zTM+SS5NNSn=Ulj9Arq?IKp5`$OQO^!Ywf#p`XITI`sqRc*Y;725;LUlt_77Ax)FXF zC#!*PHX!d?DLrX<9za(Q^)-XfyPt zp$iN@>Zb}uC{=%5ZbTmb2(c9XIp3ewxFsBpB;fwinZR7BJB*Q-m=K=l>2xP(Y%JVsig|NLP z=^pDoy1m~vqb6&n)>tnQCOvX~gCJK_WySZf(RnfgahqEHVE|r06J%@`{x^pio>Y1S zUpX{4eEh8BiKaLv>YOQ9qx7oAU!{jis9^sw99^6+HMMg>(bC1kq3&y93C%{C8$O5H zi3e7anFZ7Vrf&Y8x9=6*mz_ZrB%Ze__J2>+-tnS;AHeNzBw?B%t8|1D&H9|lUhS#G z3%)_K-C43)q0AAuNLE0mYQn4RyGtLla{z*d+~@~V8E3iJxa%|q<%Eo_;lLh$I&*1dy$X^o=*?q)*A>rJfmouNE zbvi#eIDYFWxArT5{TdVTn8K~Il#auDkCr+VaTX%8^3UJ;%|1ezbQv0evXz{cFzW3> z_=#LU5C2(`#nX6Nzie*Jw;vn3@q2IM3ZV9Wrydh~d7^*ohzvaxPnKY7U10U?bDCHZ zDy=paQgbFg`*O)j<^DT()<}0%pYTl^0H*fZZ-B(XzoP=SB))Bb<`>z=3w>jQy4XFh+}0ALe5 z;pGpKfbgMydNHUO?XUl6;ru-$v@hiPVF-wB7xL1AXC4WxYo=puW2O7i^ygpAwTzI! zuNWCPh#81~{+*kfUewUUM%Rj7)a0X$uCT7QxsERVYh5#a8v|lS1_nl6UL^2e3pgY< z#LpSevZFRHAl(z48erSK#SLjphgEiZY>%%h2;+)yQA*SOEqhAUTCv#uzJGF{bNtxu z(-@MDsg(AeUPq*!sK&PBr`V=vpJbJ5R+}>eb%-;Zs*4My%||PX=QYO1=<~9lJ};p& z-^*YrCZ8AjbjlNw65Zq43F(TFl))7nmGS--pDj-d?1bH z^(uTMeBPP!0vlI~V0m zEiENwdf>8#%Lifn`5KNXCaym{?2YJ9_RgfM_KT@jbNk-2inHzS4YjECa**zJE;1?w zffM7@g=2}Y)hmiaJghFog<&b=yYKKMo^d}#)08KN8bW-79F=INy=*JogB!6>rajpg z%jlQHojzzSmUeJ<0>`1>m217K6L+2J7ujrZ<>`pG;@>;W+@DfKDaxST-oyR+sB6@{ zhn=2}Ks$kAYs%6j8^N-t!>m4{_!L-3hfDtGb4U?h{0sYmbjVlce7+~%+z528*<>qf{q`{mRoleD)(M2 z6m5*9Xv4Y8X7L*ubMP;%PS2S5X`$Cl)~6}zhW;2AWr*7Rnldl6+GYBDG>g>SBVRqR zurw(apZqeOWK~Lb)!agS`sV+n#_4Puc-hKxGN}=R?r6X6q5dE_rLd$kMUG1+15;}rd+BFH zUBnWzxLF~|to_{jeMcXLC(%ka(X_F4NW6m+lJnnXvB#)*&(B!RexCLjDqAsFKkW4! zbX~6zuo3>oxwCe~_(Eve>6QKno1GTTfl%*b!Jht!W8UbjklE;$2STfoEEg36x(alP z++^muELIgI_tC1W#@M-Q`zLx_Y!u!2iIKuPLQghKQiVRlvUDE7;jBha;08NG;ZExw zW|(hOY-BxQ7De&Hfxr}Tv^W0`u))ai2W-eYTIkY$kk&HN)wZFRv(>VB__u`VM}1v- zMMIsR=)uHDFRp8-Z(u{r$jrh*FJx|FZY5{&QCpW@Sl7-_TlbxqAibcWjkS!fm5{lq zg}IronGG>Jy@VNnM;7J}ctouC(ZpK!{|cg5Iha}4{s~gMbB~iT+FLG;0vRWg41yD@ z`GaM(NR7I(O6!UiF-ty|2somOyyk}K!j$2Mje&b^BwznQm6($I5mAlE5s0*urfX~8 z%YT-1myXg;6|r|px*y}o_ual-e3lUwwWb5V_=NrKg6*yS?fV1#J@%h)3;fY+2NVuK z9=b-p(2A`9I|qRjQGpa41nNcm$B!{wuvQ8*dfs3F9o5>XB4lECagtD zSt){OnXY|lRV&;)smO?Y`7Y!uzapYm%!(*>PK1ADG4NV(=;@?wrPLtN4JB_GI$Fr)=$0 z7r`uRey>Q=dE9LPs#O;I3@&`uXdQZ!@B9bY^Z6Zz!F2h7#8xL-(94_Tws$Ckbcike>STa%P=te>Aey z)1fS}!o0aU`wLq4y(|j*MB?fDlIjEZHVt)bdyGJpM`u?Tw~4fNvJ*@0yGL`OE3Ruq z__WjYbi%G2dnb$y?-N1^xUM->6|h`&vuy4o#0X`}>}YZDxbH&P=EZYQ23RqL+{n#n zQ73pQh+I4E#rIKW8qSfVk7IZ$Ld*&7e57N23KbuLFAF)}kGg+Io|8y+OS@F>ouKHv z+=ub7S-C|d&Ow0tvH8Q76k@x6)$aE;Ufrgd;~e{JjwGlnKwo3#p6jfHr>Ubjr?^kC z=mXj-HFeqEEp+nNGSWAvTV!ebMc_LX*XP?-t~bSME$9=Ro`lz@n?v6(-nR6_EK&LA zpGb2bc^4dJqU(ncaIq!~R5fgoYAAD@pQe>juZ~ER_)4fG#STW5;m#g8X!iX0mSWY@ zd`>$y;yTk5DUH}69ro1_w7>k9oW-+gy*xV8wGAx#JeSe+k>>2?LvbzWLH83E8#`;x z$?k)yBt$NCT6%}GO^~|-tK~!%W5I%V6JzKUZ{?-aT#hBK%Bj|OjBHz)v^zt?x6bGq z8A_e`&L_1$CWSVfTwPt=i(6FP4(_-&ku#`ucGVk|}TQXP<3bvvsq+_KQ95+&gIh)MuXl8fv zu|MUp)E~#jhxPD$TZNd9sPSyg?IT~=Y@bxw@~Nm&w|%Y~usRflgt5=B^{|n!M?~K+O3; ziL{sR!Y;zeNp}Y7zG259!H>v|eK+Rn@@VCgkO1WVZf)co+h4KxYH8$+@coO-3z6le z>rAy+3uM3B-0(0bY|WiO%TT#3$m|cQ&a2h$orygG8+TVni?4RZzXX+kgQwyRnRh&^ zA?}2X@HHM<>^3Lxy4Jc}&pRKy_;H0v?P4wwjK|08prz<;6F54kDP47)LUVOgkaa+i zYy}WU{i{Z~L$U=f+=aL^6Ae_U_Y5-Y>N~^2!9l2C6EkSp!{IGKN)Nh1g)fnHVqt`H zpD5IqAxcSlf~sqfn53_FPH=i>cT%D5_MF#8F))A(h8j6a`MY+z`Lvgi1kP zQL(r4qUo6E>N0C%x~tQAy)Pn+@AmLJEN7F|nxqEhGd4O0Ex;(WIjDkC@5*TGx)*#O z<#wH6o^iQb?ry29HD=YdA2iAtzh{v9b3YNglV3l@y~P%UvdN!p)YvDyxVso@L2uu3 z$KhQaF1c8+S|xU#7113ia||ZKrtkC$SN!>0}+W z*W?#}VCc6`^TJ`$`@FSX2+wHeHX)$#Vvu);#bMc&qba~i-fVxa>%ufZ%IS3h;|_n< z2_X-swTFIjU&DA#z%y(TjLBJ8(yfUSjYB2OZU6_ea!nyGFT&}`d1S4}_thHB@w*Tm z&Q6Ogj+Tre$mfe=8=vzIu&#Kk`0NZ0!nH1{4qa{ZzgLNs7>;S&YeT3#uL}eht$1iK z!HrkzNu}0<{iBhmf^gi2gR*M45q%RxSZWeDpXkR3WcKEh%{Iq3%MVvrSRT6WVlpnw?DbM zj4dAY+v=#9xN%-qIS+4AY)rC#@9t9Hw#{}MHG4~h1ybK7>dvB=X}(AMFIb< zk+69J{uhapT<#)xkc&9Q(09^t4O=%e_vk_ke5@Mh7Pje!u1yyeXZx{>cs#qd$!eXP zD-gY}a+#_7Du-Ulkr#{Bvg%mzG}a}yhNK-L2ym=yp5miQ6}CI$X7+7}2ym$%1u4VV z_oLsd(cMlheno7Po4S`CyS!UHpBNoHsxmxAyt0{E7h=ICVySpNK(*<5y6L8QNntc) zmlxp4k=Z|#_|{GTdqgwoHa%qHhOg1OqG_+!yX)ND_#)DRdaTk^MPsKoRzwNWHpqJc z!7>F^@tV31lT^%qtSonSq^LOg>}uj;V`~v7Una!WQ=L|$k-NINO}B0o4C@vt?`lqZ zK2TC*cgrDR!>7po@S=&R;wOEsHFrGv-8sltjdQo{r&aPQRgaJK-S55~iwZJxD43R1 zNy$T9wf4kK91N?mBnkU=%^IsE`dgw2diK8|j?|8OR_}z13g-SEF0*(EDR6^$+mf;^ zK!P}%uoui-?Jn%|b`gwrf^S63;=`U1q^MNw4bt(r155Vvn@1fK;|xT)-~)fl=j*TFZ|OM6wB_#)UU;F)5ih>8JZMu58Hq@ zxa@G}1(mdN?zJnKiZwud4lGC9(74>sg_Innd*G#!>9P14jdgWx#XY5*Uq`#%7z~l0 zl|%4OTrfLk9k*80LN8a!8nG2tQ*0)hSYi8(_$<6o*$V1Uqg8!sQLY*fELyUbt9V?_ zdRZb%T;?i0kEI6}$lbkz_gnu0IM1Zmj-w%Zd z`3Zr3itYH9s(DVg+sonM;Rfdm&TBydN5`6?N+CaFM@L7`b!Z}cD9zkbGX5`$CYAeYfa{>I=lIT_+`JFU z7m*8k)Jga01S#{98TwIbiQEu%qDA3j;TEYp#EfPpW?yI!4#l7D^6(rI42MSTO0(=wZDua!jQGeb;&?+h>-_`oe?I{M&ZGa;g>oFZma4amrZu|1*F!+_ z)CaQlq<>%&9DNEMfJnp`AlBYL4H&G>sRlT%042yIwq~N18Eu8s8#*cJxAM3@$$%#R z;qU)1Ht>i^e*gnibkqV*1dHrmbrVT`6dL%L#;X)IqnSE#mf1w9*+nXrMwa3Y4$|yC z;&6!UG;2&u%(jC@txf)nt3(En8&D|0`1$_c$h?BGGF8}!qK2#O_30Mh^-$RCKJw35 zNO-LH%mzPl-z2T(YJAfPn3RO`veY4BO{+WhBw|G_a0l?+1V8= zG{S3o1<^NtAUxJ^J&SRnfrqN0e9nfXg2gbNm*z$l z_Cw&)qwdZ(NvvxI73ec_f>v2;&HGZJPOq9M55@P&#cv0)qiNgH-yHnm&1NeFQ3#6QjDFm+Kl zJSytDr4dtQV+t}6FAdvWPMrF-10!~_+x3=J2&?&g^6MA)xPZw&oSH-MwcZgTef=W7 z6s%Y%zGa=91yWXd1u_9AMkM0;VA_FQ-l>d6O8hHb$;g<^?Xb09Mo=$&cfBb^->@2v zu-HjyTDGWKYBtAtbvYu+oCp+!5 z{gji7ONEz?p8lfw01XoxyHMswx#5V;_R^0Zzl|AhbP%OrVZU*^ppcz4qm-ig=-^SD zhFgt`%!dz@MA(>^HFH^QAvjFrhbx8Mqu+mNjy)GNh;W}_RdoX`SIWeHG400`SN z{z^(pA$V+Nqn{&g%~LpSN85n+nVBT6rsMNA1Z+)A48VCAs%>f#f0WVmgx`{m)1 zC2fVx*1H;cAd6ZtMc!(o4Do>Nh*IuF2lM}a7P??dvnR|NDZsG#GNRoNTqyy{!(iNQ*4+f3Wx93a3>GJR2cjKx%?^Spk^Gh=R_pq+kFUl2x4K5#m zBslzRcfNtE+bJCUFWm&qBEk9i_|zgVk-teiBq3$`_d*3%T!a!<%N$g?-2ibjREju0 zwi1P5`l^PC`i!(3h0E+qP#p_Dnm2R_z`9Cxp{(05!IDx^XM|1PDxqK=85E%^16v@T zZ^DZY{@V`xcY+s6G@3YVx7*ZBzdS<5|4^dd@IjI^wTr0}M=yijw>A z;hA$q#r1C4;4@TE6dL$+9Q50wnU*ruF#H*^CF|F58M_@p6&*fR}>1Or6=k7?C6MM_$9?b`4ck;8xYyeDr4Ildypc)sYk5le(Z(r{(OcI zxWma;+*+^-aAGYQd^HgfpCZ$X{W)bLm~impV2X-Z3Jeb!kPj{5^Y3#qfQx?KK^^Gr z?d|U$dxz8ML`+-=14LK za59tM_+P1_zyV+%v9{5dfPO;?e69Ebz40@43(Zy*;+(&g4}}5P@skN5&lSj6y0>); z;F@dS6xAJdp?gVr{xfXQ&#O!0+l5#4xXsP^-jK#;40CXEuMv-z@V1cWXpOag&FJCM z_Z4L$KrXBNM@YDBGx);+vbaz`_hxSlFV(1qjHG6y{-HyKxa@TbCkxs3Ykq*uJDu<1 z814gZ7n_zwqH3|wkSFtlL#A`DYS+K8tTLO^xdK8&9)KN>nf=N?MvL-m z`v2hFt*)Tk9|wtizycRnFN61Nd2e>hG~Dkh&W%=BTrLkO&F^|^I5;?Du?A0R5gjC6 zW$;h`7d$9^%Ld?KTT=53Xh4J<;2j@{5xGWNR7Jc4JV@Nip8-H6d<7q9$F0=Bdp6T) z<^=kSt;~DwXNLPfGK7G_0G{qq@ccKAP5`yyVqz*xrrxQY>+9;Wn9WwpW{Dm*0Rk9l zH8`CKl764FU!cv?upN6ZaYmTmLKy-Q^qj{{|9}YwLSRzheJ>{vN%9+}9r9I>U$9Pe z9|A%L&okX$pY6b-5Z-Px9$Ok38b;^l=%+f0N{#&R2Q>WCxW7_i1QT#6oV$015;zsc z*v?ygM{?SE%@1H;^cQs3;;1DRtixy6gO_7tW2V#PXx(?UFW-6oF`L~nDrcTR!J4f&8f#v3YPHpq@UYs^q8^Wh zyaASB4QzdM>_*LgF6Zl897g#HV4I^KOtrR0^OGASvHuxI z$bg|I>-{qo#@L2tz^R>_oO~a6Wkmb)4ovvtF)A88U|T;oTI%KHMR`FV^lIXB1&Buf z7>Cb<^0WG3-%=!YGG+;fF=Wl;ChU!+{MSKlkGbT9-+7T&6 z{3n^8x`?|22!-srXl>ntbRPy*ncOC2G#B-s*83?wh5EYNV#KWrSPRRU>SHT*_lpjv}AM=SaTUqK(U$2|$?W0)u{2|szxXh1YwYv5G zB(X%it$jW6Q+$h{*s@4(r?3#p$6>PaGaQ@S!hWc|o1>4;He!yGv>PeZHyBI~B%E;=9tz;+@+*L^v)!E98N52<+CoPNgmO9RmF< zNR!4TpX6htzFDHh!2d9`A0P5aGBg1Ul&xh1ys*^z%_hepmY~3Ht1mPR(D`qg z%CDW`n|%MY?!D(@9{5>7N`JLU8H=kE5jG@RLq;RVovMj>9QYD;JK<^1d9{-s@R)_D2y$O1nbH%L`8-h0hl3_L?BI zHkAUV`i#$Ki{x#2^Z?fL0s~}8g3(5I+vykX^pEw17Is5-)XZTt(5G?&0|Tqq zajUWTr%}OL*sK^uxMR6if^`H$b~86eS@40^_z5!;2@4wcRoi?#)E!l}Icf9S>-P^g z(E#geKbHCNar?aK?c@)m(*odjY5w+X@Sa;M|JJ^@DR!2*d^L>TJ@O`O%W}*Rc`9eB zOkXTPML|KqS}TwXEuY(s%LQ(|!AuG{b1J3Paqk*&Us=H#` zoWc@rU{ulmi6*YLiDVQMc^XY_5$nDU?g^g8P}w1dH|`xiUZ^oEbyt3q#`ANDsc)59 z`tG2L|MZ<&>S{cI8Oxfz|50VW0Az_GO8BL~)TVCz+iAg;f{l{Yk7Ei7c8NPuTg0eP;&&0baS9p7$B_gX%nq@UM81%^H^ z@xcCbw=tzrSMl3hfuoV8K*)r0vP}}|MBT9T0plMF!)FjD^Su1bv}Rrx!N`Q%n|5NMOaPwDV221D*=nmjxGp%#&))%v!~&EEUoTVGAm>4s z<3r&S&$IE=>g;$nlC3kN>FMZVgH(ak=_5A$ZpIOwXf1$fVQd)u*#FeM;Omz+qOl1q z)k3?NRN5WE61DackCiIM3Kb`6zX5r~+0Fz?QLAay+<6amN$@j9!F8YG?lln=1CyxPoI>|u!ux|bw{m3Di|9BW@Y z34?T}u1+=z!|>GH@6H~Qbaq*wS^^x>BNRea85tP`1@aR?qj8W$Cr~)RaOkD^2ylwg zqga_mtcP8Y{+V@{Ai-%9U5~X5Y&6X5Rml<7EZ*%S@$Nbfm3QJ|t?AR>Z^Y1-H^^Y! z6r*Z1&Q*?s;gN8&k#mInm?69Bd2ds^P~JPfA#8Bz%EjSvI_20%M!z{PPX5-i z7AZX_+orPQ_uJOhsxK%#^S zoemG+zYS0RcAekZPV=-(IyFnv#k}+M$yuf8jE)cRID~x*b@llK{Zt&?{BT|%O*qTr zyUVW+Zn|6!(l%r+elIft0f<7BWjb)cuW)sAb>W)Kj`Nc#^}9=ngSuNs@}$EW+=x?< z@!1(Hm)o9Gf91xwRrbe**jz_?soc7%Z^Tbu1$RgV{^s3y;M{$y+yhHJg@-^m1@8(8 zMBxAe;|YO&#>&k>(cQqRxlxG)aB(;-(KooPFRWRGQSqbGjSeB zNk8DeDXpV;=O~~Dm4KbdW=@wSVDaIzN|hNf6}Tn+l0!@Uk?~%M_?ig(wD|cF)*Iqh zAFtcYel`?pOt#B;j=O}`nZ;Se0s@>eOmyN#wF9C~ zto*6QxZ_Db+fKor54L*sq8FkUHHx8eeeH0Qnoc$7d(!%SmReOa=LU$PuVH^?g)3tsk zCaB=@Q4WJ2#A+39fRwE|>TA>e$EuX;+)wqlv0-(#JGq5X5`Yi9TZr%K@3*a^_dv-Y zwgXo6bJ9{;`Z7@aktB}D@7BCm5b?oIy?#+duT?e6c1)nBp|Jy;#A1wfIhMYN3|vS- zw(`iW&2XKxG*+Aa0u@M%@q7>HR+y@_Eu81X zV>NN;z|rqwD%n2F6bV}W#Or!3tdzZ8Fz0g84^&YQQisz8V3c;3{D{V9W^`=!XO*5E zekv|i4f(ELR%RnJ=I+$vKES4ppEn$HAI~xGiv-tVy)eDX?0hB%dC{?V?9PT$gO$+r zk5J>a8bZjU?3^+T-18mO9q>*qIUh7!ti@>*SGe@QHy+AtkA5j9NM2C&B)e>oS4E-D zVyV^j=G<_{MzGdqi}dFEU_z@%i{BvIfogkS|Gd}+e>On%4e^1#1qZm<#I+)X?-W^V@jMeT+z(5D_wmOClgkszqi9{7v9`7UwI9V}lAQkP*_V zR0iu#HNWXOJ~;uZb*ccAgx9B=y~C>B;-@O_PcAQe~~jpsT3F;c&2edU{6R z^ytua4Ga`teSD5X>U#-PmuX`;RVq!oli&byMr`+AIKEg5#3Z-F7I+}xm-?1kT1ZYo z$YJ%oJ8|XR`}Z$S1Z8C-`VfJ{F>YuvTJEhZwmgt}JD=|cd@E9w!CGdz&w;g~1w%eb@sdSN$ z=mv@i4M2rXn3JGskPlL?RHpZh@1ZRA?lsmk22FmaT3A?E&{MhnEKE5 z#ZEHkrnNtx*M<)s?{hQXihpak8OHO^ILgoolo~oi@FtqvAwXGjG5$xU2qF%8ES;J% zKp=FFN(;}w6Wv>M1mkQUC39E$TMn_32+b4S2W}l4ireDRJztGc3mA!GL!)zz@|s zp1>125SMCx_eJtU!i%kBLohM|SiQQsdUj2k>$#GiDVc*%m-@w5zDg__KGo{sgnHU> z+|z<7Y9VhFIF)(Zg8LPGN-N|+%VfvUvMx)>3AdB9lU1tS6<#=}|4jnYg`bm?L*#b3 zGzQ$1^s`KiIvMo7Vw-o!ayeZ5gi_G1tL}e6CXs*Gj;$d)1qLv>chl7SNsMpVJ0MD0 zV!mZUqr(u!334-BRn{T>8PGkCvd53Tp@EAAvfTopN$I?Hr&!8eKNDTn-AUfUUOSuM z7tV&EjPo*PX*DO)4$@2>?Y5>sRLgg2+UwTb*P_+s{^D7eeCPYHuLHMd@h+2uPiu9D zHuWDd2O=&8deNArvym@8l@<6zy(l>O>6t1>01Z1BG3}c;|H>G({}WUJs0aetl}F-F z;wgJVyEqXUXf{&!y@Z%X)YRr&3kICu-nmQjy^*#(+o~!Us2_9Bb$%2KRCT$a9Z2E^+Ofqo3l>Td3v zr)`q4RSUYgy5aR{5io+34BksRAUT8#{vCwHe5cS}Te!MO^`@}$q)MRD?wizCMlWFU zUS2*JkFB^_;M>3q_uFOeCTvvj>m?4NW(1(LLFNHmRNy4A?n%2}%eBSmv_H&fxY@4* zDsog7sNT>Bcz??RWLAcp0V-I25N3du zR1pErrHul^-!hi+basqj zTaB~IsP7d|+5fqtzZPM@c<5=fA+hw60Tnr3KL_>Iqcv;w-6^j|}jQ^nwDw6%K+sZ5&W~T+{~YWa^X-%K;Rx zC%zGFF!A!rX@Vd0X<>#|BS^VOrjVft0Jg%!!IOv4KzgK3sd?ty&7O8N;_HPhGFpap z_C*Q?_eV40R*e*j+dZ2fa_w$-C*F%#y!;U!?hTk{Er0IfQWr$Pk zrVVqYK;F=reltZYE*;W%;B$GUFPHh$=#GnRc1*ol`Ms`xKDye+%WiKvfez{$&@z-I zCZ_PjV{u{%*aM79?qZ!fHUYUJSB{FMFiShkAAA3PCBxtR4k*}$3n>8M5J;2E=W1Dc zIA9osIUf?;e5xm_cwkoPh6DY8+t4@@lPk;-pQ5RQ} zRxBkuZRewKA9_G&j`s<8oNR#FnH-Vx<&qz8k$?vU)r#7p^fGVa%Ffq|{t+vO{JitJ ztGSpOI)C5lko33WFc}a6T>21jr8-?}yCa0m@%*g)7UQ25Xs2_B=I$6AIr~ zCfy$P5a=c%0n~IhsU7xkpPNrV5yo>q3ABs4oxJI=N5L45(?JclOn=889wG3^@0JZl z3!sbDJOnHLT~7m>0vh6i#9_#iCbF?)3P0ngcMJ zdLZw}OR-Znia3_4VF(){HbVt_N#TJ(#F9WsR1Ab72$dakZhC7K5IZr6cKSj|Kj-?0 z1Ne-@T2XdfY(Z-{E5_?hyPk6R!GtRr9>;{Cjry&I!81C!eJ*R&xJ1!ySc`ZgwCS19 zd{agMGZ8XCk@Z!}^4Dp0SAv|ugD2O6qC`vf#b(dT_p&F9moezxM+?plmWJBQj6~oH=uu8o{@fYVxB@-ICp#w zB~?}L`gEw`0wt)JJ?|(PMH6-dD}ve^nir^si4ZoL&DACpw|Qtd6fO!yAY?8gz7H5$4&JF3$(R||FuY9Ab8~cBrcd6C=}?cldwO)xEy8p z{q6k4=spY8c6jydQR3~Z<>}cDYhDkuzf}_UzEFXY!$rXlI-t_9%)9e&yP2O>N@M^B4@Im}t$PWKf9^ z;Bb{>p9??!pJro<2uA-um1T!=9YbVMRhUktyoNYN7zY~et8^SY+c5knWM zE#My>N6ZS;uD@l9m&VymA8>nXeYT}pRhLbW0P$6S_SfOaeDKv-wsg!Hc^1S~h0 zd_HJ6?!~n0m(7Afg_gf!-NRx1UJQGK$!%KZ$7w!}74X{emb82y>L9Kc3z3IA;yW<@ zP=6aXyr8G30><)2X~J7LW$v~Ei#GxCBEW4Vrk{))b4eW)tr1EFgGsCea91cn#< zYkQjXfX%`p^1C#a)otp#a$TL*Pv3PJ&z{i%LT5w8ZouV%xkhTFn#}6O2TnCcT3$sUH=|_-dx$D1VDkIe zbBg3hfk@aV1a$nrcP9sBtN>&ExvwS0&(Ofl=Q7P-2nT+hl>fUT!IlXPchlNH_Mar} zH)H(kB!B*XI7jM@BAVC(BLIvUh;-F>V1>s=pHP#$CzI<90tD@=KcCHK2vZro{!+o`dBn)BLB|#SL7hBd)_x(p3Nqn-^#-5ffE??EcG*Cwp_4 zcsIQOQKV?0Gdxffg<7WlcS@mt8@d(HEmR9^ars>kKjq^0F&TzpseS;(m})j~9>U`G zoql&v{n0?0bee-St>Xk_{;Kdl8-;bQvFU(UV<6(U%oicXI9xKK6u)nslM66HPkC#j za4hAh=C{e58C7E{!u*$8OX<7(fcwwBk^Q_<1b3fmN%t5zM@rcp&jcD2snx}H`vL*( z()ypWEysb)@!OQ$f`Vrv1ezjZ?CCA&>coao?_W)!s zccQztYWPOdEfM1YCYy#;0KS24_dgCoQ-ar}s zTHAvWA;6)s0?$f*0*8_&7RHj@i8ti&=v16%+kSsOsQzyADxhvPB(-7~BfuO3e`_sZRcraFv@?j6#fIcBMy}hRu&bKL>RyC`f zdMX)k|C`~J%YiqKx@VZwYw7olG=jIu4tNTb^wZFeLopWvq$$O(iyR!NXY$&BD=wh7 zqYkK0NCF@2%mjjxCjB4oQ-OIBcW|tpVVX*1-EtlMo;9}qBHex>xJ1%bl%JAPhFh?O z@aQZ^TEkA*icUT&=z4+WoEjo#NJDP|TohcT3w#24FclUvmaKKIQJC{9=4%%9?xzMl@@QgW4_DjgRO*X@y&vYz^Qi4>O}OVOz8#GQq)Dv z=?v7!tyq_`V_T9QffWZv(bJ%`DC*>|_N;2Q9b^anGdOCdq~3Gyfl}8skMm}RUylrf z(dcJ5L@bO;)xQrT2>;rg|F_Et=X{B8P#fLniusH)GA?gd#hwuZ}BQlyPm>DQpmLGUg1x=C5A)mn{y-ykT(FyXqs~mE%br4_OSi(( z+>rIDMa^^^*|Z)W6c}*nt`*s75{y;P-`n$+0e$=q_+Gb+jNhh7=869Rg%ST~_$G8_ zZg&;b*vtiMI$_1gPmn?d3;M`}!~Y9gD1@L0W&@Fp-|#iWxDELN$-7upmd3n5XGI=v z%I1U``h?X|SjpJ~*4R)^MlA_A1J@FKs$~DQjAsj4^9s-x;=EFdjGfc4(;kXFQsa(L z_Br}hCKB9$ze(O&{{yfp<-w9*@PGVEZ40BY)VGdDUosFmaKU;m74PTIHb(MI?1;WP zMxqk+?i^3KI;BQ9^mCjnC_#ADtJe}*vk6mOUs60E9heMPJ5hk@Uoj!n7us;OsS=f? z_|+P{gTnw7@)}M{HX-CyJjT}GgE<2&dYJ!-pZLgdq*sVv)US=4Z!95K2M~y7eQZ@P zX(3H_@f;@5nGSOQ!~B5?QZ)fMctwFQ$_Z#fzx~6sfrtpCe^h2`jQQ{xpysrHhCT$i zjOG8^NgqBsFsuEG5FlVy9mkIXEzd;^+=>5^1Q*PU=h7b;r$X<(5<1qtHxYcZGJQm_ zT2W6+zYt<}vDSsxAFB_35Y+?IS8U|p+yF{fyOLY(*XjlwiAv6Ii)}C;4K%xe{!s`q zprll9uA`Xjh8kD=-cSj71(-p#LNxR8sbelMd%O$v`}T1#DUY z5CQ1Y_*p1Gh{>s=HsQW`_ZIMHXhBL4^?x`TF@|@~@Ej)VZ+n0u`CqKPby$_#6E+O! zmXeTEBozdMP(+YUQ9ubnlny1OyF(BJ2}x-IkuK>DDd`63PDyFl@Xpo~o^yWJ_q~6- zf1PvTe%7;|wPt3`+%t185?4|XEJ)fxJg-HB(~v!EF_DOmlN{ZAV^mdh`dhfU7Q`il z!st)Y;avUcZ8Bbo{}9A5wA@tfH=0D%Q5ixEE*-5>z8+n`-1dMvK;1{e4RoWYKV6{2 zf@gknc>|~8HR{6_3EnQtSqK+BZm{8dHb$oPP&Aa`TVdIysXrZ()A8-E0hk1oj36Ej z&GDC`XUawLUFA;cz;$)8<;4M!K``Q*FsGTD#m#uAp^jn+Jp|C>nT_3Urs0}ksYrDkFvF5-FwOyVbm_t z2h1&JR~eW3oGJ#d5p4y|4p1I0Dx6!$gm?~+1r+wWsRyM#U6!Q(es{02RR^&R=Oc%K zX&a89-Pg~0oeRHbd7~bwLavxR43~)j^+M}x|LGjVCu;P&5Uml%cCJw7+I1f%MP2b< zvoBQP|1r*>)57lgb4{Bs2k>f@dNlt&Z#Y!CgHHwpEGUbjL9g)j&@)CcX-g4DKHGX%i_SkblECxOjfOBSUK@ptMu zx-%k9;mn)!l~I}_bl?Z33L6}PYe)NAH>n~LwBTch!a*Xa0};_wGw_~k|ZXWqx@VuU#5MKvRk%mt9N#pJYVsOS$(9Qi z8nPGsg5xAm#b{%!{soN~B~Cf(;qF>o4_4{5H*=E|8^4ZZ!A-r@p@Nn_Wb+?Elg#n{ z2g!1p*D>9hD&8$?b|utZb6-aXs`^U&RR%w(4kF~0_@RW=)zBcDKGMsuE}4i1PAl}U ziFdUd&h{u!R{z@$yOColAy<5nl8;tPclj*(){c#JNf7d?x1dpB;_^b;Z=(o79|a8b za*(aZ^WOvMiqxi`F#+T!jqmVp79lw8?7H}rh2Cs%8 zw~UvvBW{m{gFMXEqr=zUIQLbZYq1&kdQ%O<$++<2F1P&JS0QFqWL z=|!Wx^;1y-(&K6O>83rBPHg48<%kjAIm~C8j_&4M=p3`yA_<+f=rh5= z%X%07VH{xZeoZScUZe2_9Y3+w8^RWkrwpv=^-}@?w(Sm*(vnWfHM+NcMG$nL^k_M_ zeWeiy-Ub-t=&lVz-HzVeFmq{M9nKm&^oO0LuUPGp(_#h!efVb8el{( zG}xejx`6gE={F$N{5DCi!}CoB>5h^k&wxmRLsXWbcrJ-G%VD-<`D9*w^PqV$uBEJ& zg|_*eEq^${$t_FLXL54gx!U4=%S!{R0UFf zB)*YBQ$R)0a=tmsRw2V6q-3P7GJ!o6=uj_jWgZRo2Fe4ZmNTB_CP1r{8uxo18{}bTH+rKvu*_6MM{=GOEuJ>nAup0$*MFDWWwtpKod%$-aFs;#U_NZ6e>!*875?T> zDZT+oX!Fv6aaZ(HGhb${r~?$bXzxdAd}b8F{f9SKn>8S7EW*U5h9)fZX!ihBYw!3S zGVz-Z#f=UkUEs#7RZ;x^yoHl^O;rSO1*4-m^m+x&1!!ov)59&bL$8;6qUX#fe8WX7 z!=Bmf*}lP)ecSTXA!pseO|6xbI#o%pm7|%|CW+eHujGH>Sl{ibX7AXD)ZC)$$H^7) zW)=>?kqs+ndEmS+vdK#Bp(<#3dEJqPM$^N+e4HcXD>UUW?JvK%#TU~skCE5g^-<%} zb?lzAa{pZXzBi99b4M*WCg6IrTq+Grk=<-56)o5@5}TuvyJX!;gd0cfd*%(IL1*7_w zSAd|IXL$saYGbZ4dTJ0JqUtR@9!`L+F3K*hi0CUe+1KTj&obHk z54;j99+dQ!UtCYKrUoiG(Nu8187Unw8=sMJqd@l-N-6Yl5JFFyQeSBPin;zYa31b# zIDxpFtqv;QF;`oz^>}*bztdmzQQ`Sh|u!i!<%6v zwvCru6!3D5BFlO0w>K>BAB?l7=DK!x=oYWUpBt%}aE7?@EIqt|X zD#4q|!w^QFL4kOG1{)K|HEY7Yc&AS%$+xF4gsJF?Nv}USZ%3;7CaY3jMdhV|aOJ^L z`fwq_HVNxa3b(H{ZZ5suH`QudeMLbg*K*K`Kk(^`IQ>tM2LaPnm1TS5abD`x?20GF z)#qxbk?w6wakk z&I#yWV|My_$PpiT&HZT)Db7~6!g;P!{|b5%<6R#u&a|z2T@2jY`kE%dg;n6XhD%K+ z4`n#dw@wC;oOdVifu^&P zHjx~PFN-sOn|J`|#iOBCoix#S&Gc9zGFE8fnHL}Nr6CBwZt}W`1eKD~Pw>N1IACTqOr;Gmp9d9F7=-70pFEsw` zW7am1ty}|pTo^;M<+9SB;B?Yq(-whDCeQZ$?7DD|NhbNxoAF+GrL+}-(ifpNOzW3g zp^81{{VRxrrRWJd5p$uFB~EDZW??Ax0NCve zD#6rWM5>P)h`THQteEEqh``m(krIm+H#fPzGd35nUf92Vo9l9qqajoYEV;a+GH;?A zcZoaBeg|0)%)g8vp!Nr&#Fj{0%VkN+fn`jqM@QRZah4R4HUSAdYKg-qpUke`s%k&^ z)I60P;f0}J?TY?6_VZ^pf!FV})i<3z+yoTP*rjuim#a4@L;VZ5Hz}m3LROO-YUNx_ zSaulzDIoy1{{^#{aggj~li4$I6+dH6z#e_{?B-nitjP#ncB2j7zI@42iOX3occC$L zZv1UO?wZ4Hs2QILhcVA)pEp(O+wcGQAb6<2P*F7=Iza3gZBTVxf5vzO!b0Z}8RS#` zHzgOMV=Yb7E5gZMu^hL2$8!^BTULB9=|@w}`Yyhzdu{?G_%rLvfD9<%xcx>>s*J+&=-?F!JZPXEe zv9f=eDw|Ukya9Ae8FE3P$~{zo^V3$ZVbDO58Yah2=@m9IK%-7(nv(n}%q%(fX#w83*SepMz*!9Vn zI#)rPWcqt52@d~AHcj_2mB5{pQD}xW-*0+%*@_R>m2t%}nEfG(!1tUl<*uD+3h7dB zXnU~Y|7GL*WC$A)r!ou&vM4Nsl8{vfeb?5EY*ab{n3-l_udAo-+>@&T@$^#`2eZ5hconV*cPqEPJs0( zWVzj@Z4ax;%SR5;h0M%y{g=?X^ETd2|840twSBEa0a5!w#~fBZaxg4wr1-YPVm8Vq zlfq$lX==x?0crN-W0rFA&O{+8*o+v_PNAM+2?Y*Ql=rgbgZcKDS$s+XXGdp(wZ8Ol$2}oTs(j5aXELqOKmHHXt|%h3 zu@qxsLee8q|JF!r&ibaI*LzjEvGL3NWK$N-%9=5d(2-sJ0)_9GF86_8W;gY;{_)ZN zWZxN?2mL3Pur5XFrf$6ziY1@=?_p2-R$M3zJQVqCwU{86O)sPA9P3S(A2z{GTg z@-f$oe<`OH1rEzXQbo{vhiN~~p&}C(wIE)m%~G`rd*s1(6wp{LcGIo`Jcaj{rQA4+ zKtq+WOq>Bf=4$=YOQ~(IyJ>RhOfR)~c*eTmoWdkUj2teO?`y;8Xp!!QlJ=IgRUZw>5J~ zj{bPj`1s|yl}AUm8mn1#>bxeKogbyHd#US0>WWr*{t-w0tOtNe&Tetj#G?)VO0oW| z1wcnDX6{)&!uvr@uBF@j*=O703=FRPEByNNv7{BHZHICp%jc>I4v#*qau5$#1=CzF zo?QHjE{+xYPqTo9fUl5JU1p~n@;}~8NY+v1GW}G(6;)wfTgrFWkC6Jer0LHZF0^W+ zJF#YeL8%v&^V1<6Qf;JP*8j^5P0LCIi-~YOFUKtGFnqIsSqBRC0KawZffYzNK==nP zbN|esp`p>(Z3Sm6!{G;@buqKiz(M82IdfIij#>Uf@_&w8Q`)bSdz>i@iCHw)ra#m6 zFl|~@LlH6a0v`su03dNdd-vNji)H<Dc8r)QD9`{Xob{1Uf4!ch&)o9?#^0VEpGxY`=n@!`LFrm13sg9)mhKi@P`P)N!v z{PeE{{Bu!Z#&aD*)XH}IB6ts8?(;5SY5vnVo ztC$_}B2ioPY1V3+oSUQTTQjbgJ(~Y^G*Ja70Q8tD4`ppv5cVnYifl=8C+m6=_7wW~ zp4@V%3)-CcpASSy4;*}&I43;yx1s$@&Li3J7e6JLn_~Y907kt?>9%fk#`4Th{Xmr< zFa$UUSvh%NfQX<0-b+an37Br&;VaWmuk82JlOYsE zukpbU7Q33jRB%9oC}2T|Vc^gU(lULZEoi!wGI6hlRH!gnPjwKR>F^3pv8%AR@YA64 zL|Av%o@Yh)2Y(&mv1xBrh5Hyi9F13_jb2AttO{7@HjINbIzlaP5#l`j-w|_jMgdew zCa3fMXk~{6+1x@xrF*QtNR0bJHCKfX^QU{A%nA|bPsgLVW{X7^+`4t^tym6J&9|L$ zZC4<9$Ws}T2E?)6tJ-%bn zIIfdbIh%S@)1<}>xjis$+4C?+2Ce$V(QQaRjDR**kH39p2>uL*l=_R!W%4=R&XiQH z2S*t{!~$3;^0MlRHG#P0QD(Wb(gwQT{NFFrX;lIquky|2>ms}4%&ieav-z)==G2ce zXCJ5YU(3v8iH9>}!Yd+lb5RqLL|@96=6N(quB+@S1hTjndD9Ra6z1#KuUnqz%I(BeDO%b}c)xaPKUyJw zY#e-RvoY*h#I96Jon@>vlb{tP0oLc6aqoZe)Krg);)N3+sDjM*(u}4`W7B3Ts?Mc1 z6cVIMGPzzf<)!uhONiRu7eJ$I?Wb(&f;!h9^_`!zo?F~Z>oY?tzZUSKb~L*6$JYQd zOQwPPn4(UE8T6B#d~<0dp30Vfqs;h*M~%ovn$*Qb!P4pB$dAv(NQOi~FMKNCfnvZ$ zm}2^4o(8guLNqW;u2l%#%+$xpN^v#)S*qzcG6Q$^@W2>sfEE314$qc>I#xy?O+|wjLD&VchI~W|YZb2+uB?4mi&oWr zDi{&x(Yc{ys^nGQJmzXzl@<}E0M8RG| zvEXD6sTCQ=WHq2333U7N0ea~S5~bt#4IDP6>M4}_`$EKkauxSeljy<*R_odE<6%Hi}&j%{y+aKo^x^KzP2Hm6%e-ERkW?efz_*HjZgmp0cUS4W1OSh z6V*pY`}1*z-|=Is52D4P^oM9JA?YJlrAN9d$ljF}w5UXR4b&nFo`5(M6#5 z*x18VpnqH*SawW~DhIZ5=lHP@&4$?(WV*OV1`Ch}f*BfFsTG@Q*0Ly|O>nPO9vUyS z2IAa)^xpdom}g^m;juIULDof=86pGkZ(lM}bj3=P8s+HLE@~X}q%*J7H%t0~r=m>`8K#lE;sb0+(Ja z@-4m0C(D)CvewEJCU3VshZJX4eLp5a{>VzRf@-+D%+em7Azr5caygFn9L?Y>OoB8d z0)gndt_BGtSsWA(*TG3-R|}Xn$|NaBd?j+q$;;17eB0Yv?D6&WrCOw5yyqnmUgdZhm9(9$0 z1CjO|sysr&P{cqZuJ=N2|hjsoFo6LKfNRF@{@eszAzeHD#r)lHPrLF@W0gg~Dk`er`MyBFH}_3KUMh|o|4KHNGN z+vjKMlv>8dpQ8EwFTs4#`&07VBt2eZh=HZ6c=_#CkI&-bV%kSDY#EX?*K40}qVBwp zib|JIy34NkqV_e_$z&G`?Wb$N1$LRF+6-o#aE9XN2FRb>jNf%t=xh<*~z4)ww5EHHgAgSE8P!do0k^MuU1y{=7S# z$ZM{PII*@<*oplR%uj!(%4z$r4pQ^gTqe;I1^qa;$LqdCkS%eV%t8ow5i{m7P%~XF z5p-bkUNkvIHRj4L0~5fTJe%q}|3lZePIqvjqY{`sr#4QxcwpH0U%S$v>TN4N5{GN) z=?>&(7pg{0s*r`-N+uQCVQIG@kp}QYT@Mc-!T%agzS;I+4RrQLQ+v~Z%3P9Q3e4(2 zltOM58><Jo9Yv|+)* z=WqX#2$jY6E)S?!Jd`3GwR?Ig>3`^J;`PPDwJKH&lfJ1f!K3Qkc#X{%UF1yvX9jlr zkJ2s^-={dVgW1@YrC?Yy7Pj`8;Xmy1t_jpKD_8rVx0`+TXk}K}0g*xh1-3Z@I(_Aay85P^D*Me1RMbu~# z;{<;GUuqJX4`AIRf*MAcQ0YXm9ChjJM1H@fA6_Nm_F1eMmj-#? z(rKl{sL`G0q$G`v_3EiS7TbLpQ)#fmhZZ$ZYhD{W!Inux1$$Y)be8rje0unrh?}B3 z0YU=8wfbNSOp6+Dmw%4#O0PZSrgn^vU}ra|iW}A2=+tQu&sW*ln{A;stki2__<_lZ z)X|Zk>coUq zDED$7Of;Smj-F3Dl!KN#wI)PbYuy`Sk?hN#{bbMrw5-kqK9}O@Y}t+fpwg_}=aU*E zu4=8G;DNDtprTRd2A;|}5T&Ov==2wQ@V7Rdm~xI2^Afx;7FSh17`L^w1ebq8yZZLe zmkYZ|zJHCeIHgjJ>xceC7wthq{;3KqgnxQU3YMCm2{*mX9Kbcze=G@b7(9b^TG=n> zZ)$ne%h0L@;-^PO>C z?oSB3j*F+0i|({W1m~QKc+Y7Po1*ny_F^NAOZkEGcAuidCGwBS$(Xy~ng2N}P=Jxe z*B6bkuDDN>*e}eAz`6Dq#l+Y(Y{o`@o~A$robApUNnv!k^Xcrr_9*on&JJay!1^@f z3GaM-X`X#ddSOzlWOvgg+4=5!mx_Tq{1wj^wa3u6=~R%}UXjQ-tHHv=%&br!dB^A) zHcFISKcY6fk^sT59BZ&Ktv=i1!F7F|p*NPM-(PYL>lKi7q?|E@M)7-&8X`8Il9QFI z-Z#Ee(I_{OgJP^-L;NW(q-Fe1IFW@v>ISTvF`n%gs7n-N?xY|aE0OeHr&TH)OO|Ya zY08-i=ihvQc#eZKez?{XX*b%%)1U;cvS_gR_(A12+{l&y0k_X`1Fw z?YLgEQ2$HfAS(My5^b2csvcXH_4GZA^BQ0O;$E2Sb0+39F(2FIbFHy_!}%ZenF3kb ze-{)mA|3dtQI8Hv2p^v~tD4Tgei3yl+c&c!lPfpz)}s;ymK?dKp}e;1AJ`6CZ~a43 ze=7b$nYr1c-Kqdv4$FCyGNhrnyVrAGagEvM!?bDd!z?vf!1>N-M+WpPjdbe zKVy#bl;4~4Z{PBrYpPbY-JcEb+XYId2r_G4au26o2v{e6xyaB>`8ol~GeFVtzH=(*-U?>-Umn`%^f zxkvJs-LPklddY}!fe5E}_uXajG{2D%l^!MhIrputt(+U3aGSxuguy26hJ7zlMaQ`a zE3tmNP<7<8uMoLU{KNWnoiMdNLsd`=81LB%^8*WW^BaY88Z0S_*=nYPF8eL&H!5Yg zxrNbreg0HW7cUIDEV4$V!cju-o|W!K6w8#yaQzz_@8C7EUdxu zjQDNFwA3Z{&+1>IHojp_fwQfxGuqrSV&@?LWP6jQs?(^O&qd;xYw`@r-jB!AMx63y zB)OkAK;mKkhwOG6z85lrM}YOhDwg*Vs~du!-S-yBsSvy zvyAr61jqv}xwuTOuJu}bhIl322>LA8JUY4DKWU<~eTRiRQ!zW1UE8w2uYMX{CJi@4?7E8Wf!mC&?$O$M?5lFP~ZWCGI*eClh&3C3%? z*Ty&fA!tQ?Xq8A!qRok{in&c)6oqyB1?z!&3QWS2GJ>yxJPCIIE|xO9`yN+5Ic;V> z&c*m`;fD``OI*Cd%1v#<2S4J{soJR3Ei8RQmX>Lj{oll?W?8rGZI&%M%+6%be$1RK zKoduOD_jl$-x|TP@{vvjXbRG?jzc>>FoJzu~!#P<`_+8TF9$H zi0{xinLpehzD~ebPP-X*OMVj0Y}T)@JS4%*%qGD?aHuY2Pf8s(z9u5Z38}3W24jRe z^>X7UI>iN;KeO925$cXT-nJ>QG&81=O*WapL!NaZn(D4rK0@-hn_*}^jft;^GSCdE zE}=A2V7MpdrY|N#xlV9korG1M6laUoMcuPD%rfrlF3SSiLK&Hg7yyV$1jy-`NGxei z;tw`N=_JVbZ_N5MXB%}C9*fO>bsCUmH%Qw?#-5}*@*~@mUuE|^-p!k==tzyhoW;LT z?5w94op?G8C2YvUUB#0_gSn!oYNT0EQzh(mdO+)!f9YGv^n|m`ZsC&Mdd$gpzV*VP z#2wZV>Xj9$3@9QqC{=1eme2~t3#M9)rzezJ*oO_MEOT=3zBK^BTW&}sKL*E*8R+qP zPns-z;5 z=KidrJ*JDjmK`I_R7pu`vk{w9!ms19{@HGcJR5b51{C(Hy_3>O*@AH&t|2cFj zAr29Wd;S3yqPxDN`i;zbFs`?-VcUq(^NiTUY;MiND&x^RB|TeJ19x`HZ@Uyi>m^m=0+3grJ-`p5#MiKvg>6%_~n zu`s(P!?wLD(7I%`T7`LA1uR#9c%c$sn%{vEdsW)1IPRTPj}rP$SF;X1i$4 zePSY*80SuF)J}Co7k*3bvM+{-5JlR)y1I990){=ko)y3C`UG700X+ygk7BqH0dsdP z=JdYfx*E2{@Nn+=j(>j9Ld|F2`u-*a$5ah}%!9Tr+mq{|w}pouttc@a3vT(<7wdS} zwnxI@;*u-OB=^Z@+@U0!f(WjvJm0pcse!1bh;8$s_Gz9&lsVBPIhn3KYa`o{iU>yAR{t_U{ zNx7_OSxL^{{KgNU-V_i!E4XOK)APix2u~OtrF&SrUmR4O757^dcH<-L$Nc2uG?;*v z?RxJn5XUXdQgt8zE7E)wUMf-pObd4s#Scct<|~5jj>|L7tZi4V!{I?rU{q)?A9ZtA zJw@X9iTBk0U8MuWRNWuJjAFBg`{s*)0=$na*JHDHJD_^SxaV!3S^%=A*=9t zRr2BEM^RF^T19PPB574dW8&lv1FgRs!?*2Pma(xz%_&t|)t>rKYrF?S57 zU$8b;{xhN&FlQqV2@6ZpdKh{89X2i^??*s|Iuki%m~TK6gZTBTu&|a9oPDziJkBSG z%Iw}=Rzk+VA|7g-9cJ5NtBH=UiWLzl1Ce3Pn@N}g&Bu+QRV7a-O%69wkIC1dI!yWQ zU1?F4`;wA*L=S*W=93SH$r!ItJZ)D2n%{5!n4YSY=T`@lt&kS4uvVI!wG}lx_OpxZ=&7lY>6}gkU}Xk1KnmtVVq0N8WAy!mO{cZ#`;Mssi<;b6EMzS_5Jf9jp5ox zmJVaurIgBmGBHaRb_dNGoU0G{l|JZv0(X=aIzNW+Hd@&`M6YW6L>Pp;>AB~&&?ZEr zw15PtYq8#~DlV@4Mg6oKS)?MHep|Pf>D^G=O61P41`CR7`HL~f&f0KMfQ)NMy%5$4 z*(1uxQ9)kwcjvoBc}Jek+$LFSY%NU?XWrOJxsfc6S*1zz3Y?VTQ!GK_m9qP?7}zrO zvxYpg+pHPnio9ylw~Lp<_1PWzPmI-Q95SDsMRb>_peX&$SkyowM8rhS0aBav*;fT| zrfEH_FI>3bc47B99ShNvd-cVInCqgEgvldgR{1@Nug2Nl)QrbhbG@oq;mS4Cxi?Ya zO*DJ`YV!Qo{MHEJ5shq54W_-N^lT|yo={waQg5bWuRp%wU}q)WKi#wJ`uKLKaPt>8 zfqSI)OC%+NztMP8{gi_zPnxJLs>r4&&h0t6lbhU4x?%Hlf<()xC!P3oE`X?tDe zvM)e>tiKg>y>YgXs%k1NIjqQ0E32Zv*H+DLmQ*`BQ9R(uMaWEjDu`}Ipe`?KLj}OE z2#4?}Zr`U;r14vkf5-E2?~C(zM_W^r-HAWv>bIj>=0^m0{B`uN<#7?{hPwPNl68jD z)4L5$1>Y6UmZ`WvUmDqo-kLlL$-u*ZFN0=EC|m|Uxa=z=g4YSH?R{OKMEnO~=bQRl z3XG?(^qvr9QZMiH7tRFGL+6c_LKl|_!D?%Bv$-7$6B83w3n<9P8~DO{y1b7&Puir% zn!;I~uQI;mKbVP}VthhvZ(C!W>~)4li%0~=!`)=I;bvO(zCdh+HJ3}%q2x=KhCyjZ zh8M9R4oSQp+Lx1f6U@C<8X3P!uf7I$TJSS~sLEdk?LNLRJyhC)ANJjq?59E5)6@GA z?A(gM$m#g?Lf=j4P0@sQ&R@C~}CV`1c;T1Ot3EgnvDZKKbr`4u#-f?Rshhg0BXsAC-i;Ph3u2WJ6)!Nm#YB|Hp}4gnPG zXEcgQm*3LbD&N?*z@nRdFi$G^T9q4o0D?1joQuX0fIRUe>QeosoI;z}8v@qX@8Kf4 zM@s}pxnDGg0Lfe(p32C;eO{%& z$vSGkoY`QKVE%pZCZ-oAT7$~RD-0YQsn`+^9~*qespzZ8t-+JKLh`bIOuxUJ)r+N_ zrl52og1NC5t=Fc2&-R$*m&FM!p}kF#vIfkDS&tj%wzJ?hA>3HW52MI7ZV{lFmTXL4 z&sMOor}q3JYT)4>Ow9XzkA_T^6bCr|bWo_b;L&Z8^B3Wwzc78nzHbinKXU{g)E=mQ zQxGe-wTH)Ml~TpR?h~48o_mk%uRD35P4q1g@7?0!$PKzACM=ZTmB~PIns2k|@+Xu> z0g4#^ieNY;CFO{+2pq9*`2}pK@85bASQLplQM|xvti=E{y7*p~3)GFXna|mtiktX> z0L&FEge?nP-DYIEu6a=c(tHQGaDUOKOwUUs)ro%`Zdi!IQL}{N6U(k5|MQlbvvIq5 z7roVVeaoc#e+X+AynFXf6NQFrl)LSqh{N%q44~L7ai|CSta|$0u@LJ__`+zh4fL-` zun~N^OD^#no_8%G@m#95gMG8@4ff+09Y=J&f1bN6U~tv$ONVc)@3IGv3f$hn<%_{RRefX5c6MF-bXkl4A9zOfo*czSDLaCZarPBqWKSKX)b7k_(;3SQ?;a^-P}}&mx=1>#ZFsoDZF}#Z*yyr&bC5_yb8Q5;9BJ3V zm45K#*KlqimqacZ+igsDoE~Qrf4o+P5tX#zf#E9xs1Q8B3q{-jy7}4?LqQ`N?Y>t_2x_(GaCr0TpM=5?A2h>8!g=i z_I5p`u?>~Lckj-P5F0WLqVDvBA*%U~sBxT`o^3YuvLq|0Mp=yRi;Ts^CMWkKri*{= zhz(V*ps#WgTeVYNbyjfZduDuIH@l#doB~>SnfUld^T8Ld-bkS6#O&MP>juH<=e)mt0hn(^<=Qa#-2k><<pImdKd(%@Bu4?!p|>&_Vret#Of>GVa({cbd^A&M7nwHV{5RvS4doASLD$m zm2CV3fy>@2eKAZ6w~gLvxP04>3%~$WNhI3(C4fx{td#gjn4mHz4M6)0`|*!pZY5g+ zuzxN9BpF#2Z)-8h9206Njc%wA7M=rN5LO2M!OYS=slVUgNK_lpi>D$&4_R-IGpbjr;*!z}n0_Librd*ngTNoxQDA!7;oH*yUHC4!1fY+CO=n~d}Ot}ro1 zuTP72j{@I&zai`e)W;DrPNUmp-;d?A{XFEnWgZm}kmdj>)gG?_$n%ATJ$cLmfZ%fl zuFr$slVQrnHw_>ZTrpsQ*MW?2;629JPj0>t5&N+qY+Xf*^KHdv0u;rT=wn%TnPp^kZ5kSIDd*chB)Z zFBNjFzjqdH%qlv^0D}^WGUcpvwMY|94J;z(%a8qD_q)dn?gQJgR10tvE62n|kzHqH zRm9s_9Uab}0Rk)euTZuX5taebV_o8_Nr8QdhlZP42^MboG73c$!u_4nSMkC!kW%Kw@_0|d5|_VnlH zXHfT-O2vOTP!775efsH3`uqauLugcjEkrvb?50*o6W2LFl9H!YumJ?#5&Z^0X$)!i z8-)VTn+SWfpo!%%Ly^(2j?!nSY>QXKQ?+R0xD{4*ayWj%Kb1#RhH>K#(Z>V7?R}Kl zK~*C92$0yy3$H;n%mAFi;cE6@$1W4VzX>tr7-}VtOI7{|QC#I)**4Z~UwFq~v}?Dv zQ&zn*O{}z`D{%M?k8^}DX&t(mMDYe@yzX$p-MmbQAxOwO&kBTvP749D zI9`z4ErUwA=eaqlF34r|C$XdO9zjuXTk2+Ci49LqMKacHC)WE-*JpM>870ijBSuG& z6G$KwbRc=wr5wekDI9_kPktgA0+*i|*uMJEsm5)&Kv>8qu`i=qYPrAug@BptP66Bl zulf)q_ahWZs(}%8G5s3Ty%C3vh8B1&Ev~*^2`%2%5#Bv<7pe?jGrzG$R9+3>FQ=xu z1AheS=iw*P3ww*cF@;r*jo_Q_TiYvcC*9$Xa#ZFuvfrBAUdVyLq5gC5N?pNJl?fT+ z2+N~V$!PNcuKm^KmFZ&&^{7}!TR!fWFdP&ov(O3im$zEDf7w~sg9qg(LE*#K?pnc_ zcFgx-(%p+y?bi41dXXq6www*+3k53$VVB}37M5hqdu&Urf{vyT`?J2G;{pza=8Q06 zv!%LOqvC*n|&DQvpfd{zM?b^IoAJP`cb zOgL}NELVSUj;b#BeSH&)A86s@9`bz`bu6bc;gnl%%?tT?rtHr8BeooeeI4{U8*M${l?3p z1gsAtMSR?&(zn0wcyQ$vOOH!%rZ;5Ir9Y8IDyEk0--VabN|GR`i8(n7oJ4Ans$!Ei zbrmsUl{=RdE$EgUxRlzNa9AGf?}?jDRk9?kCgb|Ia9q7L^Mix2wSy4e&mu#z_l8mq zZlc~Gg204tQ=CnA6$?0+kskYz|ME zdL>kAKNc`%Ud8$rV{H*W#1%Ro&HFJ_H*q#Pp}Ok^%d;?wTMEoBa@T2TI6!$iKQOJo zA0oL>nRbJ&@5f5;4%J^+S31!=(va5*%noi z02Jwc-(}76Y>SnP_74jqBQL-&Em6M=j#$qnb$dcW8Ln7pE8ugSpi!5%i)A*Dc#8ZU z)fWE^_}yC}*c(Q*i(E+q7PltLmWO8s*LHEcipQUK+{^pOv^Nof!AfFReQ35tjxf4Z zbiC@s3s0T*fv+oTPs`kJC9L--Rve9{%)4ol{5719s%=-S#^)TKLNQ!$VlNpnPX zB$~A>Ruipe<q!Xy8&!Eh>)N#`T4uetOv{|0OA6sCI5}Vc4J|K93yUEF~s8!T6RL2WN2Et)oa? zB5cz&#O@;g6HXfz-)73*MlXW96dnPKw++L>q_0U|gC`7SN%pW$`MQ5`a8aDzI(k{E z-DbyO?u%`~N!?DF|NLvvn0T)`Nr;P6Z@`+u(77Sef)TUk!cQVMWk2Cdl&H*-1YQ>U(1^1fjwPMWV&4J20teJ(DrRYweE|;E8iPSdgOn)G^Ri-= z&FQL9`K@BQLU1XM^V%0X)9v_|+kaS?BacaSwVm0W9|_;l4s-8X;aWz9E!NDz$DvGNSn zP^J?FcO-S^UaNq!x$m6_>@?K#bHjZpz}1s1t)^4PloD4MIP4JuQu=`$H9866ix&Ya zT;+^KSYkeF0JlSTs*)UymkUs}_!CeUM&$~jei{X9PEh-8QUn_t`{vD?5OBina{hO) zi(7l?_8@dJ0XyzRhhuT=&kkGM4ahZuHo=`tzGF!mQZRnYhZiPPV_C(2!0UZ;!i5G{p6#S1}crZ#*tp zVZT6gW%pha^6^aN)y*{%Ip>Rpwi2*hodK}l@8wBGw6ifQ2hH}~L$KxMjJTeTj+E-X z&XooI79L@&(-saPPY5DRqGY^rF$(2AeD*{=ma9pyhE&BH{*kxn&oQs?fsD9PJ^Z=t z4ZmS=Gl^!y9Z&XD!z&MaSu7%@c{!>-qR!+Gn5e00e>o=PP{unPICjCKxqIE1roPWA zF5`k)g?bl;FfVhY6y@i+5%lrrU};@5Pzu9-=X<_BdtVMVw!>L?fE%CY^WvuL+KnnI zlv(2!haGJl%rQ&1Nz)8(bc}JHbqMecRj<H+DlILMrMiBws|vH3HVsZ9ow|1ZJ0~5XqjK7p)_Yzq=9#>W1=>-9PjX# z@X|Ho$QB7E>%Y0;nQL*#B+Reg^L*34&|;@3fPY=*W%tD&M1AIoCWY@KNZ^$y`%dik zSrhsgR;JXb0%{j8G^A3`qh_-Pi}Pmd;tt&7-PP3v(Yrk$^aY8AaD}C=s=-NcWMtax zL1|ZgUENCv_CVhK<y1&w9uZEZWggV0Q_6lh;2qD8cw?o+MGLU7&f9!+;*+ z+xKsU*XpK_A}SqQCn>;O7s0v3)R3CI)i=F;V^e{DG~lEhrAvkCnl} zr-nT}DrMGf?@+Z=0FP3Wl3H!fJP<#{CnhxaB32%z-Jbwo#BwL&8nK+5oMXgW+-n!e zcjZXXUc;?N&yplUuRY0l`hQq^%cv@&Zf$r2(n^Pbba!`mNtZMzjdV#PUD6?qTN(kS z8xfS2?(XjHZ{hQtcbxP8b1;Sj_P*~`bIqRf`XUihxIY%Cr%|TI?IL;y7qDc0UOrFy zG%Us|qKW;l7&!1^?!fmgd;jrlZ<>>d>HV)@Wa9$0zAsnYt4hP}_%0!BJkudujc^E>BI5Lf5tK4&(;jk0H=ND2I=k% zLB$Vr!&fUe;D;mC10X{|ZjuC1P)IA+59#cleH5R|jTTNp{Or;FuKLk*NviO|90~K$6{A&GGYMWS4P+;@*9^f6wnBn)+p**cj%%bGgk_uda?Tk*^ zo2-y_K*)tks{o1ln>`-R+h2;FYXsT+ei>J2FpF>P~p2Q$l~~xHryKiI&=HjsyiASW?{Cp zB1`uPr8d%VUIRv$-tJTE%DZx!FZHgxbQbA8^Trca2L_%~6Oz zLz46>lP%f4&;_s>MxZAmT6T9-nT@zMI8;WXE6#HqjD)IbZ-$VWJ*r1@YFjQVsH-Q8 zp3~FQ?^(laT>^a0&dyH0mR(T35fKp~^0$kgH8RkyiKb=d?xNZzcSM%7Lb26E>g)`W zay+M5am~8IzJ$-1r&Tzk0}*h#tAzW*is<{oV4zRaQAmlCAAA>JeMWw+=`A0A{%%LU zVR*;DKG)_Ws1JMt2|y1C3)64f#m>fqw;ul~x$?Ur#jNh7BY`2&q|@ox1AT*Y#B)X$ z9C0-Wsrq1!*=E zQl`E$_2cU@rR8~or3t>ol?qbfB^E`v=;&yNrTI}at%kS|3PndpSP}UfU4Cl+c;Z4X`WFthyUG_ zsT{*60H!lkG|UnG%_YCf5NTPIJaa)YoD9tr$#i=YegOyG>7GH(Q^+Nwn-?X^=%Tf2 z`1cFFW)V(5|629u0yywT)Y+m(!uz_Hijm(q?6WWiOEUIdqKqH)1xqi0Vh6g+Xt=t% zf-V#&_$=RA^g93i@yd}0$(HsF>v(qjTwIzj2e#kw)}oT%(MoNw1S1__kbr!(csz;Q zW-=G6#cq46_;Nao3lF!vJ4P2G^QFWc7G8YgM)I2w>eA5Aq^4HS1AHZ#(8t8GvA~pE zx&U-!wb>nAdKpzZGJeFn;+<@U^63h`;*9J?;URw({Xjo48}Ds2VKDF#(lD1C!4@b#eA8S)(1a7$mEFtzT4f>Sc*Ig1ccR3ZuBKTrAxn$8r;Aj$<$c7k!}O2b0C(&(g&pDy^%3H$qj%@x^B0%xVSh50mSn`jNL&Pb&Z-8&>NfUF-*|Y_E+szA7kvTV_cz3K(;D!bX zDhIk`4pOqRsJBj^LA=^+_5(0f09~r@^S=M?Ywi@v|CluxtI3<{`$j{7do0Bxr$KU_ zX=q7!@*Rioc+k^^+OS)%TI@nC7qmjd!U{zvRR)nj!q6!VbXLrdZ<0Eg*AVGx_hL8P zjn%3w2CPR5ibDuEEyhZ;`l`ehia@VMp1K@CkMh|+*tE)Hgdpf-C~!-*lzoxd`NFxu zEAe;Cb}0!CJjA5Fzo{2sUIhmS7li;&y4~q`k)xk*K+#%|>bLnn*EAkC>oyTA_7kb7 z5i?LY#}gt9K&DpB_N}qMdGj|b3)_ir!-Oj7T=Fxu{n!#hnO_Dz4-`s$`T|v@9ro+( zRXo5pxhX*eE~1w#r{$HPu6jn$&B@8h%RLXMH>K+Nk-V5ydjIFJ%*+qw8oUHRt!Ld# z1{OYx!FMx?I>%)RyKMjSl3P|(WqJ8w!U}^1^?4ymbLb>8+rQm|JWuu1(FGw!upve8 zT7>n&jH+rCq0=*q2weuL7z?iCfWo^KKyn)R&Q5I_1ByZt-7=Ev^@%z9ThCQc)H)+% zYbRoyri8Ez9AoJ7mp~bW{B%^l%tu6frHTqF?KCP>?PZ5zP*fkiUa^-Z zlX#E^KL$H`v62V!Nohyo&|~4@ZPZQ!Og7@xQh09ZOR|(y%{g%OwjJJ&1#1X)=~_>G zOCyIv0Lm4FEpya&8)$uI?kvbfjo)HErVg%F&zz#~PoD2-+K$1Z;N*OM^PPqZQ%})~`Mj4yUZ@I0#*&$S_&6Kq*1c zbt~6!p^JCyL3J=cj0@;ev5}D+3}*o8x93a?9qjed0S>A0;Q!~ z-hr0O&zwOAFt?qt9}HfQFCcbxXkJq)1W={HNdW3BX&GH_->tVqu7C4?F2>9C)mTyO zkKi_P1K?y*S`+Xdz+*rZq3VC$gM7>Db^z)ofo}&G87hzQ9uZj7C7Q+H;@MPT4>gRe z{x5#dHUTj30Ea5s&jr2h@QI1D($fh$rQ6duEkT0!w@4DPC(8GZ!O8^|4jAS1zFgg` z{XY^z*Nt3iD7d@iMfA!Fe$qRj+(6g}n-m~&u`STYuV6_XKT4kkoQ)YB1Lml038VAx z674a-kO|l5y!Q9CxFUagC=N*|Wp6gz`jt zF^1F-K7$soWZQ=;(f@hS@lBhbu=L0OHyk9ayIZb|j?e3?XuRRcoBW@5Ee!#z%rd$q zSgD66IT9yeX8Ilsu`~o)y4C2sUueGeSoF1YW9bIEWk9Z`Z1{SIP4~M~rij1gSdfu- z6WD=@bB#WGty@E0BRCuMqqG_X~MzCiT)7N@I*!rLb%6EJ%J_pCX(b%{?CgfM?K+ zN02~W%@$6L4(t?cZ|O%_H3(UK{-UjtN&>EoU)Ffu{oVB`2*#nIqm%MEnt(dv(ZM5p zrZ-C+NibwnY#-13!SVz38(Xy1e|Y z-dQ8tL0&Zn+$N1ugvhp8TeT5jL&65U3PH|$+Fp#j1lDhaSkoJ=)sz8*q7P~YW8$TW z;PZ(8ex77hZ-lyrIru!5-^Psx5?96k-x(y(O`H!*`yW&bynqCl!4e>Wy#&!12Aa5s zSir9!kb<}WnZwf`yPi3}Zprw2clR-=cw!?6*pUCe+x1Mn2|;RB|98v~jXKE>F8aBE z|2*pank<#J4=czIYsJ2%AQ}TKAn`=U)+H$PKcDxqeH5ZI-<%4rK8(i{MnP_Hi%#17 zrhxv$DIpLV7lDss41sf58%W3XdR8g=owC(&&QXV>L3vN%lGEB8eUL?S?b5Xk3VJGI=*8BuB-mUvTYpZ^Z%tc&wXUnEz3eRo_eK5QYIpkcG* zkn@Rq0@l0^rIQ<7IZr#j_01s|QGId+{nX@rO~%Tk%gvQH2dp2zVY9baq7&w!T;T8^ z5VquZu-AV4U9xbOS|m*=j4!UAxEL6F=Z^zd`6%td5Q#0e1kL~ZE&-((<3D(sAUBmt}=jGb3B?GM3jn_1!W-@>cLm(R4X<~SO z<^g58C(fj6|LYVucCw)Chj_+AO>4heV;`@Kwx#cL-kgYECzI+d2sK{RvzTjE=?72o zHbvD;Z@m7`u;ZUnsoVx<-n-EJcTDz=20k(@!mK(535*rpS~_;3Z3W%jlWSh|yb7~z zZ3Zc)v3eQr(Vj#Cn9G0Z2y6x}w%pS#0RPs2OBMVlhW7vCubaS(+A_NteTo9eV8EQq zi$exDl@z!GLSN(>ud1-&+UktIQ_~=Al4ANdv*P_Wi=}Wwxxv!hVQUp3-tk~Zl0HVwm!umYt6m) ziL58xMyTK*Qwn}+pCV)VW6^q*(@m(`>Oe_@biSVr`NQTLr`swDFxKHGdZII*r1y@}N0GRf|Xl0Uj_?fNbaQJYn> zSO2M3g05hB>rRcW!fp$vz+T4npM%4h2ZG^V>5`tN%@!-~AH z>%5(49Cb@ImR!Vwex@7M=OUyux~f1TpeaEgOMYF}4qdhyehb}acm2#TBa^4X>QZu9 zOhMG1l*slhni^+*qZa9e+}tWV4(ZV;rU&V`Pmw`HvATnP{nzsrZmiR!GdW zOAYRjidzPKTfp*|SqE&Gua|4xokYj~Wa0UW&syZX-Y^-PbMO| z+wA{KACn=ggT`Az$H%%(dB{q~PN&y2&AmY?8S3`wiItJ5UX{?*(_j}C2oBc!{T?pm zweZKes<>axD5dJ#{<-i0bU?LkK|k47TFQ~Lu**`4 z1?*kG0x?!F@r%)nk7F^eXE#}H#nWzYlA8-He>7(uwov;9g}*?S5W=`oh#fKc2lTkz`HGSsdEv)%`cwKPV z+UDjoKda!Tu52gH_|fyaGz-7$y$H9fmih*QZ|W;Q{wHM?XN zjF0YuU!Y&cYW3NCy6kBD$Kf#O`dLs$B0nNZkA626R%90(eoVj9`!&ZRi+9FHGh0IN|3eagNt|G)FD7PzLHw)p6`jt&{!FC zg0h3td)3d1l3j5z9jcg3y=lhf5r#faM4qnl-4IpI^Va)#!nMwD!EdSdAs+>;?C7Xy zhgw$4->f?kI!W0`@)hY?itApz8M9bo?#8}f=}@YHzcBZKZZiM$7q_8JML`Qe|v@DmC+)4|{KN z^{&fL{;z1>979FfF_XS9^SnDjvygTFhRc_xwYny>>fO^&8-Lk8SS2f*>kxh0w*ieb>V6P(8>q67yCAX^FQ2s@c+ix9i_4!d9UsVzl|5RzEKTbZc- z8YR@Ht)e(@_&{QKOHNMcQ@fw}7bCrmLcpSsZc1b)jbbI@VVQvS@tsQ|ov~OE6(KHm z4XVWtbD<3D!C7Cu@W@h&ziNH*VH)EnnQh9RqTg&kzQHNVv_+1;vHUz9@p8L!Mh%Y9 z;?A(MRY#lTzCFRGx$uIhi9*oKXpyHv?(^jQ+EQWFxR<`wXd9)mO8AZ|TVv@BKhEhz z{FHs6-;Ntk`QZ~a49qWrzHJ~wLGfxUGqAVgw+897Ur9`JYrzfOY_CGjXKft5awN?$ z!`ZL$?7}FKfyB_2ik(-6F80#_m7bknA1(WK#(X?o%XgtB_LsEwSWv%;zZVXU+M=^- z$;sP9R)@~nEwwxp)t@lXk&e}W!2B_w**dGdyfmJ19*X}^fPe!}nCvp_VNvYQ>$mW0 z#~?bsnttQ~Uz?}HYyVJr-d0}QXe8m0v&6sP{Kfdqz_8>1>U@6pyIl+Pkwv2|6Qf!P zWNR}6`tvtoVq_rP4DQE;^vXWNKEjJwy+H(xnm4N9 zfxdb=agQ=`+dhtiG(xFbwO#Lh9a?Jk^*!+PMQgvjHaY-872aMgmwskvbT&jTn&;LL z$ED%n_C@Dn{A)$QyvuJ`wb$2rg_nd&Q?O~x{TEKz`(~uL=3n=p(KS>J4!N`9y1Lx)O!4Tcs{mQp3hOu z&$LcUv?`rwq=JeGEP<*%L<_$F0hhklyMmh)$;$rpB|+`^aiWn#3cf8_GeU1L_bZzWu^Bd~BP(DNW4U!|xh{ z!G&XEO5w60^<6v9gfBa7DQS4Tr`)HelGo$+d&7TK6v^_f$se2Q&Pv{!TnLn6pGn;m zYJWoCD9*snm`khl`>+#=nIB9y>y<~YcEdU&X!g5(*)}G2#_?wKlckJ2;_=Zn(#@q{z1W4*~$)MhRGoPM6H zDi?C_H`A%kuH_}A?(r8+;V0~?L{we$Z6lmfE2zwpXwrAx&h9Lq8J|?tpSn4Dmo~1R z`B7{*a0u08Ki=ucVltn!`HhrR8}JESjz3O~dOI&EUzWi@z6VT8ix;xjM&+CpCCm2i zs~>D>@V4FO|4=n0clR4#BDwt(zgTg}q>uliQVVA*`k?k}6O?SVW`(m_9&nTX6_Heb zg3pa!a=jHniK*vG_sr%D>4} z(0V?wk29;wbQ)`lHnv936)NZZiaB~5nu}lU!9t$BsEP`dtYzVr5}@=Kscx^WjCZe+ zOWh{1+|3gYQmF}H&!{l=sG9Ll_2jomAocQszZQbgu4zJ(v)UBx@ zY94gSq8JJLN#fnjk7>H&z zj2iQ`F$-M!y~GzeW$N~ozAYyqIpLYpfj-4Bd1t!Rs^!zF9 z{zK<|M9aH~mite{((hP*Fc$qVmEVh`vx#nj`4bsHfk%ZW^$w1bN9tQR zECVcxw&O!plOsvj{DrW>!e!NTzq04)f@jyjp}Tv*LDHGKxNko;&RBNdTzJQN{ds$i z;jiv;%l;pnnS7!&`iF_1$j#h_Zr62;2KfofoAIBCV?0xfxt0>2GmRZi)~@ND#{Bui zv-UJ9J&umInCF?dgZ{H`7WFQ5h+Am|)-iG!1{7+p(aKp-FgOYO4Dy`Zgwim9WJusA zf#tI_j*rm@Q{lq=Nn_)fL}|s8Y5i-5-u(qUS^EI5@LYRdkn-!>_^}2YXl7Gojf+Yp)-X(I{V)_IjY4$L6{DYUu-%SMCKVUhQ{TaOaBNJe|}-J9`q+9 z_j*!wVoNf-vJkyp760Y2jx+QTk5h;t5DIdjH;Jb=GjlPLUiIz$Soj++KcpDouYHDN zAN#cD7UH&QLv1vtZd)WT&8w3(M%DFX^SIetSX-`ER85|xF8E!xnA|&_@E_#)_G*1j zYAGqNB+3$gt1nEohL%Cut_7EkbrR}}vqIfq!CL?$W=I$%P8>3b^SO~Ip+~x1Qi1|G z!a}DpQf1n6??sc#q~e8})-PF!1%|4lBsa>?b6OrZiD+;1nrLNm1qtuZA6MVXp-lg| zDTwYaJquEG-MR=XuG*#^$dgukB+;3Hf1;D3j}y?JTZ8in401d7r)|ppZ}ZUW61)Dc z4Wp%7IDg<@VSASQJkiUy5XX!aKBRmP!%aU&;FP(V35M7zFgr?&(f85}J>p%A$|G8D zGEv>+ma+yN%7{8jE{Yg)KS z!WX*f0`#(mJcYGLmpC%1FY5!JRnU6yvge?BZJ@CbH)qo;6%HkJ=3A=@Y!THn{``%* zAHG(T*!JDzLr29oKXxsJD7+Xes#(5&tC7RQhL0;`#kq&?eI0P(uIf&KLj-?)i#pT% z+dgQg`MypomGVwh;lt3BrbKk9wR~gC@g)KzSr8#&OA||1A4e=BWM~}u#@@_A=7(Ub zIZ+d-%z=f4`wOR(eS~Tw$WVyxcf1p%$&DY91l2)l05lV|O8?n;Vzzbq#*j~YU3KM_ zSoj%=lDt7WLjJp@zhp(0DrM`Csf9_3<6jgPhR)R>bcSux1ayrQc>O4I6ha7 zp;;Z+65VhT2UW4VMmW}^V*$EGk_3zAbBf01b~$atw?^eNcfl z+JFuVidpK34qo*)Lsf(iUCyMhZEuVT0tO~Rx-;Irb(bD%DESWiw3nX|%cR9^XU(g7 ziuXCAQ$~FB6n4X_X;z3PIPk|FQw%4Is9d=|kGzA*i=PaI2rVFo=#TbADNn>zrBPXq zVZq1149Cz4)y`37NcQB^QM`g9VLFQC8ZV|Yx)~VFH@;<+(_a>4K@s~DK|ygJugUPn zc=JwNoR4;x$-YZr+`bOs1KvmsePkR;)x`x6R9{izQL=C^- zVv4$0i&PGy=|1IQMxR2&7ge~PUfgZh4^W28m&;V0?@ljbUK-GgEw6PBW+Y-ydq;S1 zGglmbNK&@087S!ela1Sbs$ngV%ppSq_y__inBQLB7*(3F3vSROiL$}lwFn<(;7^<8ZyGYt=p3a z9k$BLN|tKZ(Uwd(|0X7qRnV1iuuc}6d{tXa8_AY96uS1&rB9FkxUehwMV5kERq9BR z!Gp1NZhpcyYT48ep(I=|*Xbvdh~3!Ezph{nxQv%M#~4Cs=vkD46gr4*c#d8g7VDiD zm$CR}I>>qTKSR059SB_y64dvW^`0FGqS>PE;H_7A5^xD>Y_W7?d!y;24}M0r$JQ@v z3YR1OH;jFVPVSnkjxfY8Jgr z&#UKpv4g61z=hXmmg+U>a&+lMJx9lU=Jx$%CG&s^#nJqCView=Vo#KW%br2UCO$VV zD)30PC$`EqCBxZgC!L|KMtuESF)8t)4YJ7=J8rZoE2+fKrDTl`re? z121ymQBdl;hE}%%G~grxGXsEr_%IXLg z9oj*m&(-1%eCh&yT`g~>>$LMHT|2jMm&r2m+Z=k{h^K36li&wky8HW)TA}dHil*|D ziBk6hDhgWQp^QWXyQ&Wt4gs3yE%J1~qC{=nklkD~d|SRDM9Pk~lP~eZe&0E#N(eNd zaueA}kpOERunhz=WVIuzgS`7?dM#heaglp{UuPF?Ml>o+MPCuN%D2gD9_E(2`{JP` zuL+R%C>h`8lv-XpPJf&ZI6R=S5N$1L0V+(hu*3o>CGrB*4WvpX2T3S9F+ECIe0E*c z`IYdbp3lsvW5xS?xfe>F26~9*-fI^w-1`KyCU!def=_A>hAD9B{pv~1mpm;9|2Xos zi^PZQ!J-Xs^>y9SOZSTprHPj-X)H`#hA|l2S;e;rLDVqWMy7aovA!pnHxvG!DzJ3Y zykA|`#sI;J)g7&YEyut>Y%t=%#acPI>!n`rqR@UdHk_u5rhtM( zU$>Lfs|=(2y*nBFRGv%Yp{ubuFWNm@;;aiet*q?Y6mOa28J_Ro8CuMO?d`ZV0(=ecBg|zma=UWhzjQNmssI~Q=?x8|9r$LwT zNARAv0t)(E|JBiPeD23JkNojN4WBV=ID|jzF@BaeLVC{2nFlsqDKvH#4JTXs@M zzxS7`ox}Ev530NTx_UA7E^#qC^LW^Shqz_*v`K>#2)4rfZQS$(aMKvvTty+8h(#zS z$f_TcGtC3iiZ!Lmy3*8u78yuJ@N8eYz3Ew7gZ{0fBDyaeGhRjGg-p!X6|X{Eo-nM< zuGfLt)-#!l4O+M=q;KVpJV`g++OUI2lXaSC9tqb=o9ZHqNB(Nfp}>J!#*a4M`5nv7 zbB(j|v!n@G%fT_;9+t z##kqT_vZmSf7skdU2DA5da#~^E}aC>AcJlb9)`Uhes86o7$kvaR1!162viseR@EM9 z_kMmtRlp}=)Ncp7HJ1rL>;K@ zy?@>jK2B;_bSk5#=O*ZeQVpZYJEhUmX)XMm)8;=15kvpbP%KU+Tf_4a~D*nMuV z<@9gBJvt&WD2jmqmeE6I#f;(_jPaJgk0_V>JNmYv`;M5Ar@SGTdZ$^$u7Skm+^+~; zn~D}ILT;8b!~syN|a^m6F?WVqS&s$KJNnQ^NzzSr&!F#8@fKCiEH83MM{uXz~} z7gAz3$GI_*b!!|`5tpR;%cQKN>?8)(_RS*S-k%N0aUYL@+-s`Xxn1^rj*ywk9$#rD z#(4+N>GsxNa{Iqari#G((i5vVntSHO-bM8CpV62g&Xz&9luP)NoeY8S?{aIC9po;x zmTIlBdn2$#r36w{frU*T1Xlw#r6#_DMNlCE!AL47b0f)a9Mp1#$#1Y6ve zsp7=ozVN%SW?#5jsS{u1E0RxS#PC}`5V+^-`azsIRN6U-9B?fsQ|Mo)W*a*GNl;2v z>lyuk)$D%wy?30PR4ivk@o&9sJi3J4jtgn>$!f)qRP=^mKWKyx3ACh}OJ(Q<2e5NxNvx2DqFP0)8G(G$k%)T`CGs%Q#1PW|Ar8gX2ik6`ZUwH8~LX8J<{j9dG*=`Q+{V z!m|9X&Pc>Rsf)suYZEXbn%nUIJsLSywV&c)rjnO)fhg~!o|OAMo_M8}YuOCJZ0p;u zkeJ;H*Eb#w1L^+h%%WuqZ9*<3syLqm(dI3)V?x<)bNipYZ1MP0oSMLcHW33$-)8I` znw>g4$GGF7a6%n1Cuy{2$#X}&fL?ymQA+9fR64CvfnW){{`Cf{XhtB|b^;H!D(d zPTt$un^;%}QZz9r&*&f`d{v{z62PKr#wRwpP+W%_cPud=d_Km$G!QCirg60TF)>UP z)$`lr%Q11axRm4k_6Ni_b4af;EcM43Hg_f(fR6>A+w8=;Sg4A4Yd44EO7pi$&a=1H z-jLjtEeZa>x;Kd)trSFPEV710_WXU`(k6EgmS*eY!3tHeLzyrX5*m^TNR+Hx)BpJG z!~A}4$G07}3Kpg!^s^TYV<;)yrhgR)IaAvvKU!;XG`Y)w1yv$FnS@kpmYb!j<9N<* zB)OYl$|tKcA~{PuKQ?x>e$&zQ?<{aCYkkeBZE|;Tl41@K5V*HBV}Or@eMhW2v`i=V z!u>uC;ipi%c?dzv~c$QBB&#(biR4KP&@aWF5{RgwZ z?_yaM1Vt*pCpPaR$SA4uEa>(-@7njMy`VW9 rH=h9jMnja7vCP+;SSU&kV`TzA+ zDFd5Zpp(h1gbnXuQX%Cy6%)}eN@AG&R`T`UP!#kh5^H#mrDEa~ZOCZq4{B2x?$5@t z*S;UtV~xwK6TJH(WxgKeWl{UT~+6$ z^F`NU$C5(wn4_Rd8fX|4nN?!lswhdP(^GEDE>BuRrsf3V_D18^*D)!@xA zYfoAx8d_SY0aXPt7g-E7pcaw^X@os{w)MwoVqh(ZB?Jm_zCeh=1fC2|s|p^tlEFYd zmoI-0`x{O)={O9uEU9y;SWcgDx8D~yQxe>&2jIR!){Ug#*^+r}oR%#GPUv4K(~((UjdyCwft$Nsd?|nufMyp+#9E zQVNIIIP|U_S<;OU{?Sw)5_JyxN3@#7E(8j*{5kccPOXa(NTOuKtq25I&bycV)t(ed zDa1(FYpRj!MOU+(kPH?T=6ja3tX|kGXW=k&z$~{t>i0 zDXsy{758TA24`mlMMR7mIa3;PAL@oGpSfP4l@LY;nl&j9-B8Cj_Fas2c7oEeS2pp$ z0;_}Ku{!VkU5maQu@yoz_66PCq3Xv$iDrKxlwnm@%nJDb=hrd{WD=zs`ehClH2ro z`UofB6+p>oG&}k)IjxFPqk!h)-7n@{Hvih$*(qVOu&{tWiYpude#vvApWt{R`$v;L z<_7zuew%XcyM)jf81`O6bHY%2M`DAVX}+5Dta$Yo-4swVXaCDr{i5c-BkT|Js^RE5 z?X~rhUpmRg+CUboWX*Zn;=Ut`tor1T#aE1k-+Sa9yuP6^Uo6SbefYMnBUM%^WlNj3 z#Uj5CUvCyn!wO7xb^Le!YWk--Pj+jZYr7DX?2kui-w?F;^Z?5_KF&K~uloTO6eke! zo-EedWvJPbiuwW2pnQJN4l2crf8*-xt!nFBeTxH56QRe5pDn4o|0EhCFj!4p`#=i- zn()hv$;f+r`_;QQ&a0Mtl_`hQo)U-C^DOo3mK5X;Q|i=36exU9q~lMtH`vf}yDjV5 zg&Iy4ZZNfx`2TSv#t#kjrwP`(9(fgLK0Erl?1GKp>{gk&)e`@YSQY!pP7Phx5zHfoc8BtGqkNOUrk*z!3R%wIgsFEFzS?pHc<_zlGjn*; z#6XZu1$e$P@zUCG3OLR;{ea1C-)xuU_4#t_orH#a_RTW=c~TSYgZh`_vwh*+h(Ncx z#EFHw>Jyv5cRz^^a;?)PPpQvOb~?|bMFFIfvII{;Dx%ggHsHA8nqcy5g(~JVox}Up zEM2~Bj02uM1^XTxjB))n#T0}3;@Lu;5*Z+&U#o=szz?R)=@vT{Kim7qp8DQM6#MBN zh2?dqlJJQ+PtrF*_&7wujZlQ?Ts*<57NMUWE56zi8+h>Z+U-B>*j89KUama9uL~GJ z*lI!h_83fJn>$J&j_N(Bg4o?g$#tFmD=ZA3AVk%j?)jqpql3-*>mLX7#O)`-B=Kp| zAMfh>X@Jjjr>apgV$1{2s9G`p2jadBqci5yGR8mdbwxZmw$yMG-|9cOj5-(%E~<>k3~kNUTY+@kao|af8V?G=fW@0 zt*G=bj4=uERIPK;U(ZXVb;?cHo7B@mnS7S}h_>sYUu@+#uS+0p+JVK%rh2%a)jl=g zasXw(hVE`!{`C4jy-<@uK|%uys5%kVl$EI_i}TeM*92h(q*N*#nWIrz%G1NhGc1LV zm$Nkr4W<92&8yQW*p0$lIK&SONBY={=hIb|qZ2too*V<9*NV4<1gwr1XvO`0x^lR> zt*y;(05B12-bZnFY#ey?_~Vk1KD<@@42EQd`h-8P4~fb2(Yx*7uKhyq?!Wwm(D5O) z5+nSU5%2l&3!1yiao<-omajytOn8|04G5!aVZiT4inlF#yyRk6O(W75H>0|dpc zSgr0Z_XL1H<8DCoBqj1_AHFGgMBlwJ#@>(`&EAdrnhse>*MJZqe^W9$4qI6!%1T7c z$Vo;_bR_ZMfUE0v>cu@uWU~|?1dL?1Dmq6Gm$A0!7c>vd3&Ot^M+ zbCX`lLtnF?EHJtTm7OLjdLI~Oiz{9KzT7mH6k(7mQ(BkeTwfrd1lI_+bs*^IcFGTd+{#@ zlDu!{%$q_)DqGf)64$f;L3grlA-l{DSnx1Azu8mJ-~9TiugVf1RWS?ch{qmpmTfq zxB0Z&+oVs5U>;;93Kkc0@fJXKFflQmxqwD|+xzYAW8G^SbbfsATF;5<9;H#B5~4ZSWrmpY1v8E=G9Jy!}vvB(H_Re*U8G=nV$TK>LjKbyo~qOU-Rq>vj43{ zTxB^3YK}PDxQFyJ!cUG@WpUsMdJCR&1Q4;R4BW>PW7p2p`r0Vckq;t8P_JQo7o*Zf z7+T62xE}kR>N%nDiKgs9SWhH1UZuK=Q+L?lDQbTov&^x!?<6_bJyu7~E&8Cri=^wf ze*S4J4a*_%pWavtoHv@8ag;S4di*prG9K4=*DmR&H|MtZC^zSz7RNSjb#2Yen*RIS zJce=$`6>bvuD>R`o`Sx_R%s_Rm(!MtEG!@VXGAWh)*k+c^*qQ^N_@DX5M?&!%00y) z;@Ywy(^-*q#P!(IZzdv;!@QSCKgA~TD=3B9(H~NAL8l=V@tMkPqE<`^KUAwN)g5_{ zfC;~iCPv_%_L}jc2vIdCi(G>s7go$E;7&c7fJK|~ielIGvU#FGySBTv9bM9u&4Nvk;dua zxV?1#x)}ED6n8zJNR`XG`aYH5=863nvPgaHKO#zZcDa`VSLQkw$B3B zxXjFY&az*uv$F#n%C-)EdY;_v>`N~^hMw#Q1-OWtRNq+MadRfC%un94b*4B(J+w^8P(yixWjfq!-4ICLTGY7dZ@2L$i4C^`HzwxU zr;*v~z7cL!bLSJ4aq~Yy@>n|}6mexUZ&Hu-0gM~7wLwmYXF3X$ic8wbnn08AZFd=W zQ`j5rpgG7y4PK52PFacPzv;a+^HdW{V>5-d`v3q%*(d6~p8+MELja4bJoB~LL?(1; zjKco5WMjzEHzdvItX~-+ED=>O!n(YeE_dKOtbVjHnft>dDi`a}r04);SshXXOZnw> z2ccNd7XdgJ#CfY&L!Hcd*VKTJfsyzV+HOqqFZ2<>Me5pl^>=D&bvLsAw#0JY+_L4J z){n@45-aI3kS-U1yvEns%~Wsi&l=+uaea3`nB)ITa9@UEmKY&(NSMZ*LH7Y~N%>aK zZ$0_puP)cVBY!$D7sXNaqF{sir2Y3(?1dr;IAr%MF3vx!|J}RC^bJU3c5x{)IW+aE zh|-j&u$o&^_p);Sr*R1?)r%sLrxGK@H!MM(c)S_jh&ayF`vAX;ClxSptV!hf_*h$e zj)RsB^pymlnIlqGR$Cw85E0N`b+4;@W)rXV#Wfrtv>2d4(bEqYX!ezfDkv8nDGC2h z1o4zm<|AN+URP^Ic;8fXY&u#jL|rz>$|x#fZt&E;{4C{jDAh`Z$-_eVA3HV1BluM~ zRZVIp%$CNBr85WS?!y0%tgj4;vkAJz-8B&0-QC?Sc<|uv?hqunLvRZ$wz#_ocXtmC z!JWJ0{l2Pu>;B)W?V0K6K7CFBj;HG(OSmH|p({ItHN$e?jygJzA&v znD4@6s~txq*jytG`n;Y>Ij6$6GafwM@}@H3Yh47ye%CcfI_-UVIOR%3dmVS`v-}Wu zBG*R0+}|=c9W2CjLx3dakX3P zu(|~%%WHkKX#Vl1A4=3QV#Her6uNUdAL~3K#)_%f|qrFJlr8Y`XA1vU29b6!yR(F5}U1d+is)Oa% zr`!G+*09z^a-}Me4Kwd`giro;PRGK0WcnG*^;D@V8nC`f3ky1ZsA5@4 z(Y@T=oksLojvv8K_S!C6Z+tgQiY5RrMF!(ce1sPCQ^)+BuM%JUI2#F655in~?DKK0mWu8#ZeZ!0l zX0TnbEg(rfDz`=q?B;wYhZhRbBmeu`s+ID3qKwX*RJO@!KUT5I|KJ`bE%o)&)>0(D zWyS$P?w9YWbWRtQqk*7Y`?qseC@cN;t=_M9bJpePW=30C=wQ3UR>4^kGB#_sHh3@BRm@mfsVrp&VQfNUTGhTHQvYZJNEmj4_zZ`Wbj9Txu!Rm zI?rGPdyu-wQP0QdYVO2Z%mNyQuHRIkh=||Sl4e%+9MJ0*gcH9VB)##d6Op`)5n#Cd zjE@R==_AOUWM|*l%%Paxco_#B#@T;vc~mlKAG#3KK{}0`$M53`e%OD$xP}HmB?TGu z711RkSYG)KDP2#MTe}+65!35*L?2K{GbCX?PK&@}fPS^8#@4?(oFj9kBPV`rO-3r~ zou)??v3?=)jRaI-Ye5Yi}q>DNyy$d{Y_rW8ZzapLuW~9nA>n#;m(^OmQOLw z@$WLLml;T%PjI;h#KNC@QTt5Odr$CAe?RCh5j+U+AqScBqZlss5t{ze#YwU)0a~rI z%mvA^_Ng{57yvf^O15xgBZwKZ!O3RR_(jcl3*pM>bKUd&XXdMIo=4xr_}Q_?SJo?I zVR&={p&##xIp|>lJt2;xZZkc1Wi}TJdhc5|7pwoZK~8L{v`|cAd3}gG(eIW2wMBrS zHpOUA)z;i~=9Pf@OdBsS{kJF8$Dbu#ZN`kVzWD6578*{2Qa{+CmyaQ026@q|Ahz!m zoMgV)TJwJu#yc(Od`$!{#6QCZMmB&8{8pzTJz0VkHw!Kx!A$2|EwM^<_}RD50|?l( zM=|qf6+%;N--thoy~`qdaG$~fMQqgf7sA$>&ic@x)v`DDtej=5BS-hITCC$9aTQtA zDX5|!5$Hm*8K&q1(dpuCeo2$n$q4*WUkoi008pZ+Q1ouNCs>+!ab zsb0s4f4w)8m6luNCv~17lovc-o=W0n9tB4z2%9IP{L{pS0uZi$KoI_cx2ijW*&|Xo zn}b;rE)ei)4UeDfWyHSszsT8^2(4e(+1U~DQ?(%M{VxVSMQ0QU0+*$==$Bi%FxP3u zGX;c|OV$71lo9Ck{lMO5@k>DLAX{#_JEGqbGX8@KjV#4uah%bA904H>!bduI$I6Hb zmIx3FUP|xVJ_QD8i|rgezRZrsNXKpY;}ImwS13{%_LIbd2E&Y;i!o}|g?+7y%Mi-S z-pqLAwr#oXtWTZtk9ga!n=6XJ$yM3Gc|Rd}puR zN3d)HPq~i>OHo(YKr@Bh*aa~J9UrGJw*ip{)$QRe?&P&0ce@9A+Z%=##!XLOA2f=L zz75fT_nouOoRBm0;yfkOi+uj4Q@<}rR?`0>!o0qQI%3b%;BgjB{|^(q_)`S~ZalN- zvOkc3jFhO$m-up2?dZVwIu9bpF9iBv0jVW5)c%9^9Crq2oP!$$tnMapoRJ?wm zG0|<3%V?I0ew2LqB4Ny&rw#s@ri6x0qlV;qe4^K@+e!BV%n9nuZJaFJ2zZDwNu(rM2HLn9kGVODblQ7Udv zO08{Y@#!UC+?E(KI~=-e80ZPp440wuHHQ;z%rrMTi7uaG4V`Bs`s4kkB@wk5vXf?7 zv3tP5s7Vo69uDpBJD;$N^N8nHI-ly&vxiR``GtA%J z<=U`cOJ)#0tl2{o!US~B%+z{a9~l3d9?Q!k@dCmjyjS$&pJ{$|zB(G|?nmZMvUBj$ z_PIq?T!o_0HjLJFzZ=MQd;z0h-P51v zBJP^5S3D62XxftlXtF+JL4XJsetv$|8PJF@3kT-Q8x5qEA#d*ZrL|`o4L50?41~v? z#Bsp1O6{71Zf;aLk(f-M8MV>cd5jKteaSAl`Su2n(mNj^SY^e9Xksk7bIiF_hT?E# zp|4(hV&(98T0VaYwwC6#lgdC?tK^4aJ@*s5kw_U9=TUE%>MdA1MY7iVZ3Q5f@sriZ zQwiMMX^WA0j^a^@JRLWFfymrAd`q#PQ52g#pR^E|8Sj6~qc?)W+PMDuH!=P!ZfK|j zy{$l|{qm?xbT!mH06cl-sW+wa=2@wy2`Mpy#d$G$s?>D|!R;UMvTTf2MyBQl=zhlg z8z9f*42d{|EM||6F?PqjB%zX_EPpROI;Sa6zXO`OIUrNl)HEqDC1VgZ5-Nj@sDhNo zAfged19rQdPm_z74PiIuhL8xU<(#CEdqxWXJiJOyvCl(F-Ta!_N3jwU!gp9DREjZH zHQ8ZPXO$gpzW{8mPoZV7M#5?6X%E(3F9CM`!FxRGCXB<~i%ER5%>QLmb~B2WJreSw z(ggB7oy z)h<=7p#yVgiRqB13->tjy0ySGz>dMElxIWiIHu7+J=11^iBIJY#JYD|(JOCJ8TvZY z=T~L1+}y6HHSfGjm9UDrwYJ-wMLOplE*G!5#}h;D<0_(_u&IEd+Z8Uz)hJeayB zU9fuS!!aa>FH8~s$*%uwqD9`A#lN1(^7UYI;7^R_57DFE!|)17>?hJJ!Up-)-YiUZ zFp|JBcahdcv@&`*#FQ`PN@V}U#Khd3dOJr3F&-XmAt`GwGBekdnM`)o=Lxsgq=GW- z;XO2fNHRXW^lTfNSwGKkn9z?3S}>rv|A=q#_}DhmJG${P6&48C~j|85}Af^hz^Q zRnimriraiQVH4v^&a9x~wU;!`T`~|TQzBgrdIbLOjAiE)n8dO(YKT3dr1Bw~8H3L+ zuiWvCW(fmgEgDTC-hOM0!Z4<&NtT1$vB$D1)VFV{qVmKU#k{t^eu933r^3GMJ>!R_70cq|#*zq?w zvQTVo#Zp~Ji?qnf$mBR6;^C1&1R&Da8^mdq!nq;JC$HtDe?T;<`$D0bVGAH}IV2UF zIXyj1h9wzE_1V%Uvp~M?GdvWEk59Ugg!UMjR|@)@b3H26n~QVExtQ>~Jkin&CL9EfaZ` z1a!bxr9nzTcaO$I_EBk6rEVjj61ui5MWYiG*{7{5*5zN7pc6+?i2aHaT3&IN6l}iNkBlB7t{4wmt;W3BwhVCqse9 zp zOTWIl1x1}NIioj;&ZfH^K2~W=Y4Y*zp!=cxRLetGm0{14k96#*ihDb@o6+HIx540T zd$@Iq`U6WPd`CdsX>-H)M-=>oa_n$=nNOKDFc_n)_}5%s_RLfb2a;a9w98@VYHFh= zO={-)npdgvqBHN#-3M*p%yWoknVZ|8B&wMoek1>#L5d(kI;7@a-@sBGN(Uz#CSyne zVW9G}3BowF4N>+C)X&)B^pS=XQ6^`EgJ-GBd`?2Kh!gQ09L2iwYRq1P0zm zS2Lr6Ga#y~?*F9qse{yPzrTMXe%GPENB3$SVu2-pqhIDUF9(~mP;M!&#_Qndf)W8A zew_|>H{I2*+G?zRX0%`A_arWX+mt}sVF@j!5$P{fJLE1M3{{eHV_BOD&!{|%AX zZ63dbM2&%Qyt?wF&$K3P1bQ+-4y7ieB!#9>b1GwFj5HpfQ{9im&?I0{k}pcB`9)U# zRtu1GhA4)ygflubxzzkB9TI|xQ4ky~OEIM*^#L(_0YAgi-)>rb&PU6zKGaTEP%?tq zjDMK3*mAO%Nu!YTR@#d?->ItQx|9+ijK9H|nGXY#Qyz&9W zYG)Va2B}@@EYfM03Q#!I(#^z-mE?!@nhA5`<*qqRXgmH+KbW04Rgvp!BcAEnj=aiQ z!4EWl)K$;<=R#R(r?I0c+Xec~GR^j) zq0~veoJ7d^?U!4by83$cPk}O?df~$YfvU5x+@#x?B~C?=+JV8$!845H&sWD(fno>) zBYl>dvsDA%^zeS_;k}ihxEy!4d$Tk#jg`T(mpP@IZ~o8{_n%YwuIl`geBR2Gw0VE- zBK^L9E9O<2tts@tqRQCklo2elbwl1S{fg-Y0WKV^}X;S$A0po+ltA_s(vlNT0xp)Nf-B%(;A9Q^i?3u)gx5_imox zSHZR`GUfn)tuO`KFHBhwB024(V&_f0PQ^?)2)>v?5;922CVbYJ<Hc|AhD=^%1B3 zJxMB2;FdIf+nsXXsjZM>PjGoIA`OWT8&&FVUjKaIKUNPf^J7FP<-?>kXg+8@&*RS5 z#jDW_@y}rNYCL}d@Da{QbmG=0Pidr>1i4idUc9p2Z$Mn4} z^dbG7reT^R8W?`x<*(sZwQ^h3z^szNfNpsWDg~&-l)tg^C_mdyqP92;JLA`V;(IFB zG6PKO=%?2$5*wj)8wL7r3wrT0s?xi!MM*1pVZqO|CxYdFE z>K-MC`L>sVnD0-Zx34z!Ei*iZd42;J=AQ=+7)z&Uk(20pUY;(s5Uc+`&no!n6$0vd}zriPqK&^8VuR zXi`t7ap5&Q4mTw4C*@cMA-2>&_2SCa#X5}m@JfoQ47CzJf)6rw+rBWiP1 z6k>{j%KDe&A?xx^>p1PsdKk6^CylUlP#AVJ>(@TlC{guA;!X@P|heS zGSe*2iLli>Fzn54Ermv|Vi|OLble1;P3T7+&?G|`o=FU*_tVM_33`eQp;`u9^dFnto039vFX{Uw?m9P!+jUl#*kf5qh>ZNFM0!Vx*p$F%xi4+4HTQbtMzL)F(_m+Ghw`hy<;0=g(CslrQ3PUL6Naj?<7rSRgl9wZe$dD75;X}y z=Nv|HmH;lqbRhBX0Oe0=nSpD% zjRRB|0$5?^$D3ZBcQlJbaXE(g3o}aQ+ngKJ@(5nJTY6(}vUgQ7<>0iHDuMQ?);f*& zYEV)&p{)!Ap*z`OJhw(}_+yXKS~cB$ZrLM$e#A+Mb|v#XCw|gQKq%Mpd+VBeb_@_Z z>|AO84(1lV!(aj`?<5G853mH5%riCy-?FkHTwJdGUvDJ!uw0yO6lBpV=v~F;1*WRu z>KS?l%xn=&FXv@eYG%jnO139&bS&pW zt=>;{c=N(`ef_+`fKg&^ya7OnzXURDO}Sm&Juq{U1ke{ED5h7 zu()VR!g-+iA}(3DZ!~r`)RGBcjx3p*f7EJY#3t^|hUigH1WMu`G=VWuKVoTFe*!}Y zeW;lEO3^G7oU9qmAp;L`^2ZR6nV0*40rld_LgZ^R^2VvVob_rVM?5Cn4pFB>`sr)h z@;PlE)p@$`*IYDax#Lh!L#GDW`20NRNwAUsvC^tkoW`kh5*Vj2yGqs~*=(vkP-dh6 z5P8YxftM_ji&vV0I2aaB2!cV&K`&>YE>5{p zwn0KCbE-V-#q8^c&@cE#B#!iTNDvRiZNCgrrg-hXqQqDIg-;W9!u7BRC_T>%dLgf6Q zrGwT)^iT@K_i5N7emI2sFb*K_O+ue?4@Q2|v z*w&eCoqKjAqt4IIjBxnW{Ef#h*p>AnqGnnF}UX{(x% z0rA#@chhM2Fn62fg`vq|Y<6Dn1{yYF04uECw`I zWQz11FSJ)tS*GRs_Xj86-8aB3 zwLXz7NQ;S#rv0hF{aL)YeXN5~ABGk|pI#cXrOO5SmQ!{Fuw+dLZgrjf*bmJlydho7 z4 zSr|$dE{3}=hx%k;>jK?@E~3zH1iD2~!=NGyzr8@{p_9x)b%>IyH3D_wJ!?Svc=~(5 z1B}`4*2v^AB~c~}8xDX7@1QG!r!9mr*s3e%frVYm+zqW4FM}3o4G7g%0bUxv%Wc}GVv1jJIj^%QE_*&CT)1I>Kwim& zFDsjd2SV-EUh|x`gdmr!7g+d*ol#0b{$y~E1(VjSn^WP##Hbc`6%@lK+(gXnPj$3& z^8jxuyyjB*_ZQzjE0L1XskT*rDsc07qi$G;_EY`-s}OWA z`VYv;Bh+ps1J7%5!C}sZq9L1Za^oKnEgSqSG>g(@QS@Yk&p=9#^Y4h&>(99;jk|Ih zTW73anY0H`KeZX z(yY-0sTDRcZ1tTmN`THBgGSL^mgbYDKFT+n8i|=Mnlbx0b42dnb!U`5&?aaGghV=I zw;Dg99Y;QP?T;)MKeV!-mfN^i;`1XLE$f72qQZAxXopE{H*?{KfkDqO#M~U{L#z)0 z%N3#br`-mSwUS%RV|*~{b(EPPJg&XT%YK3dNrMt&?$G%vHMYxO!UO%qUOe);YAuc4 z_eWY3k%$E1&qS$*&6$s=F@&B zSL_14NEPiQL}|?pEpZce&2HLX&-qR5C;#A@>AZPdq`VzJ2}6hGCLFkl!*jg}btNyN z#rs$GR~V!;Or{kr(c8=3qXLF)G_>+!olkTFPvpms42F9xJ~|I%_@W(J2(xAre5Sts z>gj*Icc7041z1N7da9HW8J2ZsPQND9zhKo8Ws=@;@ zf^#JtnlMdla-L-<2+@q)pWBWblpW`IxG=QvJjXR(`7^pDM=+`zV}|O#dw{iq&%G}A zHc??dyqd#dU zX7;_&UIicmzoH0`aK1)F$wHX@N|*RG{Ji1e)`HzZhT=`NVd0ne1`?eiH-Y zB7i6e3v^Jk7n@Jf_;)*9m^30?4!5n;>uyyF1 zRZ&(mFm(vaeyM_KAno(eadrGJjjwZsWXr%0GCvV<$YAu$m(8l-6ze4a0dk?fMs7~IY zfKT&2%rgk6>(syEMljL0zbRcWdg>?61L8at_7=6yq58Ob94+j>$KEWh>uoVl=3Jv5 zS;4-SbU1ZuaZK+tx%mox?n3=7zKcayob^eJBPmi%+er28QV`LFLcovkqi}N$+s9Fq z2>@JQj#nj`;&;{8NM^T;eTL_%a00ngI zndDV1(=d;k9yxK~2G5Sj{t{HbrSzVxtbP@qJpsBlh1qH4DA*=sGP6*>0sD7IKBnwl z7p;8bGW3Jvr7DTmEKBYyR}ZBOC(vdG?^)LNI6pgn^=OnxKSm{npaE0)ISxLC8jnkY zx;pDBn))8v&kOw{DE_7<@}*imjkavvysyGrlT7yap%kV{b*Llu;FbW|5$`mZ(>dtn zaNT3RdS|=y)Qe5WW%vu+E3@IYGK1+~rMI+)e^J#lMejMzC3a{+h)MEl$sH=CNC9Xq`Ay3ueOo3&4Jdcr6M`;04E!+D8Oapo2whjkKFY`w5Lh^D zT*3}pru1DdDQ`!@UU`-S@Rv*$$I5*><&9MY&erOMy2D(&lXRz zX5f)9TL=>4m+}Rvxydp~ZW@M!8D=_?C%~yDu=feu!BkH*33py-6+g1g1j+HOzEHEo z9iXfwG>O=WMmat`?Z2!{*s|y>4j>6n@^N77>k;gNZmupvByEMAmc0K8EqDKV-xMT`YJVO9S6I4R$-J%dr?>|g?=^Nt3j z-VDq=KWa&?Td4F6T zOkU=HY$M0H1%WdDcMlt#lj!;T-v^v4!W{?af3dT}1B$v@<7Np0$EHcG7&UWY562BB z0~^+5lEdd>O)2$~lp>Iz2L5LYN&l}c6n9}s?Mj0I%fzkrntC@Ch_v7X#QVgS^IfTd zcLpxVj|)&RV&o+JHT!0ctUdSX%^tpd<=`2hM!H%liQ_g?(Mj)^qAf6U%pl{B35JQo z(giM}7&rn}1m1~tnjqh8k}a779+wgz1-;6=&E)-EC?o4++rd(4TC`D2!$j$AzLEGO zB~10SV=Q2FrJ8oA*Yc$66`l2QRa26h=}*x8WDiD3%*XQ&OIe_KxP2xS^PadbxG==Xz;<`OVpj z(DI0>GFU7kh@ybqgGH@O@CI}7^_DeF7aVmK0O&9Xz{y*+w2}Gk&5T**3=bc6yGsG%gglX^9WRKXZy~&N#pUEP5~ep-)bo zd?4J`uG*HDl+~5^F}W=9S`;Aieg3Yaeq?6*)-6>h&Q?NxT+<$#R<7lj|*0uW^k@;gx$qh@e{0?mkukLb4xx_A-w2t3=Gk7Z z)_2VYp7$e-Ot!v1!y0&m!@+vh|5;fFva-*lQqE4t#w#;;@0bqMz~Y<~Z{+MZK7u0o zVCejJw@Wg*fyQXP2I=!&#V%>pX07{E`3bM6@^s7mdhqFUSSu3pD(~^e9XdBR^4zS% zR+zyeLAbsLz7lsvv+XLspO<>bVn4n6cgTfzXMe@bqy<4X^|Ujr{yK2H8e0XQ;GH-P z)55Y*ti_%1CTd(|+P+P_qWob3R_tw9dBiS@FumeCqi(YT!~aDc&>1A7Y>ORM(YGZVjO|@(@=I$f*Z`D4?MCWf zy-3+_bg!pKfK`ijz0O>F}Q|W}R2xA+1}I^$oN8(?ATs zyjSl?M0Le-etmJs=EXDg9dbvw`QwYBBr0I%bn$TPrs@F9g|%&=a%SsRv`>q7{#$jk z>|{&%jq}HqeQucsa^SfwD&gU6#1#(hmJFFg5Ng4D*%dpL0Wr3kQxrG1c0yblH-MHSY6+V%L&a|>x0NrppcwU>{G65dP z0}P15Rl@d%W+nfcz`+l(Obrg14RYV-D3EnNa>^}BdRUXANcp0v2&^OL<>c;E8)j>uGhJMwz$Ce44&$iTEYC3eoCf&Jh zmTplijz&_z*I65H<#JG37W~PGUm@&_&XH}ieJ`Ntz6#1~aK;Q%v@B=^I?BAV$~G`= zd4;zR9YkfG!PWAN}VIXO3yxkwu9IV#n;#U<;JyIu`Z2sh($g6K*?<^sLq z`f1z>C9S57dfv&fbbL3!mH_W0WWg>-n%aJD^%m{dPiLCn4tz-uZR;M^=(p?Kw$FKR z^BxwSOWD1X&a?L=Qi)N>^$*`WC$3XUEO~X6MskXX-k+$VvQ!x0t(aV?>T{~?muvfOI5v$TW z9ia9JucKi*W`X8XWK_MO`3AXqu@CYO8+6k-h?n3zYQ%p)>0Y45b344_lq*}9X=EvQ z%ZBd39#$JgykYV&)nn;%yE#5!8w}?^8F$4w3Ct6WJTP119t#veClj8y>a^X2dp&1} zD#|C(MP;hz=r)c0k_%;5PxE=bSR*w(r~wFORVu6z`wObo@YGz?PAt}NRZeFGPq#U& zPyVE4v_<F~S0C5mzKH9DE79 zSt}LJ(m6Aj?H2YgQmb3_iKAeiQji>PHo3hswWx_<)|HQ8GRri`C#Q?5n z2pieY*rJ`&yil=V9Z&C(WqH%*;f?#>3{Z+SLE}_fUXvZZIh*}dhaaO4T;^tJ{~3Ec z*f>sf=8ShWe%QOFIFDrns?3iceCtTA6*mUg=*m?~e|Wl3gh3gxQ&|HtI)vYMoL^&q zSf3wkK8;y9I?GwPWUlk&6xth6HCDTtY9kGv}-1UtQckaJ*jy zQb+INGq7@q-}+C8`aa$GKn$=CiY*FlD_p%V^CLFXr7{H)x8>Zb`z}}*86hrBYTkUE zoUrh~B?}HhfC*lTq(R^!`w>H9Om0O^$+e`Nm&dX>rq_HixY6cwA2yQt?x1f$J&_YL zIMY6c@VeUQiD;FuIXV_~bVvon90iyXS*qi&Xa(E9e?OO+Vio>5nwm<_ zJ>1{FvgZ4>(A$sFfHflrTxrdub57?pZdHlV4r8AS&X0#yjNyw#JUyQBx&!8o0cyuW z&!$a&8@sCkJdk&1_0tT^W#)2xPNmZ2B+929DU)@RHcS+S653xa?Pau{v%jfjBufXU z4$2OW(9nFYize^hC}ZmUMPJ7Wn2Bdi8BpvrqVPAD)UBnsGl$0li`VlT^vODbN)BnvR`TrtvpE$^+m7oSbJzwC}B9tH=EhaR;rrT#jl`EuiP~9k$ye==>>4ZI(|P( z@OO1TJZAUn&mx)c|I#&hzuaj#<8qsQz)~34W5n`F%t$II*|%O9lg5`0q5*EnXXz@{ zE8l*yP0uXAu3Zx=dLz5B*RMlKTa$HQ*c6*S`9ZqzkelZE;1{LXG$D4EC`DF-oo@L9 zN!bSbt(+#1Z***|QgYNvQK3#KpGIBgD)SqZRAGBdp_R22R^Fi^Q6GMSc5H)%72O?x z6JZ3B;ST-}gU%u0N+(AbKKtVHZ3UF+IS@|TSys+1d5>RrZ%}t4yvn94?>Aedff~2pCAK2{dRZbKK$llK#*R+LnUUbwReVB zJ|6I{B+;a6g?CA&QyPp`L&nr0bt_ruFAXstc)+zR{KLB^B7NB|O2p$_`w4#=D@S36 z96Xv1$~|T%V+QTdb)%qQ)p=2oVpWx`o7dO6>!>jZTYQSU(}b>~;m^Vvh;_p^OL44=GKv^T*`=Re8*Rdl zL?q{O$WGtC$xv_zdjZEGNU8-|kr1*xuw|)sc9724iuQH=v+lh!S zg`Y&zaXj+NDGkp*W@sO@3L+IfdQ}bY^sAE8UoMAGhbe(lLCM3avPm+C33dWTap8CB z=;;m{hGBQm(eH5^dZFZ9wob>)*9&s3s?YfPY=MUus4Z4`hMbdNg>~wS5x)$S{>tzf zhi(`C#sXTuIG#Dy&;s|bFM&ePi=nD5KDHtDCpKcNH%d$!DE1r5?$s=dA|_VQY*X6i z9IQ;%yPiskck)jtUJSn^S>eV}y`$*0HEpqWg|PBfI1wgz(!p@(-$N?n?ir;vnM;H- z@O{(7NrvTkH8{H}+;I~*R!T`@f)Ckkc%sgq`QM?kxorbVGu*kqpX>|;-M&lhy8Dm0 zF9ax3QcWK*8P9Pq)O`uejLK2|)a0g2a#A85=`Zm%*?EocjS*?`3{HYdL#9b zF6T>9jtsN>MUs|OxW{h*bOWLd!OXE_thoIc?RNyE4v`d(x7U5#z?yuBDUTGnH`KRP zay;^CTs>>oEO$DboQVmIlZ%IY?UV)K|C}WW2n+0P%bt*jo1+rQ^zPoMmAvc=h>^mr zOVQw8gxot1xxfnEkF;+G<7UP4qEWEVB`1Rfr& z+_1zh4#1pnjxW0sT%3sK#k_>zUR<=kf4{b{3IseIdi()cUR*N*=?&sM$L*h&+h(0dD!laImQzy3oxjSuDt>FnW z4HB%B27-<)QFGq(*VQm^F60-`pH*}GP1l@vQO9#yDJ#3|2|%%UW%^wC24l97laa}( zt!lHv z$|wO&iz>orpSWIw-NsN5rtgRTpuR98U14(B?QI&6lX7ZQwBjCO2^3-|&s5rt< zqNUVtrr}LEdTqZDU!{6ouM^_2*ygTmH4*bL$pbLzx`mk)#h$X_y;&B?>Y?(&&T_-D z(XhN@-I3<_OX7qv+w^5>TGU;QbSG|E6-aPZYMdr04ziJIxm!?Q5nGb z3OU7^n|(@~8hVI#0h~Qet@~ zd@lM)BHYk9OiqceQ5~!?vt@nN|>M#b4Ym;mEiW}p`L7)#v`nS0qyX;r~{+4za=^)$4CJ|Ty>R|Y} zl7|-@r7sRx`DVEK7W*o(#Wa*MHo#8gPM30s#ia;Kewn0k!^9dTTHFY!@|=Y;`W?90 zbWgni1g5qAP?ols=V{8D9-vfYVzi4~#688APt6-R0J%o&7z<8-Of7yYK6*j27iPXp zwu}YHp9TXU&9P{{^N;!DU9Fk7zU?um>*$Oco*Eqowqll!(!mn7%FH!PTr?cBH!$wg8YVk~+et#RBL210mE&(hze9X^AsW#4z!j{p zNAr)tIBycvz%zki=_l#rYqUKfYZ=)nk0aGa4E0ik`KG(dMA5-SXKt+tVY7KC%5(9_ z`vMD}so8aEhH-H9!Sd7QZ(V3LSH2A#31hgCp<(?#NP_yjhmtx}czOgU^z@2Byy+(Ci z(w1(}{G2*&O68I^F1_$r9iT3Dd(hW#fnK`^2sDp6V$mGl`=Z}7cAzbm`aU>DXQT!= zEr7ws;S4l8&`ACHHd$6Ym^SZnq+)9(L#_Eqq*$E>m0S>PB*z^ecp!6&48rfPT)Ul? z8%Ld{MzA7pqzjS^%H1K5EJN6DGwuc8`h-K}yLBnq`wyYNn+v4dhOUgH7q;x%x5i4w z@e*ui#JplrM(^R?Jzj26{`{R0RPE{{Uaos3sB!noWPt8nd=0hdBk$+!X(EFNFxC-c znqTT*@fTJQkQodF+si(HOllwUqcmcH18p43ryqFGk^Q{&@iF+?N*OvEY|LT==Z6pt z`@lRF9JEN}8ar)uj8tj1+=@&6>$4mAy9J9*B6&>-@}JG&_Ni(ZMb1g=(y3{v?tY@V z?9Ci&1SvI)sT7UBF{>ES)36ueWs-GdiT@TG3b67qbpXix+ApWco;6 z;9ohumjJ>C1+R(TUoJx=aw9ywTzsEk-+B3X;(wmG#|$HHnrySS`}wnj_)9@q z3xmp1789)S9Pmh`h%GZ7GyypZ;e8DQfc+$T`*vLHvU12qGHr42Jj*oZ{Xd;Xsa*b`I(V_ z2J0W;*zE82Ws~0efPPZsR?j5?5T# zBx(Z-zV5GK_?IpHOZNwa$%Xwk8MO)$?b~A7(GzLU3c2)XnPQHesy0h|TKssz5eikhx~NknbC6Gqx}zepjW(=@{(Sf4)rAf(LsmpYe>#Z6 ziIqtAIkV{kIhU)Q0S+UA*MgCsl7%fxZO+S9n{H&F!Ab4TJOS$}AQR$D0&wW<4T`wfyO5S)BV!9~O&Vbh!p`O+EKA z87oXR%kQBk-$2D%gd)A8a0($Cvyf0kQUoaCt%gm>FjM=s7gS4f!3La@4fj;@ZwW|t zoZ~XDr2SF7r^cR1hL?8!5C*6!cBML(uyQ9A(4G9~YM<1(3}OD{u@g#8IQ&GL$mz1N zOwP68AXvt9Q<&6pJPF_?k!@QnI}sk~mpFQZ|9OASd%u(G?mSEe)9;0z%_lSl_-iY{ ze~NtcD1%?N5TbHyH)ocJ+`27%b7KeHU^d>vB*7~mf+R2SUiA^cdOo#?V4%s|6RbQB zbqwe`ANzH^m6-MT`A{S)@Vf<6di?UbT~lm*A*x_21Ihi|(x+)8b7d-5@64f7Nz$or zVXpWNNOa%%y$X;fo4`rkpN%w_)#l=Up{Vl^ihs!_+e4qq)W~3qLsV;vt|M!x-6-Y8 z)=O<6Tan9ENya)fsVyW9B^TmA?C)OroxATxn5O;W4|<95XPlYY%4I&M|30x?lxTHp zf8{304^i&zyV~OEMrh!{=NO6hturMuFp2C|?+}Ah=KkQlq>e--OEA3M+k2h9f$pdG zbU+njTtpd-h%csj^Ie>c;0Nt#R|Ldg|MN@u?KW4JR^y1MB<2_`Q$x)v#2syqA1M6! z8bh#&YLKs|?ziJ9D8w(-P-8Auq-!lc`&@qVOD&|`HJl50VH^!b+2M6A-^x6)0c zXJV-m!)uq%25#U~*WQ8_|7aq5C#!?0bS~j9E)D1og<+oR&_!R8k%mUY9RWzID80XR ze#hhv>T|lhKfEY3AH7ezO}8cr*ax+^z4Sx%`wZ8<;qZ_dreVUMAnL=>Vn!gYgD5&whM(wOE80}Ap@-9|TFLHb2gy1YaobG#XU?5HTEHp)lGqP8=Kyl^J$kr&7@4T@jNYvAyBj7kl6l>yb~YRPW#O}8(fMti_A#YVpeGN@ODx>fVucU_3ioK!`h+IS_p8E)S{ zuUeqvls%adTLAS?J|nQakmS$0=V2Y~U}lze8Ld{`T?VEHr2L!UD+0 z{`l!GDDn~?vq-=9M(r5?k<;d0f97B|6;s5UUES`ZojmGejeNOlZ=3Q!Q8shc8y!OU zbIM1-Nq@2^-G2M8+2q)|W#P=@qzC>(%joTn6I4!DaNlpALx*Ddfk!}y!xnvR6mmYe z;#?l94$0+AjE5xdbJu3po9S!P^poUX#~VS~q{ONG=HZ)d^fhpb{Sz?tA?Q=}UXWeI zhN1x}C~qtgEIMtd=zxhE8R8J{4FeQz8ARMvn&s?vvedy<0tppZ(#S{I)T1{QJI;s_ zvD-Ffzi2C_6L?fX3j7hC{FB}1)#pspoq=fq37_hj_TCYHv{=IBlp*eT0f3!T1yYB( z-lr-SGCZx!HfDNlWrmF0I^5cQSHdWiZ!S=Um_TD%iKi&%`D=AY1Ofug-nu|xL2FGlI?qn$x~ zIjsa=7O$%Z>w8_AzMn+iL)6c7I2JjkY8A!Cx>yW5Q4D9O!hAghy%wM--|K~y7@9n{ zHu6eQ$Fugh{|p|j4%O)x_1L!WeJ~Mn)P=Zri!$z9msH2dGbT4i_Qm=17@~L0@b!I7 zx$Rc|c9?4&huOV=I2az*;8Fh3v;22M6wys`0dGnL@7{+d0pnhm*#o2xBkR8zXmZ7m zwyykkmyP328jqoFSZ)D3{U0RJo&pVi&YO z(fx{M#y;_z>v|N{Lfn$FQrfWWqq^SFENkvlp)qBoWA5kp8Iq6*&wDPN_X0USo5=S9 zSg#->jyGSEo^}C>$mwM?a?g0oWAb&AN8CC>D_SzpigU;`_08c;m;gN}&al%Cx;r~#)Qc#v~>Xh@VwV_(7X zXX=c;Lh4ShXxg-dWt~_21x5pt@azbAYa0v9hL=O2=p~M7*cDw*?^*82ZGi2zgsA28 zMxPy_pn-XL;$qJjp96#Didwx~@e@yhu*n)0e0yj_18aa#BMfP04u_EU$7QT}EOvZC93)P9wB1mv1K6 zI{3=0V2hm+ieXmHq1M`bmKJ{Q+H)?Kw2I|al?B!jgHxb2Bbn4F(5;eKOv+XaNvPU~{Ubk`mGxok z|4b5FVbk`uJ4%vj__JqbFT(k)E2uyueEj;k%(@pW4d#8}{uPAahV}kl08#UEdQ!Yie+irl$6U|7^KfO;=3 z9cJ+IRR-RP`E!pPgqhug<7NX z3yTF)lVd6f%=HXKv|lQOD`_F)i3G^RuB&FA+{;)?JT*eNgI}S&!zdDhRIx6yx&hf? zp!1i@^ac5tT5l1uNRHj-sZ-)F++hLxsZf_WQ&(mN;pDm?S;l>5jR~i;C1i?;w2Hd~ zc9R1)nCz|mHD_yx5ywRK8oWTfBFPQh{yDsq7czYz-&_6+I7Yz4N-TCQx@%aH&bJhU zLx24G&4a|*KS>re!2_4NEO?R8R(K2f-%+cu@ohI>1$68dl-hz8DZ?fxnd2%aA-A!Z z&gWZU-IYk*DSyb4JE7*--JPS`R_d*s544|?$HkLJU!Xt-@w()bueSD^-l%oN1ly2e z%7*mv=QoVdTh_JZHmc;UG{CSUaAzd2nSV!l)b9IhRFGW{ZPK|EBAqkdS$D_7B(l+X z&^)^$ZPYg|nXE1>12(-GF9;IxZ|K>(CnsRn#J$rGh$GhX_|VK2Y*7W3xp%j`Le*J4 zy1&2QQ+;G3azILR4-?(a+TqZ%5?+?B9-P*=Ps2H{V@BM@lgnQruzaOa9;)D>mwwEU zOre8|k&V}TD~Wl~22O)yhZYRlw)!SQhB#rG*QA}1-V8jG%&?tv+PLS!R|w_{JQ0b` zJ{?N#@a3lqADbzDCv8Cg)Z#zH{Zb0pC8Yfp*&P7^c^34SXyG9MfgodE-b#3)jK!!H zfD@Rxh>)xmg&N@)fQ6;DG?kh2wCQz{m|m-1+Xx|Po+k>9ZSM#ZUy&ZrXq0J| zA~{aYMu}1#yLyOrwQ%+s;v_oPJNJ~2tUir&32YbyR?Jc*bRc$yC?Zu}%^Q+5_UC$q znw+ugp>&9QW|BQX)g5oRf_MkSiMOlmiY#U(3n`yIA^{a|&K=?7_#l`pmIS7l@3-CX zi;9ob{}4*)ph%HJmw3wXt)6(*7G;Tmz2|Tk?lQZq(BL?BwT(aOxQ_piHl5J-x>$wqjBQ06*`88?$d3%{UIX! zu^VdAxb|gFm*9wGbBVuW{-un>JUQI;_;pu{afPSfTWwBN$`p~zG27%?TR5i8c4$j^ zzjVZd2vqVNvx5X!`@7#Ls@d9GkkMyXFywvaZ z%iw~<@6z4Fkt{HWY-~uhbd)*oR^Hh4U0M=ngHTQ@HGd!+xAD}CCRJ5bpb5CHot)xv zn){@)Y#*B)a8;5UOTD6QC8%Op-d#B^vs&pv;vVQ(RSUh=im_QO<*N!j5tK@lL@2t}2I1yl~Dv$h~EDX)-WO(7rQBxbb@lWF8Z)FF#U4W)~XN@GhDfe;T zcGguRG`-zAN@mMJ^XZlMFfbL!Au}l55s?rh6T46y23LSUgN^R$K;${W+_9X3f=&Jb zzu6YGs3-AvmFfZA?q@1zUJXbGuC~ibG*ZNWA7BpRHWv@RiMJMc8|cXATUo`X;r(`H z?=q!NRqHU>zZ~2qahk0C2F%u)q}*jK^zmVswu$TbTt~micQW^GEs^Z7x^xI?`eW^{->0dMK7;d09)FWPe z6C7`^$k!`Xi5y&?f@p4JQZ7m_;={~-)X3lML1lC6LtiP{!wGJOw$W&ML9gooZACnT z6Vp`U0_9Q^33FZVr1ah26AU8?NMhVr&+#lGE-HZAi-GYycr}YZ_8xm{SFOmU1Z+P& zi+k10)QH7@kBKERxka?C;{F?^u*CSnvgW%fvCu(v9#Y%Xx!1G|YW>0r>4@|%Us$(` z*VJwX7Eij|59U|gwjw4ZK}PuNB^qWQ>ZTWct8x#5_xyOz+CgjQvCu>riM)y0|G{*H z^vXIL{%HHU4^X7{GvX_6546GuAFGtZrtp~6Dr+r^{F7l~mK|41a&%!MU*0{SnjSRgvQz5W(-8LRCUh49q{&oUuf_8x;p%`Q5 z3v3z#>f$N+)wsa z1y-c_o8DG&u6kJkCVbF_+x6wo#DqjpaeOV~eL`9*+NVz`Ea}8f`={KgoLqxD7twbc zCt+}_pkZt&WH%!bY=m+_z;en{AQjQ}Yy%WNU8BW5olz50-Y!ROWI(=S|0PX&!L9_D zZN3F;@!TWI=Y~o-HRQ9L_l1F~mSBR3MITWHS;3VqmCjInMIG|r8oM+UQtD6!D*d)s za|#jF9ld$?Dx&o=78U7uv(W&d$YE)aV%IfELZ?7y1 zRb*f=U3MhV>%MeLve?=$)}U^ywfVmFMH~4kZ#;!t{z!j*Y)9vSS zt$UUIhf?{VhG^-Az7eVRSB%Tc7AJm0Ce@E}A~BNmgXk5ggq(w+U~9wAzRv;lt3Rj4 zmI_FwToQi&ja{SD+6>mL~5Orkbp^(`MGiTV(mqouBn z_QHABc}r9|-O*BH0q4k{AQFD#!&kbD))HD302T}Ky$$^wPkm?{9`lZp z=3PNPyyP9hXgOnC2HMN|o=#*a-q@p`(>-y^Hq6P}w0EsvoTg~Ovm-(%D@8~CoG1;R#Y2>R z7US+$Sw7M3KLe@2M;rY8n={PFY>{L=IR4axz1T`Q+oJUy8QYT!Gk^_KCORlgc^KuL zi)ciFI!%a|(jxe^fHGD@Dg;4k*E5j4r4zc}M$F#Egi(|7_(fZ~SiXL~?u%c?sa@$i z7?~AACa5y>6#VWP8*hlmG=)6+O8!gP%9;9AAb)>Ii-UY%4jRH>?lcmbVCbfNr_^C* zHmLef2BsxRVAc^%G`W*PCy$3Dl>Pg&#GU4t3em}vizoa4M!Fyj?>768&Uj%ePs)QFZiDm~o-LqwHCwzZ#gE!c_Ycy=jm0Rl3+x--P^lQJ} z8QB68Zc~M-+rYNG{|kX90RQVDWJ2MI3Yg>Syy0(Tf@Zk`>c=H}u`1=q!lJV=KAYET zt$E?-{rcAeOc8eRqfdx8^Qz4HczVQX`3#>2KNyWbjxkssRP3e`kKkoj+5zngL!2-s ze}`T8B1625CG~6J^Pvc;q9wMSQ|X-(OrOp|x+&(5sF9_ArL5M>k{GxnK~-my2AI-r zp;OC~4&b-8Hs`m%1hVmQ*z(ksVC9vhP{tfXA?rY^u=!%xakX?%FY8AdISiSDH|y}R zA^l>T25+~wJ(UHp7+jJx!jHyZfAKUg$dGF&x79dn^y=sH1Oc4+wgy&X-|$M6d>eRB zZ>v|Se<#G`(abZ2a$(V#JHgC|I)fDMRO1N^Fn=*&btzjS7LLgF7HpdFQY=tdi(9Rh zE><*?WB|4+tS%P^3$`Wbtb^)(H79<~0%|4n=;yS;97?6Q9d2m+l_A0?p5(zdO zlE-O;zhwC?jxYu=JEcoalwfh+Xm%6ULAc_N$p_7r`J^HGe$lTv_*G`9c21Y`+UebDCO6VOcO`UWAt14bOZ1 zI;)97;n`zSv4*ARUVi_1uGc5BLFJt+;nd}%j}^AlS-3%fSmnit%mI<&_0=Sfhl-wfKA2PeWG z!AI8?Q{M*OSXz{PZH~qQ%cFUKZ$}i1hik=f$jv`IRkC;+NoUsBE$2{T;PT~B7maYK z-djXKf(+P%JG{=@Yv@G|9ZsA~YYz2)|{qEkkd1iv87D=Lo> z0h%N1bQ)j|Q3n!0>nU`sK=CtinlcODVuLBP(UcaKeec|Hn@qIe24*)$o_){zsW8#^ z%+d)3z{SYsrV4~gkCv^ULebSV_M5_*9?x(07(LM>^88Zi9a@~NCm?J#G*5tl6xbss zy;rpP?w8w}y$1mB`H4q8+Y14INk#?OpJo!S^ z5x)zud5gfYg*p3NSmaXfKqg2qkJ)tjxeV!a(9xU*(G(ylWFkAIJ)Ku~7lBHE$3kmu zI*}(xUabG-c)4{c5o2{3Yf{fV%uEDR0<8>SbP0`#1$uP!0|4=vH}~5um1bAadPZsn z#3}E`CW{H%un=?Va_R%yuq?XhE-Ex6t|kXlyse~2hrJ9`E6@a5&+-c`YJg9uvE3^S zYLY5+YL23PA--_fA{!QoyiQHpCxt2=ErE@?=@aE8lUX(5>Z+^P@OiFW>$ms@7_$om zinud)DZVVSL7E}5K-DVgz+4M6(VrKLQ3Fy3QqU$tF=S%FNGJy0Ku%4Ul7MovhjQR0qL>`x-YfTD0W zJZOZU;zgq#3d?}4D3@^lsxB;WkYL1co|}H?Mye2!>!+cgs5#9zo8Kr>7$~c4ov<(s zfHtuR_c1D^iy2mV^Ns~5x}iWkuL6$Yjo;rZr zTjB(s_+A}hY_?OXW^c!IYY#^UA>a7j9lT|SEIv&-sklzq2QlY@Lzm1?UcnhDah=BGcFr z8WQhuaEz=oT#N|~Cm$5LY2|q^4!lGs<80v)Mo)&3n_j>)pI&fll&0x3LRsEJxTqef2IwkcRbD#gX{P_vDH*bnZ!73|)OuNG(`Y#A z!UYDm!TQPe{wj#Q-cXu>0GjRZPwrZQ-@fGHxoa<6cHT0fc=CTKP_}0ct8BRuhhr4l z11f!LEq<9S(MtM(34qKtncLq-SiIx*MO8(|T)WC)hWo|M?IN%GbMrG4?v&lUY4YK* zKhIOZny_)YVUJ#llW!RWnDC!?)R>fN&!%71&ypMsu7sjf4T^PdtoFXOw|G5aOem}C zZ%rfGk8}Rgm`!Nro=SJ!kuJ)c>03UM3WQN#OVq(-*w(O+iYyyYvk8~qb;kMef^}wb zeeMs9E`K@iLN7faFAMPh_*hc6!Jt4<2;*nt8CgfNou(+li>t17oBt;Si@3d6#@N2hWgrQ|}k4WDD1kfYggoLmLCU8`+arHyI z+r9ko2vY#*HY2ne$0;X=dwk)`@lji%Lz`3b_Im<>wl-qu50=D-(x5 z;xL0SNy(?%ojv7B7lU?|uvv7x_T7*?iRFF`$_Rv*cpciF>){=(CGj_{0}<}DN#_g` zAf9gDr2nzvBd(N99P8{yMr~ta{2_G<%sh8yi==y$a;^ucs3rGfVw494SU{Jh_CBk=g%t%n?Q1*)QQg<54XBvpk@>7q2}sN|jNv8_YexJvv(AP$ZX?Wu3_ zS<4Zt~I3zlq$uV{txY z-+PT4gLZKb(xDjgR>xhzPq)}j2IN^Hq{|DBmsJ{{X4|5{E%U(T>utv&j%G=cxTh|M z@D#GuHM{R9Y5`<=Jj?g7VV*Q8|8YM}zPm4?v1dCpDn3E_J~@=o)!-6JJ#U7p3ckct9DO0dROQp z5p~HyL&9EJJEmViVw+AR@BkYtD*97;$kRo@-$S|O=kMJR3KQj=Gd!bI)INJ5K1lCqu!z0krsI5Y4L*axh4XP?ZbQxtBttDxf@E zGo-tQEc1lZMMx?eU(i6=qcj;)Z9XWiP)|7FKs_utakjReD;+j)k8Mla#kr z+}pyLYlc=H+zUm%hwH%<)-r#-vG8j*hONpCB!<@NE!0J1hA}d8CO_+cc*@+f@1+%vpW&-GEr}!%| z(9TvW?U?2FeqGUjOFh}MOokmj40oo>M2g<#!k5&XYo$Tm>CGn04fNbm+iP%EC>eKg z`59MS{$nLGAAST(C3itbz_ObbBp8C3PfzVyh|&Nh1MyR#aVHM+8Bc_2E z4(CB&O8}1B7;8bmGB9NBWd=V~ouNfN*c-7ilwymZv7-*Lec-Nl4R)TDi^7UR2*{pV z9SY<>*l=)n^%(z9nJ=yHN#(A&`vV!6Nq&c(17}GrIR#JrfY$PyO3Mt#g1}3#_Zu=d z!X3pdVkFdY*Kikt19hz@I24e71TOxRKT0`sJ@oIf*NQ+!wzRS9JgTtjBN7=PI*?p6 zfeW2G+C_w(n2Cy93R?$!5KwW7mwyH~ni$LPU=4Bz3+5VZHNoSmKgM;O!H6=Ih)w5c z+=61TPW&E5#Q~<4(Ny`XP>Qq9j_SGFsu|7nbm#S3YdW{W5j0nCW$p*(^;Ee%ouU!&PrJ7lD!1A2|QPcgo@c*p;;VLTvMq&gwM`TRhDq7-0`0e(u%hWEd6C|@G@rcff7Rbvsx;YMixPfFrH$EbQ#%)G3gVA# zx?ms5wHf&_lH?zyyhQcdy+(ZSbC1Sew2!19%!KX^QOUdclxQdMsF7?j2XQH3tes6W z+_vVlCf*ok=Hskr>3$zcW0n+oPsoLxB7zp4lZYq6u zH?K|tqo3*ZdnR-VANf7*_rM*2%;9i9n<5k@K6|aZL@hJyBKKQlz&Ey6VZ_^8#c$Y{ zq?n7>h&U7Uqd$W$0AL=HU1_>Bnmt-5JTMe5ikZMA_)3P5t zSgHe~Mm25*2?txBl6xm%`st-?I|zEqUytZz-}91(mLg5Nk{3u1G)C<$74V`Sla~og z<#CLxP^OYl?$~l){@hhj`H~r@O;$M`tD(&y8+bnBuej=@wW6H{39jf z=`Z!AN_&M$T`y&J-o<{hB)UkV888%>JrtgWXP1~bYv0K?Rg3k)F($^nz3wi5^~Q^4 zNI@+`k)!AIrXCKgrexRxYnWAxg&aA1yL@5$p5xC3hef*s+7YW#l( z)pB)!g;=AMO~p3xwwlY8PzEb;O&oGuCdRp?#4Ef@0%o(~lw({wAML!QN{gNP#F?Ne zk)nKh7<5lJRtf1A-Rq-{p7TbN6k;(iBll5XXB6G|1*@)rk<%vRImj7)?kMx=Pxv2? zq=->o)>2v-MSO5#pOZ%+IlH#QS=2HlMzxI7rgyEyaY5=ojjgu#z1pa-9~*oZ$V(U; zCY#1Y?i{pzS6`*d&wqjqRe_!ab+3;6Vk8Lw?sA+Ig$f0x8EZQ5S4|F1z?PHMA79u1 zw=0_trjgUl+v_=kSUSnw_z20L^fIjbnT;svcbk`_*k`i1J9&tKwz10+rIZ#Ybv!&r zgfO8|G*!h)RpOxy5?jLwoGlq?GjpK#OApf_Ajz{qqyldP!9Uf6Kd?2gR&kN~x%cN# zZG(pP%%??cmNkcm%)gmTwIPzZ8z>p9AdF=I&rSr0I_a|Dpn2@08BZ2l>vR}#6i|fl z&YUaox)uvWT0l?zs&Hfwr`$fk9azQqC{h!bTG4^QIg0w6`yVSHbl>r^PHs>Oi*P`6 zzz>UK+7Do90Xt}U)rJ2)@B8FzpyXASyqaWEj&F>+c-?+IFe+&tY z4t@W?+H_B_ocBGFzTv|IyVYhHe$a|pa=@$-qywk4Hng8}EV`g$vca?=c}XoFBY8#& z>b!<~2I{{RP+c4-@A>3{b6&B6?I?G|Ex^eC z97cE?;{KB>FgdJ{hlg?hA10EI(u@&IzRgT~R80a~%!ROjNh7ze%xv#gl5MdC>?va) zsjK*g_mA%U!JP;x>Ut|Ext#T|YFLP%*%Fg43+_PDZ?rqK%v#7%-w`$dzkrTZbL1JL zaH(U1t+?1|kbnUN256g0wnEg-T*dF0KZV$=dJT($jW4q_MkA@wXk4;%YHb#Pbq@xI zAqw0kqamf)mQh}NF;*XaT4BGDR^Gr!R`f_QT0S_T!eKZZj=_ujlgO~0qzIP>#l%+9sqU-QiDgJ4c=!s=Rzn87=zED-Ku~DNaBCSiK>>PJgyJe~>#{cO z$as!^>WOAUS66}BqI}gu_aU7wfnmKw`gg~(+JpX8cxh{Ie0R-bPwnV&uADsLn)Bnqn$+JqCX_n^}MbO8gc{Z6iKAXhB-xKE&d0w*q`gMzY8)RL9xjo%W_tdfF4s83n{AaM_s{!iM7`8zyRm$40QRVk z<<-I4OC?ze*5BZD5~1uB-?DkpT7tB2^?4^mrvuf!@#9W;d&(a9m6wQDd%QhGU3*s) zaf+zp6qPDi^cXF#@Iz=z_Uij-K0S*9dBH(Kp!&_=%;ZBM;xazz|6*c>AO%PQHt z5psZC$3^0T%qjh#DVHG=N=FpNqpCeMi4Vm}BVkU_^~|^~7>R3R#zJ zghZo;i)cJgH-Z7A{?6LtbBh09jh-N>r>XIAkXOc?7?A`I2GIzy>I_YJ zdrHplTs}e-L+5e#Y~bfh5AxQf}%Na0uo1f$ZOL{YHxRL^~y z3eR7wlcuT&IJQ zt!(=%T5k=l><%heoVJi1q9q*5aR3bdSyDV<-((tZQqH0gX7~NRGW_rM0!Ti0F(b>q zlm!G5)F{Oqmi@pq*ji)~Zk+Dlo^I$?L7r^_VXzrSrr_0Qnq^qitv30|*q-WWJFS*K z@rJZBG@#an^f+RK6fqda$MXO>>jE)@rC+H9DS2~S<2@GOme>IYFnJ_6bYc$OwQUI`g02Ioql3_X-mgyC5pZZ&)>5@@-5FxFZ^9MzNR}@<+F|vq;3?>{D*W zA|H%F3wL$X9sd8`Z?C_#=UeGSqjUKSc9n_MhktTsIqOzqb6VZP7Y9v4S6R>w@N8?+ zbTB|dpJOBD?8EA^AULF?t-9gRakxFYUfCVO$yo$mS&?sxy!fLO$^+f61=aGIH8jM6 zv|P&&42)d4SSR7V>(HE__-5ANK+l|w0_MfN>p?6Dcgvf}KzuaZZzd=Jgu47A%ng7Dt3)eKkaTR|C`?{6CECiXi$N8xfroQ z0Ie~K@~7HFvDax3U@$(Kd}|%}GUuRZbC>g}zQY@;-sgZ(M6&y^)Tu8)NYwS%ATQ)E zNDCbI!DlWhTJgoi1b+8t5ji8$lN6B*`)niB4AvMsdh4Y9LD}z*Hld(=X+L^Y<3O44 zG|Px^de`?Sg4$7kny&@do?Gdzc`NdzE?9_OlR^lB@VT|(${oW<$B2yRg?3TRsQ~Mw z?iSC}(i)s`(D0Ac>T|o`vui@D=ER>7>m7__r3b?2aQ(eS`1NVY{{ZViib=;nHTO<^ z&(rX$0$f1DM;GiH*%8s-E98$u?~^OVIA(HwbwVVoDoSzU~mEI*mG`b@Xz@o^?U zoS^(uteOrm8%x#xVC*c;&0g@1a^sZ>VFm8nQ> zQbEP~dXe7~qvoQv#L%JDO!cVKj=+q12l1gulhJzJyJo9>U=pa~yMN`*7)Y9mWFO$s z$;@5B^5rVH7tHy0JYqtZd>0@s=B&E0?87=WZTsB^lo^m;km-8|@Bh+|gp-Lz4hgB3 zM{eHEQ5XSY))((Ss$&{ecmzUKDW?!qItW@y+=zVu(%YwOTf|iY)*rOc zNga$F>w*9YOs!iwD(v|WQ@PUL+{_!hS92v)&<=4rULO>-oHQh)t4jhbL&PoG@P!#_ zMA!l;g*sM>`o;RFqyF+i#lCb_;Tzdm-Q26<;+sz7>N#NUb?H_>Y$wJ@MEj0FGpMV>~}<3;CqIr29Q zxcD=x4m4QREd09Dd&uKe3rmJ6+`eA~9zEmr`3`A~2Z}!IQsJvCH<$MG8&mqi%;DRC zRF+E+S+Y9ZrTx-~I^kvxRO;eXs~F%u>;%udZoJ^@7<_A|gs1*q#>n_IV9H6ON)kNR z!*E|S1e{l~GFNzUiSvDTll`*Uv{2LAH}j+X4$D=8m&$h3ny$Lw%-=dJE%wCA$D>mQ zL;5{<3A@_rSeCFeUYDn&m z&nG^47>p`rR&d1$=qbn}lM!Z}Wd99V+uSagZNFsAVGU%=ow2-w2o0w&HJk{Dl9>8I zZ3}Z{bS>`APMnzs^H`<7*GjPU>+l?YX1N zyBl-9VRJqc8+>wp7qZJS1O-r50BE6=IIz{{WU1rK-{?QjLA5PeN0hLWm`2*(-GilN zc;nGRpEyLNWQ1c2aL%Y}3TG2C_Dl1#t>$ol?J~A;!kQyY1=?`?`gA?25H;lXCbq3j zoGVA(M~(O;+VVFLRS9%_a4us8KQ$HYZj-CMX8{FJB%?bAgl*?*^&@^YJR8oE`{6S-u7M1WrQ_N%}Rg4JiO3J$x=EgC!8-KU4AASlcbb40eKCc+eI%N>Xm=51KdZw zO%VudJbgZnBnM}8&%f2+WpO|{HdftDv3!P0F1Rg`XHsXRrC2E!3nRqcA*Bx&i%t!~ z!j@bcSkxo{j{fo`B-EdQ51XkZFtq_JhLudxMHK&w0elfO%<#ei5lq6L5dw$06vO24 zpvUT7#Z^b{g~eCW+me+Pft;{*2EYGU<$765b_Y<6AI%mf;JX&PgI%#Z-#ozzd-+P% z9kw!dRq`mXkU2c^DF6xkv&R56=ygWDhTq87gsPJgyJrpsbnTky)=QMSz4HwredEU5 zbEIdjm3fN<_ODz$ewwh#)-2#V8{=@) z%Uw$O8z8c3Q=e5cU?GdtPnxrW^}y^dfJXD>DaQW(RKKW{PLZ zj&Ct+qb3=?VqNR~vHY1|LLR~U^cv$if50i*suYFN@NO>}*o`?rKN(cqM?*2^f*|YK z!nSi%^hS9y`^dgN_^mQ;jC%(sG+e{>8~0n3m%Pc!Xv{IE^!k-%RDNj?DKJ}lwy%qU zXv0v1Ilpf^N|{e|)^JQhzi~pV97&QVw$gyYb;e%6Zai#%7T$G85?t!Pjh)}^v(Ph? zFf~>iw@DHz$S;7B#`Hg~eN|O#_~Tuq&OVktu@s4GxGH6A58$Pmdhw)V%0% z-mg^g;5EZZy{pu+S+J2aL6N&Q9>+8$*Vab*=arBUTORR4yAW>a*-TE!+SXB(lxCn5 zDZTo5(nMI?>!-$o(;#ej?u?GL6*G?~Z?eL3bTfusw%onwQ1W9ixmX(U^f{Ss{~3xX z*F4s5g-&xtk!a0>v*kI8beDQvkqV(M%;Oq)S9Sk>X z_~};G;2do65$QJztAu(>!bTwT!mNJ9y(cpj=w`Cz1?z$=0-tsUQAd$f!}8>Qd|1D?J9?+l$YO^6iF zUVt8~pi44+4ssn5kkTcpLD8IFCH70>7&M^y)IJGvY;tnAW=_!>DHRgY&h!DDi7jtk z>;Cy@)9hegNT88;V`9SAw|DUS!U|tbZcK@V-sg!zPtzklpVChbFf5x|=_3!v=cZs&gX|3;K; zr2e=LWr^Esd!Fr2_N$6|#d%ad3ex8U+!+yv7~H4gqGj0(6xLW?v5T;kMfAtopJK^)y*0 zL%!x2NhkWL$!6BNw+;xD{$CQHg^YAYz|eDU2p{zI@{0Y!w)_P@o4A?#XLoMrB|z03G?{4_t_NAJgN2gKX+dYi z6ETej5&pyr-~P!1Sc`karSP|N#L4`dNXMka%aM0NNmcwZBj#c`N`VkcHwguqa!oK+ ziX2YRQ$tC1zb-`z@P!d+DAJh;2 zvsDE^c4h)!9};&x2FviQgZ*Q4aV_?0er$k|A^~%xNAgBs)vUqSUc1k{ebXj!G-FoBh2AXrsq+~} zFc#RnmiP+G?A?c#(txRDHzkB=Sm`_+XdYxQdjrDv z|HsxlaA(?eS);LSCl%YaZQH5Xw(X>1qheHSR&3k0&F_A?&lug$+vgu#``Sx$&b8Nq z7bnPwdG_!=WM{JZ*8E6@`*WR@M~X#-*u3(s)=s9E@)y9qJP1PCRtBRriT@*^eP^N_zmgsx1HrNun*k| z9oN=V^oP1Pmy5gT^7#Y^fD#TqBYxhk6i_y&)0l^k$pl0V z%355a;@xhn#^Ut?`S@W8q$pfQY2>XduFlJOrSY&Ut1jCK>E)eZJEO@FXH}O zi8EC(eMR!wZ!_v*HyTQ6JmF`mAaA&z*2>1|{0wVMY$PIOL~{u(0pJ{b6(RV?6Wqgc zWLlyGW3zZY?3JN!wEUVzqGxPR2JIf^GA{1H{@`Hr7h?GF%($R>d3kYjaqTu-uD5V- za0vK+b*hu{+K5L=667?OjC!MI?umc7>x8@8f24~KTY8b6NyfT_A3WkOU*Pr3#{x-I zOx8pKUB!ACgsq^oLJH?~kp%+TS_B<0TuKeTuLUQq6qQ z3#k%lC{4U=yT_-zRrk|kz+=MEgFaH-cvGj>IO7CtjL!1T|r3)38(w?qCIz>pWGJGA$wYC zO%*&~@`BR>2I`+>kX1wN78=Yiy#zJMX06${v0&2O=-@K{g{e;K^AQGY(d;PoVh zVLlS!mR0GOIad63>0SLMv5>S)2D>iw8d;nSHs*7u%Ux!=v_1~SF>B4{+?XPi#dKcT zU-!3ptQyCwFU~gyWV01!F9=R2DD(19S3?a=6i?)}Rp;>TQ?b%FQYCDj*759k*dgR% zNknAi>mlae)x}O6JQm9gG8N z%VCH7lRameR8xuGx_uu4pZ+G$tBR7t%be|UT^%iVR}a3uwhJxMH-fO^@O$m%##gWq z0?~Ue(NNTsF9>3%r;coQN}NpvQ%kDx5IIzoCH{F|PoxCr7f>1a5c@qtkN=opfdNCU z{VL@OM;%6+dlUlky!X2;DKHQ&>Z8G=6UjNdW(gDAiC6nQ~^=8HlnM+Qxm`wV`@|wWJhBrML-~52O4jB!WFAVQvb^t zBQ=sSZeCqU{KNBv{pI{=?NBnsZu3Q=pkf#eG|b7(U6I?=(lQ)wbqTVhfruzoOf(98 z&jO=q*@Plai$Y&|mn`bBez&ib)omXOLZlSelNzbL{yL+?YdVN+E7iCMxPg@8wY4~s z=mdS>s%^SXoWG8)cmUDVuR**or-%kvnp;3lEv_?NlD{lxeQF1uoO{fq9T+Rd5q6PN zB1hyj^TuU6BvFjIIrOe%kb1kG4I}!AO*&RsYimIb9uXMxyufw1V#e@m%G_$|wn*(n z78H`*8{M9YSIJWr!3*z5=KTw2M3247mRgqv+-0j zG9}!iYSO|a(If6GFFkgc0b-@Eqd3Qt>-LW|bxy>%$c~KhJi!mTERY{ck<%Vt<~e)# z`E*kH1ped%aij_#woNEuQ-gv2B~2nDIw}~|A+KkONaB6w?OqB$ujqn@tox{DC<8Wf zm7R8EF{$T%$?QIv_2DHK%iM40rDOP6^ncodwn~nJQ!K>=DT}}B6HlYL?4bAWCa0Eo z&fVnaD7U?Qa6^3K0O|#?e@O6JH?Di?Hu2p14#+rp4qCVw=Vol-6;cm*epvH^H{{zQ z-++W+Z`O-mm6N7A(MTq?{EdrDv8LbPFG`-a+a|MRUs2JnskuB@1ysKLpM?~cJtXP- zpiOo-3)((jzJm1t42d_Nxgb0P^E0L=Uja|&v|obxKp$H++M8i2>IMPhx#w1bEB<;^MxAEMguxWkm4N(;ZDCairpJ-)|9odP~q@T3$l}i?*ZGy$v zR-=n|n%Q3h-pjz4VpD^Fv0@KXPHuJCT{*;0HR0wAD>oNi&s+TH=UR0+Fw{>XNWdLs zP{eLjPax{WD~f`>5J?Q9AoLek534zP&)=~3mX*N4+NyyB@822%NytlFFtax5>@uu|Od?-5SFN})gz z5tG8)L=YX&Aq3|5wu#35Kb#J*7@G|b6YzbN>ItoH@Kn5p&bBGBw9v?Zkz4vmVQD1E z9%)?0nPz1ZwJ8xDC{C_1I@!iWhS$gONrJ$*d}DrTmvoinQ+%*A9WUM6&vkNQWJhb` zV;SUwY6Ud!ibGAUznZ0=l3Wk~{ppReK(^2US;Ght@F#zAMZay#Knh5b@O-=Z4KHa} zodhiYK-e{2!*O8sqFscSCO8H0&LM{>g5U~i|J?!d=0;E;11Y`$LAk_7@xoAX%`#nq zE?C`XGxb4eOCpoBA77|IF*}S(in<@U)t=aY)1{l*k;K^pk1>;CcQ~)ib(YeI)yrTk ze`(!I?P7wTD0B!#X2XF@btjONdnww&!PuChaLA4e?iKd-+vEF-FU@_dQX}ILm<$&j znF?wwMwUk#Eq~PD{Npvr0s}BbvTV)z>4RO2)tcTy2d&EMJQ=L0@-NxrW^CWA_BwO2 z;fl@Z4Y2Jada4$+wr3cD2N0L{Y@qQ6z1@=6Cpa*vi0-urf{t{M3aYiYz!oWV>Boh( zAT_s|-oYgAr+zpo73Gy^W{YjV%HWktnPyU46)CrevLih-o3=lfdvlx`klQmAivLvS zj3$P*PuXLM^%5?9i>7zMYUaJiy460qZa;M-oOs}p0^}$h{QbVSi6K|Jauhgo7dhCs zzCGdPkZl@km3)z#OmD_%@`J|Nof7Zm$sX5Fb^69Kw+!@H>S`NZy8?9C%HDl*xxp^E zfk){9ev}Z{(zJJ}{*L$-f0BkI=D!hNMRjFqBt4dVE>uCMONT*!NGA+vE+f}KwkcCe z@IcCd6`Mv9^mI*4AS9TQSZbaWN=)E0-H;d}66Ge8)XTwICP$KK4r1KmA$3zdzBxx+ z`m7f#*nUo6=}Ab$;aOT*j!FmBJu6j7N-;i#3`I~V4XcE-M*L0@-8ZwET%!u-aFPKg z{o&%Mq7ZOyy)N1wKR{)ur=#;G;C+?&6sYtQC8a|n`*bu}6j#~B0=ZLirLXrs$#3vy z^pC&7C_=~Cj@Un^M45jlo!w>-e(5@<4+mPfyR-lvA`={*fh>OY?B>Gcw)t z?6mKdnVyB-VoCZqE})54?5$_9OLjUi^pwL&gz1CHm=fz3h1VWG#nT7 z0_@T}j_|yhVdp(AbB)lHSen}Jh7#ZVyo)Ri1NEMd80n8Dcle3>NKJgKo+Nq~I^ZRejd<;k-Bz%){i37?W00B7olqF`v(<*uqgi^r zb~H-G0Fp@d0c$_MU4?#-SILSACyi~jJm8Z%-FF{Bxm;P&vow)3?B8nXMYSsVM{sXc zI-yC1Z0j=artIQLdzPeRH&;xXZxm_P-fr8ght)7Fsx!e#3CQ(2lsMX{gR*%oJ_eX; zzgnySZe{M)Jd#y>W|-#fJ^-Dpq%{JO5Z!7MxCZ1niYcuHXq-h zd8W3jrTCncRW%iCjmIwZv9b%fyq!?}gS0^IuSk6e_F7Fx#_Ksa=9(I?J^S`|m{`B1 zHKikY&(#q{6)OFIO?(esaz_49p)Vx1$gkYXJ2D9U%#j?JvLZrx%b5Z4unOzT7n?Md zAR9xG;rf>zcUezP6|@X;CcOqm<97+rq=gljqRQCz35(ak4u7E)@?J^DJE4rv6Tg{H zhW6tYcXP&)$odc)Bu#3>kdK_Ikp=%Z*38PZh|^zTntD31SJ;Pbay3kHplZyGp&^L0 z=?oS~dp%vKdDBG1r-X^FjZH5o~nDN-e< zoG(F?%4>$W();o4bCOQX2vQ?(OacS*ZT~9RNYY&zscnG<<4H0Vd=zVjBQypn&5{de zlHC)kh>T%@NH7!lg0HT>r||bl%mO7-u5GX;os0=e`Q_=MfU#1&H+^nGk;vFvGi2h~ zhm0ZO>4aPt_?qE9Ze`pV(T#Yw=!s-QPkoVBwaUthP8X}IdzRsC9zUs9Z;Ae9lJ|)R z*2G|Ge|SXPU}*rsJgoaGoF`CXuECD$m4f*mPX8pny^VPAFj&8b6D6O8(b3WAX$G=TDsA{-1G<*WwHuauu_Q*3 zJW__5-0ow3sUQ7VA*6;Tr5)?GB5u#VciX*~kYVNGpTfN2yP4DSQ;7;FSG-<-6j}4m zRvkuch45GN+pGiqmDR!&_OTZYs806>Bnb%-P1&OwHET&rAf- z!)9u9J-s*WPcNyBj~kBH{QxY?nTchfQ_Tpegr5Zc!DE9wcj_isTm}&c`54z!qxWRr zx1IJ$9?Cb+!yM)v@F$+ET=U=?2e){gAQ75+*Xd zUv0`v1y@RzV)H||P|Z>+&hetw)=~BczsgAd^Xxau`6e~K)drA*{M%f|G0+1VlzZHS zc*Yi!PV;KZoJELyZlJB2FPiap7MAj3F)_h!@jl3qyGK{BGY&sPd1fXc691m+s_jNn zc9@87*+s@#RBq*vc@isVeF;KWKT`+`ZP#6Fdg;&vSlnGNSGjkgwwt07@7K0rcY!Z2 zy?yT#AnlC-rVvfO1D+LBx`qxhT=2uDJE-EzKR8}?Vf^d)&+2;{(AUkHlmTwvun)s1 zghYt9RUKYwA8xi8&9_uag*Y(DaiJil*1R|GW>Q3@GZtzAS6a=&H{g6uH&|NLlo|3; z-IeB1#HG-HYDtW|6-d)0$v1ecsN+0?V*4z}fLYsde|?5T$-_JM(AXhJl|vt%`w}Kk z-#dUFNbj_nkIqsSGfHMI8oGC2K@3}}Fh23wFiAkVO%(Loc=O_DzJ>pA#N6o8E0cfv zWO)mhx$SK^m=v)*6)CZ~`I!wK?mjd1N|%qw8DgJ9rw>s}t)$>gS&wNdBoElv!~h&6(8Pwut^LaI4Awvq^(* z{ZN7|NdjrZ`TZ~x1~NFjlWj`HT}`-5-zz=BWeShzdk{`B9B>@MPi8@l$`b`Y7l=(3 zoKn_b>F82x_}$R+TLp&v&y==_y#upnV^Bw;q$nz975NXp3-}3HD1Qsv(>-c}WcNoh z18MN5i5{y8Ml%Ctb$o=yx+G>x3x=pN#WV#>)HxDp#$Ds#7TXjFhN3!_J&nO?YBi5S zAm(@#)^=xShH|1@s}aT}pkqLB+>+>I50kax5u(FbRLu|L3lQ9$y&;OX{lvY#y+>n) zhp=}fNbCLc9dq@Rib!5UKPJ(C<3w9tq>>Rb`XI$SX7cMawjXLoX@stHjSQi#V6Bll zAH(K>iUEC1@i~he@h^QX%baL9FV_$6<-jR-J4?JMaf{c*mV|XT568z_5K0N^w~m{0Pj#5 zx(K~f9K0aL<0f?OGc$qh)Dqqd4}S3Ab#U=#Y$^n);IS*v;V?#sw@ydF$=`_}CK2Jj zkid8EOUQ61R=&{W3C>s3Zu^6zX!~0p$HT`~HDJPS$OgV75w)OHm2BnLOf5io- zGMWPYb0slbvr-I7%mokYg`sxx3rVJ`bAD6XrG;D2ERllPDNYW_pwL zpMeErEiJ^ZA=E!%*rT-)(NAqKC-ZI4-foPH$Hi8v|MB;f_pp-|eWG7wrBBA5Ugw~v zZqRs&!+m-zNStDoli3BS@~uS@;~ zlg_U`K)bkJO0>tK9)wF7V+~M36Tqo19gOcN z>qWtOpIquhmsbwV*R-a#2as*nCbRH=SS=nmn#fQw&2p`&Ty*M*{$Mqdn z-AB<32TlO4Ff(nN$5U*;1>bOo2RW1}L!y+xWnw}p_n08PyB!f?K6Uu%UmOU9?^}7V zMw16Px8PJeFs@hm-H(p2{US(-CgJN1_or&On@ZJw-VK&Ap3fQ$8oEWWGU?%7uuC7>6ADiP!UtZ1Wf^X+2l^Hf$XiOWNxOuSZ}9 zT0xj#V{1kG7>hpXbH(DwfFYbS8CuSjAD1r^T*K6?);(731C0)HXhA|QPK+L(A<9p{ z0%!q#4v@@Gbj+ORP%PI+xcuooXY-V^>{@}+8PewNMYCJU(gJ{@)$ICpTMli9Z!(jY zpSaaGFBy^{ge#OIgBv;Yox&EQk4S$qY{36?C?q0wJG0 zgoY$fcau{Dkq1PK+a-QZC6pYYNes&Bl;HqzV8Q(ie)~3Xnd7l?q>+lR@}rdjFS%DA zyQ!9F*qOz_+vU`Ybv|w#cEitXdOH5ul#ePF##f?*p}ffA2E@Yh?bB!0t^)Obxv*5@ znpe8vtN3SlMJ_m11ps#0-dYoxppqiqMFju%xg`j;MO6Vw7OT#`@Am?*)ij6c&uG-?UFTzx z!j+YxD|~-$d@2?{n5qYO_UBYVali??^-56X^OX5+gx27iDo@Tm(ayXD&J zFQBV4;zIgYNs`uRNW~la>+Cv#jV;dn^?xt{eN|x?m7pfgVSekFfw~4HX&M&G&ZdM_ ze2fYGF(8jdwTe=#qkyvXuWq=wXN;G#Dc(Q8YH+?j2$s%(O?LOY_zbBizkbh#SnJT6 zgU)N%nGMpY%GpMi8h(3^UT^-jE>tWjZz8=cPy=}P7b`?Qpl| zC&aYr6&t{%Pl=HQty#?N!%?*MeU9?O5eR*bQz6TiID%nFF9-tI$EAMN^?65JvCn~r zU8JZBEv_cXxiA{|;{1PahRcZ=~ zR?2sUca3tfE(--F>Ug6!(5UnpIDc{ehSgktT3HyAAlDf1JS!QDxmJv0H#P=@p>(N( zeWqlG18l`iN;dT49Gh#bjV&gqFSmi~)ou)4@nkLUn2rvafU>thBi5GbV_!@T`E}1= z0-M=OJz0uU$s)tmKW_qTnN`2jy!J8SD<+9RV^$OjL5#$Vf+$`m%8D?7F`x2i${Tj( zhY94ZPb@$z>g3sGUJ*W+;Qg**TR@+;C($UhE;uyt_i@75U`UTuq4JHwJox&syLeSI zes2oVOQ?dB!Op|0!G58&CCGGpufeEJd8b)v|xEhz+^x^h> zEk%^jpXXh zT?fVJs8zr3@zz_&Ib{_PF?a{l2q0!Qh#BTMG<+BJRoYdMmi;|5Fadu_aCufC2A&Pw z5IPpbM@AG6FYp?Ek$uDVrKsz3aQ>&JKwu+n9;P!Q?+Vj`4O%)}{yxr01AN$Lnpunv zfL6Ve<;k^QitJQb7Qso+LZk;Wp)+*SN>>Li(IB<_X_l^okD=W+*M2d1$OcmgvPj5- z<>soQP(fxCXKFFljuQk7)B}PBNhyIprDY6Uwy2i7Pj(nJVx3NHU@-j2FtTR3NNklo zy*><_Rw`-{=Jz60fM+`}06_Ol$s@xi^4imI z`n*np-NL_dZ zX`m~1Ki2gTs*Xp%Ll-iC%`EBe@ZI!zdo5-w{-m}1S^^bo2b2iOBFtXRzP25E4_b@A zF94HGn}F8@Q)?0$Fb}RkJ>U8nK8db@UTmSz@S!nG;H}YU@pK{25ajc82t8hi$S*pF z@adP~i6znp5W(qr-xy)Kb0tV^Ld;JX;UCMH(mr88V4OPA`^_PL49}fm4s->JJqD6(-fHBr9pew zpI2Sl>Faw(xu#&rhm-cxzDM<7Bf-Z}iiTTo#(141hlU{}@DVhkaTA;2KmT^V`m~C5 zn3ek9P{VSTDmVrsL3pkcuz3)d$~(vD90_u)e##NlJt6W-u&dk*^~*Ss`0YL`AO?9Q z@xF81idt6zP|npaHy3HLY3ReHmT4iF^GQ<=BZ)kN^xSU;BPMstHXzz}{OpY+uOL}b z$_s<6q=ah6Bkm|Bn5c>->ff<_0pfuuoMwM1!Uj9B&C72f;148`oP5b#XKBe+VnMi; z6)T@}QIJF2;|+YK^w{e>4wqv2^{C~z2IdV9!HE4`0-j9lYp@qD>Hg2r5N0EWjO>am z6=l%-Z|LbCeo+=WewvwU+jh>ao~i@DV=(XE;cPD+(-!NBL_;R!5iUuXPis4#S_vRi z6|OPOef%ip%M$Sd8}5h@@jmvJ@g@lSz6@;hZNYu98*Bf)jMAY8q4(?h(HULqTs3X_ zR>J7~w}nE*LW~b(INJQRUpzQ@Rw+d&Je%(XOdiL<7UdRN1=%!)NO1H(`?Q8up6Pn% zN6R7LsiyBe0wY%sQH(XkWvG2mVed4iuknNdwq^m&u$e{0&OT7-orrY2_9KTi30-a< zpL`Xaf2$CzdOTj9Zt3#f2v@!0o^rNh`1iEiEHgSN;42<7H`}rJi^4MyNnbQE!^Zf` z#}@1Ag#I026H7OH(gNGVu@Ztw7c<+NaT!L*Cq9Ktw_sfAdWeEVnXyEjdA_k%FFKux zZI2Rk&`Phfl;hA$XY@QysB2vg*nYh9LlC=s;LqJIPN%CHlN7QM7X{EBO|He#?$fc# zHhE!6#|0Y~<&Qws7i+YmuHJ(Py*~n_41J{T7pN%wa)2pdn2>`w2u&0-GR8K}H6$^! za|OJu;3Uda2PXeSD2l?qBd+w3@R)AeSkB|!*NIVhbWKR(zhU5=XCpJ9%`Ei;3IY)S zGmpQezV7+6bD!p%T?YD)5#1l5*A~Q*^a%!baY?=vfI7n6BpEt){j;8Q@AP9xbJ9Wn z?F1X1HN>jUt6V4-POZ-IU5GV*CZEx0Wecx64MtVgyxvWWz~=rsWUk|*b)G%$*1dd2 z>~(=TM6trWsPDr9H{!M*DNOTx>8Vm{t5Y7sNQ!v_c%TOlj+{_3>{8(bDF#fp>2okG zAaq$iV%}1HZjz#zO>FsdH7i?%rB{K;%lu90llH(DHZ`#(+h{6zmD6tPy2q9f#m(MGPq> zdeD;E_3!SiSov_tO;f)3&iS9d-l^yn7n+4S)dZ+EDtdv{EP?K1`da^T5<`(U6V@&Q zVg~t6{t3AkNQrN3%EIIVH1c=1zH^DaJ4u*AyG!gOV?lYaPUE%UKXV*Q8*N5hM=Jtt zHRSkN@@3%~V=Y8RJx^i86u~RZ{n5&=50?PJZm!=!1^$zlD^5002ky`myRM9XA|JNz z(E>W*X7?JMGINy-DYZ#ZQg|+FBn+r0pZinlsJffH69?|^%LNRTvSC6R#fAPJnpbn^ z+8raU0N!7jm+G+dT)S*L_Y7}AdaOIH#~wX>8Jq40NWQ)DK@_aSP=%$k3}Tvujz^$S zn5*75RC>F50)}H`?$XIGYp@S{>Ux+uiFJq2)DG{$g8TCbr@3xu50JRP4-yDp^R4s~ zW&ObXw}t6?L{#WoU}eNbw}6KyNwvK~B)zr^xI$XcL^3*CmH5eVpYwx*f8Ag11%X{- z*CNDvIvTFz*z$$uMT*Y!9EBlzhMMb(I1pdJR+83ZgVVLD?!%JIHO5M+b~m=xPh+Z@ zFNy{H{0+qJN`LbelPZ?>vxo>@xqa+_M%E1HwuL2LFwQVnmG4)kDjoohfG5B+ z2l2$q0#GuMEJ{X9P`obnZ8(amF{l%Dh28#Z%`rS;m)eo%ax?KWauiT z#K~3rIEk}ex1|Djq`Xf9x@M)Ykg$**uh8$DKZx?GWB|eqegs4g~-^6N| z<2v`(P~1O5`3a3JDc>wamqdK?jqtmjklvk&pWd&OMe9R2wx)o8Gyn0u72s@+Sx=vX z7CJ9J>j%sKkK(onvdFLse4+xxt!c#Rh|E>0AG^_1sQa1bG><%xDJnho8i|O|a3o8TNjv$zFkO za{Lb6)IN&x)@bhUwrue}hJ+}Q_;lkLs1xn(q7BFW6?x;gIA?hpj~uslv)k=)A_XZg zCgA^LY&xc6GFSmm30)K)bMdrBQvy&haOBX(&d0jmv72UHG3@gi6!HxCe1y77onX63@@7zX6Z( z7GBlTDz>Z%mT#JhG(Cp{9*-1Nm<((@pH0wRv?WM<^5d3~SZ+N+^icBsX`idz4e~ol z;Y67r8(IwTo>u52I*$>ME|LlqMsGZLybgnmd6oK^9!^Q$F2tHS<7FJU_{Sn@8t~SV z`&1^O_LtXEfwD4mNE2^eh^Yl;@$)yzK2`~At^@f!8`ujp)Y1$}&@hUKyf3RwvMl)y z7~7#u8;sM^L77JdOD52*tItp3;r_)P0cvz*XqNWY-MmGBL+$W5&Dc)4l*)GgqaHZ@ zjbOC}Y4z7_vNoot)v}^~V+AS{* z1I#f&6rrfsR@M@&{|;CMD@Jmjomh!$Zt6K!7tnyP`;>MWKfC2{@P=c{xm!3VbN}Rs zG*m7~y17-wT4ZQV>EspU#xL?tJVL*<$4lRLsA~$CGPXu+&wPk-HZm1U}{-uMNs&SB>AT}R!>%o4`~Xboc#P6Cog-SFt2pBs@1m=`}k zg>c^ZZeqJh-*Asgfm7{bj^Ro$O@u7gt0c$|8ILj7teBWbo_BA(z4-W&~YtA_Caws zm}R2E+N2fy5C7Y=bJ+KAVI2ZeUPM+7B;X!qT(t8nrDz8uWkyk2!i*|)GnURJGQS#mR}ghsB{Hu)I|w`5RpHk|!~Zg6s{_(L<@T$=*(Asb4G5Ja zF=|*Aa3TNDuKJ`L%`U#JmDA2?Kae3M%5*n6AMie}UItoKR8sPzuoRu6Ih$0W#euf~ z;r|&H4Z)poTsx2FR}l(shzeEXY%Z&?P&aoay^$ zU=oy75s=17GPpqapU=H8dG>)^Ck-8?hEWP6mv~qlnCm0 zUWUp&Kwja$uacjxaRlVZ?9QL+!|Q*OsXsWX(yt*wm5`$(16<9SR{%aB*aUXUM0Rv7-T11ujcx`p}}P=s!-ZD59w=8~7DG zW4j+^J$o7oJ0;eYPyw18;M9oxANK+95B<84V-oIX?40i?deUUgA)$rcI22W60FJv2 zw9cC0IE=(nZQZY;YTEsblq#wOIj|dzRw-86;jSot)|JtvaBv+DP@}7OeUR;B)t;*}8va44jN25NrYO`+*t(D;G@EK1(a zFR@LPj!b?iw^Dvmgx5FuW*!`RUZo zr1^ks*|uf-h*(=Zhg_cLG>QWUz(ZpNDp~Pr#)R$_jsDsf{!64_5Fk%m=n#Nxxh76Z zEwR=Z$ax|;Z@@aG!51KK60U8O4I?zZ*d2x;L_x{~GiROq9fniYCB5I!*PHEN9{w%0b6zMb+&1^=F97}AzObytgj|XVLiod%dbDvh;^T*@XZP^X%JC&oAt3$p; z{h-!cy37M1SW}tgJG?N5$ih;g4TfmXI#7A9&3MuS#* zvTc^d*}(g@Xk=c4e`W(|?|#D4bYQ9fAsR|)VaoQL^NX`Lm}1D*R5e3FgQ#3B3>lZL z5&kpGuA?3eilvlUT}^7-K(qv#Smu*fKIs%&0)#_^vTpWc$klrs<|uCtj%9*T1j~aj z!VQr+`OrIAAx%R|p%w~2Z;<)#K?7|&BP-CnR0IJU4SkSi-tXtXlY>)JGC<9hUkV(T zIb04F%Xcl5TGwwlB!&Q*VU)_m=qR9b%*)-!_@NG(florf9h(>+b9kWpK*@A)Gm85r z@d2tKT>|tIBgRou5ng`eX(jZEVt#b))vIn2sRT##6Z@PX0-iOUZ{gPlk$Ex_zI3m2 z$GcIV?GZA}Z9r>P$DP;4S+7U-MKG#ke+Kw*;Yxcl{#^GTS*#>onEz(X{?R<{Zf}UW znp`nz3~ZDuG!h2!=|))IT7Gz-?lp*XG7=Z~7xnT4`V6(l&iIB95I+QXeL)M3r1hB< zv&)ah28{%a2WENSSOHcnc0t-->!9bCEHq}PYjJ5bf5lp1fw>NGv<~nbkACreGoJzh3p{w7$GD&T zbBo180IfRON|d4yx}xkj^ywVaz~o)9m(U!OeIm~a7OkvqVOgYg{l|}p`wY}0?geMz zHb5rX4`I35_uCdI3{mO%@H*KnyN0p+H(*bU53f~9$VKGdEAJi;rvMgfD_F;sjN%PY z+(E)+sf^Esv#4MPLvqe~3fIc*FI40o{QzXI-fNSy-?F!w1 z#k!Fe_A1^t)Y9F8sm|hrs8Zv)!+^QL7$)%>N5+`2pq>W{*-T%W=?Dz@&_2*zUmE7W z*tcv*r-p zpIOmZy}Gmkiwm4sa;B#m!|N_ZR`n`0@4g^5VrnQiFrljapcQmnb|hReB=o@7pGRPb ztsObG?&F@{+fg0PEZ?3yJRR>_7ZcvzB| zIhyPQWwddLXygyCN8GP)GNAcGiFxNAcg#$(?TPUpR7ssKbit7ddDew%wO>22&pL?|(vOsO}Cwn3L5>@jyLF!JUbmovV3d=aH=QymH&@NGPOZ;TX!5GBF@!(Ud z2mq^z`9}gl1=Nt_01^8o>EN<1N?wJEg`F-FQI){@{4X*LOBa2tH4`fO(w}~dQ}bWR zF3({?F+sj2V?}=^;gaqkL@t+S-;>XV_xWn1g~qco3J#;^>$cZeX&J>uxU)|DiNzkZ zR|xM|RW1pePoWgiFx&PoDU;Mgmk_>yxEzn#U5Z8)Eh@6GNelYxS5wlbHdb`k;Fjgb9 z_5%78ZfG-SvSB={ezE~R>|~Ao-{%8M;4LsQn_K!_7KSdqZvPu`%2Fq}bM$TE*x{Wd zTuE@dfNt5HDo~j$J$g|8J@~KstL5h09E{1)QSp9Pq-BQ6=?KrUAZc_8X6}b8A!@tC z-Irl8XdkK1(|M}A`AwKR>qOoH zXTothdKQ=EIRDE=_8A5xV?Yd>(?+`Bnr8v?_Dp9ZoM`zKG9oT6G=@%r)}11ID9ss@ zB%l8)8R|8;hcK>y<9+s14&s-bDGP?|{50QnUYc~V3v0H2Rhx+5x*FzMZC9Do{`M$W z@qMcGru)X#zLxH#-N)jPvkr3+%0S?+VdG02hp1J^_WWpX3{uKDttd}q{MN$BdChABO~>>Qc_aVr?m zxiAC`9WNNI`NSXZ->UofM0K& zMzbl$j1AsyREBIXzB+*B4*jn9xNQQoIq_rLE?SyWIy2%2?M|l-4=5PdhrBu7ZP@3b z#fz)FHW=TcdgL274ZNaa*@(8-@9$C{Za(+D=L!NP7@QSJ9hs+4Kn42mukQBTPC}f) zcZe+r?+IffQ+WH}Bg+u3!Yc}>Wv85@T;XZY-tK{S$TbXKs<1tu5U)r($xU2*ftn(< zC0`(D#W7D;2PfA6vw@GLh8K|${J!?kWI|zfHmKsX|K$|N9j7+g-7V5s1ZI#}nEBj% zpRIUYsN$V{Z!8KUfBMO%dd00nS`B1MQe?PwN;#Ym68k(8S31H5bLk(9Fpv-5P2hQl zwMD6k@*sHX!8Qk!aQlZyU&cKsN3Hib;$%)e?0O zV_lYf8f8;1QZ{Jv12Lu|38Vh?T7TVMa!+Q`;vekrzr&@(wEdPv$Qn0zw&#PZc*O5> zx$+TsBeacD)H#w<8f09)>k~z{iriR`kwyG3iH%vo#ZyI3YSnBw0l5&uVPPei0Qz<^ zBjDrH34#0i(EZaR1Yo(eH#Yr)dBd&Q%x1`ec^Jc=manV_itCs>#R_m+nJPpQ%j!>l z6~914*ac)}^&;wq#TgHF$IAtMgb}m(#Fst@V1ORV8fN@8I5qA^p=+ho;&c}8nrmWP zP}yApZW}jQ=ILSe2#QL5NifCm0qI9i3)7A0uh6Sue&UXFG9yo3hkObI&UtUDJ=9Sy zp>#$Y-OyKbdM}6T0Vy{hL+MVdaLDj%HXSxTMG%!5wlea6{t1-Sz}9@UHmB=IC`YGA z5elpzr*J$N`U1=+F1Xo=`@`7+z9;@!Tqm?3?3Z*2fHkF4pqt|D?^Wv%&r{yB3}tk7 z@)Gsq#|W11mMG;Fvr>-N>j7q!Sr}oQ!K=8;g87$$7CpBFXc7c;qp2{HUZMbKXM%~%8ja?HVtRUlNmzPdjhkQ*2^7-e(T)(<) zOwnkqt<{tG<#1>>kLhL^8&rFh$>t007aB!GpOa5xhkS#NPoc@eg%h*uQ zro!HwN4G2H3kDQtLHIX$+QpHZ6!Zpx5M;}O712yyWlMYOW70ls+VCN+1B7&pdNJzZ zU4Wx)8BHlxNzXh?5cSgpgfp4`>5EDHr!NLjNBk4p5E+t23=y+?_A_Bm^D?%HSkHPv zvm^YCjj$9`J2k1-@Xr2Rp={+Zdlg=OqhNuH(>l)96g41`&OjrwsUHE~gtKqV{ z(4qNTVF=$|7_&%cy)JttALau}?v_KcqG|y#1a0&`7-z5AY(Z;X#JxjT-`@US&CM2z zt0R;z+aY{FwspN7v@}IoH049pXeZsk@S7u!0?AW~pA_f82z|E|)j^L!K=(tUjO6ds zmuSlT%nbX7tk@pefo>i#wI@6cTN$sh)2nP;9XrbnF2^7JC8fuoP8$`e>t*#x3&vpo z^h*8jZ1p#~SWfDMPvNg=0XA-26Mf(0e#0up4o^=^ZIzBDM1%MiZuRQmOql%=#l)9X*<8pckeUqW zO3S?B#>^YFJzlH`d|J-nl2F=)VCtUV9ZRDq7=XhfnYS_|d#d-X1Vmkp>@|J8Tkn%Q zw;yq~H%2>qKQ-IXN!FX&e18}uSLJ~@z{qbm*g=4XCzy4H_16JgfHX#792zJe{TF4?+q*I>b=2_D?tArRc%T>}Jn zCpZbN!QI^*65QRL;O^ha%-rXj``%yByX)*-wW?~>DquYcmq_KoJn9Xr4qG8Vc2l#c zLnOE!590fk4JQjgrv3Zu{$hs7T1C=uyqfs)pQ|gKL#LajD}$=%sVch@->pib`nkh* zB>erd7IL6}Ecm4(=1``P*x~Dc${%wn;kycxNe=mu4BVWi`h3@*r=j`K0jm44dn_i_ zo9C6}E2l==_n8#7+!YX}@9eSi%=mUx)01qxe6iailbAYZF=csq#43(&Ufnu-#13c^ z_`u1CmSPHHwX6RfFhUEpjBfW@wC9|Gmw>69^bdFd9h>G2yXn>Z5n6nfC+nL#|&i2TAky;OvtcO>-m7Em2d7 z+%AgCs|$^tq%b+E94DFsNbeehs4H?2a{-Fy1PF)|k5Uq|FApYmy_@R7dbyy#XSlLUSFwQh(gi*$u|hxq?UYj$H%&2nhPeMnGQR%pvEOE zd6;rRbxfd5`47+R{}aj~4n-G9SM!Bx?HtwJ0hg#tY3}L^^w^X08(z>XaDAyz_ z!Ept1j`eG*GTI(HQ?KuZfZXP9nki}pGOV2PO^apYOV=x?OtMO6astbxMJW}DC6dAx z{vWB+&~nb8rn)w$Pviqv}I-?~8;R zlzK17JQoG$VIaJzP-uiW9%+AF%s+nfGM#`IwXh5SJWNwp#&;z(h|+z}xCShQr?O)F z>935KOUm|mkatH_ZV_Y}k!0r;xM>BY-K!^lCjawXcZMe}Hj$)uv+fSAu6}(Yo4}Fn z_83Xp2ytbZ{h;4}@=Q*)7nif!Rbr%iSTWO>oL_?9k-%d)KZZ%}9hdNyvMBKiz{dXa zb0go&KA*5tEB3uNJl$`EWBRI7lu;+_j@JDB&-)d7p!%m#Oqw;fO5Xe7hhx9RLmJ1O zDNa4iz8r~AnYze3D3O>${ozU4QND{sP6XXL86JMRF;ChKn9MU0jE45NA1=BkMXcH) z|A;#=ud47iBF|fHWaB|lZnfub9=becFLXhrq3j|0Aw}b-{ZCvlg;!fxmRN1btG2M* zhX?xQMqK6#EvN2IMG_YZGt#T^RerUG@?+LYv26q2Ks#@!8%Gi-ze+$_5F_d7;r`ze zL*s{4lbF@HjQKT(MErSzVeT zt`s?B$KresqqHd?;)0u@L{o%)ke(vHzt5V8;x7GB47P3q!)>+(-1r!V}?uQ2enh?_PXJ_wOKyRDm4}K75TOgYBPY5tGa?F-=UW-utIdy0d z$#;ry*h~O=JLBgF@i1@(p_c09FPmP|2w@tAaoiZNMl1zxwJB^VUT@+7xzkVniQ0^V zTb|R)tZ`E33{BqJZtjyte0>rmbE`9#g;Ne@(pnJ& zaf)4k=#=F)Zuv3-d~}JSC$P`ZUQk8UTtpO4(_tcxG_lb2@O>Q3pMzl+8lPALB$i-X^B z5vbY6Gm)3B6+uOU)7cS=$gMB?mC60%3G{roK&%W_@$yBuku0L?~7h4{<{9>K^xwzPdJ5xQz&N} zy&rNL5q&HX6T~Qp!CUDrlal3)R7mm-AL5Q6rF(J-<7GE&G}@(m!eseIi6D8nL$4Ry z%{N{Lafsy^&{k@l1?PV1y8<+5?JTNqP`N~+m>g@OyjgKI6^uh;iJ`K5421G;?GILO z?J-quy{PSY-p`9+qEySsM;Yx=0rQ0{lmjbE_VBqIR!fRpzz22mNr1Wr#9A48k|jZ` zR}Td?cxoi1`tKT^ZR)B88a_jez&3?+-(^5$w8)5+vUfTXz#wvCurrfqo>UWFQOb$Q zMJv@87Joo0zi(%1X-({Z0P&uZU8nn)ykvhcB>(I#(J6V*x2)`>HKn}K9;xnnmvH1U zo68!iHB}Ci5jmfJS|^qEgG!n~0t6IixYzaR1&7^u>`@a`Eu{+;kKB(ok&oSSV)UL= zdr|}~GJj_K4>n2t`#A>hoWwDrq2@`Ns2 z_|x3=x2tGyj1$H>QVfUWOV%NtTGo`jM*y9e;rTO{l%nb89Jk|ryx#pMGputHvm+?O zG~dAoAG|P>LY#MBHPt|4DYPqf;f1Mjw-v{7=E(dM;-5&K8lyCp${x18rlLhYOZXED z^}(;V`MUM@l<<~Z_7zj<=@?UoWdX}M$GvHwtLo*4cd~=ONDoDFoWoG97H0qc7C$}~ zeV6h&Wo-i>uP0tOz14}RcJh=+Nb;4~43pXgSSVeCE}To;vr^0-lXTxf{RA*E?7JxQ zor<6(#l)B~plB4YLn`ftB|@HUXc{lZRCAt}jn-lKv3&rGlfpjd>#dqkCHtojKbVe8 z7v17jF{2sclDz&DV1CN3y-W}`S|WeRaD@HV#?)%}QRYawW1;J_7kB+No!WaQLQ$h( z0;lV>jG5(Qh9HmAmSf_68u)k4^J|M9o|g5po85Z^u~bWJZK<$)mvXd+ov(C z-aV!dD`0KK#=RURSB9-syPEm@t=8Vb2sN%QWx^HW0p4Y$1ZVAB?xp*<-w7X+d2nJ- z*|L{K*wPTOV&av+?BLx)@)yUrQq#q{d69XZZ*uOJcd_9znbP7ja%Zq!iQw~+0QtQL zkl?i8y_z#6<65PpIf-h1We52m>^OP|1&3 zW8;JTojhYpLrPik1{y@ZY=(_~&LUPu&L~8g zVg^H`*EfDW_eICASX%eK*3vEnNn5}$)mofw^Vyrrv60Zn-wuYb*L<);o7-j14CbI~ zu|)`u@6GO;pskJm)Ne_BfS01!?N{USLM~!??-{jDb^5%N?Su121`}Gn8-YkpEa3ne zd>bJv;cu8@lDi=X8Q?Jo5;SScNfXUDbX`AG#e}+~P6^T@rMtkD!X(~}h4=dc9{#0l zb}8WZH3LZv-sv!ALemh;2QxJKt?)Xp9c26PX-JaTn*gRb=l5j7$w3tFMbGsxf%h$$ zT#DYA=pD!9)`%8NC+?|?;J#fjoynhoUFpc?cn?Qu{2vX;u-1fG2Y>e5a5%}3=f82X zQtfvaotK4IDyB;*Iu4#@At`eI_#<#3<#ZlCO zYCm>NyW(cSz3?m(E`%TBepi3l&m!8cyXWeL-i=-wT?+;ZkgloD>~mZh+}=5RqS#r) z_6_6u-iuZt`SB07DGySDVzxQ8=DrGqV2Afs_#ngcJn!i@B=$flBXr{3ggkxHwhXsH z3|;9^aQu0ZlnTKIj5%#E*(OZ$vRWIHq>F_w<_!n6sK-lTe_o$WFw^DFopsaya-edJ`B{XLt>GsPpU6x~{yYC*>y3 zt^>pB589eP65g6s;#$VuxJOIx?PsdpOhY`lwWUFTm6~dp+G!W&z-dw38uNa|KMbAU zS5JplO|m?2Apm=q1bEtMA_V$&#e&0eDBM2p^J+hp=qL;jrV;uYX|;`CbDb3f7?$`9 zXe+a7cXd%+x)CU5R`)!gl6oA=Ju?Zei%Wod8jTVJcO%|Wpl~Az%V0WpnHn%RDw?8w zF5dfhjewc%^%y{B8#^UjL~kY9#H$mk4dLaFn?JrIcg|HMn#p0sk@1LK+1m$36?lFN zt#OfyKF{#@9z;ICYjPrZgfQMdFzq7P<|blEqw3B%#{QBj{hd5qvllbaT2D`Si(xz+S6M7xE}EJflJ@;(F@4{!PXiRjr(@g zK>V1`dKp(^p+g9DE{UEKDApBiPp7!wuLa>xL%xTE7&gG-o4b~2$|OiscllysHiT2U zXT?v%Q=o-Z^x_@%X0udG5MJ0GssPSl|51`C7(Bqp`ZYH@NZ2~`^Idpm+tDMHO`?%C z)>}U<+gyINjGb{8;axx&eck$?Q!{Nxb6Oi)Jpv3GW#5~DV5lTOa06-4`HKk^ z&XR|-+0qH1?fiiir_a8orWS;t{p@eW+O+~}1#GF1R+v$e6<31za_6@tn*E2Xh!49& zTev($!5(!c{p14txT6{W->E4K1Xbb-tXyW~sufcr2o013_KftOd6^TErg<&ij4DtrO z$HvXQJn3aB6$11IBMx#80tIgxyyGP1nnW7WTVU4Rk8On}#c0PTCgzsjqV6dXv`AM* z6gm{MAzxN*(S#hWkPNwC*KLp05zKrz}(w4LfKY%QGKUlQ@RDx-Dio3*I0QSnl<4J^BpXyGCT0Q%fTNc*r; zu4?^@0Rte<25^>$ub8!ie&yNb=VZ>_y<4?&qAhsN9}NJ1=59Vi4ms{mOG%g}mEboy}o zb)D$BCX#axP89;b0hq zl5lhAWE9VhyzZy0>Cu?${%V_4s<;$u)^0@QDz9ZER-g=g_|U*^s0YQG?xc1?c<%t8wC^hibOVu5u*0|7$nQ|A{f!pt?-V4ynG2}*B4->30%Nz$g+aF|n&blRnA z)6Dg>o+E4d(O+s;Q_WLS*3r+)Ro;R_y2mT=ST9CsonFzoK#86xKqgzXhvX2@DWyjp zTmXadlnDhgQUD012Bsd^rdwZ|>|-wDM-c zm|@YQA`lWB7IWNW7727wi|pK=pNC^LObO+#>y8UK<71(a;KhG9zQ1DYv&0bALeYL$ zQu87&5uyUtr9^Q7a|hV`SG8wsGy1Y~LRS)O8&7&w5@)6?~JM7|Q5_%bLq zcCzTzF{`H!<-P{fc^uyMD~|?_4wFTbTe9Z7iJ4vCz}+--A1#@t9mw}*zo)|$KA$+G7OX4EuRFfA3rL^mR4&=%;^|w@j`fMQ zkX!zmZ`iu3({nsM8&&sw!R0W}zbCz;Dk;xe?)7b0>k ze3@FrP3DI_W#XNuky`EYd=EyB?{c25gM%8gJtgTGd<*iq^=jw=5vFWMX~!>#(w6Dz zi%Zm8rt|oe@F}mOf7?df+{K7cP(IYpQ^wFQLzLJI4IH!(Ge0j32|!rJ%{0(DbHv(} z-ER^?#hbHcBuNp-69EoU_686h7SQF7QjPW-M~=Cbwe64jN#ym+qAu z2aw-f|$bH1ls3L9P6?`XQq7krdlGwv}k?iFtMJ(fy)G}*D6dPG#=O};4 zUHQRs#C9^WRRNX7V8K!IYm0dQq;ofKcohLp6E=7QUg}r~bfi~Npd#@R!2NuE%NFt1J{g6p5k06Cbfgl&& zTt#m^wjku$A>r?qdHww-6i56^9pfjD4>_TSW6PNvqMb0Sj=$f1Rl8WnHQ@V8jG#2zqRZWRefW&$CZYP;*4$l|z|> z-8C}&U@)JZq-VUIRTpvDxLD%|zfDRNbL-pODRUYx82!u`LdJ-6a=^mWOfFk0a;-$* z1hn_e=7Uq}rG5>aJ)o>o6C!@+3%p!W$i8ed*YFl!9s7w9XjkD}U#1f%HgTZnI!RN> zS)-Cr{X>MGOMc&^|<3#RHO?_UiDBQA@oZb z7baqYBI&yY&+YKLqQ~?TH($eVgz*!Xre+FfycnFH0njz$u+@3@6lcOLHD;$2hF{a3 z<$lr9kao%{R$@>^t)|F?2w6-pm`)Jl8re8UPtUJ4BV-e7d}QidE8Rw>-K*iPodZ(Y;kf zf6Zd_M55_9y7wGKI1O^dTAS~W-nPtaqkO@jO*PG%km`~gBWsYAcJ>VlQRINOfy&XvWS|f?~JnUM5jt5S;jYLtOi%b@VGr@cHeD(jNd?K7(a+Cc-atxF*y=4 zrE7&iFh{$Tep>5$HWO3n)Tyl#B%3K``ar`<+gEMJj8^Gi*CG;UxjX61vx_`A#!q+h)&kOfm zuDvd~M9IjI1;xpDB-HFKBkMEdO{_NqM{$D~mErk_|#!Y>JWp$(-?xfXsOXMrkbHd(cfn zcZ7e{!6@nIP+vznv5eRvYM9!=<_)Ur4nW*IzgYd~_N5UFI8;c^Ka=M0+D$aw+}ksW z6edh`s~i3MW(1HrFp}A)O^$AaYs{GKKFraL5xFxI>Ofv3IxmX}VhtYsL0Yz(u*7bd zA50wbbd`}mqLg(@H+6}<6Hd#&3dD5jCubM_ouOwwfuDW6)&4>CB3hU~kR3fqUtSwa>Wyou`i!l#H$=8eEN7IjN8#=yr?#c}x0Q)LK@e8~zU6 zP_ckZ{u8Ly|4Ha5Wno+SXN=q@v|-xJxv(h6vby`RGFLO7?QF_X#^pxDuNF~nTi)pb z(-)N?I81b>gAa?3jQ8az>J(?*BgD|X=Lg6NLA%_t!@|QWn^}ZIln*Ee4(|suMv7}eN{ChBJ$O^%2g@gWR$y5 zBpBwtLfCZm28&qR`OK;1dhvkd_);2RS-un7p-?@Q+JkG5jJ?1<2>N#dW1T@9~W`Dm)&2V+4*8pjifJx(XG7N~f(7#M-a2o+2Y4HwNcgmT79f`h4cY#eI>G zfIeC%8;e&zc9zxai5=4EhwVi(&h7yhv7i9yG>|t>ey@Xw-p^ zZE8jAJNF=?7#(LT!Cd_B_dFqrgA+>92mr;-SEr&^2=|~vO_HOYjBsnX;zxSp1+v6SPf zNz~!l1wVytnLJ=XO!cM+?V7s`Raclfm7e=y@bNc50VUpf?d#b-oCu8TMU&j@bnD!& zXgyJb`$SISTtgMzaGoLXi(wpkON(jV4eb)TYaHS?(PEM3ZIMPG&>Uzf!uqLaf6x z+1qImWU(xL+O81{S-^l+NqwLUK{~Vt9gQz|--+OM2NJry+VuMZxTwb#QL>>Z5a5Vy zBosXrMj*aB;CXX}2)HMlyu`iLx)M1k66!v`H=f6niCon%3a|E^1K(n@f9)5US!f*y zVVJ}7RJVZ_!xG=A`u4M^s9HfK5=J2+08d<4JfdiXlNC;?d3m`#t$mqy?=5YenYHz5 zy|K1;%(Ir&ULg`Om^UItjz5%J8--Z;;N|4v z!h0vJ>I1sp&W<_9-epBBQ|>?W z?}STCwO7R%l%GVqSQlAiz-9CFp44cRbz|9MOUv&Weg)MIH5%d7T|`bwnf+;|uAj8x zGbtHh43{*3NCq2{zMDxS-N~1M76Ol>^PH-AcSz1>a60-4e+9Wa6u7+)>NoB&hSsvf z3gaGZi-dF$W3R3u&JP+pY>RO7Cw}CNbpEiN&$TgxF3FO*BpsNB!8(-+$n`xpynSTT z1u6L0RPzbnzM!|HyPr!^^&A$a<2^d=Gl*QU^-YpJCOJ2)v5}tXuOx1uPPaa~RWdqyzR)WJ)#ZJKZlFmolgSP9u0f=a9T|>Q!G5|s?zE2gi60TXga2{^ zO^q;-H(Meqa>tcuM;t;K zVW%xUe&ocm&!iZ->K_|X+qzhDq4^+~%6@Fdf^jhy$?VYdV#o6rv&x;7`Uw|v5e2CR zzBdsJvLnS3da2qrL63qC+rFqvgHpKH*&Fymk~F%$;IvOz9B+*^?tr;H0Z^Mpxc4lu zym(k2`QGIU(T+%2D*=n|2cUp4Mt4|22AHCA6hG0oFEhTE#yl4i@VlTAsF{3Y3x2h>5= zqzJhD^K!4t(!$hX9s+%DoraH>8h8l8HFD-wcxx!+%_z9x+Zw*1R zT?LPG#K9Pdlg=FynM}XQZF){=^==#BLu z<>IyZKozI&^R%+CyUwYIREJ79*<$;|sBd=7PllpGQ;*u=1ooZB4RoLgmm?jCEAiSZ zX9jacut%2{_B^3TPedpI%!izMaITPI-3KgotQ<-F7l`)~o?iXvenw9e3XkXX(SJN6 zfBPI-78*`vytn*3L7(van(~4&lfG-FV#!8%AFfwC{^=p{{|p^;@`wc<}_F2bEr&?D_oFks2>X4zRZ zK)e_)b?x^+TfrR^gdL+872x45SN=YepTvAibgLhohM3MFx66xe4$|TR=%%ta?ejcv zX=6(k9+479f8s_n#L$u}w^Bu=G%oPWu$*K2wol)M%X{wdB%&6V zT)ibA;)c1LvkXHG#WAZsafH6ML~Y>V{>z(pKOknM@|!(N*{w4?=ZN^C8 zv>!Ae%9SODAZTz47{LR6jLWG|RrLs+GMooOMu-}d((Uv>9VRSO;#KX>tWSpjH-^100To#5oQNhr*(nsor+nsp z<#5ZwDc#L~p{y@=fK|?Fr3B?rFWf>Vbj}teN`o&v0ooM-rQ6!VOg>6;ajI5Eo(%K>WbSb?KfrmU&gvt{ z>8xn8X@C9V;Q%jpnJ{E*2v#!u{|D~7Z*NlW690NbC->qaiT4pCnj9xG6a|@LF)F1J zS4S3pUuS=j!WrFSLJ#lmVWnedW~ZfWP;`7S!u>XxEe1_icc2AXrwMVMOWcpEFQehi zu6GuUaA{~0d^E3%8^+Cw!&#;F`=EgDkXp~~dJXSukHNr+u*LDq_nSt{`TI`Y6WmZ= zz&+U@v9<0oPylBJTqzx~96%eV0)!T5hn<3K+J4Sv2vhojmhBEkrGwb$gGQni^9?-M zH5AYi*@NpDob0WVd}Trd2$ptqm+%TyRTcX2{SygbSSC~Binc5-1a%({Y0qNimtSw{ zTPsFiqBJc(Gq0NyKY3TVx)FiW-3@F$zl#u$btWbg3_2wRnD{dM1!>p@)-ZH8XxmB^ zh)F*CwJf`PJXmc|{Eum}A?_~ll<7j!#MG}`9)}WUL(~Y-!j3yMd3;#zuLMClnK|pI ztLtwhMmPSXL_H`AWvPvAe;MM2;4(KZVC*a%nDu#)awwL8XJ6ldnm`&X7Yv=(YiR_- z9w0xIW#2PVa}t-x1ui+|oZ^L$1dmFQ0c>U2Ix}#I)KcxY)SLxl8*XgK{n2TN%SE{S zQ`BdUdrWtX@6{n>(f4PXPwqYarZ5&F708bK5rGF=EL;7|SiU%vp1CnefQ(Qqnh0n0 z39I*T>?t-x`@1h^xv3ftBP$+n^tPw1B|p}W=8hb^`{nodIQclr2i7q1Q{aK?5C=pH z%l|$9bT{Diy4@M|W-q0xnD2vy=wF!RUefo30$SA$aoJFcz;D3gS(wY1=G z;{rq-f2>CE-x_%X;*_DAardd~o|wPDi+)A>>~7stUj6f9Fkv>VknJP0BvD5$_Ya6q z)>mFXLxVg>MimW|u>acme=d?>{EX6OD{6F^PR2D>fA*7%5u_u z_fx1u_p&@M_jeBff297{rU%^S-X($y0H+09DMFf7FAUG1Y-x*`p|t;$LDri(w2gC4 z#C`|bLE~#z+s+{!ce$tj&r|(h*PbMP-%dy}jJjov>*`O3Sb%z=IX0!K_HyW_jwVnO z;121f`IWK2s`LU6IxIi!9JJJ+t4{Rz2Brsu+X+D=x4b9)ouumtJ>NGIP?y{2n#rF zrMi(gmZ!YI3y zu-RQK9?!dH-%}PE_rS=4afONe3P21~B3HH3nND9=a~^%dX7JbEpRI5RgRDpd)) zfcYkuOYQWA+h=bMd`VF4U+oMe3d80qqmLpe_uGnW#U+gac}HA*0m3!vD1&7j)?h>CvGj!Ia+O*qd#-Rti)O_xf3V6QV<2cL?f>~fFF2rigY3=rT^WOV#ZYqzX=Cuoat*f1 zT>%iVklvkAj3TGy-a7y8aozESHyA9stCv=0J?(yXmvmDEt&p5k6auBi2zw8cU34Dl zePyMEg9aca90>sW0P3uNO&Iqnz_W!>5Zm3?RCSqVy-PP#zyJG-Wt1zBgLs~G%)81P zRCbYvR{ySKFn5dZ$xqe40SH#aZZ!6sOs}6?9&_KitSUgPGgJi}=n&=sz<4L6{ zR5~}HT3nzzrT)YxeS6yzr{Riq@`;5aT>)7A8ex{L=qG<*zKohH)3BV)&@fR^*Os~tWUQX5z>Ynk{ z`8NXczv+aqHvt#SM8!W{Mp|IB?$s7hZywZR37@a5a7yNklymGnuj|c)4LJcb4RpgF zEd_A4E0r5W70+1n>fD)GSg9Y}cZL4>J1V)P{HMNs{KiTM`~cdz79khW@P808NB7FU zC_{Sue(u14xz&N?B{D$dQeSKcc%P~wUJ}wLh3h+tx;q4E9`V?`Rna>Bp+3$j?*iLF z77ah^#b})~9b%7BoI69dK1a_=##gwB$Vd8`V{PPpCY+hDE_o9m_A={Z9i6@3Dq=BzW9rxG_0AlVfn>cqmBEIb9|zY- z`!nuh{(Q7??NLtHi%NGQ@+MW!k-KWw4Uz&$HZyyH56A*$A~A&I;KMK33L}Vr#@S(s z?q%!4i;RlmG>mn}6o@QNCLKJe?kLC?u;vMFbT+;`xexPd{wsgYj2(~O5*VuvsW}u^vH8|oTj@~DLD_8;7orPhGPB{I zYzZ2+8>NDY62yc(XRTPX2LbT!^)##Bxje!SZ;*N@G%gVYOZ#z>c#p`?`^Px^7DUM#`~1ZaE(VnDhn6de3;6j1pS_{d2#X6 z<5Gek?P?(Ka3O4@?IwSKlCPX~3p*8Js2lWm?)%A0aEnr|_3jely7+1Sp_egvDoybF zw58U6BS^G(a0~H|lX@)GzekByoUqT4$tnp}@=DC1@{C)LXaPk@{6WVF5v3|1@nw2j zN%$MdpZd#NQw+is70f3t&kpvR)rpnUFj`wYb|Fu2*h#Bf<^WHw0^g))Ad>gnVH2u^ z`vqPIE`3^Cp{kzNMocLAw}n-HNoT<3`uRDOvBN8mE6Im|{>+s6a`QOaJpVhZs;#XH zby*Dt^k0W;43R}t+@_@+5W*uhxHeIFUstgkF!rSYB&D4 zL;pS&Toq)pX2vs4VgBXa;*ea`o|SZfm)g|cc+UHOoSPyJY`Eu|jS`|Gqlj${t+k5> z;~x!`t71&-E{k%Vj^Q%5cT;Q$Ja;6d-W-BT`J6jkjLRGXMCc+fUA9ch4x@hGG}hcu zc55sCYpvS7$f7&xcUz4{OdW*JdhZL=DV7*fYpr_P&I}zzHI_8)j_oda3Q_YfxEDDy zzMX2oo-3yG;h=dS(NLQVwtN*oc;ZESzTc;AG{}HC3B>#@uPz+8XmV-Z%gq(-gohZ? zyz(k~lc)0%h4oEzBaSwUMf%<2}6x*un!_V&fo)DKLkd1UU(Jbc7L7d?e7%f4e zS}^KSr+=K^7y`wNi~XOA1rn?b68_3`3+fQ-pb4GDFf`ye6%^__Gem}PeFr?C2+%>njfiDDcW6-nFhVEjav=_`!04F3lG@DT7Ny~+f zh(dmx*@1`LAKE>ioAZY&m!3Xnw9vvU)Jg9nTYa(3jNpxxCoCk5AZ@6Vl~O zdh+B+u>Ez?{!`Hisel`=J*Y?kI98Xg_vErNd^>Bs!YBW^P44iGcwj@UNl@M!*Escy z>wbF6g9W7*cTGA2lwMX5uyJ*cqup|v>`+fu1_{u=uF)4MWFq9j5NXu+5TCdGK*qLE z(ljvLr&2cKtH#u}N`m61l3WiVo{OT%3r>#ZjTK^6`83RaGOSocBfin<~&v?e)AFYJFdn z5VgZ9cR)esS5~bTh&~Rk(@xvtzbKOIV()Czu)kGOTIj_7gf?OJbi`EzWmoCtY9yin z!j1n8x0q`b{g}5$Sn>9tO$XwcywK$E$BJQr5HGwH+F|VvBZ4RT>A^R{5BY|=@K%+}KtLji1Nz6A;>@siEWIowJQ*n5q(hL5 zNt+b2v%sqjPg_G{t@fbDt(hXI#-QNM2?Tfwz+oKd>DZJVot@5&vc~ z`nNWhvsr4;yEQEa0MeHOGZ)jP{6f}#Bo=0(eyKdrNV(HnM(7@a3Va!axbo^jodu=T znM^-suJ^)w{)r^wrN)B$wyy{vMjk%0k5q_1f03<#rs$j+bsF#R{ca^Y5>9hrZW})~ z-VGt?fC*H`U{}OwfkVTYjr>Wkew%GF5*t2B(exvL>B(j(%nn_iZ%`e7N0#XzQA(Vw z0AByxxw2|{<1oGfKOc7wlaC6xA4y_D>?6LJuDc%ySs2cGFfR=~6P<>Uz$nG3M*PY< zRVEL?$OR-(S0p4g)NCFhUB3Yk1IQgtDpEvOj4W9eBY?qI@5FT}* zvy}88phQIfUtXNz$BqtPN%aO+ofd5VVC;k7ed7*1^(CDU8uL7+UnXa4%KG2BHSHjE z7m=qsXls#T_vfwCi2OJkGiKYw;O`m733kF;H(m=qnRG=3m8l=2Cx+tL%g27viptK# z^+Q+j=d}8{AX3JzB#Iyt&0`;|a}!io7m}On|ZQU11qZesypl zJ^6C)+Ig3cLi+5z#S@jYHrz{NuYGIvXMcGBoM20w1a5kU{pda@ICbYSF8MOOGR30j zo4CXwMKIa$B#IjJh3E5sCu4ZEo|lmn_)4IgBDbs-wKn+-hDY+9A6dm$)z-{Q(qHZpA#LU+ z9+LGhh>wh4Kg$z4SND%l>BkjLypB(2{G!c2l11I62L1Q5j1#-;_C@iq2z_0S(IXPE zkIA{;raq;PaG~PnTAu;Xtp8;bjNVL9HML|YGp;Z`QW4-yb&t6U9`y-_9m?V@#y_ zvZ5oXciy*m+Lj9Ck|LokGStdS?x|OU{+STW=#ipW?JsA^;6J4*WYUXjOu?(Mg|Z8J zH*~mUM%i9%uJ4WxEkQ%sZ6&ycB;bXr0jk;JzqdfPZqW~D$o5(UM3~RZI9St?BfUDExN#aL_hJPg4HoV+X9jaR0uyUe`uQ`y%OK#=uP9PjGE6!MM z0bOBfCr-qmoFam1>Ri$xJ7J1T!F884jAsIOD@&^etLUl70PVqH*yFpYI~6x?8O4A1 zFaCE$N?PL@-e;{9u7M^IE}QGwKGVktk3P`SVwVXqT~dvL-#fEo!us9yiWE7hlqV7W z#$O4Vw-#L50#h~QD(4A(fEW3CW-L<`1%gz#BD@*;S% zYhi|RH835@@ym8F6QTZ8n5<0i5Lb#mm4G#evv}pNgI*Xy2WZ3w19C%#(#25oui3fp ztD&rX@fkgw?Ce6PCcFz65=u2dkp-YUz8iUW4+(^LywLx`e~iPdP>}(@yHVBNecFR7 zf;~}NbQ2exkqP)SG%VrY`Gn~Q=_@$G=J_&0pi|XVOjIj2d_6`7RHw>Voo6VKL*+K` zukuMI9mFNt(wteKOTcVnJk%Yl%Oij8CECo?;KLI=m2N-Hau?G^E_=#;I`cp#&Q| z!2*mCBltdvn~o2r^q984Jz8pylM`)d`@Np`#(zz#7!ypo8BR{fEJn_oPv+@~f{X&` zG8NlAKzuTQ!Oy0333(uTDgWf;;Nzp)kEAf3k6mp2#&d0V1UVzO&AeFtw|SB1a8Vy5 zuD-o^%C?6aQ!>VnI%f@3s6UO@UBI{B#T}ZC5Z2Iu>@hsDD6R9yqg-@5I2yp=uIi2B zs#I6J5dZ3&Wc^o(cNpB=ioe0KuCbZ5z|_VF1m?k%G@8$@}R9-Wtto~}4L$Ch7OPY@5b zoqfArLkrsD436Tj1s@qNBJ38QA_lT1y)r&ZlaOMGe=Djlz4nwAKFGDqQcQ;O*bC4j zltp2t-TH(Y3eW(5y@#>IrG6YUH~ls0LhT0Uam`8AosTJ(4My7vLB&OzZhIfP#>G^O zs4apND2v!tDQ=)bgQt)BK{aTduJ_uCsq_N^9^I1ltI&r8a7G6#^%QC+j@nayK3sZV z3X#m=o5ZXa5khD?bNcM<#JlNGWBQ-J+T|Y$-T_&GMRaq0Y9*-z0TOV% zKU>q&V|N78pjQ^d)J7jtdcXrBRKI*^LH^EK0DZgufnfRIy9fRpl{y-Y6% zy|QlW3|U~7?!SqUb|){egc|OwMOuJ5g;>=(XHTDIlDhDKs2ckXWT|MO)0cgS6kVJh z2jF}iU*UQPJ$vTCBEzImg%VrTxAL?&TXVl;!ogc~k&At`z9>28pyFV@4ennH`^i{~ z4{hji2yOg`z8p$f3ZKx|iTV?0CS8l#ZJLWZD0KmzfIlfX>-;zVwzsec_lyMKj$`jD zVv)hLS8~PwqBz0ekt*C6)OHZ=MTr4geJOuk;+Z5E-ndo!&-yF#Us@+sCQGn+v?m6WQ+R+$TH)JHfZ}15bn0Hu&WM z99bXqAD!vC%DYnjE)ZTO78q$;HuYAQ+_Qv$ox~6o0@TRg!|bA9s?$rVTdCrYQ(Gsn zwb2bqES~P;F2+X_0&$r3|DkmVhsF8XFYnTkJbe5VD5IV6cnLV)bqV5-$XWGcQo&tB z2oV6^V2Oo}pFy1@mBg7#sTqjh?#b=8)l8UQ0pC7F&kV=7=Tx|LPLOniM#-PMme-MC zqYBvK5_7$}?BzaGV9s@sD3{2(6Hw#yk8;-_5FwLW$?>~h1apZIou6_|LAbEXe2U|Y zqfxBGhInFgt3_NeWy<2=4LRc%e-0F#$G)|U5d81Mtmf%&^~5AtQvcKxU}%l@Ok@T&AL&&db(h9G{ul_!oqJSqb`TIFDd9EhKS&;&J1WE)P8g@@jixelsbxoeh%I9+ zRK2IUk*3ilv6_XT~D8K5q+qJ zE}XLR!_YntGyOj?|D(DkeOR(q)GDY1J2S-mR{&uJ%_O_70M4O7_3E148&z~}1F>)$ z^8WE65EImgKL=$IJRzq=1k-+Q>pfD=PCq|K&(9#BlUD}% z0oF(Rwj$u_QW34$d+VGf(t;!wzOCYgk4*w)h#W%M38m510x6yPr6mF&0zR~P>0-eA z4p{~A|KEQCuU3SVf+dq6tRYsQ-;k#e@{mNN{j}~z^W45jL<}OD{=_~K+-Ws)OYT)a z$=%80vHs?4YeF-wcvf7C`ntbfJ=Ub82;U>p)- z%F=K{2mlyQ`l3X}Hl=aKWowp+&ekv6j~{iU$qq)=(;ZnlupV^vn5uONO*qPRw^ebAV`sv4ND)Iu%%G21yz zWYekVNhP#id>e6EgKOYsv5Uc=Qu`b+OJZGf=L9m@_d*LLMde<#0nz=JRAFy5vPG{5 z{gl{>;!KJ(7W6hsXr~vr*xM4I{Z7814N~!NTGgB1ciYI%{k)a$8}dCUtEAx34EROj zZu7Co8_sEWB~rm?ngL>#lpMuD1${stVj_yL4W~v18e= zn-hetU?6fAf`uNw`jD=*l{jgmCmoqh)HWk<1eNT&*+AAa|tOjQxQFXo;`%oq!Wv*%Uome6yJIjpnJ z4fh#B zi zLos@%o+|pS?@c1GIdFS{6LO^UH<7U7T13+Lzo`C)P>>e(;sAh2Q81xUQmT4~-c*9pF0=Jp8+5vZdi9G6v&lU9Zb}sfW)+mKxtt6G_ zY5cbf7X?9+QQRx|MOWYO!%>I+{Hrr(wh#~>-Z<)|#rIt$|;A7At4!0T7Xy$Hy zKz1U28Z!CkadBGTyK6|AOYH4L8MEs})8oZGDENzgS0&!Dj3xAGjc#gU80-UQ>&Nq1 zZKXqMv3iC$EoC#{QIbGWVq|vy3YYsZS&rWLqgyQNQ8Kblflf?lI+C)#~f8Xe9U&c zRsCKMZC9erfqmZSZmb>;B;4NK`dhT03Pl^X4W^~C<0k2T%;>7@tk0i2&JPR3fMW@6 zE9Aj(Vbnqn#|Ot{c|eohzl!bBORYIs_CenytP3`RVr=ri+Gl+9C2(psa4x^O?7VcH zW^Mv7b^NFbFIcpV+gKAE1g`DzIIBMIlC9&0Cm^Q?sBzQZA5k_TXs7G_{nkf{jWebx z3@B4Jb|aCh86Ed!lpxg*P0z)c!Wok$3kpcRf)Hjr#I^lC!cUP44wjq zshOZ12mk=RUz#V+@d(DsGqj$vSBCDc(lwW&ryqobuQm5V%bmPbS@auqQws<_Nl)Wq zREQ6Hc-!U93>p7z31NhINkJwq%p6<#QJ(v39Hd^D1O9h&xv3k(s09pH0{`<1W#O!7 zTAu@3Ra*O{IpH1aQY~_y<&^nbkbh56YJvuFp5;K1S%@vtcuMZPII^|c-(Vel01SQe zqVtP@bu_*XUeh0vJRTApBo)N1RimjU?1ooNpBG|62n{fX4#erxK7$Ujy7WHVbs`I` zdQ>N^+tPrce7v8K4s|lksp3=QeX#`qUjW zQFs4=S1ayb3jISBtrzWa03%KG2wIV-<^mkQPQw?d)9x+t zDWvOM`r=AiCpsMiY#p-@(;MQa9#4+-8rjhpU4joH8_sL;>pw9aWz=ZthUu=>??y@5 ze7f7J#2--Iy?z9(Bz}vtKo;UX+arz_ut>=3gdv$a3wpFai zYi_*1KB&NBzb0cVV7^?DD!PU}%Lq;TxJj2z2~Jak+cKG&Z}*GDO<6R(@tda=IH>$J z?+w&a(`~P*3Q2MgQZ)h!j2o|PsU_uvs=Te4a_+>I{uSJ6k8y5b#GJUAT13?3JLYl) zoegbDIMwoEQoD_&0=?zgDmnIP4#WvCxff=T6f5Ifj;`(3A9pZcdWbZg1MP?W&;*TS z&9&;~Knx28LH+(mtB;w5bvqexX11e%U}4ZpvHGed74JEf*iOo&xHUS*8Fkv067n5I zB4KI_1Yro}mEANf5Ac(7%1xc&k-j4-mz67y*C=2lVVM3baln*SU=1gYo2UCRrHkCe zWZsVpc#?bPVLyW_x<7_D)E3y|MhsYNpbv`md2MT(aIYHRKpaT%FW3B`rI_|8$YcRA zn$a_Y3uLl^pRJ%TGl~`&1$$vxN+hn0b9y<34q)M-S<`*zQsew-96w^6*K;M7Ou062 znv@cVBcXk!UMXBkUuNt~t5gsR8DgJ7dK__tXN*Dbg{t#YZaYEjFN7ql)jHP0HTC@2 z_2tGDc9uAdJSrXw$s6vb{(S<(J4A`*J9dc|^zKN{6sw|(WxUh&_XEu}d(uI(Voi5O z|2nC!h*!2?`&v$!y^MiEVi`}(C#wq+eV*C;XT_~~=kd`E&V-^TCjQR6=^N8>5{{Ux z%+0G^=PH)^y2Lq>I)K@VMrg14pBCjm4(1N?_FP2q1& zrqGk*2uRU^zw2X5KEX~(kAU|rJlW2!h`nwgMuP@&<3EF0^vEgSZ%WfZG;Atxz?JPy zW_0Z)z<>fCF!lbpQ!c$KgYD}f-O2FJ6GQyQ_n{h^C897=_?PYU()$?+;{*OL(P-+w zcue?6s;V&ZMy!APaQmzM0>~o}XZi@y0YnV_)f`0d-&7?ikM!B-DnEf zPUr!Bf%XXMJ53!c9A*4S87zJWzVJ(sbYpV=| z0%P`I&EahTnG4|pWWta2NQq;KXJ$l*$+QdFHz;&u>y^IZ8_SZW+uZZphd|;eX(i=2 zZ|oHZMl4!pl&uFuEVysUAu)*riMr8xC2G@B4JLp2FyznPci3E5(|CKHyxA5YLoS0l zPJ>glQH=A3^e+w+b>sFKN(N3@w+3^)(Zi9C)`iE@f_4G6iz9bB@=auX!_-2<((`&& zY`BtThjKSf<{V#LCmwiMu3uPKfH{te@Z!nzY|kP}U2PCN;cY;5fdUy#R^AMb7mm4? zQLvcj%p~f_#aXhgjsdGO$hYZ`vzl?Qpftanr5{4R5s!0dWDXZRfe_0Rhp$gKe=quXBT?K+;Qwt(x zuGjK|!8_T8Goe1gdYvdYx2iAzc@`Ow7N3zKMu3q!EC;hzrtZtDL_^(M#25Y^0%YDD z8IySfkdLbF)=V~ZJ<4gY)$!~0joitXSTb2I8{0zYt`nqQJUQ7rY0Rob`2JoQhfGwC z`xVltz~LrF9-cuj*2?kKN^TYqR6PE4Rvv0b)X&nw=CM2VN>Cl zy`_;;e)dVe*mCw_VkhXQLM92GD3Qx|XXZo*b(6JHZpKW;)%V-cRR9yGlrpM0Q}#=o z&ZqE0Tz3vLf5LDu_X(n%_bn^kK3H|^iOklO%1co*?e-u_z{JvpCt~8JxQv%mJg*)b z!p{9|-?fDi0Gb#g{_F{*ry|0ZwRuiZmYg#6XM&EC4Y?n{W0X2mxQSOuFox>ylsr>a z#t(S(*Z$ztSwc=}0t!|L_IA>uK}8%$7bquO1%%1@qc=)A%GP1dA4i@zE{o}WEwctl zMdr=3oBxF&oTO5B`lD2QU;yU;+=j0VljV9j8*9UjLfUv{{UefNi$yDHt&C?{oM+R^ zz%%*!NYP@{3A+fJ*8YxP0i@m(7GUA;zrC%%)+gQT^f48JlrHwuR{LnxY3TTQ=b!uO zk1(;9OK8{*vJbNX6!w>mdBv%TyR)x7I#PQtwUEw)&0W^LHcCCEda>5rRCDr3`kL3h zA$MIJsGMB&g&Y-!p8VQFkCZPNhZ;8XppVdETkUmTON8dD;m@R{LCMc!9h%HT+Y7lF z6qahmi*%i=B8_Q_Y_=N5H`DscC0J1Xq5&(F;Mb6~KANivu=r{E7+51Pht&dX8=8a= z^g49X;8m#^y5)VqEt@CJb@Xm(+wRIByLwDDfu#`Uy9DVyEK!hR{-?h_GKPz;PN&|gv5l$YxGbnXrK6vONUI zsCu#LNNb)&Wbu~NiSm&1H!hrrqI%CKj`(SZHQA#c=y4Qh#V;VU%CP`H4d|p{RDRt4 z4Qu#cD5V98MT%B>z#by;bcTpdHQ2`b5%#3#TrCsvvWL^+aN6h<+~@Ax8-RZ%=SNksm>_U zJHUIMdl*LAMzX)gtiKYDZ@kspQWxt4Zs4P2d#R7VR&aWFinS6mAW@S&Hqk8b+cUgw zyI+VQfeh<&>oiCxaq>Cyr>`<`aEC$2-`D5#tQoplPDT@}i;~UZlE)xn6USEq%L!<| zSs>4qg+aoMAc7VT$o+Edf-87(1it%UPIJ_xVb!F;h2qPOtyBFtatYSV1uep3IWFpS z&jrmnTXYAXZ_xjS+1CaYl~-UF9muY{ZZT{KK5KinM#QwSjkS3NO_RyG9bzcc=RE}( z3; zhjh3^S-JTVQ4&k@Ct8klwDHP3Ex2J37(9P!Iyez8j&oV3%ZRkd=EBaLBt z<%N8W`NH05P_WfC%a`H3u5J1IIiAXckhXDf2e0-Sb|=uaWg{L43{|GTAuCv^Jg0>2fC>2X3MS3WH2qiwF@weQsKftqk}GkC|20Y1M(z9UW337v zXS?TfZt6>kj4K?|!?lY}823a`zJvE7>$$p49Jp%MV!QE?@GZnih|5wwDC09Pb}Yi+ z1FkOCj4pt;s0Mwl(W#p6mR#OmrkrmCKU&P_+LofOzfoT*nc%}*F&>k4v#OQzIUMAF zVINIOcOanrhg2w>P!=z(P)zRZaSmc71D&#$lXljFZqZhGWrTLZLjjw`xOX;hd)DhQ z!zNf}vQNh7tdkXb9XzIa`V*Bm*g>YGzKDC`pc!Z4jCQOZ7E*jOwZHgXXj4DSN7lSu zL!*R=| zfqYpOA@|;(3f|I*cxeskdPJsjzHY^!5)UaeJuTu6EZac`eiehK)zTrOPx$5L;JH;k z5C@$gzJ)^Qi{nrkDk{o>X{bm2u(|T^bfq+Sc!S`qdd4d5U54WUbx^R?VyX@--pbf@ z^3!tsly8U}3s|8lv0djI;f$!p576tdY>~fl{XvXP0ILGXs}EP4Xb4S_kF}@ur&#@w zfTYA=bc}#&!?ur}MivzQ+C7H~%f>&XwMWo#rlP(>UNQ`>hAz_^AkGGIMb&%D)g;Ncu{zHoBB^0qvEO>lG$4a6H7-GC^ zax5C=IcWiJL#>j5Aoj4-Qe{s3`i!6ROHi+0+yBs+sGnxrQ4hE`XeO&cMCVVI1Rok! zT>Z_!qko$mLVyKdPZL%kA%FJCLfe^GkRfZ~9SG?i8Rf4G-5wz^&b{-6K0(KRA(E;@ zN(N3>_8i3mK{sKg*n8pe=_wI=x3WV;?03r^*IG(2 z>HOy}cY1#>7+)B^bPj*O@CtUXc4p~JB@e^bBVrm5^o{mD@RTJNg~^|KMA7D;Gl*`E zM+PSFdVe2A+2kEa-k-qVW-|)@0(8^6HZ$@QrQb?c&*;PzsV|sn;&5V-U334+aS;;o z4R}SjR0;=`Lijlgx!?o?y53(QgyeBX0k6tMYQ|>i^A4YvxB_$3pGgiI0NGCkUI_h!o&{T!&-m{lpX@wK z6DsJ>!z?ZF)h>%O7fGzE_oEawk0lRN)(S@L+H+VjK+Btb1kw4IAaK7cyfQ5IWuq zm|H_dD@PBeLHJpk^a1+8=zn%FY~gVR#Zf(g#wNGo1heoY3>qG=3s9+wtQy-HN*u*` z3OC6p%lwn;CImp5uts_WsjCB@hONGlbzG*LvSl(Fck2OY6R08(W z27wGIcJJ}zlG51f9qP?#zuw(Lv2J=*c-szN+Mtpz*ti6B{;5zdgwf5zQKna!j1LfBM@o|=!EY5)BfgMpLfgDkH;!< zx~V^B?`H(zx;69RZpNekj)3C#5+(l`+p#-Oi>(6cwI6!f^R5&hCVq4m{=8A`!rJqt zqrXbxTo1!Q8=k0v(0fUC-{XU9zbhcjw@7IJHQM%}x<2~n16+G@GR&NAYD@PP-hYNe^tb(tjuBdHv*}3L|86Jat@pyvEmHtnKZ48KnFziF1d6xR)@Ws| zzvMUWPtDd$c?s#1zjXdqP6owc%YyNvt#F-?hYJKXSUS)ahBI`h^hfX)3J(3_iC|;( zZyCMfnC=`1E$tGanA84d=9|A7-X{hdyKP}W237mdi`T*{jU74si}!pGM8p&34wO0g`M2puYo2$xPUzp7e;um<)sEH5=~Evy zR(+J4)qjH`S`rtWhm2qEg##3uDS52UVvdoqS`B@ArDN=e#};ED(de zgr~Ap=(Y^XYJ&jCQGgC-HBUNz-6m5k21UO=$zj0u)UMa& zafq_vv?4BKIe!86VeO~s%^eLlrsTD@zs#1lXDes9sP}jTnvHLUvMxW7(Gdwd5E1>< z@|w-1#8V+A}6Ih%5Y8Vx*~6T#{$C|HX1}uR5#C`U%TYE$z;*5yRd#gK21pwleQ?ixm~$B zxrQ1uGmz!rW1D>LT>%wk?<6sO+$A&-Wvty#vq}HqKQar$unaiEwmXzoS3YI;-vZJL zhMU;F0fyz1oUmQ()!#pQlDUrm;mFw)Csw-0UyYKxqm0}RGpGl6D+?213z~bc((L6d7~xcrXY&9im~k`Clf~W z>D{~?FF)(}5xybW$RXpXfsLLZ4MLXfffUl(AAw%AWxRp^q z^yu}{R_1C$fFc0DLy9)u8*DaAPV%|iAzeMY!A4#ZCyLXfKey$~tG>+sQ|AKls;`6j zv3R_42~NM1n~HJFp{BwXzN!7LfY@R`^x?G-0oCDT0?7jvlv?E#CASB5F)mW4d@J){ zzrMzRuuk@?QJ2;UJGhMcDT!1vf?>Ku5& z_fB!@&y6|;We(8S>PZu1Gr~h&LvFuu;Y5-;B|bI%=rJ1r-|F9>J>17IZ4*VjVRqw= zOs>I4P%>CX!;XHbj6*^@pl2M5x1x9`vpVWnujCD|YkEv9Mh8eQJ#a1q@OG{8gf#K; ziC+yEaMt&in}R+9lrdv}QM0DI&JfVli9Jbm`oY&=M=et^{e*=6<)0g^1Yom~ObwNp zYm&Fm{dU9HW_g^iSLdli%wA#D2}3b0L681D?MXTM*&V!ZtR zJNfx9zHIS!@vtuVJDpr;jQsEe0ArvPmFYL+r<0#^_D^v<%!N-Gdls{`1(K zran42E+Sa6lstPF$7d@rc7Z99I|J~wPn z7xTPn%X7z&PMqi7?+_zXnuH)M-=^N{P8MF+dpNS^-ZWJ9cHZ_o?i`ZEZzUjQ=OS#j zYLl>idWCKZRcJ~^K+ha*nb4AtgkW+y!R|bIU~m?m1jB0mv9Zmh;ER%*TyNu1A{MPn zo*>Cjg#^q(7#vy*N0>&_1YRGWEfkR6Pf8^<@3+H9`1Jx<5ZTPLbiC8SwDnE#k$B!n zp=PA^Q8ErBE(N0Cd-$!@QH##ssQSxL2`Ot8Fsk7Zi$cH>s7`syW9d68q$HZ!a zXq9pUv^STo8Pkmyeqw5465mb;dmgT8f->*@yaYzzS4S!yk%~Q=VBEloO-(9x4JQk~ zYB%Xa7qj;hwlhjrloA|01_1bri4!|OUcUepi1{^c0`#O54E(&{}%E*cjNc$Q~Csox4!<`OH^XDc&Kv1GPc856C0(d78^Cs=TB=* zbG}C?1=-&1Q8RD12lVJDe~td05`W)!-#z6oy$&ep!yPn?mPuQMsI&-lr#9&|dWMdC z|FsC)`4>UCIdaUwM-G^}6zt*_c2?-*MRA6fC%0?|n`&n|ORzC#k{Pm7jzW%03rXEd zPt&4&*uk0OdgvIT9LKZZR{b8AC;%IvKCA9LI!^4% zxeXu9gU@UA>CL*I=!GLoT0~)94 z4d~yt9N^O57AvZb`cx?7+z$--yQGd<&t z7yJqdksbAw%vB~P(iN04a#u~14+n%X#o8453y(q)MJ|dp7QqIhMH@rbA0MYlvI#Qn zaaoGA-K?7Zx`a{*n^rZ@Z(^++f{TOG-J7P2Z{U&2h&HB#w~$cYda4ZWYW5#DM0^BR zFhrKg`)M5?D=S(SbzFU>7%|6+PUcBVwefdTui`>>&)D-V09 zZo3(VgERLV=FL)?<*NqOF{+|P4o z+_YxxSLqn118>V3q#kPW``wZ`x)AHBx#%hC!ui_k!jX!jI%?hqbk274{u>N{unh7t z6MOj4+7@k{pq;OQA1v}YQIz5jA@yWW9|tbsfx71GU{|L1L$V`y@-Ij0P-LIG*rI)3 z=>Wvt6?9Tj_!wl9Yzk#E4puIOpSVo|ZAFS*YP(&XtA^j_5#T`nRPB`~mMr1ywQ+Wa z=`~qdDc^$8FaMAoN;$N^0EX1e6#f8*o7&aehld|*e)n6!Ov z(^g90F>-DEiBN4wBzW-h$GcwasIwLm`r_Z z71Rr#YCmt+MdX&+2Eove6(MJ(+no&cc-(l+Pi%(N`~_ik?(5#>MNA~{m+zM8bkcqo z@BJvaZ_OEPN^jU$Yo8G&`C;%2r^lS&><^u5Hb*W4z{_Nz*>VrH{p4f2UVSzu%FW(f zjtVCf&zfkjF5nBo;I77a5f(&fZlYx+vpd6z&f2bB81RxVH48L#H+eQ#GZE$HeCj8tceUUIUNr#Xr7V~ z8&WYk2}bJ3b;v>5(mU?n3{W(%KT;s2*pn*>LrPo7FebU_7HTffTw5bThF~h+6*-qw zbXrafxhNYhApbxITi5q&EK7Ua`P`WYCPp{-zJG0F)0Ij^Ijr3Y*PIUDm^96nPY&bZ zru+4hzBsGYUdNYdq=LvXTq8`L(1|v@fnmcJkBWh#$;>Zh=8eYI32sd|;>jy!X>fl^x{3_W6%aju; zi5CQWz~w)a4G`25g57Ld9UF^6LXe*=G%4QdMkgvQLF6 zdw)i^csIZT=UBJ|3OMwFv_H3=!OM>P4WDGvv{HiW-UE_1Fq&#UQq~NB1hY5pRbtLh zX0enGV{0CznIN5jo=R+)`gye|W3nz!-|I_r+SzAkUClqn%O-k5!`LBj9`lnLXRD5y zh;nIS$A1fki&6j41B%Ir^~sq^eT>dV-kBjNNu+nCi1W^a6kC%^1C~j@r+w86usV1f z-E{;eS3Tm+Z~h#OZS3XsWkq#84*^}J`+r_@!N%a}*d@FDd!TK#!+H^tGLKLuc4tns z=vCEpmqe5>V!x~6mb(@mHt2&HdDjnsZ+@M#W}582=3E;;#U#koSs<`xJw@?g)alTN zr%akG?<30iE=EecKDKY9qm0oQ3RN zi(8!hub(0(IU5o%8sRNU3`R`>b z2~#oyP1p33)o%Z_S+K<-ld{t5cf~8^qwEQv3cWO&Zn5IwKm>Y!4#u zF{f)$X$>y}uH@s$(yKtDfd$!MdR^a-{03~#;-8i8IK0sWWUjth&Mg!(Ad zWMp)tU{U%wYfrbOiW+QqBiPO#U}O@gmUjpgyS}14ZsC+Eay-`Tw(!?Nk`pyrnzvhx zXQ=N71Kr!)RHvWiiM?bAIm!T`O~yvF@uYxM%T_O1l0_@PRKf>Vk| zgzKXavyT0}NT6#U_sRA3l&E#PE+J?tTF~yxj>E0tnr^6MsV$v`9Hft8<|kveYbxQG zJf`T_79JSa#`*GB2M0;eks1IIa%K&l#=0Oa%fk(D-SAxLb4k;Q8-3RlSM!M{G%24q zRQsQ}UDtKISR6ya0hFc@`m=LnYma=}= zh(RPvEXpFvR<@oi%Yz2RyX3a`N_Zm#R^3lQYwE$o0W*{56;+xJDqs4p!d^iCOOl8y z0geCZ{J0TZI|0hod){Y4^Z*A(5z>#*Q!P+Yq-CTkM&|o|=8xc!UT&VOa{0R8J@EJ8@jT(BTVp%Fl?q6}cW3}u zkN1K*{jKXM>ik7(xJ=@z{N9qyjk>^RD{m=h3%Y7W{L{l8p%?vU6 zOAS`#Oo+I$ExEgGmdmqT;OYQ@TTPJ&%}gOUMBIKSdiQOO&m5iw!1x)414oCV67#|6 zd31MT`WHI#C0=*ZO`36&=-3x?-aScIVHRk|RMTf(1AMFf`b9oJp> zumKjCLAeizO2xQQPZkllOV{dBGXmr+)<%!zd?$)-A19# zp!GB63+L4XQ65!7Ps9i+c=hFA`}0bOAtueyR~(&fny9 z+cngwq{vs?PLqB#_Tt^w6sJs%Z!j}n-IAR2FD;oLfpnyr#(Z~7}ytXv!nmnXWVyh)eDy%Kb)_YSrm=3YUi4|rw?pd_L{StF;mrs z5gaAC_MaFU9q0#MuyP+kwr?-2#A87{oW(HXaC3}*xluq>tWNZ$z?ZRaX2L^_Jl zRvJ%MUV+iP_b|^QbF?fEy(6)&F-xab@>M+rp-KX%zQw1Yj|*-5ScV6>1=n zGP{LIx=Qrov%Te((dar47cX7k)jY)U(l#aTELC5FQ(}|;*X$r;v(l~SZ2`~ZWjop~ zlQ&iC5vpu}B`O8dM1gv|yWtX2a4EmZ3=&~j^EI7`Qxq*3O2-oaUaX&vz*R}mKPU3U zn)$DS3DmC#W4I&P0bJ9jExWtSuFkgEUQaTU#hnHulXv$El2@4^ps5V^=FpPMYi~?j zZqvrd8g@$4n^%Aero$%l*pw2Sh)`fta=>99H>8tpjO9u(tMLGPwew~yRg-LIe<+*% z-tPa+&RDKws2#5QIs7tqz{xl9S-{kRt-0lJ`EbDdWon7~FhxG!X`c-ZehtW^%T+GTgou zfN`GSV-C2=kZyC(crE@=4L0jOk*~4Cv$RECA&W$%rmU=Wqo!)BH|Q_!z~`|Oz|<5A z*4}(%Di0m#SAy0~Pb()i0ahT7?2qus39){8nU`la?A=vQPWUfjw0uiOsBqvEKUBXG9ToJI& z+g234S)g?kU$4S0I;nZn<}Or^U&BK`7kAfTY|xaP(ii=_ag&i{)_dmxF_v6Byjp{cW)Y!*7wc$v@{Uw>)&6T z{CR&Z!KE*7`mXJ3lOne!b)?*R2m$TyLBC|C8{V4YQ()NwzZ9uzLeW`Nzs6qPJ+E>6 z=QDM#)s0C^H6UVyEd1!hwg`+Wl+35eX>*B zf_MaWInySV@j7=sQ$9tGh;o2{ry?@^M3%-$A_t8`Nbu zT;`88p=6P^zi96MKox55yXD?FHTMgcZNzJD{I{|;k6pXde$`~7DSPqU2Of+2jBt<> ztsFzFCA@++Wz;hh;?jylLMm$}h>`-lj9hm--jlD)RD_Td*f6I7cmAiAK4zt5pr&F}qY)6889uA*jjj4W|rNERVWh-V$j?I%WDjGlgSvOH5^%n#+Z9NEf7Kk9-Lz;|35v|wCOI+;TQ3p z@cHdEjFXf--upNA*fh`t{8UzMp&I$JxxnBvH)y=OiyVnr>7N|a$spT*=5tXBm8e)gRw>4@#yeHBZ+jaZLcUpa<64HM-XDC5nZ4#;6 zRPDQNcg50zzL|S+F#N=G81U60{TSh%U z3}?s*;mM9zu?0mn2IlvZTWr$ZrT-Stf{b9LR<<=XDYy9bIr3NKPh`O@h8I!ZUaYjs zB;Fnq0ODm95km+-#^alJVZ?=zxZHG@jsI7RQhl3E|VK=IXE~- zMxRzoqsR0NE|c{}mp@pSAWySVB)bem(1^IOE5rQsA(6DON5cb*L=Q3*mQ4JuxQ1ym zYY#pnE!orGZcBndsp;;q8LC)K1)5>9(*n`Oc#wfR}H_ z>7c~*7=8ToD`>&nsbkjjs%%!aw&GdnOR%B-b=1HP9Hk?s$G_hurvgRabn=gTwm0HK zYLYOQ^;o(J?kdykbWbK`ZF#6&FP+)ts9A9Jqrv7rOR3eC`Kyi9WXq9gmlo^LzW-Qu zJkMv44(=!La=a(Q*7YdC`Wo>ud%R)`j^1d&#R^2HP1}^C4Lwm6-`{~iqw6x@%ztI+ z3Hk;l0P|sPE=f+ks|VvxY-k+{kHvk}qQS-A^9_+pTDQ|q))5;spwQWgPyKr9*1+gn zG+mIE02e`RwY+SMstKV+nna5vGZ&P@BOKcnDO(^uAh%QB!J(OvV-t}Ongb@y1c9h^ zKb)UG(z{FWtrKf6(2+-{!ZY_Dh4Sfy#sQwan8;B^jIa6q7Az7jtS<%|N8ALmNyyVp zeMBF%GT0y2`%!?n_H&p#xAf_15_&Z9v&#FVFd%V6U`ndFOF`s$yMZ&k)qU9BTBS68eN^JK0XC=idbc_o@Y3%V0NudzPxlZdq2s%L${Wg_0;Y2T?~QYI+v-TxNgsgu$?^6-8m zcK2Kq*04^@?L-gVr!Gf)&3}i{etWKg$>hO%y~D9V*G1r!*6|Y-#A$c#IPPF+&9zSe zxM!FYqUncQ;n0n!ye@}1Z-de)Jd%5aCz|?~C%d#u0+s3KplIRVewE~&c)0m8V??BKE@E3%q z&JF5g-VVLEzfk(t){_O<k0a2tFYKN zf(`87{}Oy9{yCxs70?YG!=?;${C{-4RY06eur)e(7$CSi4DPPM-8BT);O_3OgNNV_ z!8N!Ahv4oSEV%ofz5nxY&;IXynCI!Qx@y&`uI^O~la9B{4M7fC>Vo6_l6s`7(cXd{ zhBJ#6{``=DX5+x9^VGmN`uFFV2$&msq=~l+o`QqAx7Nq1gl|3EXxr!Iu|gUi)V+{@e+o@%;BNSNT1^6r9Lmr_cHKpcVu8jQMo4#bWq;|SXmE@l9w|jaI z?eO`=PoQ^eOiNU!Wb^9bKs=KlJAutx&{zWCjrR+o!0oxGn)M{I` z)Q@m)cJOPo#QgbQg@_oUn$e_ZI;O&qn#Kv6UXpRq+=1BCT_$8iFO7t!FV}eYeB{HU9_t z*{h0)h;_Oj{8_PE^y}#*cE~Y`;RQwSN{5d`Uk@=){*6j+BQg0Z)xl?IA**P6ETVY1 zyw0D+QskA6UV2{%XYfBmlNolq7^=?Jt@4Z1EvTRoC|TeR-b8k{v1>U;MT;J>O$~-U z!CB)=;sieUtcFgTOBCj9&&=|d`eP45Bm$%@4x32e?Zff~*yC2xO$!_hA}BLXrL4VK z9NybZM$NNSS&g1i$^=5ra&>)hl{z{i$EQ>Q1tGHQ&7p(W$yy}aRh#CAo#<^m%gR|bX)@`R6zh~}Y8>~pN_On5sGQK7ZjoU+Y*f2h%KajZ1b3)0lQk@G$cxiux z+F|b=^RBLO53t$8wWXyh9;0l?d3=PbqWqlWDdO=}lv8Z|v;Lgr)jJ~D1e#QDua>vp zr6BHTxgIFzNI%j#%(?J>n9pn2vM_Kk@k^WfEdZl@xk}m}>!36MyNZsr!^>cOTgSjt z%3Pv2T_~}rLase3A2P=Gf5$Iqrs91J=FW+x)E_A;)zyilt^T5a<5If;TG~#IM;Rrs zSDx#v%ar9?7o&rLAHHF{x_?Nca?Y~r;rh=Ng$_GZ_|6c(u3q&pS_9Ts{gUK?_H09`N;A%2F3xwcZ_+R3@Io% z-jF0_3Rk#%G?Tk^3VHf74C#7gByo;vRkV%{N-HSBpU+1q>gwVUaLD8!L+AS9lrcUV zB)Yu)GJ``AHA46Wz((=vCsBtmEp2#=>&N*`Hw^0^iBa?aNz4ByH3w-7OHk15gL+eV zt<>jzCLNFUfcdM_2t%sTYxwlM(-4RK3K*;rqHX!mwo{k$F41LGOy;tCCzPy=_-lmG?VrRkq5T*dERs~qZf3e6U=0U?6dahAw(EBi@V9`y5Yo&pN^>h znqAFdyt)`Vpj5AvKe`ctj8VgTfhq&z9lf)E2%(PxV$-|!zD5Z^F5Ogi#Hkbwrmw4C z5yq>aMU4FtrYLAq8{TjZx}%%8X?LM`PF?FUNDJ~ZHgm$$!lBlJjrcJa-FhsMl>Lhy zU%Voj2EAhE%x?^Bp{;{=cC*hYoU^Cqk7h5+(IsNSkj5LFXzn_x>U8>Oy}`5Gq)<(} zJTRm)#fB$dSW>7e!m7;?>!`a?U~tIn>6iLUx*`Iz$)w<|>vHN6ws9`E6xk$=0au44{MiB7Pqr2lg03zv4;Z`-zHDvl z(Se#PUj?XP5~W)LHs6ZbMC0Td;&(o_zEkyeV_T3wm2D_@Xb#fzilxx#{|{3Ai&iv< zF~9hgjEigO>bCTdHyY_I24cRLiMTa71y58PJ@YKt1qx-BHMx17T%tDWnjUn<8io+cuL zJV5_n&>ijDW4=#{WLANjyce!vf-re|w2;YQmDx%2jqow~cY0-92*=D@{5xbM59?>A zLDO>-YaI&{xLuJ0bPSE$D?9GpZ|l^h^0Nv^C%u2avsMAQ3V4dZS#W%;)qQnV^AMt* zlchQq&^({P=XS}mF+?X_`uQ5)rmTAa^6*zX&&2uBdqLm-4Gb>6*x41r9$qb=71=bT z6)yOwS-z5^lR+R%tWNMoZ`t#7ha6=txQ~gE9mJpGCGvj}4p@t`KThpK%|7k|ln8$V zVo?zu1qTE^{fuDPj_Xkj_xz>JJG--JlUUcT#12bmGQEPw8^;5L%ukBF9JYm8haR1+0)g_wK#i%s0SC48{Y zaJ5#aDUKJRe}$5Jp=011Jh{)VSk&7W_?ya)ke;Z|B~{X@F{eZJS6?FQCdJ?lG6Gv5z^ikCyB~L=it)K*9^D z2xoH8xqTF1=CDTk0h{z#vR~$F1e93?_j?iRM63x`AiN!y`Yl1?Rs+{?BfW7Jus4wo z=KzPZ<#>&vzqr^P$zbDUW{3K%p~{!$bDApm9m13m)id8*k0g@L%1wv*FAkSuh!dVH zH6BOMXno@C+BrinUPFjP>(R$^M`rT3R7;(0IM(~J^g#UKsd=32u;fHaLQsR{|bB3@!mf0j;$F5t_@FAU$@ zM-!hLl!P-$AFQ{-c;q1(KB4_pAD%<8oo6ACjvwnlusqK}kOAckwKueYx__L#GJ;6A zCBN#N#c}I3+lB6jSO15__e*K0L&`dJvh$O%0QZt0(@m{)6N1-^!r~>jW$>o)2Vnu#%^b`oZkM7{P@vUmYaY_@q|Z>3)n*X9q%Y~KvswB2NoZ)|++%vJZf3K}>dco5;7S=~QOV=U* zn-{^4pPad>R=%GHcpU!&=;-M@Z<(9O(jh&ynlGza2DbhT!-q5>tK(I7cZ2kMsz;x) ze8Nw1$^aF=j9w>-+mEf=USIpkr?$YOspz4@D-^oqXhHIX#T;k&SA>Ot=P<{ZKA=HT;R*oAONm;{U zCO`Fe>KU;=10ZgTp+C&jVbCHC(#Y{c&hz0Ku5(d=yRjy{w~+;0?3fX2qSSL|eEh1N zQOxrL{BcwjFdqB=Iu(#aG=;|RLnCNo>A1lnec@*SvbLHm%w7{BmNcye6j@5)-ihUh4$g1=DXVXUR)c!CNydehC{+L0qEV@Q z)vu57GixJ$3)P}LoG zFn{oF5DH6EUR$*OY(J zIr3^hAntHDar>D$Wan$Cf^ifJO_vusI1mXDgihf8P`|Wezqm<-cK-24@rUKm-r?xq z7gC~y8u>8d>ZtA7yxy4_-{xurZa3$Ss`n#WjT(tVkg81Ki*QJniV4oIVH)K ziIM&{Xgg%S{b4EU`6nU_@jrVs*1}o0W*h0Ot3TbfI{uIf63xn9%{nvRf3lsS#yM$* zFJ(M6@2OO0^oI-)95JeqVn%IYf7kiQA{S>mQH`jyP}_*!!uh-L$Jd37-yGLijF)|V z3m_k)pU<-44He*jgrEPHj~IX!l>C3|!RXd>uG#B5Mxc-r^Mt!EI~PI-P^X8mN-%w& zR`_F3&G$A~&M&rPplZk=$$HB+65*?u4I_+u=`BubJNCYb-+O;ry^J~NLE~@cjqLj% z>!GPLPg+KgXQ9z+XHmC9fFb(b2o*@FoeA}=c1tgI_mYpo229e5eX{}kczy=cuH zn-GdhS~R0h#T(h}TUEMowAOQ*MIp%`eey?kmU1;?jQ_$37F8_!SCu$uuX6*AN6eDn zbzj083~N1G+v)S45dqS5;*0mTRms;O@3Ce2A=9e?aoZZbA9v%RRR_>f1|_jPeaw|O zu2`ElDsH$i|DgWI>k7G4O>$REC}B>@sR zh%>>12xvsq~ zFI6d&7zyqiO08E&&y3?=;YrVeJL0#~Z^sZ>qbm|sc}}{B_~m^!_PYez6-os@k6VPY zex6F{+Yj{gqHc7p;_gwVtx3557zmXmsb59Q)!~zOE&eBGX=TCb2D`&YcdSm#&ZSGk z2l(AEHjKYt@xchSXFMgG3NI)npGyQIKT{fZx__(yeM_?vLZT^?f~sjZjip0Q)ICM> zX5oKNJgH#|=n*cIwBY{0j9rmQGj|`@3q{wUD!tD%EWjvo7yl)^J75yOqkjGR_1ERO zu)cHR#FvpRyzu45VCD_VBK`g(38Lhgh)r3&F7)4uwRTjSfzsX;Vp$w zS6D)r8S+;N4lhxc?DCq=0(K=#EyF}1DQQ5JKZp75#%a58Z%3q=2FGTH?-d0vr? z+9ca`Y0x>`<$wG1!7oWVCl~L(k=P|A$||NM>lm!Ncfl<76TPiEkcT%(0UpAP#dfm__`-!A`_s$F9{fqpaA7BuX4nC@fw^dSSnkD|V!}`ft7u z5i=zyR>Y$^?MxU`EiuQpN|AI?ufUduXB-~XVb6bIp-MLh`}kkr^NoY{i;(jSK}zlI zvC-iILP#yAB?{=d_7#BRIm9g>(Q;2=4O+B85j&dCeQ2b0F+3LRf8NZAS`QZ(AEcfSm zIB`Pq2Kh~hg47DhEhVIpq|w?Xm?U2<6PlBZjd?TbYez+sR1EdkEq+qyInkN7%0|1m z6WX61f|Aq97$IG71^w4^;T{+Hwn@W1$>=iu)?})lDdK%FqluwW2QhCQ-XThFf>OQk z?S>Avjj!m<(E06aH9JY70F6Qsycu5+|Mw+I`84Dg&1G19hFuDA+eNs~Sr+kRgB@!KV%AVBMUsZ)D4pE+O|6`$(3wN zhu$0LVj9k0#P9H-^*q@*qrRYkfC?F3tLp@1WHJ;Fqn06u zQTH0J-7;8A_|T=G38 zVIBh;mZO+%1gYG;P~NSR1rf^apSFvh2}o(yV0OOktSm=VIB*ytK(kk@I>m> z-4Mwx{en_87)0?uW}$ChS3CSG$Aw@|x!PS`(wkb@?FM30y7n4Gaz7UCs-azzEU=YmSelz42mb6Ww1k)V!A?Iv zE(X%HWPTJzf0v{;i%5dVL_?uMl{r1M0o;Z^%b4Cebd3w{tMd&&+D0P~f0!z0pty*n zh;?qu(n~5jfU*o!r;fMlW7uF|F|N#h(W!2`c>Z$&Il;ROgEXQWZ`+=S7IgKS*C2cr zGASC;^g3T(r<}1=aq3Spb-5V$Sfv_>9)@}{J_>j7XV`3;f`D8$d@JPx%~}b}o_8v9 z_IIC*-vqg-qSPpW710uV@Or#X*{zN6@H*)gav*d_{?Z5QX`Bc-1J_IJSL?&vu&X;( zT7mWRN_}xtMY3-H4ze>+1lg;&tP5LuG6Q#ICF^yRFuGKf4wNTD-n&RWr`^?YML!!Iif`cD--MDNCoAV@>dQ^EIDspn{&nbjFbv_>+V-5 zFq3CSl8q)D%Z1(O^@Lq4%{DEf2+XdmL?Y-n9i#vRFrg~j55MILeM%6I@%4JPkEE#U zUgh(2SpM`1uXGat^Jw=@vH(tJl>@`(k&G$wC4u|R2d}s8YcOO0-}J>V(j`LM27pnQ zeE=ACtNRut(+}r@Pr8=8P1kLvDHqjj4uv8Hkp?hp=%4s1PEA}2lUz2_3pMbWxFN3oGAle|Az7=Ku& ziebGxw+po=cSXq``;cu$qHcl{4tJSf@jZ!WEzRe$in_9Ha50>(utMIB0kCJuT!v;M zR&bw<$jNht+QkUIKYO)N)ju1*{TXW!VxYF8eVsUEZ(-)4{Ks;adQjOO%l4HAR$hK^ z$}KzKt%r_x{dG%o86DyMrW2| zGE4ZkPk4Fgu*kYNoB&>CJxBxrkj|YU^e`zxJ7eTuu6cPg^;1uuUlu~ug#~cCDahvL zsr!qmHePUe@<}Hm8i#%uw8pVFz>EH$OETq1EHL_^S1(PBMR z`dNysXc4N_6@(3S7uzUtn9)_iE8Bcx3y#mf`FNDEIG6ki;5A_Po9fx5b;rRt6{UaO5-(R4uYIo z04Uo}tr;Da0~r`rc7**Ne$rSeW--?o0hR$*Fe!isl=tbv_ZuiKm@+I8HK6Q5lS+0VaRQlAR(f!BPquX*j!!Vy=7FW~6AqA^?4W$1`?!myn-ULHkGmt95 zmQ*wp;u7I-Mm&}zYWSW%vl&*T?CUfiLpixv#&Kl0BchD_<~o)Fay3cp)wLsA{i%C` zSFyI2e1B~WX=i1 zAQY(9XCuJak;j5ldxRz`mADBuUIVFB$eM*dF+GN2S$zxa^9wD>i4HQ40gR>~EUmO_ zs9j))G3sVP2aX5VeER!^@Y5<&&(ClmUC&j~Zv64%mM&jJfE5j})SSDwb16kilx_#Q zuj!P92!XLE85W(UgLI_aVXrraCgObL?}2od7sU?R-bv{ra7E@B8!K<6PGJ1b-y36J zCW!=9h;p=K>YP`-MpeaG3VVxcnP1j>2=S)&2ICll2+_uJ1;$I@x$E~_|f zbWz-i2A58jYA_*61)v|g>#3A>rKif;d`UI!U8$6@f-T~_IbQPj*tTYCt7|ZR+!y=F ztI1_N^`!-Q1aKIlckL&@o(HbLm{1hrj-xCOZd4@?_Ki*l?07*6F)mw+-u;?2<)Wa;6=7qg_x`3QSz6<2S0Jv zKsTCC0T9~`aKYfj1+4~#xh7dU{q6i9_^tmFh3LIF(-UCx(LemnCOk!KQL$%@9E~7 z8RrJg95`D;$%Hz_uKQ8Et>~mUmcrpksWz63#Jdhwv$k|&dxkzXFWC$01QR$NvaT|! zbywVJtzxk{oxv1~3CEwwUUwbjraXpN;0l&pvU%hwc@g98;wX|A%0xfe>TN z)xx`hii*?-V^;H`7875v?!%R8PG2Hdq)!K)2_>&Qg;_9i>$>5_3;X6Hsw^dI9(e0g z3?z`Ar8G^=rf8ysQn(9v88c9FW)y{QXd_>V`}bulIZgl*&Ew(R%p{g8ub&{D-!Wa) zm(LBT1bc}D7@gLNd9CGAP)Hqq;&p%uX|4reGR0(tpZ0KmKxqB|G{KheXt7V#P`c}I zgeiP>-wgz-tY+!JKvo_(dtpTGLylHd@RVh68;C$^g) zMET}f-uj~t%g5KQP*dyh3;CC@Jd+Peh)J36PlygSZH`Wu*>z~j#g#dIn zG~k^rjN{_(yWjbBTu|&>M3af7Qn>?!-YL?P&H1NXk{F4L?~%B-=>ur8|Rdj@{5dGFCo|CEQiv8~;?8t=Kks!5p& zVvFu<=7z>d9KbTA5+wjj z5H?#ZeFm~Ig_28{`)N=ANtuWX>WJm{^AbUKoR4iM{_b*O%y6?LYC5Pb`zD*Pbux`q+WUwoCt^NARM~@s7Q;${4sydfA!(bt%Dbn-yLYU7{`&*& z`7RcuNnCGOeX8&8uk?W0AuI6*!4&Ix&W~|?s49IyL(7=m5%NL?HywywHsxj5RYY9C zUaTr&x{UpYT>A+07>jp{)oe+AulH^)nt$GLvZW{#L$>$L0mo4lx2(dj??}8Mh zLani6U|o(uAJ+Akzu%o{nv7Ju9+ATVvIVoCz6%6|!nRs-(wK&$88= zBw=M4fM1;x)UswQla6J`xn#L=cE5ktG5n zRKJkRyYw5ll%FeR>Ud-p0 zfBZ-G<1?YdRNBEZRx@Pf1(N=p^+!L1QfUV6^#pexFab~2eL09t>L&>@3%j3U#RvWeT3u=UZm$xh!)}^$UZ%cGFZ2=Kx|UPvbd~SfNP6kGqSMHxS`` ztR$rcAm*P$!P6V97t?!~GVa8=a_lH=`h?3inEp<6gp2M`7t~w~f5zXut+iIuOZswi z4Z=SSkH=n#B&X`>$mkF-*9`eC5w8KEly5HA^48KCb6Bpm0u!I9tQAh(1?0S0sj1aq zdnVpf?Kd9(2&`_n3hqZl{x(0g)0RnKi3IWM$>g_Au)mjj?;pwpbF*J|evM!Ck!C^P zw5Yq*S}r(&;qSg0l#Ze>yHp7t9BvaM3~$+avIy#<(|Je;=wAaQpr;$gEHDV{5m9eC zmm9X+7!B`iM7bs1d)*$L{Q*Ah(Z2R628osVyGkJin*YrXZg!$O%^f{hOQ`A1ogmFrG*?=(TH&(gP02+`M8{*H!+dUPEd5hQrPx7`i+9Wy{Q8#8 zDk8iNSr%mRH+vS8Bi$V!^(p^pm^wS^a~|vVLu7j(Ku5fU8Qh8MyNb|tg4FsnJya-+ zT!301lc3Q#SJfKFd_Q#yWe+Tj+5305nF&^V6c-N*y#lk$hJpqzM=_cV%$1>EwXAqO zqR%;C2BVM)#K)<~!%-Yx()Qy~EzC7I9e@}F6F+}sLieG(Mi-4yH{fmA1rf_KtLzyrTr+LBV_-*4cCixY;kTd3AufD2x#jWt3g zBHdz{-XJTc7x=6tEVuXo3EGu&-KkCsbdy<-z%uoFPZPB8!ciHJ9LNI)%zv8x$_7UO z3dsz%>}~py&VLkBUUwf??KtD%DGyV5u8$^6oX8>fYK$VgN#%ob5tlNA>$cp`hEw@o z6OQIAUDox&K3#4u#twG)5`Z1^7p0-gq2A;V}FU`F`k23;-xe~}@U>bgn z6Wj>9^vD@T@l#XJcztij?}=wK7Z^&jk$8(is9Gq-g`=H)WQn2(wTAf&zLDK8$9gRA zs~oF71gkH8hsg6}f{qndJdDV7EDP28@o+08NiXh$4{GOw~b_9!~j{<0w4Xs&f) zG9;2xElk3^#!)CN`|3mw_oL9zZ9t4AN#KOzkCTj)8DpK`%=)rBHX6N&KxN>9j!rYS-hho8ed z5upJAFEev@!Yq1U+Y%BfWu1R!aI-r+@nGT2jEoqz#YaW?irSjE;IVs9Z7La`ybs=u z8lPc(m+OWq_Y)0i-Uyxe2(Get(eUj^AHaFtFr}gj)!dHRJ3W!X@hhB~Venj3f8F4X z!L*vPr?S)h>a+b-{W-ZIzL?+M#~IxZ$<8`)Snc8VQ(gHH+Q;R?a1_R2?iQ9jGO{F+ z?Gh1k4dJ$e5~EH5zm5m)snrWK6o_r0G+5kbaEnh`&fVlpofIi^lx6`}Et@f&7Xd9k z;Zl$ZFjWUIMDEjt-2(A#mTOpt9}?Fz)14FXrYf0QA>VWO@MH2>(fRecwB(vVwb8Gp zY?#51A5B3~!aE-uZCYNs*Tu&o`;2P5cU9az5rtmq0iJBmB@{h)8u}kxK9<&+!(xSN z_-?(&_A3)QeXmFYbQ2rshxaOZ4&k4-P8m>nsuB_dC??`qRZjO z@+$0&^g`y9@;FO9vrI1HL?Y+=(yI00q7x&~Y)KMe>;C zc0Lek!$l1xIe-oom%@})M}(IpVS@R6Fi&^1#106EhSC^^ZyF(Y7JdDDvo4xw6ssUt zlE*5d8iWGtDv>A*6HH!=q!<=R-H=y>;;JpBTdLbK-5@+n{KPMQpZepcDuN8B&Tq?e z!l=a(eqRMf<(~rg!&=~G2s4U z|Lu`kVrD_v(>yu@SVh@>KnvYei;QzNqK|!X^L`LQVtEyN@ut@_gHDc6#p320sHW1` zatJH5mfO=1lSs^^gv>>2IO$Mw%O}b()yimBHz3iol-cco)VBFXV|D(GIE%$Y&!;$f zOq1Qsz@sX2^*0G2bbH$dSw&>Z_%u>duc9ahC$?AM7Hwxuzq^G28B3!f_vCq@E!zl` zz)0O>lAj>PtufwB%_kA1UcYNPLLzC>Ms>pJmdL<*15GD&3^ss0kdv7rJ-&pw-%28X zRS#lOlPJC_ZS{)`MQTJII7Hd*M4BP*b45jDd2lS-n1}ldI_n$?jBT0I$fjDl{U$X- zC(MzHsL>|PUcw!vysZ-GWZ;IY0g|N+$--mYtszftPc;CX%+Tz&#SU^5@J8O)T9}xL z@tSl8%cH(EYcxZbo_bcB?{KwwXakPI;rO<0SrtAT(=BELrnwvc3R}fInWQr-rBYfdUF)4Rv zuEvM%xraE>hx0EmTe#fw=D#pskX1r%zv{r{5s5fqK0VFAt&{^S^(4}|ZWI;z0SYxc z)+Q9rN8e_`zVNbm2$8?Ahjb+*2e7`53xGcsd~XsES#2C92zOWLB`t=E{@5A0UIek`4P|6Uah>V=4Yqs5&G$#KeD&26e8O5 zF2@)*+vg1|n0K4RtN2?8`F~tEF>&ncLa=V!gAFQ<`iJOL5Fy5EWTB8ZeEKKMOSGK0 z*w9;DHM#{yBE`I9SxS0pd3722h=c>kFw}jCXHtNOgP)i3OwE(Z8GcA7F>_*vXh`N# zN*&=~NRSN76yNJq@yQr;Q5($t2KVEk1V~e$>NXL$4@wAN1OC~Sh#1<^Uy>=aY!I*A z?$Fbn-OV4Gq7kCpAjv}Wvc!1wZ8P1YOvqo%_9>BEU~M!7p4s6S3DBrU&?L`ZncN>r z=cLus+N8BKW4@1}Tv$HSx>3sFeg~>1t@GC)O~G#Q+@-z@{yC|?N%~<9dI~;Wl)uAk z;ctdn1>ZKptPT+#xdsrQu6{<_emDH>FTI9UMA@hg#rn@Dw?sPg>q+ntt3PGqwih&& z#D#V7L=^n8dJXYSdUWsxG|I;O8(UNAY@RU4n^G3T2jIAZUduopt7UBq#9@V7tLKsN zAi7($+p}aj9QGEvJUgYQN^(i&*$)Y}3qpK@XP{iO92~Kv4dbZqby4JP?KhIAJ&L0I zV*j7EktnS%ww&aqb^xkn$`;nYtm&VxQErYCsE{7w#Xr+%k<-BsgDU4xZV};z`I<}k z6{8@3ImO-(AGZ3~t?PDDG4{z7Y2f#E%c=Hw^y@0S1@{tGzlStd!H$Qkm1cU+tsjXk z{>||0Rb4uEMqe{3(me@D-O$r%FXI1?Rnl{CJDr(oFEvZgdhK7unvuN^*;4e(Mrbl< z=s@}`iuS<%2hMi;zSTy}-2?M^D8KV-n)11@nG}96sDhXDQKF_$nVGN>wKbD_*iu$m^8;I~1MpCi(<9)) z7!8X^?%>}QTiNK7eTC`VNvTgyQ|u+3cg0RCnT_v6HbV7#K`$kVGh&(ws!XNW;j7;p zX}z_tIjWomZmyljyQKHb69*FsOps`br?=SXDHdNRfgxmgMh$XRD&g{KCk{qVEA($a zl1AovJBQeD&H-rv`DMDfpBsk1;lA+u8bjcVYyRQX^Ks+nLR-}5j(08+uY@6??Mq9+ zOMKf`lBlikb+Rf(tR z%PtuT*06Ztc30Y4&V=keC2;>|7uRE#8izjzrbc{^5&T%hPu79vAK7H5?8mVp;IFc4 zQF&JNwJ7U)a#4$~aqKgfeJJ!Zw{{^jKOQ-^62^Z5Df=JhD9OtLbJcTEz}m&AP$O1z zyXT^ZkM|k&>0qG-I(OxVt2Geg(tFY>b77h5t|*ViN1^u9mQ}QkEWh@uR^KmZ!fu`k zkEv(PN`WQJFp^29T~pTpnbKSGmg5Dj`na`iBuIgBBT1=ld4m&(Ggta8ec_+9%b}rbzYN-00WmET8fwJAy?GJGo`}&ZPb}}p6`Q0B1Y5#o{QVgU#O`iV*6HB@$&F3bl|QspwFfeQ)Y5MvFijd$i`=@ zloG?E5^4%9k1zB43_quS<)pVR70J&O`1&-8sbwW2JI_F#M>SiP4h2iFN!fZl*^%+v zYU;;?WVHXf0g{$Ms|qa(B&Dv@5x6ZM<>YL$|MSSDL`1+*oy<>F|IcG*$j3XtSE(1k zB60hc3+eW$RTNQ2&|Q`FHayJ2G4L>7M01z)p29PdsKpr)os|0hWP$uy>N-FqgBmHhQ%Xa0%KW7{;|);}zP z29#~EezZaxNO^V!f!&$rh_@tkM6roh_MMTiTkZC8(KZP!3e+~4aR#(MD0PUFESb$h zGog=Sq^OGvL|;VAqxK~lHiPY7!sdf+!tC!OZeH!zk+_t4TlP%mvM}koTMI)np%wH0 z<6YloSXvzoBp8n2hwbt7mTWZ2^>;_lbM^Kwa)n8=wO2i)s$kTABuR8~YI4F|c1XH* zVW;{W@&`uu_2S`gZVfM4e+gBdaYGks(}V?7PZ`*Mvv&!kMeSbdJu&Mef48iTQKPv*@k#W9A2bMaC^8Xq7_08 z!e;c}ocJ!dBd|heM;Fh4CTJbhQ2F&g@eE0fR9dtw(Di^*a$V(N0W_d)uX17jjpHwS z5X7iC#NTs3R9=`A^GLGSPP(oZZZQK1jSSxoNcLP#@^W3HPH`Ry=zVFfdX`!>cg|t& zt9eiIvYcWPArv#vC_$(u7E5vzJZqVXOJdi=`qw>pp*sxi-mM!K?|V!a)cOY_zw_V+ zqmV>yZijv>tH61-S#`s$uU^#4Z22`-BtYZsl2*w*$@qrJBE9Qh}Qe^v=N zmS2*36}xJGMhT<uCDb6Pl%7hGTJO= z{m}Hb$U5Y6`fr$&XoRWEW34sW+%bt%ZxGrO7bmSjudR%7sncOL=LNYxsLZONU;fOT;I`M5nMDgE;P$9!e zsh7})Ae4lMoH#uZ$c_CaGPs1)ctNgeQb&+i-F3h%m*|cbzxy^rlK*Sq@cNsIT936N z41d3i;3n?Rl?R>aJU+Vr%Wu`N#Xu~R9@_|p{Tz{RHzc^Pf-$5CJ2C54Ndq2T90UjX zKS!wzxH&bj6@#!83c>waCOXx8zEY<~u8!(?ey#`C54bOGM_z&EX48TQSvqqHo;3u3 z+oc{@l%)@FaZ3j^wpqcsQ1oT@#Mm(3w%y1FGy}YzxLC;OYM&$HMVBm2^cN8x*oAJR z(izd6K?VPfE6DnIS-{^EE>KRCU?nC4d*In1`XwdM%kW-QRts<1|1y(DUrtYb7U4|l zx8~n3r{DE!?Y!-c3wIk%-UO`+}gs+Z$Nz|WedVlEx;Uw?lFq190dwd_%iipyB?QRFyJwF>@YGzz&@HmOk)|-0)>qE+;g z;4;H;Zr=)TChGQwC9;S!d@IMi6PR})hfU^VCN|H0HSU2aE!X(hmk*UK%@%O^e>ALo zWOX>HoxcUs>~&KQ7a!D~@4i2dNA6AtI~VGSIv3^r$H@Pt#-wWuxn>Y9=ZiOYT)pC{ zvOA<=%pH1#GC&=+;Q5((n$4Wluj6ueR6L>>1b`5a>3PB9h2agQAi zj6#ns6nj)mFV5spQ?@0?*6!*mPwYUu5cwTV9|_ZL+pJzisOQh}4GT}j0DqIa?+R3a zXy_LJSo{=#*e@2G{x##Ou4(gbeNi7Vtu`}FQFN=9imtk?byJDAw$O!8p-Q5gHE;)@ zZ@jcX*Tv#4MHVpd1^Yix_J62}oI#(jRDd+I)u*?k`Ww#mH_6d;`xAyS1M&`r&HxO1 zV9{(1e^r=*`=^@F2g!c!lRMEf!(npoz0jDkRR*V&xvi2dN}u;TE}zFcKA-d#Iul-> z63ild$4S2|pFIZR6F7d&44)7n4-mu?$r6q=`6L+M!7Dze!?Ra z;IrFAf?>a*DV#mbAOOBk;Dh(K-A_NEpQ~Q#myN zf$C#={99oY5j_KvSAOdH9_2{;etILM3ZaB?qfP|)KbK4Tj~1EGG@>N!*nI`P0Q&d0Zn@5I148ne-FE( z!GQd2sPU-6BY~h?8#}qwDQT6OYqJ^q4FL01{337A=F@f&zZ?ir(`y;(avhWKKJG#o zk2Cpkr^aPv1#sDHS+L?teiu$Fh;XM?zU)gC0Z?Imho_nheBmrH<0}Fj5QfeXWq#h* z%@J`Z67@a~ArW`mVfykJ%AxWa(WA<&tz-;84Vv{$WASu#+lPT}ZWrTJJI1(Ry(h?GL8ACtYSJ!G9K;{E`{jj)E7BI3#lx#LxilK8 zfvX9pX&k=wqq`ndOV(|zlPQmf0=C`J9&A;u#WJLRTeAw4{kKy4$GG#G%JZjwm+El! zwp8NWiceQGv#qb$0?B}~b{-5r8a0=`IgW9z2RqJ2joDlGX_%Z+Zw@z>2j91y>HOC% z7_-v?j#4S5>PkCPHKpnCy+!Mj-W-ast!OF#^!^43D z%Eq~Fx3*BdIvALjFv|LEtMw%m6iJM3vk+)&r@<6HfBMshiywid_2l?dA;9X!= zWs2JJ>JM{9>@y^0fRz@k{W=d@{5rA6CW;U{k9#o1WeHp@eEC0#F`f2aK#6=FUxzHV zKx-A+Ye9!>(ZB55`v9&dRC(5$wh#{2tUYjhL9pQ7vv5b#NhF%&p4sxQ7{pM>fe9qiLr$R|yv`lpWkLcImdc_qO-%DY z-iupef7u%kXhC@l50!Z_Zd{4?YItYwp4L4mL<+#QcF0E2 zO1q~Lv@35cFN1Yqr(T5fNMyG{!1PczR5dxP&8di0p&IdU0gz*qF$>%m$e z7{5Um5+#%jj8VOQi@%0tC-jn{{Ia9Ct%GD!hib^}e$>9^8Zk3)uqne~<`S}w;-~}q&J=cdT77$N*|pa4 zpwU9j=F~dFkJa;1PCSSBWqehQp(vqNRio@`%Ye2uQGq~36s&gCMfx~$^-ekY%bSx& znbjm6h_~b4txD0CH28UJBh8+sDC-qI82ApVYxfG3E<`wHk}0RBD5x3)`XpSmqx;tG ze^7{j1UZ`{@oHA}Cc47mzi5OwexG9H2*GiYvWaqlt-|uB%FO^&BQv1F0TnRhI!t4x z8%OpO?df`n_kbjXMZ``7)KE~IpmX$u_R7D0Suk3^@7xumZ3fRJ*_8DB*e^(n{qv%W z8g|$zPeO=@)S$x3D zDrvH$gvSvv7g(|wb3b_#JO!Hog2-h|>%2y;`AK}&_ulv&^iK%e@J9rZ?R?qm1{@gf zt8%z`?jPc-OC5a|Ha)#HFl5eLT<|6H`)^jQJHKCTgME)s?}s$Ol|}%86bhW2KS&nV zYsP3O%{M$Ny!z-9LPI=<;YBCLl8YjXyWZdWWA9@LQqtB`HnG}H_AJxtNdCucxp)nM zFQ*(|{X(3CAnZ8;M)k z2giGwjVDq@W}p*08w+|Bwk{!?8O6a2TK|gVwv_KjHj6+ZcrJTa$Y_a)a~sG0>I399 zKqZneGT=#pa$0+npD=#>#cii1Dw%$5Ar^HRHvm}m!X?+&X)yyM)%Nu>mWR=jw2B;c zYWs~xDvHxME;WldmFuTB>&IE~orRDU|=MA1Vm=*M+ampHH1X36=Vp>)J3*eB_0!<|t>8-?g$u%&t-Lsv-_msE}B1$}1Du^0JHi_#h@=&#-!v(MHH4m}w* zdkCFVH+gO%guh5Tk&*B$lkf=oRLri)Z6F)-KtosM8zSJ{vBFK@*!hp1q%FuXaKL$< zz&{kF4YHE6pi)YD^pB^ue~bTFkb(*!iGs!gCHlInHTvi=@S?BqENR;ctZHUPW9a1x zbYdJJQmEJLWnJuOUyZq)2%0dFKYrv8FM)8W;00HZw!Ts_^Sx%{jJg%J8VMOgS(?O$ay}Jc{~YEg=$u?*xBrZ>2q+Zh062#N)EYy*$4y zT;OFr6Tl^Jw2aiStT~x?d_X24yv_VmCBRM-QW`gv3SpkT>$+?Bb>4Mhuo%LUeYJT( z0fs##mu>9H6drhS(a{fwCGxUeew){Qyv)m$NmVb^cgV*GyQj2Nj{aW7)dL^nq- zjM*hG3wzDu{l+aF+*%6h*U|fNnvz5se2v}N1qZsTR3=-{#u#rs9dJ&|<596+Qkqly z#S)(*1&){r2OpLgQ)ZBAc(d17)17BVXN3qjWiV8)X!+KOsz&3wf9}=FtmR-;E}|{< z7d82+kreAeW7q?nAKDZjdI(*$Bmv-pspCp0J`>Q0StV zw4AoVWjZP;!EkUPq#D?5XvH@CUq?6B5l6bQzk2jRuSGJYOWUENxZm`}i7 zpx;3N2~Y=n*$F@ojbiKEoecY?&-+?!eN3YqlW(Ng_Vq5XeD+heZU4I|j#|0NK}Q<2 zQ4e~MDmmZ1F4!BPtr5w2RzZB;2NKKWSDHQReyW4Q7!$fQ6?|!dU-G8C1r;u3)vA7- zO$T!Iz#j}qfd6(w@PBBJrEmu^7?XWRT-`;*=}a9~*1^1%$fxR&xghLF@FeeqanMdv zU2a!!wAJMMWT4A(+iN{y@sMj~FxoS_f7oV15=rJSm9kdUM>}96a~0tnu{&+KJZo*R z%URDgpc2aS&Q-9l)rZZMB~YLYDC#>`T(?Y2JK2Ri#$^&T5Kl-4CP2K%G86MfdnZF_ z#lRY3Lf^CVT>fmLK&avTl*=7MUEL?ljlG>*iM*mnZ$IUzHit}-1h^7*$j&RLWmhW~ zKZfQ}n~$G@!+vq9)7bnL(&Ej`soZduFPz(SdicvcH)A(H zLy7LzDs1SvZ7YGKU$GamzOTv)KXv%Q`gl_yPRyB_$#k1&cd!Wq>vVZDUPnoxt{AgY z^yabxY#*&KKo|er1fV4fBZ_yF-v*)=iH11ABiT&i8&T-Kc_9eoK)6cMbnV_Pnh{ z=6vK~Zok%`exnD|ybyw_anp{TV%8pdH%nNMCs+q(Nkkl8aR?Jk(bLfCH=;7FACh2eG@XQY z`#0D)!#7q7HUSe020fp`{RHICs^WNo2Z(W1yG8Fy63<+R9rspFIrMesp*P7cnp|M( zRz$o5VyHRI7=$pm?G!Tn_{Tc=A;NZ0>Dnj+_OK85{@0u*+F$yJ!0sB;x3I@W(=9D@ zX+6~zUTru#IdxPBYEnFX4}|uoWza{g>lLOGy8?%8y^Cdfeym9+Lk@0>z=~;i|Eu3z zeNS$a$HX@zAt;MdvXDk1g3#UjPOJRO23vGGNPg-YWGNc-T*}<{eHVyeABMtGyrglctDJ|j@oGoGb_w;`iLTsmUld!yLkFc8sdIBW{Sefo ziHl;m{Tw1*jkz?;ap}C*hEnIX`9f9?FQDM=t^>$5-UM^JMDt!A2fTvY_^@x0e2Fm^ zFr4+wYq~+C%Ek|2-?+ZN)6i%%)QSrXOLFiq0P1n)7R{gt3n zQ*d1|bBn>@OqbTryOL4#)=5b52;mnva4%9-=2@1Z?@o`${?oy@h%8WJn864f-+#6> z0GkCA+_`RZ>w4GSf4OUQ`)*Oak52Z~fVPnRopg=^TU{V)j~32>m3E8cRVn))2=m+r zOQh#iA&cH#bo8H!T|~7|oeGzKFTsDASnVTl&T-{Fot_@AI61&Q6GbC%QV!S?@WXwk ztv<9SofFE+WO}%PN!k&Ej!Gm+kP*6sTEGwiYB$l}C92Hi!RTJm-3F1;rLR+9U^n?( z?nB}X4y@Vwy&B<{%O1~kQ^EW|cBNL%>t|M4@T3pI#3NZQ>YH**IN6&ggI3s6SpMRH^(jS7@OJ6Kafqx z8u3cH8H^X?F1o4xmF=e%^!h;i&o98G)k+(Y81qXkN&{OYmM}>bd@FPK?+y!WX3_=1 z&=Z>Q*lMUaT=(^t<7*Aw{HjCL&r6@v`rAhs$7Dv=06|Ma`vHL@KmFM#)Q#=xssh!p zEwJ!1bf|YsV-IbHjMvC82rRtx<)p|NjnfaKPrR8#y+lGA^7-+y1~+=c`3bk;7}d5P za!O|U;rZ(56?b;QBjgQ#)#v4ye04#ZlJ9v_R|=Z)Rh3y)a?4Z2{xJrxY2-H_Ysigg zK2u=7xo3}2(SZ$|Lo*6+3Ho3+3xzuJyui`^t-<1N9xhvoSG$f#Arc__G$8K`ka7Nzxi#Wz_I+h zcetAe9up>sr%jMxV86imJVMmzZ*v+}1}~%zJ8oi~K%rk`2+~@|Go2!NvPzkZ*_bmV zGKWBV>c<=j_hjbJ$@Y&ZHBP+;sb+Ond5>QQ4D2^Sz154`6-Yk9dd~S5KO@I85&%UWXHIz9&2j`2gr={EecA*Ybdg|te*a~zT4fH(B zwIgdIV)MxPPnJvHGuV?+LC8?$@UeZCFf*CAd%Iaa~zeWtF=&3+m6bvGoK`vE{C z6wA*@T!qLDw9R3qt^V}TH=;mA1`;5pqv0D%!WlSG=3F{<*;ThA{Ms5reIWex* z5T%KtK#?2)F-S_)85-?hQ&c+bYdN^vSlgKt0hnr=T`c(Ga2gLAk(4}dS~6-UWod;v zoiBP=Hgh)4ySC;f^C_QmqOz78z`(+Wh%jnX%^mN`^ym{Sg+yMurN%#U>M(0+e(QBC~ zpIBseh0^5R$y|z;W{umYDZ^7Nrcgn0K$Qw1(psyMAM8G3lLbLp zf?|^Fx=r-;t9z+J)$^wt-m+#7WDJ7>F1*RC z`Zg&7vTF9Xt^3T|&=+Gjj;hoO1Dwjl7vFx~oZ_mwSPr|~pB8`AnJj?-15xi_2HQW@Pi{rDnp< zX#CB=%G0WHtjrm~up>LD-kyvF=C{a0CIx*9i4ik8JtNhd6u0S0zrwdLp8 z$=^bs!;vZIl#q-H*Pnmzqi-!yUL<1M(6*m@>l(Rvvdyk}$a9=vg#AuN+Tk3_ud8VG z!YerE(EOwJHckgaomL(l3LHM(b(P5|c~3HdCQl4`sNmyw>cgl`dzL(Btto6CO+DA@ z%Wn~o)qG6pxFH4LVjZ&PMLHteU|?XC)`p4+rKW>0v@BwBhwAAGZ7!Seh(;@HX<8e} z9alb16d!QV$B@dnOcBwfAolX|3IRcph7Eo0z=y_IujM6&UN8ui=@CcZLJ3b?# z-!RQZq}|9!b+x(;{SU?Q!dzM1n<-p275iTGulN4;Y~6jhO3CPO0t2!k=NAlPp0$_* z$#yqkk=9^ea!q=?kqZ2z3B`GmZ`OxZF>ycR<4-5&GKR;DE;zR=V$wt%g@38(tyeOf zqrV#=?4QqWnR@3xpp8GmMLRMeY2$ zN8W-QvDdf;8{%pf^~?GK40apb!<;zpzd~1l1BU6I7A1@-AcgvdkdjDq$k&s!ayo0a z$5v8GePv%Z#tN-THn)dU!t^}`xd_isDOpcxEt`ax-Z3cwn4f$(hl*E|INA;QdviYcSa5I!fVtSf zLxF6v-@D#jk08E=f-Ap3-)%f0Z@TqzfV&NNYe(A!&bSg6OTq$&_+ys}+;30E|S4t*1! z2h7=-bg7%wxemizV#2YLrDd#`Sbd;s=Fsukm>G-W3`?j+cAIZqqKM~^$t+qJ4<(yZT$eJ5)Y$oYnA?GHdfmPI zT#>H>aDv^6J+QX1eEO+xXdJ`0i^rmFmY88qVD6##d6fA3p`wg&b7TF2W?^!|F%JIB z7uf^_Ur!t=Hf`eN`TnuKDD6R*a$|B4EJN<3zSoSgw~#V`X9kpKvc`d*(~snAi=%O~ z*hb+v1g0_8u|=3W91+qu#F0hc9od#9z1rL`xoc2fws44JO&G?9`%WPYvd6}8BJM+Y z@=ZJtAJy_YhB9vlJ@-1T=d&}5U2tcio2>IZLs89I2n&V9=#40(hY)#})K6DN>oI5P>XzxICBM z4*hUv`~B8r!2|O$KDkTPb@seso>0Z*GlKY81wgr}KRbq!R)-)3f1awEb&8X#V8%#X zt}?y$uGIAZMw)mV<*a)%Fvt?8Q{KMHzwD!iUAuX<4p+yWP4=|U$Du;CgOtYWF)2kN zs@*|T72Fx1Up7&q=Hs_4#AJwSvy;iaXf3m+$n>dj&Ln|h7KoI>7{)Iy(Gf zXs|bL%-EV;sb%nk?f@uBCEHy6MRiE#5BF90S=Vq+CZd^~7Vq7Gs{0&whACJ{c6?l# z*0DFKU$%=CXYOI%Y>E)|j!BF6Ky_X78j)q1j=ar7YR8%KslH`BMRG+CD|#B(L`LIP z&;?`EaO)vsWNu!gN`x~+W(Slt>n*8d@dIuXk85u-$Ciy!)>2F1LoVa#rlYfk3DRg! zdho#}k6V~(VX$iT^xO-^?zHb(d_)O4E^aeWsn3MVp0SiZR{y_AP3DxPu6OBl+Q zqq1>O5#Cx4AAsm|;FV1{@`gw!3pf*np_G+LUlRbK-S+0dVvtg9RnCvFRjPfAOo`y? zj(ZK2s*&+!!bKrhr%!nO`Flqw2736G7=IW(5}C4lifb#@RGIQjEHE`z z*P0TXb#EOPlpH_r#W%l+y{2R-5wle1D43mNMG6#=M>Cd@0_^!mYU4n2+3xcXgQ@U$ zU!H;JEXCMVcG()vCKebHC!~9Z2R|6;sK3L-$RBB`w#qc~x6BX1=gI{3k)kwz6^{vKJ{R$2gNWM_$$CKI=_a3ZetxMd<~^6_I!!@j8ztZ z9h`Z`FDKVxH#)@?d*c#i_Tl*p5*KyIvhF1tR0+2PMhlCVsQAeiIFQwRTEst0uC$j# zSe;M!sdHgGRqhBU?w;oP2?ega;HK2F>TsDn>Iii}twnLgSYTSgrlqk`)lo(%BT^m@ zo#!F2)~$}EFGq%9S-fI0KjgRL+Qp}#wK3tv`p&!w=1#h|1>86~qtYlD0$nRt3&q>> zp#OIF(g+*U6D1VYL?vZwnd7Gglt*!3Ld-)) z(`3#j9e2mZ@ONu~K;)Zxzf)zpK*|$ZM0V+8r-ZHMFT019jKy^xR>s4~KQU4IwCm;8s;nQjA<0&i<_80!r!<%z2U{>`Fv4tG|MPXY^=-d% zbF;ts>`nLAJV40^_pRA+>)X}N_On72q!w*AKYudhWM#j^+ckmEted0FBPZ%ykVa8g z4}@Uae}0(GZ7L(a?wr7B@j9!bp}@D|Sa%ewYX7aITJ%=kdq{vhc3S)JCD@Dgkk6OT zCemRxeLij_ol)!2GII>nR8|tu%t}ySCzdm@jHc#)`4lY~$yBu~N&fCw)hpj#{Ieo0 z9E@#l{sLn3Uwja6SxN!__H7bf=8B=Vw611bWRc5^wWWR zhka>KrP+(P_ijQ**L$kC9v!YT+xnWG9Mk9f;Tqi^){5Wqy!}gJOBu88BRzE- zdmxv{rZKMPBOTR$$Q@Z#=o5hOwYf=`)pqj}(pr5a;(u#6#78VXzz`fDFP#`A?zzdL zLnVxp)=y(mOj8JD(yJuw`P(kJuuN*3VE`-uG@uW-&=RZ_M+pV)y}f@C=u(yL8N$47 z0G_s7DP~V|t~gJ^p6^phN^Fa2)J@y4To$rjAYYki9S)`Ti#`24+ByHHCeI0@lXOO( zbb%;iqwyqZLersX1-YLS2XP_^DYXGmyJA5Phf(*b_`Yz^p8(|~0@7D^7U`vo!-R{~ zfVjiXpSRupU^3@>R?k5}4Y+YjMk6D^>SoLp5-L&}b_^J=hq8Ug;FAKLMCCN7ompwb z>zYa5QNDYX1|}{P)nUn`W$3V1WIK3>1awQ3sP2+W$F&&v5|Y(_u7PNd%3+d`O~0dM z*=I{@m42s>{nGBOou;92lxP#6x*ucCEOzE**|1{tZP=u$hQ16NX){2MVL(4$U~T57 z{bs|L9RqHxxmw5rNUr80_aC?;)Z?2H^ zo3j)v_CtI8i#UX)))T0H+FMWbtLtRm2J=gj85oTZ6(F>?=}Q-j z6v%$*KO5#GzZ?>M@Ykz!4M%6$89QjD!Spv`M;KVPOB@#0eF+{^zm4swj#Y(T^~y2@ z#S6zFGaUDDLg6E)z4XFsPwB^>t`a=TVYO+roiM#!B7?|gmXR>Dq_<>~P_r1TCnRS1 zNj8B!S$0JU@O^+nyfoX9bRjPVQ6nrDZoR_^&L|X{7oI3GSq$g~U>>l0;0*U8MsLq? zSZ5tH^2<}tye6*MR-w`QQnjPmA!)6ng^{DQoRnODKm{N(m1A>Nx~~MY zs5Z~Kf(GJR+D8M?rGMe+9A@iv>^vhm#tzhfjbNiKI-OAPy}RgHWdVR=TiZG}ActCT zUOs7!Ibx)G$$Xs$Gy|nipJg~ z!Z&`%qLTAcN~v2ZkLy{#P9<*d=(oQ8&9-DsSJ71{67e;MkrdOjh#vM!w$Y*P8p3=GX>O+<1e)vevsz9*rMWR#T<5J5V?FVuxjGCqyfyCO?6B648;C{2#0moY(+59@!Ki<7DELIhBy7O-cs^l!tqJ>E2NOkH`5M% zSx)hDHe?u;ZZY*3q|ay=EBu-<+1#5u39)%njubx!4=y6CSmgfrmj86KGnUPf=Du{7 zyo4he+#_9KIq{%>cPwysgSp$htCY{vS#?BV4}Af6J>Zqfaf|>(+WHS2{Nx{B|JQs| z`C2~IR|ZJ0B)tGN5ZUR^{^&NV`PUzQ(po70nyoj4m)1WpLWJ?|)jukZl-5f42l{}X zcvk_&h#+9A^BFxud`#cv@xyaoNkMHnC0oFDL-GH-`A%cmRAe|D(`g z8c4rQ9;|iXk5s`N>Ja`q+u@B^`|IEB{=7NFKf{2G$m9^=bj`k5+gRypoBsZ4{?!N( zj-HN=j_@Pl@9&(PAR$8&8(k}qkcqa9u7IwN`8QpVxUQMLjR7GW3mrE%BHVx4J0vzK zEgBQEptxzyJbwypfJy$GVNULgZ^|c@28+4FzvBfPLT7LJeHX8Zr-eb~9!+sfy`A#P zt0=nrJOOKH+x370HiC!9;X`KWsHYI>4^RI!?L~XHX4HmeC#Ok!o8RY|WcC7fPs2e&Je|rWW3n?mN!N>)C*uatMcpl(ljbhh@Rm&n4WSiTgf`nD znIbDrgXdEgqh5J!u&|%gYwPRqF{+^z=-oTwlQrWzC?xEP+5(gI>`z_s(I9f&VzX`^{H0KMjzZ>( zMl)K^Sd@-k+BxIblex1Yb~C|2tP4v#3q=m6h8*>)$%+kA=}HqNC^8ZP^O%ZalS7qJ z;+}7w&q6b_i1qL^n=PO-gFLmU-y1m1-2AdXMso}GG|4g;2+vhX^*r%Dt?Y})@e>a2zi0(V`K7YBOlB7BHSNlnkTzd2{H5*J! z)OGgjgvHr`q*p%eU!Qf!Ew{Km6PZZdJ{50JFZAl>26BKjziEB0h|&GHSCfk+!m=x_ zqtwoDB*z{PmX^MLdE%t=ai3S-{P^r3oeuX>W9XLETONIrM_?nkB$BDec85P@=g8=D8n-S zOpLDtezi+aF{!Zb!Q|1gQ^Jm9v$g?cwETG-Te~Avw-F@;Uun8klc?=duupp68x612h!03Po z={{M6ux^MysbNcC#PAc@kjI9*jdX7Bc7gyXB+%J22rf+cwzo_7EN}xwA2)t}NZ;&? zK!~ewn>5Hdo*%ONZR#8QDc0jEaoAAu=;4$25LrPbn59^4WOV{Zd21zM7h5Eb76;5Q zTxrcDVs$G@Dc4SZ9VbL$x?amrgo3T9aRm8+bj%@8HWW#`!`Uy+v12hOIUlWNuRAz} z&9a(}qQgsm7^V7_Aj{R;A>Y*}5+p`~K%QE<+d-s<07Zi821j#txV3ln-{kvS>eldO z53@N^mHmWNaENDeeB9<@M1R;^+=TX|VZN~y8lSl*c+4{{{J+8l`hVeqoTG&*#_6bnOgv zbiWAmf%pty)%Ugl8Q4>G((igddga!b?eN*(|<*ZYpG*w9rUq;=Lz3(qG+vj-}|Oxp^N9zdZ^jO7ILf;maD7e z=xw_4F@qcXr{=r8f|IJ_`5V*Txxu!>(7WAuRr99HoAnTQ72fas@L?MD)p;l_7;7qQ z#Wy^l>4u8M0?Cpl$NW0m4t?UGf)eBDYO}dN4x;>)k*(n&Ajk%&RFFsHWh(!e(&Cn1+IZ6{rP&XjLQCPIx6q&-AGAUhOQZVsrd)p{ zc_^N#ps7-)Xrc1EQxh!A$h_rBkLuw<9cBOb4fn(O8W^|RRpE*u_<>kqhs(UQD!k<^ zw?$F8`|q~TPmlA}rZ_W|Iz9cqM_f)P>n&^z{3o1D^J0*iw0Vr&%5Epio9Q6^S1FBI z=hpTv7ydH%yNG094<7cRV14dmz9k#m5{34qG$VA)1p0+o<_A1KtM)N*#ryZX{5IPV zVqz7GA1IUw99BGHXjJ>*pIxsH@6flf_errg&HG-lnA_S@(J`LS^tic+EXSmqC;VD( zyka`^Y{6<+qN{%E%$RCz>L6UtF&fXLmIf&&NICYYoTE@C&7iB^pI4RS}rkO^-5j>iqr7oGqK@j!rSVi@o}0Su z;ao_={>mIu!x`o$?G!gxE!mR>S-uNL6N{RVXW3)P0X~I> zb@RLy6td-}oTcbDZ7gv-Jba0eCtkZa$j+rh4(360MJ8^pea-DfOd2Jx-G|6cm9(7< zr%l=Id28N&s;k}YBu&?BY3UP7*+7JCUS&QHo+^ObH=Eq3YO{x8#v|%n3~f4F_s)}2 zAE)2RfvjQX_{@2`A#IJ7zybIX)gz(O}U(mYX26`+hO11ZOP(jrNkjsS6oVA$zj*J zPwkb|$Yer}ZEUhzEw9GSwtkn@0uL?E-r`fszJ^oeGSm`7S?Xs=2M;#wr6dYl0uTGs z1sa%G%f-{Lt!xrc*Y;KBk3run~H}&B0W`ZwXxVf>+>ISu+LRL=2bQjkR@~8m-@r!;)FSN zj8;+ceD>$?9bznL+^?N$x*jP^FgABRYrDKQlyTY|JFK!s66e?$x=$ETx1W`jMZCco zDFzeg#33R1jHAFRGbgl&47GUMO>e0)tj(pl|9CWq$D^^l>hoM&_*`duTr}shJyS9? zpcA8rx2#{@DDQ{KU2wgxkHD{Yscudin-ISMT;=q5=@C0ng58ulKO=Z{JRs~kYdCW- zn3jU!s)_2{@q_4j33#&eaFa=ABbBhrIMcPU<7$MPt46I}O5?44^kw(pO>_Qs=NwC= zjjQ8ub?QDrQk~h38`6`#d*bRI9sH&oB8^s)_QQxQL+2$i!IOphNBrkp$w-!69vxHftPXt#J1DKSSwbS2xzhHuG3W@GZHT``?g6Q@ zJ)Gp|=!neoV9}{jb zTBO&-imV8Y{1>x68A86bq$NocJl`JZQBQP8xtUyC>s9Sc<9d1+#IPRsIM$3+&9^_k zvhz84^_TrcF>9f2^P#EK+ZcBCJSNE01hK;);aa%KpWyzFGpdZ_f30iizEd3(^HaYZ z^LI36BZ+v6?828`sSTBdsMJ{(=G}aM#Bp1zNg=sU9iJ1ZnMCATZ8nDo5SYs;bI5?lkbxh73~wAiD$?L&xF#AHM?G{7m4o3_3u8-^MN zJ#(PgXqRXoAkS5fdut*h zNpOeaM%7_6TJdX>=t<3SzZvwyaDcVPIlM&_f6hC7Gd{GaYV!rR=f~>7^V1#zMLD?{ zdU=}+q2T(B2(k3gv`UYizlVFWyxYw=o+<0N+qXhk zgbb?PcAYrv)#SWne^c{ZYE0H}tCf|Lv%Rq)fJIoN_wAdv=E%Rf|CFXiT7 zB`qh1VafGx!5tE?$Jj|qN_MjNy)v081u6vT0BHR&oO{3QtfYttG?yQ0Qo6djH_;(9 zaKB*x0~PZ;Hq?i}(df{Ln2z=m8xt9K$$w-349aq`6{cmy>+0(IzqB;vKP0ZIsHo^L z-`q$CGX+rR55_$5FOMO)S&Nh&EjIYMal`&wOJ3+%tTY_oA;?QgO2T`(CHe1}#K+jZ zOk-eZ2vHO5e>O~tj-JdA4kd?t|1?4Je>xZ$8JV1nuM3uiC;*`HAAq|;mJn-5P37J6 zdipFT#$Rk{CF9QguNfK|Qn_Yct#t+cZ-*2W6qvt^%p1L_rWkyt}+E_uJZ2P8~(cDC1(n9=!BVctqJ`{3XpjK$BJ zoPuK2AL9Mr7JBc8o&{7?wx=K26#cEeSylZjyjkV_^{Tm3+1S{yu&{!QjgFU^fHDLL zP+|Flz}0R*7(tu&2eVDHk@U~sxRSOMW_V5GwQ)Ax?*oNv3ZQBF=yC_WdvL*sc6 z1S3%V`b$osowSF5DJ?ZQ!Gzko%D6-SBcxSvL(Q)PiIny#($Xlpynv$X>FJ#~Y-2(h zxc>*c4hew3T~bv~4&~{7ihpBki`jgM^W?*S>qv7;ozR{PS-*Q&O8kaDg!n(C|EjOF zwz3)<8%sw>t@QB!XY4dvLre^eT<2fQ)u!_hv3Q#3sgVCn$v}NBkRVUO5R&Mh7O0Jp z%MOGep3@Ydnp6jPWS=IitQ3M+2uxz^k3}=g*_g8()nrvv=5`(d5}n(fwbcRA*4m9XL_OQjYz5QP2LXDb5DQ-F}E(1n4h*L zpX;q3H}07+IF*=b_Lt0tHr*GO8*#@uCE@EzrixT~o*&MGm-ePxo~jyG=cgJv|yFXW}tD zHld3#be!*q>SPNg8V9=4c$&6GQbtDRV%Y_f1pj~Qll%F>TH+cx>yV(0?9Nq2*@=&T zZ+74DI^;8KDADN&v3ib*jdf}Y`zbT`6b_cnsl5eb)78i|~mI%=JYO5W9rYX$3n?#fTIC}#_-CiF zOi_CGWv62<$Nz(`s|<*0>)Id+A`*&}w4ju<(xr5xA|Q?A&?w!AfHcyLNJ*!I(umTH z5<`P@GxQMO9>9C`zW08A_`^B-?7jBNXT?+tFnqT%NeWtg|Na)|mnTo2G|*IORochJ z#eu(W%f(HO*ks2KAWhu8A0Z4Yv6F2I~abWe8+pd8kwp=r_mpiHV(>jYd-Aj`$fEhvysml|6|HF6IpK zzOrOissr6hC0KH|?FrxpJ$*>!j-$^=&um8m+CZZ7Vm7k|)Yh29My^w&A}!munsfYXRnyxK!)*WGwJ5mE)wH#f znV;NeoO&`+Ifg4_Kemy*qXp)7)z7Htv$LT#R=V)yZ%XnXMK6`Yhv)2Y$QMS z`1fwzZ6M8NZ5M7PaqY?4$B2%{1d~-@Idp2eK`K0M@=1R0A|DiQN+PS7O%?Ui_Bh(^ zv3mOG5j(gtl0l$~AP@*}AnN7E${>15cr{e;XKPVu(=ziF5{t$?Eg zk7RgKVZU;7+`PW_LJ8okg@pxb)*xd8gY?YIcvf#B0s`J$4oCQG2PY>dc|6%=iT~yi za)%dt@pJ8Q(_gu-?-7KdVc z@F*e65uWW7g8LpWm1%((S7rFe{;3zwuY;#L!eIqwh?raUDRcr(i4d>)g*EZF2IeVF zvvFRBER2k%TeGumFqS-g>5$$Kt8}xz$1ryJrTq)*)V2T!*DaB_$;Q`dSB3(+zC?!}W$xp#u}A$%CcB z+Nl605KrBx+Agm>S^=zhM=qAla^%y-bdw9>ioK}8K2Xm52gLi;pAI2oQe2Vtt~6Q+ z(Y+xM^)4bjw<0Zi3q_L(5Be2n!fNw83bVWflRS_7F-oV7_>IPZ5jVKbx{;ptFt{v9 zO1JNTGDAOrB3>DaG_<)SuyhigixC>)Oc;JRB z^ZGwMdwU-{^n3pl`!CkV8TwAINI0u;Z9r2=$-4iU@#orDH4wdd_4>~Jr~FYT;IzcM z&ZlI)!5G8amA@`{Vz=H^?KD+@IhCntV;EkOIU4rH%zmHdW4;oDg@ekg|GHAYUX_ub z7l82TcJMo_CfdH<8+rtT2Ue~Oriue4jbb5gUIllybL+Ic(N zL&xSD!TaLS?>m?>q{;A{GQgE)Yt?(a#R7^=5?L8#E9yW@8I|3m*v=&*|5M8)*vilN z_?w?+-_l6N>!A1RC@E2~`ngiP69%crM7oU8DyNsI@AQD%jwzI?OEZ@|bSSL^GfmKZ zH>wbgb-dny>s@Ih`O9BC9P$1+*EK7S7H zb{R0GQ%n}vFY8mlLM~X+0HXI%E#-E43&+lmUM|iAoyMP{0zdY`oNAO+RsF2qml3qA z(X+C4H4ZJux5@)+uxGD_{T8dUs|)v-!`i7o@0q$QfS?5C)FBZ=?u}1^h2xCnpFVxs zyd4R>wNEa1FrUWNX*8g0`M%6M-zC?0;k$Oi*aGv3xqErn4vELmhMvcMd6gy?vzchU zHxu31Y|EEJNLw`Xiw^z9Aokj;c^(H_3!9s*QB2hu@r>XOUuKoHn5Rrw{zZT^XyKb8g(pltc+)_y=C(eF4xbhrg8XQh2QYX~j@^2t=`2Poek^4$AUTOB zgq>sf#4%^1)K_~5sx?K9zT+;5Bo@Cx-^vqrwv&-(MFn&G8JqElG3JPvnH2ub0lsp| zXjUB-I*-Xq7#j9Sj3f#*Hk&iAD^I^%{b~=Ejf6gc za6?-@9w}*SgID(^{mA1fL9Du-nASubmyeZfdf4SNs8H45*~>-0(#ZAsGv&{pg*68` zFX1#~8Q5LC4nc90Pb7@Yys~aG^N_kd#0+sqAi8MP}Vh?YFhiCmD##{5ph;(PDV+b(&-I)`!Z~q z%3}G>6%16?tE61Z=@AN7YBGELvZW*L)K6F1E^xe@m8---d)MRLP51K4BLM?voB0iy zs)o9;HL1QM8ldVDLNGtic6@YM#5UReL}T_!U!_nRL`wv{!=n{;3H%NP*V-y20VtZ{ zez2e)D#(>yfmZto;|=b41O~k&GyJY>sV(I&EP=@jpUVOk84=M3qR$Ch%f8GGaEyU` z4I&P;>N3&hI-%(x&R1&>-KFhlaDD}70rVE$y*R_RZK=;E-{c05RtbvGrGV7f{Q*t< z%`YFTB(y1yd~IUv*+>SpDr_-pmcSpW4NkFHZX`kaSn8rL!ap0lmB+3VpmZ{FCO z&i^Fn5-V{dI`;s&IMTk|GwHTzA}1Ot-;@PzO}bDhaBaJ6^vdJCY)^`(>SDaH^`rXQL_P2R$Vzr*{ON}` z6SFB(seQ}7tjznVvhr18HmXAmMxY+g&FD<4OU0{T!Fc`0iKpG~f`>-?e-vCCon9(z2deL#~h0&vyHXovbwDol46#z#7 z_he_^tCjY_?@zBt_~nxd=MQ8Xliz)3rhYQc-^s$ldsn?|{tFhX?TXJ5{h<=9{#H%8 zN0;F4I$9LwuQ>gT^4RgLen}2RwhGr{j*oggV)$!HXxZEjwnRiIUbeHZR#}t#jLY!H zj&PO~i{>CImI|gZ81b*3!g?xVND291`0Wzz=t&Q0aCTUSr}{ zX*Vk#YQpLR0)byfOm#B+6@Eg50!=#w4Nv)}VC{o9CY-cqdnS}=R8x71le4m-0=DGy zJxvyZG5pbNAdt0&coQwE7pcayZ%&;7ZfCbtvGBguy}~IlAy*m)pmjTXMx@Ts_HV){ zKO_pdRSYmaG(Sa4&KQ02%IB`8VA8p5##>UJy*ONYUoL*0uwQ{WRpWg~r{Am_uR@l+ny6H^t_O<~; zw$!+*-*%?RCn41RU2)oBP#y3hEh`r8@Mb!}XF*(EkdOUqRf`$<05rVSLwFY@`}5bx zJ$g~veBLxpaqC}tT1UD{Rt{K~gVY6924S4e&B@`jou_IFCFk#-%s}k~rVlAb`Bl<3 zC|_E7-2qfzr7doNdH5!jgwxl-jEk?3621 z#?pCECV^8I86CY?xtIQabDG-5+P1^UXm_EHt|lR2&2n*|FeN|m!pL+tWN9mINyw#^ zMt(OGC^ReB$LH#z8cZq*is?raH=n^8{BU;x0q!4oE+XCls1>>3)YpceTcWIUUG42< zCFq>cnQ>?VmbJfVmfDmcs+MWHZg%IE0oQ!E#?7U&zpm*KdJ*Mch6U9skNcqOZncr-qjDSZ z%`XYxSn`KJGoHtrT3wu>OUVfaq`LihdNtU{X@~w%#K4-xbdcLb`$CUT@d5XV( z`SNf(jzAKJgd-ie%!GtdR6H8nQ{9jRM?bIX@wb@>NhO#yamETkJ`pYxne#jb_RYw* zZ}rx0Mzd(OdYM{77k!&#PnEni#ote{R;@oI8HN@<2+7Nn+ezV?13L(fIhBq{0hwVY zbyf-$o~>>j>-tMHk|AYo*e?t~fLj$PgO`}^Jh|~0sd~5)B_S^2OWzu<%*nWXeRGZ4 z!Qd$A!)82)6zK@_u63$ZuRAgz?JWQInnp{vy3Pz*jPs?}!v zQI>d4IAv=$jz_`L;wcEX>sRFJm-n5602&AplXcfjv4Iab|33WwHsS;x>jO z^JVhC`2=d{biMA@073(|ks^@j7@O!aKhxfqDPL-X{bDfhS?S$;25N96-%^{HHgM38 z%1ECqQ3_Fi|2Ll6T1ZRo4h|vVwj`5euoXM=Rrnk0{mXvQfDX4>W?0GnnT8?u3ps$j zV4GbS5&zfcR%Behe|YrbSkPrhO|xO8e4M3eYiXbh8ii_^dZr{s_a@q=YMAAIZJ?km zBQi45s3ZQ_vxdEm=^0O;CqO0`3h6Tf5j&Ix&zcY^8OI*T5lcCXV`zKDcKI4+OIP+p zA_EYwR32(|=j5-H_C{wYmBEB!9$v?@LDhJKnX732OkeE+VPFaUI-a-^72d^XwElUF zfnl#-%a@>{9HzE?It2>6d9O zo(8dK8z&1pG*9UH^t4(6)bIIlXR=^|RdPzo+KBmB6>sflNZ*~c9JDamUdU2I+!CkN zZgcmBo)m23!$wg^q*r==K+m@&xf8GL)Gw{AGIYdW5!)dEOmNM;EgSuvmDhRe16&DU zSL+pWC=6RE7w>tc%S*v}Tse(9)^)mER&Tm95ZehqZI9B4`50FAl)b_+X+gNC=4otn zbfbqVKn2BA>}%3}H7e~bnzcYq$rY&gj@#caPY52-p~_Q1@Wbsd61WuvuM68AXg8JT zY-Wp9QbBT`+ivjndFUSHv4N;1j+WnU@uz!6g|@VGOPv>5l3j0KUz(tTg+)G`iX-sS zy6=bf-JOlki1hM0@VxU0l_2N>i3UM%j5^@#_*iZrPB^TL=W7o-!y%DgRNPbl5ja?NmFkAEZD ztR$3o*D`|GKkQZcHa}&Tc~ZxiXAP=p1nfd_Vu9}pN@1p8RYgU3dZb%fC$#S{X)PZ1 z;@IzA%6oaT(6hP{et$X{YlQ@3{Op{tn|!wPl!L%Dyyijg9a@XY%8RO= z)>5z>Vy!A@Vxi_EE%B=ds>Bg+$w*M{lY_P4e~DR^VLpcHbXT=zI(pxkcL`wkaKP)x z^L+g1esqv2pWwXbDTjm0q{u!38-gp^YyY_d&KXx* zMFp!qWT|;*l1VS()uZlmL2N5lxwH4ju^Ux&cD6ov07BP-S20CP&eYH zwRmvQ6)hyv8)C%SqhV+l(@}y|LVk7`oLwpW>wNZd1Ox=GS$A=UcRsdThAU`*GyW1Z zDw6Xjk1$kDuHY*@efn*eNl;MBj{33M5JzCD&Z|?-RV#1ITYG9=*ELwguW-|;YeC=L zu76%9nfH(=tJ)di@7*^T$X%oz1LOR4Q+9;+ib%Cnj(#eRFS&io@i<ptTBWBEqS2oBof%}UscWt>|#>jNp+>vZzDc&=b? zt8=0B>5AwvQh0igK{OdufoTvz^R40x|Mkhwvn?sg`DFI4Ej!#46#+?ODu#wl84s5L z9K3Hy2vV0UUrDpOITGHTleQv5l#d4Da^Z|Co*mWA7Th&jHb2-=6j0Ee6Rdg=T8ORq zwjTh@>w@_O1u9x^FdnBH_KVbBJY_P2Cc@f5uBmb1zlFqZmSQlRUcUVF z1nHj|Jl^d4hqspyX7w!TH>9)j|7KH*7}h%{Gq3AX$37j=yTeDj?BPqohUQYFJA0vv z(GD%~llmFaBEQtpOUay%W3Sds6+cGDDLk%S=D)g?!tCamPX2;uU?JFUTQ=U_C9oKd z7T8t2UKruZ(9QKX(@WL&b2ilG3SQDMy7w2`<5VmKA!5j}wA2XqXjdM879YC$PXS|k zs-m(fXfg7scoCGm+_EU~O?MyqO;coVl>TLG%s~Q}LMT3nE5m3hY0Z>-Yl-Gbsp0Iy zUc%Q%+fr|ouEVkR*^IEJ+w@Uw0%5J=OLrAmmZ+u9w#@MT!m*DB9&4IH^ZlT6daG2K z|6GakSx?jn?v6}PqTPbHeE9Tfu)8R!gqib3IP^@>Rbrh`_P;z=i?f|cOZzYVu2QI& z5YAP?KrEJ35w@59qD_u=q3q)t+q!+|RdPz-^c4=>p)n5v3W{2vJ4AiMGh3_NTcE2~ z=}81)td{lDge_Co1fheKYc;Wgn{=R6=WaPUM(+OC zhm8+#^NtA)K(tEXN)ND^r$pCD)r|a~Px;tvJSAI3lgeV9XhTP~mm4d{35K8LH|+=49_Td4ePA{TX1Q}#@jN3s!eA<=P{ z&I$8qnQD=(_)Re)ft{gBuMVt?Cz@Hs`-dor@Mi1_hk1><7`E0Nq%zpYVd z+n%As!EGyHn+?*?#KNM758LFt-7y~m1Gu6_NRi5Qa_~B7WaH+}k+c@e2hF{zsxRg~ zXSS&wK8Hghkk@T{cdzuh+HlO^QG;4cv0cN~I_Yw%=3K>>E11T)5ZWZ@4mtYR>f6-m zhOjcN{?IjLfurK;mmImX!fuX_#`R@&-Vq!@Iwr99Sp|>xs#TIN0sDZopHKXPxKg(* z@3v-fR7gtizhPiSnYxv&16Dg#dG@L~M=pIBr;O;jDAF5^Eln!mm(F~qR6kObO@J9B zCoan{j5{}uET<}jtBO+_e#(0!ZW_jvm)p88<=THv8Q(56bdNU0IOQNAJ1R(9zizMn zG0I%n=Cvorz0v)@xZz{v$=+4XBB9f{XJ5UIhHBHe7~gY>BR{{jL=3)KZcD6g@v^bBZ6W$hIZqb*RL%XG0F< zxUM3SF?jiR)Frnr^%%YH^1o5biO0+tnBLc4(@-}o$YJYB9L^!V~! z7_u055!~roY4KQ1PO4cNt&M7TOk^FuR~(p<0Yg32cYX%%wk`G6D0oAQXM?mF2IYe? z*!!jYcAFT%9lttfzoN>=D}@BEp1<$CI@pA@C$(;y#`c5iu7=}JimKd_)P2_1vd-DL{*s?||jDD+PXEo04Z? zq%l-}Y|TY_68sG7FLT#<-{LPL9Co#AjQMe|b`+v1dQKCrxs#b@YI@~2s@W7k`gr!x z|2`kJv`Zf;F8p?_l*elm{fUM$EdS^yGk#Z?Xv^B~o%<0XFXjK*kn1AeK0NH=hcV{h zqUMw=x9j?JoI8T@5^_$cctn3#u@%SuG6oB0ZxnNwFHb~g{0vaL{@YVfa3L_XBy@S zMmW^`E=)&k@gg%P*168N>>h@5uSX@Y+Z#{NanByz%KrGwpKfKc!7sPq76+|`5{|S6 zm(WcB9z6y=GPsOiUgh0dH#Y+P-Aj+{1IL53sS6ncKbrn9{n`9BC-==&Y0^m1-e~4c zGuX$#+Um#Eb#uA=^qDkM=z?SO=mGH~U=0Bc_`KDvHQbXDZ z!^;MOm!vcrC0$CMh(~aU;QeKymK=ieT~}h1x4lws53O|auOSm>i;TBKd(mfZ9uU8x zMH2Znt%bclWuy9rPnXtBvJ;NWLg1T;UV7?hygmmoS78nyXKNuig7MphYM!Y*d} zE_0Wv;Pc;jbe6v7!?xd$+llSwj$x1m*+4Q*=Wf10X*0s1T1Yw6W-5qj|R!)tDI5eiWM66WJZ6ys&PLKER_@l?dG`^+_7ETQ_IbqapRlbw@O}1uDhns5QdxqfWvO-1?34;8xmS9vtZ+~3R zw^Kd!M!Is$s&kKIr43%1{N}e8b1H-*J|7Qzx(QX9Nv-Wyc*GT6mxA$eRyazbtFJXa z6m~JddW6|CR##5(lXR3Y% zMS~LB^|fAC^LnpzX3}sjM#|(1fB#ZPCa=RVa(g_6CsvUuRKV3vQ@{GWS0s&1HJ^#L*1G&Qm{j7@xiCG%syCzL-%B-;zOBvV##tGWNGgHd~&?gmEld<*K=EJ-;T)LXMAKg zx#GeSAj#W5V(t)Qr0T_Q!F@9;8t>{PV8ji&X+WAH!WsQ4ah)tE_{Db;>1ZPS`2eY0 z?E`4Z!meNho%+CMS{q*qhW%1V_iJwK%0y^m1eb?eas{dO6L|3@r&EJ`RPR+WP@;-) ztZ4XXV(!ZO{ij#3F2W~n;GcT3Jz1nFo%{+; z_wPRv-WQCUsy6{*hvl@}JV#@K5Q7Ou)i}j48bA4Vm(e4kM(U>(J(c0)4g8-gA|!mv zSEc!1=?ChVld>BQ0T`mksrz|JpbL{AqiZ{FUwGL?G3qk9&pUCiYu%uTQxKGJ7Q2`Huf?DgTxXZ(pERLzW~-iu5xpWdFWe%VW@N`gjF!u@DDJ$T7QXr>UF<+aHv|l12fw;+|xmuM*;4HG)yB)!1D2e2fNn`<$J7=O8Ix++EQTEey+kK}o*Xyd9sSnQ{G(e zhmOE95|*Z7+K%iWR*Nxh)`5yZC)AoF={vO25((YT+(I{JW@fgzV=f&l&JcOENxbh` z((cI&&2S~AQo7^Ap%m08}!9LddFBKX`veIFxIOXpT=Ob}$WsBhCM_KpA0nY5WEe5xggX z_hshd!&i>UW%~za0|oNut8MfQR=boVjK12r=Fwl6xg??q6q)#NS_RJ!y?clTss2@+ zQ)LqgC%FWilgjh>!y^JdWYt6@#FqL-2R7q=T6?9M0pqJ1+glt>XL)71o)&L;X4^YT1|u7L0Y7rG$}thWpfEW?A@`@uwG|x zZ*Er7`c^I=2XlNty5%L>6C5gGVbL9PTVSuBXxYU>AnIuTo;?o2eU218_XLCE)Zi+K zO1qqdPZ%a#cbAxL)6se&Xlp2bfL5wVqyw(NX^NSv7=7Dy;6q4%6I{BdxKD+)~S-8D_HKHP$)4vvzKHwlyf#z&@nC zM+whpXvqj&&nl%9%N)2dF#qSlqB2?~s`;#KL zxbMoCYj1V>&orX_hdqq9fiL>hkp`q#-&Oh2s#^DxfJ!Gx0#rwo(nM0qU;rjmSo!Lx z3Oz@%FL3t6Fib~d4Ja(WPqFT46^^+a5LwyI#OT!uc#o4M?PfS8J*I|uGj`IMgEk=g zJnH@Qj+0_fnk%;6`n}+uK=muaXwPnjCbJ6V4c5VJDeHHg3NE%kzHWgf8#^23>^&li z$4l@jcvz2wd6$0F3Vx&r=c3;NZGD^epq(U#k*X!VgUNVLR7y(W;o5kR{Ask>2s$oC zxf=?ZDA|!BaTe?M?0jGp&b6OWV!Wx4pp%u4znN8CH;|`!|8B8luESmH9&Fj_bZm7S zAu`1uI+Be70DARW*z)($r6(z6GBW2TJmSCgwv3uR{J?Nr5AZoLe^T*F60>%-zB);r za^2;Jz*_B?#Gm@|81(x;T4*gsYkV-S`T#G6GI(=yO{gn$^k`H^p@V*Zhqd^?_0x~F zl2@sxF3}B((Ee*~1bf$;rs~RhH`{RDclZm%&xVyfz>w?Fk-U>w2Kq&t?;(tw*Z|;V z?s~7awRO>IR=^g!XD7^Vhm~^C>X9xN&`zg-hIcwP-^AM}N_~NvgF_d5Sy*S&!A8o9 zfLGr^5Tha0TQlX4$<1MJj`)6X`x37fiCMw>du#;fQ3|>Ry?-Gl?aJb!X_X+U!0XdD zW)GNQHg_uR5Z`UzM^zW{Y^Q4^52xqwu;KrDD~ZRdg`S0FXN4Q&Y4*cGowjW5<;Nit zbl1Pip4|y(+J8tG4WF4i*}K?ic?eIF$+?hrYBXN{G8*0ruE+G@u^9HvSAuWeub6)y zXrOX&0=0e6@@=^NM$i_if@%NJ@p6=EaT`tnc|j%z8=(UG8MbjS3F$ANW-dq{O_#>k zPWTysBH&3f%uEYeYoW9i)Tb*aogGOmO&A$rO}}!Z2lOA=jGFf%s)JVva`wKY>~2)Euo3_Ir23Rs^!}#3NqxH8 z_77D!6DYqeSwdaE2zW*4rjEyv-BCvrfn>t~@07lvF6z0VCAFRDl5~ATy8>oP+Fl-n|>n&K~uOnOg6NvRIEs=Zy#srLOOT4hd7K%w@wNU zV%KXF6tcL#1eS(ENJvCZ3MOI_2RRSXxro2Pi&n@6`?3`0RO7iUoI%d6kb(rn(p-1| zHTZ>SW!fC8^yC(4o%DbD{Bq8D(-URmVJ|uoD?E?Z>qUT_KlDtzZ>GY5yV*jQlIXhG za%kg=OS$?~4+T?dHZ<$zJLhsLArJ=t2?^GVtX08rgzpTOK_cp)olWR36a}{<=X_A- zQ8=MCe+Pb~K~J@`MnBo;6Ye$IyK5OlBqoy4>B}CCNu$iLot_=&wNvn~-WufR6vk$O z9}n6cZRfkGcdvkMPS7`E(VOmHic=Fq@)*^~d>R=gon-i2#vld;liA-dgMY(~P*7yV5u9VR*<;LaaKW2@AU$A`lbu0(!Py()rzr?zj*ApU1c2UD z07v=-C8AJKBrE#z8aW_y`Av=8*l}mnuyQ?N%;RD&_Ld^w0|Q|JzYaf1RcQOfSUS~4fP~L$R;E7 zK)(@4Mxg!4>tNG+2C*qAh5xps-oI^*?ccb-QHZ2*BZ+Wh9V!)u{ZC|s3&oSIi%#^^ zpd;n%?O(}iB=J@kkT}(^*?GA z1?9^~gkDz<{@^7KCp&pNp&nr@oMQONpuL7aG=Lz3jV)#WDW;H5s_wpxCRy^w&pz zQ=LHWCFFc1ZeUvejY5LuaJ%Rl9EB}a!^*{9ng5SsMn(Dlmc8=%nLhgqKDI#d)D^0w z@JYvh9UTYI?$gj44dtS&V})q1?`!1Q&XFG!l=`r;dJnMfFI$5mQiPsa>1+Iu)Y_1nOWPn#fl(HhBsZS^5cC<;aGFTVukG9kyP z9VlH-^`p4**$g=ntn|GQJpCUJ%_gr-IX}tx-+zF&lR$+u77@$UTC73{TKe5zE(i)0 z`2IkaV#>=-Ub>$J+SSfUph557Hn8z+5%l1EAHNUaJaPK{H{;H;6D4d+#bn(NbWZ9%*SIy1K`5t-)I8dS8>MUQ;>d8{r0DalNBz$%K<_Vh;k4^f zQ-W2^(7GwI9y(V(mMs+^#Imycrl4gMyAZfg6#3e>n>#u{9eMU5BNaNW1&Rsrxtr@d zkvZIiIb7nPWc|V87@iVJ%B>(nRL?(!y=~C&+9Rne6cc5eHtG3J(&t~P#V6WQc)|M* zZK_rB)%_`nHK68`OrSDV!ukkISomi5eIp9sYh)$qd3ixqLe9u(W3S6+qDGvT@+k<> zIQG`93c_^g^oayK{%a&vB#j!RT^tTs( zxEfNVUK&^%Dy7q@g+f&fWipmVYV8>4nW>jF0lk|#DHvLBqX2(QJFkNg3h-@E$SbB&ke#j9q%#!#whWBJ z*iY+7;^!{zu%RG9l_ov(``Z%XWh{VZlWFc0*G=hqjL&;hf%^vn^8tBPwhO(VD3IMF z6H8$9#+$t5suIwqs!Jm6(wWG6*aKSC;sg42H8w zQ<(>P5Px)W5D@MQCF2cWhf=6q2nR;>9{eXJ~-272LdOIAn&@oH^48kT=H3uRGRcU{#fS6LWkD9 zLi_@KW1g6;z@gb^*N(eIQjQm&U|vQhn%psa=k`^pX)WHwNnv9TOTofo*SR)sj~ZLG z@jvXG8AywkG|vz^`jK@V52zuKX;1Hh7d`|IRJfG<)zin{Nb}Ezn_xwC|IZ__Qo&fm zovWbgasFlIv;fD$ubP60mXx08jjbP_;;V>^c0TzR_la}eKhUW8@r) znKXQL*Xp%SN0kC`yj04Zjt?*%qg!D7U+{^5{c>!x?@iwoP)`rA3vf?TYZQ9RjY7LY znl`&{W)8o&AL`<-3C)DIylqZK^CU)6(zxJPX<8&v?-o!B8%%yU%LnbFJEs|2z**;FKSN*A%t zAN(gT5Bs%Y8+XFFL>Ld-b&7a=6Pgc-xRqke#Dr`}4QhPkXYiqcDN!@SJjWO?rFllQ+1i9>a^i zW32UMg(7Y{yLH-)55$4>0_6e(k>;%yX_FXtNxE7RXsb|%lc zA12j-JMm_D+>NXG+c7SNzIEr&%2bxqY}@-jL$ZrAoq@yJyx@_cpLyTTaJM zFH7_1i01l^e5+a0Hf~trbkT&G&ufW&D=~vVX#l@?Tojy+?GKq)quh_`5WBP&DCPOx z_lev&Ye+u&G2(QBTKVm>n@Jm){m6=ILz)iaNjmQHJC8*hpr!o4u5{1Im2V1^C^ks* z!}xj#|G9gb`1m6-ec|{L?+^-|7j9JgwPjVAYg0!-_bIkFQw|w=F>Vze#S=sKO+k3R z%54s6(uSR{h40vf23OVY5ALWIX&}a16+Xumt5lC&A5tRt^KQvk3KDS#JRA_~DmCed zb^p%%Z!Y;a@#-C(5fed^f~u{?g#e)wa7Y7v%Cld8SgOUMaLTn*Ag*1Q7{vbZiZti_ zpTrhljTdrb)CsRWdeaPTyyhWX`b;mOP)S`~hA(M>i=2y4_LKa1hKPOY*$hIq@ z?Jfmg4QpZ5<`w^y$On2FhdOvR4|<^hecQtY8F;|%XR?qs_Vn3&P0P)rl4GN9jShJv zVNpz~jR{inm`F`TOlz@D2~^0I?5``>@~b&9cVMV>5Pq~xcQPDR$ZIjnI9FgrC@>IN zoP5&0kt^p9rWK8Pu+fhh(tP0p?1BE5EjVYuYZko94py9v?u)dK>@!@L8aqdo7i9f8 zMs54#T@^vZoM^-2xK35eVLr%K-?5E*u0p}MQsfwe|u5Ybz=$-o2aHpGzr3#5k53ow-zhenR1az}rnXRz}7bmVBr# z!0|hrJ3d0}cL}*2To#J7)I(%vCFkh80&Hwk?oavXaQ0wZd@@(d?#I)P1ny91s`}r~ zg5A z371?-QMK*D|vO3OAG z8_HEJT*r>;`=?3iS)HnB8k7!7`Z5UdYHJB{OL1%JdR#sA)jU~h6ssYj#;D_F%J{%C{JZYpiS zhe)M#QmI?k3k;D|VHdBr?x&1;*$s`x@$&PRavE>CfX2bNICzp(Z5$^*!ibX}ji)}$ z|59Th{*vo){AD?f0)K(6JK38!*?fuLiNzPI=G498eX7Xd*9UW}zSCwtHMFndsr_P=LRm%VY$G;8KvwH}OTG zD6knk_u82H%9m1m=R)7C`E@t%W(ZdlrR{>j)oX?>KqVJgk%Ja^(q_~JuLyGX zlbKDs7V>nluA5BsefP5*_+;k>#0E7mo5GrGX?j(bv?pE&}hHl zo`WklyyI9)b9q52_dx$-m_i=%*1`J4ixSDIg(4YHa^F#KVb9CXp5k5Ww?HCx?L@UX z_<)!$EKz>S63?(B({zqAkZCwvKZW;YBj1{>mwm!i5Q6_X*mLhz*BoTfcnRiPRs2cO zrDFPtOlakEq@4Bi*xD}-rXChxs5J2L<0?s1?1}+!vYkcjY94&w>=v6v=bZ=K&0t=0 z#(`I^vry@~l8!G0nx7x$Zz&KNmNU$L@@2SnYYNQU)yMDkk=#@bRd}{zG*A$tA>_lS zfBpLPk*4pDr-3W4t`geCzl?(QJUa=axn9V5P)cyU4$X+@*46&~!~54_xr`&;kcw60 zCN1u&=VZ!%i?m4I?nOZor1_9*LOZSS$|;`jfp1S8D$o|4yK)TsjC)f zE|jJbc$#Cq{$WosfqhQ{?XM-RNe!CAs1r|wX74?oG$75yE}ImR14ynxDH;au&YOMr zAr7c3C@gwG&V0c@)J3zMXtcB)MwEQu zq!ee{f+~(ZgQ|Wm40Yku@I^&KFM0}m>*=5vsHlPhP8U5E?J%3gJM5H($mC=p&>Rn( z@lxX-ZF_3i&Cu=Qw(Xg!Il6#Lb@>H3LMf6I;SoRdJ0uRc5Fq_` zsHJu|?s3BGCf|h58erZ~=EZdnHzKNusKml1uL^#{7%UT(l+*#$2K^x0GW7Gq7c=wg zdR{-MwxU@r8loA;xvJoFllYzq9@8cmOX|KIu3~}o+0*X6K3&Wl0=rRSVR5$xenXMA zqd+-3e8}hW)nQNkJ96FZ3EJ7OFy%Bt7?MPj(ADFW$)paLZ3PA|GJGRsCO@|A4)~e% z{9Ax~(!Hry+XrCEc!ocy&9K=isX1MG*%Z07US2Ky2KT$6iaO{d7=vkde%Kx9M%F+z zv;7)4j#ljaw`t!igG zi1;8}RmY8B0s%{S?ih(uM|VhxKPLa8{_yPs*<4xF90>TG+|O6lS*iG5h1`|Xs9}(; zF^eYPdSl!B1`*c+3nSfnp}-TNCIemikvn%V%*)D(n~Cjt?Q*(WW^S6PdMs4?5NQ&fJy=b`q66uUEy?G8?i2>un^gvKkKF4P$IGo!SeyjzXtMROXh828Q^>a10~q4j zApjT|I{VP;h%Y|A+xO$qeP%h0!V8h!Ufq}ZO4uw?T+44qd{l7mf5OqVro*2XFrDz2 zP}kaS5iiNII$akQRHDH1lp{-P z$f8uacJJ+|oVQmPfgqt=6{q^fp+)|*?@QS>qX;kY<=}TTSbZwGUju?jq$@{a%vlS9(2wu)WOK;j(!${KJFgnh8>r;Eww1piW7nhv| zagqCQ3n|b4v5-cAbd+xNBA7037Q_Zd<=yMl?vDYQ55@OZ*z>r8kQ|5}%$eXF+11w) z-EVy$i1ZD*+A{yqt+fSyzRm-<&rtUA##G{H((LNO&i0u0IEC}=$)W{PS{9Z9y$0Wk z^cR%2ji6hLhhJS2nz0?=@9zac)4h0sA$no)Lp)(-zA9>Ky@<(0@@KL3>xjjN=H}*L z$d9e`jLDhWLyMFq!7=0u?F8tRGQ1kIs07$MPeUoAfjC{ak z90s$N?(#4)2DGlVqu$!GMDq;!SB`)=PNf-;Gxd0;X37`tuY<;T-Uv0xGY@4{v5Tv- zY;K38v`FXMH!Fc6g9#d0bd>{prFm{Nip24(k+K+>oSjP|w*M*$5A^OpK0uzlH3ZW- zqIljK1#?<#w(B;v*(UW`wi5P^+AL9Sev#_kc-iZmXsUxECi!pF*=acxnu*5AuX{8_t|_X+81`?uZJ>{lh9 zO2yT@R(4QOrTU5baJ8pc9VJNg-;aY)YwS^R-^vs{$E&lhZXHy=n6W-`+s#6xAFGtb2$ zR{*)DkM#mEArG5HU%&RLim>*rEOdDKRBVj;0sFtQsfzw+4KJ%jh-oK<`Kykb+Juzt zWocOX%f|>`jW)es4PvYBfOflZAZg zVx7r9c;%B+c{^L>6=q|bi7 zPLW{oHTrvPh4FovW~E~ZG88lFKZX8l1_;;84Zsk~c9ySJy08~p*~{Nk`X6b{W+@^9 zL)6{E<%^y{mp?MjS_LTQ4{q!oZr@wdy{xG}ctJ5);>bvbXE4?K1XA@fh0m6mfxy@1Nh{;NU>&8DRQH z6rEiosRm%292;?)cdWv0-Zz!nCBr4d9zVK5J#4OLZk`7h#+QiWqAtgO93O_j#H6N; z3~TMgNZ4`vY^PBZDpLGUnp&!pk(ER z`Lvu1WriO^hwbsL&5IdyNnLTBGdXUkc;x?7M9x|-n49a$jx46G;$7Z-VEny6E1@*) zl40eC`4kN<)4hUZKar9lNI9yfV?AZ|J2gT%Qpa;&U`vZN1+|W=d4;&&3+bIGoG=W- zw^Hn3R21Hw$+=H+8;1s7m8`@^4acF;hMC*LKq8s%l{XrS{iV#62$-M*wwXh%CX?B7 z)W#cz7sk9h?>rP0<*n3^fj%1&QuQn4#|0MGb9G_@#ZwD-E(Q&61M{}M=`J%@9Ba7T}!l)?HTs2pvV|%8m>|!Q1N)Zna4~niT zV5%}lk2u>a-E}U~%J~0B+*^iK*>!8f3s6uR5mD(b=>`Es1Zn9GLAtvZp>#_(NOyNF zKuTJ=ySuyIiTAyq{qB8yf8Jl;`r(1cTGza0jyd8S=jim5{8VwXfAkZFrWLM^(``6| zqcxwW2)ek!(N~+X`y(P^8TK9|i5E~#KcE8)ph-M-Gt%?lLAj$h=;-K>37ps}cq}$P9 z6mXy8DWjkDu>Qsl2bB>zEQ4x>Ma>Y5aK2Fq;w{4prT+2sd?M z14@jXtgP}8z>QjWfO`ehR?=o*GW(WuaaNr%DdHtxAi1YLlRc$XUME}E?}W4Np*>9k zkZ9eenBrY$0DRlfn zCtO^JvNYrw;jKK$r0XAYmNDsKjd+r)m^8yg)^IWvs%9vaW1JBkz6)qd#mkaFFc<&n z@Iyr?kJ{!6z4$%rIk|dTlFBDei9dY2*vK-1ZK4m{3ys-2Hx{w>?J-IS3CSuWO&OI- z-l?tv_cFCt%YR0cauYgaDmK8>+TeDXaX7$@v!9G>V9Hs;^Vr(3KP@P3(IS$Ry{qZT zrbK+mZaw)28y=~Lwg3m8!s$Lr?kRAqJS-EaK=oXEs^NK|b7jHs?pDa8v9f4)0pavl zTgju6biaU=P5(s{7Pq&BA5`!5-RLQ%f!3|p1^%JOZB_+w>-=j0V(o`|R-lAcR$ogd z+H#83)l|~`d7qoEiLBQT**2^EllrQA3z|&#?U=F9@;7fNrU4g<{f*~hvJ14T4j(9L z50A@v80G)C?F+2t3EmCEnhs(VjZ2SY;1M%%JCN(jYc=_yx-Xz;tY#}xXTg){l9XL% z*fxJ02RcOLc0nROSMueT zDvlC(Me3Sw7o-LpThEUsVQ{E1cvOV!G67mcBOQ>7YD!8$;hkNa`Bkm%=lsw2o*61Y z!vvq+2ce_euk}*Xk{_9xZ$0rgVMu+wae$SEef1gnb)t9D&y(kw*-58~R%7;KR;T-P z@xx`iOu8MY=lP4lfL%tiSgR>HyFT-4y^#O2H+3w z%@YI9vUWKT(#u`iG?NS}W#r<71O#aRIU2j~J+5Maa7kJLv=uMpt9l}7mhW)*{%I@= zGW;Syuoh9{WPsPdrtIIRHk&IRrwufI{q4DuL<^2AOCF-rITlM6$E$IVAPXT>7Bku! zQ$Ugv{p+72^o+@DUVZL)o1h~}20?8tYi&AGZ9Z=8HI8f^XYD?_>=%fWrJq%nq<55A z^w}DSkOH{>zYG01wn}{%9PU3eMBi0HX=7FsP}$9BvrNkqK>~-JK(G+*e@?`1{pOiv z*PpAI_ZwADBlRDbGoigSo05Mw87+KJ+;pci@BjemEkOQfWQpL8P*eSMgF_zjMXXkkoZg&1)34Hmh z-S-wi{?iriR#|GZ7xlq26G?rFl;mf%(`ZHg4iw0b!pC$4U&(x()@=lovz?R->NU-A zDu4;%VWqi%%*3m@a?INkC|f2cIbM=p->B=c#mn66|20HCE4FFjeNvz3vDdN3oEm^x zw%e!)s19_7FE1|><6PnYZU6`slbOIT^uC)fpM$5Angq?wwDq7H?9{T!D&_j6{v{<$ z{hEpqyVk4SPH#?XS<7Efm}+Vg8t4O!=%EJ>atON$YFH>zjBKwm#6wFWa;G2sUPxs4 zp}f=fn@H!=Ei3zqNYfabC76)80lL+%xG}u{^uDK@##K0mL{9_pYU#I@)DDKMk@|+= zR1o0ep&@Yr-~b*2t^6+g%cE6|a%6+{5{h`ee6*gvp|&fR%k@g#o7Z_ z7yU_}zrxuAI7ig6jWC&YMy+fZ)~OI=$bVE2Vh^!3VE`q7P9g7PBRy2MQp*{z0FkZpSEfznRsbD^vfn%wd$tn!b*4=eNwrn;>hRnzgpg;1Nz89#D zT>%WCWmYCoL%_!pVR(#ALj5`H8b`HCrR1cq>gb7BS)U54_dkQd10IeqOKH$(@0te< zY!vGTl!&-A`R}1>etDnjG1S8%$&-@38}hTPuugLiF!Tj^12*75fkMQB3dX-(vece} z)s|hRl%S^|roTqN+b3ixA$7_|{^!K|J4OZ-i3-b;St!}R8BdJv{PZ!>tVtq)*>>ae z3l)GOjZ;LZf)YKn^bQ@&uUxm+7@Gih>}igms@tdJ>!1d<;FGw0F6O1vV$uEDm8i*; z)wnvX)d9T4uhUw?`nKC+!H*xj_Zg!e^z$MQBmaSr_4lZ6zL~!}$Be(?&ZP2Gd5OV; zj@c9jPV8%m1gzJu^YAhLv%$GR(l$oJAv+0Fdq#q!dUWB3#D z=_v`d009tE70lO~JlR5dc+H}R5uJ@{o!3WyrHta5>uB;^Ijx@~H)fZ^D&?g`2Cw^&eaBIJL*{O{4Gy&rGtV75@>AmIcmOI&%ZG$;OO zVt}0hAdzj%ngK4<#ZA5UlV_jM|0B&CLT&K{$N#M=gHvwiyxyYs zn_UzCvd91SJ#8PSP}sX6X9*!8p_0wh?b@B$?`5Ef!+XF!BPY$by5EueIrSG{$6Zl< zxCLfgTHqk{@WW@K@+L9Ob4uqC>;fx@=G;_#ls8sq>8Dg8 zR79xcg`JOdL8!RnvLv;v(P~-v1*pDbb#^mjGf-(ZJvQHX4uBhefq@Yw59h@e2?+_Z zgZvPF8F6vgI3jcLRQd55G5Gt;PrR*GA4+M-#;g2M07v?KU#$iLMG&YddK32U z84m;DOFTM_f)CuXZzjh~r}mrf`6J9mD_&#T#vnjmqWx=G_%46lS(8aXpkN?nZIK z@Du`>`FBNMV2P~W2R7MfUz{m)7R1I`@&7a(m(C#tYgTQ7@wOH#s}Xu>9c>24ivRbM z0ZHp_!LgI4n%Ua(((xj0Rkx-KqO(Y@0MVV;C>->%WeJ!jj^9D`24zb_!yK$G6ciL! z%5l>vD=RCs*K7xqwo7eKqs>;kqyBfxet7ubU9pWW=BB$;c;+bQT>rcxf*!ybbTaF9 zlw1H``cczo;3ensBqJqNz5&5kHY$fC=-&Rj&dyGdFDbO>ZEa(7E4DFrz9LFD=k^@f zM890YAp^HHiclA@Ll7t>VEf1?(W5lbpc6)()!C95pEd*~{(O8A>#;1S%m& z->vK!xPA(m1vJ_2NX`I-XEs$K=OR8?Q!_t4zT{qfw`Fno~`7S@vTpmY~)0$#)Dt0pVZ>lcKC4C6f7%@EL9BtX-$ z_RYdU?AxVMfQ)s}o;rKSI9&DWz1sVJ$v!q-T3WH=PjKLb+<$olA%5{Fiypl`%Wke| zAxd}ttVd#lk*^FWJ&j9!HUelEUp3xd^~bULQcVL0Vh{EhfFu&MCIgfocx#?dsXWL@ zvrJLgT}o=1XL#ESP**fGU4Fd~NGjIe@sqz-AhGSG>ZpP0c*PdqBt`5YqCf%Y{2N*J zpiJX=SYzk2QO!Bgo84cnfW#HhRT&8BLp=UGId^&lS;*i@sInygswu%Q?%w!rTs^~t zanLSiQ<%~sa&Kta!1=7BJ0E{S3Jy|&zy(%D>50Vj3vCZ@H>q2qB0U_qT{uKgg1GH* zr{#k@m?k7oX?*GUEFrk8PZ0^Ct!vo;|MytxnD4VZ^(0a~lM0R^Goc7}W^=MN+bjjP z1_c7$C@+y|9S_Os>2HgCnX1Vx z2*eaMMCq>y|1L4@BwRv{SScg|&vvkM+Zjwd61vJOx=9pf%E6l2v{qryE_*+gzkuuPL|~`RX~mkUMm@U)os%doaA1Rjc!W*t9H2lf z;f7y45!|C(fm^R7wR__9{fE968|qD2FO!+^gvK{W$rF&Q{R9I;sj-e1((DPudXaMJ zchwzX)E6x~2njDSW2?CEf1Z$MuFpo_91XklCpEUW(Py(~M}Zz6nlvi+M%wbQdv@$+ z+MsjmuM^oxNX#2l_rV8{fbfZKz12SjD0+(?Um$kAGLcnQ<^m+896X@123!4$-9)Qr z+TkDuye%ui3^v*uwY2GIon_h6zP5(uXDt?qgMMd?M;3Au?I+jY{ z!eNsy!t|uzC5FoM#5DqFe1P;^krn%j*U32<8Y0#|PC_6t&5M|YW{NP3u+ut*r2ExM zEQVpWR9B=t|Ia57hXpiz2wlL>;;A7IA~P+&Rq@?;@q&kLVFGzdVzFnHv7X~=Qd|a{ z+>`_N`sH9ecstmDuP+PqLH?l+Z?s_QGt4?79wj9u?^xcbRY}Z@auUQ=y=zdr-m<`S z(_r-;8a=r|cHUBR{z@pBVr|tU)CZyrL3PCv1s{Ir>U|g>Z@kUW#ZyALRoXr$vXL0w zJ1lL9g4ZS{At5id=+gE-40AAs5_s&*8G`<0`)eubz96IeOc&pt`7{wEs zkDo*Z%|}w7t8J_IGky>FmNZL#waiv1-CR>OG25}?%u3F9)j?j{SqRo8WE(IK}d7|;; z7g@UtZL%K%;hLY@12*yU^Gb~tCY2Omt%wK<$7^^C!_T*r1R5h|(NiXDYH1Axafxw0 zN=C`r4Wb!brVM9hO&ogexeZV3$i)2Q=~L!<CCk=yokxkh5opMy(staA}lA1Dq`I;mg?_je56EQ!3wd$u^Llxlhnc1OuJD-EtfTm}`_^bB5?4$y+Rgatq%Eu|kxSRo3Gy9QyH?0JTr@MLv+`3h6Ez=8+55v& zgq%jVo9?qkfKZFqc)ZXKwx>%g;x^EU^pzHg_$AfimBY_?t*%A5-T!ToPlthUs#pIQ z$_`ggdV9YV+GVymiK9QBhB0B$4!=x+2@3mcOAFk7wa2+zoUXXXZK{#Zq+OvDOs3)e zO5)%Xf%x3eKzpkB>u~?=^2l0o(5HgQikLTXTl|4ZO*O=C=6Dd+6EEo4&hv?m)dVLM+FVaHD~Z%OA%YDp_nK>%+L^6rn!B&US-)lo~FLfKfrXAx&$$ z9X)>as+S}KpXB_`WodM~?gy`PMW85i8i%%?I&ZJDn40u;#LUezHS3gKO;Gcy2<$hh z6#j>DxS3*6M;9R=G^2@#QwuOl(e6hpU5Kme@Bc@Dal$axx?HJxLC^h;8DyjBpB);S ziTZ z1;a=p3|FiuRa%4d`9djSKVFu5Ui1yDw}eBqUrP4+w||34!8@K6WS= z7~`5AZzc9;bMjSb%^%pO z_s4njBQRwjXlhHDczC9CF;P%z_ZnZ~zvaiIvgp^r?o_-zSH0G{$+~sU#O)LtPFpkaMpxk7dRrm=n38!%CgRhlS~X^sG=%~@06&}_dJtaS1=QlE=a z*DI;XvE=%9HG)?C@aechOlQZveRGDaBmWT#%fz1OWcl}ekP9h(zf|P@)LUgWYbonL z=!*iBH}}9x0%aiLFpV|g;p3bA!*cWM8o^3`>Xjg2{LEf|8wrLI$x4_xk(EJ?n)ycB zSEzR%8t7q5#W8*t-8sGV1aBQY3EH)^6}#QuOrum?L! zAeF#7`0y#o?Wqg>k;Ug#4F+D`X&fetxdyQ)+DlZmYI6-t*rZ&nf;p}Ee}hK89S?~! z*1~Gev_ahy0L+caN?Bo^av(-@VC7K z?hSzXPXh5Ve{3oVS}~M@1whw7F5L<+sGlPp03UQ#Ej*}w#c%kBxc2wK!2zfpwxOPg z_dg@jaA`NiX`t5M@Mt%GXfnvFan<7car)gT;fVxZ4aQZ_D^@>x?W^}Uth+Dv%2Ccz zZnJYYU(QysL-48hOM5F4*z27?I&-w>uaaigPd_v-gx`)#UdZq`C+lAc0-v3LU8{J0 z&k3@6szIl&V9vzbI2RnuC)zp|D|2Kw`vk;1{7APeT@gFdN6Vd_uCC?pv>)$JR}Zjn z#~9t4$;&`4f{zHN0o)PXvpqO*v9URc#(=K{8SWeL|9}eM6TdkIyFU`4KiLi{W3$i< zH{0W3gaX107kU}+U&c@2t3;ea0ek5os8y~WrlKOY9_#Dn_0j?Uf5Iy)i671YdwEaA zKoT#~Fd_(=Xe*Ib0t0Y5y`i&gY-Q!^c*W5y^D}I0v|BgnFN(FfHM##mvLV4=rz@Nm z6}vU^-982wjsNRm<0&^+qYj-ALcTmTt8oLx|Gk~waWSkdA2MbGCb>q?Jh4Dz4>2D|+s+{h8ftZNhYE zp~ds^FxV@D)WYz$K8S1V^u0q5ZV- z)-izVX$d6Zl^_#sAAfP91E!L)=u-(YgzuP z^TZpTYVUGMIK3l14IY=%@a=OXh;a5NK68_-PMfcVkZKg+`aD zQ4@@m^-#Os?Q4iVm5fjiuO}bBgQZ-*3wJr5vjMc5F+Hp3+<(kJO)d0#yByDp@*Ruv z26m43wn0a^u`BfuF}#a6cy5A~{JUkBwP+>g${Bn{F{mLgRFrkW6wao73|!F%eins^ zFJPOG((JXH)6Z1CrEUBul0Qpol&|2i+HrCwCOZJk3aVbQ0b7<74GN=>N z|B(~FczZAr9?~n4_!-Be1#qX|+}r?on-Y-C&N2Y8XFQrmar98|xLCbb=Yk~TJwWA~ zUiIMMIS+Xpl1Elo%qTmFuiNG;!e!0I*Ka3YS|7)Vr(b^mYKlTcM8tCS2#$kUEAvs(J(nP?dl9G|7<6~lDW8>oD5)q{U2?k~T!qcs; zyGoxlR!I9;d3WrBL6aePt%tv~w6u!<FJ~9VHKma&DOfvx$3oL)XU>q?%-Z2 zI5Ma;8+wsaHoK@W3K$(Mr+)F--loQm(adu|t>!lBxM`#j)+Lm>P|=+uU^F7w{HZkT zEKP$HHe+@wGoeJC^7?f|nV%FpE?`1mG9RV3>yY_D1e#}XQF|udG&aDo zU9Qx2AU+$@m@g_4WvEE=j`qMU0i7H62V^GXicu_79rXW{0~{Mi#T*g?Owov-jMYN@ zK8%b)B=m^|>d$IKxx>_xe(KG=dfl9nPqaKjUBhtlUJ48Z*3eXkjX&?B#G3i|T(6$* zqw;+GG_|RD^otJ^rTgWL(ms6(n3Ocs!pYjEWeQa*Z*Fe^G{c}q-uG9A*&c(UnnZh517i9m1?xP^_2w^c3K}VwSuhsUNDu^Y&$cwtg8w*6* z=tp~qJxUKvk~>r#MYzYFvl4yfZ^Lhc23@{6b}G2pY$4)&77#l5?!;etCett4o}u@B z1pYn;NlUV)Y)N|V~8k5CcRD_jUgHFTy#Ly+0O!{RfHuvMOy66jS3jV+XBP`P2k9(Ni?EQ;_q0 zastxO^a7eeqKAQj0@@W|;#8zyT#`&qIlA;_D12-R^0T#HDc+r|c~iKV4H9|IRq6_W zypaSdP@5CrZK47_*^ou?3dA(qoUZ68yN_&IIW3Sv^!WFu@|tNuhW?^-=n<5Ucr>EH z_2O1|eH?*-ym3}Tt-|fyW`>vKWzX%)nu~C}Bul*J=N!XE$%mx;T{ov$CQD&yLhpwY zj`{>*mo=2}ltDL$KbOMDiK6h>8dITrSf65&cN!WpmXAFJwwywz2t5gef1*rCWIJUA zD!(mTW>$)A@XgVyN3}K9M2dNi7)@e?@bW?ndbG#2={KX~ccY3EG=I!@jH876SnAn% zb>{>|IJSLHDCKSWURJ&de92?b8_hgXwY&SS$y@A0UE^x7INA~gTf8X;o%}BT#yDh0 zW`|dWyEV;LQ&bk|EOM3i!Bd30TdI(qZ+*7$24#NBZDje*IPN0O*T$;7y0;BKkcx6q z-vAODCjExI>w>t@#z&h%MRO>jwRMOPk%c7MJ{Oo@`Z!_Y)K9+(yKc!!O7wYWvcXL6 zZ+c)2J5ckTF9M1@H90eOI=p=gt?gtW5Jhd)q_tO6Y-zN?1_V#+%-;V*4d-ZPU4&Z4 zE06YwTIdwxZq}PhJ{PdFlLWj$55ESh>qLUFS6}tK5jN6^(#*Fc1LxR%689lv>_leQD)YrtFn z5)eA$y{nZA=zHdy4w&oAJ1NO!h zPeYW4Ik!UL_~KzS9diOavz0i4dEi)bZ6Z5B|{<_Lvjo|2HeQ!o6FcS(NNOit(&l*oyh{jFS>K;KL*R`#HHXCeY zFs+v4Eu%O9gw#yHG5qiEAdr*(|EK?n8TWbiy>R{#q`@ z>u211UT*YKV9sD#2+q_u13>bAI_#CiRef#+mXrh|HY+ePdF!5$p7llX{{CtHFYAjhbtJ$zg=82rdF>hc?q~hGC;JIO5g&7 zys9K?bVFV`i{9NE-qe~0q%S1IBE}|d;iz?auL@6`3kku5>S8W z9in>Y=jYkdK()ZBi!cycOQ`Y>4#wg96>>*ORB?nQW0c#Mlq5!ptPQHsJdB0)wlP)w zWel79-3^esv@~uVL9f7L(#*VTudlBeDI)x=*LqQ|D{vV#^`|Hq_Bd?5*D$j!1Uar? z+4P0osaTynG3>QfsJP(*t$E}=mq`V6u2>EF<&WC@{GP1l>h=h926gfWp8y$ida zJ-BG-zx;(kPOzVp-SKBUXv#LOfm6QSHXj`0wgxB-Wm5sV*z z&K>jvf4w5Z%+izltX*V}+E5p>AKz#k>}Mcr!IvR6)A_Jq3ZN0>&=T9OMuD-_bxkUi{r95J&~Ma2~$+`S|am zLm>3Tf01L5fBOP?hw}eZ6CYG7Yh3P-I^C@7aQ2AVDv^qL>yg);pRKgsjP%yr_7^^e zK!zBBl9&If%1Y>?67G^%Cv^I`*luVw$6!*cT#{Dku-`|4GX&=4!`u+np>(f~;igvn zG+8C8*a228J#+)unJFewBxx*JTzZ^E_kBQV3#}v;1R@XED~+O*jp~bG5|M}X$U3`x z8(Y@u3oBWZN7=y`k|J2HefW%$2G&qxih7u*t!yk+HkJiK*Z|5ZH-N$t{V~#JxZ(Pj#l!r_C*7gRk_zJH$ zxAB^Cf03_HYxw41$;hSY3-$TKNR7o+c!u*`a~6oZ6?~Gdpw?i886M^;NNMW4)AX5x z`~D@#F&}R9%GWISD#px&CX?0z_DlW78mvZ_hFV19C%PeDV13Y-OBl4F8@tu77;11? zNx!MRf6pvX!RF>($%#f&zj%VZX*i1r`GW$Q)_oQ#x$LKRV_DuXbl2Jt;pBVa&@eE* z6voJk<$JTX^*X8TWI)k*<#5p8Txa-T-tMSi)o3TectX)+E)ctsao?dxLf$)vRtC+9 z$QB_j-Wr}zQ#Bv=vbR^!=Z8lBa98gKV-@X=|; zxQoo)ocvD=oGlUWYq=I!WIdgpVNti2rlpP9_KuHT?Oa3PmIDR^V*Gx{hb^mapeXBD z%2v}=p#W5D@$$#pRaD3P;Oil77VYh}=+Mv+Ia^dTC_47&qaSXn8pqY4?pA_YfF7aA zhvZ%3DD^T&_3uTQjy-v+m0>IMIAg>t_-3Wl)Phk@i)@rYL8^fcuOTWQ6 zDD2^b%?K1#Bb-6CB8HYz#3EjCdFg(=+PRJL$W>2&yh}nOrsIXRdv%S(Xo>kU==Y_Z zZmzWIc9bPodmQRC0b}crUr~(k!1Q2;cFAMU!hK|J-E1bri1v}PzyF4O*O0$6$&XK`P;Y06W z_jE6DgTK*6;Q(aCzbF@_;_QdWnIpk4nd%u3>` z#GJCMC~)%-JczoP=wISPau|M)}&x}$>8(7)I=qViJ-PYfhRiGOzA99MAoCZ47 z@H?R1neO*N%Rs@_eR;YtBdZhxMw$C=$me9eG#|yIVtFh6uJ@cRNl2Jm+`WEVI8XOJ zK)3ZNguM`~&<+qin{7|$ixtn@x3_ghihtl0^kSL3300zM;+yM(D#N%@)QL z+IqtcJw)+zTsea0U%w{*-FfJbxoVw)0#z=2A9bU@%R{x*^n56sXT3-1g;?PJm28M| zM;IL!kB3E3IO5@_-}n@I+lF%{PDsy2S!`=6Y`*Ys(Q9?cut%*VGYXWB2nXSq(bl#E zfGdRtkXQBO;sx~XVxbw#*~hr^V4lySvwQw6Qa7^42B1Ru{py!m z(zNpL916SBMrIoX^pXi~Vqf3uxQ}{; zRFK9`mov_?Rm*0yQR-F4kX8j7necI)$~dgP%=>(VwCdOkcH>uF>N4IYFeoB)wK+XQl2!@aOj$C4Bzx^;dZWP!A+6)&w1M9?un?pL7ywWZ zey{GW`tvaTR}xA4zr6sK4cyxc)>DNVSuR4C2hzQ`Z1FS4oBD=Q#rCQ2t~r+O&bsf0 zuzp#fr|D<~_KIeTOvhB9MXqz=X7p`TX0NerCrp3Vet%_}*BO$qh<=it?IgX&YT8og zmf20|T5(l&5sMab;v~lJuk|8uR6i`6TooSTQU87jF=m*}2+us{!VE^cBRW;J&e^SM z)EVTq*0Fa}DIg=Ysz)6ruTkhMfM4@0U)FcX-8t4_jaX(zMD*i}pU!jXRU-LA7KO!` zbmOSSvEPXHKXLDMNgdX_RCC#|R>%T({uVGSV{8P6I6kjVLlq`uJ#R0~CfDSaxYgeB zM`2)bzNoa5&|@~{o*{o&p%Dej<&|SQt=r1j$8)8);pwa7cP|1#P-Eg&YKy0k` z-Pv^3499+*7B|5`N`}T99lTY zcRxqEEGD<^3?1F(XV?zq;t%|7(_?QwojEV>(rA3k?*#^APMbC}Nt5DZZ1kWQ>9U-} z%5P=+n^QJ!*TD} zKk}t?&MmeK1$B~37LhRSu)y5*@akAnU*LJ^xDk)EMa zbQ$Be>~~K}8tzY;TE|lI(>4w>^f)dR)Un(PJQ$aBP`yVfHe*6?kmiRd0S7waeWmF6 zj(k$a`x!2tbY_8B0~Y~USmn;o|j@k*bfn}lHLos+*cCFAGq6SjQ?e|{=s!4ba= z*0d`jJO8cU*|x>P@?klVa&vESnyNsqihOqjhX=EQQme0Z42}p=2mUh$Az?j!Q?4N2mNI{Yd$$DFkPdMkE9Bgqv^NUEQ-0WoZp3+enfbx-3vTrjbRyp~j$mW9}aw6ZiY&zdDKy+A5+8^wVQHTrr&N6d9`wT)%Aj@nt zKYyDP;7yZKWCCin4JF)TmE%g_rjNuX`U&)3!%bdjrsx+7tN4tEcXp-cy zbyBxl7&57 z{t*G9_5G1aM{rT$IJ0vr*m7}wdpQ-wZ-H-Q*K1BbPW{wk4CLC1=0_~sWc1VFv-pxl zTg|A#>c8z+YHZ8=Ops#cxB$B;X;6&y8 zG0F`exT+uv$ra*LK6oy1+x>hWv!xoX>{$Wd51@K;>rAY z&m;y2O}EvH3CDe?i*4zS3^h0H`s*CbEkt-K#Aea=y9xB!pa@5{4numv&+fT7o9rmL z1!k%XtNy=C0IH;~#o%5n9-MB-JEar4MQNJJez!*Gl&c$p`}&0D-G_iR z%+`f!P39TQ(2Ur4g5oop%QR1vHCNtU?Y2GN_>>HpQ}o*bfgk4|#94l~vMMO4UYLC` zF3@xv+Z`Y;u*TXiW#HKy%?ol|GK&SS9cUC6jgcf z9)Jatoce+?c1owfVT5w6cgT!~|Az5#glp~O-RZ7g>a8b=JCsJ@h<1?oNM^+XYgI{6 z`2AsI?+KP@3}L}pfUUOR7UM`xd~HsEL9xR6T{YE|i9uUzp^}do*SU_;%7eo*0%MkA zhABy;USK?@@*+}N<|AhuaH&&k%(PiD=y7B5$+)ugTgPy_pL>55eCw#xb*#{3F=!&) zXEerH{iXZyW_d0lulbZE7ICMa=pB28X|2Jrq#adpa5QYKR7&aCyv|S3h-q@9$MP4@ zoti19$ajec@6t1ZCE)Vb`M|-VU5yK2pNqL3Afwy;s7_e3XIcUkn|rcG*Gtmf{X{P$ zyjWwyF-svl-%NU{_G0T9tSa$n4BG+YZYH2i-XY_|V>#PB4nCbsIjZbrkL{b)n}`wD z(wA+)kai_3C5k4B%6x@=Uj!u`f_RJseDt_+W6Qf07PTPspjyM8<5Tv19a5m`KJ&Dt z_@mjAvp$rO+WBm&&Tr~UR@Y!>n6Q-I`cDe2ItNHv7|ApqLWfo9Yo{nhaQc|hNz7qq z89S|Y#M4b@%>iw03B|5c6{CYnpkc#tXwGsIPKGrtI(vmi?&H7@+)&dogQ1Z11uX|> zg`HRxnXN`tFgWBexE0F z=7q3)xs{fUL={PPm2;gapQTgYc7Hcb?K8H+^0KH)jSQhSi(TWs|z zArwi-g&SvCFQXmkk6g~H70($;i?nE%3pS6>x|7=#PibLQ7NUm>->WX!3W0Nc=cmpP zW!`QruheWluUhin*mh`!Yi*pG)(?#J%=qOu79i=&2WxdZZaICQ-?6pav;~Xe_=GO9 zJq|#3&)r;kj^<zHn8?x9 zjtFHpGuu)JQy>ZNHG@w|Xi7rKerz}k`oP7~&63pMHd4p_(M-daiw?UFd*(z*#@-|- z(;@|%E+)q%yx$0Q{3h8>WSaxm75I6@r;hOnnwjY?*Jw8lv`9kshVL36qZenF#gh3> zcZVu&e7B@5fJYpkeg3))Az`2{`9j|;lAcSJU2ddd7rQMc4W`b`Apls5sa0)((|MMRGI)Q(<%FDHSHKt$$6u-xn_0(_4GS zu^C8PY@IPV`&QoRMT&8EwE%NsP+QAU0Y~}F9FW41Wal>w2j5Y!_})5;^U6&3 zRTUHF%QciQPiIXc<80RVeigXmzwCbVO2ht zmM%+1V}a3`9WHoFR2{ISMd@sNGj0${v2z8BCP6+^bM1DXtc!QZTgnv^(7zUWFwt3o zp7X1Jmtnw|Rd1J3qEIa;r>mD0!;F^F(G5dS^tv~a=i{blDGEdOkf<P#AskoO8GSgA!41R(J7esdPCDzcw>eAE=}Wz&~ z@;RNa@!V1E7%g{@xN}Y{bCc`ct!A8U7)P#BiC_{ZnVrO!6-YW0HBey$^P0~~vRo3qfyQMLC#HKpVj$5shrZ$-cf52Cr z#84}~zl=eT*Bp_N)ZxpPo}w7o`B7+}ETa{ATm&4)5QxUG(~wl1l*1#NtZxxH`xl?9 zDiZ3d8kn!lcW|ZePV1UnPl79N1z%JtDRbL$kRm@^Qyp@ z*lEPHo@XF(XOJ0aa!I+^m*d}RrN4E0J(lF6QysUXy^W&qwe59U)@%lfyTgLWw-5)z zsI%#l590`RF3%%EN}}}2S8K=PmSgvOd^6v&y$VXyQ#&70PARLKjkEcpSZLdCUByRsWq zf$3hv$@ZqE5mzVUJ_&4rOy+&#YW#FxPO-ZoVF|rz5Cm|T8+}kvf11=uO(6vS;ao+Q zys5~LK1G$=ioVb7aG8f$9O6bMbp*Uiw%Zta^8uPO5IWN0`TATzwj;%dz*^eg+P1mT zW%`Z0M|PS#3Ics+{u ze#JKlZ!dr)K47}ObF?ZIWwyWmuaootF!c`XnRY$fa53%FnA)~&+qP}nZl`Xip4yz+ zwr$(C@twWyXWPE_7aUnxN!Fe{ITB}?jRG9J*7KK-=jv|v5xtsOswU67P1`r5#^?8PRfvy zP3d1;Z9{^rrH(N^3Jzf=Lz_I`=mLj`8N)bItaeT^5fx&%zK7jX6YE3+N2pl{?xU;= zm9Z(NIytC`b+*ZH>($dn-CIhW@QZlsr3^>jtuWr`7wHzcl(HbR ze2qI33wu^Q-e_da?s0dj&@Ju@{Y!D(tc&`-P|i!~16B2J(_Nuaio=9)voyMqSZz~Y zvM=(dDAMdy!lNd?p*V}Sf33#u;@(*Wib4ZISqI@rF;vIG#dnpE|M`UygGKCL6n;l% zZ-isSeI>5g`$52X+CxmxTg5BwS)AAwEo|zkb#*6`^u}zgQ71w7)f@NhoHnG#ftU?f z6$y-wuc=KBe-VdzhxY~~DeLf#C-ZZ#e1<{Hk8`NxW#}Bjz`#7it31O<9B9hl-MQ)l zJ*Wd9fjEdL!UYxu6c7=26O)QDT$ip-uJBjRzEiwMm*ZSl?RA$mUzzEdCz_2Li98%( zIK`Jums&}3(W%WpwGQBqJ`^ouI9*OOy&w93ERuah&1vu8rI%NZk1!_l>jm3PZxWG^wcIwt)tjX6oa2%C!%%#|nC!+}h4g<>tYg=%>}N9=pVfdzlA>0R#F?Ndq`)3dNb5b6Z; zSS+!w=Dm*JyF1RWk*IaE!2K%3_4u$I^BE}A3?3XAkNhdbY2AK<*M;6s^snzy>pgdk z(;t)nHPcKr)a<8N-p_P#vjSa0-9rP=VlIX69G>uxCn#Giz|fFVH=jB$ zG`R^|B9Hn}?*^?Myjid3n+mxqatcJ)0C_P26^=+w`v1^4fAVLQh6= zWT=ol7h<*5n_^Q9<6gc%1W48PO zDHJ8d%OZIu56g=upPdPkwUqUYJFv5$Xa6cLoV>4PJ@4Ow@Mc2-z1!U-)?`jLs|_?t zUV!bJoH(>SWulF{eKPO?u(4#>(h2Op@hApgKaSz1X|5KNDsa{k^_D&79|PrAM?O1h zzcNM(MQJVjqMFMfs|#@A+;}LykLV;`UTB?JkMJ|n)gJQ0=3{WK4_#_z$JF%WP#X6d%0-j2u)-i?K)cEipP&gS z4TViSxM2M9&XFG?6d|boHIX3tNxqi|F6Qp=W5hU0Ps*ms&XtKQ)Ql>Q@x*019#lp7 z$53$25}Al+^~+GwxF~v}o~b<%kahP7ahFtiiYI7%+{rU$yvK_sXnp*eW`gi~(P)P3 zefb60^@WwlXPGJ+k0U$xlh01P4F1^*VpPER2w^Si|6GY8$yJ-4wVZK9!hoEVw?CZy zY@*}t1#KTE%dzrZkOdDc>P)rNQ3a*GCGLw#6AG90kuI~Y%gLSO{p5yINCZYkdEmN( z!sbPfKO!zXRth8$#MBh9x(1~-=d`-!J?=F!D~tW0Vv5KE^u$mN0~AW-3F>-dgJ|AM zoIuuoEt?%Pcbv-DvK8U}!19=Pk8nTOdmBkKHt7`BeX^qu?_E2QlFeH2AG$OJMnw=3~(Irx)w_{!Jc(FpVsEwXn*O96*Xx? zO`&tbX_%`~%jyr{Gn=O7ALGKkjkPN>OlWvxXm)deZ3Q__Q8VL-*xq|4eWl=Yvp z1p>kx%&Oixr;5sDq0L-k*e^&!>XVsHoJ=x@q4mz&4;Z~+lBFzHDE<8xRPcVvp;>&OZG&Myd{*^$K};*hCky)MRUPolFR5%EC=3%DXE9>vfC?`X zes34=H0K?JhTM)f-Mo^oQE4^T?K+CQ+@j{{sXfONs9&U>%wnygbFRPl>(EBVeGuiZ z%Us`~Z5nbH4|=7MWi&`~*d?5rz4f?VwlO7FtrtPqrhDRk^o`%#4#JYS=M^B4uRwS3 zg(yzt#2(47YLGH$9{^c{-4|X=9^r0($=hiO)yl?jJFp{~*>E3I4R)3BA!;vi0B%^` z8N?!~Q5-Z^WRvKAucTopCesAoYL&gPQVatEp({IAaYwNVRYu<6rdJ=aKj--7TX93KFwQf_ zb2Z5neHnihCwdGkryc;f;CziTdr1e zI*o>Dx=~(y52NWY90EP;?0llCcry^rCq$Zcz%VowV}A?EXAd||L$*VL8WTgyxIFfIn)j=C(}UBf7oI(OEzq4yZIVadg^Jx^!Dqf7a( z?gqb#i?@%dTwV3?u9a)?!k;-qY{#XNHA$@av0h$$ja*O;PCu;+#MXcCC&Mi0n+u<$ zcVD`2(^_)6B1~02Egi4J%a%NjD2E8g27F6b(PGqH56OuV*O&@_-7OjT)S2KxAbvYz zn5nRozop`s_;4bcIHJ9SuhNoSl7TqPmk%|K%l^inC`q!4cdTTQxP?~X^NjfqAOZuR zmyxP1d1HnmxZo28Syp<|J`dJaYBEVvKz688$`O5XOc=fCjcuhmQxc z#}APf{sG))di^nb@);3%^xdS#*Y2;y>?ddMnBm~fnzO6ms%F&UGR~}bHx@UH#C^XM zDlG3X$+z-^?XDP{ioimgbiqYX7WoI}GX9u;Y9_PnkB)iznwvNTyC(*S?J3YvI0D75%S^b^Gm1k~tznJN9z;wf2w}S&fWZ7Jd;Jf~o zM)vopN!@(~xNl4I7Y>HDw7JStDuhcJ&2W#~A|nt6HZ{ADIu>^0v^aTOkxrV3J5elZq9_=j4`fp{@hGZ6Hf}8`Mh#&zCIMbps~w&w{-#*N)@? z_xg+IZQ~~6Myg^68D*^bnrPF=onp5HAqn1Hn1(IUf%f#Gz|g<7UAg;BE%RWGdEiv3i~C34Q##GuWQdwIV1_%ja!nxHud&SkC!#u)_Z5ogkhS3ZzTk3U3p4Su`E<27 zI~x0)Vu#ZfwvFs&@(m>z3ph>>!Jb?2l-YEa&&+r~jX=>Yl-)K*HrMR-bd0l4P4~+XF6wn-}`4u)D~r`P@7s-ua)EPP64u#Z-Itp_MI+@;;K2C*Qcf#xU~$C6q(P zZ8lLEFuklxv8haD(-0hly^L?yUlom%d>iQD=^I5cwX+C~lrb^DTsK@;YV)&}X|m|b z_`7kqz7Dt97;0v{$|3g_65Dj1Dg7y#K8B)4dfq+@Uj|F5Y1J1}{9H{wuj_VE7#MvC zZ4{0(2$oP@U?r1Y;lW{hQAbLl0_5BK)$Zjk;NKKQ1p$WdjF#&qxu!!J$v_SPh^48@ zl(F^c>VKBb;wYOxUYCW}IOVy=R^T(h7Jn9<`-EoX$4kLDMhM4D&1BJ=u6-b1K)eJx zrD(^@Fr%Y*w@S)7lBYo*vtYPC!1=xMbgg=ylRx??6Lqz&J(>b#0(pYmlOS2b|3HV* zhw;aQ6pibX-R-2d#D#*|)(HRB0^v;HY)rRippFhmj@JiRF`aK5H8)tR_4V7<<(g3D zCA^!jwe#~%&PNYVV_BwLTVZLK2bEkTE6q?vUznd7-K_aDxUT_n?EQ!i@7v#xoOL&1 zu&t9nJb9OyQSb)vS@f2~3O#~LAvN=GYH7~Ys}^~Ie*S~u#;I4aJQw3$pTy*ifv4lj zL$-UK1!Yk3KAfqzCamp3x|UoF#b|CX)P@SzuYE>kt`kt$&Au!G2P=q^RU}={=m;w*~Cj&Dy!eQ&ysj) zz0vyAa((&OCeoL7-9aZr$VAm9xm!YTovH=eQK6ph_08-%@zu%HECU z`J2LEOp`}v#mVC{4u67^7d&Iqp!eX@95lm-H=%M7O5!JI*+ZzOPmX|G$s7hHo7!p4 zoPz;%i_rN+%zC74O+bqMXu#ePb9qb)kkwRhtE&7Mh-K=L?K1+|Vk0$>!>`k$2&+=g zgSg%)6hsJ>tn=Wy&CMfp2}d8Drow+|1|w1CG~8v+<9kx?TX%j~@>wun2VXGc6e)3` zhT8J2*`kx|{)9rgy}~6Npr;doqE6qqT?=ReO>k^r+7OYsJ|H52J@Z&?&1i%wLz0_^ zgo!TuBQg~ID`kr*eVY2)Q;#8jQQACaF;2Sv4ZeCQuSzMM8gEDqA^Swzin-~06jmk| zgx|+>byOwdMWl4%1=}!QT$1PvG6a$T!zaiq*~jgojV^U5-;*xg__Mg6gcV_51{Cz> zT?Lj?db5JEX+t=ybYryqsoOU_0wst@42{tDtYd%dk)Yu=qNCq;1?S&$i@H&hrbzah zFWaKg==!QP2}#a!>Lb?s29$RX@qZ%1{i3|cO0NW=w|lR8>+_esF4Uk)Y`s=KD1*}S z`d!K(Y06tDy9gyFj8@gPUGL<&^=g<8K0b2Mg!1Xw{iW-aWD3G*G1JuOCy3`G z^n{WA)emKD+L7gv^4Ufd@AjW)EQ&F>0^@=sSV4bs?i}%h(Bvj9qc<~=nH17v$S<_g zzufGWrib1{gK;qLR{NMQ`< zyh6hx9kYtbk%tXyD3Txd{HMQXlZivggC|MzRjn%HQZAN5r1Y&F*m>(d{We)M2E5cl zx>63(8Ur!r7>ECEu<;?Qt5Fpb!h%(Ba2K7fZs~tqg|IqPM6tEk6%kQefT_B?ESAvO z;AJex*aCg9(%krEUj;t`Tt)E3HeS`@XgfXdblDU59crKDeLoVKS<6>gERoe&1Exb& zs0((S5+(n!J6H&F>GuWE0i0fG=CUxQ^ZHIGsBu}J7nZ_sBLyI%vZM_$fxX>YZiC=m z_nKDgU51jhC{J4@6b(Y}MXYT>_}Ntjbe!ZSAKXg6pY2HJ$+%ZB`O5u=4FMAztu)~@ z$dlO~v$D@7?2r|x5d2exZ*K>+R+{$vaUpLpm$0Z4CStzmhc01897g^@)du0(n_C~I zQNxvf#be^uYUi+sFAIy1U(X_r7>>(Mj0P#S!h5z)1=8oytBq0L)$CGMwl1|+v=gat z$L}#X?HXKoDiUAesTSx;wBUsw`MlpXNG!YYb#L^Rv|i^#_0Gm&mrXJ9qzQ}bg{q(J znFY0>B!=(CbWZ-3mlGu*2`9CINZFzZ$ImK3VREO` z!zbrMSOjYWZBX+V)f9JB^pceigCM*4LpM;K?>oD^ZnuF&^o(O8n>5oE%V zfQTAIu{l)}A>iS8Z&eXGJORckdFuW|M{fUz_gVrV$hLOdDQ;Gq>9K9YeL&^q)?!+M zh6!)!3kZ;1UfWPLO2zsH7`|zvTN`M!=o`^m~K8%%^9kyN*~* zO!RH`*49V~A-TvyGP4F=n~ldPnYQS|iD%&w>3uWpf>?`{*K$}a>GElaoG&Yq?}LER z0};W8A2r+TKj*$dscOj3z?Z|OO;ljVy^!S^$0lh;C;<1h;5N5zE79JDuQgr_g!8cJ z98hmAY{KVItwE*SurPZKps&U{{>|iZb6dAKx13O@Iv=+$-%RqwF`=0!6N^^VM)zEG z^>{}-?OcPFtnZytX$yTX>4^A`?b|~xm|X;tEumMBt!P^_NzG0^T_3IbnX=sN7v_qSXzQoNqj-wT3o zmXaL$n{F5LbKfJ*GkNsAv#-vbRFF%MaobO88C-t?wRK8eo{es;!Pi}S!6?DDyjs0Ll}5DRXOifTAAff9W;d zy`F{G2nk?j-K77vO;CpoEZ#Y@VCj*EXbB8|{vUmH49~^TPnH~yPBue;s#~k-HGYkt zYqpa}V9EFNItN|({1EvvtwK$}0|u+;sm+vI@#V5JqnlE9$g;Z>2lro0h^6G+mH0&| z*-7?K2*-|VKNo=?Q6DvW$gz`|pTbc*i4`D+ch_;lEH3+0sojF0gzufgFxnd!r=g!pot;EtGFv~&a_8eE0A+NynC^J{#&oQUgF~t z;kt?7`Hh9dW?h0$#mZFT9qV~0yaOuh_7zR>%TgKxBz(@Xc*ZT#mD8`Ddw4$ucOf|` zDQL_U8w_Q5`BkuBWtR;z2*;8)niYg2gYO=qNZ;tl<6zF$*-t$c zRRN?l48!vtIY)LwXpNliIKKcEBoO}Bj;x&N0;;|UzUTrHRw>f-NWZ+}xRGjsyTZUQgn=AMm_PUf} zi=>i>p!?!a{wUX;=vIkxh}Ca$s2v_5OM|R0&WOOddV#`=c|dqPk2Aq;`FfrYov78M zufA+g$N=m5!IkqHlzbB9J>57!8jU5ci1>Y! z`*=Gc3#&DhMT_H$w2Z#_X(Av#i^JpvD5O=^<>|tc+eQ~_OjY_)W#L|V6_v6vxZG)d zaxOT^bioD-03@tipbz`YloLY&05XG4A*ZuH3xwWzPmtirC=mBkK8E&}-UAg@PnClE z5q?K&nT3y!PWSo7*l~Z+v+v-9{_qz5ZFj73EU@NsK@4CWp+t)1Nq~eQKq=y@br~w* zezXq`TwS4~dU>zz@eo0QHuK2NkJ~(L+BE9jxrPhK#*ahHJ_Jy>c1AE=O8PdsY~=)S<$0Cc&aHK>nPR$BmI)8%xh7sOI@`V#fPpPSTYUf}H2mh9E zxf2q(l0xL0fI9y6!YfoF4Obhhu(r}hmCi1@!kE+wo1z>yEyP~6`|IJMC0LqS`?l0K z|NAPFZCd(3Z2pvM2eUbLbyJ$s55on1)R~&F89Wj0TCId$!uq}zXVeSw3!oYU3-zi- z?THc%M}s@da$hUst%oI8KUNK!;r5-v8m+4*=~BmoacW8y*iBaSLaIumytm#|N*kLY zpzEVRD#$;wvgrPbQ}pT1dU7Vj7?J>bVkzV-`ulz6jO>@>`iSv?KP0JNPbBkdufW_? z-vT9&Su{s=N&w6mNh&Nf>3s5}qAG{S7vx`-YXT1-i02z@pMHCA^a(x#!Du}!? zaD7RSz?V?GhLy47sF}Gx(J?S~Y5}tn05dcd>_e26m7fe}*F(xV4AUS9N!uwB$gFAzQZd+175R&SpCt%^T4UMBh3J{iCm z{Qm+2__$6lUVe`y@}j-)kW6O%mw(J{<>5dqt`+D+jNH8KeqUJ~MFpNhYG@E zWHqA~V7NNB&O(_=WbCr7*yTM_v0FHw2_g+sBs=HLNPxm$5%t5TEp5BuVMRwoW7Jll z#M2=%WrpKUA;58RPs9{B&4>ZtE($5 z4a1lTV0D0H83&s78o4zV2n1GN@$GXD;9Hj?ntQRrZ~IE6FS zxvncS>z-?7W0rLeGQ5B#O{?~ZISB72H_}@GkJw5f^FJL50jgu7u3Dk2{iYHSg`1A( zVUfeK;58Z}XlUD5mlZT#BB8~hfLYMw=yi--BqSm|D6&0o$;ru3CWe2^h8&6=BrC2oACF6qKy=am2zar*jeIu)ux`&`GiZNCaoTl24v5wJ z6NZVZdH8t{m?3^xw#^of`r}r9eQyfD3Vd3ZutA@yM^wlLMQRZ5dYK$u+V`=l|Mk7j^zz!k<6|T% zw9ggHEK>2OFoqrQ@Myg!PO!0Ei0{n~rko4t3kwXz;1<{}Q3W zIC%@ATPg}b`FVVL!7u$?ZUE31(St_2cz*Axj8}bXgpL_gq=nZA?_QL~W6uvJ+#y5D{ zXApoXZ$jOqf4dK8yleG0apKx@&dfC)9Sjy|7UU&ESNiNW76BfD(WZ!cNiD}8fZ}go z1PT>67+5L8_2VNeGqbW0zDKa$Oz|a`^3^++@+x&P(u{f2H6Xk_?f=S#4FAEm4Jb+H zQM*~Ca#-D;kM-DDeRzI2S^4jE^!&tybMXXFXe0JV#rfLVd#dj~=L2y;v7Xi)J#$H$ z4Lo7p4X(#cSlp@4A(a|42+Dk6|^^n zP|N>2xtH>)oa=YdK{oVHM=A}V>hQIQ)8Qb*KuhlZm7@RC!f27;zV-sIs_InDRa0lrW!z0{XD>%6KDpeRexq*!jaz_}_i| z*Gr}sJ4N>?2?55Ive~Q_$S*D}vFWMV+S)>8+1S{$xtx(Qa|eT=oFDufypM;K`n3=jl<_n(2pDF1~5 zycg5N?*SqxQGm_eu}bS38z|Z>t*wExm~8A|UI>_3IS^fWavN^sQ)K5|mr>e0%6;d6 z4xc#{VvauOkGylxKRAI(ZoC3-y7))t&V-O2#rXqk$tIa=t8)WOgdDF6j~Qq6-25Cs zpgbNK-}fZhb3H+Bv*>=c$<5@S$>9V}mQ1N~I-M)zJ~T3N5{Bn1pS)5*iiVjEj_6DC zt&%ioi}86RF2PO+8h3Za1Egfw$q5@*UtegwHdS9}tvamDA&TOE>DcL-N?5>SNFLUX zQAhLcbaxpS6C)VzMo$i^{WIaGJmyz0VV9T^^LmAVI%U0bh&Z6tgWgbW=LFC~FqxR< zwAeiwc@n|q1U8p1ZTH?UMDNI!jqCm&VlMZVlJo*h7hLA0p^+ij=W3#bQ10 z1sl+FWMX8rUj*y~4;d^H2-X_|*l{8P3K1AoeXN2vj3y*wkCm{6qFdxk&#af3d-D=H zpNa6;_%*0VuSR*lQ{DB^ni8r5S<&7RbjIhr1#>YHkInz(W`NIPDFK#t0M=bXIEKt| zxttO+)5|s)0;qus6q@@3(d$Ov1Sugme_bHdr0tW>9S9zTQ`3ow;E5;ROGu%&zL|jE z6&Kr5hjTX^tB==nt6Jf+KeN%ieFvMxqFkZbczv8%<#&-C#M+<$Q8-l2N(5dH$D0B$^~g zQVY|tW4~UV%D?Pf*FbwFeQtfg1?EOjbCe1DilH6ZOZS3U*IOriYw`7?0g#)iMm@N5 zj8I`Nal9ChvuhChHtRP9s;+{~KBh*FQSSTvzHT^M2h%r{;Gcpw|EKwV5y!xPC@&PD zD29xf?$GbmDHyr40CN{{(AK;Bx-iwwm#rB(R$f|@egMb_MJ{O~&cX}rE;e9@9kT-Q zU=YOKFrbUn)=pta`(zK;TrY@_KQDN?_{cHSQo+?>$LA6RAS8fxDPs9mpG65o@w~4x z-Tn1XW1ky73T&vLyA!T2MSw9k>no0BHymZ@BZ0t;6Hq+If0@0@^%Chl!2O42V2N^P zQ3*qz^J?+{6TFPML;#yo+yLX0zTu2U-tI)ZPI`s`6Q^cQy;EMDg_86$VDcAKls zcq+rWHxV^BIC#ETtZJ&4FpRQ=)b7NIY*d+c21yC$u@(Fvm;O4iDfnUOkfeGRIonk6 zX|2>j@%Ts!Ou=tW8yIO6hqAjp4x?%tkg>JiGPvqhghl6Im(1=?rn~SbwfZ0t8huqB z-9CDD#AQYU^P>8P@{8puoR+WCczo-GQH`E$(L@*7HIx#6lHB3 zrKl}Gv}l_jCF+@I|9;t6Z8T8|j=Y$VuDw@b*5eg!2Fw*`|5 z)lMrql+6xgOXLG6FRG4}hHxykEPhsAiB)z&B^VtE>J7xTm70u=&Er&l1@eN|kT*P9*5AvrEmo-WU zNj#!0RI^coD2krN^KMg5?q+oKc;;@ce+W8cz>Wxs5zRh!ph4AN zV0?~!VaO}e5=3JxhvIn@facsYbT>H4RK9$F7 z7!IMzUmZe7J|L_tZ!yWm1?{fAvgSIJd-pMZw4Ql8Ugt&iw6?NhW>~;M{;Prli;m+b zj#@wsP6B6TZ`U$7ESuA zPS+9P+(1V(x#gLA-7ojI*?gErb0K(Bw;|kvh1&n(!3%!ztn3Y}+lif8$Oi>eC@$6^ zczYZ>Y$D~wRF6I6}u1V#J-dV#xrN^OwI$p4RQbxBdPHs z-u!sm7>WiyhQTPSFi-iPOmM3ievz}y;x&LIh~dP^Wt|k59tO_gNxtqt(&Nl1qknU5Nh6b# zz3FMA-@%W%3ueHjUx!7`y27G9oi`P`gnCc22BC3rnhSv4t+QcXfj)=pc?KQl7|OQB zY=aj)-*&n+;czYF%<_|+TM-IC4&E#`J|s*Gl1FU`+W%c4`yD3auvd~?fzdVz>mGWp z2d?pQ+KXL4ksHBt$$o7lfT*m3ThpgOLgmK0W#_5_M}kRmScJG0zutPb$#>lJ`1Pta z5|fM5%!tlwCGYjd9W1MS1)@yObh;zRVJ29}WdgM(AW%KmVezZ^PXh6QrpD(`)jA7* ze|PtHh9uV!rJx&vr#N_HcKiwv-3{H}uY_*YMb&X)iJdNX02qb?*Z4P^29dN0c0XJtMNj{@q+AX{m`@b62idbId9I|E07hl zP30d7L<6)MSH6%zOkJY|%1kEW%<|o-@!}n?V1YK?M=e1*q>5i>d=o1#!)T32kStuDir6w6V_i>@wzUKG{%-)MR)UxH<-sHDKGJFPb;`%j zf;XM<9ovDFwim_NSr_|Np`fLSHAjp~e*Cf>`Km`a;d2SAgLAN8Ur4&N0v)hx1@#ez ztIn?ACb!0A^v~Bh-EHKu&K4GAt@C~2di8z@)hK~Tt4?Ubx5b1;XGp$DQPHQt6xHRP zc$;@ZXwn9#j^#`^(rb-)?~eaPB5oxsF%T6652MhQOlk~3m)^AcXgR_iJ;KJ8U+FajL4YWhepg@ZT!0 z3J3@go;#%{#q2;EdBE~6TRn^qU2ZAOEmPoO_{Ln(O-!}4qJz=B+1pS6{^LWvW)?nE ztNO`;zQap=83)T-a@2{G5F=}-H0tn{VrIJCH`3nD&lgb-*&Q>yB$*b&t8n4G$n|cQ z97B!8Tw07wDA5RBGGMzSt*tyi`JJs4k^?O0Y*(RyU7*(SKoYPV?_Uw8^YQlKA!#pf zlg0@;fRotBX;+IOAh*$Z*F!i8&G9~|xU>z{&0LIk5IW#_;a%f=Ee`zyn9u?dQrjb& zh6W4d6K5vK{=ZP^NO|F#u0L;E&82KvW(Noaqf?yWNSow57vxCVXlM^>#4|iusp;ue z>NSGp6kc9$0zu&6)l)XAoZz%kCTz{ad_5fZ4})uVO=3RFFF!8i04rsuSDwsol1)6y z025ItI#2X0v?^|{I%)RRp13~T!r+&Y0ul~3hXZh*!f@P~N4X|f$#l!%;dw+UVZ+gg zGr}Y7!T#B9>+0(06(^=W?8jYB0=>Ctqy*Tfd_sh0{QU(`?PoO&ujnHM|LRKG^WDHm z>Btc29iMB*&H*c4&Z(4(2wfVIqD}Fb_J`+p7|2HS4sDFUCJ9SooxePVb@lqo zlmR4t05atFy>y+%iT$d#@Zk6#1kZr!F5+qD4CWxi>Ar{SZWs0zZmX!9S1~UeFl8U6 zxJZpSc)_ouGLzIfL~WX07oa*;&tARbw4)CkP>0FiD4UEpVT@!-@_k^%;;CGuf5$%+ z8pQE^42B)gJwdtl9F+EWz-SrC?Eux^1Ejudl$gmxSME{v0pdfBGV9A5yQH|B_kPs{ zWkbWf`@2%JLLIamibPw`B%19rIQdEpej;BaFJl+^A;X7*8v~Tz8I}xRjn|9WQM0%n z#Dk~Td_i9kxW^7#j&{Uclre7qV*0lUv&0luMpZNlFxN&MerOjE?K^+i2!!oX2^6H0 zNb(KUwG3c>WvvO_+{E0*)NxGyIIH8iSzjgV+!j$2dIpEyzEe3JLi!G7Fbv)yL~XOO z_nC~jj=6ZQ?U76-4zcj+M&&kz6nB7O)`r}B%v6YQ>AX|5bVd1Hh^+yP7sXHAEL>Gx z!~;3(&ZM}EpqZQrqEnww=Cy4t}A})1?k#j6-@71bn(imm7U3xDqJS0V6p~ z=I=1%t6aT5V@E?Fh^tmsKgyj8vAw+%O9aslINo1}yca|+p<0*hEXdg)Or9l}dPDNU z)E(ViJMe9H!`daa91!^H5lMpJL77EWdPS5i{%gXg2Pi9l)^~fV-Qr=Q*+=p&)CiHW z@u6F!)a`|r7j?esS7l`-Kxs!7qrJT#OK7Vhs&&6+4DYf#e4@*um+?r_1$KsQ=xLsx zF3)-X3Uzn=vcV9|3A4UXVV^0T&9d&LMxxDMRhA;ygY=4(dD%ord~B^|1AP?kKJK7@ zmYWepPV2acqu86WaS3U1K&-@<68nz93Ip3HF&UC=W;EtzZ5m1zYc*J8u9cKCJiGue zUt3$dV`lk{Ul0Ayl%&_-?z*3}**T(*-c;toEbBN|%Qe-}9#Q~&fS{`9@}=x57S>3< zUljS(YcVOLYKp4>yUFj+2+hmh`Z=aY@H`|AMTigqiVV_D_)q`gy1@83)&^rKDm!Z^ z35|h>mbwX?6_@{=+&I~$?j|@YYmkM@3&e@>!~w;hK^L!oA&f#2%SV=Z(dJiRUa8ej z&-;TOP*|4CT031=cnxRCR(1I_#Sju?pXk8CSJ+X!KM0X03g83WmwscrdMbE{fBQW> zc%{8EtfazfGu#lrZZi4N0E+?^iPk>~H8r(`;Wfdwgjwwp8FB`MvNirbjcI+-RO!&s z#p?keiti%|Yzru8AcaYWk)ZH;yi6PJx37Gahs52sG6gR+LyU`xJ2L_k7%1pGCpdAq ze%ax{H>`02fM5LF<;_UNvcbl-D6uInsm+z0dW%(;nHp3=s<*Y{X*fmIgph-4T0 z&yhUe&Zct-ALyy9 zkuK8nVwQwE=+&;Yc7a!+4>QHm7ftIUa7cXoJz0M_nXx#}k6fv%nVNn0b<|3-{cTbn z;A&oB4PXz@+qBQ)WGDE%otTglQw0Z$f|m)c`7(YpIGa6eXTff@VqChfpZtdB&SdeR z43}sPa+$Mtuxj9fHcL97b1OhNsrMV$0NnRyv*DW#hJuID-YLOMtf=Q$EJHw2Q#DU$ zvfHw>%wx^Y=asv!sz>rZA4&|0(Kplq-;I$@w3YwiiBKLg47abRhUVryi#T(VaaRMR zvLM-CI+X9SQ{epD4JGI86--Tc)ZBHsQ{2C&-@QB+w|z4O4A$URm?!W11eI%|n|8c5 z__t=`(fZWb0k0lwUy<9FrqW3iE=$gZefXtCh*8vEBE1WAcDZIAn6*_l%ctw*AS0v> zvTbB1eVA;DA#7G6*(xylEKf>aax@u^@CCsMFETYLXT=|$suY2(Z^ntNglv`L;ucpE z8&)PGFy&1{)m(*iL}(dHpU2$08*SIUt<+HsS- z^xXc3S&>m-^mc|z>SIF~tfZ|^jVcneah{%}mExz`LU5~!x{0uhq@|?`g7J195ne08 z5V^VsVMQmlzsCRO!_ji4#A#J~#f&q=9Tz~9%%Vn2%YLVf`pt1I;yXL(=ZEyz(knj8F zOf@f5AlJadKgsSO9U*b*)7}18I+&Ft-?q^@5V=%-TA{|E2VC@{mjU7`Ms3~8gyaJS+jNh5n>mLYJE#FN(`{2Ows!K`hS|cwz}n7Gkm?r zPqY4$R}6(A#|KuJ$rkF75WL+cHOq7Rs*miEF29_pNp6K&EwXq2Af-hHten_qVVihW ztPCeG2CG)=0t}?1o_WaA2^_H+5w|VACwaq$j5OIV(i|wCzFsZxAcL04fsmb2=Z=85 z`Sk|sY2Ez=j=Nm30D-O{m^3qPjWM?}?Tt9se(>wr7q>1_DJZSI%0nkaV!y{FopXQ8 zss&%%(%J=JvbpB{(++api?RYDHyOaJ2ci#BXS^etRjyf@Paj5Eq3H7Pj05p7CPM`>|L&>GM zegxmfnla*UQ`n4DG!WF39*R+2i+zvy5A-wM+S1#xa3)@xd6uHmbRi@Q%kpwZJ4(>0YdJ1f!M7F_U|3B;#aT9e>hp>cM5G92|Zx zAg1>uU~hPNx#>R)%NMqn@$!zwJpw+>HBh-?-k4?4T><|CR65$|5k$?vot^AQ<1gX^ zP=wZi4!HwOZPLm`Q2c{t9>@gr%2@jF5#8j%@P{HN+flpB?+j}cy}4I`gmkU(jY*Nj^s0yCD+Pc7@g)w4Ye)RK=i^1k?{PvI%?bRI#9F8;E44f z>FxRLNu~7(c6*kCjkuBqo!7Jg(=K(R6L*fq0z999Wi^OJ_VKZ?vGh~!sRR8TZGQtU z6Vet+i5&&kp$mK}BKr<;&p0<9`7+Y2d@V-k*fU+;cj7jheq|yD>`>WAvkJl^K#iKr zg4SwDD{#IS{H(0dMqZT{2Foq^+#t^&a&~ooY;F|IOJXHN`uHDT)x(CAHt#X(j@qG9Q#?EbT(|Qw$|G;cHYcy0-k;`~wF9~u+WTU+e4O)6;5~wBKc1zr zDM1QtSbL3BpV~9`m6ktGgNE*)jZE)LW~_rj^|VetjY+}F>rujTlweOi+0T|^J|wM| zxCrjSbD4jsyfFyIkB=dfqZdM_YRUl&2U*})X{QqqCK5kYXZhWkQZexP>Vw=4SnRT-q0vNftOnp z<;Xa4qc#0kfp9wYS7xRXisi#rj}@!=joNDF{Ys|u{G_^kU{eh}zETGyOK$lcb{F!e z?J>tEIF_>CmiV5K(ODu1Zr3m!rI>4M=6`AvgoUgS%UR;BJGvyZavUegFB-esWg4e*?t>k|V@+fsb|$Z9O?jVxjyLx# z7}RJ2nQoWTi8ikL7$4(=D*U$wv*mhuwiPK7?);-Y9~q!Y!sqtFhM`UDwT>G!w;LLi z^S^F6-T(>YAdJ|6Gn*$u(2rmY_NZ%Hrp6kkci&~{;msT{w}hzITTZZ_S-0zSH`}Dt zlkY=9Ks*%pgo>)atRkOI?;0T`5!CW4^FU}+wSWtiD$uqfcJ*I$F)?3-I|HgtBeY-N z+O>W0uQ4qdnTV7OA&?R3!Djy3JhemGJle3IU1wKY1EMf;x$+}EzS)q2v_f+xqv zLzMoe4I!#NlrB!%k%Ioc}JYJ zflTd!{Cuc@(EmNvBWvOd#$y3>Vr4xz?|9dXVTPGv5lI4NGQlkK=^x0UJ!s6vhN^j9 zA%z7JasvbOYhyIM~wsVUf91hM+ogU~! zhJst2P5ynZY9{Ekf59E>$Su2mP5LEXq$JK2?dCaI+XB5fAaHASLocVoT=F6{*&n`; zM1;wIh&CVM0`IzEBIOArQ-W;8hb=8*;}f=LT_x&ys%_sngwC@D<$MEAN}aWWJ`{OB z^qUZ!XmNPS6{X$w$+>gO)E1pIm^{e|K5oMZOkV4!4{21b02=AJike%nv@PrtJM|KJ zyULd%@0kWK3!rFJ>J4?2@HWw`%#V%92U)(1j`Lib9q#ViI=1WJ-oa3{*ZdU{$ry|6 zZ6kg7&G)EHa~ePX21dM;nekd+1JE7c=?O6aU3?AB3DP8Co?^gLDwJ6h6du@Q^6&7^ zbu&J}@2R1V6ZQzOeFK5g4^_d19(acEHLxNd%0x^gS0?ju*Q)J%_6lB7@ZSlSbGA#J zJ(!X5dS^>_N@BHFiN5r$eEe&nU}9dk#Rl6nD^=V1-gI1vDa5m!@+Ka z$V!NLG;d7EM&K8LphBuv%>GsB6eXkpAE%qK+id4vLb-z$@{r=?M_VKH=VlmWRv4$2=k52^iYxb0j#ohXyG{gfWxEm|1A`nm`*^+IUn(U)XRqg$@OvLdPb_xv8nj5SkvD zNNbV>mf(-;197<0{GoBN{xN@JY@}`fH}z-sz++)x&^=;b3!@>go3Plsq%z`>n3*|gZcxiBYStaFO$12P zW7w6V}tv_VkJv}-qXuB4k}x{pNXe;U=*NfHHIaL1Cd>KQTyI4!<{hmQftt8C8zIR@$oA>YVGLISnoj~4@g3=@ZI=BWDrQ9 z;3cBwoRlkNIQ(htYrg`Uz}8um5AYJ#bJ~B-Cm#eRc5$=5eLXz5kEgXiJa9l_n^4?5 z{)x*2dDYH<=+DW3te}Wt{;Q2et6~;U*>dN+Q=oII2`**VCDr9|h%u<=FGg9c1xe4( z@rb<`ytaqBn$PT6(8v~|9f$vAlP2q?HCE?~r{5yw82t-byWIN9I(IB9qIbuab>f`b zsKOP+t>4?jRxiAN+{asAOAHp`VMVsXxN}Af{Wg6w%_%E`*PjPLfcC$^dv)NQCku7P z8h=QED77+~tA+Sc3+Q=v+ep1-@_Ni_|l=GKNcyu!X01k!ejSV1&+qC8UW zKgooJRSX*Fr8c6>cTW@k=TL%=v8f*8>*YRZ*{a4jiBu5FGKZR^9}%(c@R}2tUFI| z4a|>DrE3$8{}doW+MFIw~N{|K@GuCk%2wsP8T z^lEv8Ud0-Eio)Ss5S6U$n+L#Fjy~}z z-UI%;9;8!Y2C(fiWBOuJWnrH7f_lmlYt0gAr1w4L#&LQwc2xL!H@&N`%~d@)j1YY0 z+e2Qqb>BWOUTOxivI%R0ha=QN{73`?f#j4vHRyGS`XNKBVm9Hlm$0TlaAvBQ%Zr+8 z7yyCkeGmf3132Hg)nc4}9lC%huP?wxP$`}MaJW|iilE*JyfWPNjk063O2kJtNQZgz zd0z^Nw^>s3RSS~kYo7>00_RJo-}I0)%;%wq45RS-{M;RI6hKr|G;yC_b4Or!bhLut zinqz0=fZ-g*f&EW8|xAoarH1QX7Z`+VX%#NBW!8fp=-K>`Wtpz6Bl=_}B2r(an=w=w*6$u_)h^590lT_;8{z26MuYKN9E8rszvlrgw? zHG+4M@m%*)_WYZlEA!#GPkvz4iwbD9nM^8>3At(kcozCInJoP3k+dJF&k?eD?>OcU zc^WRMM>GpIJ-6{?u(dnaHZ~nhDqm(pZ^Ug1S}I~z_WH`wM~ad!ql>fl*^Je=tBmM% zeq41`C2GZtbIA5pbuD1@5F(VgX1|4%-+mM3$sXcZz)+4?#p0;VxFTYdGN~ zecS9wud1O=El8`b@Nby!f%SHGm%7Ov|IEv~qi;`vYiN81wdIm}UUwI9xV`t0pa3G; zN}Yaa3)?1>p0uA?1whKQiCD|Dp+^B$vGWL(*>S+^hh%se{)%lsfz5?C4>Yakhtt~Q z^W+Bq_TL)$7a?l?WYgeuPK*dvMywzX9So_9-c!fFv)bFuPQTVv^&l^p_ISN>8?G%Y z81du0VE>Gi%#Ax-n%6Me;Qr#tRV@I{H{ZS!%54N6u;1zmW24v(_`Z#iJx1@MxO=4Hv{lnXM~_TsPERMlB@4wpWUtR@kQ>6Q@q?(iMGT&?%YbWUqES!z~az zv+Uo>qR|yt?C5^KIq|c40YAoHugtP1bUd)(`-ez2MF!%gX@?(1o)$Ko`#z zp^?$W#jDLX1<2^~qMwOjv=0;$H7Ry+Q(a$$tmIJ~I8wR!^C<9W;&$;DU%o(xSkG7>5}j|!M-A{GsxnGboRV2CTkC5xSQ%pd~+i1 zW|JfT2nQl%kt=gQr1ew0V6$iG;dExL1HXO;?c6s&Rcn=m^F_r(wO9pYv{@?Nm#k8G zMxS%WXBa_giw;US>ZL4zrM<$06U$A^h|!ORjfbZmc} zryw|eibPKyGyu4!TMcOxw;vasnHhH$M$(;yNLPida-qf_ZRXbsU?3A`$pLnISS$|K zB+=Q5)v~ef4KZ@RIk7r^j&7HUay1#!{o%!>0*gCP29KlE;F{4&ZeiCl&9t2L`=OcP z+z_Mi37iIpvxEZmP9wB1hEyyRT=U#5=5e8_#gmnX3}kFVU@{P zq8`o`VI_4v`&?mpt(C{-**Xx+-9Pi#H86P4n!4V~C)n>{k0mgZgfmN3j$q?xseAriq>(fZ7(4K>e zUuxqYgxZ^Yo%fr1(u`ewRF1q6opr1|Vteh$cj*t~Bm@5RLPofcPr3R@9T*V=3gBn^ zGo=SBWJeLvI{ItH;OuVn(n0OX;#I8n(CCphyFxGPrzM#gU@HsoXb$1+i(x?Wp#!j3 zeaV;3FH`pletP!~w7=C+$D?#n@I11puZ61=j)f9V@xdxqC|?*^<`o1UVIHp9x<=62 zgTCJsVMLV^NIMCCglkY~*1Th2ZGKVj5I2|{r!?8@K<)yYUfDLNS=%qz85`wiPIS#L_Q-T*26P2SYZeChhE=< ze~|k-730yg;QmbhF1Nt0OX??SiOO2?VV+aS|NIM#K97YKWXQ~rk`%~fQuUwJCd%nt zoUcYvKMj)!>-|RQgP?sIpx2xJ3*MOtSyTr~gK%I?d;HCYO&hn>=(N2AOD(tFPetsX ze|4WB;62!f+z}(ZufJb278WC`Ci50^hZh$!f7 z+n?-f?>F=U(C2tHxz_*P?# z6utOOG;pG|_5zgpvSXT@`?%Oogy%Y8|2=l~Fr534vhwq+I|A99Qb-GmkA^@b{Y z&px{1@sYR@?is>#@N`G@Qmm9F7Tdl3QmM3s zJ4`Svt4A>`Eo2+*7V@b&$CXkm;pC7sjnrXRULVclf`wx5>zz(RKysSN-Wei#>cIKX z)NB!RvQ5tlW%X}t%wivVSjw*)Z+fdaOCWNQgPU{DRUqv9UsBZTP=eZE;R{Rl$9A#3 z>5(Ab!qwC^h!5?&)B7(bNFzh&%7xf7K{t2sD`MtsWHW~raXF*2wFVx*RVJ}wjLIX^ zLLhi8QZ-R4&F+5CY9Djw4$VH{shWb{b|%}C(82sSqHi7L7khvq zi|!O^S*#h8-*Xk1grnF&suH3(*qPlkHsDh_e=9>Wac6tVWaGtpyb3}t#9907T2(3x zwPI3iljEd!i;9B7<|>b+Ss>!S{3GxGVaMyTCWPt4*ak^t>v`VnZY#2a=$BShK{iQ! zWZeS^#*4UG{4i0VTQTbgpB3ZuV)alJAyB);w5k9sDVuw z6p)#>pz~l}3T!0$@i@z5Afr10RdHMyX@EkN-c|QRM5%%`NIZ=MA;8%;mFB{V@nRaB<#!LRUsgG#w&k?6Ay%72m6B#1xAFoF`V# z@qfPVd!O%7!mVC|Y_;ld#A#uf1u~Q)^=s$ zgwwsVEaw~X@i-N#V8*MQML-)^s{?JC8aQ`UMQr`c>Uk!MubGx&>S8&3qvETe5*>c< zFI4fQ1Rcro{F;80v!A(Z8lO!=TM2>RMaoP0qne2DX7f z1%v*G!>Ptu0;BoG-O*ij36ET9Ma<&o8juH0)|CxOMVde}+qiYnGB#Xruc9+i#Y6rA&YkL-lPxkVeYuhnCwtQI5z%#7_1ZTXzjo1L>n_+GFgl@v zWCKE%fK}+vH`k52Mt`7Y9N?-e9KCbK3~}azv#4qBboRd1PeGqh1npVbLsewD6XRw{a^zjH$um@Dw=mMx{1*s4NJZvC@+@O+Sj1VgJBrM@LO01(5IbH9+ zEQy8Ye@*cK2N`(LccyRiN6kgg{Ur&{+BMvk!}IM2!oly@q34$wb*V@)d`ly>>^z6^ z`ztA94Strf^nh9pyk(XiLU~KEx^OwEFAgHQC z!?8oXOU(*dXE0fg*v*V*0T^rI8r`%k^Cdju5ItWjxId(RO(!x1X8NdqMbv-IiYm?v z_^n#rGepT;2R>@^*KfQ8b>^7GTE@Pb4d!^*21ror_05SC!}IT6dcvyfdX%&jRSnH< z^Xmv38z^m&jfshxwUD^!ILtqJ&zmb-pPSIST6m*sF7@c)!D};XZkLpk9#-pIuto)(B?Fz628v( zhX-Kx84}h4a5dF0V9bK6$1X>)65Dw?pBDIRW7PX1- z+mb-fwu9sHDYny^rJiMR`}Npa&HdTRD5wgsA$fuoq5z!P2=9gk!m3}K{Dx68Hxhex zBVDN1bU_-9<$-bgx))-b$avHMuO<1)fYpv~cfjmI!)DB)DfLLVgc7R4c;`V|V%CxF z2#o7~{bWGCvtAF{$ASM+Zfco?sxR%Qa7E?hjQPMVJ0rw7ci!N$atNIa|Fl%y?IO2P`{dcWhQ*z-&*My zs`tt1t{gTjR}~m*rV2#px)~Aj$K5WB+K+mw;z31GNKJh)-%;@Mxw=CnszOaMJ;hHg zxw*7ebV_<)uwnX~-nbO6aae^eBYJ?|p~MoK5u5C65Q4%MOl=PVS{0yQj-H{%2_>5< zBF}_W>Rq^KAVu!nd#){Zo*n4FLP6()Cn_b>xw@T34qe2WBVzbjbGVvB9jbyOts>HW z!I^-@p~BS9U<7q|^VqE@Apv4}HR!^w{RZL{HjZF{a;hBWYW35S2iKwB<%fOtGF5;& z7N&n111ONMU}|*j+x?zWxid1g$|ou_n3N+;E8fJvzM{(#MZBTZ{~c}bG$$|O4mcW# zJd1nZ(gAZNzKg1p!*;^#xA4Jg;*S?Q=CsM+egsYIiaWD*N7DzIB2EZF3of-_J-R^=I;E=O zCzW)RAh^tkQ}UrX+)Fk_@1nofVw#~B%<+rMwSV==U@;8NU^l4bp;{n72x)CNQw~qu zEj|!erg&+&FxW!A@b6y1su`c0%|qAGcIVYKVovVMW=X1p<8fK~5UBppR|4+o;`4nL zI{)^-?QryI7ee&h1z(2a)~8E(8oWHNxKup#c`Z0I!N?UlVarc}i{&0bo=lXkjqR#f+!_-N4b2K= zJ!Sd_a-OjpM71q6oo;V5j@m;TKE1WvV*_(J?V{JXha+i*E?~S~xiWFrHZ!bc?8k%+ zJCIz+0T&CPlt|IEcH{r3ptAQYK4j^iCs|iltv+s=5fH!9XR??W7N(quT5%x6IxvLS zai^>vTN5gJe%1LG33^OTbK_JOlZdKBVyLhx&(L62{MkJtBQF!xuKK-poVKnGQoh{v z=C6QqbpZ*^*LEds4p7H)@2@X@ruM+0bS8g`uFJY{?k=>*h0f~>Lhbr%Et=RljQR`j z&!0Yhda$^Zr?HPkJV$fWfo6uwqY-A~-S}$iBcO^L6m63+Xdn!5%C!-8T!~2BbXXGy zm^g~%1;$&9@7kqEdjDVz~9?h?tcoDR;hn2~%=fUTOPtcyd zX>@S1FfMq3UHw*o>}dDY@`VM zx3w%oe*L*!5M=yLWSnGmEAj@!%X2>h&3Y8v$Aa3_RRxw^kN`B{;0ZZ*7b<@X<5F6F z@^6Ao3!R>Nmb!zX<~kw`kM1C5Obb_0j{ocfp2D%#Gn3NV9$rmU>%I2K5b%F4uhf`41!YnBYw|sDV@x_35DyZryKX3x{k3#3OZs=|v}r7{l;2 z!5~s_8_3y$^(eE!r<*k{10Dw;Ecm9 zlOw?;U&?W}G{*M1f_v2n!Ama%=>^^5#;fPkNqdyxSTn=aJapDQ;cXr9n^lE9>@lAb zY2%oFw6T5edt$P}^v7FAqYnAd&`SNot0|Hr~mvi zUBh*tb@b(Bx|D&FkYv2ATS+wRU zh1lue{EmOa?xX$iwGH|GE1#6C38!vgYGYCkEt%(RndB%wXMp~<^IlO#!O&Q7|~QF6qw{Jlc|B|M39ZCdVl}UD=@@ve?dsyM zy|2$Nbm#cP0?CDr?gz}sKfT`0q)uHVbf9fzn+ok{MTfr2UV_~Yh;}5}1->L|Sf&dQ!={K&1BdM1$vL|FJe;$fNePs@nG$ughDH1p=rC;5fgpQ{9G#07tu&5#4aLjr{FK*@Ke7O_fX^* zhS6b`#%%eocuHj%BjofeIZ+&{VpU8Z`ize<>_FbcD6YorDI)yRyyn@Bd5)^HLx8-P zlB+>!?rWWr6Jud1D0xs$Gf*yuj=r9;6Sq#Y)T+IwqKPoMs@WpfpE6$R5#gXBfUunk z%7}SYAJVEr?@nXNPydsfjyY+@c%(>DrE?OfME+9hnDI5XFOXUBAVn+8(9Db+ZvZwhyapUO!B%cVZwpaG;#XP!(6{b%`o4+&syF}kiz^B}Qna{!N%iJM0Uh*yv26TwWuBMpb>!VSJ49Vk<8Jr=cSjg@6z>kZC+2rNpUv@I!pS@%G1(`GS90#H;)LSTR6(r8Vd=mcoG)8fa_>nf#;$ljkV2g{L&I}^ljc!8L?7Jtnzo0N#yJ0g^ERjB5y z?fiAj1G)OQM-Vrt?4&zdxUHpKg>xOQ&1J5ssqS6H1RvZGekx()(RWyqGf&Bcm@(1C z3_}xS8<1cKFnDW3a++rCzm*mtH&1!87?ioNkubNne{=XI5dI$+I2Js}c85HCRSdD9 zfYS~iE+SeOH?pGEvHvGdjb*@9_RslK+2}JCe=}o)05avHlVkC)iRkxeB?&fMqD#3^ zzX^xM0|D%@Rl4-bqHsxpNBZY-#bumhuXzt6TdOWX>K}kgP}swx8HxEtv1A(2W+6Nm zTyjjn2Uhx5{q;5p320z-YfNYoUWylT!Cm2aT47_CHVsoY@ey8xtIZYLVdpkhbn&H^ z-`DH)pSd~L2h>$ULji=wI|@I&z~oRuT6{~F46tz@@hg!^tXK_`5J$cp>q<@j5fYK7 zvr!!A)ZJXru6HGDZZzj6jYtLzHDBzUZ>(%tlp5gBP+5HO%@`4#cCH(Yq+DI)3rRM6 zi!Zo!IyceBzuH>4(4G8S7_B=T4XF%-0xe#sXSTb_?L~n1x~CY}*$H6*B>)aI`eKg$ zQ~oe#`8p#bsfV#OqG9IS*&YYh(H&6omAmup$rWr|;Mt4J>)H?jU4vh1y#Qx6)7Q`$ z+F%bd#V&B{T`*d6aa0-R&Olf9z9Su*N$3l0;`G~AP$7JG%B`V+9BTopjfk~uN5my$ zT0=DS7g&dy;_Rw2z2`}{ARQts(kgmK24Bv4IdQAaH$?6|!3K)~P=TJp0JlMVL8;bp zW%}%|s7!`V^2hq@dM7zM?lF!|BUZtF&=iYD;{Fajd={g9_n`AsgC3}M?~cMVh}|<6 zbJ=feLRXK6+7k-el05NF_`2bgC6C;?YOtxoDV!e~8l~qdw&QwTA8>N3&9_<3XT#Y?Mb@<+O|<(YB#H`-qYdg=&9qu^3c^s<78 zpw5|-#ke;|NZGo=h`X&P9Rn?x$b8cw>_WTyOo_pn*D6n!RDbYVt?nm*L89}yx^l>a z2HysFq3uZ@h~t?A1~#UZX0}9#16;*^8m48q)|PQ_K=%V+J5gty#iX>Rh;U{hUB%+) za&J?Zh&cb$S?(uXFEsjHaTJDTP1~Voejcb+dJcBG9j452HaT)N9=o}th#ghi-J;hE zqMG|0rqChYmha-cNE~oBFVp7nUX-?Np zpg&YM+%Jd`wmaOQ3ND1^AMWt-l|>cm{AF-+s=(cGM^&D2kDeZ+4PTBiRdwOF5-KpO zpgto!PB0j^5C!PEPcbB}vg(_;!K&7ULU=kt1bxMpSB;M;DN45GBwTupL6yvOym8lJ+rkpfRmJp*h1C z8D$XXJB=tW;tBbIf3H<@WC!ta+5Mn9Awb}3r1%$^*={nkk>k(ZLvBf`eWI_|cpB03 z>2Ww~7|PkC%mO2vSKPACfxo13$&c%30(n-6Puy^&R-l|eoGqI{5wBd zxzR%gc3q^RcMf!zsAyrwP!tf0>GH*;FzW`diH6&@uFlBNK3vnfE59C#!zDeK6>EU2 ze|@(^{~+8B+pN0*aoK6-@G{-)QN+c)4e=BGx8?dOVQ6vQI2?Iuf}@KOkIlzFDdFZ0 zl<)S@v%;8QpY!y(Z`2T0tyb5+m4_}n@TZPv-S^n~Op&*}%x>3APq7O3QY|hAQJ}oa z4pEpDqZMPF>sYJy%iQ)+u#w_85%@U`q8!O~PD9;}sY)KJ z&)O}r9jfV74aA=jXRmLz0pKWZLo|Bs#CGd^9M-aM$0WZQX9SlS%v>wzP9~MoRV*Aq z%nCzGRpT%GD&rFWed!GZ?k})|Asvd`;@prV+V6!u=m!$TDB$P z^04NRsl9@AoM{xLdJJCgip6TFcqDN9bG)TNbN zXAkK1>&@u7h|#ouhE>tygBfCWAl{^>=3l3UztTDIGaafmr4Qe>G5NR5HkTjUKcJ8m z>B+=m#in*!!IXoKW#`1p-4Gp?Os1tSL0LZ4LH*9oq>F&R zHX*%X9LR}WYwKw$miAqKRrIuN8?CW$pEz=DQ}_K3O+ZYB6%YmquYu6wMc1KIv0owp z+s@DV*G*P3g@t!W3!j{O=#+2XJLMr^h4|wPX9XC@mN>rrcJ4-3T|)XHvl38^?hm_5 z8UaqFu#v)Mc|L;s9cVL@XO}6cD+^-NloorQV*Tm%vxOt>v$|+83-ztO6B7OKAptyV z&l)T)?6`JXod8`Aycl-N=sN~-Q3p##kLu!6Le?MwKx@K?+k_A}^9#ARgL{}qOF%b~ z+aV;v)7R5nVj0KP3g|+HQvyka(t>bQ?B~oFN|@wZ*asSozL#p3KxcBr1s??5{fqG> zm9?JOYig50u_k!*_<=2Hwb1`y9s7`7{AH*-w$qqAE@96gA@Ei(=5gSzG<8(CxV3C} znfh43`)aF5sP}_bp$zzbB<`9Y@m9dt&S{)tRUI1d>r3e6Tc%&E@;>W_MMm^j0@_w} zzO1iiLDn2Nv0ixvD|AR$)=n***rl;WA|a1}a#^%sDPQ;)mS$d-Xhv?>Tt7s15O+<( zn#ywcPR?&*xTZ)9o{aifDCIB|t!g4RgF7vp(wj1+;SL0q$IYEJha#_DX@6eHjZM_S z70-_mznpsqq58W#O+cvEc zk84xN0N_NMtqdTaHP=WdNQU8A8yM8B)VR_xW14avZ)mAp47Sj8mBGOPmw+nYd%kc!&fpd3 z%o3pa7uyDcO!`4M6^l93tpj>L(^k)(iFxqq>c4X zm>)@`B)7m7zS8Y}Yw=>EUM8SzEXa};W_SoLW)Zbp;3WIn8lg;@a<_ZCN*Q>sZp4J* zSGYGGmX&QHsl&~v;htfu*R$glhE&|L^?ToQywoy{{Fje4K|58L0ac8$dCmJm4D2NE zW_{TY&&;7xRbliiAJ27o(;T7DaqKl>-3zYqST1HW)Sz?aUj^EY?bO{0a{=2ZWc}uM zi1-%8im1$Q&a4BMTg2*TSs$`4oJR@TJ3~DKvicMz^O_+(4hM~a>!L@At%v(5UQp4A z`>r$pVPC1`!HKTTp@}djHcw)2bNqKEfYsMZke`T<>S z{xh3;3pXOJ(n4*>_YU?rAsoJ=i%@h27r4K_$rd0h7{UfX@km{49Ex33U?L|zD>^~Whkz- zII8)kcsafQjAtl1Nt(##lkk5&i!uh1sSNgVWAEyT@&uot2>ohMN|(=0AQff_$7+hK zLc}^~7XRjM;zS7W4j8)FFDjbswf8f{Y6T~2pyYQlAXjg|a8=*iqCX9suD`=YuHQ=w z|1b#(#X&2m6k7o%s&h)~r#)9R)^OU7Uyv3FExdUZMrQP8&#{cDS&?|Tf|#*bU^<{> z7k(JZX(*U|-IAw95x2HQarG}4ky~YXUl9v;tLEixNwo$s^lK^h64kf7W0)Ph@`GDw zDrOqc0ck92tL-Am?P-RfyP}R+QVbWQJJoHEcx(t050h+>1bb13?Y36CUCBK5ay-!n z%TI000HL%boP>;57Z5|(jcs39$_GNWAP9i zPF$maa#BprW)}up*_K+?^?Nb>quAxSZcE^wnE|9Lt8O~xR%O|pxW?cWR+=e z9@YQ<>JLs6Z6Rih@B3|`FEDc*zl-M8yHnXK}H#d#$1Us1KLElkR?d)wZ1ye1}i}bDsGnkOH{~7@|$9tk2qCv84RrBlnIw*yw;b{Dl zzi&e*0fMw}%pi#h;1>*4B#q0RSi`6cJW*mn(*+adks@k_WKO9BVhuj3@oeD+%ToF1 z&{}SaXN4oeafA}f4>Dw|cM)`eSF)}#;wcdW;WqedF+#yx6~)ifEJzyfj!^5{!b8_z(rc+B`+ zFyviC96ug|ZhU0l4yK~K0)--o_8g2F+=e|v#2utp!|y{)5js8GB^wxe06|G2C#YPN zk}n-Xr=Y;D+zRZ*5{>K)85qD>P%=zeDN2V!(*u#RA-cCDf$ow|M^__$IrUtrrlx*nilNiKCNGOvSLh@Q1%&vZ^7< z=vK`$A0Z3M^j@I=XjQXQrDlcsI3 ze+m)i3PvodzEd^R{zmeTyS|8t;=8@>D8%iv(^c=NgiCEgJ;}nZmpQ0{%t~`!i=Xi? z-)3Dvq%<6i;+BO1pd@ygOP8gEi*`qW48>$VPt{?%34HwsI#JHFf@wZ_YmdQLJ}>`#>eaqU zYyrlEaQq*5DUp17^IRd}#L!JAb*tSN9J7l2XiBWWK%T_NxCEw*2_Qp8cMKv)Z3>hA zT(@D~t*Kr&c+&ke%n;iLy{^dA*@|00TmqyxIv-F@V@4m`$GhZ_BuA@s@27!+2Q_r0 zre3R^!Y-L{`0b$hky?K~0*@VJ)(RiV2AX6F4LyZJ@ zzR~i465WK;mzb>#%!j9_`A%(L`l)WE-&j!PNCHxa@>HAoVr5HYke;`^Y$QZ3429oo z)x1uzrl_6MXU`iyl5aJl%mOS9j35-iGg8av-^sMtcsXb?`1)+N({r#{nFxx1ZwGyT za9gR-$|^+OwyuCv3MQC(HK*iYem{7!t&P$=x^|{99GtZH($y#b~j^65z3cq`#fW7Y;X4~-sAGq ze$#{~R#6ePUtjd$Pg5T0ETbc8CkzAMzl=a;h)6d+Js?Y(|o zBr0YJ3uZou0uK`@Kr(KN^Btz>>@*_WW<{YWQ`3HWsi>gTYK^D^@nf$ErSkYrFG?5< zQlWPeA)r2lp^O?t)PW|{T;B}tu;V^IsByw(o3`gfdP_iV+X~-fzw3*YEW6~g%;E8t zypmZBv*=!KDjAb=Gy%r-jvQVckU1(2hQ4GpU5u0%;R*0;1sF6-<*pTJAOtr5$(2(~ zX$-UL$sCAM1%kZ1%QH%ZW3k-qTEA01rt1)Sg$)d3Jp_p~8ZOS8g7>G9c%Hu2r`_N^{Lw+*2uJ($`9gzns9<9ohwJIpnz|CoEl<`j=-q> zwhW=97dwGYkdQLUDiQr$J#8Um`Ufcty+WX!Ob|I#O=*`rAme*w)XJwel32|kMNApZ zL~x9yM`)b69#AONN~A?@X}aOz&#)Wespcj25^G)IB5>M$cp|6n$P0wX>Z%jh^C>Ou zGoP4j@y!;_1|k@r?R8BGNQgC-!s`E5Bw)r^X2E{eJ}3E|#h&g9ZBtc`jAhUQX_IVZ zA{^zdP5p#R3i{-&+M)6Yu?d)Zib=n)R#|~?n@nFsGwQ*Eba2t+Q&;Pbr8c)l1+-BmDz38K&Qb zI^2(-_Ca0qI1d;)MG6@hqIWE}{f2dea=2NSPoMOQUZvIE3va+55M}ViTAXL)5->jJ zjp7M19JNgEeZiewnF~WzGRo|Qqn%vFx&z#%Uf3f*o7P=>uS|BVicP9=`S|N`3vlh> z5If{gXioB>2)Tcx;*|Cf49vn56rZ*Kxr71Bx=dq+4t(N&*ZC`|4Qfl>IiUoN2Wuk&X@s}U(eZ0%1+ao4+rC-tS zR>`*5!9iKl?@hl($hAQnyz%6J5ZuRs-PbrF^LQ`T$ zwyQ1n-h(Ct0n63~lGPfiCN99FdrJ>pEu>v;ZU(lmS#-u8HgcBsqSf{b_`)xO&I93 zn}SnPcV=I<3m)l7N>yJ|gVG6xflpsb5Kk zME3uhhL*%GN-@r{sZ>4yeEEdbYBJgKPrrMJNKdZ7=m1;!AGQ`MBE>GCVVZi9mOd;N z!AlEF1b6qt>nt;_u=B`v?Kdk?X?is&>pC`B2^FYMI@X$y)of)yw*(^Bv{Nd+D2h%^ z)=$S@>SYB!-3{!KKeB8@UQiFB7h&g7ow^6^CDbFz+ZeSek>jkdydCqzNN^KS`TP{* zGf#I8Fjm+|n$Y}FVC!-4L`x*7|Mnc0>)zfLWkonzCTdzER8!hJH`Zs>DKlGU;OcqM zksb}U>$Ur&VNf?*7!n`@@jsBptru;R-9QYa3BnM_^6TfS^4|~YW=MDKFHA{?H-3ny zS8*9ClI&tZ+*Z(v9S%xaRHy7nQxgz$Fg1c^w7!L6mg(y(;gCA}-5^-L&4JPbJbVmZ zLEbQIrIo9<`ZHZQ-v*l50!r@IhaY1#dRN5>(#p-%_0VU%a}APQoNkGyux_@lsDAC8 zo!5bg1TJ;__bd;*;y{SJ4EhMnDKY4YCP|4DgC8K?d& zstM9$iNZ`i^7)~Pz1>T0Yzj&1wh=iEEK zamV*}|Jh^Jv#M%V)m$~@w}>V?@`|O(sd2d4Q_g>>DO_4Mhf!UyA-yPslc8vdfBEx1 zbhmQ@xn+B#M$qn$9xsCsexH0=#*9pjEE-FGFV4M9By^T=KpNO7M@pWceAM!zf#$#hV6tIo! z)N)5yF(4{^yy7TBl`|^g^YbTzDIyMT%3fgu|04rbS=LlivVzXn*qiH}L$R(ga=j_Q zd$nBdCQgP_7Tijuz#>(MOEwd!wvQP2l~VqLABg)c^^(w}Jn1{56E>m03;JRbop`Ai z0xzUS+arV1S)T;gw*JYWv7g6x1qzfm3UF0ad0;d8l=k8+My2eke`xQ9WYX8j$78Cn zP|SRsc$?Zei33W}6tH1y_rVcx!;p3kRw5ZOc~8auW+xr_i>|PGZVPL|#oe1TNjJz; zOstEC|L*G59cw{G$udv9jYBQ7`6kIj5uhe@n| z1Bi$GHy-<;UOK#hF!br+(CHL1$e)q-Hb9rjGNN=YO1MsAODH0BzyMC?jC4)u$Qv!KD}aVHH7;5?CJ*2DAw8J*Ier|w zf~IatE%fuBF!;ImjP?ZgbT9^3gU1VvLCj3vn zRQ$KdVH>U)VO;uuL@^^IanPi-nPRq1`AjJ5e`>aitGlk-QtlT#`bctgXxQY(RL+XE z6veI*r#R083zW>lDj;}m&hZZU%=CFR$7RdHOMCQvtOBRAC_?acnRYmJ$2{+Ra(UeH z#dE2B3LwD4M8|+%!N5Ql{{9_ez`?Yz%v2ot`}YV)NXS|e7nH-?^kC=J$r7uC&BgVn zbW_fBxvdw9O!=*JxvtpW^(vC2N75t@(DA->lNV46q2*|J#t#0QAAo!&w}FDWL_`|h z*9s^*eKF}I)H2r0LU)`&?o{AbyY8s^>nrZ)qMB6juPPf>mM0{CYwyqK8R^A@<7OHs z8CO|9jut$m83xkE{B$=JjMh&*gIdW&{Eg224+skIa9wZ=H0x9PUBoUME}_ezoJXTZ z>%mMr&<`9VuY{ffeJZFxJbl9%y#7Tth+h<@pj-C;Do=dzFKa*X00VEcl++ovc6>{CW+HSW!iTC)KpUE(rUrj=(2+wbG`Sf#{IXG}{lh6&G zB?kq=p(Hdymc&vW;oEw3R6@V}ct8+9aLbFJ*wAcg$}`>R!gx&+DOEV3vl}c{uzSAY z6>Y7L#*&^y=unQ`l&bYuj?yP#LMv_$hOo3v4W+xGG30R?Md_iYVc!qw+kwjgJWTRH z`|3Csly9+qsL;tfhQ9-%v9O?5_2f(?U@WJx9AynT*oGYa=G)4(FSI8+kYmJs3&YF*3UA~8RQZH-!P5O z<{Z7yah7%dm?3s8U_kBO#ux?gNR&qTY#%-p&TJwahio>(Mr{=ig`*;vU94_?EB~7#7(t0egWRKjeECfwnx&XCObh`*EGVtUCN7n;|aN9 z|JbDGUz@y|xNotg!eyA5`9w9w;bU?AEo;d`f=-d3Lwojpi=1Kuiv2ViE0!jgegR_+_ zvCS~UT&vI1;E0qTx)AwQ7^P1zw+=j2VFLy{v~A)Ow4*FDw+gbH%sPw#OtE)1+=T`% z6dwubX68}d&wNZMrVHBbtJ}br@NxTbi^h3&Sx+5oDnu>?&XB~Hch?2OarP1JGDPEb z&W7jEyGU-=RlJY*srwnRy+CHV#8@BVxyjwur-5b4Qp()gS38?a$!>Q&u*&YQb_kuH zDK75Ry55Jyizp2?Jww4|&q{JIhy&bJl8V1E|C0UmzPMOwr*0=i9*$D@Zua)kK=0aR z-SOc5F&T^4d$p{ssJTDPpAVzXws2QFxFh+oN&0%(X?lqngQnY4fteF~Louot*gvhr zn7Yp7L8RceQ$wQ5kt~c_#FR?q?e?+R*!6_F8w>>cY6?p(+=b7mXx#K-1{Z_H=Mi;u{=^K zVLToc--Qh(k&xt6J!_bchBMGLtVqNm(pb~!rHl0>R?2m?kJOG`>YlX*USKI#BzfS= z@45xEtQ*6b&%i`&O&au>{Fp(jo?Xy&=^e4Lhy3XjO;Y=kRXAHx!&p(pLdA>YlbRQ{ z`B93cvZe4gdFT6ED+tw$&-m(G;e?h^!LVwPP)0I1*3^YT(=sd>9jbnfxN*R{)Ll@P zXHY{KV@yAC{i^iBN2>1_UtXlNE00_&r5KNdXQcplVQo>Uu$ZElo)#M~IVFX|{nogH z+VTg>9hEF5%jLoGh$Rc<%LkoHuRi7R6^wUtzzgPaJ&uPBG2!z%-8@o%l!Ex@aofEJ z&FGVqkdg`dCssW^gb7|mRNyXJ{ysXDETMz&OS+N??&uDsY>@$W8(s)k;t1q3*WZ6) z*HludFstMDVL{Nb9ww~Y>tD}=?_YWwzhR6PZ<&QYUO|#4H~VhHVST|=&pO}kzp_Nv zZ8{cu9*I7fTKJzOB$z;M!ML7iA8-0lc^*WBXruf|!1JdOV{=s6kO`u3lw23xi)lf& z0D}A)8IO4Tfv2ob0&)iK#AIPgoY(4W6z>cAf(Wmm8{3PqQzWwo4Jfd0h%&6QZ0qPw zF>gCecwT|IMg`uYkwmyU5YbOV6%rE}ci0H3C(x7_693gkiW2 z$N7c=;9a~P|}lJpme)^ zqFL1|HH$Tgsi}Vh?u$=0Joz?J?HvnE7;l?u)1Kja672mZb zl_{VWp;2Q|csh$pb8wPg{He?0`+s>Q)4cjX2a{AIb7;XKZzWRQP-GG-^9ek z$f$oxKS|~-TH5^1K%WnX1p)Y|gg_xRUHE}NQvKC*X2SK_<$Kh_I~^d-e+0tDR^goE z9SlfB&c{N&0lJS7X;NS!<&NO!{a2>5l-kG%PmAiQ^Tt)A0UdQ^Fhl&VL~XhqG|3y@ zs6|W^t2V2nSmg&b@ipa)UX3^tUTFtB`ep5>q=1Bq<^Jr-GJWX>k z=ABypQ`dV$!a9o&ryNl;wjofMk~2pW+;mGLdUxZ7eyD>_s6XBi`!xw|A}@pJjCt-> zaqytLef1A14-+3h*DiFwoZ<%)zE{L)6%P`IDfmK;d5YkgGqT7R%jJl9AZAD26|8+s z5+0uj6(m!Dyrl%RtCTY>abcfPP_e235-%9BZjf`b9$ zie0;yu2637UNq61xC*GcFwROH2{b2U1y9GL{?)>k#iR=;7N+7AKPpog^`?|TszX9T z>adW_1KmQ}uj~}ik_2L&JV7I~Z3kENv5T|o!(-K;Ck?sKY!d>7=W9%1J~+mHw(`sz zNYEGtQiy8fj`7b)x2`Rp(7bhPChpKr{o#%6azWa!zwDs;A&8s-AnW;=-MXNL7dO4; zcv&B!W%TNA_BNjOT^Ro;XTLH5P6JGQ59e1WBHbaOA92CLs z>P}$u>!Im!Cr%z}${E?xI<1~CARYP4zPD;I%0Vep;cX~)e!TA`ncm*i&r`48zu24? z>d=|syW7{wE+Lu>VY>3;zo1?otqEZ3F zM{4Qbfjs5qM{ti4Wj(Q5PjLgt)+6Akyh=@M!@+k>b_-7^cyK?}m*HvVL!RxH& zHyq@Lzacl%T8B~!SpeJ$f(Gl|$r%NzKt15*64qv@0go8cOSr=9J-*?|3TXwr4rxxP z4nOrq<}g8$1EZE;pfrN^j;IFCb-Eo*c$65-FO6mD3Y<|qw=<~^*#oM>3MnN6x9nFnP^4jNX4BP!$>%86d#SZ;O-gLcat*Mjf{>f-d zd>>pwJUBz;Ocgy>1Q4_UM1-Nm6(gZzgAP&vVa32Es6sJa{=F&{CpgWtfBD^Wg9wh5 z{dmYMl@}?TZnA^@&0D>rE@AGN;7S40#;OR}jV0s#6c#xFIed8N_Wc)whr>WL_0`3;jskd5&zD0GPNrKXsDjv|H}FUoXa8e7xWhqj%t> z@mGu+fD4@8z3cH|3@RBVNw(x21>yo0B?&t`wa`UL{D1;99f%M1D?wDoyU#TYLO22d zT&;SHw7)JQk%~GS+Z>rEu*Ad~^#UaKWX!;;4O8_rP96^1dR;+vQS;;EST$+zHw&j3r?Kj`^{Zm6aD;`9pEUYfuCQn&xZQ z{-YagxFexRfw=G6_DDhyjwwvQf+7=Pw#qbxZJW0o|5FTU<2h|OoDRft?La78fbWaN zD}Pg!0OpG8DRztV{A8!LHfQgeQg?{kkjwUh32B@EI%k~t7u@=)Ix>m3SrS49UAi}g zK!#-WTn`7C(~Cz-i8sm3by56)oc$~qgX%zi%^PvFuq z#`uAQetlokRqBYAS%Le2qQDzt_bU}t&)FN@a?xwgOrdZBLR9E(qbDOZxgh2rBO2JT zyh3v;A7pL?gA9KPiYw!xs|Gxx06&)zDS=*J{EO3USe}4x$j#k-GJ_o+%g))k)AAiwpi@0)t^#M%!!K(6 z>qKGVepmF1_X$fd0nsGbaSX59um)iY7=A9xK(1Gw?x?w#_BKdI%v}4H#Wwh7G{!xA zg*&cV-d&X!$YK8uMfJ;}A;RO}X3aEB0^9=g)4Q|v0px_Q7bdK4d`#CzOjz-fnb-I0 z5{JYOt8xN2bwYjbdyhdDtLP2sJ783;bC^GcDw$~Ou$6G*r47jzAj3^4QpVCCa`@an z59V0x0l8Lb1*?qK5COZy_27BeQ67FG>MJwI`CdsnKQ3K6;@sQF? z!{)!F^oLh53~VrJszC2fHuRsEG4725@d3FQMy>W+YjDTCA zIhrEUe#%a-!tQ-NvqDVL{JK4%oF7xkrusk9>!GWi+VLBI5;wzt7!n{okE(ikgM3Y5>0-$x>#wl8_HcQbSGKiEpl`8;HyvNfSpYbm9?}P_S<1u ze7E#kxXsf+Pe?CO=kpO(m2n3{yaJPQtp#W`)J>R1`s1NdC-LO-qr_`h6xHCV#g{Ir zyRRUI;)L4q3Y-(3hu!?^4Fdpf@`JUfF32%`(euGHD15+D(@^+p;BK06G3&Mb-Q@39 zEAp+}gMKt3{Ws{&C0fUK%to_d-V%2Zey0QTiL{8Mf{!)OH`46F*>^v6a3rxEwJc7F z@kuG@bA<4t(~XlWuSDIHhMy7v+>dK%Tai~+QiNK67d+9NjAK6agJiUrQ}8-%HKK-( zHKy&ej9ML5^1`cIw*lyO{EGeMrJ3UZ5T7^;!wrm5&Oy+1py z*Lp$98BM>AUT9csTBeG*$w5ZO+id$=OecwY1p7vi5|) zBJUAkdxJHWT6yY3as*go7+lhYQt@pIb?bQ z;f4cy2gv{c7+ZV~Y~Jf~Tl1!T4LVytldW*KQ1#&X=>3}Y`%^iijq$s|p85ABzXy2x z+>-VuBlX4m^oK7WE@HzOVqD}rp8^>)ofDB}%0}YbxrPJvPZjB7vZ%ZA43E`(q@Jr? znBpI6(UQ3hmlBfHb9O`vzoalmmUWSLtV?~6o??}0@55Ij6SdJc=H5OBv3N|f&E*D9Zx?I`i)PML)GHjr>fDXn0G z2hRLs#u25r*F;l^XHnn}rxtGyM>iJy8BBkWm_u!u#^$9Zis?6a!263D8h4%xDM6yE z+zI-}Z^F~M!_~!5OWm`2z`7pCHbJ)=c>I?0Nrnl1AfC^LW)t0BHlZhGE{!L!n1f~< zBY^u6ohgJi)cUY zGb|2!FV>|WfKK)DhjZd`MdQDDGA{!&Z{VAxqXn2tVe-nA`>HL`zc~aJY|2!PoE@a z47$~#KuOM@@45!zvFt4_s5g@p3KJ}&ioyxBQW`e;OgA5EU!Xn!0FoY(uRvCSS|1~H zCEtYA*hOxdR2ipYPAU7o*0KSt39VOV$gge!=TYZKQHibXOM;fP>V+^fp?)nlmha54 zM0!VBG$D*ll@qs-{7xmL$niw};1qd6Zp+N_5MT86M+{0F+i$G;Zsp$ZP?7mVcmB`& zoCi~BofN6P;`?PGBWD0AgWv~Y#0+?lo4lY(ALZv;E7EZv=lzwd6L&NlV;B@xOT{m} z(P`*Ekavh1O|*9_53_jFGE*ilf`ee|hkm=UH4|McUvZQE`D8uAlJowW*I1y#lTYHB zf3a?9gH%dq+=hqm-&F>}7arTqGHP#wew0==b>$cTYR`d4Jm@C&&KUEY1oM(YzkYNX z;=XFc`YT!>3Yw#I?@>1wqh#af#ipSkfD5j;%AQd4EoUeVTMi&$=x5JTGnBZHh_H+E zx7nl}N=<|c?CBs@&MK%`le0S4Ysf-I-j8o`aAjtDsE|cy7Jlv@`TLq2E29alo zXr=_B3Dz3gG@|g{poS^haxT)>7Kg-3I$Jfeg7Tw~S@g4aeGohRViaCpRwy-V-@tf! zcSgD|S5XkS`-Q4ua^@WQa3wK@1ZN0J_=N`TnNLj$t_U|4^HE}=q>}BxDJEGU*5d=XNmS>lzeV<9=$FGk;tY#RdHp} zhh={wK6eOU9CXJMn*ugWonja7Hs~>6{@rgYv#YR+*b5-eR9ny%ZP{5L=sS5Qt%evSP5sMlV%iejw1-&E+9Uu5tdN?$j=YXE?1W}+?YDm1U6kJFjHASdOLrU z^YU@h{7%KQMw?+D5m+Swb+UD8i*+0npLwt696I&0MX?l9f)M_fTczCEoqz2WT^()o zmrm2N0JSU87jF=6dLdG2>r)a~6=%+ljO2bm{gSgCPjk&>*>)I?O>bLNtzJ{}%un;~ z_Uebqo_!c1UvH7iI6W_a zpiVi4$0-*6V%!;+QS~Sb_oNHU1Sz{_V?Q;C_FivF5VJU z=g_1QcLagwISi^oqRVU(!CrOG5#_OEXDxo&Ta>)ySn(}Li=SQMO5P;R#trjXd$MmS ztgC1;bd?Y=*uBq$E$TJHX)>!+!PwOIGUGE(_*-jMBZEEY8YS*)Y~PK<6@#JF6g zx^vV(%OLK33QsBJIq`J-81N*y&Lh*q5-EG zt;OvJj(xnbM2osB()Z;WKElF`R%)*A6?!BTf8eDH?grFm5lrEB{`yIqXJSVs{>P_` z-F$G4RBHt6nIXw%&)$M<%i8zYvv7!ZNr#}!x&sC<`s$1qw&)W1eKVc;rth6`u%xMM9d)YdWovDGoM zzAIm1AK)1H9NNr|SKQpw^(5=)&vjcR;nD_>h`Y5!25;9jxw<0dv*xo1Q&G|rRci=* zLJ>q5(e;e>bd;MGN7s%!VjNzn00u&pCyY(AGOrd+UscxtQk_BHT=?vWe1=;EJ$tF1 z?u9oKJ_v5guPW6e;^E&gPr&O%FVYxGE%Hc0#tH14zE5sk-g62Ss$^V#7u&PN;SvXX zvS%nRkT=k?>x1WUc3;s~UZa58GyZp)_kzuX9^5;Q6VQ!R_h6+L)XJb^9k#tv$%Ipz zejcAU|LFlVXZ?a~fKiNn=#HoUu?_YvU3fv}-4k$inx1vn2gcPgVX2)VT@%S&g@L<@ zwdL@u7SH}ruA94oJR-@MzdEOb=wrtC$HZn|1tV`JT3uJ0w^UR-$Rv$WN*O=+i57em zqz`T}!4Y>LoZS2J)HV%o)xmFU4nxzd^;&ARc?=Hp%Emt5ZPLKt9%TZ}1C_e&vsh`h zU#0kckF?K#sl6FZT!Z>&DS94CW3eT~5{$7PAB4OI>crX;j)`m<9 z|IIP}!Ak2CI~+yg?TD{|8}_d};?e?QB;>%>f@$Yk`An-WEM9ME^XSFH-1dS`1f=c~te#hyK7tGXmWh;{$>ghssI956pYJObsi;YV3iEBaanlWUqPc7mYYxKr3O|n|Hh2Dr z2sf543Z`5qoR00AiNfg*p3JccU(t0cW;QL=ufJ$zIckt_@XZe~7xFy(p@9gp1etGi_4L zs&rZ(5X5TgQ>uuUyd5C^kmO)1L>f9i9BnHDPN{2$wG;BRa0~3EA~u+ZC4TL``b3@r zk+mI51lNb4Iip$GONFfoq|gRGuOW*OL_`?20o*mG#ZH<-&;ts|7;d09nJ0{iBcoev@I zlNO;$fCt$-W3Ak|xI)NM_xJA`UgLk?gG;e!e<*E?hlHJ^N#!?eSDfRK4$k^SKJoDU zRs%N!R9*}bjItrpshT%$x=H^+3xqc_pN8JXamJ`~`@7X{k@+b23U+dJe*^hz44&W| z3!*D!MhcvS;h}94ew(^lQNh>M<1y6H(O!m!9sX(dn|7$(C4i5(vNenUSWnR$4adE6d3H%PQ>_ibDs9wa97h)-_Ny>91ylKiHAlWvhR{8zW|Qwmbuu&BHXZwyaz zG;O?u%mas-XSO~K-SHh{f72T{WGfrG=LJp>GPdT`3Pph>yIZbw3ulpB_%Iy?EaRTQ zERaz5U=oEQ1BMouj}5T#3m5(o!k`uQhT;{gp^->7I9YIaC>zy&*9>}#5Im~r-tiNxPwjYJ2yM2N{svA=7(E{D9c zFvrx5yRs0B5v{+dN45jG4n<~agRyEFD^xpm&UlPq$HQpgEC~7S11bK@q$2syW~cmj z?Ac~cdp{2^6uZ{mv~W{Jh8fVN3&IB=>cHUZ(pFkJMc{TFURl*Ii1Fz7Dus+o(vlbA+0g zbZXD|8>JpjmEb?I5ome^o{_=s$@o`y(WHqm3;XDRZ)~%F)=Njd$#@bfSY$$ar^?AH zGQvk%#M^*f(*13yV?N=10PKj2(r4a(xh$`ZKNIC*ow{`s1V;OO`UiRP_0CCRj*3$P#To2j1j7d|jrN@8G*3G%2$)FwVFYqT)S?N*1&) z)d#(fHX^_gV^2Z@mMgfII2?*Uo@iCgXSY>h;{Vb?OC*W)S~{6{iV?VW6q4Yo9h~#D z6RPTBFl`gitQjLtlnYh)kK-i>JHP*yi%{!;#nLx@j-|DZhh!q06VOU{FZKT)0JU#K zyj%m!`)Tmu;w)yAfDKUaCbX~GO@h42N^HEmJBvQnm2e22-uJN}M-OZCRk-x)aoP)| z?PL)5MG^n!&f$Z*9DCg!D{Nn;%mbfeo}jI#if}e%e@>VSfi^YA zju^q6eEWxo>IRJGu(*px#j%^An{LsTMDABRO|aAIBMFTBq6aK!S)pNszn}_G(i6yv z-|B`=;X7EdM#{m%XTT=nj@W>C6D`o51$zSoEzk?lMuTH7)lQcpO!$5)gLl*pDY!f_ zoc=Bst-aocE_C$3xqZVNi&nY(N}U?p9q}-0mzCcS+OXnPg)8JR0NIgsdq{dBQ{DoH zau?YFud#8*S)YLRSRtQ=Jn?e)e3m#L8&$#DEC=rQI5V5S@orSU{(_?0Y#jjqgFINJ zy6C9$X+CrA2aBF)*+mSKpD>*GDNl=(Lyw5gOP8L`V*1=Z-pPxSjOb7Qv-UtGY!QuF zol+$1s<~kO4ENAjK1;S0XA|gnlZg*WU-=*U8H!w<{>X%vq9AZp7h1xR>zP;;Z%Kh2 z@{nufd*(vEGMGAB72SANVx&M;s-$bkmk{La5~ zTA;hQ5`?#(e|aaVP32 zwr^)4ZG3lI0x^u}=)Tx{5vBF-ALs0#!o)pq3jU{@GO6hf3cd}&-6j=563js*MZtWw z5o7ER0LkrFA<+ljY)kbU+Q^wM4q)Dp0~TL>|4s0~b_xwp6UgXfVn8f8Nr)8^`Lw7(CB3Prt~on| z80@T7NAP*t*WK%MzTc?d3aWFrUdgP9~Z~SW|7F9GX47!8wACK2Y z`oZ=(*(Ch)40o_3b&@jVdS$|+71U!gS4Qu;k6Qa8KT1hqe2*Dn=~JsDTnEq0RwsXS zhq*CnmeL({7i@5-g?h8VfMeGZ(nD3xN$-`h|T zeUEw+#ybm2J;$dX!wITqnK=nHY(^)c3o9&I3*q^Jv4M zSYYx4S)Wim^c$Ywy=g9F?xSa4L7dIY71e2BJNDq!*4Uwb@@h3LO}J*v!Py#CH0u`9 zC2}6+xYJb>V@0jW>K31y4Vx2E+PzTHR15Ma@4jn$2>1YbdEy?G!*4d-Z!nD2E%(X* z5+QfLkY9PO;>Rj*Z5VzjAdLe!;a@07cGWZ}n}W{M)$1E4B3jn&_icHv`)X^`kA#`Z zjS_9BbefjI-D{B`_--Apc_O(@1ce9r0*+z$uq5GgLt!E#lqlAnnRWE`H&gKXUsoxZfeH_dS!Dy z&*@g0sz}P!>$7H2%{O4#P9Sb*@Sh+noaLzA5%fKL3T7!-z+mTgH$p(XUXJOE{7Rf~ zO%C^|piRoxPmRF;>DRZ{6^=U(RhEI3ks*8pH`C6?Hd1gC`y=+30hg3-0-GhThUVF=l?q zV`|>;k21c{B0{a3@|w#E^#3gg-lG7~KeBA;5?1QsX3l9AV+Dio{<4VIQ$Kx0rhse$auOIf1 zDFivGT7jRsV24tGME_pjc?Dqy8KdEYP{1sgC^-5ieHE2AbM4afd4!=D_Y2Z*4a5XQ zr|fQjYQa4Z=cDfqo_{C<5f&rtqE z^#M|xf;cIMhG~B+=IM5>R^3{HF{4uCO)G<@iVM#BmUM;fm3dmYhj|*Q1nZ!-*=oDU z?liF2gsBTm5J$&|h9T=hqY15!+VK5apE67KYPpw@$~bLaYJ1Mr0rwjeV;BT zQUs$QP!aWbAoEKb$i2lwMMGC|H%Wq&oQkt=_Pa4mKuS83q6ZDg@IkIex`;%q$o*S5 zT@KPE*?H2`NZsxcdTlL*2r1@?>T#S2U2{_|mB(+B$abm{A?2B&%7VV$kk3a)@?W3} z1NM@^Nu}$5nX>7ZYs3+%qxhjZH9ptf+uHl}{XzOEX7tZjC1CF9$(sgT?I-eBU1ORB zX5>j1U${}i9bLXxh%}7vV=q&;zp4#na>b*Os9#8G#@kPkMe&0eJtm4rN}Q99V|@IA z-~3Tg#<@EDI#af0{N&%RzV-RX?%)^30}Juy+sT#znoK6hJEY(=id2~E3yM#*%m%R# z#Em~U5;Sg{Say6EJ2#}eh-OQnJ6-in_zdF0~>bVnW_P+GFB{$$pBnd2k@UYE702wMXBfSw^yGZbI6u;YZK(Y?%V~d)yZlcuGh@if)I{|6<{LvZed(CB zyaoion*P7nh5r}K8h=Bm+)nIPJ~mwS4J!@klU$W!=~~y}&fh#5+uUf#Wv~b39YYA;OUu zbRwF8k>q7PD>$hw{Iq~Cn-;Xr4a%imubkgObglXI~WBkz_YTqBLIR&VO+Ut!H(vdb3#fm#3!|h$0-%yD{DeHoj6#3163Y#+o-hs z;*IZo6t&N9d_TV5lh7~*BDX1u65psNy2F#x<`9t#SouRTWq^parO{4kZXpV6uJf<@xm-B!ssBfk11$6NIa>R#}mxy?tZaPDt%jabe8=9zJ@X>sUyW-?x+p?J*x5Y zFb6JW8uLS7gTDpwgZpdIp+7obvM&T5(YosrS4c0bp)QvB?z2wHP3ZR;-Mx=zEaI4a zp=jt0MK&5VmF&el1nZTp@Qwo2Czp(qN^ z9e&C=G-yKPTtu0trvt!%*-v;uSV?y#pT`TYHD~sdb<{de!P}>NkAsQI8a&X3#cUG< zJWzn;uY~W0Z)?5zweGx&n+XZq=8LX1g1{J;a3aELxHJj;EB||iOfUJTW8cb}ROQq+tw0@Iy^~s{MG*)BjGiVwRg}P_g@#tk%^*3^|r`Klv zty59UG3F3jy&_m?3HfNX($qdS{IV53A9U;gxjvE=Og#*z=-S&YhP!dC*CDOSFm>7; z?GHNEah>7;Miz6^KA}xH`LMeb zY{mUo{M^epQp82U#wciSS=TsLz4<~7!uE1=`rK3O&JWeP>N2f_Zh3eL|1Y!&s)xU_ z)IdY+x7tbFDtPK1)V7ia1g0JkaP)vp)*{uh`arfCg8umYw1zbR+1WSDY%a$85>Nok&IW+iy3;NPdX*I*@hdIUX3_3)40`N;+I z>tJjZQrKz0AJ(-|Jb=q5e82zQ2<0WA+&8-0UXzq^*1mGjhQwA(5Fqh=*SlmBs@wFb z1)>QJrQDVc?d=?{osAV{#UAR4Nqqy+c*Vi^$kzN_W@Q+@m}5~wGT za3uC<-z346UB}tCtNY;f3M9 z%-&_n%E=FI5w24V|9muF3jTh=(YQ`_F;Vr-dYXU6G5tm++oLE*fG8M4axmYowt%7CrrPA24IbdTTpU->UtHLt+##BAx_G{XZ*UAWE5F-c=ADoKV66nr)Rkjeq>}MGHO#nKA|#>rub&Lo*-qN zpW~?zIr2DF`C+(OLZOqD>Nfao zaGvF+Z)2U30*+0Y9UB&log@P0tU#^O;3uob1~wgD&jydaF2Ya>RzCEA@fH#&p=WZu zs5x&DEP-~I3_Wv%iyC$@ z2CK#T-^&f4KP+Yt02aUg35y|MLp_<@Lev+6OR{@diFKso-iF*L4j5%)bMxsj{Bzb8 zw`vt~UusnP1Z~Y67l(D&u_xy*$-?w9?FVL0kej~~YZzg~SCLr!QL`aYK3l^9V(AWm zD=p&^yc@{;!|6#Y9&KZW3ocU@K9gf#CO0fVU7(Lv{>--rIicUMi8=30;!^> zz413BYXEt;XHPYXPhGg=#l@&W3K4a{pq$3plh0eB)3Exn6dlhCrn{FImhx;dqaLC* zmv*Ct`45@5Sr_z^sIB&bSVA%{J5g9lr44&@SHK{?L^VFn2gJ8a0&Dx5oY!hE3DT?? z!$J3#fn}Ig!Epzb+7fwPviU+<0P-#q_AlM(Ch|L;t)yp7#T_#YUWoj%zyZ$})8ZJ3 zMK~R^7z6-M-@pC^8RhTaZAQE7u5*ykot3tU6H(^+*%d;bcogB%ikII$`!=r)`$Baw zf%W7{jm=)ZraA|s;7ZN@MHiD)%cbX((%yHQmHgOqzVk=x(saD3YW)^~ziTfpl8C}q z&lZAPnXm0!1}jgWGs-q6!cZHw37Ud&!$4fqVIgLM-1q8)(Jg4KT2>JVzDhN`N=?-D zNDh6q(s@;2Rj{62W^sq!BD4ULTls>v8Z|8`hx%9XD88iB=jn&%7VUB92!2GbsP24=Q~?`Hll8t~zr& zVNvLt44UU`Xuc`-*WW)Qri_p7{w zoE8=u>qYkRDs>znp&t2+Lye;&a) znT+#yS-+5kfk4ws*UfWM8}W?bt4Q#E(+{%mx{Gs7(^>mmv~Wnw6m^;Qu)2WloN$l@^CnorP<6X4(ztbWU~sA$u+c`^VC9lt*8~R zHa2P!y9qDkip0M6TT{dY1BFBTUF*Q8E_`NE5D)8U*Og*ECnW%=<{aRg;^PExpt|9c z-cXkk!A8hu8;?yF#kQ%s`ai19F*?$yOWUz+r_-^WRBYQ;M;+Vl*tV07)3I&a9ox3^ zRnI%KzL}r(qiWT&pM7xev(I(2G>KOA=zCuTuBH~LLg@N99#fmPQX3JSw#?)7N}_pN zaMG_RlUyACsA+UlstWP>O@f@jKtnf*nZIdrpL+8Vf4~cC!sURZY3LR; z0f*qG9*6Bv1KMMFb^%uhVfbh3?GLi@QxM6p#t1bp=k{dh^lVe8#hEQ@w^9`-)5b@5 zWngmRtQI0(5&$Q7g5yPa8L>cDsMae?4alg!DayX1l;Yi&ul28X}PkCqgmAM5)^t&G*~LG>ROo$ zv2hTWDOIb7_;Ashg%apQefJ?uD3g0md3O_~5fz4^5 zdN8a+S%cC@7`g~mHM1Zh&09<(5Fjc0>nj}Lf+jqoTUfJ0#hxx2Of}=s$E%#s5y~J> zI{1?pU)Wm3%}xfg02S|)0AZ#Y$sed}wcM6x+0#$sUbV(+le`eaKldFvGhcmHHPVR01Ze< z!X622yNjWTg8xnKE1bbt3B^7cmK&!%v#=|*$yME!$7LE?2;ftxAuD9SDU%YOz>*zS z5D-457Ows&4IotgNRkhjHz=YXY(!Xa2o=D z$O3lFV3+SI9q!2QYn(eN2X5hfAN#T~gTPL(LqhKlJw>nT%wakQD3w)!J6j)N*mLHgc^X`f7+a`H<{yN3QE*_6-|I-Sb+geegwva zpye=n0>L5AhkX`?OGwwI5?-3ed8T^%9!tWSU%bK5sCm|C78iE6gfiUpm;iELD_*>BOON= zo->X@VT&dp(2L_3y~lv7r2IAxJl51He^^@_ii!Tr9){Mw%Aco`nD_8Je!>BVC+%~WMn?kAiX%A9Bej=Gdwf4Aay(cMynnqE zJpixmG7=56%4`4pURDCYAY3%7 z-;!b|zauA<{$0$DEXw<+emCM-?Y53ILb1Ao-j}{yZEmEgsIj1pBW~H<$m229lHvwriB?6O+n4i+WZ*= zPn<&Fmzs*?Q)9l>$Hp*fNBGTqz2F*dp48}XrbsIdRkPrPI=Xof1VKHtZZ8+IB_4v9T4{$ZGDX7G1#y*{`M*l z1^t7VuZ#aG|6~8rrQ`Nz_@`I*I77riu%S@r$d9JYIRrOs%0ALLlrGU_{1cbOLBe~| zJX<)UY>l)R$VW;U$YZx+Be@I}0X!_RykIf0%%EgL8H8<&QSiVcD;x*+h4RP2V}+tl z6k&$sv0~>Py$w3I5Xg4$#V(FY810+mB12t@RhtlREcpQ-Tp6ELwIs~1guM}jYNJ}C zv$}T;Rr*kB&JRDzi6di2+q1XzRy~!Lv0dBe;Scwhenq`2r)nit&fKRSAiPTG3eOol z@D=Bugv|G6t{ET=Lh2BoiVw>t$3n(aTkS?@KG)@N<9RsfV>rme4a~F(kk$!~W11g* zl5bNeMUr0%%C(nzDsv{ncDAk?I}=4ve*P>=94^C0Fw`O@ z&yO9eQmpUJbE(RY^uIGpU|#PksW@2h5p|X<`sy<;_nq~i= zX_nVVkAutnrJvh{wVSm$!WiM1A&L*wp$Bg@?8QVztqq0hKq>H?zUIBb?jKOBIN%{l z-v-1OAJr2rw}y5rfE0SKM17$cK2-um8|~vZrc7M?}>3iJcV}x6wSy~b08Yc z;w9`ZxCR{N6%y50C3UDsxv#qDfaka@T;X8R=5<>02~w|}DF!+dY8Dmv{}L-?j-oqg zYq`4PRHDir_pTTyq=JF{|BqQAyY#XrY&wU8XzRpZ z%YK#~qv#nEWxCD}gc{MGKEkp8=WpFgN&M|pWv#sNX}&PZPyukGMap-HG2Gnf#I9g6 z>dz!SHv7vRpD^6dfr@b#ruCC`@C%yVIFamEG!%~RS|v!2(pXzBXPO25C!B8CYcc{i zqI1nL)n#6}scZ+Juf;t|zlAI+LSiI!Co`EVur$BGtk(L9<%9oi4(rX!HhjjoAKzvz zwiQU*lMG)*CVko5PHgvxNv0+&5qEN$5NAsM{|rh6f^eSPgg`WqlVzw?@m-MBpJa0B zbzi4I&-te^%dEx&J18D#Ljj^1Pn$CS-MDOUrnSy~+BnZ^3R4JgDO^pVU2EmBjCD*{ zqQ@3^J~u$CbABoS^`TQYf!j!(A{r=N*n?!&w|ztk^e8B^a^iO_(tT~D4*whsgoc07 z5U|)Xyt!L^p6#K#r{&vn(s|@U1e;tIvn-@Hqh|7$?~bB8FAia35aTaH@zse*&Uf7c zNcrrI-5jl3sY$#PrrA3?%XkQ@OSz8i0&NXZvi~E@K)}62U{=FVKci*STSYjW|0hlK z9WEw|{rB}0SPAtD`kD9Vr>n*p&>B^J_w^Aqsc5>GF%|8;@WS&O0w8fFXFBk)t{C?R zZJE?F?juK>g7o+41OvZ*Sb1yZzAY!DC%c$0LalJN&}3_kTEIvl2#1GF9Lp6Mh!&9~ zQ&7x-6>K|op2H_x6jAcMciiK-^rlj)IFTBtZel73crwNSI5vIM^?*EcyIoNRgbo`+ zwMK255g3QKA%U$eS0|rT{4-!ip+l}fk+(awU_oXCfIHu$$hU>fRnO*oxS_xaQiU-< zzsC)9)94fEZb<9A&cH{vPZWM9nMtXdPn%QkWgM$L>tbTSk>(+0@zzi=f7m$B^}!5| zgLQBw?_pGh@hMW)LYpgNcol`O3HD&uTtP|U3|%yv$UFI3D*S8M(p4eL1{A)svPOzp$<&Hm8^uD}9sN-b#RAESEq$$;KABbsGOGskycXU;4byf@o=$EGuPR(~pA{t!*(kM~$1 z5w47iPt{(1$u0L4kE0eMOBcL)&xlk+3&fps0E48c^gt-#Brnms7J~nX{+n7T^ia}Q z0AycG={!68-8$^P+rxYqM6XH6*R5)~Adh7qd|9RpzL{-5*aXwCFiQ^A2Jp_}7O%gU zqZHdwtg?MJ3H_6M`8o9HEtJGLQ6ic*4{;Quqec&RlICJL!ciYTsp-<*@tgONJn{Df zpu#MsVI;2#o&@nNqAA4}ME2JQ@j2eg0nsaCupBDl9*O;aZ>PkaCbSqRDGGuyow|#c zSTYT!r+%APayA4V?F*uOlXuyAC`|^;`^ky_a42JSkFz45%uiK zI?KjY!%Hl>=rw^inv)^B*01AH`UivIiZ{hd*vjLI#hWg3yIC zvz*78vJ*q#O=1yY)eWZc164Tu_-9uo!e19459aD2C0@|^ZbV=e>WGul#bK!ulsod# zH{W=)&xyva)!OGcGG}47JH!e#o6F|>IUT+?5RH5D%Fg&0>G~%}gN1HkO_S&&Q0)KucWYQU2D=T9B{!>_-8e+x>fsxt|Ksa%ogk(75f9pZb zZM=J8gyttTi3J@l&p@=_#s$}tBYImf|d2^lM{4lbQ(DmA&%(_}H8Nz_umr4pJyjT{3fz|C;FPqseM>5%LC z265w+g4UwOX`@)GgsJ|Uu0oI{ZvGg6kUJDsxEE|7ozfKUD>MF$>Ttk4G6NGNqddpA z^b#7CA9zkVA>c(n(b9^r(e&cJcDVgnRzBFRIrH1ZQa+~m*x%>L!TU3OIN{)9==^p^ zlxoAo!>UuFbd?vIii;o*DmzvyGKfRQiq0PXpj9x7=B^7`*u|a&t&5-QkHCkREVqX& z#S87lAk8%yY1^E7_V*g@*~TEVc;EhF+7I?rJf~kC3qh^{e+UlHNc8H==l%k+SPs1V zG@qzC!qK&;FVOn)PE|{JknuEyNojx9L1ZulACBiANdK$_!(#{=^OF?2@ z-2Esn$p3OSulH^yeYwO!q_PoHGxxpU`ps3OS7{SgkxZuFdL^Kx;Ci$V0hVMo2tp20 zlU63IPVg-{8&;4I@7l~|qd{oLDS5t;Dh}bEP5pNce))LT&?*5#5z_kHDF2df330i> zrTTC4I#?gBr4-+?&uA!C|2E4iZKs5?YlBRa(7pDdd}BI_T^Q9>WirLZY{|f|f0@LT z#Ic_zfY^C#zw`*w@}{1;`~>(fnZr>H#9-9?hQ-C|DuArCloOA#JBMk~i?BYiZfK&{ z=J>&C=3fw`8ddLf~iz;fQRG(1Bv==Oh#84g7 zo>Qn^(Qn8i@fE>KdkwfMagZ6!PVXPSCvR%?YqZuFZQJxy@z~|r&{tt^JwCey zd}Yj*f9bm>%`06u^`3dK*uL_B$i9NE&I*}u{4sPee)p4VRvff~**A`>^1_zDZ*PX_ zEc7!@p2nSNL%c0DzN(S5Hw#4klf_^bb3Gd zc2olRXr6?lV8Kt~P>DV`hEMba5tvu%r9Y8Ot6G3H=STx2ye~cehNPu$8C$MkQ_6fg zPCE*9+yNa`W|7I}6m3h&O^0KuMuT;XtI~ZGiGh~EN@3c&B42}A0DpZrs{cxvOGy{DC|Z1f2Y{~9SJ(DT?nNsf@j&)K1-Bn{ zV}ZMswqRMB=e1XK5ibn%058-uj3wqF;m3$O=J<}RhD?tU*Oco?yc{5`L=}7pjJ_>D zHJ}%6Vv7Oy81qp^LOwN?qWbEZU&=6UDL01;Zs?M)ql1HXhSPG&L3(AP;8>;5xgxY9@mvF3IqPKBXM@4qfQ}g=IEGzH} zDn9db&M;_sXqUaA=%}c776rn(fu20f{}f9=0?+UJag$Z$1WbU&+!0KL;!SC~s~GA{ zWc=+*4J4Nxhf7L>-`?a4A$;-aO#Q06=l6uB6dZcu`P;#H zY}$sxLcH(QF{#x6tIa&@=aQGM?_61EM~y;tn1@}W_L1|f1}?%Y?%y|@ zbWL9eELz$8#T=AGS*T4|@J}a#wd?bSqSk?nO2H_>hU%&_nWab@mfL|o=G2k7=M*Nkh^%_yJ zkx|W=1qIR?agi}|&3QSDObv5R&+jwZZb)lkByFR16`fTxCw*@VBXLo#zIVa}5V&C?%_`Jsx(p6bxr;_tIXY29 zI{riwZ6*ABHKI7Z0Y z@*ZWj=YzdP=z||nvD<{pKWD`|%Uz^R^7t4G*l~*X`zGD>v_!s)K25=L^_X2bWP5=b z{BV)Oq&&oh#uZ%HIql(`)Ix3J5*Yl^+4B=6i6}sZA9+3BDrm+?inFw)#UVKuk#@2| z$JX-!ZI8C@^|+d*Ia>{z%Ia_4cZw_JbXSP!8zsC{eWO7!wuu8}W^*;kLlf@#mttHz(-?vM#L&Xab4B7~DF-;<8NK+8<2>z`2RW4T| zO3UxTSB71q-!7bcdM`6$PxXMF8eVU08g#8h?n$pfigDNjV5M;&u%1i3eG7Ku5$L8( zUa~UBhMpKoYVGFQZ+Nt#^_rrJlU&(6Tu`0TAa0RF0-()&4I2zzlmAlhqx)_Wq%TN5 zNKa-^^5RCG|LVT*PB6m1H{I)0km*cUKq5Wr)u3+CAcsBs*kVN@n}IK)$5L&OP%hmF zvp=%F^6pkpoU6vROR8~%`LAI{3=3^yP=q$)b_Umt@hLMg(EctG`dw()W#7jZW%lM>R36_D~-7dMf(g0^iDa#%yPS z5^RMDf_r+`8Eu6Cav2Qqq`cyG<~TO|L!%_ zI-^lN_Uom(@p9!{OlwD>9qe8QOEFDPOxHnOff$a?_z6V9BFI~%-3af!uv1X_Q*)j@ zC59hCr<0Jw@C@y6RL^A80}JlU!BWUpec>p!N)v5gZs0tvtBv96nQv4;p_}Vtw%zze z7c0-5kNjks43lFk{KbBFNlXcmvR75Y)m1pKbFBI>t!At) zlUY&pSI&D-kK^2W@!(7-sr0Hp=I7W<>L?rUaWS;XS!Mmr%li}27%KkYm`q1gfTZ0v-#18cf+4=%4<>^x8zvY(a77G09Akt45anOtt{b7~< zEK_B2a)RVTYr_)T?QZa1sp-UOy7-^~_|p~7`>S7w(T(g!9yJ+iKzxka0Y^_<*-Fa z*|9BIKm)Q#_Dd0vaL5*Lp0)_%?yg}LxRe@Ivf{s!g_^9T=dl9D*TN=ACxd|(QHgp33-3rTeX3CoIPXrTym$lWvmX*k^Jzg z>fE}up1SgiD!U#ip;-PunfJXYO-=1IIBlHOhR1cRlHDl63Se&xj+omJb|H}ssoT`( zYe$%EM-3i`cYzT`0=gO9lk+JXgb})N*}2F&zqa2%f-OSjYfX>1 z^cC7{NphM~!gSy9>S+|y6`-oIo8<^mmHPIPZ%l ziG*32AY=6efMsK|rp`CP&{>KTaK$h{L>0Do5FW}HEx|pzq>n{Dgk2b(C3^LB_07j5 zr(@HtM!EnB49N0R$@lN;s)G7buAPbDJ~(>PHeLvkjKJZz2nXRkD4_Nmo`%(XuWiVj z$E(Lh34b(2*ju$-qX1iJ4~B$a9LUsaNTbha(0d(e!U?~&)K?#VTA`O(-P?lbfpV8! z1(`*Zub-VZ4m-1FIg9vmP2MeHxjBZ6{>^)sO+_g`D75T5zN5A-UUIpd?u@<v@^Slv@a$fX{f5$}%Ul#~N^kq4gNKFs=C`q9*j=iV z(~MZ^{hd?ojL%Mqk3ZIQuG&x_HzneO8cH6FH{@ANa6pHSCD5I3vWzilX+gyb+&{v* z919WXqAcn+JznLVS3lZ7ORg7Gkkqt`*>0B*b0-A!cQdsRzxWobeRUM3Sm}Xq&DtC_b$-r zzai%b(ADrzTBJMV!HSW+ zvgxbqxf}-!oqMJoebkfPf2^+Mata8q<)BWl@K?{|EDs1gE>Zp*RBO5#S2#iGJV#X! zsE7Va1P@VO#Vw_NzJ*m;#iFO+fPl$ZJpPy+ZSffV-II>!fKMTD7ynE4z&Ixc40ls> z9-y~Ltw2?ZliQbfir*Tr_TbJ=u|nS74^7>87tj%uJ?{S??5C##O~1NUR9Ske|8lte zxS}NeB3gN+lviV>H|@5QJ7m+GlTIjbI&f-h$|}II#{wn+C2QR?9pZ&6V|RSKV^7FP z`XLmAZS@wh{}Bv1TBlx9r#IE@H`Tu52g&I|+tz9>b=KqoXjgC8p?-A_BXia&{g}HU z)>ti{5F!d`G#XrVzs)wCxU^|7KSmPvX_9z8$+5`{Ho67vt=d4a4P2UUA>}4)c93id z%GZ&4UYKpSmsvNE@bk~Xfe<1_s4}|B8`P!ZFZkk^s|YFDZsV~~62|e)DcoAG?ln|E z3k5Tn-&rF7H@I5b5Fl@#^OZfQC@$>o*^~87=XgFIP$NNCp26RW4Um@-nxAR!Yy)o} zhYh49*g+&WlExpO;bx#b0qj#a8uWZKm z@$?ZY@9s`f)I(-`47KbsGoVZ2h7JQpqg|t$)&Y44?Vwp%&dw;H^B}|Bl^br(;tJa$ zRA9Gg(tdEJ!y9t_BV6TAl%MF>?=D$_W8ijTM1Wk&a#05>Gro(H+9;&Zm;n%^r#@K$ zKlY=*HR6gK)%veIQtP&=-fqVCuZgO~Eb)D)mRC28peZR{oOqP8LA(Z1O2V6LowzyQ zR=W(lrCS>l;{UzJ3vPdZ7EG>(5*$>oGlN^-RX+9_B=( zW8Sa73?!C?63ecX%$F4120fS)|Gd#K1de^4s@56TLEMVq;j^igVGEFwcp_A(%*(Q_ zy=&OrUa2&!onEdPNtFC=Bb5yTxhe`()=>wQ^M~I$8oWeJ*T-Vk*HfvQQr3Nk$okw0 zSp{o17`tL>&G^qJk>zq+IEeCgLxKbU;HnjkW+?(Yhsk8d zDfL?+4=@F1e@?eRv$2g63O=LX)dm2sUjV(rAtI@^#E zqBloP?AL59m?4b8E-1vHA*_P;oYK(=1Rf%4K^cnru5i~ZWJh-@PqpW13h*~%ARJii z`3!G6q7wOx4A>Bgyxl+c%eL2q`JPsuIcJSKfhgd^==^0isgpW@RqPL_Typ&0^;T*O z-Na{*GYnZSFJOPjii&=P!kr!At3w>YYTOqb?T!HlWL67;`X@Lm3!0uL6d)0XRhbuk z94;LC4qBC!tbps4!fwwt(|Hp1?fC_q^Q8ovu?~Gno(ZXp`Oz{d@Z2w zAN}cqehIE@Zp^j5Y|slWme~%RqUZQdT2$OPT479%tHhISTXJN-b31|;iSH4-HPRe- zAJSLT7Q84?xnJpLIqg3a0!emsHy$xG(GSJ3kSsZN%QJAtPB6fhaM-=;AB4F3BAYmc zO{NYvgwY1oq@CBr%bX_mA`!>I-}E`R2p81+N&PD-nS_h<@V1YV%lTK(L^wr)Sxm$& z!{cRBQ&~7vd(VoX0Fa&fevBWv?FLI5QvH56mR(5mw0HGD*X+JYYhHD|uOPQdfEjkW z>x=_6F4v5AjMMOsAq$bm`LN(3G1_De%}QjZ@Hd}*tZ-?>r+2kA7(O2+1X?&5p1ItK z&IjiSb{!)hrfreqhEs%kUC#maQdK1Jiy-B~+Pr-a5lfcs&~UM2IKw@;Ls4{%CAf__ z2G}v)Z6Dy8|A>#jQ@+)WJ}?xjG9WkDIzBjE`i>!R`bBieG5K(E zuKR}&L)qG}H+E^B;#1)$4-ZIU*q#otIcp-Bx7U3aNppDJ0J(yGo{B=ZD+V#)68QY= z0vz?tv*|$sa9uxH1MK!R@Hd$e<2pprhlY-Y?ahrDbL4p;0vw27Xc(7Z??p!8@!q6t z8JBdQiwM~8TeX*$iu*NUP83yBBEA_I9L7oPLp!_RW|x~X;pERPHD*+{Gx)6an4<$< z@MNAp;$K!RSEZQzQ=FRP!IpPAq#8fveuSgbF(%1wlIWcjAkO1%At15WN@-P!1&7*} z)3Xk9pbQOV-$ZQU_JR|@kGT+T@NCzBKkKCyg0mLr-O zwMFAQnBW!7LcfUch%BCsC@%@IuJJ9x z?>ip|YBd|9EeWNNH8zU4_fq*#VpwT$b7o~S0vtaduJT!{;(U{u3OIf)kHTUvjwiE8 zP}2_eY*PrY5&M;Ke}I6*N9BM^e|I*(g-T~AB7;Jmc@@ta0XOVD!X2N7LX{Y9Roja7 z0eX>k>$h9nyp9N`)sCs)%y^4fKt1y2EEK7B1|a>Cn-jEOxA8gFKfW?!o@(w{=!YbX-Op~w4Wh4q zAjwsixcY7k1!Wynwfd%G0{w)W^0uLOaF_ zs*ywJ!i0XllT>15?9bi+60(I}5iJm!@8jK$C(Kzw$&(&)w-4e>oLNu_y#wD3EU%1b z+UKVJQth^2^@amcQv7O>Aq5F18>mSHzviarwM0`-c(cdZ0Cgb3`O-WRcd&>H`k!g{ zkJkgh-Qd|CA)BqhER(O%do;}j{w&XD*4D3^yBT3P62HpsRJa))r}+-fDGafcO7`9T zb&4LAOmXr1^wwex#5`k4etm6b9F2=+g9Beu{*hL=p5KcEM1A0W`n#R32n@~UCdu9l z&r#Hu6Q^CY1e-E-+h^onbua!K$ftI(gpl!RD91N-2>W5PTZ|f8#7F={CYq3Cn1ho} zZI^b;rkI7aNw`zg?$@RrgP<|_RdA2Dd02Q^8tCZaJz2uq);bn4mcWTo%1B}>`OQ$L zkQe@6a~$kur{~a2Er{9*h2!biGtLwb{S)5=1T&GwMUqTLVl;!=KAohp=CH=TeT1-u z=xF;P{B1D6&Ozu(&D{f=ZMR|99TgY&YZD2KW55txU_ydaWKAB5Xj*MKtpI!i%B#_( z12=Ue^@I6Vl>5uszP8m=?J#vmjAWe07o@O{{VfHV(T~Sfme?6}mm}y)-+VrdHvX6l zA&l=;w+=sUY{$amCs3U=Z-<+_Y~xSE5(!g(?T01u2H5%PYG88nq!ri>QM74)j~K*F z#N%7wk%6Lv`^KFwgnOAZu#maojfavY_J{DWe@wpn8zrmvN2X|EHF9>U zl{^DI`zB9PGbh|T_hZx)o}o-$;p;eXt1*;1_S55O=psg<4=0F(Vx>sa%mWutuZHix z^8&(mzu(W)L?HVXAUdzJ5EVtLy%h1Tx1Kdnm%asIwj4UT*kUJeSlCm(RX5cj=i%*( zhRco&$_?E5^)453%!GoYd*)~2iHxPri0LmC-T15!wBkvJ7%A z-EAcLA0rA#z;-Mp$>a7B%VHCvyUJo#DVD9r#EzxemVaAh*VcO*lLwU@!c>sRP>Ff{ z7VPHM(2leOVInO5%BgWEtFKWr$mXYe`$}RrIpK1~Yj1Y6=!x5a9N`O?vGq>}iXgr_Cb@jUM5B~BGi&4co3S&xto&#;M4B>ds>11Z9+~^?VUc6TP9)oGdBIbUwsKD zJ5@@iBMPWrL^6Aj9W+UbrE`zCTTo*$+yt?|RFoD!X$d*#blu5|W)oOJ)Fo07_bT;D zJ=)@>ub?k~%7u_(fG|vn;!*H}TU6#=J);yngmTUX;4>aKPns_bZt3COeXvM#k^fRe z@rQ<}m7@Cw{W<9!%@QYnmx!|ayvo*vcG5A37>#Muts|#HEIV&(Au|`xUdXVbvCj|Z zxVy^W$p4U{QK5rGhqv)vqe2#)?Rc>QQ=z{X`;=|IN(ELv!C&K~H+MufSLa@Q;7y>Q zc@Oc_emf{{&Y`-q(zJvyxA`5eSiBA`$wxuT#LAoFl?8HxVdU6fLLc$-pF zwlIL#gi)MhKi7kJ_!Er{5}~(MO%9-j&sQwUCKo92daL~M-!?wZ|ib+mmRZb2YeX5yAw?`l)ZuwKM_>2iUbu3vA2sY)W ziOMNfjIQzLgrK42Z=%j?@B{fNR9NK(`W*auA)1}+mM7#~dHkC~o|N5CNRLY>rAkkp z)s=b^Kj07Ca@<3$5edNDs)u^p$V2?1tV9#z{Vx`O^tuTIr<9uw^U*09sop!!VmcciSV%te^xm@sk91<`l>wOYSpf6-Lda zXnwOYdigFN0Uiz_zb^kSNdc2M+9zuXHUpDKB*?DopB-?r+$ore?}p+j8hb|KVjGi5 zLNfXrq?r(zPZALL^d{+f7W?@iV&^?-&=G~Le+evZ?{gWl*JbJW`MG5!=C%97$mfuG z4Qudl751KZr~@FUZ3);al(r{A+(9Wj>_>K>?J{qL>1R!e8uXdypQA}gmr9Ky7@ZRh zdl(^OK~s)jTvuPa#pe<4t=Eb_*+Jm&N)W}}@g@-{2J)2nC$@%DN<3!;&rhqK`CyWV zJcr@RH31mvtKSjg${YTikJ^2`IyyTQEpKystVWsMLdQv!Os-TMg7ZIGH8COyNbMwb zNuyoHiX3(2*;bWUEl>Gj#vg!JYen3#vlOlj*EI6V+)@`e){Iiyc#z6R^sC^dD4l_9 zV6;hzn%4uO$6$9tV3_J0nrJXoRwD@8iG~WoLaDoE{mhZ}8aLj*iSy2QIl4@3 zY!>+Pp~Wm==TuSG{Q%Alp?`b(2olc3sXoq8#OC?93*M1F@bfdy zKSAj`38sIO=U-LNJ0yr(1v=!2vj)zxuD`l_HXVV88>I$5`u%BRFk$$h-O?vRk?7j- zjUCjahLfhb$eHJGuJCW>S2E{4(MR=^b3+%UQng@x?zEcik-`5K*R=oLogdN$|ZHJKQ&SdF0S?BHDSL5W{Qdhv9bW(SiRc>z_3R3c@4D%PBwy**H z`_sv41`g)8hPJ4%L|5{#SU}dfbSbz0fJ7EXXoE_8=39=zGrGKc05>EWZ2HtoKQd+U zgi?Dh__G|+RJCR;d)$hL6M2Lt=jtg>hxci~*w@OKK^Qiwn?kSA7(Q~gTI`PZUgQ@C z*z;wHQI^-}=ecPa6_29|qwLE<#hB(V+4Epqvl+&JtTooO@8H?+_k0cd+Zgw+wRv!J zj3E;iGLN<_J6$;7gCbHzaug&fINC&pW}O58wH`4U#3Sp7k%ERBb@<2}9w?2XO`3g( zBUtBk2dva^Sb<-ur2RzO2VBEfc*yy~c{GaKPkUEt75%QdC;C*_|7vU?DVbM;=9R@e z1Ty&4L0Z=v3WdW9P#E>_gPw_!kuQzz;3-c-u9{~y;jqMB7Jsoi|6mE`rdu^w{|MGG z!P`_YcBBLrds$7HQW+D>IF|}~?TZ==r>c23*iF#TB|&Hc4;Bt-83{dO?{AEd z$703+E4v$AP(t6%=(EI7^utHX%^17)QCr2Ci#8T9Uv2zJat4CW(>7Wu&uMutG}AqL zgCzhaIF(W4Bfs^J&7gc(flxlyKx&pGyeoPJYu%x}DRZMt+VR!w9CH_I?N0x2_70md zF9e$J5z2y#aOgztk+0UV$IYy#_Pky~7eNKd9G`2}gM$T%@(c>A-lwKD+q$wLq>NJz zqHyTKsli`nQzzXg2qmEBSvt#zV+sAZc8W7TI5JRQD`kFcdO1JQ*?|@L1r<5539f2X zQTKZfpPtVLU$2gBQ@M{}Va(YZablAFuaw`zEE(g-q~$7W7FlD_N~eHivJ}K=K(bc< zj5*H{@9x6zMQ3Avs153&a!LRLZ82oG$IuhZ05~z-iu+z08>>g`&HOJJ7ReJ|fa?hnRo?I3CngrO+nMs7#eH53-m?$p(Th~~F9^-7JL^9A z3qR4A2})R+6rFJ}a3DNhLGMA1$)?P5fFiskm{B5@qfmo?U|O&b6oc6RM<_lBS1c4-Z*Yzu}!H7I4kptvtNk z@oksi1S)e(awMiA7v-G|xef?qTEm9C2-{Q6f7i}OLqR=8oZD56p_kAFOjT9i%C(jA zy%dLjG1$tp5L)`nSzOBGafe>`y0&6`Fq)s(!9%I88dY`A-sxKh`8D*ZWv;?+Oyo)Y zqcxi`NrkjjOn3!qdHGq%IJB+8AN}S<(Qv72*>DFA5JdlJ3AIzr3Aj9R#4)HWC`r{- zuOW4~;;oVXR5utW8$VMmp6PVCbWa~^s~c`Il0#Do#9i?}idIzfQ4?bf8!&L{N6S=- zA@;I=*OAyGWUKYYTIDU?m-EGEYY%Q~@Owtnf2&)$lb4l4a**d@-&481f0CfwlSK{M-M%B>$_l%vJy2JeUXvH9eu`8Mjbc2@ z1+7^>k&=kJhsJ?1M4X8=<5=#7N+c>tkYx_R+y5@&;~%mh@@g^HpFEfeO3j%t{a%+i7N4{r6?9%KWt4dVeqeb5x!e$0&(PSKwXL(8%!PmPHUCe1#X^_~BMz;(UUG)? zgSq9jfu*=NS9w>$28T6zCimNg(oa0-<;$Pita%So3;n!%#@`;-L0A0TyWv!t$>z%ycjwH0Rq=$BAgTGnI z2&h+gR({LLs-6#7KUiI{;*qFSlV|Cu)PFXQe0 zJL93WWe*~sCHzrk)SN!}#jQDMZK5Qr^WCjVZb**-LvPMu##Bcl`dCGO1nigIxF#?+ z+$5OWB}cJ&W;!3JQ&aIUNrZ2tkk{2$mx>I!z0|XP{VRB(DNj=AIajGAd(w2!RaeXW z8qHK)r}T-IAuiu=$)UwD|TL)F&$I|W1!(66e)Ah zgkc0iXL0*O71Z%*-S%G_K|&NGJvTIhp1()m$79@hU-?$K?oTe`6}-hoy^x1t|AT0j zV#^_vrm=Yt;ln0ed44cr44c^pc2-{^l1m5`-I&e!l;bfeQmjo`N7RXAYGJNeDc4w9$z1jfZYju{8>P_WQr z#u=1NNAz>aVTiMJMr1Fv;$PhZD{x8>4Ok9n2u#iW>quoI@Pylv2JCU6azS%f%4YcH z%n?1&y@E{}%jtk~{F$9?AT&%GTtYa0WluFd0Me0Rd`?vJKmu+CwmAN zDkko+in9V6pb?-LCv9w^jaN_LzAtaQlmgkO!7AkbaBOPIN5>k1G-VA>L%)H_tVCI| z?<#(eSh1(Vxf`26-c1guIe9tQWsu&^RE&iE)l<~01YivQs<8eo4)9`-g*jq@29HPRFHv)uZ>v&3rszqQ=05=klCdH!+pT}kNCQW z?V(bzXW!34kCSuuJF8BkY1>JX1EE38&_a4?JPloE3z0q5UA<~`>#iN>zc}4YZpc*6 zByJ&Dbg2;5mC!G zp*P>WyTc3&M`{~k7him)7VOqEVc&m~$;SS@}&$M!jw6uOMtZ91aKjuhrUlQ>&2VLpAyh zb3)Ils0zGj+J)^pDP1#MVt~?%ds(>cbfXYk=HZJ_>ZNhVCJHCBZwH_`qD%X*=pjs! z%OS`OeoRkx`1q$@xW}3jv4kSq?bO41BvaAl)85>D?e|WEE#+^p^6`?J8d(# zIY+!U>6@sY5$@L8I5{zL@&Mk2fME6N3QQ2K?2oQLEG4Vc8&G8WP{@)(tm2iMj(a!w zpU_S*az);neX_3vmcv8v`Xdb)iW)9X@!Nl&bCN2>Pbr`L)o-k&zBg!k6Us*i*|#F_ z!t$^EpWeJEJODVHaLPA>LOGii!*IC`#Cz1(By>g7#`V=4~ZUp z-NRwXi@p6x%=YXR$heW`)|^iRhG3^V)PiV= zEk%Jit2cdbKE?K46QIpNdVly|iCbiIhHl2UIT+~)wk)Mi?mk=4u=sI9(42ixZtkfR z{Xz8-YC!kQ_7;N-;Vv(kz$f}GQ~a0UN2B?JR|Y_Rbt`IC;>a>g7F*$PHsm|T7njMu z_*T5eHS88)JbR?hA@o^s)YTUXt0(v)V}0m)eP}GRyODO8ihQWTR0xv=#CSq5tq7%) zo!`ex%1_L6R~Qbr1P?c^x&jC{B5f|TW?O9te&(kIni&j31CT4r0>6kjfV zDud|+V1HPGtI$8M#JQY|O!gRH>QHJF=PbQJ?wScIdw*6wuLCm3SRuJPd9NI3UxuO< z_{+dwfXa^;a6Nr)^$Oec&NzP_843(H*lh*9^adXWyVh+-M-;Y#t zL36LT+q1!wJZ@+<9f#{_PgJvCpeO+qo1o?@LQ5=Af5<@wP=NfUm(AAy&C<^X*PV_z zOEjgBfwhmb?|+I5;=(+GC8HYoZ=nsQ-{#RUELkJh>T1M(B{z!MFUo$eQ>)&;)YWzu zK{Y(&`|#(YZIe-<5+NB`{}`3i0I=Hg_3}rvL4sG!1}03mF#e@u?ugVl@;g5Te&PD? z6v#{$`wkjVYjeGlr&g)Co+;oJVb23+9??@(uE#(g&e2CK!%O29S^38eJsXcwmBQG~ z2mMCeP>WV`bFjC;Ifh&?ej!->uPpc!BSa4oYP~+#bTo(k$xIOT3D|rdDM}gv;eYS%sa9kKiM5cP`7)Y!S$YL z%`S0H)b{=WML;FrX>F~;_D7>&a)mflz@S5vi=A~igb@Lq4t8co_7zbK~Me-Ul#zY#40(fhz{561cF zw}A@d_`i-1CS8iID-7xArEH#dOw-l-kBO8%%|?Obe=Xi-CvuNIDF{OB(7Eb%Y5)_E z6dcRkWfebABfwzHSN9s5#Ufjn3`yCt=b*8DatHXsz(kaVG(WT2CG~_>+GMZOxzBfZ z8B__ea9R&ZwleiE$n>8C2!x4Havxu(@eS@qVFu1brke6C6fuq^0@578Z<@Gx%JD_Y z22GAeNtR)ob|x%fQ|rb)N@5Vg1Ze(96%;Q)Sd{#}W1V-E{{qVPSa%p?H^gvm$%F|} z40HAevD73Vo~b?w&C-GRxF-$;n9IL{hP_)GDI}mkkMw_!M;>DEpN(JktSddW8c2Q= zz@)!ZIT2bR*sIEyl_?%R(7!u?4$RL=eFp^QaT;V?9bIe{1EL`6Bfi;)g5bMgt9x$;ZUz=KN#$R9Naq zBeUmF{{{Y7LG!!IWvs#n&QX%1WeD1!;KNC3_DRJ9DKHA=V_bo_DxUNBO-rT!`R8I@ z@d6Mo(y_f4KLj(d)il1I)>MzeN zM|lXXOej^mOEqG9EB~T7=%d|u0C=G?wUMa#Wr$sEK^M$~Z(P88#6b*nHnbFB#xMF% zHkFMsu?)#(cwm86Al%$`y|PBYW*gZ9STw#f}}Z{q@Ug2Z2!Oh$#09ei#>?| za-ldb)D8)zgb$o%IsO|my=qj-!b1B$m4cIZ1 zqQlQ`x7QCed)RXJt=>u``S>)yYZj_T;&G`Z|Z)kJ3x|6r~eMDrYAm!_R6Y|Kj{uJ!58rQn zzRAt#g|jV4(sr2aCGO(*3{J`Qy;=fz4%Rcm{i%{PtG^1avinm zjkL1?#`f^m+mZ^3PiKo3<2fl*w4_C-I3MmkEeWT16kd-rtJlsh!lC1QMxAIcpv}1g zIkR|7y~3xRf`F~W@dLww%-J+kTym5f=*eB4Qrtkbb@{|xzsj+kM?oexgs9~-n+o#_ zYM99JEBd-^xgAuOvp{^5MwES-l#g@9_O?$;y6J^ePoG2Tb- zeZ0UyNmno2#DWvpa|W%6OP49kNZ>|p`ADQPhCvp%CRhomKg*bsP3yge?<=cE3L3~1 z`ssK=_H7HaVzZoj^_g2+L7%IllrTspL>z}PKRz6GqAjTatu-IMH}jtVN3TQ66Rtf# z(_P#39rw_AT#<77Ocmuq#WILDd{0zqJH01ev#fxUN#e<^Lf8CB2>82 zAS$F>^Xo$;(OhXoek~WNhTYol2rFYZ;XP<+B$s0pVgWnIW6-3gR@XcDK)hRnb};BnKaV&Hm_hOAYF%2XJs9Y zO(7~?V4^rcmd6y;SPgapJKZHdhb6~nr26m0)ur@BsYO4H37=YLKRLe(QSk{wKDvMW zrNe|ESU*9%I1z+JfBM?dJ2h~j5P^Mk2)47I+2-p~=E&ky(gvAH`#XX0bc|a zrvEr9Im`MAUz|YeZ>ZuN>C>r$FI?kMmhOrb?cSPj(m`nqD|3GY8rh>u>s1)&&g717Q8pg?xzvsZ3q(=C;30@8 zh>VS zq&<;C*8?jDrdyHyAj8mA>jf(}Sc9-zvGJFUrammNrZTLa#^xu;!YY)xeJ^{><9m9f z^IMJCKni4qGnWij|6mqlYe01s^5ngdF#0YOP>XgKJLwjdr;B;K0ur5;YRL`L?LHT} z0L|Fn+fesj`#76H-`u~;b6N6PKKA;|z;AKd;~M>WrH}+ZcaY(51V?t^nC!hB>k=CG z56_&7IS|*7EMz(TsHKV8=GRIK6?ZuO!Pm!+viWt~oFtW@??p8|Xt@9JV>DcAZ`qdsg(aU8g#fpi?;JRww3sugzYusiog5Oh z>lgw|Ow2EEDy!?t16yuf9!kwC8ol@kI!(3@8MjszHU(q0Jo9_FF5(D7y`OH}=MQE0 zocnLWChIz7G60mO`=z`^r;}kghNdA-vFPBn2uKw8ebDV~*_Vfo2g!zdSY*~wLxwJp z6^JRrSMyfDw;F1=PM2_>2WoHyqNxc5-pjX-yC}|~VuAZ_)PtY-v~c=wL+WU^w&VKv zI#s%fUY);>kn&yjyda~oLvNfjK^ujlr*Se`b*9MAm1alYXB6jI8R4gTm1<`nViax_ zMX&|p!DyOF1^KP%KO%Wggn??Aiim+GVtq=nAtZJv)2IkKehgq6M_ZSr`8SI~`T>!w z!;T?)@88Thm8s7<O{ph4He|BL*Xie%>G;!1CT~}XFCo6vhCVl(EXkt- z?~U^BWqHMG$N9&2@|;RZuY;XxU^nT5(6XjXZQ$ylukL}XsWS?KVvjgxg?qowV1sA7dnAI+jM2C8uM)@m9lpB7cYA3s- ze0c@*lPmg)fgAy%{u9e^gHik#!uK66*^f~jFgMf1RG|ZiC=f+LL2Z=`rL`pnLUjm| zO46^oT?^QEeq_gV%4AP$C8u|0kHI>oOE}3ucm;lTw3qU}wCtq%p+=lcYAOXXgp)v# zbcywszW+?!qC<-4BEjp>NZab|M>ON?9yJU{452y~;3c}-x^>Gje=@9+YUk~LrQXEZ zzU~LfBV=A>{m2Ucth(GgqMt--FFHRPCv&(y*Ur=gR{=()T^Eep=<(bfEcN5Z$9-6J znxJrg!%Xkd(;*^AvNZwNRry12)MAV0B;kQs+?`H8GRY`ZRu4`muet;9fYgYJ7>0rS z%>R=maPsQ!UvqYEdElC=wf&E>w*H!&E~o`9(BvrpqR z`Xs;|uYN`XRr33kLe8Egoawq#t#!jL`=v?%_%vOTSLdh}3A^+M%ro!iC|aE}3k3j} zqijd#Uh$f>-`57NlbIfHF7wDo=6eV_vR!URZ`V4YxmZ+uD#@aT<1)dD4Ha4DbU;w_ z_5a5I3YoPpLFyolQI88={`5q0vnj!Mq=;nvx-r@iF>e;XX+9i1v?4VUpSnX%3fp=O zS7HbF>#ah1BL(kY`k40MCTo6Haz53!w?5-W8R+LtNo$_fz9Cu)ISSVRF05$l__}+h zXd1AxuG|>GJN%f3n^ixjP0(^Zl9|bPc|dw6FR5|V`a}(3(9PO(@5mX4=J&uAq-qw; z?~p>C_j*-_+1_!&=__Y%>V@$2Aq=-bpMp_cu0uRP+ z!PXK2HUXxs6ib8zW(lGCWjoe|g!JrVGg5J;aZ8r$X%&jzCOtdG!)$EPQqBlR*foPA zi>(o9mC1khfFNe`#7S2<8t*3_011Is1|a)qsU)t0JIj%2{)|J3jHVkJ!Q_8VvXah6 zP6XTthRaG>{R-S48D3eDL%|Gl<9bRzk)B&)m&d&PA%trAE!7~# zJ5*L*$iydh^u6^FMY#`4Yk`FI50`qgaqVaoN!SzXxCj zQ53#7l}KAG8$kI-4`Ln}GfuTZRWrHKU#T{)j`)Z#8tw=!`WjWZ!yx2m^HjS6pJ~yT zBVh}u!AhySy_a%9yLKOa8>#IYck5@SJZYCXTATNf{8YPZ@&VgQvB$+2YncFwFMM)D zv%1%iD;w3~t~sFnGnPCaKN;fmD8qrj@_0!hp40aZDVN@Um2;W)a)Qye{q-jDS!Uyi zvPgz;d>rNKhiazul?4N0myDqWN1y%g9~ZGyf(_M&pWtABIZ9CjoOZ9FiwzfMDJZ(V z>J>GesEbn~;0)FalJZ)JpnxnrYSKR@ijL-Wo}kEGl$CY8b5;?1Xtvko#yd0hv9J@~ z?AKx==E{M>ruP%>gTG6rs~U)-6wF1+r)Y{3L%~NLT5j9*U65X555{70&qW!ZCp9T# zn22;kYy%f{c=MYEac}D*aO;=aQlf^w?C$J5I-_Glrv9QTjfVFly&my%xJ2*M?V@LH zE8UOf;mQBxX{-riHNckhDSoHtQJr^wmS?n2jqkXxBt-{5^ZCZeu>*_^=_7KgbtMMq zg-osW*HSrm5SNPSF=hD^@@P|=r`G4= zQJbr+dS^?L;J9ywQ+->TYo&C^o(+b#OB1JYV^dIp-)YW!5huPV1g?$sJ5$-{&x%OK z&kKBC%60h5Y$Z6#c7Ip#qMWd`oK7pXRT&PUU&6ibIoozd>LH&oIe%5^qnoAD!^`Xa zn-v-zI=^v9sjag8QiW@kDx*;Y%5#aE2XHKv)jKa{358Pc*3{-WS^SY%6S_iXtD&;V z)st0Sh2-ypIgi|aJB;PRh<1`7jCnTk#n>EpHe>q;p6bt7cPbtxzD{T-`0}>G2iB67 zt*27%1XVYM=oaJJw`nzNi{!&Fg{{)m{-lKI+fzBl;+;?7Qmaw?W&1)7x#2?YGy`t~ zH@~V|t%pm7H=!zL`%;SZ^X9;TZ1pQ3n0ESp7}H`@2ZMOnhAt7wfIUBJ*$&nBM9~!- z1D&Rn)gX9S_Aub%O}sz|%TOs#AjwA%cFjJP4%bkV*DwGf7k%)_$g&A}w^qFj>7Vl& z!44>6K2nnoJwC}nAEslVXZM;ZJ|zHg}ietJeY>kPs?&AM|{QzK09+IUOQG)R@vG_%?Pn#HV1h(gqIz zW}bSsZnK9RikkJ-6HwA@;89OJ)D=fBiTi4aFe#xGtIgvqlU)DJMKu*;6yL~mY*<>GxX)hp^wtgPK0nf zc4%@j-+jg;E0=y5ziqj2LmHfBD+i1P$}}$L=$##wWh4Upo@a68g~VGU{0lI1$LjkzZ>%E5+Z;8}&3tj;HrbDWFjk zagsUmF8K){ccDE5TI0Dt;qaY?4frow@4b%%n7kgRi?c{u+zYTDWBLcsMAW5!hTY&8 z5eEXSm2w0y4o$7CMt3T{cPuX!d|PgRh=n0&O5m^e2_p4E2}T z>8l1SD^VY^1$dy|a?+bF-g}L1TYExPBwAAAi(%wR zVb*yKl_*yrsI6xc4{;AZWw05^NqjE@-`1&R&O93;Z{L7@WIiJ6-aTl%X4U4o;B11+ z&J~jObKQcb*YGSHCpn)W*KjG6-;~|bO7t4Neha`VHe>IfrFvff_R{%#CZy`P#GKl% z7FgNa1O(=x3E^fe<2b5>B;1kivq*Io_9)`w%Gm@$*g=!M`^4#$&msH>${L)tT*~|Y zS~w3WVH$RuH|GG);lj;0{g`YS`0y%b*1o*Pi&9y9B}Ej7$6E?2!!o-?W;O+zw`>iH z=a%Xri~iXII*m{(t}V+2F0&ZbHBdHoJqz`uaEkuLgHYnE;=(KAaj5+7X&!80<~cSM z7miF!2t0c3Zvyp@=2=By*}Hw@xgFw2$TVas9`RN9vc#at+yE5zKTy9FTpc}QpR zHTLY$CDf;U(GS`>yr9eTq`JzDdMBdunY+Hep({i^gP7NCZ0x2%IJ+FHN?5p%rddOr z=0ze4#gR^)Wi?V^?dvUyJC_fe2L`N=Q(lTx@&Vat;rrk9*dgqe;O#{13n{@ns2%wF zv-rD61SE%8FaxlW&PX9lqhCcYJ2ZJZj>+X2gWg?!Z$SS%=>zwD@$MulJLx3)l68o- zh-_ghkVE97AX#F}GHFW3--MFZ6|9OAN^<-bpzybU!D)8KLOKGeuhU-|v!{k)8~}!p z-aDJJJ^NcsCu<3|NEOY*zAROs=&$dKUD81)8gecd3PuakhQTAra&n<%hmqRqZZ&fooeYW^R09iGE4**!#Htv?>ey8aD^ zN5~BRE-S9kFuRsdPH*VolyJpi`^)||i1UDxLR%yyLX#M1=Q(*yPbMry@3?;YPS94r`ydSqFLIw^l5V-8;&+TC=~MFt)iP*=~YUkAdf{ z{hzq!jO24#4I`>_HB)zskXn8qh!2*zU<>6*VLRdIz5ABW&Qb?qd-(46REI>O*v2S9 zmU%wXwe6@3c7AC9cwzVq-01~{#*aiA~mcczhoy5A9K$V==Z{;J91vI*wfMwN>P)n0I=yO zkaAp-()&}4(r@hf3I=*u88x3-sE9Akm^1{|9XJ zn#+JGjYg0XBR-mzahnzMItCREWt^Q4K1K=3Popr&k~22O;koQ|H&GRU#gV3E?TfM2 z-m0Ms^g>-Bul&~BkKm%aKZ@?$zQ2~fKOkpnR({n`6Ay*_E)J<2R^G!4w3&52$>jLe z3gF6&K(6ixna2lp4hx9EVc*A~E^+7F@kXXBhB*?TZ9=vfeyF%aEm_1~9GypAznP|`k>alu3EQ$Tn&ToTjs~xvDNE|sfVs8k2CnCGUsHK7S0XWS+j{j1`3MhKp;p23ab!8{hN9 z`=%m(rL(4Y?r|^ri(0%tQ^>!JPTQX>caD!~tHxO4f+uF|WF+;%3BPog z2^sC=#!cAg@}YP7_k2Ey_GLkei=023GCInIGMx+(Vegcb_HA)hms@h)L=oTFb|g4L zfeq5;6p^AwP@P%J0u@1z{i8!?R@uNZ5Q^DJv!j<0jl$Pdi*Sb)+_aGZuKUG3 zCnAtEaMqxGwh?xjU>|P36!}I0w0Ez44jCKBrQiL5vpLK@GBmh|AaO~;di3&vu%QcF zWNBpMnkPp0$6}Yw=8pO-qkx^LEH(*_B%D4$y7CL|?}or2Qi?Dr(Yd@nj&YUkMWOu$ zsXx+LF#kQNv9A*0X?lzQ=3^~UPO5eTq#V*-FuUC^{*D+@zCHqTaf_9BN53wTxK_n8 z#Z{+~4t{wNdqC}SkQ~$mRyP%gqe=A;=h5j>&%VE$BUfnSdloVX)b# zNtha{cSDh9p-<86@Wp<;lLLOuQVR1M&GPGXYNdNoGCfA839+~2(3i(&NHF-=YXyU> zwQ~5laDK7GB))^uOHTs^FC&@P#CZ}HIZuX|sQLn85Q=qdSr@A(zeqq%OEn_*w%O2T`>J*Ue9#Kq!_YK}>fdlADb6Evlx; z;EV?Q)A=s47k}#g@MOYJ20y8^-r$vII^6!ZR7W44-GKd{m>Ltek^b^>BqJtIfA2l% zH!3Qouy&&3Cc+z(FTS0eQ^Wi#(mO?GTEG8 zlr3jbuDQhox9x?RRHa#&R;j{@n46tfwIG^KuZ8IC>4?^lyqe6!bC!rb2IuP$WGZ}W zI9*8lL5HRwvP2^Z#G;khK_mTzv}hn<$_N(remtW65)5q`r~2qQycNsZh7_T;3dW9g zPgNDaWifiOYfX9^V&$x6_C8R2E6jX?D0AlA4w1~Uxq&x6x6z#@q8cSgyYMG1uma%U z4hA)Up&@}l;OUdzHFp%%fm1%qp#(6v)e2(__SB?cJ^P}G~@6ktPeHcq|aIl`&*PEMnHC_Urphs8C@K3?@ z)DytA$fUmMYCoqF22m)jn#d-?bxhum(AQT}r=efW;;A=GJ)0L-Edj?H>dCpw5(_Ra zk>^^I^WypIuU%eZ3v&U!((1v}6qq^YYSk+bp6(d!Ngid1Fm@vVGVr`>#eA+PpRAe?FKoi8GiclXZIK0Z4f%_Bm)Kv&efrcC=z*=T z-V3=s)Akpr(GZ}r>vn2D;79d8lTHn9(fE&f)>h++mY17v_N=jopo}0Lk;KYi8!_2| z$KODIRAA}5wVZg|goIkiayiC|@Mh<4%>1p=!Er>w^5lkzPwyR&5C)B};r4 z|Cf~fd9~wtP)+dI~MTNifa1L*(F*holm`5fEuYt-B1xS=IJMtMDI^e?z+!}HJ4 zvS3T^@ydFnnUkzNnlD_OgJ~KXKtvat*ttb5`IfEIO=fnSuHY4f=?%8d0KnjBcf_8E z7>&>#{CX)Jzmgg?1|ii0!{$`g%N%$Aa8x9j^OB7 z0iy$d;6j{{U%Hq3aVeaY?%T|I(E?R&J}5sBFVHwHB+Q$8gpT&i>_ z#3Gu$u#%Wyglo&62BCc(%^PPIF6ee=sE8W2VqspTw7&17HvI(YA$gB8CG&h6VDceN zl+&Sg^TJPRc(J>9YAqU4RpieYkx0|?E~43^RHnGConC7>+U~2xQ{H%CMDN_%EX-j6 z!MSmFR-mn`Nfq^|xG!Q##+sCVCJzm7;lp9zH_?T&)@LsiN)(RoN4LzFqZaAkC~hhzxIDbF{u zsoj*mN32dLS7FkCpzEfKikExo_W9rJ1o!BJTG9Z|O4r^F3(#HL$Dg9B-=|PyK!qe> z&Y2(rG~ODtgH8AMTYLZFURIcE5`G5Zd_l8?f$Y`>_>R@kPYyB}^DtGk5vh9u zkh-T-egrVrP`tK`(Cj6V|D}AHefe%jCWZ4M4~y!MZ&e=uwleyYiyXVKY9x2|avA2gvEP45vd4pRyog)JQ!_scghZ0I zzf-R)KZQBNO2rzg{YLy_hBs>Hh~Ix{pYpne1EEY>Jk_|y=4f?qEXr{!F@a&!o#{cv zmV?yoKcW^ZSEUuHRX#V)vzu~i%4FvsYI;q|wHQ2)aLZ*5>jrsg%!d&sF#6P=FSszu zwrc8)XZ{C#qePxHGQ#IhP=NiH#E>4aEraDtt>AdHe8~r!S*b>eNigd*Nyv*}JTl;H z^A-Qq=1i@Q8FzrD@1NBzbXok1N3jd)Yi#hnFTFQ?iDI0rmx>rQd0(@sqe2PG4X;wH zP52$xU7y5}c+~b@7xOc6g|CgMNUT3?Y0H=HI>4%WjjGumbwJZ;*c|w}=R1 zqqP$`V%|b0i{pitU*|7nxbzTlg(WvG=TeT7;EEN~5xuVCG)AMEdkJ3){N!ecUF z5_a)(FSJyiq&+3N0Zc&S2q{}QbR1A=6ek2GRelc%m4-bWJ!8J-HJsIFa-4P-BjCn> z?j}j>iHXxiw|WfPNF5+&1 zv7Ua#4I#hjEvjzty{^v@mzzubdV{>6o0pKVdAf~#rj0nFS!jKs1JozAkS@$wMH=}l zA%TJuyF>B)(zQ`M;W?jW<*UdBAav$B+`hGwIBIfn=6XArNH5&{a)-Az`hJ+%hsf5L zY(R_ki-M(w$#cx5nBMzM$H=ykKu2Y!PEYeT#Id{y?J4VBtV_v5>9 z6D#X^BYf3Imc;uSwK~dcx5g#9lZW*JDN}cSa4>UD?*83zjlst6+W|A+ytl_?0AdjJ zLj7e|6(ED5KbPsUn~4{Akqg)0m$H`H#@&Va1NNSdK50^iJAk&?+Fe!2!{TiMCnPdO@nnm%4Q?Pa0DS;-6|`MS|8vV9q%gQD)}=OZP|Dn$Zop4w;9%VJ-M# zDzyvSIxZMeRLmCYn?R6{L<|qv6&!y>PGy7ain{udL^k5D0I&mI45Z3qRRH|bdn3#+ z#IeHTWxq8ep=DB^zn!V@$;vus4gQYNKWRI;SfF@b7u8m}aVBXbUsv7=X3~Te~xY7S|`Isu@NUc{f)_ z4;jC?A*VV)y=hegxN3iP6ZhGI9H}R+3k0-O+*yisOgUrrWA3p8W~@bixci; z*a`eAg^7KwxP{qyYrVp+;RgxSshwVo>kylQS!ZPBLjhWlpyK%Dq0WYQt)Ave z+=KfP>m>}V?@x;MIbHtfK$}1f-+Q@E+>2 zT~{9;F*eB70a0c?lx-;ea)uZ~IxZEQbY9lUgXZrUOPRbtCZY&*^;VpR5D4;dqKDD* zRR+uXN)YpPrteI~bHn>?DKnO~lA;y5YcF9exd~Jl@?^m-y+4P6rUsu(*&*KIfp2!V z(Iw-B(N)#=xqP6W^J8)Djud}WQJm_g{x2yw^$^|rhc6xOapt}2y9+YD0mLI)7t~js zER$dku+ATA2e{}$DfUF8Vfw5~cNM+S+vK3xMzHCvmqM_vN6}p>;(jCLoH%PCcZYgy z3bzcww_P}9B-CPWfY@P_T-%PlSot0}yKTLIUb&BYfAAOW;(Up=%HXC4x(~%vFWR*g zI)HFiYu!o0(tf_oQDuCS4JKoED2AmJy60yzEil0dBa=_mctr@k_iE(Zq;M2sJnP=K zuW${;A`e;TdaTcgX)K7&=KA>vkTa_s`q++y(8v;M2tm`@bkR6ZFUJJJs8)3_4^WQr<1dm6trgLFa9q?vc=z8tS$ zlbqQijRriS=qS}p0YuvA=OuUG;-vV2U#+}$rN9xPxa3dqi8>Md9-^nFh1`GWZcyRZ zTbkL|guIlKuN(Aumi^P~$2b=bMA{SO@b|7LfC$^ldAogfj=HC5`qkCuzgIRp;Adwp(b@kf9~&&`x zHIV|&9#$-WGn?FMK7`NcV)H@3srhERPzM$WaZfTqO?K?_)dP>~MSLgv@WaU&&$<9# z87*qW{=H?0sqIGmX#9Qi9t+AM$RgD;aI;8Xuo{+lYcfnOjZMhQXG=bT;8H5!1~X`-8x|f z*W~YC^9e|~A*tu#F#6Uc-IF*N>gdJVF0+umq$)2fH!x>I=rEb_NEso8uGN+VUFBmb zb0o$l*)<&pC6_OQq5D2y?7+3HGST3E48zSr0G6pSvu35s0>%i~aAFcPr7{~{E4UIl zZ?qQ;CHswnqd)Jlv7wSydJ>h!r`G= zcgwu@>(rm!;4{#Jgh^TM%wfnO*c$VSCLrpvwFuc`FC$hF$3|#A6RA_8O+T*wy)@=g zPRkE;DB}VPUWMNP_IH>LrJ1=Y<*)pI-HoU}k*Eo3SxY=%b7v_3Hur3#OqJ_wMwF5-DFk&1~w_mo^6OW)=TXSubk!>}@pAL0jd(GHgc zV{-o$cn@EyL6@M+p!0N~v9IJ6r7&C)<-c_|8YEo-OKZb^l zxp4~T6nyQwCrB*aN<#y72A(Z-y3Y$X^!Odv5m&y0nC(7}F>$O$vn_}xKFl?Q8_4=bf2gen&N zY;Fcl?b_t&>e=Mle94Mpo#uVGw$hR5YHkkN;EwM{allRt+>%N>pn8^R`)q}M)d;=l+%M(RVlyVL+Lam1I24LXq!%V5(JdYf<1 zm&urK&=H?q)1>t#}+ywE$7JnMxay%Q{ zpr|ug#*^&wQQP)P2xZ+}4!QK)|NV~oGHX0fv|}O@QkE%@;#4$VSUSYMxC`g}?)ql; z41a8EQ}eYCBAI!-;RM^%!;_8icW4(_XO zpp~Cnr_ydRN1&^ZI!EAG^cN^W$=)+-$yPf9n~kLQ@{w%cQ#5oym%aRz3qM5 zBz#MbJ9FR=e+;yhb`!bTzY%yfAS)StViIB>%Iwx6=W60Jp>Mv9RQ8cV16b10y%oG$ zZ8>37PjENaEK=Fpy8=oVW4E$R`_pnSSs*@8g2oA?6tVYi#0Oh5-CHpoG-;w;>KT@( z?qg}GRn1Nk5YIq&TkP4Iar2L-wMp!2s!JPB3wcwqTnz{n+bLFRV8QpsmsxXZ7AT!kkTt9)h}wiAz}jnAiQcbcov=Huj_?q~C1Tjs z5~dZ4KL|{pOt&ki zrt$L4?bEG6y_5D@W+|C_VU}$_mv(bNgBs=QZ%=0A7h6lD^9;(yyg5B`u~=mknSxou z7Pm{P^_(wS2KovYo8^pQiDQ|4!0Ve{(u6)yY|hur&jVut


      FO(%^O?V%h{4Q09= zxr2Lek}TBGQD_JyUhLjk{ION=+@u^hYxZ@V``=NxmRY;(X7M`_H?B&2#49=bX}}hg zh!LduSPJt8n_KGlR1T=UitN^o{xeubP=+#)*Stxfj(x=d1q&5)Ky}`nSSMGGH9Kgw zu-$NI%`oJ$w!P+C{HgQ`(?>uQ&Gj`P(SL3)9!7MC!2RLzno2a*B1XpZ=%Nj($?&I* zGyBi>QzzLiGHL(UB&a4=9xQ93A1Rbh!`Kf7O`HA)2;d)*%D2I8_oN1A!TwvSLxhOn zPjw`Ieak%Du1nJHo@yi@yC{rB>#7jstSX(Bx44hSp10l8NQQJZdO}8{S*{>rv5}AL zn)CdEGn}s_B~KQHyw4rZc}9%D7F$n(BE|?&`f_d)R!kk@qu5?ZOv#z#Rv6v%Ds5TK z*#0s;FpplRojPFLZ4BMPhWa;9&|$S(zY?|uWDROAMKzWfR|i=xyBRdfb|EEzW`sx( zgotR;L*}1+3#3Iqk2;w&fdrkIjae&p$#sHK?XAU9n zg;0xwO-}u7|LUI!4f;SK|U}wsFG6a(Fe~FW1Fx`D}s+ zvPy(&G z?J#p#g;d<7#i|2L*eBcW@S>1W1tGa`34UK*wb90A|E46=D*e%`|r2B~ei+odzuRK-R!Pj<`9x@FO#6a}s1 zF85LGe;MVH47o6UjSIpS$Pgd7pcjo5{kjWb)=}GCmN2!FM*6E$zboK1`P@hge-=N^-8*<4ada(54KV

      ve^B-L`17-niWiw!=ZUk1Z>KnnQc>7{P$q zs9wedR6S6k?y3XaICj?b$O>TQwH06GtR%7pV-2xSxuyLSN0<>jvc>Ee`D*)vC6M#s=keUmr`3MP ztn<0~6H--lJ%fW;&a^Bx%_bxXh)odW=e-fCB;bFU`P71;5ooGTJf*-Zu^5ES89~h?L7W*R0a)lGi}8$qe#ET|_}+NnCQs3_ z(*Em<b>??2Ucwja9cT>OxrM+FiJ)7GrRCm~pwZq8X4Mz+F;$NQ zBRsU}Dj;N$>|3Amr~3QJtCk_T(em&0FFo%Yv8Cf!GV#K&(T1iF(8+sWyOIQ&qD+9= z3L}|#3==9aQ<()d2OG+;_TFE`Gjoxko}qFaME^k+{?^1lKwh^r^h2=*Fa;I=AB8d$ z{_Csg>JhHF4!$#qzF>)V#f)Ec^EopBIb*o72p?FF!BZ8TcS-VS0sTj1-Oz<>KlFg; zy7WpO%;!ldXTFKj`eMyERAIboGt&Cfwx!yrUnE-OMfttNZsI7{_?^M|=S9)zZ^{Fl z;T2rHo|hRA>Lgoweq|Yb8V4*3MZa4MZ{!W5*`=K%z3&gm+}8olHX;MoDYD!qoEm}e z%fjWxZ&v`_x={aneDN28U%EbY9cCA_YF1CP+le2#qhXUeuIN&cfOVN19bN`jKVmLX z){8)+y1IDbynt&mK_5(C`gdZ&fRWD$REp`IPVyYJ-^cA=0u~u`V~q^-Umy1sC-|sx zH5GsxeIH++?RyYRrW5j(2seIir6l2T%|O)9^W(BA5=oAZcjmu^Z>SWMVS#Hv6~s~5 zfPfY7!*x|&*tXco$X;Ot_OZUIWN)OWdWNngHSub8BL+_U~ zs1Xmo9R)ErV=Gm3z394V{yfSBtJU?Eot~}5RAk4FM&Ly|_DK(BV5Gu&v+1V)PPxh3 zM166+-gl-!&c-GBL1)*U6VYhZz78#^B8k^}-if#ZlH^$>FXyQ9$2@kqT*%kQiNGa2 zGFWlQs{X3EI=1e@*8i+X?ApLa?B;aqiDlFAOUdAg!n-?cds-v_W^9@uLw|vnfdj1A z52Mb0Im4|jbF!7Fz|1&{NN85L+@O3V1?#O}jsj8W>cQ05cqbk3C?SIlgYn1@C8by2 zA6d=dx`*oTmzn{zKa**H;@IQ#+Y|@*J5^AH6CvtKx3nrWk=-s8YZEG{=6pSDp`Q{< zr~o|I1;RW%ZT+o_>KB%gg`jSdSNvAMJU1%S*|l2m!j{+{s^+&3^vA`H6LJ@^|6|o; z4U36qzl4mG?pF0u;@2=S%4_bKcs$AhRh3nwXh$oZ2(fU)*Ui%<(B5#0GFA{NXYSmI zmubNftXaA8%&6&2O)Bp#fcO)3poIi+^`Wt~a!#83s$hj4`P+qJeW`0~RK=*eiG~bgpDIi@NH8^ZLMW&Ik9<@XTksnI}KinQAECJCvD;= z|41VjX1+@jLLf{)LNQD2B*`17I2C`oZvilUd7Mz~)Yd{aPIa2B(`svfjYD`_I**~7 zGh2(~l=EfRrld4NRF@iZ+QCxbRSqW?$L)qG?<-UiSh{H{bR1%=N*iaBNKNwzSH@Y@ z9SqRsg7ycx!N0gY_k8w`?GDCMYl9~Opyy^U?2t}+GA-sr%qOW}pu@oEj=vm1M>VZ2 zlyWm6m?UGkUpnVb8D)rAZq9?$54lp+qf4Y}=^caK)=;Ar`u0Tp9&jgK$!UssYxK82Fv^kauppI!CUT$@amu+99<#S$`T9+N%Z*;9}&VN)b$)p>+u zn>41t{2=yg-Nt)A=LTJorSJ{x8k-TY_Z4*MoE{8J&N;2t*wi=Cml|ri^Hnav;XQIF zOwC8oQ0`HCN2PC&dg7)&5jSi(il=B*)mkI`?5p{2VMr`m6T_D=|ZknKo;1# z2wkG=#1dQG3YEOhNVcJJsf@;>a31I)^YL?MHJgH9H)&$-uSMf{) zO2k#K0x~|HLy1ed$EGUl)x}Ei7b50RT5b{7)=qj*;yYdaVfU_NGvZLb`hsCE*PG2& ze|mudkFx>{&;jG0rDoZUO`JV;oz3-|l-?wxB3iktsifoYs!AKyBHd>*rZjTMQN;x| zDu)VG2lDSYb&>7Aw<&G=B^ZtMVi2b?>>S?nDDI@4U`boYM`tS5fHvQ+4!**w#2Ft4 zj3{_va>=rM&l?x@fS});XZ=iKE6w_MOe=iYjTEW5XtC4_+Uq`^X|e?%s5?2BiYabJ z;^9z*??8RG6;klnq|orpfp)m7HS6?xR}4JNw*f}6{Oj#|0EBOj@^4`gNY1CYd*Zye zCjXsfBL3#$3Obzwq+-#L*C-ALuW^FJ#~UYo_x_^e%pBkLid-ZpuU37Z(Nhjec3vT2 zH{{2`&_%%_ZV(Gd>yUUagAHKJbN)W&-9h{cu$i_F;mPF}knlqJmz$NfQ@5qsfwhJB z_U!YuebhUqImx3W_oEHk)5_jllj}M`s%_63#UtvS{O`rXvWOeVa92!IIYSard_nrm z*>PgyDPl{aL9IgtPsNr%!XF*31cKa}0^4WCQ{#X$w(cE$vm;)QC>p;I`M~GWD0wL9-HS@p{Q(*fnSvqIGvS?NdycZ8*wA zyAUYTRv#3*k|t9U$U>cC?jaP(#A(~=Zw%EyqByb+n4;DR6Q#6=l+fKZkKWXAW~Ny* z3AR=w9bA%!;@S92;rE%Zqh_L+1QhOr=tWZ zemm1Kcu$}fHwYcx;n$8KyOoKJEB}}X7hibzVL|v_cKFUAhL4-4mUMxEMk@E?kNK$A zF4x)LLo)tYPauG_pzIZs$fN*Nl00!MzGE~=wO!9CZ8asT-zK!F%l^W;nlIF8*6BTp zLh6el#%f59n5#nij9tU}JLs5xKPI#zrjnCVyQ;C|qws>whtv7jxDi6)D_zmd*zyD@{}A!?HA!O(CF8Mb1nDohByJ ztJQ@L+8a93S2DlZM;B^a?Zt79J*Sj;ThXi$4Jjj30Oc8`U~o z9b*FnVAJHXnd&77uYD(b`5SKO_i!zGUaE;!I66(>j`5-42Q07N!h4lnYH|tcK^=Sv z60h>qz9e-NOr~N{v3GWi9}?`nqfTTuDw`WE2SYe$#RS|={)IzQEu{%0xK}S9?(E-6 zyd-MfW}ZP}inJ(BLkiLNl<;xmi3i640FC)pOrwY?nc@%A5_H@WWyMYkz~i6so&w%4 zu8R)a>}M>S-Af>d4sH%-c#I$Cj6FTrKp9a|rE=6xzGu{=NMuyDhd<&^J%f%7Tk$z~ zBc|yHU{vOW%Gzk-Ztq&cO-#y6m%4|v*;qJOV9J&=B^=xj7%m!9L`J^y$Of*##-jH} zm?K)+{)ljk?zONi0T<&1rr82cfYcP9($tZ5n#X#5cZWSvVLqf5sH#g#TN`oqKI5b9 z-kQb#(uuq{3=TZJp7D&j*&p2smJh}ni)t;le=5pb=6I$U)En~Tii)s15#)48#!kr2 z(r7fWB)$Er%b7qQ8CtAR!^6eB`B-K8^QOf|%^6|cfzx5b@6wxa6SO3*yY=LI@JmfB zXp}agM<-AaAqMbV$M?mx1pTJ8o=57iq-l_p_|KXVzhrY+WE1Y3GRJt(43O_l-oGJK zBt+uBF(P-<>GK@_VAg=dmJ*LfYGDY;PAN!W8p!|j;NLtqEb}{uEFa!I<#{YJ#3iQ_ zPZ*}SBJpfVoVd4+YtpU-znWb>G}82y9)EThPl#;l$?h#ORrYs0d~*go)fmf?-}-hu zPtDu4^jqd^-nJ#NEWy|d@y&ZI&j_{&JQe0_=5CuFL)VZL#XQc{x^XevLHka0SD}Kj zgjIhZ2hpeYpz3tKnT2jWH2ep*UgB_S2oa*~x!mwV|2OtZw!u2Mek zFfMW0b?Q@?OnI8^N@^cUQ@MRwi61aRFA@xjmkBK68wo}a{TO8m(h5gr3}tGiI}l>C>LFtR}r492wg|oS+ynv zTNykgegpr#g(MmSf>6bHs z9f3ncAn)X;z53vCzCkgX+_cAJtby-|zg^_h)ff{K=LI^erg?00@D=#&OYt5<800Et zKbGv3bMqK}tQDvvJPT$l>!nk~-D(aC(}ujZV$>asJ9{x=Wyf`O{5M6S%| zb8M$|!3AvDY3R~yxEyGf=!X1-W{a;flh@+|-l~50tW~%R{yX16;7_$BukGsDs0{~J zf_lC{8NC18baUMKO3^VQ!+Ko^bE5uc{6y!Lu<7}Q{Jp<5e`%MpN1#tAUPt@*lk;$euWu#xA$Jz9 zX(EPUwIs(;J?S6-c7=z1Z>u9pMV?j*Defm_W?~I8 zS0WmANM%0J;xJr8Q)&Yb!hCVJ=tk~36LY4xHSS%%iVotS?wTX!J038KuB-oJcgnOArB1KVzfG(gkMD4Cdad^+DOMu5+ft-w;6%wq4l1_K|j7L5vtR9((x;{EU{)e{4Sl#kU#MbD}SPhQzR>eJGudJzgE8Uz~=4kddEtaohz-qqVO+kb&&RdiwD5m@nrZ z-kEbazUP=d#Z}G_PxJT@{;h?BvYX`VyE+2rHB@s4IcQ5G6t#=;0;3lHL%MAMWdr|E zw*tMabZ>M>W0C1L5NhVV)Mac5m6duWo@y3joY}o<2wk8~C0jwp!Q+sirPPY#o4M>_xu_<}P54cT4@G-siJ~v-ZXtr}0 zhhp0q{|>*INaF?tzRnv?;MuYVY-=<_J-7bf>zYk-023?_J)iKfQ%#A<`61D_GhImg zCEBHEDjpmV|LQ;ymku@A`{=M=I8AG&=WtrRz|~6gx@=K`9E|M)bPeO2jS^`YQ|ej! z!P=VNLydgf2Y=i7LNC+V@%4P=CSjEIN#?Kkj^T*mlm>vA1=DbF=9p{)K{4gn$8KT) zB4}FVW-d8}`TSxOJF_f@U>4dzCBuC&B{|fi*Yh%%dio0Cjm}A_uXQ`{2rO>6HolFN ziF{JlOgjm{7l<23U=46Ue9L&5?3>-%#=Xn=ZdNtUHnV2yiGGcjVm|Ujo zh*&n^1fZ10P35=nEAX;S!Twe6?Da}KQ^fSIXrbM7kOWM*xP)?MYqwX>bgs13WFKm8 zr*Tc!aKXnKFMRolZ(UgWnu#O3=QQI90p1d8(YO>T4cNB4i<={Nwv!j;SE@r^-+ZvB z1LC7Tp~ow7_td$w&(OXW{VaW6glGTEJChx^o?F%xG86ZQcFMJLiZGAK>;~yITl?4m zv35MnnW>znw3aK073er`7szFmBd=BpwYFRJ^SE6Ke% zAx>sI%AMPtM*` zX9%2|Bx%|qA8m+w?yHzO6JQLST z9NHmPK6;NFgzU2C`h{!A44*+29lpCytZeP<9CUa$Tx(5VjNCMQ(pVf==t?CBwRV`p z@c8lS+Yjj%usze~VCy1PM{FIf~I-FsU3HXF8ceXbM)o*R=YHwQpQ6@7_OwB@u ztg!isw6FKOSazU{6hBX1NuDdLJK3g)B2a$fh^Z!Lws_ z1Q;Iurpst@xS_7?!M92=ePSP1@EAOtH7$fxV;r$bkid@!lcI>G+Cc6Qw$PO2S;S1^ zh{-uq4fOw937iQP{k_G&|3rF0^sEk`kl-ACx&>VvgpyESQLNJB(FeH|I1Y5PQE8!i zn_=zFjphIeHAzI?SGw1gp_)1Ji@%45%K26R#Wir#$L=++=qv zl=L@=9hG^|6m^7)pQqIE-YO6hH+M?PSk0F3TlR5(+<7yofR^zeWG6!T&15A&f3xRE zo{Bq{H-Xz^=jtDeEjel?3(@;(x)Sgesu}yF0Ae70h*GV`%l`1B=*$7zPub$Kmq%+$ zFXJIwu~YvVlegzS*T|VV(i(faM@3Y7@>yG)`Z@C8!iKge&OK?B_fH`}7=YYqSm=I{?-O?m`^IGBox72!%n7NE_brjp3Xk0cMR%@HfB z07)UjoJ`4rSQjFuQj!yV{>fKuDT$^e;qibxjN9RIIR^?yP=>r9@CMQ#@Q55p(w4Bz zkJ-v9#=@fF;A$dt@?t~yrj+;6WJ7=G)3S_Z zBr$`hlQUF-rl`ykLi*#cEUqD~M}ktm;Cq(XT!+T|j9>|bsoWDed4S&wSuNJ2=jL}Q zFgDgI_24C@_{yLyPiWB)^qnOKi#h#MFAt_J17+a&=_nZdHFwIzVA@{LWy0qPhKoIB z1Dw{%<(t+xsuD9OW00p*k!3&FYvh2*$D;;vuXDFBMT!@}Dv~kwyVCxolsmeK5V@x9 z%}@Zs9I7?z02G@um?IU*0tI}zBRGFl-oPah{QTDY6jM>ir`K#3 z-)&B1J~K){Tk|1ggjv_HP*gF)^eC(1=(1ikEzzFTS{rNqwAp;ri6`4T{)9n?`C zEtPlWku#JbrLujm!HcLw5R$xxeKw*FTlH6bpK2vNdS^^<$~e1blJ^QmoNCfAyO-8TZ10J!heZlY>GFcpB zuyc5nW3kyw>!^cpLHn+?@<(#ixP`5UvrwCwXEQr;Q(U3r#`KH@VB3ax=FdqLQur zEA8=?`N0>CrI<{zq#!Nj0K!ykZh z*K)wk_1_N{zXax@{Y&gQLXw^NT4pNZ(exlMJY0Tg^GW5NbxBuGSkt%%kd}Vg)B-uA zRRZO~>ND^FG)t-Pv)7EEz1j~TJTXj{;L@1B>AA52ee!^q3O&NkT*)uLm1dWTzC&Mo zexhRK;jfI2eP!B16_LFrBHqQwsHD;2f7>zhkpyu%f(yyEUl5ohiALJN%|oDmOs{Fm z&Bg-5SY*fzOC~;LhFI38tztwz9wF+PxvRt`^)U?UT!#Qo1x8vp6LmLt{` zmb=n#`*rSeLK3Z_rZEphBn7z-7jys^?{xhy-l3VO;`LGIJc3+VCZHYzHEBW=ML!z& zr8d6L=!?QN;D%CVESw9tk8=e3x)-<{tJ2-?5g@VAn_MgHW5)T0(Mh1iB1&izybCV6 z!l3>KMWn^u4$^9mroaWEII*;WfM=%$w%|>Yz$CN ziS@G<%?m5&4~#C#9~hkkVXlI!u5=iF>N1D2PlFq&hvejhu?{h{tLu`3_(o|*@7|8w z|H`0)7CE(!NNLZdp%v=^Qt+J57CiL#H}i7w?{KeoU%kv;@FgN&nja>Fltjv!uleqn z*`bht0iwr1Ec0gtOchP(pO3QwAY9Dq4aEe7!XVcYd2xJq8`pRSgs$9TTmN1MC5%$R zKm?w@8Nd%S_+nJIqUkyjIRbztKicz!-m%_|qKYczZ zK`k)V9PI8A@EAVeaa2H|JO-$@@jt)G*pnj8nhQZwu;k?ea6z7Os&?|(ntt9Fa!9rr z>Zd^ETIY!~#-ZS9Yr36}-;Db07{d9^V^zncgbhl5C5{e^t=^fI@FOn0PlD)$k;A!I z_FP@R^{|{nh}ruHTj;mF$3yoV|5nM5By9-a1~-!Z^3ft;<;$$yAzbx1=?q`hgZRJy zo0c}?e$24zXokwVGCycnEHvY08~D+IA)IwVfOgEY!?E!ITuF_KdHyPvvHA3yG(OP~ zKF5-J+7tV336sZ32z6Dyj>5ejzTZ8bb_ASf>9?BTc>gr``q0i1(P{3f#nB!FVUl3D z+uHa;RTzCkI*7eZz!AOkK3QEV~Or$q*oQpq?Wcv7nU;t?|sUVq+i#fz|a^Ujt$8+^`|TPTHL6ejvgp;PHdVth?!_UM`NCrR11GgZiGVs z@BR=AeM;+1jyf=gRMDPY|0-Q_ym6uPL(oTokw2~*tM|D6=uN?xECx!jYAba0N!kC9 zP})Jw&wAIapz$mXM*D#_UU79v%>rL{-r1s`jA_6@wgl!F>LTHD;|Qf;>{EJo`*8>~ zRj0a!`vOt85Fj&VuBjQ!x+e!OkHpEooE_pl;WrC&6*_ViEheTUKZTF+hLtHUMzNV& zs{vT*QxR|ofHTXAx^2&7OQvSdq8#JIsyQ>*37bklbg5m-`dXDd#0Dy(!z*MAlR(rI zs3MdG!gFnaqBn%2AR|6XsFm1e`SC$SkdE1vE~I21vB`dm*L7DVVFiOEyTk2#Z-Xq;B`EXeWJbjDs56oI^N_WiFy_RsUK3Yj~`K*{EJ zCWRcRC?x(?W#E}B@8XlKA(2M}r!{wx&te^3O5QzDF4F^!NM8m6DfC6Upt+gPV^GH@ z8Th@gAaW^R1eL8B@3=f^2n~()WBMX6teDVLzR-3(Dn+-?5DBpc%liO zsI#4KhWCVPSap>XujBv5(38`?M}E}RlRT&6E#x!cXeqw_Y28xnGDQE#j|mt%(~N{JWY3@QHIA+=e$m@;3O7c$-hLj(k6R`v`Gw6;qGgnzHwK0i- zQY`-ObpMZ|iX0DJBB8%liXt(rSKT!<07oOLY@`-QBnoW0uL@9KSaOv!;`U|V|Fz#Q(pFG z?LO?=K1;y6eX%psHI2cfSw@GucQHs5VCRzAoNt-bi=JP!7Bc63(!Cr{s=K`8MquZ`pSdG;rxUL(J3j~ulN5g zrtP@69?1!q@OpZ10U94~=fWUSFtoQedtjh*n$ZA=r|g7Da@l#P*&x$TKd;#T5<*8eWmXkU-|2=AS53aPYJ$3n|sCMt1U2a0Q*G&%EbIApgEnwc^dYAJqDnms~C=9 zIf(`(MG5&}VRFM{-nWFMIVs{BN}&o2OE~Tz7D%R8k&B3!36nn&9N={+)%&Cys z55a}-9jU}ka{SdPUVt-!%1)&=?48Rw;0HkZuZVW=}4&*MiD>d|8%6 z`1&j326S{u#lANnP0OguV0RU4+eq)c+)xb`4BpqC`A57hRUqp^vM3hihU+tK)bg20 z1$;>?Zi`>vK>MX2_uFo}g%aWBI=?-x0MDHc&E+07%biLFTQMp#Ua@l;@tOf zYztb}pwyw$|JRacc>qF1V*?BiEL$p7!omVWnHy7ljyIK%vj-X=uXrN}=5*#u@`9q< zU&C4oB84o0O-`=NXx6yyxvMQap2sZp+%$|2o%&Jn|J?zSz z55%FS_u+fXYHF!`vxDE=i!B*zQ&4UGx6HDzm+dm&`iuN;ZY>8ZqPWnyc@I&$7yL0N zm(1Cliyl`VJ>*$`$aRTQialw=+CBpX|1%3E2=!!)z%XVl=&<} z?R%Ab+Qs*Y)(YK8u!8?`qJhC%YYA8F(l-7P%3=XFcha8H>g3B%Pi|!(J3GUBjkjC^ z(p(?p?q&w)%+F$RYI0JTlViCbp*qZlpD(2Q_IDFaf_bf?pXqU4fdH)i>N;xHPdG(e zj<}TyO|uKyu(z3X8`~xHizp_}P3>2|+$j?A?d3Z)2G(0#muG~Numj3l=^XH4rJkJ* zcnuN=HH#&YZ)?=%-s!P`PVHL%hI%*vVQs#FYMwD5Ftwvs zyfvP47-YeOv<38C z=|l357~8`ZX%33` zYRfu`+2J!h?=K9CPh<~2MzhDY-5?sZwC-|2#&TDe!dU87ORJsoCxuk&(y#3&E zCY8n5-@9yvTM%y?%S?$iI%7lEi1s8`c;~csJ&%-->crY?a{sW&D95wi`kiXa{~*8i zz12(b{vtlQY0U}-l(DP|}Q!rV-fW0>_PYczb)3wDRgjtrfi{F?i$4ot^c7o=jy4fIBE>82QO%U3%`I%w* z67;$qs=fo~JW*@^kwuEW?~0}L`Zw)@T2!#L$;NEt<986RCzLNUrqUZZP@M94+3+=l zVLh2L>sReAE)I_ZA}apZbOiP6S-v=Wl)DYe>|<(f^6dXYPYeP81P-g1dHOH`*VexI z-;TaU(mO-v{&H*;AxOyoQrQf`$)36dW@GoP=qcYvw5`%aQo>hdb@3Wm_~?XHhrmv_ zlAPBfVXA;9w$%qc0t^@8&|& zji+LY`_Xhp&SCgOA5Ck@k?nE4dvl}3{o|T`!z~sq`au~tP<;0<puz?d?aPFvYK799n1+H0R@K~%q{3R;-9KpHEfdSEW z4Jl~ly|J;<3BdTUyWYk=Gt;o!0V`@!VJxYm*tExv6)dBQmtFK}6Qzv$S#@mQl63++ z@CJ-KHpfW2_%5?@Au;17=g@f9&hiRqceYnzIbi{#Je;%wviH|4ojOl+U#_e;!e(T~|z2AA1Si;qi&Q;5kI(!vH&1=t24r4B%Ul4q4|39sJ3 zc1wBcIeDNv_`eYWBP2g$jUjM0v4)<}bc<0u-~a>s67KTqAxI^+%1yNVq<9Gsl+g0A zrLro=(9e^gR3OSbom8MGGuim=UT3V;cN4LR6rPasR@O6EEQv0R>X#Vs=wnab3IvK5in)0b+zW}bW$l>C*@!F48EI-|w;iZy zFA<4Bs_ucKE{_3K%o5W`uA6-bhe0=T7jLr#H}lN<9tDWKw_h}`X?2X6V)?=OdqTblZ+2E*2mnIT@q*GoVC*Y2PIUOE|l1)v`7}|HS6BX9Hdd>H@9J#Rtbowyz zv_O43F*d-YVI=56myK;W;&*0K>NpE~q(HjHwTsqXsM} zt3U-^5sC|X#$EAex5044j2H*8Ma+I@S5?DcR)#`21bWNgtBNF#oy-iV8-LLd|KM8< zsn8w;^vvcYxlX6t;}E%T2!1v(3;-h=)U!WAA07wPKugd5f{g#&8+vhTAyjz!`<`_E zhs@8JbE=C zeio~IG|XU|a7=k@%V;Lc-nh%I8V%6Hq(Iery0$;_!PIHqK1#Nn6ULN>JOL-Pumh!+ zCWw>CQ6$4_wbM5O9P4!8vdf8N-fi@QXJ}mH5EWP;j(LwDwfh^~v}w1*J>`keWbyw3 z)}#f|w!Rapl`xR3_HOv;QO9EQ4|lt|T&5sd3)ei!ErZ#K70yJ1JjXg^Q!B<%iXX|9 zs|W=5v#?W#6&UxrS(CY+h-P$jYy`(4=eQDMG!w3Cj_OE+6c>H`jQxdHgGfevQ1Lau zIc*SE`|NrG)#wCOgW9JNUan1aM>vBlayBJIY={&N@g{QV84NaMFA->4%rR?oGLs6_ zXW-5FYcjVdM4(Ce&9r1<($Rk=;@MfV@@!r6{zlfg11}&UmaK~z*oGS}|3vxEEM zrtOtwQ+!Zz68>B|O3%wy23>w^?Yn1qcU6dL1)3B8tG=Co`z|E>ry#^Z;MPZ*06~PM ze)%Mezd5eM0cEO_*_CcimZAk%!fsi=P^*od-qRJu{B<`jA*kdQl?%8kj(C|M_23sD zWSwF4O7Tl0@d$7(|65e{Bp7CBb??cDXkTh&$%V z6kx08d!}h|P0W=_t5FFx{Os(cApUZ7FqJ?+|ABSD@`d+PObu%#74F!X_6m~suq%B1 zlUn#^{mE|I^I9%0=5rp?mi3C-o+WDU5kjHge-+vhEP3K!Ad|z=k?D_tQIEf_F4J(> zdi1&m!B9b~-$;d$Tt|^LlKUuVbI~Jh1q!m-pILSwWy3yQTaIdTEao-K2WCfau+d|0 z%PV|DMyRfgIgz(}Hi=N!51D0jKxEj%qmb7LXZIzbuOZ5O+Y!#@$)~rVP|%TN%O51_ zsZPmZKe-lAk7zl2i4=Lf5R;~@Hw*bh25}p5;`~!h6^il-FNE)s;dQl5QAbatBm8>v z@*BlrW1e>b>qVP!Bog5?E-yYvK~RbzWrhcX-oC*0ztQBYU|N}Cpvo8`iD)f1-fnu2 zIv^XocPM1w=qU?WT{stnP&^CK99POTMa)`XGS14fozAE<^c4h8sBcGGZU<-8XGXfe zRaDzn@ET>yZJYS_FBrfC9tko<2XSe;pL?)a&w|(Spq+;bK`Tu$i~X99&zPmdX2J%y8`d(mWad|!4-%UIA?E2W#$p|?mrhAeT^z3VrZWTb(5i^u?`a9X?+^Z zXF4T*Dl1$prmB3GvS;S}!!7-Xq!+UG6nHzn&yZ?k*D%8m*i>yVCVuSEoOiD26U3066{a^gBlUd;YDbtc8r5EQ|iU9wD0TXmMtuY3=FOb3jT1+3m(;hU>BVszzj11(IZ%6x7QhBU-UvB|qcxA?5D+sW_k~$~ zw&1&yQC^P_=_;6JH^zqDFH-D6DyD-3RrMy-&&fX|tBt>AdR;z^wfOND)tm}AOl7&Yv2%fjjpUC2UR_>d1fj;=PyhA(C*7C;w8y;P??&|c#^6qr7E%=HCA^f( zc~bZmGgYw0&B-G(p%!{ma>!0*wDWsaEsmbTEIuvX@7|w+8Rg!P`3Fm2}W$n;k5s9I!Rp84p474t#?tu%Byww^B3N zNO*L-&;Zk!xRN)`BxoDC7A}pHei33Nc%tfI*-M{kE;T8#3Dqnzr_o~6hufjqpa|Z~JcK$r&_Py#c{8ull3=nCp zDr79Bih5|zlrdmh;k}BDX>jaVpOL<{Weudg@|oy~%&VQ=J!;zF3iuOY4qrSq`%d0f z1;R3dV85?u+{dr)O~-!!=Q%8XoInmpfIhiMf#FX)RvBMfexY@cMU1TS8K}txe|nNb zs{imq_OfYyYoglUB}pf(E6NJ_UEoTW6QM4fPx3zJw@~M=^>f=hY)|m@!-ml$v_l(w zx(e=~%$jR-^H{Rfg}LWfda4&l{fj*v!GKecCzxErBl;_Jp7`EXK>nsY3g|hKc3ZEK z9v%8rr#%ba+>_Lcop8OUgi`6Sv(|vZE%l<;A7teXIOuK$%YA|2f6Y7Saa$DT?6VCY z@D2PTFFmhe?%d^4MeuJRQ`&8DKz{IQktHIfgj9wz;J(NVQWS*tmuz-%gb?hJIu)Fk zR09wN@FT3;W|-C&f+!S}KVr<((1L;;dIjgTL$c<60d7#O)k3{NFJ{W5D6eI`(G{WM zy}yQCh_^(EvPuJ$KPymufnSr{9ss4Ay;mXli_+18m@}Na_@M{9m%?0lc-Zk}cflo*+{h97pV9uQ6LT$gg zE9;ybr0>kwb0l+GKbIz50)uIn;NdVeT$D3i2)YFI0#jeYS$jzsZ>vrj1|iiuT|Uwk zcg(*1D{Ksq!}c)*S8J;iv}DBxAMD%9?Y%7?L50t7$MIz}NgBF3VDD9kwY8(%r=pEf znUyb{0_gJY&?&c3T%6o7mv_&9xzI1R6<70{2KknKxikXEJOv0}JDc!_S#Y;CT1b0X zUVf*fjp>|5l9I66^wQEldO#Na<;{JpFY($NcrJSA@w;?zv}?03I5O^MYgrVV?5E1E z;Q#UfI2*zrSRAim=hnD&PuMF8sm;?8W3@8cZo9Q^YOiO{Mcj-g)(T5~S~Gq?zHgkyh};fxFe zd!JpsPVWF5uC$@h^HoiIE4|DV$0tS|PG$U6>=WUmj*0C!nrI{U;g`KbJq|L0ic$Wm z=T=7(nRVA<6?2ib&zYQz$Bus+Y9t7KC6It|QujPiRK7UXU%L1;fWZ%(G5(~YVeiks zWvGD!7=`-Tzsv$M;Bx0FJ%$G@6LA*OhlyNqR&%{R^l|duA zw?_P|WP&eZ%1AV-Lq-`0w%>UDw;2*6+3>9nMKZI?i98ChB@05v@=x%&tA*dlN{x&Er0OkRGdEc44ULH1fYuF5UU=e=s(m;W~tgC5%zt;FH*= z{LhFh5w~A3V)2iDiHUr|4%`jrgexUzuX5RF6qTdtazG#3VD2~&_3*{GfbEACO#LD6 z%)Ts%6cl|9!Wq7kpbt;rngKN>dK#&NlvBTI47-8!9B2gj*Bz0EhxFOokMlA-yGk(Y zugL6&WgpmAZx}XK$Iyp&@XKpPDA~i(hZ$3c=s4D8zDA-*0$E}d zBAhQ)4iqQT4Zz{1&N2cos<`U01OaHP48xNi+5)0YuXC3zGS0R{l--LBH9OwAJ3TC) zb6uK6ud^zeNknJCDwE2Z3}0=>=eVYu{P%xnm`YhRfFpgSR*`5Cvjlorz3^IBDpT%! zyrUSM$?0JiBL@VDAW@?MdbPG+Za^_b?lzDdUPN8N^5xD4GK+PdfbSTJ!*xJTkzW(< z_o-63+&E_<<=&*lLQ4!kL+3!rpzaUSaLytC9%r4ynr-stI1Rtulkt2{c%F(&eNtPs z_4K@T7&~(yWMo$dmh>NAKI-nS9fZoCB4#^<&}OITb^E9<6bKcqna#l1JuEYqRa3l< zckBMvAQ!^To)B=5Ek}5S9`zU;zkD0*va!$sc9Dluk%5!JJ8`V{AcF`0|G{*gmVW|h zhBVom7(KXzx!QkjF2h5yppgY_GsGSw**cxuIGpyr1qA|0`Pnb*JM0B3V8cg2w@lHX zBvBQDYIPIrEcm&#Z8Gq||3jwouZ+aE2?LcD@LF3DhQBVr)Yu#m9WGzTEX{sDh5%`+ z9oi97<+@8^JFi`!{3q!WFK|!@|I%CRPcQL~yd$uV3_fFJHg#S-wL^Za$qZ~jw+LK% z=hQOQ=~5=LV>h+lxuxxhEa)TyYwB?PodHE=UVW}MIFp0Up@D8(xby2N;5TBQqu_|N2IC`Tq!Pl5QEWI86Ho6l_3 z9PsR4w7zU_q)o^Cxd-WB-crj~C}dYD=@?1v_OouU0=4?q9(4j7UOx#NL$rww&Wc+d zp&uJ@CHhW~IHuwSAXK5|;OJl)gUjRRFd{B2;^jb9O$#ttPHXB-pUi`FfD}v8UeADt zKr(+|>A1i-oQFmtS;^#3kD?khcQx2Y-@ATZ`e?3{vJX1Z;>~j{jJ}nEUHT#!_)UCinNs&dbC6uqBNus~ zy2w86SVM=Dp|5UemDAWttsZn&vGzu@2tLvEizHhYfjyDn+K%42)q?-UfE%L46{I71 z%9d)6rF(!!1yh@z_L&t zT1*==&=JXD!W4!~k*xj{4q2NWlt*Sa{qakHYUFJ2$3UP9lI54*)vlJApOx|qAx>^jc$32l{;7RX-%BRCOv)XA3qmmZ4uE#MU00w#eN zHc{*r?wNOt<%zytJME zFL!pU{p`lN=<=TBsAlj+B5_;r&~kj!slXRvRf$QJQh$?U^sl`?c55!s?| znD>^FFQ&gDs`4;Wa9Si)zMtE|#0VI0fIrJK=@sgL{%#+S_HH{8k$EWmmy(5HfWQ@Q zypVrXq|>IkRQ9ZSA1xW*oe{+XUYK}19>X&M=y#hI4{Q*)v?~=q5u!0vm`LM4ttl&}}3 zk3D;rYKD0>=SYW@}T?#G0P=;K}6L^pB`*l~BmR7w4xyf)YLcqo$hWw(}JB9R6PBp-<&?v-0@_~Vb6dGz=h8O{@t8@ zKfd*0Z9!GVyf^BpiBNolsIGTO9fJ=?b*GA^sS$8Un~e=;ZS7-+WtqZ?2~ABmx|GWJ zK=))nwl-J{w9PnWdp;fKk=*gW46afw0Nxyq3O>F^4T}uXcUj-G1aj$^Zjdjr&Sl_- zWGVFQ^e0#?H80%_AIOc!UHH6RMF0hYP~SM1L;)`e>E3)-G;;4Rb^v!*ARxfAcMk9F zX+z?_l>O?M^`t18JC{7b(xM}JOrb9E$J6W?9NiLP+}v?EH*iFWXCwRbwFpQCIy^0m zLZR+b-J1~#B!{=>NfD8v!p&;>eBqKd0Ll^C2PaMyyf2Gf;ZY7y1Avz%HzPZn?o0fp zFa;39eY-xa_Hpj6PSEFTf~b~Trzvv zT6uETk2Tzcz->su@|5>xo#eDqYJ+9>(hg46WqtJQRrdAI9=L3?9hU|w74*voodWhE z=46K)wWU=bGS~!~xIqpe&nQL(&6=Cr>1v>|2I__~SQsW++WQMEV1;{xWICvhFGjit z#_4XRTng)lbR6G#pW*$c!LDKD(CpERxgl!=a$=;WHh z8d1Vd@C>-T=oiUbF~(M)uu~!91URW$u&`?FuAkMs-QuSHO7 zmYM$Su=Yl-)-24uzKzMsqOAQ1zjn4W9TXm();t4_np}M`;js1`KYMr9>I_p*1$lvP z`(kC(6dMyPlqDI~VOeMJ%@6P8x47XkZ(Z`*;a|gJzZ_F~u}?(F@vfoxvG_-`Ze4{# z+;_OFQDY(Mya!3#zC0gDT71F<5)i6&ciyYml&mU{c3XY#uDT#6I&92U~ zoG%ZFKXn$#3gCk<{{|; z-qCZBOXf<&LR^=lB{GEqPxE1)Y_HF%-*Iu+Z&PZ9+bm9MB+lS;^SB;BFYnFSM16MT z+IAs#`N^)sjZ5Jp{XChv^>Vv&mlXe?Ek)AU6K(z|+_q|vSL=?N%51CfeTXWQ({I<7 z6+WTshaUW{0#YanQ7T;w1ez1keoV2@3S^uFa3Qwf&1}o)x(meJ>Zj|{^b{%)y_h>x zq$A&~iLG8kLzmKtJQ~45-8Ays4|n!wo0m~_;{}l|L+&b2wDesWQ?7WVt0Xn?8*jlJX0{fbLbP&m=etTX zLpH`U$A5(lhE=`S?1Cbla?fZrD~^wZ*ZQI|FLKHXCT_I*v1! z3u_p~9R$T%Y8(9f8{QI4=Sz?^=G}?Ic;nDUOpfyDEEY zi70ex{qT}bWsqZ+gOAU6|JgPy#^YY-+cDq~5O+i=@q!zQDq08+kjciVtUOBHTZNRg zURs@@L&5(6@J$A66^w>xV1~smYMiUd-MJ4W%85w`FN3Apv5h&+orU#F$p3yQqad7h zVHSU11snXTR0)Xd){--mmi}yHJI-g2a|u5ETg+0ant0_;WO}8y`0=pf+<3Nf@y9%8 zCK(Cn)P4UufM5KVW;UXR*Zum756+GuvHofPp3}!PJYCydAP}rr)j6+Te3sq%cf1m9 z7ffyhcb>J)E^I`1CUg-6*6M_a-K*(9J=GI;rN=Wl78PtRODb8-y*si3tyJ-0xzcXqs6$ zQ*1-l4}W`&;x}1Kkn)}dZv%Q4>0n3fAh{vu?*>B-V34Rnvpl_;|E)BX33fyIZC=v+gd2)+IKW+d($6&IxuXu5$k@cE+DAu*0V& z8o+{S_9-1~(0DUSP0x^{FCeD)mcQE>j-(s0b?LGG1ya-Np6~($Oadj}BsPc!(5~{> zgw<|EA1o$LHZ1~Gp*#affQ71s{JOT#Kn){r^&9_i5YI~%wt-(WDC{H@tew*x^Pfjr_V6*_m8*PMpt#~TOG3f{ zCV&APB{!YBI7CKc6?ywo$LB=!p{Qw|Pq&1?(%tM;qkc@iW}UPYMfF>4Xztl5Ab_c# zmBn$h?yOKyEa-G*VsB6Ml43N3$7pRXC({VG37n=;;4*T`jm+V?Z%r=mO||}9tVppa zpP66Jhnjlzi*@bUz3-OJ(K#xHr=P32cymAuvPK+TPC)HZKDK-Fw09Al*wLG* zBO}%``Bf_SpOdm!7@ZK3a2ICOAik(#duCHr?hy)!PJY*J?2t_#Q^B5obYF1_)Fl-o zGE{htbl?jr;?099tJ)i7^H3~V5#C3t-gVLJk*8)zza#;y9?KPP$p>oZdcj04qvQRFwA_cgP?`uBhyAgHJh%;_K3PBiUkW9rc+Q*ROg{ zhIDOQX^S>MqYMuA;gEE>!3_dJuFv2%y<(%oj@_|svpcqJ8y(w5$2L2*ZQJPB_P_eu`#JyK&p9`_$knQM z)+~$~HRdwLFV8oEL1r1wShN~^-W8eoF=W$SSa{2Lx2Puj(S-AT3Mi*~2-1`!m7uHF z5r3J&k&cSz-3xBeR_jMqTDNpHY5?M%rri>j5Wxn)5ktEfHXD~;>!(zX4*M@{*=a}> z^kag&K-nKZXtZ1JhGNwD`9}-=+Ic5^1H(wMV+r*$4ma??YI&rSKfz}{aGX%Z!b!in zHfn~Wh=ED&Gg{Y<2<7=5ZomY^76i62$AU9jU`TG0U%$UBI7RbLKL;Xqz>ZLbv1rLi zF{QVGl>Fqw2Uc|-)*wt~UCVY%QUvs5VnVq+vBmTPNC5jd)4FQfX@mO_Iz;xL-0yRy zmwmdK1bXke6ED;V9~=XUBGi?*8OF*dLQOlCmqX@WBZL`jC(*oEl23yWqOEzMeH3Q^ zm2d7Wl798-3`Rjvba@Vl0qoe(n^oM!R&Iji^F@vJkfjFZT!(rZ=sd+LT{StKTeBvr zDNRq7>W&M84d}GhH0B)X9pxB3^)Tjne(v*)n8r{+^KNU`xLG>qVR_ zfAmuRMUQzHXGboSYA^v)rr03(P$omyQ8mb+;^AY?)mMMd?Y=fT+v(1fVNURKSQVMi z6j1rzz45ssX+?VC1V~~(72C${=Z?daCThy?p)Eto=buYyX`HgC(-Vg6;F1hBZS-HL zBY$8@tdbe#RZ%1d62*Y8(zq8X5qm!;vj(%$_H9m7M#SA$ z+5G$8c>`i%&L?$+Ab2|R3KCN(cIvO3TOpT&!YlR-n3=`{lu%`}jb^aZ7&&m(;dD-I zl+x8@9maNGD!HBUCkpHwQKe$)qNmcbGz<$5p;syK#n7@Ss;EY4cq46aAMvZuK>r4AC*~`Ex{w9A+kCDtSnSRz)q9 zx5gpCzz-Q=isY9X2#sZv^^Sy$hoNHNBj|lgk#Q@`pPs z=r(Xqw#WCEbl>sF;=V;Xw1$7nf=Y9+m#s~T6A7ZBH*R>sjIC5JP%0>a%RG;b%G%}} z1oA9Cn3NY@?nkvL^&D;Q78PAJ{$xBA;kjEmoQa-7XFs>OMq@l>sf)adJI8hFWyHkJDMvRoGs5jUpf7%a}BXaKeX z>KQi-AMp`^v{%>27?exYuFg8=-l5~XGM^&cn$K%9KVNH*AsiU83I82@}pM( z=s{*yYqBX;F)~$L`PiwZ>>X6F>fzy^MXXQeXQ ztI~W!a;0)LG4kWHvh@2F?X)4UliD|BrROj05##BhHzJJW@^4tXdk}w}ujlxwM2=Kj zdo#=;>HL>v(y&0du}QTq!4Z2QMXR^$;d1b>e5qZeE0VuhqmzVcVSOP2OAVIe{_mWd zFE(8$*&1?QtMZ%~0$A1{VDZ~`)-kB|TUTkDYNwudsiZIk7IGYweEuG;@%e}92CzEi1TtLdL0^9gY05E1Ln=r^+Ec^lq+G#pLRvMq8Vec}5=$=1 z4^_KOE}GlJF<~ra`7AN-t`raDVVd>?&sw}KQhg9`FNw0<64@z~7SAb5l7ZnJFqf57 zs!nPoHwD%{T}ZrPIirNZ4+9H8Ls3iSG%Axv)Tn~OQf(C^YxD13zs8%jJzjCCu)2`Y}`%*nDnc|961`(9zi2mj(P$D>nt+ml90Nm z4>f%%Oz}qOagpedGKB-vvs9kmdYG=5FdkGQiwVb-kcV-vKXC<4M&eh-UM6a1=J$*G z`|8}-nt65d%Fb+$kFAo#XA0!E-Z80ophi~ScU201e-|7nlnr;TRo$Yx*wK`)t*DnT z{V3E=BBWbzP|uSkeIB~Ysg3Ro3n@LZ(HOag=uoo+BV>s*qpU6v}Kn_yJDKYw1JEGt{{gMV9z;& zcd4d*tkDms($rAJZqUz)ifAKn0}jIazC1!7tek5Y%UFRf{3M&&h=Fn1?SpH;`41fO zO~+Io8&%~EMF2y4#`2Lf&eHF4pOKD$E|LaioZENX^f?5KDZnIqHWwB*kfcjvoiifz zwT9RE_qrJ0<6V77^w>&vv7Xk}w6wuUw>IxF2Qi#x6#e=yFJb^in!W2tQs}t&&zdrc zPr2xuim-jf-!Fx&==B%%AGE>I9B=Wsx^Qr@j!+|32u@+4mk-|l8 zB+s@131;i)mT=HhH2bmL@SwNDKTxh_jr%KL2SSM#z3)ZnbN!sY+#vCCSeZQM0g9%L z-`gW>KNkd{%^6lft%wR9?zO1^p0>YdAM3aEL^Ob0>qN-PdQ5}iW-hBTo&rmsz$((b zJzM8O^k3pBZ%l$uyy_&YMdtIE8>U2`%91{`;SX6jz!=>88ra<-42tW)jMgw0Ow9sq zr)<`sl;nS@(c!YyHOk#LzW6#&b}D}gX3)qhV~;2pLK16=mS$<1kJ7f!Af8pbw}xTK z;cweb1s6E?MO=P<&1aA-yvVT`VIlXk07r0)3w?Q=u}hm;Z8el5C0!fro3byBu#2-_ z&)(`76a>#Br{wr?4kO{0wXnJ~8y1f?SZRpzv>&9Bh=x13-+I5hqL^HqZkeS*kq3eo zd$xC~@E#W9oB|;U1Ic;!S=VdpSSu**au>!r@OTtqNh8#|c}XhC7rV+7w39to%W<+gGgLhCK zJ@iu?n!Kegmwh3U%ho4vLpG@Xw?(gfNHn*F$om?bgeLZE;>-R!qUoz~?h<5_qh>*mqsBcjP)?4QM=W7sW;j?xmk!Mns3{lt0O^i$ z+PWFh^fpNS=S?QqH%(lUUtL^z_8&m3*ll|<-Ne?{-uJc%OK-cPs6vTZ-J?qw zoOT;`|+D9JLK&PzEzu={; zvj!4)TOhXYB8fg03V-Cpq@_8P%H*r76rP@#hlL*j*+fQ~gsdHB90A`ImQ7~;VDJpEEGNJ9fHk%;iqzefhn8ir~{-k{Q|yi8vIng8<(7+8(4226bpQR~(H zRy@v|X@d(y1~(d-J_G(lT-P-`%J}S%e(kdA5Cp?ttT1whme1z6rR%&u@M5yGN-ibW z?VjG)--#x;W+|&!L9xxCNETNDeoLJ%BYf9KkV2nwxw(LUkgZR2Q;}9*wg5;j~~QO9KQ+W=i37L)#Cn-R6yd$g;PIFS*ty%AguvrD{pSog{c^ZCh)exeEqF3%T-r)@2~yFc(|cGEDHTwO zIpD_HuR^8RWCJxxH5(j-*Y!p<|PfQWXB%ipQApzOXARXc{ zH5(l`W>X{ygVmj8?%71*kfh+?Ckx&Gk|t=GwRBN;G*>(av#IVp`w{jR1+aX(Il$p- zal*@6NJwu9J!ajsFBxgIgn)}q(`Y>T$URW7soZt0CWDJ?1Zaky|JE`kN(Q2t=E%er z$^4RPu<4pTNC5uXnzji*+MKf@*JdADPHoXHu+;y$3@X^hUC!d*P*vol2j|`ukadMH zu!M!AT3>M6NiBOfgU!k_E_%H zTg_V`H$MVY(A-ZUH8H82_ZB->ahmHKi?rCTt3ZFZqKGATQLzq+mEa_Cj^9`H?&u^F zi=mB|YOVp1qrY>AGusW*o&&ilCPM_+Vax5DUsF;{ri!NGpkNb@=9Nc<9cxhxBw5X)Tq$tlY&&(YIopDUX-_div=nSz4HaZ zCO+pL4|XrV!NkJ?&%P|5v{Sb$ZSptN%lksEDiLirNd8Yo#(P-k{$DencnA4)`;HCIOAxUDi4e3;~y zm?^8A)-KLx{9N0jt!dRFq>=vXYHv~%ICg&nJGgl|Fa~4^=$4iSiT&mkRtdCpNpw9W z$rW@VZ_RGbzzTvEV>}usI-0N;Hbyi8T0Wx?OSQ5W|D_P!T!VlSIn6sVk&>fTy?O|E zA^!;h3N8xXLGVO8h=bAC-voN{q4{E|q$^BSEt2KxI2VHxZBH0w(pbW1c_v0zIKMtQ z8&d`FL#}Djgow{0=OtHDzWMMkG)h;GlKH4Nkszz-D}Sb&eAu?42fa96bc>-Tm~TGw-@`01HKpH)3Rewc_W>x zfUso_+`oW^%}U53Xn+7bDr)NxO1KF!3X6gkS0*abCQgu`)X4! zPfr?sKlC5t#tdXJtNb3cE^sF3IS>H?O*4l3KPT69;CVRKkAVyaj3OZI*MPiwbb_TK zvv+-9H@kC3mXG~CK?*Mi5(h_6CVcibCGW8nbopsyyib=0B^OvN>iz=Ut9# zMqe=6mE@qm^E1>t_W^lp1n6A4U460T;pfrWlaEqkD`RBAD!iVK3BaVoTahRq2Ng8l zzG=(Ki~XQS04{DdE4U3BAB)G|ZKzp`RWV5xTwf(X&lCKLg&rxDl0=boctBMbe=>34 zzDf!r&6D_gBjJlZGLcj{Y_#KX`>8ZG_B@SF4YPmz-9$|37x;pSzyznB1=t_BoGMzS zY=}a!+Z1c#!#OW;UtsZS+7p_2z`y|(_cVD?MCMKKO;@2yG_UZElcn)-UPYf8`kloa*{Grq8 zL;n0RU5Wa8Zs>Q01%!mXbXuXuXSgaLf^Le;b%%}TC5y2nfg;v(%<16vtA3JS<1Etl z&(nm-&!%tzl3~-H%8Mib!2#8;%|>P!-hnmX98#75=L*=zydibUMUUWeWbTj!K!Ul- z*yLZw?j<%(%Z-uZk&$q(g7UDQ0G}PNme0Yi_CYb{S((9z71*_V?0ESO!wb~i6$hQ4 zk_alqyS25-c9s6FHjDfxz2~lsp{Tw+UZPhOA5IkMpKLUYVb;LlwRWythR=Q~l0)}T zrdL@d&$iFHapKu>2fxKQ%|if;<>YTBfN zTU=e?zF9lvQ=tFXg^~H;k01Ee~ zg(mqgZhZ%2beg?CQz}_x4gxYDmXK3ioA&vJ*rCz!M6!nO>y;ASyuBkVt`L+%=}(I^ z4A1=87&s$D-i&lT{04)mzN=riraae1yk+^;&VQ&9`)4Q5O)F@7SnWCP3c@+GBzpHf z#N)YPAWf@RuC(ek`03NWgv48eTz1O>?tQ?IzJFr&pLtvdbpgZWwFfprY_YM$S=}t= z?iU0>p^xemVUAA^b+>4UA&NkeRjkqk&+ag?V9Be3DUl$B`A% zP@5Z3X9W?x3>t8Et;XwOSZZwH)BOYUMf|~f3RfWFHUn->PcGX%wd8lRej6cf71^&9?uf7)@v#_%Aa{}QfO#k!Bx z8|laf1rL7cR9PMjxCTLbW!F&YDAzlsX}h51h7Eas)_ySfizJ4B^haJCxtfuxJh@*s zhVeRrD0IZk;^w6Y>5>*v3#q)I)#2gp7r21f?Y9r7=`*hrER0LY*{E00l6Kb>P_&WV zpi4$NwVcwyrj%M@9Lhjo=zGoxE<}G_6$Gw}0J#oYgQ`~{bV(8fB<_$bU)Wechuih?b@2TFFYD#YL|Jj{37GN$N7cefD#9_#@={M3G0pB zS@?00#7WvRicc_mgu2cAe%gn8_RmAnwsrNf(b?pIo_>BYI8pekqhq{p*zu_7gEBt6 z$W3Hg%aq$27wk5WJOPb=phc*{!}(Y9G1m&DO1n~tqff^NVaAc?P4`rna|B4{p23jg zE7mtR$B)Wi%%)z!$jNdZotB+wFav5V5U8Hc%66~@LQ8Iv);}5M&J9F{Em%e0b~(=B zG+($$bW6)3H3H1QRC|^_UXQQE)ZJD=Xc(ZHB$eH$fK$?{Q7f2!`7&b*`8rm1bsZK* zY%{R2If!jc-z=w%prUOjN8>vm^I;%YP)Ab1zv*40K>5!{Bv6+r3qrnD?(tr2O9ehG zky&99!eAhw52*X_HIVfCM`W8usT_SR36Ka> z-*!FfnKaIE=^~ruxc4Q*&bqqLBW9h!ujLQ10(l!#0YXGLF_UFCd5&eFU@C=u{~tTEj@7atD^wXyDoNZ z^-Yd!{KWx?l*@U;>qpTm46v?R*$Nx5WTI*5pV}COSrERGRwg2yos{6j3`Lhk1nP7e z)Gc*9Y^+|4&vmIyU9D!gG;7AL?kSiyEab9tR5n9%G_?X30gUA&;4Mfsps_F zbz|S5tNMqwgq6>?!O7(Sh8;WLP~n>*@0AV^5YRh--P2a~@3i!eR&56>GbB!yB?Z~6q0Gg* zH12A@XGyKGsWtZp$olv=U4^Q+^j-3)wsN+Jrc@P7wE_uF88?G_%TAE^c}>xteUvJK z9pgZUOr3@MSMd|8Q9yM^DXXd1E(_BJl{wPd+t1aWn2%Hnej_dlO(>r>$5W+Xn2Xp+f8$Iyqp>V zARkI%PyRn{#(taFAFU9?5Q|5NrTZyMfjwG;De+|3yRbRyv8_BKJ%6hLWY{K%$lGZ{iwpOp|EE=tLKoEt>_-?USwLjVKo=}0Kt1Qj5 zsxI%~4VF;5mpBqmMUAs(=0@}41;27Vc$)+VL*~2bWGpW-5VV7en?3cr1171K;Aw;t z3V*Q5wyE!clrB?E1!vil(=#7Aj=~JX2(3Nkm!mm-PbC`!Y;2*ipCqTYp zTz7s3Tz@!u2v8Z15jsp!&vQgS8X!sqc7~vNp6|P?Nuj& za;mfC6$6PIf7=G^n0dtOF#kp$J0MkB45;zw@K>b@EWTaFt8WenbfX2gX?jdAGioq= zaQ{+7#aIeap7{67*$y~dRt)i^PU}6xe(B`Iu?A@zUW`#C6Rx0*?d+KB3p>>=7ot;v z0&E>r&Op&#F0|yZYZ9LLPek(#lqEEt&Dlk5mBv_^J(H0(MDaqaQ~?7O>?D`p<5k*^ zxJC!EW17h-iPbf?>f+VDK;tCo;H6i1`qcZCLmu&sK2&ue-f8r2j&meXc6a~p-exeF zJo+14?jIZ*z+HD6PHUPO^(@{ElCMI3?yeEj+kz*En%eQxQ!M=7E(=zk-Vwil4V02z zTkMAn-uKnY^+*yfhf$~n7N|($3CCP+%P$Hs(_@-E-#=|zd=E?L0A{7}%vZ_U!8wqv za~8iL0xzWkfDTc)HKhkO1P_agEsrPK3`5gAPe1!1Q?aLr64rfyz;%Ox=as!{H#yNS zLfI&QO`PwAxw*M~53Fd0Oc#6Ccx19`;T(K#a(?{h2>^AX&?tLAPJ?(r73LLq7Qc{y zmPK7hC438V`U?Eea-klnw}j2Wh2hr+f&2&1#8M;YVG^dXdO4VkS`y@34{A>(*O(Kh zWun#&4ZRifEF|~n3%GCtLIP19p^Gmiz)Jy0E&_B$tmRdA`bRkQZZ`^WO%CN@&uv_z z*HG!-xT*4^`3U|vrl)WV847ION@#)f^3n1>7JydmngjLanT55$I3);KEG?2m_bqdh zUMC&cdQAWp<>H8P_=%E0HB1R&f`6q>H%&sPrlCOWhLMSfpJpvaz-!A0PLJ^sn2jgr z7#zX^;uHvMEZ`1GQaIs-uotUH5*6POd>VD_$fz)b#!q|Uuv^ITAhn_f93F=x+!(>`^M{%QALrX&s zdBKDut?b;Oyo-|vZ?kUFvAJ=}$igruj=@3>gNz0+0r#oD0wsn={whDii=lWu+z^~A zY&8p1w|=Jwk05<=+brUsT-yw@l`C%l+uY`|+%pXcNc4f$*LL1r;3>*@5$E%S=;RNU zH^Bm@ar~q@f=6^hvgXUx5`mkYqOuj4Y~_LV`^l)=ro;C*SYOr67|`%RMT3QA^yM7T zJo!PWelRuDGL(Y;mQH5#U!WZZubKch*zYY@@skj97v~wu%g*Df!6s(SgXg$)jEXdj zRnjvreP=BAwO?CJMoI=fl0%4Un{IOS{?qdUVt>2~-X~HZ;+@NgNtRq?H=GaG4FVUw z1AG592oX%vob-$05|S%q4Kd75C=XHF`j9GpQGD~@o%*597IVGQvDrVUO}9WwF$s@OG&dIG@p=g5ro0>P zD7Dq}&Nh&!R5%k}2Vs;a)GEx)^Pn~A021Yq2cC_zI+(eULAo*&cu+Vt-}6Jo(tN1tfYDLhjOzJ zwMXzD#<&hJsAPXt-mMO8L zoX$dtrBFqcfE0SfE8n(`jt*^`%jHj7?;)AjskKz&$BvCuc3T;0+LuX8uNEmj)wuIu zVFw|Gl>ngnZj5c9#8xMaLPiQQMcQdLoRg|MGA9fW?F+Nx@eu39j7gTzaY<0!Km~(0 zpRZsnS%<9~YNYPc+`x0^v8wm#4>imcj$VH~my_t~XtMJNan{i)SOUb;<|G3&1GcPT zq#EFV^mIKn>uGYmyP<<2M$uL?WERrq&`_CZ>d7_2$e}}?KPa|LScAM>}Ya>Uk|e+IxM31vFcOXoV%8*>i1T$J`~6<4f5plI6Wz>F0F=DoaZ7G~o(p z283QJu^_s_{+1Q>sQ_;yM9%YDm#2<{%ae@CA8%&=ijhjLOe=MN`JupZ?`pmTD}&xs zPMOk>XAM^EgN|44;8)8)pMS*vc^ValyNiv`qoR38vtwo23FY4+fE#Brrj@fPIl3NW z38F?uv;*uv&_mAsCD|C}elO!5?tZJ{Nc?pe>@xysp=hQ5WYZ}_%L4(Y-onw%m@n88 z<_dP9=yw|U?Rp^^Bd2XNU|8~LH%=mOZ-yDA)2&{}e+n7ve8dZ) zaxZ5W4>4F}+uDgQ2a3?1J4vA*FSji_&`w(+*oFGog(x;=hDQCXgJH48c?YNcQkX+E zfkYOCcg~GqeAh{wmshl0ZqTsuZ*)UGelLS!IA;f_V?TIt2lEdVtOZ!u5lHoTdOgN$m)$ z<7PIZ%a+Ut z;q*WZfN+#0XOyy4ADel?1oL?5TM7iPD90V(qEY2d%U~uO0pX3ra0r_}?rI?4Srdpcb=-KFUQ^5F3f4l*_kv!UPP>A!F!+7=q`y+SS(j_((+imy zz!eZF8IOMP9Ry5(1cMjmn>O$6=OfTeQq2eTGpSYk|0dm%ZFKSQi$AuJ5!;g7oXTBZloB)mflV&Ux0-RKhRpv{LEPEz`m4|rqV=;acSmL^l-*5tPGzZN`p z|12o3l@EMV(cCVbJ2OezpV#(`R6Mf6MvsE6WMh8(DvWwsO?KA&+QSum*6VqL?%*D< zo-myoabY}K5^McDaG2~z*?f^Jv3oVj36d!z_(wBk)I$HK%5B2z&!egvLItQJPO96nwHQ+hQlt*&dT%by-3X#%7n<7X2fyBqr zhVt`XQf3c2hR3k&oe@SgHD3vmjO>?@VfD=T9I719_2@PiKbNV3)H(1DsjAav^{979b zIh*~_b#T@GXv-Q=5t}Vw7C`bF#_msL+C_mw3kft?}0q;I%gE3s-+E>X&K=tt6w1_%XZ9T*T-&6bP=8!d! z`3konc%e;eYV*s@cgJe+bq+WXk;tZ=@LM%2=%g=ZVHX#Kw};vI!uE(1A7~!V@wn|A zf*2)Yn%5K-LH1BJJPA_0?_k2Zty6576>pvT-wag}r7Y$YL#Va!FoCNV8c3ZTl%hy9|1qlG0ELh?*$5 z`Qx`MgKqVm^rR3`fA!Ib{ZLf8Q+KB9;iS=-wH{*LLW4A5!C}4DufcE9ax~&@?A_9T z^j>^iSJ;hzXaG|;pa;WuF|iGuZxgf3Dtt~ArXhX|1(DfeNAqz7f`5m*B}SCG3;n#R z;`!huxJq@&xwE;wGQ^z37`^<{9VLf8xyRdr%_h$D8DVqKYO+f|c zt&hKtWl!k1SF?l z0~G_g#UThZ5`9N=#xvhBl~=u2B)0Hi>+HA$uoe{OlGTPTxGTU#aIvD8-jo{MOuRL*>Ke8rTS)|Qg&3VmzazgmL6F@xzVhrlgZ(sG z8(*KZk3t>0pF-fO;0aCtPwS~k>pYaHu220bMAfN)lW$p=<~%|YMuC4@USoD`d$Yz* zsfATC7o*CU12i$Tc~xZEeIY#GeSX;EPcfX$Ss_aAJNL45xwnZoD)78OX@3S=ojSUP zfP5gV&Qj}HlV6hYw~bd8D-|fU;wYc*l^1AODMb5c4 zLpg9`x(};V6cFA?(%J8oeJE*OcCvDWkxjjHyE1%DCr3E+L_$JD5Qo7ZmHMNBmE>E& zLPxY*oZ*it9Mgi6cyNLNdxs@15ib!-D2RXZF=y}cSfh8j;?dkMn4(-8|Hs9x=X;!kvsY6Y|g1-zpV;L!d)R;$n~U8psK zq?Uiai-!-K*NmiE?*d!Uw46Q1Sp_&1xlYjC(Rxt(7QrKoxDc-~*7P54d$ojJ5`VH* z2~)^yy7jKxOeKA88#DmECHofA>S-~?PR!DCdm!l+CqrF!462g8MNI&yhP^@fShu~- zD!nW2=9n0!S~|(jUe2@k3ytC?Xw*f!sRmfT92opP`|Ul$aCe7$W`>UBNLTG2!6r3a zcCd7d<5hznV^2Rc1goO`Fn7p++2ubnjM*}UCqLFvzV-7I>5s&YuVqX@BQ04Bu~r}t zPl40N?15cBQcLToSYcN=zj|Gn{!J&ytksKWX$_I(s@c!)k8`6b*(DXfq{>voyB3CX z1XeIc^jJa7pr-M<0oy)+fFoP}H2*oAGLrp~W9y(-*U((u0R_j-&;usZnMq@t;j?TC zUt6wd&E#~H^q_JU-IFnknKORLl6x_qqjz>CM*k{HY_*JrsL5?l;?e7aRSz%^`U_+9 z%2g7IuFI4_KoZefOJ>L|hI`x*e0i-#d<4}0N+{>RI`~}{nWhyPY3?j%(F!H<%w7qV zZ4zjtjX|>*IECkWgdoT??f&U+*|TuU{@%g>rQu#k$?Tk=`-u=@!uk{kZB&Pd$RRCs9@qFQoiQa&nJr)@8r{08;R zXoN=NT6YV<>*|0oYt`+5ClBqoBOAl5Mhol=<8g%=OIu1@l`q#a^$7C47G1)nPC&Jb zFryGu_S||%IbA2~tJem=YEVfqCdSeO!=2G*DwXJI)W-!kNNsjW8nX5d4{YSLSi3mM zt$G&Xf7xCcTaVimw{A$VLWm}W;c3hdViTLBOSzdgrf5dd+t~g6ZYDeM4I7qO$IzQR zf08zr)k&Rq;Toha7DdV5IOaE{Sbe4ibIu@*f$mbKc;5YwosbqeucF=)V%!RGr80!B zC57VI+c^{Kk}q=W7dzx;3{bNt<&d zRzYQPP1(%jnAL#)oq1iOf>>TJHnLXOV|VNdES4G#mFqy`)LZ6J8CYHc9nEV6?&;qN zu<6?w%QkX(LajKz?cuKI=*nX~wRetmVB#UnAKezB1iDb#v+F2615^+#y_j#gyudM{ zFY8X^YpW72gmJd7L}DBVRZ2fRO4NmtH2!^+n6GV99TD^7P?I zJ!t8^(@n}KZe;KA4}D`bRfJ2yt^Z8+gk^&j!$-n#*xxLCY#;lDd}^YA!oFgMd)|?8 zX>e%^eEIdZv{rM=Ea!u(QrstGwmqqNPXVA+zLuruD=*ODgCfpLd04|$J~Fb;uvA!{ z+qO>=^nsPb37p>}9BCZevf2_8SQu(fu}bJK!lrcdDZ?GtqOILmV>oHMBh&t8#o{KR z*87N;s^Wo^D;L=NB|N8VzJcWi^$4fg0gY8tZ>c_dTHivDK#s$HBGJW4bmZ#Q%{mHL z%!3u&gJOd{e(k*ZEQE4Rbj|5p0~IVcx_rb*9o_tu?JeEE#@=2vnuc$GlftaIkOs!L zzLEJ3+wmK#Q~v&Q3?l+~l!QBH?SK0H85KqdPp`&w<%-YQL7-O>XYKAUb*wa|@MyF; zR(hEM{fCDVd}0ieRZB*vImRdHyW&0B$Fyeh@9FOz30jd>ay#su_H-;v{> zLhBnLHvCn5Z28|L zUJV=S4~y@)v|R?Hfw+!ur`T9lEk-_*Z~Do-KIQ)KH#2)#Aw~`AjdYl8rzXb3omCb* zeBN$Gg*=o`gZMtML`C$}SboXsuC6(u0Y^Ju?)sByX1qM$dHKQ(D(rVtVy#E1q#Awy zOluzq?*BKO;rB-IjX=N3QX#}`L}v+v%{9oHw{vBsZU3UP@cME~yF0IiMLd+2+*H$7 zSVhK9*Dm$>7P6@LmGbtb>aTSI5}G+kj?QuL7#*f8sz?2^!;FDZv8uEo3h|o^7j}CG zhk870bT8XaNnKF4l%`3P5SR;Z5z}}N@GFP$srJSV<_Iejwb=D_dfM!8ygcoFIiQtl zD6Q4m$7Ob1;;dhMQcyn9(t$6!J-oNmn=6&t`hm*&z3G*F-Ko>oS80A^!>1o>g9;YM zPrtfLl&=^Y$E>Qe3w31nKIVI^EH*Ej5{lFMT>p!8q>*0t6OE*l0~?zDeOoz&@qgOw ze_CyHl&0n>%q`SI!Q6VAubH=wAyrO>xeAB@Qi`GF2`?toZbU4aptL`7bxhe@z%MDn z8wLFHI;2oRl}F!FFX(H|q!i}jLS7etSuqzG*Kd%T5RsLDf2~NyvuaJ1$OB`irW?#N zOsBO^iW$9J)IZ7X4v3O~gp0WT@%(xyXsP|HybInQsuh`4k?sqDEsRwEv3-vXPgodi zRkS>L3R?F~GY-2=awR1a8_$C-OSy|~&h&=B;k6?CvwO!@)Q^P)HG|9<`sophr5Lke zEY2uY}ye~>PZSE?n#^|)3Sys&-CYD!iU_0vK*|GLKAMkkYp>_iSUw)`A^}c6u zun*W$Zd`LNkM2@f4)3~UGInZW%5K5L(YkyDZGu25$Klq4UJiFFFJ$zJ8x+UZj& zCaBgB?0)cT8S42NLP4zpt(w^AJMc>$gxDJXc$BDNrkE;HeXoGQa>mcGqx{Mx`n&|^ zEz2p-hu{RHj=;+?I$ygqIj`O%JT(DlU6EYE(*gP{x46d}j6(e;O=XY0)=Sph8&I0$PV_tQTB zrcL{9D3O_x3b!^*cdfPcpUOC9AjV@UpZUwUraVS2F*lb|1%EFYV3q#OW8MDutig*V z+}E}tffxhHREs z2;F}<3>K<|;M-=ZoDnaQUIC8>FQ&-Id{C$hOI#0(lPKs!j|K+J%6Oi0t?h#vsWRZF zCr2UJ-4gz^#`v%ex>D@H7o7%*hTC{SmB|#_fcf-7^;HO|!TK^f7_}X3S3+-y97RbH zkzGLmVN#&qGp@pRIF0LMz3qalJ4N<6a--m{#NH1Ffy!%to(RPrerU?xeGsQ6 zXS-+G5KDJv^GID;hDH=pKY&mtl1A18A&q=sNcO-85BcfY+9aF&Ky(v$ z@;JNlUCu}J2pdWsDBe&H(Y(VaWOHp+?*$IOtkeD!*eW+u4}3NIuN@st5OUEx&ssJ* zYwMcEmHkkMXeK*OjLV=a&2?ng87o1?)}AyPnY!z{*%4x`PK9l}mh!e-@~418Q{ovjnUsGf2*>I24|nUNiQqE@Y1waVuATa?qp zR(}ZS(j97xsJKyBGz!dLhuPZ4^w_J z8T~Fz@c?7Pd+lCjShYdHm%_#8{4pTt5{YV$!9Lk5!so8my3Vp@&R@eu;b3)-QM{++ z*ROc^N!LgG6Jfszpq5Z7+XPn*Cx-*rVjg2)(zMMnzSmaYu+g9Plv6C(sA$IJid@BG)TY1IywJGp0MmpYB<$$hn9xMQOX(Z% znx8;V*O z&k)LkycCp|%~L_AVw$d9^5HS(U141d#y8)v+`FX#gfi2y&=6R5`03ZT46AWgo-l^DaZ&Uc0X@cQ#uaAmc((+i*12 zAS>J7X5yrCpv;{#TIhf`k&ZpxbPEPDrmjCjbOEO25)KU)Qnn-(K86_55`ojSRfMs}!?{8MX2@6+7 zhBe3}Xy8g@lq0hYkLkll9w(wLuXHx2^kJMXD45zRsD*Kuag7egNXt5lm92YH$C$Kx zyYMh4$ix%*>OHNLsY-h$g(-E795&&x03NmZD38S-O&^>K&2CVUu`Z>rACzps~aXglnh zJ6fQkv$U@gYQtAsr^?&VM8}c;v{Y}J5)zRK1{cm3C806@9mo7@6cYrFww=CC@~1Ur zb|l#d>1gOWp9o&zF*wW zAJCiA{_fA(Ywf+(ec}bnE1nP^HU=|LTM!A{XAQy#x%VD@@Nik^i^-`h^LB*MAKb$V zVFsa`^?t4GkYcU;1@5=5ZsanV0+>18Y*<$wbh6GPT1r-lYQY`ZF@YH7yl>H zJ&H&qR)U;*{NHhI3>^VQ7-B;ak^6IKL8d$54|S^`TL>`vlOUU@7$+ZBJU=Xm?-J{A z)nX|!N&|=_Id5cLJAKq~&;iw6e~*aecnX$$8~|JC<&u}- zsBr33$}+Bj9t=h`JLNizXyZJG>fkNLOAm&_4tcG=fbRWxq{iKFI935-sMTkWpIMxC z0S;jzkF_I@aNPL8AR|3Bb<`*NE`8tpOF5;@aq7es=8N{6X>{coXn+i?1U1b|786yHxVey{GD((p#YO>B-!~Oq8)2S7R zmL9t`n8NeEVNOvw2ls$NmGzt*$lVsbI>1jyhkAemO7?E^{pnUG1p9+*m$^SmMe=qP z1%2eNJL_J$SCm*1eSd!4Us%cz`UWetA1=@{3mh}S&=GaIDO5gw5oR@ddRj7K7ckvb z!ElQ+CTz|h5TAH3GSd$9bq^#mG!c{awuzE)%YMyRA&g0w!- z6@|BNNdb3K`@^&vpyq>TQXg~Jfgu)za@-o5i@@M`mc9T}?_nU_Prb(--gOwv2q!#h z8ts2B1z*=FifLTf;w@-@$}3Ct|28V8S*&fHR96fkZQ?0gByafC5`Icc@T5MD6&QAO zO;|;OyiwPgW^NqS5JK`i0zr}gft^PJyy%(F8d)pLJv(Av+7M*KdY?zxbk2Sureen7lEs`v7bBM6qYuGwV=^axmi)WI zMI2F!jZ}GpKLDpuc?i>QeED;rC~XRsXq8cQJJjuzg9&9t*hh!=R>mvr{cUd@8q4hH zObEn+C7OOfG<{L`NPsM^2x4&PgfeGM{5$ZHuSF0V6!(?l=x6xQ1%{69J~s8dsb zkWWtq4{MFBuW;`D* zK<{b!g#>-Qa+*oY?no3NW?Dt+^lSuQ0p>&?4eJlr!(mTPS46saO6uP9=U*yav{i^7 zkDGn$8yL-IzHS3k_ffffA1<50`vQz56Aw~Zr=MQSvtO*K?k)9Xv~=@mao1{{ebT%l=>e`Jl!WC`Mi)s2V$qK+s^3;&PH z`k#7+-_!&4s0!+C2$US!27>fyHqL(yUiZCIUscuU9V;_Oqu`NV1$7H;&-h%kE0iVS zy!@SqyLef;tuNa&z5EKP?s81C`XD0nt+Mm#vQhVcp{H*e54!uIPUc7TCxsj*vs|Io zfd^)3*7`fKavzjbUL^4edP~upI;+{a!ao!FPT*1oYepjHT+_LIfRxp|CHO-LBd1O#zWlQjit;HP4Bw)$h(VZpw=I>u0XKO*oG=Xu*+kgrH`55MiF8>y+*=_d~ z^Gmq+^N6nWj|{0l-cNpvvX~X?ZGYmGqDb&J$o#RS*Otp+2^}tg1V2+HrK;hGiQly6 z{K!0JUcUVS+RkF(G2uWk3^do$`z$#3XM8)d?X?BAj@$8Lh59V)pXd$V$Wd2Am{ZkX zV9qr^Fbp_*e)#pypFuQ{`JjHLk2nqEv}p3ba@*Q0P5P{B?W2Ni%>r=c$}-CrxpGQ; zCTf$#>^~d>1)BtKC+Pu^JEDz*_%Re4l1C|c3MDwTnU+O8Smpu;Gsn;%POFo1$7B;u zz{B{2$F~}8aJ3dl4O?BPcjlpP%21d95?8I<2CNXWvX*o=WW>UMAkTbk%({T2V#+;` z8lLppWK1h1bj>DcMLg5Z0_m0tTsrD6B?dg9q(E3QK%0U3%J#uuvT=!NrP1md!Ac$0 zl)-U)Pz}iqUuG<#a?8>mBSKMDWv}MonUVNK+)&uEVyBn0W@5L;^)0QP**IE<4rYQZN5O%mnxv#-oZOep; z7>l%B0Z2jkPIt^{bClcJEq+kM&DoCEKrpG}ZR-47fm&$}IOFcw(lxMrGUV}0)mf}> z8N(+2JzfrvhVH zng`0vT$!p^T-BJgh&JQ{WMoF{|vujAp{t+W|!ko0* z`=s~@pI9fBA#$Qz{jmf}w<{D`G-t0}ve5GBtP)G%f_xy3(0$ZAn)rSbSSS`k0w@>C zlP_}OM5+XwXxlt>MPem!|C?gehp`-V7#{qus9hcGnc<|~6%NE=_yw%z=UJlo?9eMx zr(6cz$uB;LPL%^pP;s;)&4$47W zmr6#zr~TXR@?zk^Q<(Q<=l@AfxDywkrUQZ?u}Xps)iRLu=GC;(%70#F z{P8{KBdoQv)Aw~@;l5jrUTSQ{-Eg6NxPu7n6k@)#%S$SW9QmO&ROsX3U%1V-bbwDyH>R0moj7m!I)BP4db)zIMk!_}k)x{(2tTp4Vc4^AB zU<-u(_KR_^gJg_r>O5f_-TZ}hEZB#eo>rp^;TwQ{YFE3Zge@)D77o`Rmos}};u3H_ z_qjiH6kuBIL6l4g)c%Y$jQ}(Z?>8A(jCy)MrCtL~AvKh|H++r~xLoEiV;Jy~Q2Eiv ztG<}=7-WX;K#kkhhYr0N8+=bK5-We>zPSc$!I8_Y8Bd~HDXvL8q9;<3SyoLpaETQ{ zm80fR(oyJP&TUBi4XlKw%Tvq4h~In3cd*>E2c-bQ^`<#A5}Y;;yQ{G9gS-8zbEwhg z<~owmmafI&f`!$`eOkQ#v@roa?ZOnGb%~(}vHo{kIM#}Z*{pFjEN z#vGnx@M5GR0@#XE(dGaedDA29P{KZ{us!VH9EX1ONmi36?(WIK@NJYK)#zH5>s0vt zGn;eaaE*~Jf5NbrWS<-k(;9^J7iZj+@=C?2GPR^|p#lb`*Tg1P++=~fkSzG2UVF?d54Pl>K>8W?IY1yE2aNWYr5mY3#9DoN4rA#ur!g&f zqnQJGAWK9N{We}o%O_fE6%kp(498=$2nh(WWL)C+ei}`T$uM3gYCYD(7?Ko0b@h}O zO}gY>>Z236TKt{3!UZe@HT2;y=JS=B)|5=~E)d8cmm(d}P(B{`@OTxnA_e zd`L%zo`PFV3D%%G+Z0S)*muI4oYZdNllQc@WMl~+f8Q*+boK4oFEoyYLyJkE@~gK{ zXauJ3puv$n34=DBl9&f}Lc4WJv*C>tgg;G`yTz-0gr83bJXX7A7{{h`-y<|pDV0V< z7?M#v9jeijK|LIeYOR7xFe{VE!!VL?W!q0~HEyGuZSa6w)`SrbChRStz4Jz+&crO! z1b{7Pe9Hg_qn!sPT&haqnn$t*?^z2*W7y=!o0G%53o>f>E2D@_$Ad+KhKvXklAKC! zIS8|_Ur}HPV`%uj6324a>Z_CTbw7~*tsqwKcti7kF}Y>yKUtb+rpc=kED+P{WNOeb zmva6?p(4b$&FinBn}JMRgty&r756GM@|-V^((@+6)=0y>era-`)V<7U-vQ#v5MzT^7+a{qLCQLK)h%x9U@3gj5aJf$lCDZO=6JU0@f) z{V0_L>b_{_773?1za8~mCQz!g|EEPpR8guO)E2t|1 zG!J9hsE>V+F3hYVgH>br0vcQ)4+t~ada>69kPZ*-MUk#lZ|U*ds{9@HkX&?jO2gx< z_f1wUDGdWm+zROgg5F0N*~e<4vD_ueMc!9l01^?Jo>1n9*XR$A;mEiLqM5V$o8tI+ z;DQouC9T-Hi&3#_ieCkC$6njgUTmt*2Ia`(5-ZCPr|hLa&R(0q;boWw!>w*De=2h= zLvRjukncZ`iJ_S-0q#i>I)6~T61VMA{r@i zW=Hx(w)jNQ7ANlGt*mCf`_pg0SH-pqh+2RMgH{((6$1y-v;-JKv1IaZgr9E|%Gd+j ztUh!TLof=atIj+5ksjBL88pFmv^|mm+@Xo1Kd^APi_k2$up~Jn`JeMA&gQR%>38q< zvKf&Z(0=BjR8d)jNB+W$wj#_oQuvzQbnX$0cDgyr#+-I&n%?vjIrn&US*$NG>y0T} zZpU|_3o{f0v=`(-L;z|PMNx&~R20DR)XpOy3ScKVSip7u^LnQk5BT(bF-Zhjy>9~l zkJbBrC+j93Zs50|!cK(|90$4JC^dAsOo8M5x&)^xeWc&N!54HbmH*b^_t;ti6sG?; zKjfPFSgvhtE`MkI#N*b}-x#uVM?iLjd>V|-maTAHkmkK0o;D7e4$nj7dCw20Pr)*5 zk3tmi?=m&J<{qXDinguYPK2{ZTRIp%`5nQ2zpQ9Q0V&*h{!;~dp4Nd}~+D9`}JyQwWz#vMoR563@ zB-%OQiDGBikF3u$r@sNQ*Z?$|?|%6k@24Vf=W2iyoKzG23s!74{~>%nk-z^v{ZG>G zqg17eeCq7Vt%OTl#nMcqg)V3qT=Jcjc%=q3O#>J5G3M<;=W8>o_+OFzCj{K7eps6H zCZF5IQIB<)yf!+aqb%p!d>xj~T!^-r9N_ihtoE&t+CoxE=z3xN0sJ{aQa1Z|FnW|Z z5^zT&N61;vhrk!y1j}BR6fA;v|LU=oR%b>!b3Y!PRIXw0f5RykDz_M^(M2cA*_saD+ z&<^F!1)&YX+FT|`_qj4smLgTf(QN|Ylg@W_YbcP0eI}lSn_R#!DE8MWpFq9nKR!y(dH0G+|nqBcxn}J6>TMmYQJS|GVoulhH9Jf?1bfBmT7gMD1s#q( z_1q0i{T+XAZYx#zfZUa^xBP<7up5hBH$(_CHPzcKraouJE1y6imd^>N6h5xhyA|3U z82EjBY5K~fqqn}n9rjp2*e;?rSTO=Ns_f7p(f5?J$zvlN-<>~WcX=bDRSys(DEcG$ zwvE!YW@_vA2!<&gLm@K8haO)$S6E-I>ZYtlk-q(m0&O#yHArnpS_;vX*ANvuGN7)` zBcaDenuK3;OZiLL1-g3>ZyGEfhK(}Y5(z_zpF8!XA874aRZDO zM~j--5DuO-(e~R#`0kJwwx1awdCG`|-lACCAVHB7iQKRlCmO@MEBVfjod49SH_*wh zH)1Y(-H2QCOHA_)nK&?h>^r)^CfkwlMzG>E22JC(W8h>9(w8)E(82xWOK2kiBTiIc z_HOVk5@B4Z@y{H-s{GjKLM+IhJ{R57rV~|t3csMcBHL}x4TC{@H(>+KK1-`7)&Svp zOAYP`>aa;_b5fkYVkAb{T*b zz;tSLf1>NAvnT!%y-&=T3{L_hk;N#pN@`UQAD{ z>!aC{tLgFd-95EAPJjf{Z4-XoNR?C3HB#EN{Yr{BeP~8et;>kz$Nl!m_1<2gf_w~@ z$;3e9zX(s>v6Rjt zF8`}78V&u7Z3|{}?4uv47cbjM9sNuve$-EAWv;cp4IABY*cXgJ1P($192>2YA2$%Q z-g~669Ks#oqo2i@$UeN<#7vpAkWrV5p}h33*Qj7d#(Oj=7iWKMl)UXf>gH&$cCl_L zivmKP5_zosBL~RZxn^0iwjEy!55A<2{vs^P&tqY6PgJz3`_*AmT2CU#gzBbTbmZN zXp-C>%)YPx_K_s_T6D&hgMLm5$jMA`>)d-_69b=O!A(^xyQ!K4s~1Oc{+%I+3wK?( z0wF%w+k&5_9-_H0G7a*K$>lX-4x;;6-8-739h_u{8SPPslL@U+}6jhYH zF@6@Erm=u~aG5h`buwh|T~q?$s+!lzI5>d}dN5seAzK+7138K?q*ZZYk#F@RV2E$7!fv!}ih{&1oWOB$vg#5(^3+&Q!1kC(BA-#phMLF;7p6U=_ZD`JgiV;+;A)RBrca zn_Azxp7H)REfx@09)=A`RaBIHhoUgqqVvtIG!Ln_99`Gw<~0Mcs`y3>uD#NOf><7g ziq1QEHK6S*;k#Op<@#T%CcjPBt)kkP^zVjz>bK1RS=#;p?wTU-_c@%DGxTPlTkl%r zX>SybmlPsGgoOb+p_F6@c7h+2(NFMRUT$jy46BbJGy3`uayK4+?ym0xx>-Dp;EPO@ z*W{=+o`2{D!E=DO2dE|p7#^-)K@LsLPuKc&c@&t`yR^bn)p4KPR{?a%SQx1i$9AU?j9^&6UT0Yoi}M1}ce=2^NRsYuL^ zcfjydvfrZ@R9)>%X-W(RdER)jY&IX%%-Tqkd9X(R7x|HwQu&+r( zqerF6yNJjvO8b(2XK(KCQI{(+?5%;1+IoW`2p116oarhZxn!#I7RAa8Eq|VYzrw~h z*?QB=Xdd$jxv~-avJs+6s2Ho^J07&ZA5G>I7Rp(sAhzs?RC`G?)@;Vm3kyJ#a0j|{sQ2Cth?A-MJce5; zbn{prUc;neGo)|}`pT4-LGOLqa)_QR(l8#Q*Kyvjv{4AwDc%2x3krbBEvw$?Qtc>7 zr;fxe#-q2kUy_b7pdI;umtVD6u~^~C^RW^?3N1$3x$@da|0W*)t8(kZ5wJX!@X&I& z{5YKz1y>xEr$cSt4WDQK>mktHqmMB#e;G%7E99190D0$XFTVwKSWL6e4e%P z*T6pfzaY) zZ1~R?rr1$>&YV!4=qwrj*3dWY}rR^LK6(F4;?LrS5C-+4(mx&YQk~6#PMT zgU0%oWm$CcYeaj`&82^qu2eqINZLH*Y}!J3Rs2r^WVV|z-Nfzx#cIIIr*>%Py!mBR zJp?eEjd~us&|1=QjprvFg0kU5(=n34v+IkCYKYK&Bg9RcUuEr9GemTEY<~o=FzS>P zjELatM;g}wsQP9^@VtE8ehXk#6A^t6ytFRVL)6TVpv(@^WChO3qV@J2x6lhx9Cf+I zJm~}^j{>L3Tl(`fVqgd1Nxz$8L(M?ud92*PpfoZb>U!)g`9t3ZzWskjE5|@5qbFaBLTM}>JFwO-kr)Ugu0pp`~FT? zw$M=*F7V!e3u^^*=+ z-y{bD@3G7MtoBD8|9i&`r|;nzO3oCjS>pW4d&M1a@3!ztlo2ry&oXbyH)Bta6X`3B z*o)*M)<+AZ<5{@If1ZPsX(EpNBZDPr;r~hMU>-kH4XJ=WxD2pl@G- z{Z4UOU@+oIQV;sHB;Cw9ri&ehCB8;-YuaZ#+W=cPdRu#U3zgC|Gw zIqI5h@&l(PN&rmwEy*Vr)8=~Z-!vb*zY1iQOC?_tR0!9%j|};Sv{$#bo|RuyTXW>S zu7T3u5t6`qLfx8<#r&dU;=(NBfxcAbpUEq3o6u_}PMDRI?0Fn^XpDEh=elC)z}~g2 zl@V_1Pxf6=_Jk!N&#_V&<%nRS?>j|o`0;-4j z(lYDAfkV95kF7)AuFd5`t+|u|abH17Kjh-2?IPcXWvs9u+0!`u2IUoq=c$eo-vg@? zXvdgTCCa-!Mp&&+m6lg4K64cut%Jal=jlFrW1FD5m10C^Zyk?ou3E-`808h7opI5_ zK;#vnlF+Zl;0dg&4rTmOEITU)K;=zNrbg_`h^K`V`u{OlC(5nlHg}-tJC4lcnAf(^ z5-;SR`}NM0)&DF8sj-t7vTD=IeP__YS4#zDeI_z&6bZTX1YmZ;`twi1SY=3tFuQ3z ztYkjn6vP!>ziGEXE>fg7Ofd$PM`Aq=uf#ZD?=LSvP57>~a01=l$)@5XrvlW7rp)4A z?U19{LnIS(@%;=5Rw8QRfm<8p;q-ng+*7=`g1Vc)87{Wx?vqf(x0BGI^dI)$uQHTv zcQ+bfj7g>XtSyCr7bOH8QjG(gZC(X5(rXqlP!9?OB&frMJRT*?$a88obIpn2PA{^X zECm9x$Ozoc6t7MnL|a zSL<5Q@9N%nOvBW(l$7H%aK1^*t1?@d^SY<;gaoMf*qYCWf&>0UGgx7yXSiebWx-?b z!LLN~aiTE2!&o!?&ta-?TN;zoeeREPH=agrph$*_PPCc|tpIa|0oRu=c&Ne%e>N7N{9z|u zBEfOM?vWZhmBhH|h0BnwbfMNX+wdPz1-qGg;-bD16!tZmNNJ>YA=zo4%<^CVDAp#= z9j#>zho`2n-u&QrP7a$ZGXAI~C^HD5#dl8#o7-izXs-nBx;d?5p(qtH!wuaGdSjwf zW+MVRKUH|(!WjOx0t-myvUR|_|K;-pvpf|i7@Ml?Zj2Xz7z>z`i@DUszr7uB_Bzv{ zJ9yq%2b>@v3^uD#{=C@M=nDWi=ZvE>2Cghb(l1q8t#oasFvzhx(>1mM;1qg5#VdN* z2yjxgK7^zT+1(IS+K`(a6{l9c;a&Z;-cTo-`Vw4$$jIKCZsHaI+|@NRW8_y8^gqlc z#5ZhmWxTA2V~GetW`EAqk7izlh{*D_LWUyV%B=u@Z#F}DbrTAzaM=JK*7S%{^psIJ z?rvR|4P{~MirsYxlblFV3E$^Jwc>`a})kLUwXBMVCvo4=KtH9O;~NyPU!Ww zex|k)iktOu2SY-HvTf^|(~_NkLRnT33bkVN5*(U6FSjiM>=_M>)Ot8FpPM&*q`p(K zqp@+aDC>TUcUXPZ6_0z-NT9@{JI~XU3P~4 zzkb8}-sLlB_8nhq)0t8UBbW83fF#Vn4UvhA`2DWz_>sKI1 z+c`4pAQrEKbyqj#* zB=}l!J4eYujw6*?R_N`cfGP2*_N(U+~`Sm!0EIr z`8UVoOvh2$f4KXKgj%x*8Ws_Jn_Z~c@Nw0+NICwsv#HL|#qi#Zio>s9&mmEL$a!XO zH@6F}YBNpz#>@(l_PkQey{pmBlFAL1=-kBL2MI-{FGkUfUwT~ZjItUZM00rCL`Y*K zO0E6-vV&YOF@a7?hg+_0Wb5|u3bhAuqO8VU;>~2|!xQC-QCs}=9 zn}V+2A7wKCwD&tipzJ_zTbLoOQ-x4+pJD-~Iwj(8LVWM3dU-`P$PIZz>knQ&~q5caU4 z;Or`s&5$zyjMAIDTmI>asUol7i+|2zNwb-#e?hD~#?NE}XvNXf82euLGA zew>Hhxu#cB5-^8k7nbF_)#G%8JwDTl5XC>fN$gpXfmwahoN|{=ooF_uaS9DnXe{&m3E~9(QD zjY=h$Rg1|-@_E-W51?}85{_VXUqzv5wg*27GnU@QfQ$h;$H~8k&qKHvKR$ik^H;?X zhq>G(hlzszAvGH8%@Uvq@zx!uC)~oGg8nTL?QbIdrf!L3cJ2dzhQ~?5%}4B`(^!5O zPKgTXl?O`~tQ5+j>T_bqfS{+d#eI)>A?F0rhiI|P8Y)>Wa}~mTvupr!@R^9J%zqeJdcw?HnT)#UFYNMe zy&y+>mzvwlrVEOupPnmF@2zJpxqL#9j~fII&pTmzv8~m@!ZTQ=U7)oM|J>gjrdM;N zWKeo7vgB1yS~1ugSyszLDaJc*>h{DAVQr+bu~F{s9$LE#ViWDerUrUhYgrs-W&_-r zLDJYOLM*%z$W5qZlpiOoc{jMo`tn9V4Z)dQ4sPz(RDGXbOPhqb`ro=b^T)UUN;D?! zYuUW5O=vqn_;Uv|2PIlKO96Kj{&nKxL^Uc;%(#bGqdfdzX*|1nCznF_8JbzsW&K(y z-r=7)bOHY}UBXpT^!m7qK92;>>1Rm`p8w1MI!QMBTbB3W!8PM_Zc1Qm_oDV?j=-1s z9P>l}W2`Xsrh{a_9n7q4m=ElRq}Y(XuTsY{JzlV%pCnPN?B=D88O{ z!@W0)pxh=wKZ%ivjE)G=+^neFhw9GB$2B=)!V2;v36@hokMex_Jinh_fzH~?D2&)x ztk0+I(kqX%xD7Lf4)9C;iGEYZm2!-8RBPLfpY~wIqw*^^u?w9U?){(aJCS`b(3A1_ zO)#DO{vaVGS4U~x;(jxo?kY>tIBP`Y_)^`zVO_)jr0v7yg{6JK;`fWxiPpuH5h1x9 zMl!*=ZIDyG>r3TX(#>eM1KyeTC6`BAQE=W|BnuuM9xb+#eJ&jz>XN@=A?kF-&h9 zBZ#KGJ(#Ykmh6-%4aPK8k^n!B-LX%pas_!Ju}67-A<6o2_+7*`P}Jc!U{k6rJecxQ z39ETdAGV|D>ie_*n%1_!gCdf#vUe*&A0woWzG-f%BU20=S4?!Xzy-V%4~~C~Xkj4} z$oN+F+2B7G$6Ovkl2LOBslvv4%3Y}_B<~Z8fFPSupTBVn!0F;RfHktt@9xmdsSQ?Y zh2T}3g-&^E8-E!Ww)bM6w5cOaimBj&#w>Edp!P;qPJvQ8cY$(OMnk@_rHMYuc%=(} zooTix;RJg~w|)xJEM^;a{}1E(YwSyscvW*jQgjvIY+&#GQ!sRiAYD-;8@6|T3lw5D ze1fFLc4ao6k8oOi*wk}?37Jj`jpy|kL{fRZ?QGh!0$F$}MPKJ}9j~v%NDrn6^)-2% z@%N?8uC|U8WXDc!yRp@NO~hWMVAk!LKLzszbu6;PXAUpFlv))%&YP z7~%N(B&o>eJ456XCm-IjF70WQEfP!zeB|nx&kBu+Js8|_r({jfjr4I&aU$+Bn%a3x zJwZs{mCOZR;p+g;OCv6lu95>c``*PIsfm(Z4OcYxGAf&Mr`_w zgkLjqrF!ZanZ}=0EpTw^OQPUpX@A6I`LLwbeyRLD8AWf_)Tz-haPmy0-3#KWU0KV$ zJkXhXQ`{vz~O;4fFC2yWP6~R&~8%l?UIh~)*PnZB+~ z7z$zr32*A9A%FjM{?73<;2OTNHgyf`r3OjMR7V5h0rPJEjZle4$(!r)pvq!TP{&H; z*4Do=L7!QAobvN_1wFez?j=X!`jOwRKCnw=$iqXL{O}ugd#Z<6U!hOPbsZX(3GyApT5BpF0QnfElb}sk5!ov{f zqQq2esGQ~PBT9;iYMq3sH2l?pzB}_4cwJR&2CP7)fpGUd?nCUqfdV|8qM28~MP?r4 z83R>vJ$;eqE9nr|($Ie>F^y(C6-Yk^y`nNTlLrp9|A9^5_Wld0sbQF?5JQU|KX_K# z&H;^GNvlH6&c{Kq-0;-+PcK_#jT(s_?>)K=-v!67>OG6wjrTi4cNlP7VP)~Z z^DuoOni&G+>nIB=olCwV1#_AKIbK8I(P^KGZC{5IRsa}V(1S5x4kJJC#b%Y>Hc=Tq zIi39x8I_kMG7)>TZ^7+S`X8g9l%wI{$P=#0%O&ijVJ*>cd@_EGtJ zCT_@a*z*1S@#n%f^7Xkebqt?Xh<9-3LqV*D9hQ8)&Pr};Q7z|S%IEp#(K$M&t(x=$ zKVFfytDh&S&lxP;F*a*W|-N~u-Q+12Wnv4fBiO7 z_GQ*3dBg$1a1tZHauS}rEsEndt>19L;A_;&G`)x6?&v=kF;dPF|Ep6@0wNRIu(fzu z+o#;)u&VzB_1H!i79`o$xhq3i6IkMH*@1h!CGlO?!HTs9<)K@DIB4Pu10BWvAo z5?DI!gMZP?`d!roBpV%_vl{`-^tm(Gm&*3P!F!m^QEu=!%G9)p51-?N_Ypbtyo6Xo ziQ~JVf0h8W3uiWtPxvwH<2dR1WS+tAjTz--;Z}iKhyZtKB@X$Algt;2c>+t7wpqV6 zcO#^kyhY1hfBT%Wj3lKzB<(!wuOfVM8K(=3a zSSKVeYyJD7I^mQhN|VDY4*Dcx;^KyXrArNb{S2?YUNfiShQ9}e>+Zzaq6jix)-ixH zwK(mMmcx^^k=-!-&q|z;kd)A@oL4?|Y8B$d_nb6)DgjsnF0wuidxm`7MMCx z$OKD4bfuMm*Im$Zr(7GaE~;;A843E!M^p>BB@dx!uAk+ZD*4NMfn+Fc z`6Q)SdHK>Ha&>#c%KPx&njTlk3@x|oC#v)Oc5IhN;&$IVu_RCtMoDfoVCh_5Q{{Ng z=i3UWIah3m&z-iTo9e==AALoB%Us)^XpbtUu8sN^3k18wEYAwsgd(zeoB3)@kGCHV zW~KxeFDguP_MjrU#rf~kF+r#y*u7Ifn!Xso6a;`31{jx1ejRqYu44cLf!jBnk&4nj z85P$4${*|tWbSpG4h6HX^J8AIuN7Y6nE5|X%bC-(B`?745z{Gy?d=7Tn=FpK02wjR z%v`w8X%{N|ug4Me<>h}ouq*!w&xFefntx;ipDk$x|DF`@_+J>@KSqUQ-I6pfL!`q? z{W?t)YS3bkEFw=OrSsa-0t{V&o_Q3ZF~S((w;a;;VRP3q)xVK@Swee4FzmnvPd|Kw}|j-en}1iQL-{_8JhvsEZ61 zVMhX{yxN(YbWv0F9^t_m1bqPpCD4uv%4a`srARTS6+qU`|ND8`OcAaJ=z~UhCaAx; zefK$!j}Ri%^2g;XlI*4cyNtx^woAu7vkJgo;&L7-;tVX$n288f1!d`Ae>wI)%G2<8*nXf_i?H!5M>r!3)O6BnC46pez0>IlbSGWX(@(gU$K3nc$L+ONkM*e8o{kmygr zR^u$`@Jki#zDR*wjtR-)BPdf>A-EwfLk37#*XW_S8G^p#NR0}lqk@02gRp+tN79(o z8@Z9OkJeCtc?9lK0NN@94!OjdVIef%szmpGQAc}iPAq+oZsY3e!BBl=EKBH(nqp<4 zBHCWliJseAazHgdEovXP9**$RUUh~ujUcir0b}U(I_TH5sNBqOns;^dTb%FqfoU6p z*LD7H`$TAoSn>+ALE3~3^7CIGG;6by>*9Go4mABI6+jiVsXSc(1z-BXA{V=>01TxE z>s(CKoG5(i9VqOU6)5JoTECKE#KPy|+q0cd`b&)dV^x+&*+w&OKnC_Bl3!o`LH|vG zMfqGj$V0z86;0`{rhLm>M$!aPohy}eTYH$&X@!><` z55IKrme)^~E;bOZ&dZw{Erq-yb2Mbu#^7>8IO!}BsIDh5Vd(dCQ5aeN#HH!GO`bbE zpDB)lrXRnXRBK|v9hdnv_K+89HX<{pEiA$BO+EfcuucI>d211{cgwMn zi1jTU58bw^)#}2X?hYJ6i*uc55?EgTAOJKlD6hT41zJNFa(QF6zx}MAYF_9kW1tRA9d}{ z;)8t07oY!s9nToyei5W#8K>Bn!|mtjSQ4@_2bNHzE1=Fc7zXd$wPEg6<5_ytS7MCF8BgO8{tAqx>xyxjQ5HJkn(T}4||J@{#RKDuqBs)21+!@y&!MJn#hJ8xe!gwnkryo)Ff*vSrHhbonKiXJ?({M`|cnwCUa zb)?Q3_+UM!53_Pl(^la5Ycv-E^3mj0nnSYT6)?mV~(14 z6<@4(KR9~Y`75;c09Ov8;)~wcXwe+v*={A{O+Do&3+vb%L(;30jTavrPKY(0wO*MBg2Wql100tsAy7z;P$Clsl+So=e`s= zhT%Q**63g$L5=uSo6J$i3c=r_{U3>m3aRvkJ0w{M9FX%K6`%Tm?th zn@XLR-BeR{4dWFTFY834DcI zZg6e)FtVl!b@gZX&dw^<4BDrc3J4yc>1u^SO`gT^uETR`U0InOc;#M7SU&Xefv7o| zz@Izl{1QLRUx494WrGe&^{!nor1%YMsYd61s@SRsM~}lm(3ARq%QHvH*!))HRp<-u zq2V@&Te~$f4!L^mr87D^($92C!!x^Jy)x+?l3PF7xI zH2RMg=%)+o)8cgD4G{#CQ$|b)==&V7g*1kk2o5eR(N^Aq0I4Jboj9}F^lo{|n}5~! zVEXjXIQ@R_6Tr*mrKwV3RE*y^g@hzIWw`L?+l+gv-g8O*8TARmX4AJ%L~@TugWR{+ z@nV{&=m{$&+}NJrH}O=bH06`~N-b<`wp*RWbzAGVH9Vd@a>02H0Q(Kj&-?kc*}^cj zP%lfZ0C%I^onId0I2s981R^uhu$@j98G6MQ*1j0&MuB&^ z9Eno5ddzn@`<*y460CmE{q=f!q1l}OA6xIho>|vy3*T|aopji-osMnWwmPe7FjARIW76<1#|-1b!LIx z;C2Mcy4D}EZmt&ithN$ z{_C+?)u=?3Etf0}P&8VTNa7Npp>PU>L{xZ#|L#>l`@jE4rF z>uaf?mJDna9uHqg`n2X@)mN~VjfnFaGGTjS)8p%+ov5NkF!r894796WlW^1tOizjw=6lGN_6Mr?xet<8?v`-ePkfrVmCep5yxc0C}D{FR({@G(wa2 z$)^Ev@?W+p0K^X$i;Ao!(~L?zS+qINLi;iL{ct3Y`w6bX1xm1#8uQz@HG#Y;Xf=FZ zR;l*F7<4{O5u&UOo;rw7s2Ti^0qim88HsMl?e3y&*k^84H>O;NCzjsUQ+dcOTq2n3 zDZ8+!v0*Jl^pL}=vkzsGBI36Eva_@q1bn?~s#4`ZO0m>8!<-02=F0^n+NEp&U4mt$ zIKGK1A0PA*n7ag}gcAYb~otk>ve_XGz z95>;7CFgi}W{Sdn4HP&B9UiWXMfqfuIB`*$T z4HrGrW=NZgNb}G`Ngmo?N6N0ujKi zCEUwc<_VXNM|;heCtBYewFB#Rk0{z&8eK?&%jVVIe>geEh%smrv_4>HM zqMc4XiY`I1yS$M8F1a*ABxy#U0PLxl=H4wy7Xr0@V5XM%41d;9^#e;H7)?gz8&0+$ zGV_Tu%ttu9pEcd5-EySXB4?$TCa0PLNcOr{H?Ru;>2qaPqQ5>Jwe@$?BG&G9gv_! zx$JY2vg}%ZHv9W*7%0Bv^J73qs=CRs{cl6@PO`yFS9!#R&(H>lW02bYrr|{@Z9PHh z?*F-g{U}f#;c@z1`NjovhWBBGF!?8(zqiGtw=m45teZhFz=uWArT1R9+ z?X0p&#`q2PS+8UYbi|u#-+?uko8aE&!w}K+Ch$&}p+Xfr_I)S$SqTe@Tiub|%tQFw zglYBd8&0%n#uvx9`GPQ%#W&D$Y)wF1&y&Yhku>{5&Di8MJIF5?&>W9Ddo+@0;?eZyte^s8F0eKer=fB7KsjkwUmV(|VLtEGL| zX{7x3&S*nD1{3uivJNFy)Th>mC`86f!Vf}B7o@OhUN6%7XW9YYLM zGzn$R^R}ZOXsLgV%(qQ6uBGEBQ;ecb zhZbimCKCMtd2`lXsDoH9y<=(k1f)n?-R*3lE{>j-u-~j&*h6RTaRR+2Q{FJi z8uq=d0*T#981fp=#nb(u4)*Lf#7=8t<3SK=7dTBVf|k-(q_$NI1*#b|)146t4dsrn ze-}h4#rw`T?L0MbzQir}sJ6>YyWJDwJw(xC1#4^pSpOgP()J-Rb2rr=FJExHnPaZG z|7id?0frXp<)y$Rcztcg?lL5mWR=EWsfCmlzo=d$7GEccFmoQhc(|}`=M(VTX}43H z`MdX+Rexaj#V?8ev24H5zpI>wkGr}#%;!7Vf&frUN^|e${OJr4wV3Osm_WNWz_vi4 zlEgj->~CN_Qd6aL#2m+(YXr?B99v?^nkK-Fh>!+;ZB;HV9JOM6Xw<*sQ!Xt zsOdXF^3NKToWXoLj|@a>l0i-T#ylSzn>P5qlSa)BisYm)aAaJ5A|8qBrgxujd&;;d zGQcP(MTi&k@3r^_+?@@!6%&|4%0GZ9_nSp+mA?`~ZVs2)nEyup-Oa>`T^Vyb?I^IY zA8$+gR?Sd139t2@&m5jufYd28B>9Y;wY{X-27cBOB$E97{wXHQgXPmdJRsS@=A{3O zIn@2di_DmKWQE&-`4WE1B;;w=5d1Grj4Yz(RR2QsXe3$04NTrp|C?gF*qA?BV4~~Q z^m3I@~m|F5eWgK+?zunt|`^iN2&UQ zj~qok9Op8wyhB)8*Mz;)n`YLgI)xAKJMdv@npJ(coUoOzE^xD`UU>tK`n7~)e5iCY z43q4Np#8|F=%fro+U-MjDi)p?xGND0hcEJYe5!shX4&+I1WGih7c1C%XpUI|;ZtNXsgfH~Pj#B?CX-bUO?5MJY3^++PSf&!YtayAWX*@^9{!V$`4o35>6{ z3JAYsuU0^gFrG2Y_D@wqKC97*EA;EC4iEr1;{7G0LUzD25?6E%$fR~E*^1zpN~fl5 zyO!3jso_rZo-r~*KMI}X>|HJ#o3zCke%@c_sDf4n?m}@M1a0Zj$MG4C6TwQbUMfLnP%kFG3(amm2n8;+$_qt3GqMKl|$76)T`-)y3 z3uMGNgb{qCLuhli1kX^ehj~r&r0<2_4Ap|27!mH#z?%@S7ybvVDRDIZgVO&e^U@Kk zaYys|BgYiDY##TSCAtTzD|k-(WFO~ceD@bsBJ4ed`waJSb1#CUD?q(wgec5fTWDhP z&b2vK!tiRZ1iJtW%#K5Ps~(Q%5xOlqP2jNt$+vY{pR0!38_S}EE7WP!Jqd|u9M<7@ zv;I-=lr1(gE@A{*?+9~wiaq0T)#dfLae4CkRNY2c`*+n&)3j|OC8P2#Wv~>AN#kJ2 zcu%-O$uJEHw=?3QK>!fu?F?FXHi6cyggeD_wTdx%gqm|gtF|;Sw!J~8M^Ab4K6R-e8oH62P zx&z2r;b%OO+)7D_?&q4p)EXgFe06fB-P?iIHuG?b138*VpHBd@)PooqFB85NB;K+h zCDj~NPdpw|%#UV}93XS_S$dV>jW>6yHg!?(Kq%~WO@aza_`fm`+;3Ptcy@pA-F9h~ z0>-@zO2wXS|LY^prB=1o6`oqyDIRq+?5^A0@`|t#&99FNA5Fj>Ub~Lf{Pnx9!7+?!6;{`uNkv^>Hxb=0y0NAbra>iJVj}plz(F0JWPupp}nd&Ayz_ZbJzoR!|87Zi7 z>*epsp=j~7%X4%;EyB{)u18`=SMybqHVBLbBjNq#4e~T^20~PcCG-o(>!LP}<8FiZ zSPIC0)n??Hz7~ojFu@$)T;?l7A~5P*{&H~cj(q5veD-LRjooq32cT$b^hKG58D|KU zf=dmnR;n>xws^R@(iP*tB=BR_U;G}*GG>!Vum(4lJ>ZLG zatv+Zlz~l@L%BDEJ(h_Na>^6WatWjt%yYM#mkr|*`&P5I^2P~!_3+FChM(RImox>Z`jN$LT)?R$-}D^Rs)pkKRaxRHPQwkG^$UVoYEF4RAcd=G*)1 zM!5%hIs`4}&XtG_76b+6_=BoWh2SAzd?_{-HW$Dr?;CH_L-n#l^(W3Ft82`dm%j9; zPAAb>)lt18;PgA;R}@Z%;Um18r=wKn(6dSQkL%lYi(Ma|3D+~$HQ=I6w8qWV!^7o! z)s6NXFPo^BY^I1PWw#W8F;G~P|)DtJ*ygA#=vHrRAeAp%nI5x#Oj}@jqh&NPn&eWel(Ud zi~u?hXX5&*8xho zCJ;6g+t%bvh;YC8Lp)RTFZs=0suxNS`ZxXJB*cFfaQmXtIF3YL+)cyd%(OAq@6QAa z?IJ0G13HtmQqRKZJkvYZ?IwBNFJQx0gXT75f*lS~2(tUFZEY=7_58|(SP_szM zq@aZ`HYpQijmk}(MozPK10j2%N)X~3F43{S=HJ(Sh6`NVB=Hz@8s6RtaQS2G0IT9tV$z zoAayIC{`dZxv_OqtDwx7`Z_-~&^V@*^;K$U=q_Wcel-~)m9=q%a-XPCZG5afm8K*s z#z~|4RAPY)aO{>heDz?|V4Tf2(sd&OHb6MQ_FvXaXjDajr|mOQy2DwG#@XeYWnt@X zEe$+)*QK7?-}PwRgWNEuQ&7)gO10E#zigX7@haWszQq6=&egUqtG5kRwj3SL~G%c2Ew9JC~g9(+BaE!Peu{AsenS{DqfBePWW z%7FLdFE%vTOC^Y06dMMbJDLtY$~A3m{VLg+vka8t5&-%v2TmTjW=f zEVzImcEHW)b?e|fK?{D7zHTX5mTO+mN}2qbz^Pp2WRBgi`vgntEACg&pwEa8>789? z3HD3SAJ5qfYPoW4^WnisH!CVe((t71n&HtV2#rd_lg2lZt&j2IOn%Wz_&Zmo-m{TZ z#i@T7JNI+_BOLvDm|5P$yLHxon4A9zKlWlJiYFijg)~!eb_-Q{K%KY`B2#gy$9W}; zctXn1tvejzk8w6w%Y)aktlWJ?f#m*dx; zWr5+o_StX$EB?zPKs!-#^HtPOA>DdJ$e3dVS~o)6N0cN<+t;OU0VSk6ywJ={V&-Bd zEDgW)l<D>-0ezXMG)U(BBWbrIXdSlGC*piQj@BC@TeMK zPrM&b%;+uG>=u| z5O0hpH<_R*@Zpz3 zKD1?|Wq_3|nfdY?lBDvV5IZMHr*CmxaNXBd{AKEcHn(q)(?U%a2h6`&A6Z6(M&Dvd z-XK#Zk0Gwh4-|NxOjhf}yAcc4huOY3ludvy2R~%xs>CnpE~gJQJtx6|e4mInV!~MO zxCC7r%y{J>wR3V!4GXmmp!_FDWkwg1566+g=5D)#g@DAhLE>@1v{T~!QV6W%g*l@? zFpaXy77wjk;fw+7dSk(sI6lC+kE~LNqamBGG7+yYKHo4u<9T^IC&g6E;39b=zo4#k zMgCvD0w)04KCO-0!Jk+!uDiU4cPdaWu8j&_N7Jon`U0}%ir_kdttdC75MK~L*!VCX zC&UoQRB=lGFSo&L%vvRx1e?Wh$q|&hVE#+kJ!%$6^z33Co{@jso}B;)pollpMV^9MG_##PZ}39^w05P1QL%Wyu2u(WDW{#w+e8jZPBqn z2u9RbcgT;b@1`Ow{ld=c@`$$_?HU_WZseeLK3fuE36tIZ3}@ovl<h2>gW z&@6Khq0FVxfH+e{8u@2AL0HN{Ciw6uz(geDvn+$%llJI(idumfrlEYs=kxxNBn-;? zAv#M2^xH6mlou?)m(ihWw87sdS(wfHd$`?Y3#0#XuTg?LnL?;*NzSRK&)K`FB`s-S zT3V#1PSaitBBkLm`J~Oogrwl!rYURT@&)(yUj+;est6qC8#UISAsjCnqjdb(*oeAp z%Y~{s9`U{(#p$@?X>_5bH4x$EJ4vA!{RIwONj|bi$z$m;MQ9afKH7OoBenQZknqRu zCnxzLlJ4CI`@+GKcku%HWCMRg1^|2V}h5}^L>V{X08}-cqBRMN0Fg-gNtMq1; zNx9xr9Ox<8*$Iy~R``r*Qh0lay&0{S$Fy;lu&CC5L0WQRiP6IRKmJpH#yuc+r2)nd z`1%uw8+mI^Id_z_7A03p3jx9$$Pd!k%{-6?zSzp!DH6l_l2g2Xt95yoS}B~Eqg@X$ zx>LpJN5Sb#H=uyPpq|}@EOi$;Mq-fVAA9SyPyNIQb7KTaTHjeOoj%WO& z`WJ3W|C7)(pG>1*$H9*<&Pn}7y0BO4U;C}?+vHfhT$_yrezL7^6POX-d9p7uQ9+!) z9=%Qr4+I#J!AfNOeV9qX;x*z6+11nIZp3FT3OIE<3ZDGN9m{^DgevCJ1zHn2dQ6TY zMM7r(v=&=oh$|l0mAcz_bw8Z9C;hyx*0|h(3Av#}gdzK1aYabJTFS|f#q6r~YD?&l zVGT4G1z$2)rb=GUlx>q5a6MEl!i5V+vjVZc-ijb!tQ8~OxmlKs7lxM+?Xql!nTD;7 zp@rMYXv=l&TshXOgK@VE^qZu6<+~hN?hWEn*esdY$WU;J!4&w(m+Jx4=Dv6EGqG|DQp^@H?`nC;6UC zy4%((>q@({3SpFV&vr^9T=%lV^-(I`576`P;mE0y!yw3djS%24xs-yvf=%siQBy+7 zAuDLx0th+A1UU@r=|Kr8^C)M>$RBy9`E!5{3qHSqJ{r09HZ@3P{m)3jMT^F;i!^(! zbD_YpeNGK0Uvs05pDPtT-dcO3Y)|(c14p|m+U^~X8oRC2#LgTGuc0Ww3q-=7Nss#o zN8xO`E~_&~4aEJ~X{uv3-Z++#nc13iYmFQ-Tx^YSsv;vLX2{ywsQ>kM|CHHt%_wl* zW^Zh|6fF99^Qq9W<>cMULyzaCKY*zUA|U5O>fm&Ny)8J3A=1~LSC*^(3b)He1ogcx zh%+$IaVdhM*AiAN0Nd$al0T`~1E3~F{wO`4y)`{lw;L4ZVei`iN5S%IoTlA0&C-vF z0d?XefJPAC(i_s&+pkb!TXyB1WoiY{ftWBu6E`{ue23KmZ$NEUM&K`O0^1@5XH0j zx;V9m?Htr-t@JJd^upWW1yT6=xaT}9jk7M6PZeAni&rnwJtW?(4br5Ve78Dgq5Q`b zX*KWk9!NRA>v^Oq%c*qSo}o5YvDIcbvr`Eb<{a%c4W=`dcPEg{P?r-$WT$obn@%UkvS_YR0;FD|Ip}!4 z{7GPvbQ0s_A4P(6B~VAM43a2fpe7dcV20)o*(#kghfvKp+YzV4hNSQkNvc55>3oRJ zm}#y3O~{RB@Gir1N8iAzfCg;0IrO|PGec?Hl_a)3 zB|_-<=L;=?A%OXVZ=?|*W5zLZF^hh|FlF= z(B2C$;=WyWG2Sot{WCb=IuLoywqY-amj-OplPF@MF^F3LA-xtkUkF4GH1zzkZ-rU{ zd0$Qo!Zg`f|84cp*LIn(-y@ zgD^pBpaznObZBTGLLCt1#&%*e##drR=&Z}N8PykDc!5*Can`u8$#bo+I}qEze#o7r#t&rlPP z^&26Md+`g~gnWjxW2G{AI${Tt3;8w)&VE>!HZKDKm3<8`VBz@`U$lfg@(8@)7JSG~ z`xTG-v$}{74bjc?95(+W%i#0Ynxe`I>tGp>G7Qi1WqE?#P_UMD(X~4Pp0=J46LAp>2Ormkhy1=? z+OBK~?#=)|Zt+)A>|$ciGo0OmytIq1w>>D7Bz8c$U1qW$&p9e%aH!E5fgQlSmvCI# zrq+v2;Iv9UkkD`M&edjfJ8LHWr?y0~f#sTaJO|@m1LdmzHX0_+j4D7FC0g`@*iR@+ zRGzqACe|QOToey9U;9;>GCSsGL~oDo*L9M}$g{;C_+P_IF)oyObiDD1)mOurbOnCT z;aHI>ieE>Ptl!`?-7D67M@W2e=#}E0ZMuM5(M=>F=sD_8)fyDfz!_~oS)8{_tf$Na zpo1Tgdlb$afI{XFWDYVhQcp_Ny+z_`eXjAQQ0^pvHWRZ9W5nBV_v2PZi# zc;YcB0@9*!<6k=;p%Ke4e%Q0K;%Ip6P;mLB6qphQ4pUzSay{+x2 z*6~HOLDEyhdB;~l$B=~&O?=8FSJNOMcKEWXi+oQ#Cdl9s-Jktis8qe=+gw0L1nY^l z4-aiNRgCY?n*c9D7UGybu z$Ns_+$Vk|fqBNGWTYVW>AV9dz%g&XLvBG&11HdfH6{alMp65k~`E|y)#$YS|5ql6- zU1essk>`|Zm=XGLaeXzABrP*hCQ_bjvM(+ASe=dc?uBmT{O+uUdDE#~J1!bN(WH>o zyS3BC@u`g$_xB)2cFnpNmJ`-asy>kHZKf{ZcmV1CmfYPt)NOHnxx}zgX(uBROBG#U6N?O>ORM<6oFNRphcR7Gm8_{e*B;!$|*Yr~ZOE>UeSqbX3?n8a{Mj z)w4UVFG5weF1e?Z8SNsHxk?lZ5BUKiXpj32nwQpTx4gRH%&Bci+X4(z&9*U_Sxx=S zUTBNdXF^N|$x5OvLjMVdgWyBv2hf@?rXnqyYMy|!H``i?Z_U1Piq0CaBFxSMQSVe( zVg@TtZdqy?4L}b$lnVEf?)GpazCy5FDCNG*03~t%g9`4lHe2xwHYYeaATXcUASmtvT-w!u{S#E8ULAs)h2e**DBdvR02t$bj@@oXX?6=~OW$&UV;c#} zPTq<=0LvFtw zQ$>w0$&Di!FW>h(`iSyOBLxd8Q3@l%gI3UTVFMB;x0-^mWB0b_9I%i~gtWe8Dadav zFs*@tUcc;0VA+>xUWFH4O^lPg3N8p2gSapGfCh$cgYJb4oC5S)1}X#D0${BRre3G$L4(dKh0 z#|WVL3^&Bd#X+y;-<4(V43`<%1u@oCKFLFqT2GH<{BiHttnkNUp z_remH5hV*{LFKj>h^3#(_!Bo&O7-%6egg4OVg~3zF@F&76$PYH`bfn)8wiZOdwB9= ze2-P=@1o?Shsx6+I|>XvrC|cMXw;|-_h5dBsTnq4X@r~g%s2(2u?*t^#h}H%x3u=B zJUV~bls_67eodL2XtTqG=dfKV!->m;@7wD2{}1gafW+bq6wQy3B@dlGe{MF0>~zx^BHm?BmEdW+WW&!b~nxWY6v6ss5U5@raV$S=!IF5!FSsRh0%kB<4^BECU6neDS^V$1##D-SX110`~+f4nD=QbkE`2W z$@ykU=Gxvw?MTURHT0ccxkRA~x>I80b*u6OPd=n{g#B#1@-VVH8lh-@o}BN$_VM)@ zePA3=p6wKgpyN+Rxu9a|F%{V@?oXR9i8XF$Jq=;=ky?Zb>oaQ8Fny7Be0vukYXRib z68?Z-f2kM^Zc}R@_ue44V9&fN-3!r&_*a2QdxiR!%!JZP0$Tka)QnBXPIQQtfo@=iYgc>{C<2R&q+v$`(<;*g5km={g2VRlO`j=!522sT%*VH7A$4n4XcsuX zaF^mABi_0vu6&(YA{BhhN+Qt?kJ-AF#kO+_GMx>FH9HzxKy-1pLh&B{=4g@O=|ZOx ziBS!~J_p=vH)x$bi_D`lvOR-L9}XNr%}iP5tmRTSn(cPW{j?HL5noP3AD5U|NzOG0 zTSu?*^N^`@^fnXB#JHSKj-s(Ye2Uz55+&1sAk62{&q=_{=2equJhUTJw9!p z*M^}k%`GkMNhC@740XYt-5i%nAe^=v!F*&TxRupR>Zx)5MO>J}ql50r($f#RA>`aS zw&@oNHs7H>8YW~{Bz`uv1Y6wPxw8VvA3rYcCHZR1R{cccE{KPGW2rH=3$N0zQ^aQp zpCK5tA0l}HSx1#`?l!GQJms`4uTv*)*PkmhFH$x2-XWM}DtjHaN6>{j^OzQS(^Q@e z0&BS5G@MSn)3vzwy+cN=07b;xT^IYdbN3T%=#bon5 zX+t@wz1WfL-^~n=qq^h!T)q%*bH%t1p-9{sSGk(S71g^Py6(Kie=+Rdq2!@eg=lHZ^gFTI(UgD+QxPfS3J813<)E;%8!7B)8f;NCvnKx^fkAxl|RHO%xM-9 z25=1^cgZ`oimHbzW}3{Nc1ewr+bq_x7gke1a?QU!U_JI}xrx2W>euK>n4NM-n`g>>=<%p)a-iHTU=XIQ*@q#g8JnTZ)OZriTqYKO`8ZFUxY zC2>+5?-C^B;Ay`ltue#pK=ydOSh|E5)6Q@qNuWHvRs8e|S5%(oBtV_MxG(@{B?~gwx)NjI>m*)Sf(W%lr{24>#RravwhLT3uA` z)MMBZKF`#mDf{SmZ?wE%Dq2GbgdEy0U6nlIpCt${eM>G&a$pO#Uqzx<8gikCNm9AT zptHSNrB@!$(78NWx9j1n)YUnpvIQ$+)hB6XL&35=b>WOOre_DSb!4AihdvcVy@SE| zu-DyCfwTpI0EVDJ4A+J&^7_}C-4C+~%qx)|hbtLJl*-IH#GJJ3`=&U=)yhl{_2qs! z`>iRkUu8}K?$%v`kUg*nrcEk$XB`A`)jO4kvHDLm+%S0-*2kyurvTUcVuAA-j* zE-MQz0AYAyB+3z!KxeMcL2ed(E%9t9vFdsc1*1y*eLsDIqT*M)v=g+@JNgzQ89MVovJ!{|ah^v?%APqH}C3I1g|K29^rxy(C}rC6G6 zv;pERnH%zzs)H|#zAf@x<~*>&`Ff=rxWiMaE(#74IqRx zoL*gHaE@w!zco8O7L%`WBCqZl*x(C4e$G&=#7Ao`akA4{tdqjuWy-`4q;Gse;>i%{?FtT4P$L zBotC$27;|-cPgLl7pe(1t`D{Kz=NzSBD}Vp*jBuP@%Pinlvwz@w<{TGPVOc<$w`If zI%{OUDxb^Zw23!l;qe546Te8=s%@((qWEUc=#Rw2^(!e8YVZb z>Nuaj0D$4=-83AE{ymZY&<`z*Iu4A$0fjrz%~S^XVff6LI&3i?3{Rmdsyo*`@Q$JmEUU+=&%{z+W7rlG&Nmf6Wk({%eh#ME* z(L&L9%;3Cl(rlmaC`VITM}~fQQ1%;rF+$*uN5`V;s4uz;D_gn-L0QgrsiSiQQoA#H zONS>N_Xw#HR}!>H?5z?@6klF9G@EDjj21}1kZPu%JdZUCax63d-GH^#JQsb#rO*%O zSWg#h)1RIftC0kfAMXZ2St>_b7+tS#qHhggZ{CDcoFHc5H0uz?9%#@`?oQH z5=pn~q@>3VEcy{HLOIJ?okdF7+}kd`;TG<&X`-P>TBeCK?Qnqzfr?DYH*TOO4=`kE z&wo17M99Z%KJ|x|Sz1FvcsR*iORp8AWOhE0LOmx&P%d(R(Os7ma;p0$P)BaIC=D!F z6;68=dqao9iLxj-H-9RN)8%TjZ`Ix(x0cF9p&EZP*!N-RDS zJHu>URB|ZPOgOR)9W?U(_Vj*u4YVth*r1A$P7OrvL4NlVX;MFO-ao;Uy0qAZTVrMl zmZsFWJC}O_Z1wmm%}9@`y_Md0bqN z*})+wYqEg7EER!rH%nHYqP^{~Z>5|rnsgCq#%n^qp#-5iO$>!hOexx$V>Nr?5TPMe1xLFO zmbD+HeXFgp3YquqGhT1o03KTrBtfcDLdF`le4DE}LHzqnQaVD~7~4 z=k8o&i;9=I(8+J3t<5@n-=Qu>rnV;r<;X&Vxf>Ca*W4O0Oe0G8P@GJc=b+gyhxiML zyw$g!u7I{1iBJqZ_DF~ocs~PFXtQkx(?QCv_7!D=vC*5;DGT2F)pBxlze`rM#5w+P z;fbGsF??@6dL74+LqCO|#{50!@rn(lSxRhgnf}C@(*1lt$6NIJ<|Z=;6|z;pW%cE3 zW+iS+%Y>g(oxVz}>ZxVMZL6T|8wVlrFp+Gva-}Xs0i96q$8vq}k4R?5zT`ZAuYwK7 zeSr?MCOi>ZJb?*_@4ShLTfA#bUV`lHV^B8M$fCuM8+ip=079QUmxCisEXK3H`5uGL z9Th@EM}@;^K0^Fj2wH;h^v9Q9asR{ARejrpN@?=++W`F0G&x`xA=K#(8wEF zt9CZV9G~b)8cBHZkEGw?6^aog@jj7Sqw41HtITf);(Zg8he=O(VvjfOb z<5Pn;uNKw6CZ;;tjL2A3f1=0cf0=_`3eYFfUaRpq34$9{!PW$&>Y(!>uXLw?0nN|> z(J#t>s5nZPFC=F06S==&)_&{vw;1B7$6-xyVaT2C=I)sj{U(!nf~(VtEH$t1n)jMB z-)&9aR|XbVgq(;f716snZ?+|mK?0YyLEs3Zg5V;hs>Kml;0pR0i2>h99LAMVDPG=L|y&S90ZWZw?Um@ zwDS3d4*ti-K54$8 zu`^KhmztO|f&p)VwwWq)|H2K2(bhJ%dk8trTO=7ND{~GaFZAr}?c4pYTzk7W|7;z? z5MAKJw2)fi0wxJG&|1m-Zv*^np1;@LbtfnD6xr&i+syBi4wJuKM(!^<+dTI^#A0*#u#k2_P%8#tE z4@kPcBN&(P!9@r?MojF)?%%x=qX`NgiU=&So$?A*Oqeqag2-n@D8N4J- zxToNv{i{Jai+|GcPOftC6jL53ew|R0<^q#S0tHZX&N&iiup7e6OHwgO3>~e;QLg*a z=*y{u!QDAhw&~`yWIZFQbw6H!h~%e&E-_k`bDBc_?}A0l@Q^yQb)n0#jEypsxf z*fZp1x4LHbH13xa<2V>6rkwXq#BM9cw^|^X?|UkKi46>a6ok>b9LDu;Qg&qLA@Q`O z1e28&(Y*|KA9x<40ocz#F&Jfe{iL!$VD?f>??{T3b{4cjr6|HgNF2LzV60LEYIn4o zw2r30b7aI9g3FlVtsk|_>UnYQH}Tj+#UV!(p%>J)p&>0bcc>R0`Sg{(Ra*z z#yZ}Tlzn~*{Oc97vG$wDj(&uI0XEugqqiUS_r9JV!<3(RPy%`U*K^;=Prs35=H8o< zvxf&78Gjs_5d*XG0ihAU;*&MRXaa{CcCJUjZ}j3E@$HjZ^!Zq5$8OsjCNZw_JBR+V z0K=?4bg%M)qs%b<{VRT6p9irqY36mHI)FNPnWnaKN(*f_et6S{E0K8oW!fGi^O#Re z>%ze`aNLdTquAX0AGBgQm0Wu;_CtXgjl&I@siG^4FszshG9I5GDLFt$0QYm6Fl>dj zk;Sbt*|C{_%H!%CJx96>^1dz}iKJEt7%qEEz~POHoW9vj(fRlcTOq2qfxPQ~t7IGI zM|VBtWcZavLCmO)?|?o$tIWNR^x<75us2YQMZ*z9jTug>*CO|@7K5FZQEPD9{4`al zcLgHoVuEv!Vkm{b`Ir681os3izy(>;;bMarDMlt-kym*KOO?c}6{iDMCyNEx0Hm@5xer<_%7RcA%f?zXSJR`6U{89Jfd znN?oxHg7QKFf=S#hK_@Q zaw;_=mDp%zbR@R2TiXH&gRt$%XPT!=*^Uq{M2wry<-qI5=62$kw&WE1^s!GN&%hgRU-mWp`dA_J3G=%doh* zWm^~tkc8kCBxr!(1PJc#!QFzpH`2JfySoP0;O_3h-Q69&#k=>p=iKM)`|s;t{m`sl zRdbe%QB^%x&3Es_wO%(=#WDRZF4V$3C}BTWFoP{w2N8Qo&6GB=NbrKx0la2HQu@1~ z!T+I*&lZjgTF3XK!3V84&W!=_$DZph1CT37D-?TD1{6At z0`MvNbJ&W=gbPN#s<~C~rKj}lGN76QUN%lOPS)-QMaYzSm}`3h<{v<=$U)!AfVlJ{ zH_`p0-liQr|F3DhaX0n?mSkE;SLynIpil~PX4jz3p4e6QoVn_nIAf=_U5ZYk+&gZb zc)@m-_g`Ehq}>F`W5%Pdtc2Nlc!~vTXss>WcHjSeB-irMbq(m*7#TycvU%y+M2c|p zF!%PIVYptfy|QKuo)6M;ilVV(QQbUqq5`jZy=Z2jwkj0+N+R9YJihJO3D=;!Rn5SA z(us*0qrJ^@DTi$c+smyeBmivPqem)mDglQ+0`#03<%yAY4@LDiZF^dcv7sv8HOO8{ z8+W9zkydhgvzkMaE>6fg86Sd2=Foz)h(ZEajr&KT!O$SY_I9MmIKQDMwW)&_>q#0% zChatCCgWiK?G+nhT`x)!mf#`O0+Jv!s@R3Ho#FIXS2r5TKcYuXU%cQxBz-C}p!5r09UzJrcgDDSg~My9V!b!C zyBXtV_pE#$3yLN9G!Nr&*l+?9sB07L*)@{FQR27Ek-wPW)_uOs8h9S*1vyKU_3;!<-VGUfne+Dy5N=+vnF1< z)|rAyHCj|x8a40>>J5{4i*Uny#fXRlj?p3^K$Y-q4fW7=i#iwQg1==o787B#($+1w zDj?eWe0pxP(h3gYoq)O8T<5`GhHsqp7v^mq$4}Du67YUo#~#vEro$bBEs$r>!HWVQ z^qfQ+yM#v8LslzGG?z`GFiuB5LZw~B9kKnhvv1fp&bD8ayC=kd!=LfgQuh}u*S|Rj zI_l=R3N~;8<^|DPnrPPM{;c(>HvxF&XYOOb?dG7Dp6p(VvPxSTP4ICdQUSKTSDgnV zT(O^1t+1(DK7+Q<17{O=VTUkiOAV>e2(wk@^kAxpEOUKWXaZ*I6Wh%#z9m`A%;vK! zKSX6q`GWO(#JtRN{$Ik)mO!_? zxMj~*F_tQ8n^*71{Z_^Yc-7AifK&FdR(A2hwo%6V^@BQa_t>N3gG^}Q^HrK`ABf-MjBXRLzm z6m-1_rjx`q{O6^+`dGV>oinSQxcFnlCt%P~8PC6c?cMx80f}C&w^RY^E>H_jOKWz8 zTLQ)Nrl%KtJMXSZZ0Lh*cTadMrzkxUEKWqM*lBZzlWvZ)%(#&V9hf4roAHZ3sAg2X z{rPK<{w_RFjs4*1isC+%sOL%;z^RMPyd-e=Dy0cD3+88X^VWl$A|hX(L}W+l{x; znIHo0eSoMsO_N0nUkm4)7ou5Wq)CTWlVy|3sPWHnrpTX7RtCVaeE*kW0hvzAii6k~ zsd<*GMX=C_VCoR+gT19S0IVTAw&3oXoa2pOqn7xSVJ8ou-fQMC`rymh#YNe#pUL}b z$#LWb*%Jt8wj`pzwfZ#h%1s)+8rUQCueD%*9em$q@0GAWD+l6lK3)n9(D=kkkT0}^d zdO^e3Bx_;GK;D*dzDNyn=u`1xgngqLhan`Xg1YBdgIF^H4m1dc*h0-$6$OguIsyg< z)&;bh)3OACZ1ZIHJ$F_Rbr2Z(ks@vmcXqZ*>adw)BMK5FUeNa4Tp&-&t4z``294&P z(kiTc#l}(M(;UECJgpA5>c%lR)%$Ne?_J+R0S$3#tEfQQ-%`SVd2edExWIY#d`0c);p zf4~YuK6d6eEE1&=q3hgFUtt$Aq6WesO)A!fK1rUu6Fo)pN3_fXg+ey8gAt$JkgOh8 z<7q#mUt)-Wn$Q%X?&<@xMvPYua4tx;p7mNOnM0;=L>i3VBZ#kPRu_xf*!|mOY{-kG<&hv@iox}xp zj(G()R9x0i4Yo|&;=Mxd!Y|T;n8s|OIS&9${6{r${y0wh)hHXJO7Eo%={RUY@YY)h zOy!PxO+^KnxJ9r)Cfis+C4O@a`xV3)&dJ^Cs2E2%K1$Er@m6qB5u{~0I=g+R!`-vH z-ylt|Y-(NJIn1GVDzX2S^9pgEmOCWQTUvE}W#?pX$i#+Iq6>|~vOz31!P4wn(*@+7 zL%pEpQ`6Y4%ntklod5iNOWxzH{qYzUd=Z=ZfAog&m~-XF3GyW}t<0T+^2ukRSUg`X z0{TE^1f*rPEvWuQvp!9fY{2f;^z6GdFp9SSa7h;Ej(JA!-H2Ze;+{^02CRiY5=VUj zeookWhRdEO*$5liqP?k@^=B?u&!jR6NW110u^PGa>-A}{4PXJygvl6aN6r_r*su0t zE@~+14XZ3lUo?>8-+7cmeB-G?UYehVX+hL)Q>vBQgg(|bqwbs0YRc-YZvS3uEL>&o zaR)O9(wv&}FB=b1na-o;}AwK}1BjvZ!%Ld>I*K&rpSzYPiQE=ym$ z?=sZ%Eq>GBN<(9H+;0vv*1};x3aU)4j^Hb6t~ooM)dE_%r{UqRct_r}!@^nc(fn9P zE91OG5L2}v)mqfci4>OPt5Pq+;#D{uwuUpM?`T5VU5FU zP+yjPWzlr`F?R>;#KUt{{od@GL$S12I5!Q;%BT31-0aV9l`3j3EY7W;pOTinXZw}X z8>Q}XC_Ql!YcHJl;(gSy2yGD4>5bt&ElVAxZKR0Y8{n)Xgln<-KK8aT`xgf+@%Fo) z=A<{BYDY5|4ObiB@`}~&C6i=0tiI7rS<%owy|;kdAJC23!Ld2P8#a(R9RfSaFk&VK zQE%vn$ui2*$J{qvOke!<)3ifjZGa4R)Sh$hakhOv6!0Gh$|ZGEv+8cm1hl!!HHb}GmP+3?02W-?o|_{x~TyttWH{W$3e9gR6EL(|K-$q(p0g}>ZqM(IqB z$jPn;7&Y2{mvUd)&2%X2&0zQqZ`ASt`)O}@uRRm~&=y1U2Yj@Ym-(Q@Q>}{mPZ!K* zpP9arIFuG4TqsMM`-22MhER!~JBO1163c!IUkxoUN@Jx2cWMHxeei^peY`9Q`+i}w z{S3n$WF;kiDOs*Yas)R%`QS(&@PjNm>mnh1lYW8aGA(MT3zOT@H`L+R?eyQ2=79mf zrBTnuRVra*F7a}w8gXL^>DLfKj=`DNLuK$TNdYsUZQI#Ns^ z4$^@mmX{@ADoE7OGaJ4F(o|C4uzL6zL$3U3WCvw2^s@}IFlIod!7qN8$uOXto3B8m z`7jUCad+eTf!0_?bZPB>;!o7n&u8Vh*NO-(6sznG`oP!$QgVXbjJ zm7O*PIBBjqf0p_U^TZyq6!K1TN zUp|{juZycjML9>*G1YHNQZ=m4vz%?EU2@QQn7(Kk<4@!7;9i?91++7^tT)Zs{&Up6 zl%*A!W7S+|mR{_ha(DCAv*BoB;*6TVShVUUDjK_@PLT()$yFBnqW;)WbcK-9Lf->h zD-ScDff9_Sw)aU%U}K~HWbR3}2=*u6Z1_ExQR#k`tHTQkh_tiwb`9jZ3#6;1xg}eh z`B}jS@%6!Co;(a;TsydFzXxcL@JvO<_r4B*_f0fHgg;Qrh;~JWo|L&a_IV|-2fk?f zM4C*oK>bJb3)Gzu)t2gJkE%sUD*BFEeB6g<0)g;1jTT3*xdvAhz4;HRMmdWM*YZ@K@v*GBTXD^Fiw3Cl1}U9KecLT-F*=njkkJYX&!eIQ;Mh~aNB)Afyk2d zsrQXp{x9tM&au}KfdZ4h@u}m3V&ZZ8-QZ)N;svgv!|qykw#j^J&^`?mz!XFkI_=fV zTmOObt{WC$^L-0PFz zga=vSXu`!CJ>)j4SXgck;@+WadeTfpAKNs(J`6|s9tX{3vcQRu!%00lSYoQuS)0%{ zG7$LD3C=B#mm26FxBJbP-$X=zrFo(6^{I{5cC#JKyKnj;p4&FSKBs|RhdagYV`Y-vvp(0gwigu4NA%C{PIlT| z1dDRy%|}O{f7pv%(${0IOQ>%=`@w`ENf#0)J1iwXAB#hPH-hSGhP0NyeWbT7iXfC0 z!jf5k_Z?T%+Q5cm1D?+HUcGinL%tyDQ{ExOf{Z#O+GgZ87|Yu3Tg-&&)S|-+w>0gE1X6kL?Ax3 zuK6mo+!_vzKGoj)Kd*>9KWD=ohkS89I{us4Ew+oApW0-~;~bg|-;bcfVKKNr_hARq zhe!YJ?{en`q^)iT?AE)vg|#zi`98D#@13s?5aR4Xt-hI7yU6F7t}t0<@0XM4>plA9 zJh=l>M8OV`BG2p!j!VC(7^vU>OJeYuC1NWXkF7R)4JEIVXIthYyMXa3A$r)jyl=MC zP|--y9u&@5xX_pSrLU`+z<9)B<`;S=@=uGps&oqR3(Zy~IUr zAj9+;P2q%FwX+6T{7haiKYr~dJU>h7%P(p(m>>DWU)pHf?6-Wr*60HAzyeu=nEKVX z@8}C_s}p#a%ISHE-5@e_9KLQ5 zm@;I&v>$G^E63E7R&m`B;6*wa|USE)4GT^G+$no1!?bi?T%aHV- z(ID8pPG5p*D0AGWWvQ-OMivt}(vxn9xbQb8_*S7~T|We4k`h&*mbXUN$vr~R=vhKo z^7GE&wPj>E%|q#$)MZ*5yng1QH`oVEX12pFed*yEi(`pq5h5NV?>~gOh!Ba1mo)fT zuA}W}2VeMsFQVl%99M?}Vb5u6Hr)>xl`7bA%=JZRFNYX`P?PI=EZyUi$59bj-F348 z8lirTh{d&EetB^VZmWV}69~~yDy@wLK1?+tM`S+rcfdOo!@aCk?9-;)bnA zGyKR#28&rNq77y5M{vdYH*Ya2p6Niz(=@HOMMD5Xp_xb`e|dJ`p@|F)XV+VN$bl;8 zgM}Y=N>(4NiSk8p!RNG#XM4FmxdTPnNz)`l;lNevvVWHFj?VjM(&Ra}PlF)8`IaMD7kg(jPnn?Z1boLY;e;O|Z2qt( zJlCT1d9%+@a`NgMq6~H>Gp_(U9PC~z5kkj$&wU(}yh;Z}VMkTS6&3f@7^D#vGm8eN z$?uM+eGpbXQ~@ge7P%I;QsUG-ha;{4e$$bXau>!wwm7|J=}26E9dt>M?xZ_8$H=&n zn%2$h< z+FDlLXEhiMyf^-V^r9wxWVGNCS$+at7sbWhOT?O*Atf8ux!_=(qflI5GXtSE47mpp zNkB-Rfi9onw>jmrn{D5VLm8?#WBo6GN4I9dgm4aAw*3Yt1Je$hiJ8W){vk7vsAl8M zWv!{jmzbsMy;N%hg}UcK{mkX~)Rv#j7Izj_N(=Y2+|!BybBnH~xrg<-Xu zM_&xv3VmKnGQ`A*^hl;OUD4MMF#~wt|B;YTZx0(QGoDAA@G5>39sIL??y9NsU*h2f zRgu8){_1MW)DqhBP)8C-e@KLL69{bTZKyM9>5~%Z;8NQ#)2?OC;a64$N(|2 zc#(fH)le`3*s_J)=1x#OHTI~Rp~UshM#Aed~PZ6ID7Ophn)78>h8 zucbK)O220fhd0GP1fu=Efc)I_J1^&%AIZ~>;u&i-tB7_Y?f;B#RSf&*&J|MJ($>~ zeR7L8-smpRj51uHg@Ev+;l-3muwbS`Fl{k<9*n$xQ36c{iK8?JEydS8#^8Ep5pp!Ys&`>_4fI5{bRu1akwmZ7r#=WLn{PucddWa z+cCgrReGn&o6wsbGKwge7StF>YtBt_AUdt|DRE|e=T`Pb^)F-ANu`O@vr!!@cT3W0 zdDzX*m$8#`kZa%U5_|E&L)LcutFm90OKuy&NIw*GysS3MLA65T$th771HE;g@ZNP#1vJLq?p(rs*G1bDV6BF3NRkC3G7~fD(p+FGhjEfyv*E)!d)~Q)Z*}b6rJsx}m9aC%5^$<CMbTI!SD4M&C>m9Pa!2#7>1K*5qK(1;BT%*B-#Tt8If zUw2H_ravP6gYLf$VVCEbzUo#B7`KQ`DR75rFX~KMDnrPJvGS`Gr()LVLHC~qtwYVR z_`;{GGUr|jdlEy+l0yHw@i>;81tEO*yPZ~Qvhhr6!qjV<(^AK_@Ua70B@>BPO|8rN zmZ#)Ggf_RMa!Xi6d&@!^J5<@?&i^|jK=_xVkH>UZL!UN+cjj8oW~c6U#Oyba-T!1hf0QD>GlN5ecV zw^D1d_1)Gh=5@&Npzac?-~gLbmjSb-$_3wjR*HT+%u-WfRtb@S7_}mBf1Pbv9+=Zza z>haEQN7{w0v4JOxZap~Kv+;az4%X5r45}#&D7KKs)`!%rYJ1^%5Hl=7h%R53eC5C_ zg!cH6@TEGbu;H_OL^mkJR`{F`Cv79=yi39<`i>VLU#mPp| z#)+iwC8jNk@WKO)%EU6KExp5{_d4HOknvqf;Ofr_duxnZiu`-PIZ@PU2dJ+bag2(B zzoC=&-bnQ~N*%w(s=6%h9W?dLO@vZZJO|)B?aa!~)Prc$j zzI?rjsN(j_ZEO7bn!NMMI?;|m1P_FOV;}(09_5O340p!77~l7vW;DO-9bn#ztNAyo z5*nF2?KG*`4O@#wXRFN%C1pws-ow~&U=+~_Uq2&Fc+=8VBRjU-qL$a(xLwQwL*2ZI<^B#Y3I_TY{~w()zh?|-#cgz zgSCUXUjSPrrPyN*D8E#2l^~!)JevDNYyEnE2bC3H)Ph(WlpMsDu^6l+xEQp;mlBc` z7HGQf4hlM2vPY$-T|T%S zi*+%hV^e?-6r6m4UjY1EEz9E5V)zk}aPylC35D;Hqk79t&QUz#=3-xIl0a$1N#X<= zNH#A0P_RmCD0&o0DS`7AB&xhuqfSDu65#v$@vhwr?a}X;{eM@f?=w5AltLnBB>RKp9gnIcw?Qko+n!7;DNA-&Vk-sO-tfJAMFs?z=wZHZAxn{O^&q z=27{<47*BXM^K5h@Ewhy|Hwa=QZ(GE1#nsOySDY73q+$+EafN>9T{Lj4LYcwNz zn#EaoAu&8E`@yAep_4n@R&}P8DJb5R8R_mR%C7k;KGNNJ|7k3Emc*1-A)!A{Z+)x! za#&W;aPLv9u+J(iuPvIE$7**D#f5Pdnct0UXz9EFy50!p>z`DYBlob=C(9+05k_e15+b$6l5iung4Ff&8>=bELz-kvbU8ZP1r4<~E#;y4#vwpXByw^G6X+c%kPF ze3eQ$49oTMxn<$O&OD&L-75u4nAd0F{UQj%w%r}ymXhx!b=Nh=OUg|XYy#P4J zV;|IT`fv#CX6aGQWjdYJ{ek>+=H%~<^Uxu*K*&fup{0afax9@ZV(M?hV+`e9+QFJ1 z%(W4mDNi8w*6SrS#^=pZilz`rkKE1pBh%-)?G+>22lL7{lN7M6`9i@)M9EAQZhqW5 z2nZc&eMkYyvz4JMKCumg)zeEe;GDpI<;g}%+SiPHE^WJ`I@X@s1*h1SUquP!r=;)7_7N!{4+<88}%vUcXC{H6ENw?k+#N^ z`RO0x;fki@{Xh~lBKXhbyZgsCJr}BkDJFgP?gmy@tM4NwlQB3EOR<)Tg%}((Ls6al zxj1@JZ<39a0VA~qfX~w1?cSK-jHcR5%2X9{+s>40xGQPt`oKg{sgOX9ie3SlFrF9Z z6wl~0&SlVu`N5H>F763q`Qq>;raJa${IU+bcg6)sp}d|`AJXmQD_-&ukT!<`DY;3C zzdk(Yf>%?N_!=Kcwl5W(VdoGjstxpKzUZwi-s_cWZkn3Wx-K}HkCf&VmpXoljh=0t*$^AVNj3S4pc*l+m$D{YzdKhomPW)Ss^eP z%~;cAkIy66DgEw-wqf&|UqMPknXLFLEk;k*9immm(30%El*Oo*Sh6E3ex>uD9WQSq zICoW7wlunA+pV(ygS=5N#%oQgEj2Tyr#wKYrOA%|r;SE=?1@0gk}hJ&vr2rVRs9l= z(xuY4*JUT=1e-x}yf$TRHuv*WHSWe>nTsgg-_;BK`j*@`qw@VDE&-al5?M(7)}99~ zu|$(r@oH=}{xrIgy0{=_st|z{JE+2-cdl!P)J>WUXV!ZX$PJ}`Qut(uNI`8J|DhHZ z0zw9^$`b{nqJbKDXXX(j3L1x{DZ@<_)`~jVP&#*G1L`yUFKP`YKg*C8!tx+C<0$9uNkBR?#up(XQ?cJz?4_E) zGxFQv{>>;{7B3s&tBc%?Fen)fW*TUllt8%F!2=LLtd4T8ZH)>7-Bht^W^$Q@YMyh_ z41X~{Q)D5MEK;_#;BlZ@2&^zk_CYX}a5mOmLf}VWaB?N`CgmU!#(v!erA+TVEB7kq zP1Ib+4?%WV!+C`L5;XT1?MoBxfH7s2F8bbh|0;J}%d^K`!;zNVQt@krhMWtQ?65Ml zAg$ZRsCkTzsk5m`ZH2rJCViUMSCn#!-Wj;JSQkGA)oiek{>^aJ>0Gh;+(3;Re)!{` z!lZsv=P#1s19jnXRlJJX`GOXK?8voo!p3pon7ek#fp{|7f?jgL5@2;w)x3(q^ZNV z{d?EN<2X6icr)ulN7{1%TFG#(;q_{T4vFVDS9Xc7Sj}W8wGADglqq`Uw$q-YHKIqOR?HKpH)FDG41gR1hi*-%ZP~# zkGMy}Ntw-)&#`3nOwza6SdMH-t5@f2C3+M9rgp}@X^45bO}x$hxs7DBhfO8_3t)5R z&Gsr{k5iaco=^EBjUa3n^4ZIbdE)Vg+8tX5=@gG0$M;&}=gksLne!PJY_9w1A3zxK zKqk?CDkHo2GS5)edKV;h86pK6GJnFDjSp~FwFdWm1Fw<{NN=mhk})wXtw4!{(U;`FEOMR&qlNt@(uDt!j~`ay zF!MEE-;1yp&(U{^ybVneU#(fDze?+Bgvb(vG>G1`-cV z6nNY(8CCJr?P}#KR=0CB)*19rSC9lb_lW|JK$RXywIh^DUWqYPxx`m($4@gb@86LD zU>3%6u(n4N#n+_;#X)`rna7>PFIjZ7$GN$YDWPFJpQUJC35&JUK9=supUf9w7hjR& zR#Nd@4xSFYDiYeBs(Os0<2_6v=yS%5-#)c zU$@=%+=gBG!pSpYK@{$AGR#GxOGp*a))q-6Y*>)NHFY}y!ib;}5+S^Xh=F=vuqv-1 zVwGplpl_;1>NYqHJZSk@ZOsc^X}N>%8ml~KUF@PkrqcKHhj!HZ-cC+^iT4` zZqeUGHM_Dz6A9q%jo4Se77T)>k!}i2yEksJHO==Y%-lk;wb~z4d9C88n?c86#fdPZ zf$QDo2vwfX8xhrGfnZ(M)uVJ+I``EIqxJ3?3~h_2e7V|Tx)~m&-_g{4haG0Sa(3Gr zF6uLMXh5*}=I=gvFU(kcQh2MyK&7EIPd8}v*XIk##%*ZO;&a!tMc4hv*|iTH&Ep{8 zRgp0G4^>+}NBgoPAJ9WJ`(so+dFaN%pD(WV#v*PaT=qCFR%TC6E$_p%?*y+LL|(ej zO!y+N#cr!(ab===n#^Yv_JE@oYnK=cS5eiC>kQy zUsq)uS#_Z?v*>iN{h;W`_fUmk9BDZa$pS7E1VjZlOolj?irmc#w}=%ybE8W3=#`um z*bX@^Uifd6a0TTL-(VyrCT$gNc;xdNKB4cH%E$ay?y@Fd%Q+KaM6rK3@~XbE%*7m6 z{SS<`h@m8=3ZCCAPv3pte8?CH3FLVta?#yB`Rh}j?cCwUyq@Fi@yIoDJO=|3UHbA(crFsWR-+3}%NYVee}t&}qcMpCr{ zFA^qHPw(;b{iH;a#fg;5E>%O9KyO`N1yDehFKE~ZVB1e4 zE|a1Pgvn$r9KfI5CKeAEMJgN0485qW4f*DDZnd(~pUcZ%R!b391;I#R00VP9)^ShB z<;8-zp0RZDJ@-faf)m?Oz0%Xn~N zUWU#Qy57qMDj_W1pYGQxb3Fo*Ww0_vc`_zd7o!mf#64(rS&tQeL~i%9ZJ(gJTSJ%) z31gE2o#3EI{MogS8GPsmcW?#Nb6kZLoL!|SBCr4@ZX{ZZtSO>oTL_9o>Gngmy|t0A z2@k}lqYC3FdJNA)cFbC7a6XW7Lg#ELGjqZF23lQ`bVI)0cHeqVY&;<9iA$qufY(=X z8sT=inY}HTS|q)J6eCJtrX2YLbq1&kC;}YY2(AZhM&UviS0PmQHq?lE1#bqPtH#SJ zyYmmtm{B*U$5yW0`x97;s3t~WkJA{li_RqR49k@&o5hZ8G)*%O4ZxTc5bDO@q(Dm7 z-dp1J$+9J^zBKfa+~W9BbO2rgH2PluW}*&W8l-|ZZZnW1UFMaR01Hxg$O3q@+njd2 zpWD~sJ-p8ehs5b+MIA^Cw+Q;?zNa+ACV`zeg1=#74UN*KxzY=ko2DHfc1luGX6ZH- z7qF?GlV=SV(r@xgUm<{ikQmXL&tG`Z^DuV&6rnzbYX&QP|0!gZac9Eo{OMxcQNP;| z&Sy{%lRjo-5$axxiHf&@>v4{omYURSdl8C8gX+!NI=TIyIh+%?7KSWo6KE&LY+%E+U$n_;gtCH2|{2 zM+SpQfC@(ohr+25Esz0X_l~+9w+x8OUrxFIekTSu=>bgN>_z!TaU=`P;MMG&5}-wW zhBx1P9nEtbYr-`s#cC|eYli%;?@ zrff28Iujx_Q3=#XrS{kH1*a+cDtE1B(;KyyJG3zPG3gN}7H7+#ZtqC;d*tg6^tE@} zzmDV$eqBi~UzP-a#HXOx=pjE$jc~E59rYil-Fg}gd)nFV-mOO)-3`D3iYZ%0h7Y;& zD%4e;G!jPUdS7v?S&D!6-P7Xl?xspHUj%;tK?uKx-V~B|R~Z@;nr28XdPQijBzY#W z7MIvETSjo1x)2fU7JG^8!5yIWV!fPgBmNQPrxI+_`p)DH2n8xwCS>(Xv*Ks$KhhZk zi{$pmF*eT!&na}#h_6dUcy8PiGgnf8JNyL*E@(SyC+`@5j--87Ec2>O-f{@9;gVyZ ziGe^Sm>;3K2|JfF2L>lH^>Iw6ge~RiSKfo%UPH^SJmq6?>tf&0Nk3UQbILoT5mXP|X-Le-JVZ%Gs(t_>#6{miWk3Pp4BR_psg6Vbm2PhzlD6j0el4k>7jK$kLc;#^?e}YUj5O8F@TAden@P7ig!q_)q<3z;J z^Jgxwq@~%#qq^)O%SO7xySZze=t)n%RjqI-uK@Ybw?cda8f=jWC$T?u(93615&b5; zF^xtfTbu_ftFCsc*mzG;Jdp$mx{rmhvY#5i$eQgx2PUJOvt+9QNNXC514p$s;bA)f8#BtDxxotO$C$bufl5z7Rnc z32fnMC<$52^l=mZt%>z_73-*G}e*w*!+GK<3cFlOON@Jl- zbLF}^oKMzF0sKDDcVxia7$jDz-U~bGAfw1#QXe}hi=mY@o425IFbEpfi~`Ef5X0iy zm`)Vqc7kQaU`zE~!nNgs- zt0{W@XSCU}x>nYFS#v-6=Ovpfx3($J?2J{4{MQ%1_>4WDTDN+yUPV;zl)SdmWmIn^ zp6?>sVJj~!od2Li!2EqLQ62qE9SyUADPI$ zYUr($@dhLp6)93m-s}B75oK{!P<4-K(AJX>hRy8ppR0d%d91@lP0a$-nb@y(8yzzG!K hN>=`cRgPNN#Aw}| z%8AFP5tl=9UwZV}Ld57-%|}tySy>~SzB^3S`+AlH9`jdvKO(^hc)Y=3p(&iZskOP9 z{A&`;C~NIUd&`lxc461=2o`b=*a5_Vplc^|j!k;?o(aW*gnkbd{eQ6DfS| z1qP+-?UPQnZ9sa;wE5#^8ECiP7AnM3**?hLwX3U(cA54z|^a-2WW4=yt0J<}t8 z3&S!7RDc|HVlO^-DfK1_q{%Wd?q$kr1&vG%;Y=^#dd@gc@xXQl068kD64~Z^esQk> zBnc*VH)gVyGb+GpUFjxk{I5qAitN7=C(s<_?DstpNXAKfi^?@<0pFat>+`3>s&ym{ z(Gf_+dP4Nt)sGh*3v}$y=hQshtFgMOfiA01ujy=m+mO%M{FP?lL?n1+P+&$_D%zJA(uU~WMMZpe|> zT%;qD!*97=7~^WP9ke*N`b#9e0s$rTr^KO1`ahVfeeZs8A%QI3=D@E3yWmc;Rn-0P z;0RUyUsDO${Mtm;!6th9f!0y>SY@CT8W@J3(DNtuwdY*afs&>5j8Y4B zhu^yIc;&C&3=*ZfL;b}-KX0C;a^+>E=$QMU!CIzEOL65DC37xKJi z`uroEWp6>ckf6?wNKCOgG|KmobdLB%F8NSIGCFw(GH>o z#AKF6NX(UsT0nfeFQxL$4@m+NH9vv$Y%6x`P3NQilDqCu-Kl3vt0ZK7A;^0$G^IG=GNLDv#?Sv6CUJABwZ{C^&9I5<;&- zcZ4cbQ~wCB7pua#AL?c(mJz4$QNvCFz$pO#;t5=)C7pOgGm|NebCam7mNvy0;xc^e zR&$1>Jvl0DasYBY+(Rvx5ySsw)rWjEn4BM(TbfT(oZPNc-r0^PhgT;Ge=&QXy7)t+&dS*V`6 zCuPcNvRz9q=C3?+MJ;n%P%6A6fsaFO2%!8n+b6$PvTB7@H;Y2(U%*Ck@=gTo`?0y! zvdg{urfD|V`ePkChzz~SPA+BaZbSJn;tZk5lwT1Da|=qEAuJnOY*{wCWWWN4u(w$k z%hqM}aX%bJF1h_Hg^9g%OgZ>f8G$n8+w7JEmPZvzuV^Fs!j+#fjTnp~8reWz1?cCgy8r0)(#1Z7s3Fs&V(Dx= zn4(PsP5>$;v$4ynV~H>2+fgtVjKEeYX6!*{=WjF)T!t7TW)ueK`2v@hh`e+$5gmWk zQ^tnJO27@({B`8b#H(`fOFnqSHoh{x8WFYDT%_i@ukpW^dw;K!PwT3d96?3+d8w#8 z#eSp!4lK_2k7^|%r*PjVhWz$wJFglI+08Pw(1J%Cn&~Cvf*lDGCp4cm?DC>a+l0N6S&eso$AmHTw(l(Bg;TlL$>fJf!50}SwdOG z+xoUUk^@kDRU}E>*op+eHT~I7dJCB=cLqv{Sf8a%!>A=NFdaR9Y~P{hVF+RNufCZU zg(~om5W|BPs=S-RJCLFo9*=63A0~qm-pju zMvEUA4#0Callr0VKLRzPgMa2Up0aMnD!1(Ws;2ciQK_ciJm{T3RlCH;(>#5@-9!D) zT=C!kEwJvLfMyM&M-7$(4d&V;(WJ|_Hm&JnjB{meuGxhdz~KAGfI>qp`MthW##}Ow z>7jvqaNH9|yiL-A{B3zlPxPn%(tQq}LCIm*FndSPPw3s+9L-?ZHDa|x4{+}bHpU+T z`oUbl1L7d%>@q)tk{>TC??m;61Tp)SBVjH)^}lltynNkfpI?Xr@&SZl7&Y&7^Gc{d z2U$Xq9HvuuVlo^>rn3oPwZNwk#nrBue?S9qJxq*ccg!yFeydu7xv<3M zmNg1TK5d$kYKzIh|NDXqMSyMp4{?E!9sbKnz`fqSPr>M(TE;B9p3;CAev9hAmqF&Y zq`sFc%)d4Kzb^rhJ?;PV`~H9X(3t@kKmlI7_&Hzy{cgpd`ky~V^1KbF0I#i||B@Ku zKE?s_pTBsz_B8$iaxw5K*?T4KPPRb!Xke*tXK!op>tAoJbWIUHGJFRZC_Ujn?>RZ? zgiXxt4Q%Oz&41Y&2pZ^F=^M~V8dw_H8xykqVBqFP`1oJfJEgX$Nc=?SLvH=a@R}9D zNH*o5tlWlL9VASIgT^PuiHw9>WzIa9T^N;NH8Tv=Bw61m4IPk0Amny^06r@%i&c0+ z^Pc1XfLqE$2xW@Rr$KXYu!&Y#_8W^FbbSRO6gv?VbJ?h?OImYAh>>~r>rVq=RTq*L zbsL&d<&opBYL1fMQ#;NfVH3yXHW&G2S}1dyjStMKANd_EMS}5NeVkQrXu&~jtXa8A zgwmtZxCnV(wI3(>q{j|7tQR6R?W}cCQ>8lCg4mAJDGa>jW=GgX%(iM35BzXPJx61+ zYLh`;r%|(D|C*X#vppjy_`~9h-@bi7($D#tIEi6>LY@n~#aSX#q=S;4Mb=C+rC zS-3I2mOEm+Ab_?DsUEMq=sEq}Xq!Yl>9V?xab&lydc;-iQOE|RIr=+idQM9e(W9wS zsl}`XR?6kj?+`XR_Y$=^jSEvvHFWqLNG%1F3Fe*|IZ#)0G=)hI-OKh~B}#R08Xcba zb~fQ>c*3w2wmUgx0`Lp|yLs`cC=%H)2%0X;&GksqQ@WGFu|HH!Zr`!ihlZqRjwjDY zzC@dIE|q>JUl3jV!pS=I(UX;mtNDHRW|6z6mz~Dl@FUW5GyGdj&iIOsUbM6Omil9B zI3br(VPAyEYt-N`=iWUR=Q&FxZM{YlM6x~zyrMTy`;A5jdlt#Z2&=}c*@b)LlyyQa zDsrdXcFp&VnRx4-P1}n_q%q-5+jMMvxQpJseb|XDm~$;c>`rl+_Jpuo3hqrVv(=(B zi-=xwJhB*@1dW?niB7t-yn{GHEO#W-zv@K{srJg?aV84h{eGUGRt=KFCu)XWcR!+Gjrpj&u0h4K4I3 zI<@==t*>Z1*5YOgQa`icsRKRwN%taYN9G}o(YiV{q6I`A^zE)k z)>NPR=!ZKw&*Z~ZIA(6r#ouh~gvr(}Z++f5+T{35@ElBiOZ~ErzPKHs+L^G0aA%>o zgASqjj=@{kvG9|hm(IQ+I1szQdwl4=OFE!bX6rnI&#(L0a3B3|Ai)0z2xz$58FOf< z8d?||IdP~v8#-bBFK_+A)R;rl90p*4fRG@EoUys7nbUnPE^a{%X2X(s_M#da6 z#xCYY#%i)s98$m)@2AEN(ze!iwl>B#PWJ^lzbIf z{bd`(eXe(pmW!q&MNMC;cW7SGPnY;f{3iI3a`BU)uN|~OhG_^0p9VjwV_+$^aY%iNsjb`)$iGD_z^OG`@Ou69XM&0@Is0?3 zZyih=r)%EFo~~P3`6l7JD*_xfXT_>9sQu)#ood^p!^rAd9^P$&j5?lhmnR$?UdYWB z^$yN;eYaw@=DiAB)0$arq1*I(J$oN;U(yF~zDKHM9?tF8xNUrWDSJMB02)*%o(pn3 z_xKTUzCHAs?)@QBTmPc zHP4Jvt6qNNZZhz(>!^6<+g|Lqc}+O|?Vze}Hra*;pC=+~cZ+6jo?0Z%cabcG<@Dso z7?$Q)o(QL7_UgCt^0uJ$)`b-9L$u^_P3b`G!~9y^v%>QFl-6hqmHpMFw}sXcZ-x{{ z=|D^pCr&$lbFz+s;rY2J%|Q1H_%a|CE5(s`RcGTz6O+}WOM4}?+x@cPUWdMGMSFz=?78`( zqm(8}s4Y&Y=}!Kb_i}gtOLZk_$KlCI&#dj1ZH@|3&YI_@A09HEx!Ig4X2`CU>YSSp zFd%O3_UVf=zP278ay&cSDj%F@we($Y)C(!Hu07LVms$!m;1Jsy3YuRzt=4r}>#W&3 zwoUFZa62pAKU?&z9K#9_i%!VCp6qgv8hrE9L31$q-57eJ_V0R=d`+>lCafVaIBmaX zM~g*{f%VwY{sg>Zd`@NGW}Y-1kmtSR!j38z`J>vJJ&PA&g|+)2Q!Ps;RJx$Fj|rx8gvT&y?KG=}hcNLd zs{gx32ZV)NYn^qBvN--^J0>(>buE3L{R2Vgc;%jJjI9;=9>JLFb2N(v(tm4yZq2r; z(Hk=JZnD(P-7;sYX&os=B{pG*{0Jj4;(Df1bv{0oOpd+xOsN9Q0xwhS3WLEJ;4S;c ze0&t8YlrTqD<0okoGVv)bWL|LN+AESYb*C98?2&mo{;Z7NbaU|!4H9d@F8!jI2^%y;%)81VgD(xR@%<;}Yop~H`QGfprGp1hUsSx7YDNOm;~eQ6 z1+Eu`7RR6OOdDZ~@BQe_Z&}IHJ^#_0#gXi8s(L-QbX68ovp?kZ)-e;qcyNPZwSJ07;y2)%)oQt?X^sxrd!-%X9J;n}3+2VI!~+l0-Qp75ZSvNm zeAic@yQ3L1xE-3?tjo`{od%0b>egwPiNjQrtu*Ih8rgK;GHNLYgy1{H;<>!qD*JP? zuGbyqAx5}sG_$6m`?)LYH9NC`6?|kO1CD!R%aF<0S^@p@(GDTRC>i!~)%ltwD4a^< zD@+-aWSq(a**y@swjumIQ!=`4WD$xQYMFn-d5uD z1JpZs=Zgx|9?UaRqxR1YChIfL(s$Yo87Zpw^jhOR&rEAqt|jCrogO2N$K940xu32@ z5ViVaTOYgRndym(n6l+3CJRF6Y4S`H147BI4srpRhtu+j>Xi$cw#&CqtUu4ME~oFc zt=cVItKBm=+ZIn2K3O>X@svjQa9P}Av8q+`NoVRe>kSz^1AxiA5i zRgc5=-dfL{=O%04#M!9~nyK9_@;M&qT9+VKq-J$g<RFdXy$ z4A|Wh7&^yzG((_dp4w;8XSo)LTCd=x+>GMjqr*vkH(^UQ(etfN>;MDp!{vv=GsiS5 z)uSW6cC$^`_=#@cTV`{|bmxAOb)G14^uLQ=QMK{4?TGi>lGu;e^W9(VF~Il9D`!-c z<^aB|ggT32eZzH)Ky`IYM|ewNsz7{Ozq8f$tkQXsXUkpOc$UCtvkg9%8@?S>&Uikz z5}uK@(^txBxj0c1)U1QzO+K57W(7fs3AAJhd=~SU+rzEi{o8RG`1$#N7S3-Umf=1N zg^>sj>KtE@hm7hGHNkmzAfrBuE!Y{_+dB#Cnyw@3qkFZ*d!W#P)zLRcIpfP!XOjD~ z`+KXJKF-V3Eac151W~K*v*Y0&gDW26{&PRn$zWfm$n>%{a$U7MYk5BYc$vNGYG6+t z`J;fEe0DdfWIJf$XrrSFwgVd1VpgY&eOqPhnx0vRY}u`D>>`o5bszeeM+DtcY})wJ zn>fm_gUt8c=>c^F^2)rGGvQ)LLz>l_$c?1ltX>rvsSod%)V1{V;POIIvrGJu%?>>M zUwiRsG`L<8(?GefW>Bzc7KVm_fdS-N|JU5y+%n7VR$A!c?8w0Noh^9G%LsgX+gJ)b zj%Y62mfhU!0Ge9T{|zMLPKHG(dRZ82a5by9HBLEHDtE32tS8k@GtCF6_O$=(T#I;&3jSWC7@iTzOI~Zcxiz3suPUMtAmCNipe2oFX*5hr zbUUxCzpywbp2I><8C39fG!6scTYpHwhQZe*9DtAe&~LDRdm?6&J7^XPI#BF87)kpE z9cb`3s$&SIg+6`_yA~CJOe0T*&5rQQd=(3p7l;zClm%9Kk9^J@3FfVjLh5>&+#8bR zS~S@*-G2)s!HXpMFVZTVtpF-WlSI8j{~N^;&?(~&fmP%na@8SZ9AO?I|4@-!63d16 zfJ#tA`GTp%l7fO4mlq=EeiVh2;l{RF$J=;(E4x81W{a-Ol`t43-4y~P`Sq_&=(p04f~@csBo+Vq0Sw@JL0&2RMF zizLj0B@B;^CAxgDvydmaV2Q>M&c7nSEBCZ4XK)$SuE7G&ZZzacxR8-rfL6xsV?26b zW+F#25{XpeBTX2V`6b!+4BuIjhHMui-r9k)HDC!5kFqF2+O23WmJKa5?ev)@O1TeV z{_Z0UKeKZ_Kiy9ec4c1+zD^SIcs}p=?``f%O4Hw!&gby!DM z(`CtRAHyR@o1y{EAIzdqoWkx7_@z5FX?_(U{pQKl)zvb~Z+b(vOmywvp&X9}!@_f% zd~Sn(+0g?&UK)m5O$y!ej!_Sw{x2_P8a39-RCgAIGktek5pbe~#{FKge)5H(k&%(H zal;|4+GYvxEe3J%^|5J6l>(C&wI7MlExZ?8Q}j|Z(D4a9P^jzQpjsat9ksNyjLiSZ zv0vZ$_B23+4%GV|V?RlBYNy?omF9Kq^W9vj6OryWl$J!28`UysQv5Smmmd+t<44Vo0<)V-RD`{l*f2U9?B-sq+}M>FTxEe zehIm&(V22PGG2rMgqG_)qmCPIH#+q4addPXx$~lMvdh9Sid_5>n#KN?G$a$AM)k9X z6OIoJ#qI^R{doQdQ@5#obre6NExf(Qn{FbK4-eg`H`cI#E#)AY4>11azrmygAw)Dz zzTmTWLYo*}zeM;3W_&@#jqo~Haaoz*nW=tLxc(vq&!zYG|CWNA7b9qrp`&l<%%U*n zumZoZyc{iXHG-m=fk!a}x47hsELZ4X_<^@hJE3i&1UV%lZ#ZPW=R13O#Lb-B{%_UQ zrE@|7)5*Jj1wRsQexYI!oT&b#rKJO%F5t~Fo7dg$Oa6=GLXjwoXww&$aehg?Cny6i zoCO|i#r8~Jc-tY?&S%8r~PD2o^*PX9xD$#H2ZeF5R1IP7_SAfAxBq;58X=H4_{%lCk3Ek~m-X*V_j z8@u&6(f)~dh*w{F4hg&U0^Ml16xqK&AZS#)YQZ-adB5XbEM0C?&G5$U+5SX!U#QV^pVcekBZA^@YmKEN{?meMk}}s^7sVG zx$Jh2rP5*(530$E?#WK|1Zba?kPa49eabYCf=jDK@eX;3**dS6#>{ms$1rqxK0ltD z%iN|_)!TRpuuYWEc5qn^5)`!nn_WpXO_bu@ca}prBz1p|GQo-A@7n@?@Ae&?V^D3` z=gxm9Si7rJ+Ylw?{o87TR3O{i+dL4Gy`X`Y?s&C=OZ(l#?AD*Bk1gto9(p8NeW7!5 zuid2*T@fHwo0#@eiUNQMRDf=Ae_FV4x0i`8^O(QkQFUa+Wp<2@bi2{5SvTrh?%ZCEBLQ1?#W zd$T{>p#5Nl_e>AZB%cMOZ24@o5Wt{f!7JMWnRmXm1Aui-Vh##vBdu%4K1i*}EE_(^YxZ2(s#lfW}Z z-CQJchq~hu-Hl&>)+{#oIsdW(ilU}lalR)&r8+m`Y$-d@xmlaZRFTh}t5CNciW|B; ziErd`$2Lu+Z2Cc(9PKaX`}c+u18U7${#y+mqc+Y4V+E^G{gzvXcMC&&h(cG$i2R;k zfZmE@=n+NgGQs46?nqa5X|bH@_8Qr!i|~eZ5bTCM5P5Tjqj?ayf9v8|Hoh!SLbw zcn2S8=|IJQx$V*(v9?j=^D-a2F8A1zw>f_3wXts#R;JO2QvJ4Qpqwmd8Ex-=*ugCv z377gSGR!J{d)r0P-ehE7=MYR+Ij*N z{lr;PV}xs|>wV`uwom0#j8Fl$u)JPl`Y7b%9MSaR>>I<{kLr`p8h!u4zl!R1O^V!S zdbi;&82Vf)6+Q!6XY|zxS=Q25i;9GiEM3g&{m#JSb14!$4a67`CCu2hvyFDZ&+Wi| zWF`yByoNH-CqemijmQf&NQ?$m{p}-@vs1 z7>UmaIE*Bqhz@};szj^b*x+~OD5T`{V*h1RXC=`)bcivY;+_bP!_PZ1x{*M>feE|} zROlSF=CDN(eNB{S6shCN5G--8bb$E>!-+6Uavzlu86N$}t|(+qXhMfKq=(2} ze&ow!SN0&x#N7Vl0O=l6CV5IyV=dxa5?jer@_zR?DVQz|=_B2Mjto41egOev%VD4b zwF*;+1S23z&Yt5c9<=Q8lz^i)^uwy|L;WA{p>tl0ra$6Pf7`Y(c*)avWo>QmoTo1B z{c}Qom}St`op@j_u=d*Td4MfP#l!e!i0cLtT0es*uzp!8QxOV93+T&LMLLZR%C~~3 z*ZWRyUjLVE++jpaJNV9bC#9vMHM4^9@07Ii^7ln+#)Ik-nx1d1*+X`z)4 zvDQe5GsgM0l%iOm<#ZZ$GB6PR1|;NSWox?(Y>#dOZfYBIM}v?HLnx*PL-T=e9CJTO|8n)BUT6T9vr*&$bTonLwy@&S7y8+BdMau^Cu)b{ANA z6d`jqlF5fP$&=LZ*#Ne-j*(uHr}<8N8!H=o-$)&G125!JBsiUWtbfVZojZn{C@)dR zH+OjLY+;s%Vb*pu zQN@#XGKP&oe!Zrx$oLO*h-e*CL0~5(EAAQW`%ronV3%(#?#jqt%CSB~o9Vm@*Qdwcuod57Za>Tz7Xj!$p4CASW%cJh%(!KPG? znA-4wt6V(LahKxt{6(j=4B$fr%8w%ZlhzF?osFKvFwsJr_s5KPCg3tzgojyGg7^B5 zVv-&G-os{(o+GBFs-vDVKC>_~lFsBHa@`sg-5-0H@@yLNLEP^ZQ(PQ!WEWMfzIw*F zup1;!U~vOr;XPo-VX)Z*$GWD;vKS77v+Bv?>M4bq4S$Yi9W1QLklO5sL*EAkpXaQK*$PMMd{`rvF z`ydg1H8yA-xwKnBG+$CuLbnPO5i%|@AKJ9%7JgQk&D|)DOh(!awC+-ZnbUP^*?kFI zUqb-TNq6MH15ovrDunQjiBRCom??d?nWLjRO9VB?KGfRQcAs5Rp$-bz26f48=tzW+ z!ii%p(cBl4=O8(VY%~JsS!rkA_f1MA0T5$hOfmg`AjZqu9FyTX>FJZawauBPEUNBF zhbTKBk!_9x9Xwx@g9w-C*(#EktK~BPUPY!>?Z;zXT(1n+Kchtk2pgkVY7BMX|%u+#$}&Y2$ZES(tIpu1+}dM zk*h&EGc;DS$K<#jY&#;+mwVOV$Sw}%V`-p>z?2D%5=k#bus)uX<5ru(gtE#LNBe+0 zEyZ(9NZvR-lXHWo%a4pD3S2oF$Nu-E_?9)`zOGiHqs&Bp3tpel(%n0K>w|*x`2&N4 z^icU03g_Ai$B|%~_HP=*3<#6cU6WJY@4HN&E(9(I>2)9saSUrNXPKwB#A5`_%R?fq zbNZJ64zcEsJ-EMdWyN|$y^{4de>T@jl*dWJhRy*mJNFA1u#w;ow{PEx`4rkw4HU~j z<J?~*+xCVzR&R-&G{ycXQ?9kE4Saax5Q?jx~kAO z)~ZKIo<#u$F(YN+RO-(nkqW~ZXC0_$g>pu~#}kJ31MMFFlAs-6vC(A?M?2>7kd(tV zQ)=H6`*|H7Rk1_Zy6FdcsOZr``ZEaq%`Ru?F0~Gh+a~~QDRQUSfynr*O#F>9ikkqa zwq|0iVSKj?Zhz2>MBV!MCKBfVqR9An)gvvBieGa6l)#6T0Lvh>hW?oan|QfUoabGC zgrMNpou8gI{N5Q!QH%uExWhWQyGG9!f$U3TTmF?o`oZ9WXk|!8?u;6kmYRaIYA1~& z0p{-$qzdt##H;F!3oSuns!L#u3X)KS_v^z*k|TOiD4v%<*CGbjMo^fdl1>0BWZ*({ zA{HV`2ij5eK;`h}-Eswljb{zTq#uz9$T!=mDnVNIWRbTpBfL;7_ZF8)S^TXs?3e(q zKe8uqZ%T`-`!ZZD92Uwd}Givh{kOas87PEgm zokzD|%Jn4r;(ZaMr0eA603%9W3FP`E?f zJ7ajw=Ak7#qj43ZsoyjMbrh>60#D%Nc5Z77N9*$bm}93jf#?qK&*{m$c(`#Att2ZI znnmYm(T)so_y0QTO(n=()w-TI9*mW2s`_k2p$53)+Pc$a>G?vnUvE`hWg(Zi9`%ym z_;k+p%%ni;r`LU5AkJ!n6fsf!vi>h;RKS*(Bn+kYSoo+kj}t^6r;rx$6LA)$VH62I z7pIR+67$wp8)y-F9Rc}VVPX|6EUG1Kq7O|mL6mZDx3wNzSJ3A)yN@3?Smu{Vo;MtPI` zy_ZEKxY0&nt!licQ4Hszr_f{d<9i=w{~*T9##k;W-un-`JH4ukZI$!Mo^;0cSXDwm z{2JR*oL41TNwSy^jCIZFkHU?sD}Bg*bK?~z`-bzMGG@{hU3E)P|ANj*am4b(<|2(!lX}&=w3Ng8(~5st z*BJNYMAZ!_w3b6$wK zB1Fm%ES3^(xfVcqve(UPMS@-upIkym+teB!<&S=e8Td18eE@Cf_z9z@gWA`FQA_}c zafn!zPDUqdx4KWw?RTUEmC;!bDgNbG*8wttSP1l*Tz%oxBY<%Z8^K!&bdTe!9MowC zid%@9P^!OD>kN!<0X;3@`Y3ptx1}Yh@d_s!J$gxdR1D&NtW|P9F2`ZzDWjPl&#C;! zmA^+vg5Nm?5-3E%%}OWF8U=9T+K8f^7nb_h(4fNZ_}^>_|1}DHtUYg$>X?(`xwRBz zNs@QHC!Y8Bwto$Q%P`;67#vCRIed7ipaz8W-)>mzjG8IN3(cqF=L!9su9+IZ`>Sc`2vFrO`aD{YZzuM^jUHj7L`4< z#PuZZeb=cnGui*VEJvGJASWcFF6e@__sBkA;HPr*dt}}F8dyJi-#^%rOwk``^cp4# zdv$rma^3?rZdV2r7@)y`2(Yen0XF!V zNN&HVvqKUm@d}UwErOm{WVB)ScYzD_#1jw&82v#A*j%?@74h&<=mnW(VoGjf&HqB`4n1N`nk?_pxP&h&iOT;)E?VYF5Tau+VElky%GupH zvV&k5q@c%HLCPj4m*^1RfhS=Yu9Tmk6lfs97yZd#Q=T@Ban?JKi9tIuc6|UKh(i?7ifm|l6wtu#^pzb)z~UfnUa4fISXiJ zcZ6;|^l@-ay~JM_)DwdY#!bnO2_F##kJi|Xu1+lHn&EC=&}7p7)ubW&yF&M0jy$*X z?g*`C2}5mpT<+$DEJcFLYhs{z?53)a7=m>T)sa=jYIUvO8oUq9Zur%VUQ~q;1(F|R z?c=`9ls7;T0-5Hxd8_APtUsRu*zEsq@R(_{dTeTz?=02YEC_4u(j`>ls-c9ZxP(*&>nbo z%#_S=Kc1l)okLmZnj_pFmCr8zzV%))X-foT4zO~Jd;T-m1NgW`@vLs9r}unMuEB`4 zL8Na>Nb79QGSdWRl~A;WY6CtOdwAxnC^2)ia>iy!`PaBBG&y+30>R}hEhApq( zBCYl+s(RlJOI{dj(y!JZH@6JcD7_HP7vgj5u?riIJIl!{wiYroQRJJ~XI_l()u(*) zYC<0Ji&JlOi?(3((;BVvy)%`0NnEU?Cv=Fr^Y}FX91xJ__CMJFe8kru&71yJqKI=h{PGoH`FTUmQ|=!1_ty}BUDZ#S<* z|2@UxpmHa@Mzhm||JhL_xVRM&mHj{)XJ1KzN!u za2WI%=ox#X75d^={kJmO9Qs)_fJi?`?2Gl`KW_es8#+9Wy|(UDoAZTddg|B%qvJ|6 zl6(j$2nXQpPuQO+>^*Sh>w@-c{7+n!_zm-a+OQntg`5$J!fOm_ko7+_iFs&%qS!6t zSv1VH14zb1!v7*<|G6EHdugrsUmPB!9&)}A1OO^N;TD?Z)}kWhlt3WL_4wH6e_eua zN$7dY!#^hZob zkqE8829W-D4;~0-HUp1pn{~g0ks|32L8n^^RkaS%s2-txC*VBxn?g6HQ3HTHP%3t4 zYcUzH4DPdp0;#Ky`(yp^3@L21!u(k8OV~Bw>oVNRfPG}!nH@H4pU!7}GSH70OlP&a z^q&#%s-C+QYmE7HAaOb-X#esq@YiC!)d{p`n&G66GMlnENn&QJK1QWr-h-1bDo)gFaJDW139CY`j1pP z9;tEASP!N1@NG-?apvC6^>doZ}{ z3=Kf(((kxQoAMBc1AQGG+)uaA8r;QR zV(Yr(8o-=1we04)cMf6ycEAaYg@TTjONkLJ4@bQpXPc>vA6S;a6^t7L+3s7>fdqdit~D?)+pNXI z3N4l$xhriz=i;-etrxFOwyBSgSc@tBH|$7~dq56#;YcVPc+DC|Zr%0(R{0kWFm{?2 zYhGSnfPgnmJ7i1$`=sz|0y>Zc572!8;s`}j-uRb%0hgSZ9LCmGf}h;7x&96%8X*}{ z>xo1%Q>RMkqV@szM@*$L%fJy1??a#g0EFd<>@^J_SEAACxYy45fvhD4xFQ1_A~igl zC|cU}aC>1gVp54h5iSYww$*J}?lHed`eg%M-j#O#PZwR@rO19D?`T9h_;x>v{&R+|-jJy`6_!CLbK>pqJIV$6y%De}T%;?_||)?WP^;BiE!(CUpbfN#Dx~A}ec%iMEC2G#s9Q<^)x8%p4N$1nfw1wMwK3g1 ze(u(JQf}X;`hrd%L;5#Y6B-&CzS49oibDN~S8oUG?m9=3q{jV)0^oF1-!eNmx`pFj ztF!N%iKOvqI#A|b^AV_Qf{{$j%Ds^!6hz~6DgC;#@Bold3vi@Vps}Fy!?n;;9&$3K zG))}R@K!T!uKsH3`@fgXUqePkRLgl2h1WPBrG8!_-~f;j8Haf-RHqJpBY zaJUKR)R=1nCbCEVfV+bX>+uJW%D+kmImi)kT6lHq{tg$ATbL$iY0`H9M()V>9(FAO zo(8|zoFWQr=@cU;*^tbIW=ZKJLPUlL4^2J)qqqQGi1hU(do&K#9l0_Gz1JOV%P+;J zEPlk4UB`CWe7e1}uUQ&b2wF+5>$w@U!La^mXj=+<{5crR@1^TSb4b z26<&UNS##1Tk{uDLHd`_IW9jHA=O9aJWll=;+Iq%V-=yjo{e#$oS05=p1os zQIf(F=1=&VRwq=LyI${1NhE(`HJ-`~Lcc_SG?xrMg5hoZ+_HI2OKMQS8$dSz*Y z!2=^hL(R(r>GyBYfqpz?Hk3kHP>@IU%X6AkdOuz)ihUw(>*8rliZm0^wYIiy5K5ON zw=y>N*9Yl>=`;G!EX94o{!+jm#Jq8=YeHni(L&+W z6n&BgP~YaJedYL^fJ#bSzS-sY$GBaF2Uk+RQy0H_Az;z$>1yb5120hFPE@nE)+*Q@bQ0yOvT`ZC}vf4XxoE0WswT4qix3njsl z+w-Xh`{O~w4|p-+qZJ^hL(l@$RBhU`)C;NI<@wMr8~CNlds002)0XdtG2C|gO@-E1=}%IUkY4A_%fgkekK$b93m z?2b;naI2W7D*14dklRc{^oIx{84yIZ>s_o(THGJ#AD22=PCC%n{=lIKDZP?Wyy&#k zUF<(`X0{-_V|hn_^3I`A+7zHd!gBO|D2ZeZY_>ewGMA#|TBZ|mJy+tx!R^^&!}}6` zrLTl-QYN+o(zGk2UVmg#Du;Y;e^oZN4CtFysz<(UZ7PWOfkIm=sGD;eC1s_h!K!?bT z;Dw6bbrQg{HW!KUmHfhC^6#gdP&}8)IaDKi5z;l*WJSl)r!zA}$sGJl!msWD^fF+Q zM3G>OSt}_UkIcoNo_*a1ydt>AoGaAFW^K;5Rp!#2Pb!e?j0t9995`)eDjH^P?;oAJ z0FHlB1Ivi39DmtlJ2w-$o@C~Nz0IZ`GOrfhbT!D%PTLm1*a)G`u!|+ zGya8d|E&yT1Hx3GHh z1WGsM-%uzAxm0}H#t*o;CHWR7bGwYs7QTR|q9lXLVg6&4SJwq+LZrhJ^o=L3tLv26 zf4&M(EE$FFnZ{w$=|=D>JBi<`jk)e8ZUx zULfFo=;gjwf>f!1zh1fmpu^kVg669j!oDwFdVCgp>Gu0(!#k zkcR4tgw_Ace24W*y;N6m@%=waUwX@I#tqfh{b&sGfCF7LfFat*{;D8*h|C42r2KIU z*WM#7urV70cW)p3A?yGA*M#q@`lR?q;J7SSXn3YfKI%DpBsljE?QxD@B3QL_QRL}& z78}Y{6rCUKMzAJ^z`&M(;XZ)!0XKLh*pvh${JH9dP@d9a$l-O%aE?OG`xzy6Gf!^< zJHKLx%4i_bE=kig2fDj{+OfSNG>PYP?q^uhbWmfA*e?V7{V7wkV595Gck2f?*_xhuiU-zKQ$6SuP5kIvEoo-wKGJxN{`)flRzxuqzL!Wi8 z4MSukMuj(S09X}~a4Qmg2l%a_#fyr|IZGk(n&<+$h1-{YgZeLX%B1{}G)m?Bh2~_b zJbHaM?f*C=wJz2gdEFJezqoz89Y|S(0K2>*@jE1945F@WZg^yje3HtEIIjXr^_w2q zrSA`a0nvpGStW~h4(bRrO)WLktZ)Nb_(kNu?aU&X<#de3?I?crZfmBCJkQDkm|-px zknyG4H{z9|Nj1%egY`3j+XTPZDOvG632F<>(wo_%4Cr;nX2d9sl93NUSUS{Sh>kHq zK)stXCVtuyfM5TX)o@C)i0yxTm@~^=Oz@m-lPE3%`SbM!M*rgM-CW#iP@5cNOETl_ zO?1v*`LLQjejr}v-j;REaHEl@;{#QSHzrlV&w)9eB|_aKdQsy zVB^Ji#HoPg``?$%1Ge?_Ywt6;rlPHtsrXy`OQ{$)Cl7RI=MKq!f7xl=7eY!xiV z^nsWo(qPEdXIM}*dY3|AP=@Vkv0CPAK^$-kW2i***&SREyR*ER?2h9^kojkYrAPkL zCr5*|`0+{=Y{c5R%=}@c!%{$hOI*?~PE3R3M_{rEHGH}bJJlrKwaU_CHZM#M45vbXyWG_F(^ znSGYl*Vaz+z})k`{~R^#>&m$Lb(3G`2AV}y@?D%eHRn^4GKC~0fRc%GPg=VgfeR>6 zHZ+kqEcUjx;kA_>dq>~D*zYRtG{)-Fd%Tt(uW(*o%u30;B2!E7(Q6^Yzpm2If`L~` zd2VlYbg@KTw^&WQb^|FBHyZ&QriCrgr>TmbN!=vOQ)5JIKDgsKWmt5Jt-QDx?sWWK z<7p5G1PV8FY^5g1FC1uHiR53qPqM`FI#uT>YD?PI_6_J`Y7D8YAmp*@94?7wZWR{6 zxe&zK{nJYr{Gksur`t!F8=8xeB;rl7qXuPkY|@H7S?+18(l}LwdV?9ThOC{Fbp6R; z*GJLz^6HQ{o5cY!h~uj!7gHhzBXiG+vS(cj(afrkic(?3UTb#fTWS1529M_>a&ook52 zsVAwu!D2qX>FC8eF}SqZ$b{{iRL^*#b9)2v{ zcdb3vyWZsn-23Dawq}5#($;9FB_HsRXj2Pu(jiX19AdxC!O^txayIO#zzvgW5W4zO z;EB+|vJxL*Rm8#mWr9`b`CaFFEijP&clX=VQ1!9ULl5U|*C_>Tyyk@`DuTi9@ zY&>p0CGp%FHnknE;47>o<$-3DDUkjWuLE%e@`R<1L_9Eg4c~cF^BZOQy>H7_qx|`1 z{VOWB&R60e+eZG7GcrfFh}RO6UK%=R6qYo~j_DJ<^o9#VV>W1r@dHhsRzM~D&l)<| z9iJrk$LF?#R9nYy!UAbR^TU8Q>fX`g%Lds@1mBqtOFxblEF@%0*`Z0E+3F`XF|^?^ zh+B+!hs(&Ov;)p(eml?<457aK06P0NiRONAnSmsF3J0w~L6l!;J-VZkS^>P(Tktf| z%>bT=B+PvU1ApI=gYd|5vnQr;atWyI1Yf(8D{@5&zyje~-9)kVt2Z^}AU&~%k1FR) zs5zuiEWYk^2nBxXWFVyScDFoCeZ&B=SKxk`WWe6h8*$^;Ugeqq9N%eu2nC}yH+1O` zX+Z!*@-ax27Esd+13MWRo$dpuJkY+52_>r*i3HPA5_CRBvBaCr-gz&BZrR4S9@O^U z8GY_L#CO_FMO+<;OG>Qz#?Bu`k4J~l<=5W9xZfvvh{>{) zrDGEnO{&8Rr9x|wr*!=UX0lb98%Y4j$AS>8fd9WukEq3AO3Yar z-N3u-?D)1hweJB4pY4f?k2ah7)H)B2)x|N;e!(!%08$6Pn6su^WFgmNeG5qGcEThJ zSx0V5TO?<@-D;Tlii<6Ul6fe@u2ojLWg~)~IB2pY;&EfFLLJyCg7&+?<3xdgF_XPj z+{d<@&hn^y!qe;+v#cPDB>yy_EGDJ4P~vJs$={+8s1#K$H_6FqgtQB@E1MU9Gj+o8 z^-1Oq4$8)D)FXf#b8&vQHD>sLT!4p+T^vyAHxYV9#6dQPxq{Li<`A8lkvb)0h-PL^ zUE8Q!512bo>#C2y$|9qf#N8-*sQX!$*oj{2S;j2>^%?B!?zhXEj5#F!*bi7b8ylY- zIBfbaelD_W05ZTZ?&HIS8u|{TOe@F92UeRy3tS$q(zyJ#9l1t-bz+9OC&Bs!H}(z= zKS`0uW6UABTFjQ|^WBsCBv2^yVH7e5rJ}3RJIYmHo=PkCcUpNe|D>D+O0_ko&z7~kU zeA^?oPIXh)5?ssOz*hvjv)i^r<&K>vK-R2>*g$GS+E_O@%rVY1AtrHO+e# zx>}zp#HT`@&Vd3*2k!woiuktn(Yu{1dW95^OxF^g`4c6JBZ6y0BCfsLqsZ~N>gjAC z2>9(D_Gy*ZQ$Ddsk{c57u-RP~Wo59FB3~uju|(-!oJ}`z{$P^6V1!@+0I#}<=WVfJ z4z~cr;v_h72fh~TMF+a=$BSOd{Ad}l>Jd(DGIJ;v+DklYWjw6erbWVjmr{KE$$Pto zMglGw)GPCa`O7VDeo+UCU%;;l3gUf?e!3!4&_V$89AZ@N)RBa{18d`*ib%wDO~*@T z!e)<8v(Z`EA;3jl5__VKx34x+Xx|`gy=Ew_PHsyBMMJ5F*31V%osq?#f@-|S853z(gp)HOa zHZAH&B1zg_|3!f^YI>}TZ^f(6CVO#D%AMgcD&D=^&HtC8|K6DuYOE{R8hir$Qih!@ zpXHaw{3VtxI>Zatxu+uuLT-jXsz^YX(0~!NA2;yk`as|$S)({kI?mQayTMtH--ms> z?OF?grbaB_3rc7U6__{g+nq)~nXXQ(4>#HJgnr5cUjk_R_!HLP`(*3};-?+odQZOp zE3gun3(Qa5xB0d1HAfF67UAEdF+gWgc8w&jVUN+WZ|oXsKgVt^^2cvpQ6C_#Hy_64 z?Yd!9VKMQnogJ@6&i0nv)!$l5zl>G@z2KMvAE@4`>#z3QM;VwJn*|q760F&%KBSu8 z7#B{EKJR`=F_R*2^<(O9xi&{3=e$xAT$4oh360>-AK$41@4@&eHu38VMb^J$w7D6v zirC(e`h&P6-}uhEYAdt{X$C#7lyxz=5e^OjbIiHQS?R~#P5XPY(vu$z>N{@*`%c_` z^Xd<^q|EUI5?9*km5$qFF%9j^PWJ~OR?tgfqoxW?Pc;-Z%{YnunFs6s=S+(2C)i+* zz4Vuj-nJc)xS!-8cVa>`@8+QxLDM^;IG<0tqHI=21G6TO^km6@a3}WxStaS(+tW{~ zV_o2UBtOGTuUDo3{A_fcSQ}i{LJze+>FPb`7oj4EQe^)RpLk=V6ur(syHRyz;=NCg zpz_B>W|xQ~s~=U2bNZjqT$bo6Hnh%)Fuv>}rgl zvz@Q*xIhjxbWoCU$bhg}*XZT1o=94xPw%9B_4F@$0b(_8fxs@aih2)8f?CVOgnsa= zYn{f)rAl#AEN*=&nJ^OFQc{YFwPh;hsVSDNst0dz|8Z2lvqvK{Rr+xa_#M~P4(`zD z8rOE@G!(^Q8EMP*_FCVQ@%u*~YT5~T;QH$(Dzr2^WI7DWE#M(oExGj_aM(%NN6@7jE$7hl9Oj z9XM zV<1J<$=mjHE2WVq(6mXsIN3cudeJ2U`Nr=e*1T6=?9{_Q$fBqw9p_d42mg0lEsHai}G`s)1|?SHkUd+;2R+V3MxFa9GA4yvwj?62jTGN zyZHxz&gjh8Z)kcM4hZ|tm10!yH69w(pAs(od4qFlPic8AWvKROI3I}Q(-I+;_(75V zuK^$J>vIasxuP;zI2P6YQ2UnbAe%I_R7d;d)wLHUb60?6M@!5HX^w}Q*2XKz*dtSN z)_x2AGp)9w>IfU3NTSFATl=F&+nrvugVHF%8y8Wd0%&T-4pbPfpE24_o3qN_s$`%E ztDLK>oU`1+?IaHZzAGLZhJ&N&>FGInGAAIu8Kipkcc?IM)wrX|F5X1bOMXlCb$Ctd zI~YCjMcCphQF+Z@6Zij+_Lc!r0Nuhcf}oTrQj&^vgLESz-QC^N-GYRyba#hzcXyYR zv~+j(H>>wP_rCY<=P%ivotcw!YR*h{hMBCI4W!@}Ucs+ovvi3HcWK&TzW8@uT-Rqp zocovqHl=s)r54^I^oddYW3h6#4i~|U;L99@xgZBtYhXW^{iOyk;H(q*NMHjD`T&k1 zF4ox$EA;jGN7_4yJ4E)Nx`yq6E@~9z%Bkt*i>lldSE%(Oz^Cn$sjoCb`wW}msEy0o z2Lu`a{!B#aH8c(o#;orfTf(ie+~5t4vFmz$>AUU7FPa|iSIyV1rTm9%XgKPJj*rrQ ze!egOY2Zj`yg!!Ytw^ZFkMgJK>o4KdkkxHIi7o-fE|rZp*Q=}g(+(DMAxLTB(hr?GqqoY<6lc+6&i#syPb;nDC$RGWyF-U6gfs9~I-knad-K z(j&taQa0hl`R0YL+88_?S+*STNYp5@gF%Ym8@C*lclu_tJ-TRUsAUqVA!>ZZa8taks{L|olJdOIOzVdQ2_(@>e z3XItk$toy{i({9`l-_}{kjMG9K)6rDzSIxvOWVppO7p*et6W(H3MR23kof%13V}K| z6Y5pVJ5861$WoG0gBgk@UEhs4-$OS~9*nl+>9Vrz&74Hgr0G!imIw-qemQ4v<#`H^ zzKC3D;EEznv5(vMP{R{hXo@6)kV*WA?nWXJMeSeJ1>QTq8tXKY%nz(~_e?#(E)Z|{mLBAzCg?Sq^j6VgG0 zr%B0NNw9y1^QF$EAS2n0KZ2n@_3YTjHlM+AKO@ksQk(>FP-LL+$H6Yu+N@}O_mz+Ric*L5^an_mcQV08; zhGw%n$I33`2}BW;O?`NzwfTMu%xW2%UupU7ma6*+YR>G|o-+SUc+gf!`tyjM(mxfE zho)tnV%<%`3M%6dtmTD!R=;;x=4H>Q6!5L0T?m_DVwbgDnk z@f59?rX!iH%_-#U&bA>Dcb_od_-hW+^idfF|9}E|6|0iB^9JU70H>o_N>mlj&F^~M za?*k^M(UQsv*cldRrD^B9Q8m}%R2JeD?hOl?Vx@RBwI|0o9Gwe`>7S@f>hr4 z=PUZF=0`t^DMhTle35ZDeQ4aVeZq|4(A9`47b@n#%Oa7`ei)_VF!uHhIE(+cEoHSlmajY_-Bbpv{Kz!aGh%o-H0T7c=y6T@1jg%*k?|B3 z#fI1Y9hoU;(^aHapHDIUdAf{CSZYc9_MTtu@Vg@;?@m==9>J_m(qy|6QgRLd^)htI z0*NJvE-s%H4*554lTOnyn3w;hHYA`~e_rl=<2iPCs-D`;-}$a7qbpVn>_#kyXpbiy zm=+exrZ@3@kPD{!xDC_0M%^S7gp60YLU8;~|7of^E&oInKZ;dfqdIbdG+f8k#d&si z{!hnHpICa5Rzhh!_Y~X&UP;1P5oMA>0DUt}U`-%13jdfQ6na^Qg|3U zmo&kF93kFD{4iPT4%%&4kiJ58)r|4mYL zg{*I?&}N}oz6LDI$Mgui(|UznG5W>o4F-la3Jq1xbyp@r6r^ zQ@@fA7UO%o-|H;*BQPb|8sKo-->+kVHzD|`M3m&Ym)sslo2zrQ#s?P;-nM17}vo67>IBG>tKs zaleatU~`%v*gtM}icYnv@X^SuAjeTiwL*QPI48lsN!#)XKzo*t0gJAC-B?-Ot=(zy z2jKNB2n;%y#}+>Pu-O(mO{jXT30UmYaSv9V_o4zI4TAC6UMAWVXVf|Mz$2NzbY+|_ z)GP;wn@|mnrcDtw@N^cN4^v~*`N8l!uy5>IZ=f;Kd!>!$oF2D5}=STBh-a zvcG5VveDfCq7VhWRr%F`4W@=Pw|~6Knu$DZsv&;z$w<2to9c7;=cQ+7H^T?XAvvay zUz9~(z|Ov;5F!F%VztD%IJ1Rg6S#neV(7gt~ zV0hAJ20I?4b*Z?-_vdjj<nX0_S{OLyMDnEQkD7RESAq> z{H{WLm3{J%ivaiT$w56>IgBu3^6BANFgC)S5i;rGw|l|I4yU`6{Y!$!yNzeYMDq^K z4%;833rqDPCM5-@Ih{;89Ucm1Z<_0k<`51rKwDWbgJK913H2e-f#+c~{k^~sk3JdR z-RAm()ZiCU_tDookzRUaQq7FUIZTG z(effE_lcU@750_MGgm>-;xSYC@gP{6`}qb|Y$0>UL4tN}y5VAuc2UgY?7V0h^tHA> z|CWu*`+$Sg&;EYV@p@k@^K!t(y zTIFQ`8qp16M6@GsM$RmF&;%yvt?D|(cSeDPd?abrs9hCS^J>C-My_2C++Q--OSDR zsg3H^;rIj}K z8HG}&{{j8}C|XkSHkN!-y4q!u=eq0dLM%MdhfB_aX5jxGswr!Ym1z8;g<9W{dkxS7 zga#1b&?``4{7Y4_FB$jIy;py&q?czKilL~ngx9gq0FN~feE0kl!p@lC)f|ragTmX{eaPJ1?^Jn zTEE5k5_G6EJRhfjJRd=(!P(C?=DIDxn;OW^d4psZl>Ptg%fI;%o3H6{EpntP?n0>g zX5H)3$jZ6exvCQ0JkN+hLr#*Fu>9}^cu%Md@I3Q9`a+ABmz5R$*k=ljrsKKv83L7q zzGsN?(Og)$IvSU)DTERG=wMqP!RSx)uhuE%szE7Ju~ri)|CldQ^LKu`8Q15`Xi2aOA?EG&*U-}kt-YwP|*0XhC$s2OhJ>a;cf zl92LR2w*l0QLwy!q*MItXjxEQTIv7w z*WL>> zgA&#p^;?LgYW<3uGWRsDaN&49GT?j}eeli3b@OhpSqyPZ@lxrTc)&%~f4&OdlpS*Q zFus%$9%mNXR?s8_S`SyS+^n*B+=(-Y(tlPKwZ}Rnskz1(Y74}{_2u`W7^;qGj}TnvcH}yLS=L-HSK05bl+Y6O z<GMG(;%@Y+H>s56-Ou%?!z1`6EDwI<=e-ejow z(P6x8R>L1j-xE$fuTDM*wNzsp==)>}3SanMBAm2ZBC0Zf-Wo~14YQ?tvBdFlvmz(fgF+=*A z)%l3C-jjSa^qS`K(+8*cUyK+VIDj!;dpxIBxdchYK_=wqps#uas5?&6s)X)ju)iO2 zrA+S6xBIO5@T_UfhGIc(7)>{L7(L{Lu22cyC4NQ}VCJ`Zpuu8J^C~L8{^W{uM7(J0 zx%&XmSdmB0(&$WtK8;YxEydU15F1+d018sjvt4BE>Iw%t4>n8Y$M}|A1PpxE`;x=G z#ch*>{@*?ZUH0O}12M%x!|!HDf z?J}9GcZC6Hk9=;MkHl=Qo7bBq?4xMUupxI-3@Dh7rKpHZBrE>@mG3A&CJAUD32rio zlfxA?%^IS0bj=w!oH4C>s}3U0c5F=b6^6X~fM~h29fOFv*uOQ5NGSi^SJkQ^%xdfG z3}d$TS6**@Xck>nzSxrZPBHx9cMuH$b07W0cCZnNIjNLGr!);jn25sw5)-If}`_K$M@lzucU$!T}? zg7|tv!9k7hqB=|vGK*v3*=2c20Sf6&SyiVe-ccBFGwvJ zqT1aQ-lS2`R}^0a(BKU7@V0Cim$2Ofmr${_-2o1e2 z-uMd)Ly89tihTwgVoH7Wz4p;~ul@{ZKQI+()Y+~Z^WDy@9Y3g^P)pB5G*V9=_hA=^ zg$Ce!7kz8#gXcvorPh3V(4hTzGmRXT6y7)tdF^CqGYIFAn_D*Sdd|t_jv&$d$E}El78$Jic5Rrtq!Hb85J|!d!5E%VoRloY zS2Vumvgz;*VYI&?u+BJRh61h3XxqwCpFUGLUVl0#FZ_y*6*?z-bvlli;EEEJD?*aJ zlBbMc;|BRHB@#x6I&0@(cpSUsVx#B=l`Zz0d|*H+5yZSJsmL&#^pgpRLjuPi<2b7?cYP z)c5eBh4)TF(RGhOwU#4w+qcjTo=S4gec^YDsv& z8^gB-MKVT6=ypHMp6w;gQVGP4)(Riek(4(bM7l`epC4Tqy_M zEbkXbyF)mu-}VUxHr82J)q*UnB_~UX=|nuWRN$WtBYn*_-YePXQzGZ0E>}GJqJD)D zt{&mE9D~YeM?w~{mS^G9^pGjJ*k17m>Owty*U=6vRA1JNnfB0RdA1PO!@X3uzVBNX z1~{{HE$F`LKDJN3C*qtKPU-*5^r&z|v+7jaukQSrPP0C0&wZ<8sPXF&JQ5!#XGUXP zN*xv^J2gy9(vdcoNvTLOewYgnBT^EvrT7WmeEB=0kj_sLU*g@>R}{GE$xTHZcuC$X zt3Rq}Nl@)Tmp=1-1hsYzW7Zu8*Ya~DYyIa2Lm9me){CnH=<*Qf@2aef;aQGpCj*Th zw~h{zyiN!6q7J++J-NPlmF*TGI)D#o*d73_8iVM9_^#T}w$`y*uDZ1ERN_H#SXEo$K|G|+M#}1)$=^G@fo{Wxz``eih=>8#q50Wk>^M*PLYEV4w5ZiJPPEgc%e z8!NK>)e#WuNf}%zX}cuBa@FkE(3T6mZ->}V|MXZxam27n@i{e;IdAG$maDY6VO~2Y z;OQPYMa7QSu-)GOXYB16zVPnM8PVF)u7dV@GdzesAL0w=n={JmpCDFz4IX^!gMZ$7 zi41$B&km(u9QJ&1ZgnP3%j+mF3?-MUI`lurQ5SByz$ z(5)g`CP$BtyQ=LrSN7YXl2P=%=~a67iKI0f??2l;JtJrk^oKlrLs#CcjY+e|$h3-D ziYK6XTWkLf<_>508IVLHd_hx+kHM?O4fnsR1dEJ2P3_k6$EJBuo2n!-;6NA}hSNWVo`>wuLQdy?YI>nqgtDWs ziyN)i?e&ryE1GXln6EFS$Ps{#f#GcM`;W zydQZyp{6e!-fH$fA1i*iSR%pq2LKK8(%lAHP}DbmR%f3&>Ud?&gSY%${K+tgyxBHB&~HC zrWH1lu+tssPJZw3aH-MdgA}-XJk=3^2FIxHwmyw{e&CgfdD^PO;`!w;z5>Dl-2jQZ z#*JJ3l$u>qu5u&55(>*te?0z?^$M?v$`KuiQPf1w0<5wj3|C*l4K? z|4}w}3m7)LD@8u-5NnNHNGc|l(=Z7tihpcoZ z^Ufnzdw-N$l)!U0ZCZ-=!m1C4kpYsKy77p-bn**v68`mVA2#Bt88D$t41**CsUYU9 zCYZ#(Ycy`LPU>j;;WmQMM%Ph(zRD*>$QtZ#NOo1LA{ip?F4?RSjtm3E;aAwG^}=D* z4yM-twzrhtlu#nU$iT1{)WH!=Ujs!2TzeQW|0mdg|K)hktk5Oog#r`Q@ps=aFk-M! zRsq9k{_BJO|34qta!@k}Cyk>$hfewKH_fE#kPlIuR)<~`^nY zJ1AABvpe?K+>HOIqcCcl9Q+717&d6IjOt0PHhuyXan^V6b?;`G!#_=bFcL8ikad^M=!sGrnakOQl|T= z6ox(=EN;|jsSs#dsu1mCQM`Kr6*!nbA1RtrG2>B+j2pg<|BvV^ye;AiuqWp1mhRg`dV$BqUf9NZh}W;EiFJ=LTs+`jnmkp}_~V#ulJ8;IaQR$Y z?XcyYhw{Gr?TNCfcriLUR8zpf(D_1KOUK*%_my-J#R3p(;FYGcTo%0@w!Q%}5xQ&0N zA9s$`m(*@6i0}><>Kcz7ABCF#2QagwNk)SCakT`6SoVxA(S$6_FR0v=2e@1UHGM?L9ZiOX*Y`qg@cr|Iya+$H?=(q8^FB|YtS z>4ej(K%T7A!K!eRKMH)hc-hr~2HOZE^_C z;Z)F}BGdAhYm5_PU@v3#_y>Z3m`bfQU-rM^_Z2x^1NewFB>VAGT-(VGPDr`G}>jeeJ^BRGAcK#)J$N~|iMD}(Gh8C< zpNZIS`XZeUw2bG^W3c8-*w;FD_HMGfnk5_N6ie95rxSNx+nqUb2Gv+kBDctp?7+5( z^G&T&Tbgps>26ks4MX;dZ;gsBgpm6JCvDy@`5$FeWu)wg-gFe#l!%sGOQy~&3B_GJnjoI2hm0#XkpJ5d z$+4~aMoDcZir#09;kWrD)a6`3M}`?bzJ>x-dCs|&-HyJm87vt3-AU26 z=iwv+Wd`?YstqeI3X&qth$0pU60}Nee@)T4b@MKgXc%WZ%yCVBBf@D)&O3XiZ`D+J z%OCAbS4GA2{DD=%^F3GDXU~RO@Va#zkC>AZsSz3u0{134TuMMVk;!tDdvVBlo&`lt zy~|2>0N)2;UDRhZCz3y6B0r zkNsFZ)4PMcf}#36%h3p{1#EKzMBE59Exv5Xu@~Z+R>IC}q1unbN*m^l3XSIDpZ4WD zQQ(j9)o((Gt?`{SWjN1NWK}?BVUWgCn8qL&7k7Q%4X={$y2oty1b0MfK16HbbsQB;Yld8L1-hZ) zE9JZI>y_Ee7fo5Dr}SBj6Dk{o<3W9GU$R_^^)O;_il7xd#P98=G|-i$z7(A zv5|6@%YcQ~9)%TCl@MU~#!yVFZf_v+giWbrb~|@hsi`^TS}+MLlLR}iqrd*F@HkV( zwG-fEO1WG1WMKiJVKCO*##q~2lXT#dxLWAMONj%GOWca?tZo(=BPW(Q#-Ui`jY0*+ zfYoG{4Yfvj?*~12+rsX~O3i}4*LfO#AO%(ywOfd9wHB^-W_T~N>!HULP`&zDFV)sD zu~t>5n|t?0-(h)}SY;G?l!hH$XhV0} z;A%chee((bh^O~{KDq#$CWBEGu&o^gI6ns(sir8#keZTWON~GPKiM`pII;=8F@`q^N?~It74RAvr@+tXx0_xlHM&?^0!he?IIs>)u}H&yAC+f( zQg|(T_`3&tU6kEa`1M@Nwc&#jNv>+;Za$pH&8-xJ=BqDh14N!T1#5Q6TPFe(*=9KJ zbwk=U?h6sp4ZYFP#ZtnqM?)>l5O_-EL+YXzfL zzqM|8_0gwC*QwtCdzP(ln1ofW_z4WMaNjT_R)U9^n8G4Uv#!%umQBkkQsp!@ya!+H z8_NTpm-Agss`l;a65gS9^IdMweOAGJ)Va_}fb@Fmy~q@w-6qA|ktsO$`vN-Zo=ZA> z;9fH`N>((u&fBC?8VJ|qcO(rL&fzR58$JHk-<;McP4p(TM1+sSKw$fM`~EmR+xit| z4->JY%4c>ddf>Cd0HbnQnj4T3io@V@KqSMSipz7cYnMY45;8F zm~=>TE!L~TH-%;I!A)))3C>P+$XMRHX=+-P8@Td8+8H_T+)7clas-V2)MuaMC`YtsmrSu}dQiX4b-xfqDVwh~#A@v^gb z*-xw_RYvRsu1KHtN}`%L&Q>{H$(ZYB(K<7Zh~?}WMbRUww#GjqJi%uM@dAD14W8Xk z2V^=tTN9tki}XhLwcnh2o?vc~3s0n5$ww+>&z>h_g~URCNxG~7sU@ZgRj}h17lMlXi_=k8hka`BDCi_#%i?3xSnXYZ z#cG8U8*=kcGvmn|yzDIS1Rm|&%t4TgIY-3trffv5Kh+fF7}EV(`BG-ZZmi=Rx;EOa zHSuy6F`#K~0mQw)-{+KxZN{!-ug?ceJxR=FZQq@e<=xIwaP zF6tgP?i^#47Q~Un{);fW9{*pxq+~&PmI#zviOk&}PHTddTXxtVXMeWbnJ&3jz2kOs zOme3ia0|;dT&xl0wYu+qbl;_(e%uodU36Pr>`vBh>OG<>|A0)|Y4>p{$ATgi7vh<9 z&h+#vEnsX2UuXm$4^oi<6$5jTfmPbBhf_TMW7}B@M(;C7G3oC=+`{46u+;|l*U`#Z zd{;L}Q36)+DTa5)$d$i%XkjbnfawXLN}7CGLj=Fz!xBi?w;LpGbsxy(_mlTUZm)h} z8?xtp31Q25Q5D~9+3{lX0G`#Q_9sGiZtNvnL4Ntq_q5$hB9jdMGm4XFhiW-D$MTa* z!kmynANu@3)${rthd}k$wOFKp)OqsQn8oWN#LrXsV$hE>c~D*>E1`ct{bH>18*Xw> zJ_GZ%67Q;Rhd!$j{a$#zlbK6@akCKt<||{qjgm)?ncF?Z4y<`?5#en5l(<)S@P6CD z=RdE$8EQBVai{k@_Dm5Sddxreig6^HojI2Xx`5tzF59!UwkJZ|T+0jKdjd=x+% zC%W=%)HB4lJFqZ1ZO~JY^{(%Zs;BB{b|%if^`URuG)DAWbRupBEU1Qns+B5s*XEuny-z(Jt0x}=MjK8Wi7gH%+nUZ{Y5&x&KJ7)Z~ zWiN#ci}BAQ78_*+G!xO?NV8mBEU{jdFWw@dCkbo8N{JydEt%m_@VBDErbcJvIr>65 zKgLppU4(9a+*jVI#=U&Mz{6-#^k3D}2;xpX( z1SM_ZF?S|00GwzJ>NeKP4)ra=o0ku=r=_>8!B!h8@~9 zygGhRoPU?I#mIvg)%2C{)to@USzy|wm|XW4Fckn;ubBzY9MKOF8}s_c7-|}WFK8DH zPTL`cgxuEV34|u95HUk$lJl8hvQ>vgRQ&yCU+m$yi-Qj8owXIl7R2N#32aF5{Q|Xq z0b=UY={0;-ii*9?`}h%+i-4ei|Du}s)IGWEOcwp@+ZS7h;ykkrMEX34O716WMAeUx z?RSmU9*L`>+_I^k-Jkp=c#Dd7#+y{AFN*xxK^A-dU?_sD)Sr05Ur~jl)7OS*bN9rw zpP7&fF8zsWxhs}Br8(L~vPY3abPyq}DNmz0mb~c8l=}v%)bzjeciMJ#uemT zaXqg5KeiMrdYjv5kzY^9q6aOdcie?|k<6j0=i5h|qk(`IKObXMkJu`$u7iVfabD-< zv^GB=)R5_{ZYDH-LW86DS<||K?pC*k!^zk4M&I@$Ux7x&sL?x1Ywan*y=qcZqG`i= zQ4fh-eY4yNR-iQ)vHU1+k9=*z*_HG?L!054NZ!2X*veH}8!Zy9;;OcbqtcGtG1!1V zaMke?3&agq_Ik3;o4t$H<&Gojhn(ce4nin{7}1>6L@j{~1Nyd&6>7&u_;{mCxAWG0 zou~%`SFBA}Xe-~wRbIYFRj>H-Bi~lZFGEBUi^=dQNjvJv2<}{aemb*1?eMn1!$O^A zeLh(cl~1BcSK=y@%fwv zB3|42aIYvafXog0RWL1Rsbkf>tWEpyd}SIdH6B$B(SH5>>bwgH#ofmDlqGiD z(#EpBrl8s04t+)=?mc`D0($1^6GqmTFr`1i%K5}rP$1V$Xub9K4!GE)$Z)HT+OQSR z4Wk#_>KM9Ub(oyWdtdcpl6xuXSJ@SO`R1~nbdD{f75<>-BD(Y2nLh~iDGUvj_-^3} z!lfZi?nQz^lm%|;#CXLcXFv&X`?hIx3VY9696K|3l9QD=p ziMZ5naSBO#PZ(T8O%J~xJa3SH5&(_5i_*KnuINE=tl*^u6|aE~SoVTk=~P^Q0T)xz z-8Xh!fSrD}q5URh$PqP<;bkH{rn$<1w9w3z&DOj7Z8~iUiV$Ebb!By*A$-G$YjfRc zmiy*+#)M_z|w_nj(aZ%22ZKEYiLdp>VHeSs)=K#cWG$1Y^(C?(AG#6a!2{GrJcNVr}&Ue>~U_|L)Q>bK$xdhKSuvsl;HK7slN>C9S zS!;hrJtM@_jXvhG55+@H<^g64vGKaJrn0%G_WnaRZlG9{@0{$+fiEY6dd@gow&?m;{9~=M{{vY!K95(+1QEV*UaQ%X)0WWKSS zZL(5WXcyg9%sr*#h_$H3Oy|{D%1!;A&Rl*+h)yxaK(d)YX&fyhl1+|F&A^PEo>E3I z)#b^ATe>@Xy0-pF3m)d{3<&UL_Y;#JP;_rk$`Qws9*nMn=VtRL<>(k~xIJzr4&|Z~ zVnaymSX{C~y@ccN=VOm^Ivx{875vW)1MB}tn|%l;B;TBk_SHFC52#C1OX>*c zQpz7dIiJxF+hok1-}kyDYIF7_cMk*AF72C*$em?XRoVH*$;@}ve5}4x9ZF`Pa1YcQ zlqc27n!T~&Tt1-I7oaz8!%j9X`7;so7Jap*#+45-eN)JIJx5*zIez)=3x2Z(xiw!W z%3&%DYF?9H`F-R5NBspYUu{!=ox70}9BRk%I`W6(EFtC85`P* zgRj;(3yv5M^ACMj_t%C95$keFRgO!hVtp zVbh26p7!=@Em?4&v?JbiXAjrC+jR|#Le~{FI_9NhIxA&&V8|qps(TWJb0UJv&C*@V zB%cZq*j&@`{U_a1NEp#8g-8y+rLr;Z*i)>A%l3VItFFE zCfO;R4gRE*?;`Xp1Dq4vY4zgi+z+VtM;6aY4P%NX{$oQ=tAH0 zC*03pC>EVtL)y}ScR-^Q@+yatDY1H7A=TPvMLJfH+UHkb;?SwYjw*@^*V&-q+=ge2 zF&&Wc_hJWa#fjYN@?C0?`avzrOP}GDa;fVqQ`XQUU0a6|**b>YmoOCJ27RO+5Dj4P!4Ez$?cTqf+(yiJvyVo zY$_~5HdhBTOj(c)E7Ny|TlxFzh61yQx6G3?Hh(-m`x# zZFemB`HS@q)J%sUQR<`Vxp`r~9?wD}ipVh>oi3SgKTlDDZ{E*VuQ_>mWS4-Bh_-3) z1}(%z z1Bb+AjIv>=Ri^Atub+CqIx=ofDS>@a*5)7iOoFc&s&*oOB`XHbkRR`6w!emrFwdY} z%b}pBy+2ZF)8c~_+}RtfV+L3wT~XAOdO?*Wm2OeL+z_w}r+Hx{wVl0IKCAU1iR)Pn zUTj{)Znu|6P?thiR)EmirZTVsMnyVOr>m{(xDOpP!IgTG^1iE&xZzJ={@};a8?U2e zenVK!ckdNowCYbR4a|UcUe~}>dc#5^h)0As_r;yNvq!5qKZ{Ugk?iS(U7<3(;A8I;Wn)qMx{~K%YHeF zt7xvPC1t_4O|Hg$VQLQhYyj>HJlhyn<eB*r1`+KSJ80@=uEDwU1 zk%U;Ie~o39Ji6()R?SOP%sjCIil;DN*YyaKqgR6;8vfP*Xvv6T4mY&4NV_5b&ey=j zYVZ{ay5+)Oia6J=PnFBS42hL9hj26M*DOU+Vj|3(J59cw@G^^!(dSZ{V~yIgTPZ~% zp7bG2(JK&6BTm7@|~`uXp`3)^JkR)!#OD|bmCFQ4dS zA-IEI9X!6)amuu*hou4fT)zzLO&)sKs3=IxV-X6PkHw3Bv}Rv?9G$Qn?KX{a_HGE> zt}dpE$Zy`Yw0$;|{pqwi$c;%GyLRgL8dD%Sr-u`%6jVr_0M=CwYEH9f7k$qNDK(=& zy`9hlnNMrV8F0;(11Z!&EY5^}nz9>|#%zNkh{M8z`8q*r{UTqNcz9(HSumiP8n&jh z)#i56j8wWmeyD7(K(I*q97wS=zRpr#boxc;bTEZ@NH&YgrE^Im%Vdx(Cwyh3=*ye&{XaeDA` z^JrGb8y@0s(mx-F@25N$>mfRnriP5AGJVX_ok_})HI+OMZ1ICg25(3bi7&ntQJY-##$y} zbU2u=*=YvGm`Ja8NCn+DbCt7)XkJ+{rDQ-F*JCPhNI$JiRWd6En*Y>GMlUjmzqDXZ z!K5ZlQ7oI;Wj~fIB3&zxq*Z&OUi?7ZUob|*!Db)Rdi9(`eRRd*)E5yFXDk)p_w7_NQ$FGW z7I;cttVH(Vb?HC@9|J-Qy~*EuW%Z}fDA!j6GO7IO0g|J@9z@}}g@EIvA4GJcF2}g{ z{i4u!mD=o%3Tmf9&MKDON9#8IL5yWR4H#t4S-cq!sw_mgC|-ViIc(-A_TAu1uUAp^ z_LN#_)t+9zt&+jYesR8N!P+til{KAl4v^ceND|xCA6%TIQ*qQno3Sy)F-R2DTV7_g^GTS-Z5O}4q1y!`IIrc8M(yzWRXLTf;m zVZ?{{0CEiF(qwY5dzX4=I}V~rSYtyQ^Sz!7jX{rfXTnT{bYX=7HUQ|FO} zFYxVhwy>ROJbG9$0yhN?-bksWTt9@Jq*DLfQnMQmH;z5LtlGT^bodgkCDMvQr4UeTg-N(!9@L!C#&10)| zF|EMt*ikOG_Oaz-RBkIhHT*X{iz-^SqvWhnkp5$8Q^{@kT>VL-S8Ma$`_x@S!X3l0 zUUAUwqBqT3MJ4l9tucQuJYG>+vc&-0ho7ysPlavYcL;Gncvj!&2b!8%^px4ZGOYYO z+q7X8jY%_|5NJp-i*!#aSr-eDk5Gs2S3ek~IjA$hOjPU&9VkIpujA7Ysj)oO0yk7bc@(<>YwVsxM4g+UZ>58}uC> zpS@=cS^Axe_&ShdLj@7`p=GE z59PxBWt6c}dt8gZ=9rm8X5G7}nimb<<8LqKtXi&Cenb@*M!wreQqdr)@yGM6X7_q? zz$GJ_pqQiPJ7fQVQhDk(ka|5W#&Po6helFsS#uZ_ORuZ7Rfa%87mik`0&;>S`-^v6 zdw5)$&*S>!(^>C?hUWA#PXATFEE5u&ghEPw{t zTK7PUU_2l>`O)1$|7okbn1t<>+RD1)T_FmKVfolFHl5do8L!_!D4xTMcP{#dWj{~)>P!!h!U>W2z?I0{k9oh|`C}*4;14qGVY;sj)}W?8QszTE()MpW>hOl8J=QSX@46Uj zo{jQzxOu+wg~diAD>FIwBkr}G$U!>8bzo5t&XD_u1XFf6+}$@&+~Ldkp;oF4iG@Fv zT}%*z571s=a8|;SM|}Ma+#Aqg^*3`o_(9)%1=wMnCQiT~g*s>tL21Oo5e3l&a}g&! zF7O30?TnES>rz;ZF#2?fS_zBPpJZtXi2XqLovPW2L3*+{D68Hc5t#LAK66jhdZ$eATynIj`J6>UXTM6Nwx?dYq#q!>fV49tR&41Zz`4=Wg=NHzGUu&(gn`Z+XWi zW03lkdw!V5A&+hlHOe_0n6wGslKT(#fnV)oD-T^pO!xF;me1nlO2oqA=Fs*uC>WH& z*l3C?K^Lv6p)9_C@mkY5)n{fM|hP@;bXD-h7JyiLgVRKJ&NQN%mv^a54jLWbuh9 ziro~B7@(b?{6`eA-O>R$kOh~A0D+Nw@UZ|K*5c4Q(cmfgMqAT(A;l`2imp7`KPkDi z4=^1;3x_DB+khB^4%zl^eA0g^C{kjPZr`Bi$_D6DODW`Ues1AZHXUuOp>mOx@+q}q z5XNT7Iohb~4kUN@wv#Wm6!oy(Uz}I{@X$_v5fdvRwVixZj96!e1Ly%I^3N6gYi4QF z;m@r&&qZ%mtB)PHHCBok^Et|!BzeWcMO7xY6+P?IN-kRAI?%0>Yc$;UI zo+6!R*TJ7)=Nu^Y(LT8sN*uECtf{!$i%oWmW-V0R->i6ZgebfzveFU51}@VxZLr3f9iwS_!ba z^L}sUeWYloX*&AJUGN?jY7{}#_w?*U{#E?j-@Rx7n7Xp-B0QQRR63F(xK14yC{W6x z<^3oFeu`Ni=g6uCF=y?1#smYEwd-Y;X^5y}S= z7nN`rf3)mFE#R9iIY9h~W#m@~nXtMv5D#Us2ni;WVFrdTv;Y(i#Z$t>K}+C(Y8c)y zTTLFKH-f9YvRD;@-q40gVlwif%FhSemdIJ5#-BD00$pYM$2@v3-sPtkn5n}^QFiJZR z)OXLxTvL#jb!w8fxMD!asdGip$yFMgxtg&s{QbaOeN_;5Me5t+m?1kcR<%f~ttOhN zP%h1Jd=Qr-rmX!ut*64GtIn{~6#ZCnuz)qk>yEI{zL^{^YVa4{?O z0mY_0oG}v{OdNKItcTwe(bP9+gx=ReA+1dH%p)-#!9QvGhO>`@lqcGTtp)Y|Bhc3HLJu%Zk2l!N>D~=_~=De?zUMa zc463fn4l0*Fc861O%b@$AzV4ClQd{r z+H!dtWU49O$miFUmze!V=20BKILL*pBaMQzj56a@<=5AXwrl9|{8ty7n%0sO@PxmA zna$s%0u!R275pPw*_yY^SPy?S4DZunrS)Bho))E5uTKlZZOz|?&r@@VOfmXiDz7xO zZnWgVs7{4c#i&B<;x#C3jzYWmJzG@*QQi`W+O-)Z6v3h>3rdIOG~`O8dqLa;YUza- zS;2PH5g1l@Gr@S=~n(NWb4c8U)bC3 zVw@&WqyzG$8#9U+3`#B0WUu-wb_QPuv|vpUcwMj zVU(&A5>85ysvJOog1CIiAqISqz3Hytwy?43Z<#ZJ3dE@~5Ru_kGT3uID(iB`GoX;liPo%dExeNO>nEcdr_4A`xlZry?q zuWHYpTKzZKR{(km>Ti_Sv;ns~%YDu~-;K+DOj=r(33t4w0XFfNHqV>E7$?iPX@f}2 z8>FmyYKNJG!B12*E6lFV;$%YG`FnA^1$U3o2#WU&@j_dEMS`%)Hlq%kCH6+2^t+Cd zc-PHkJPA}b{*C;GSyXnQV%MmN`X^Mh1)JDA_h(`@=0JaNop>fh46ak8eK?*Y?j!t8~_q zxiBBy|Kf9=$_um+lm>&6DvWl2sbbyadL)T6(?Wegli1>;&Bhw_DQ!jGgoZRkrO#W> z#60ofo=#?MF7a&acD!$wWC`qpj3#A9f~Y!jour#E5M?(3ik;jaI6u1TvlJvs)k=nk z8k+@NOT#g4H*i8oeAd`!T7)K{KPbaKKjL|}yj>ap3X!L{3&E|KFsV}eZ)PF(Vors+ zfZUH2_C#|df2c!DV07jfuODPaa~I(|rG*A2qy)BPDUCPR9t@Qu4eJOGy`EOASn9)b zu_*5su^z2}c%>5vktvKO%K4@$hL!o9gpVF@Tx_Bm|AOa&*eDlk@RCP?c35! zvr~!X-}GiMw*y|aYBq!~N0^N^4^`9y^rT27T-Q`ph=Jxz9%T-dy1{IDAV@i$?U`ft zXMQ_m;3|>Bc$#+!5-Nu9IF!TfQ0~U8<;mC=7qxBdMNuDpfFl$<_ce3(2Yhf%@#DC= zr4Wm5jVVO5;+p*hpsAM_!C9+t4zm?gH2%`VPOSkRAAe~fSKCgj!I5NBUp7J>F(qvdC8?gAZ^rpMO`(X8 zD+H<6XF#x%>GL?xWDTlE!oS3q8<-d`vgv1Kam2*X$;>taER^m2lz>Gm@O%B)%J?ci zsu#5wP%~zsPmv-(;2F96!HUp{^>o#@vE%T_iiUa55B9eKeS)uWosu4-jyeLLSqJ;> ztIU`TKYP$PYNXqTuaC^Mk>ZLqLH?e?x460_oQO=klIZOa;QLaCX+v=iflLvhCDh@1tj2Jc65OE9gi_bpO(>f zlYH+nI0XN#0f@)pP%IcqB?o*XUEC|U zY3=)g%ndAPOqiRgG3bR7ga8k*Xkx4cJxEp7Vgl2T&BrZl2teL^r!Am{+AFE)@Dz>EADUcI&KQYk!@7$N9GVvS!31scIG?ve8|DN*e^Yek_mn9$>j0O?n!>u|K|KqcX zpCB#UOQHye5H9RNWYbeYS#lOhE}b0*D}ITIpOlYq zX0vyu;+3X^35wn+;7<<+bt}TFDI-xTw=LZai%pdLB_|QfI$n(ap~g3g3ds`B>~uOx zIlUopQ6=IMRY>jq8$9z*86g0TyAiYFi>(`(8D%{U!g)Hy+m)Eh8FqR1v^1(2Mi*2^yo@<8PXtN*0 zFyt2M%8^Kp6u?(@g|Xoz*XlU?8$2jNELfoW$i=XnyHOG%`bZGRl;3Yu4hr@_^QdAU zL8vqN*I&|dew&wqf$I&4cSOhZf{guj2loGQ2V;XhICoXr^9zo~@=T0Z9|kY;v9Oty zJZ^9PIYpTQTbla%UwR)q^dFtM^>%F1EVll7CR%B=#TFamx_6iJr8_xSnQx+8^PYRx z3W(zuz4m_ZH%{3Z?s((n1wOueuDfazc^e+(-|fP3{G`h)&##uCX|Xs93y z%TGOWm{;z0;)2viq7oN01=;Xz1_`oZ~2WZPio$z_uN-j zywWS}eP5;8)x_p0d(qeOfgc|~6Y!q(!lo3NWa=Rmu=rsQ7;fmoF)yytA-2)rVPpNO z7U(L@JdImCwY<$9=9f6d6(gdj*4Tf**F8~4L)+CrLPm){-ATgmuQxjbp4BsoEiRs< z3k0FwmfT2=G@2wBiAJJy7;l7l99i2d%!UiSeR~mbJCVl1s)tZUvCT z6LIn-RcO0xiTL zjQg<;Je7D=jOMN0dj#04nCRswe{S2dz3;+_UMp{D_q=SD$@{UVEwn}QAu?+NInV1q zgG>i5(>hrFkDuH=Je5>EGmxH=mP^-!07cm)&;L+n{EY()CGT`C)88b1tA_LEJSjrf z!vW^t>w0}m+6OKrQgKuA2_oY+L2v@C8*BcpAOay3>Xp~I>#uRS5<>VA8BwtiUb{VP z_pXUw#*(-YEZcZ$mPmF>m49nLedgeK?C-D?XY;+jh7Er((h%u$P58wmq1Ao4>3-M%=nOs}2oxv5@(0=$B6f@e6f!_$}4VL)hmEI5mJ~ zYOnVr5*2M4`}BZ@V(8W6+9a_+(`KB6(l$U?I2D)5VMH++QUcA8IDy9&q7*;pW<(!0 z{V(yvn`22tHC++JgDLa|8hV^`CROG$~hZnKiekfoegKoXk5R zQHF7@!-CfS^O+eyQqNDYwA9iy3U=3Aq2Z#?{E#&id%#8WGj;vPdvmf#NxXQo=s=Cf zI{WuG(i|yi@7v7j?SJIr$n5^JrnfO)Z>`Jd;WHJdy$oNxeX@PZ16LKg$I_?SzGVD- zcu3j0tDNP!b8(Ffe80xpKkWE%w6g)Jbpcpf`ZhMr@?!#MR$oHMr~aUH0_=zHnlJr= zKQTT;Kzw@KBo|8T8eP5|K|N03lECy?>fqtqKew2t(4(y#=IymBnD)$S2IW`u=c3Uk zupjn=B(^E>s&Tw3huYG&Bn;-%evfVc6DcvIwfr~pbLtH&)(pEWP>k6`m?lEYVt1Bj)-<1EitdooP#MI_+W1e2OO+|nxniMXAn7J{}|%^}3T zx!Z(aH)K1aOYKXU7RbZxakQCTbQP#_Lw{r|#Z;Keo>jYK|HrUMklEmMM8-+9kd$o7 z3Q;IRvn-^xe6VQaTu&nBrU^PX@x@#VBJ0xzd)`kW$G;r+``)zOZ14N6q4rMM=ML;b z@@u?d(*hVQS0%zUn5>APA(m&hER$!=k*J8xrfu(m5hcgsa=FO%k*^)n+4cN4L`=>T z%lQ2V;4O&?W|HPbjx&-OY9GINf!)2~_h4`-hD(PXH)%tmw@lRyqi)}5Ql3<|Sv!Rd z(Eu?&kDOK0f0p>}?-Fx;hiuP7Bx|JHy;PMUpCAiSct2Yp*Hrub_4(e;LC3H>dKy^9 z5|!@Rdm8DIUgKUy^<(P#^jCT}|10S_r)>wQ*GG0Sf_?egJ6Xqj{hy@Ohd1|`5N3UB_4U=d(=Y&wVv%57vQeQW_XNhG!hL1~^ zZbms(UbXo#2?QB!w=Si($JzL?OEW@wd;_dz6U~r8CSTaSyR^sNW5j;{+v6>Q)*4Xm z{TtG0k6e8v4Pu9q@1;TT+9!e%ICIhMmSM*qwAtIn>QH?$w4`+wcF-LIH}uUW*I+l5rt;=lT?UlRct=hDV?nh9_CO5wmLl6hcL zBGM7v1!mfwRqfNA(RuMYQf6A9F)*8V)q6Z2@d4@RD_yJA>{HZp9ou&YZZbRD(=%zh z%$kW&(ZUmIe!rqKRgL+U}tD`iO9m{%&)4G<* zhLCrCK5GuSI#m@hYgs$Tic!(CBZef=RYCKoq0~0nfvar~NO-Dxuk$%mx4W45Nfi?;q_Ey`%%W>%)jxvpz(A$NgznF@T-~Us*5PR zIF!;<|DkU4rFpy$`eg?CW#Wp#v*B7v1LQ5I!9{35Lu?&fZH}Sq99-3Fwi|Wj<0cKA zvQPV4n#RPSV)XQ?<=E=UdHQu0Sf#jX)x|Oyoc2DLMr*hT)vS;_v}xrUBIm60+B~(< z2}?!;+&2%(fG=Y8`m*-HPr9Ypv6l$4Y^sVWG`Z4JtWmQ!+4{d_2owoAv`@JbDtI9% zF4H@#j|fk^Ww13fkP%S?gKQ@_1S(7sI>YEVhhu4sXyA7YW;}AjgvGu3T@&mxlkEqW zo^Gbu*Xy-gQdJ2(tzzuT`9_?_%>WJm4hqBbU&V-*Vko>!MBkny29h(Bpj&NY{CGaq z1NrB+lX7h=2i!(~tyoI0p4jI{J@5HeAm~V`Y=9il^eYR=F@rMSX%_M+VT0WoOBG>; zAuCwxsuu#ARhGB>{#cWItavO92l3u*)2y^Wt5s}a4fhAuxydGd#Dxm|QeIa3VauqdfMMEv zdt1d3KKM{7e-HC^{bwx4gF~xX1Pcx*BJF_%GGz5*;o%>tMo2`m^%~sDON|6hV13r^Ouia1+AVF{dF)tqVr40 zzrFN%ZqQqPt+4XV$M!1Q%s#lILv6a5lDcSY z=I433FSg^|gOiZWZFYsc#de{%k1%+of$KmByk<~ebXT3*SBgu{F6U2d$a<`u8bVBN znByC)j)7x~OI*Bb3>et(sXJzruHcsq%;A7ny3?FZ0MAA&m~0wg0Hc-Wc)@`a$44wX z&Z2PJ@KZ6}FN8mq)?-AvzM2!AQPlxL#vlbW?|~fHn90iRC)W1bk6tOWP>;)#=H(D8 zGzT_{g9Hju05Ee8?}x#?mzckzdA(eYNNf|)|O4K;{&aiJ>z zsCbcYoLcN&wu;rzzW#L5BvrKlj-elq4ap(rQK%CZ^$I;nVLv*HSqPnA%^uc_nhY7U z*~ac4%&z!Hz&p-C5MxM=8>OKG`()%u4<8T$x*X)aJ>{Dqa-WI`{e_lk=+M&09N?-; z3$UL=(HK-#IjZ(%9gRHCH?hNP-tTJfQzvFi)xM8~90dJD++OHD4_KGht*!)Rw~aSX zAQ3VuHd(FyJ`892C)WXaP_%7zC`9~n;z|LNO7+rGykfAzy}4@`><}|K#=~*MEwXP# zO-mN~8VSkCFN0zOY(4}bSOvfp6rDN6I@Y-Sm+?vt8>t~#D;w45fY5KMTVx^aas)bC z5bh#)fuRLK*~WrL*AWdb0))r6kX)Ac*}~{#1>M(=WVdX;ry@4?tid4PNxi|iKNjq2 zjjg$~U76AKQMqy1PI zH|SrS;nnR&eJT5bl4K&s<#L>*By=!U!rt-||QAmD$;5=hK0)hR&s1_U8t1uD+kI;hE)9M>)2es?Tus{CSXW z@I{&@)KkE!wi@>>G5KeK#Z|vI8?A6Y7;YyGv~s0pysDT2>wp~BchoY(IU=m(J&96s zPb^B(t{nO{_2d@IzTl;eyO<<6|G;>X`vh;pbSbMJ2dpjT)H*9mL+vhsH_ zCi;JP9fV0l>zGTP7Vas$w)$5MnT~dU+OayQ3k|C{iP>vb?#4XwPn2PkZ%CDj>nMfu z|8o%I>y%Z=BdeL)&boXJ#rlHeg5OEP!$ts^0~coy}v@sttl|2bi%YYopNMpC%!a3$78(xfE`$t zWW#E|J6=~l$xp8f5I(E5dt4}@tC&m63a@HEBCd>QGJozWk2hgEJL9BwdmXU;e3U9z<=P zN08s2%Ft%lG&10ljKF=++5_8s8OSiG3KiXPdEzZ_VOAf$#DoLfz4St%_j@aSL>BGV z(|jjLci9H0K`Pz88M1sP|CrY>UtlfQMDMeMRIUHUy0yYZ{%&@lcvj1TuTRcAS*_=H z7}i-Xu=a7dnmKATW2j-+o&d|&EFh^W7I)b%*?Dui5`4-I+)ot^T)fhj3!AeU3;SYM*Pz{c{!cL@BqXUGOIJa@2tYq2x)lnL9S&YAwHm-%+1@DI|Wp4|$B z^@{L_VE}%M=7LbdyOA71g9|b-GJT;IaXK8il{#dcOE2E?{}3>lw%5bHh+zCrt6LxK z-Q1tKn|Bgs)xx`6iv1>&WYH*1j^B5f_pSoz7RlFd=F_{hn-Im7D`T*0&Z#P`t?B)f z!;WY~>eFUfCG)l8e}r4>5}&vP?Kv}?xEm3x7K(`x!E>`B08ebXFh@lKTf!TgiY8h5 zg61F&lMP4c2~n8qiJFd9bsbSlz%B!Dv;Pl-dHYLr)fbI+MlS=z``oa=FUp1NvX+BL zLI1LWUFGD+pr9y-L!2jgWawf9=Ba{Jkbk`8YfjD-{_((i8&Dpght@&G zao#qqL7AiDg(YTaCU1m<=gt-HYmmG!IWN!YIUc)3(=^`=D^ktjlOt%MuiuY%aTSlW zPzT~-aL~9OxCf_1TE1&e7_637Hx89*^A*4$nkPTGT}j-7ko2WEO7&ZRgv-b5O2U_^ z=I&y7=HSgvtM4BV@!hXpSZHjTjO~PPDu8NX)he34v^r;5Mef@GIvQvo@UZuA^ds~!rZPH&qdV7lzM5LsR$`$kgT?rNx?KUN^35CxMNrir%-c;o- zFPQvt2gtw*2$;DQmrhe0P82BlN+^J0nmh$Mv=(=3b?W+a1CZU9;-qP4rQ16w*YCc@ zrg^8fW$3`6RsH%uHNV}Vug+i8*~iI+c15v26QonZ4LFxSc6KN~A5J5O#ZKM?o`?Ns zZ@y-}CY^A9A&zP^$;vo|yscfGtD$LgD6%|qD#L5`?0y5L>sV5a!hoO3JN1mcGHO?} zuS9kuN)$ojZ#u1U*97UE^sZX4xu~{evhXUu^cB7>-Dmuq>5T-(`pvD=OfSYkzOY?D z@9!mzd`b#@cGa}S$Sju9o)#Bjvq-&G6CE>plEyp9rUBj1aJ?H&AV$|sdBjZ~oMTrt zG){lf)lB=p8U^@B)UXcT3nP|G2jT8!Z}}K4 zJVyXNG@613f0`OJ#0VH(gVCSHmL8s?X96D&KSwy|O!kfR<9WdDOzD zrghIBGDFPZ@+w$te+|_rku((BsKWC2d|aHAs%~{ls*{e-o5T4dT?=?|Ezn<;Uu&9Y ztk~eblrXg@mkHc9Pd2EX}C;$M)!rFTCu6gdb8|>*ikur{}u~HyT{ngh1rsq{V#|2 z(|(?8O|}L|A)X|ZknP>&aa%-t@@44Er0G@`z;5<-AFW84==pNc?t)D9PlS$w8^G$G z*Ck);yKH)pM}MR}7OY)5chr*%K%@kpA^L7gFm`UlfGWgBN_T+@0 zml(F)c;0la#VICv7lloe)Aw|!h>j=6R%VYNoM(X1#dw`V4SOTZ)V~g7r+)uGV8%=IZY)PK-3n{c{0!x>4Hkobt$$@hvzVK|*P8b)XM|m0MR$)$46W zT4|pPZ#S*}Z=XEKP9%r%ea0nhBl;g5)Dxvz-7Z%82u*{G^GB{Es>+}^Tt zr^}B8@IvE+Y(Ohn=Bxt?;xArdmx!7fH#6Xs=>IDj6lV4+s>4#G8Ij_BwJgj2(z^d@ zs0b4I*O%FqmmZl!9qYx`jAk>rtq|d=f-4HB!*R<1Il}4?b!hMpNh&IT!njEhwpt>4 zsv@El6L2PQP^lxWctuuK$j~$k6qGBBH5Rhq{0$@aFxPpw+79G8^XZzUz2wq1paau^%^;hxFyjExmGL04x1yv;er=-Xbox_wuh zoHo)nq}33jxBA)lne{yBLWjS0BJt$tcEO8x~=gJ+LvT{vFPJc&p8E+`@Kzl z*|dOx_TJf1a(q30#bw``fsnp}uGRmpy~?QUld>AO)KtY;sIf62xrJGgOE>y1KWAT* z!15>ib*i*R7gwZ6LpkQ2k&fC$jzd3ZYrsWDq=Yg9(7sUmpjdWvdQq4lLtej_#@^O~ zCGO3t^-glB{WOb~_{!iey8rr9x3*%;BzBj_S>)=YVhW4F#aEry@YS98$e?+$dTbZD z%u4bnaoojHBAw2Lx=^SnCyUL#1jp3=8VLT6)i6 zphNxnCzrt~J*WU8j%f`&sXZ_0D}^33?tR!^@|`9k4X(da+5W`yOIO7JtHIllP|rqE3#b0qe!FzVk0o{IYoZ@P}1b8Ay(K*i$i` zzm$Hgd9$nyI=a;Bc*1VI^m2LF!a=Ntwnw&dGzP>QfJod@`;2Ec+^NO)Z`QqX7W~D( zC9E>zMDZi#KpW$`o&Ge*XB2#p8%zRoUizn|dUGxZL_Li7oG1(EQ!3O(8#IOf-AgmY z*gfIbp8jQ&&DBv8}(=g`sJLtz$ti2&M3Rv zEw%oxMBkrQYGv0~m(T&(1$_Bmybkf-UMX6_4B~KBJ**WMv_q)%Z(jb~T|OV>@AqwL zt6vmg+|V*5m*hn>p+z{8qDy(au_n^o%juRS^nuUv=Be&D_S?*c_uIM*cqE*BwYu(V zfo@_i==OAJs%QC#hKV0ziO;d$QE~kw01JmMTI3#QS5D0o^uKa{NwSUarUz3`r#GXHHi0#L312Om zRgyQFs ze)7B%dpE`Hd8q}R9cY9vjW(yg!(%HSa^;SiDE||huFSubo*lDDoD;F;Zi@Zvh>@1) z2!sF}b$Eu2dyy^~lN-?A&*`U+efzJLyI-8g2Ii#0SUp~jd~q}ou@AT!SqQ+ZX=S-! zrZbrySIn}J{j)#L&92c&)iRcptC`^}#b634j8*cd-CganWIj;3jHY1g!5D}aww0Yp z59(uuqF6UVzTuLv2~HNqB#|7#y31{NmBf*Ls#((}^xgIFCM7ig?l3*3FN!C`J9>e} zhYPhAE=gJyQ#~dO)5rf}2)XNI%CY{j4*xJ&htpbrUm-*hZ9{76im9uue$!$xG_|f_ zmgJI${LPQ)fEz79Yk~78t1(;)=|d?96{x(bL*WS}&vP zWpU)>)1Lf7CUi*u{l+PG#5)q8J3PuqOO&uP%=p;Xnk}BvJkNM=Z|`*$*BV)#u+6Y9sGx z>Dt#Ssj7ohr=T$!{LPeEx99?5SFn^`u?&X4P1WdwyU{YG@X0ija*9D&Kr||Uqme`U zUaQNV(`oDk?FN*R7eEF4g*|Iu{}_LBc3ZQ+1kWS0r%u8N!?#h$pp0Xe%}VX2ipOOf z8ru~}w}#(E;|sdf3g*dvk>-t1hnLwtzpC|al$3qk(*RLBrclG$s>a{-J=3{GmRGcr z!Kt=aiW8y?MZwAbPQ~ll2-9V%M(N;ol z;T0QJWC=t}ngvL-C)|r_!3V#}$LtaeONArjN};{E?qO=gA$e!2UhknP`U|MfEJcGr zp54O?NtTw|BeW<=v(=cvX{L;C=hlUx+urr}J24-}!4ZzQPM|?rxKY?j|L8+cwppSz zK?bT%^ABk|gwkyFM<8F44khcY+Ua<3TOSmqp|EuU&zlaE(|n+w%O2LN@X-_M)g z{sUX$+6CkHyW6u`zh6V$Y7ZK9ggwgu1Fsg!hn;ue?T^e`B(1`)N+49#jQ60w_6UKO zWM;qbb9BGRy;3rk8&JNhQlOLK<_>2bvtK&ul@XOx%lBi=YIU45(YQkwpC6GqlkELN zM66b#_piPy(&vVUt#MvqiBdM4A0)O8{;H&Udi|l` z=_H|UYqiupzD3rNJ|!(sYJ=kS$D?uD!GPj%w`mrMGrK}9(*UOY2m+I`xU5KCtxJ=_ z^QhA&J0GZ9_}$RUkyDvkI$p13*x#5`hs|6})4B9t?P$DD3O|Mti~H4W%SMU6f&#%G zSU{dnfKT&)TYCe&utj~DA`Rl($hZ3DQZ#W9uF{GRx{82_F3-{*Xt{bW;R=PyxZc!@ z2k3rP%A@LS?dQ7dyI=0Fgr9iUNS=2mIW@Qm0QaF8>_8j{ZGeVcyMGRXe>*!;pw0yWviazxL z3o1o-CpC*u!c5}Tw!mhpk)cQE>Y#2_)3^v1VE%j;t52A08tgb%DQeNYtTS4{%wwQA zxq;0?xj%#S=^nh7JVi%K3d4@2zhlMEOBpDIsdvra5Bl(q-kG< z+Zl$__*kag(9viE?zoy> zZ1PGNVLEu?1o~JI!-KgMWR)4bsS%8=oJOTt0aq6SSRaR{Ev@nw_#*;n6sS*gEjo1E*7C9NY)zL z1+#yxmy2K~+@6Wu=v&EV*9h3QqR&>_d8=;>$+(N`?%mxX@Jlqrd|PI5_?@?4PVQDC$k)pG_J^HBd)knE+&`wIENcm+5IxV0RqFCdh$X#pdqEL=_H6;qPV< zYt6Y2g^Hk=-|Y@+6@tnVJTyC}W`eK-M%R|>Dkz~5gk@i(Xt`vlF^S)1=}-9L8oqJr z>P4kSr7TIOFDJ1T>&ZizSYa$4P=nvK8^+d@Pt4DOT~*1|5o9>OLe;(Os#rUeT#@1} z>#N>D8d{w43~$4<<1u~c0QM1kV2i}fR%;oa*sHC+t1=zIIwQoAj}2#8wNvkpV3b6v z+XT=Ytpuy2K4I5m4&Gzt9VmDq;~N8w&*zs$o&=4zr9wr3)<5VY=IH(9?u%BpjR9jV znuuL!_+D;7I>QyJj**_W3XQg0Vk6EA4UgAmj}B-Cy2>f32c6E$_%U&NcH%|z)uaqG zpvXn^Sh~tKC7QM_>@gBT!JP>c#(!nTc!Ny8O-#NycFFo{B!&2vi`CXwIIsD#WP4V(>F1Eo^B3?E_#Fs)QjEMcsV^oa(bwE5ZJE^C^%eWf=~(Oe7By3N zKINjQoW1sU3+SQ`<;Ly=Db6CTZe*6&RXB1i(t+5i*CazGVTy_kcYhFcP0=su%cF(= zTPasM#eXQ*cexaOjRD+DTSpmfdXN94P8}WCI!1?Td6hm6Hfkp=R9CR9y*Q%FnJIy| zeeZ&nj;^v+xfcZ#vGmb;oUKe}7RyZg3e;knU)_NH=D^2%T}-;C2zK4-YSgb|=x%T8 zIr}+E|3^tdqJTO5C$@1b2Z_^tm>D6aydm3?XaS;3@Iueh>H-s!RO1eAO<4vt3OshY z!wl?mxMoQCT(&BtxG51|FXh3ETJWTsFcbMRMvZo+7UF+s5ZF>GPXQN+_%=pES?#s2 z@jd^Vieu0GpHz)`5M21cvVw+p8kY^Z`V%SZo>wL!NQ3zXH=3is`Pu$v%>R2<6g$-J zzH^UP%~9-==IK4i0!(q!RZ(0g>{fuStgIEkj5FhEz ziY`8=okY!&61@SaxqswX-6%CkrKz27Y*?WO!O&7HYg6HIuNxN)Vy@vsoqoM+`UvA4 zzS7gjV<~e;#)UGV%!N1%nY}ZcV+RR1C50HhUCrU2&A(Fq%U_KZUK{q6EcD!@BQM;| zx}r$aNThzcnLDQ7*H?`3O_Qe)-D~z_^%R@H8$pK=5;9P-RrKOx;}A3G^JzD1*P{sC z+Y2ECQLvTnpoUr`ktz|eGY>nPXpREd{V%;?Xj&J0{!F`!M~=2=5tk^0rT`{#)s zaY@{|rObg%BF`G54K3iEcqK%l>Ni@Ile37(EZYBaI6M78Og6nSD(*IH;|xvs2~(Q6 z3v2Y_;d6wVRTmpc4`s^~LG=52-AwvjK?a=e&A;Qi)o90>~yh{$|3d|8^`udQS~V>U+!1Lt#*fyOhj5o-(=YVg~vJ~)nlIf29`riD&UcQV#| zZ1+-EEA7RlgAY5MyV)}2Vw8|<1{q#Ve7n#4z2`jV7u>T3*P6NRSu=SMKF&vllJnR}<p*2v5_p@!uA#vRGyYq)Km?SbhD5#S=KIfAv5pBQV#{*o7>(7r z=Hq1%b{%FYW=VY!V*c#qje1G+(=p6X77m8m@Zf>%VwEKM{jrRVlhsS?e%7(fTvbMm zp{8guT(2!JIS5+&f>30(v>h%GCp-&*cRNb_eXKqXzkpi_-Gr^VTjnB_7^#_Pa#;}~ zOl4U?0uqs(2_64L0!4CPFjPKuIo#Zn`8Yn3U4pi&tB?mU35_BbH`!c(ja18IqxgoI zI7n@XOK^$L0Q#};Tejj-TX+Bt0j{-tnCxv9Ly3gJR`cw9C|9>FeCa8eJ?Q|7l}b!L zuoSqWIDgE>9a=DJ66GpKL$ZrA1EO%?o~c?G@tZrZQVi%H(ysPu5txtKPj~j5g#>3c zBenjA#Qi4-q}STMYbtOwb*?|;a!Xu~swtBs{IZjtnMGsF7GuO85G~@xS^PoW#!0`* zoZNKjQju}x!-j@$I)WWn^EjYFW{u-uq*ghazXM-Kuoz68C|HI{@r&TritHhISm+II zoxG1Go=!rRu=R78I#*bcExNpXpRS`y=hN28B5rUI5zPD_cnj-o_T2p*v~b%fS4d8C z9DvsiXI`!;=w|#c-;5`wcgLfcalZt&g2$7vyKQ z#5!PM3R>}p6r!c~kqAw^v!0LbQhuL0;H#MF8j!e>qP$sU2NzV9dpi4)a7eCLS7MD4 zE6NZW1@HD&seuDvHYjce>G)L7IJYSK38oYep>epXW~gAM1u6 zkl-wD*B>J%x@_I{A8&$e&dC{Fx4rAdZ4er~8W?dFhEXSDb?QGWG%h2}e5Q)~I!Z4} zF|Ju1X!oX0{Xgr#*y|SBnqeJ2@uWCd;M=ru-POg+k_5^iN3$GN z`o;>W;!A+;_s#@63P1Rv-UjA{7LDG)6FpqA zEL13x#9s>a71$ICz6^fS9&kRTj9d&qrFZ?{*QL#M591wT=k@dzj-EW2ULICbi=ev7 z%tI)?=l1B0iX5iUY*5QEne=1f-cd6q?j)39W35da*H41h+|$7kwde;iM(V%^wfHpJ zv)QQWt1pvZL((7SW&Je)9tpnXm`?0to9OMWqwUSB&;L5gSRo__=C^reT>Pw`AXFb7 zHd3Q;VQ48N1N5OeBR0;8kIYjLtqd&JAUBvP%p#e*C|4LczO!iUJNzK6=jl#iGl8L# zIaK8>cfTGa0yw>)QERM&KBNSISoMP?w`IZ1ByxRjYki82{fg8NTVi%%|Hq)h!Pg2yQ z;>L#X%FdvI{r(ikAD;R`55KVr0zYauN@hSWT%f6Win{zudft+?3HNA|ZZ95;KyB}1 z!z@vX2lv9X<7U%u`(7a+ACd))(vP%JYd0oGYX90RJz2KSeNHN&CjI;+0k(CF1ohs9 zK?Ef&54{0W$in%{hF&X2Aa}xF)|}!1@T7|?rI9M!^A6M%hMP-D^k!Z<9y{6` zs{EV|b;`OK8a@M61{N+rJZ|0^?l)kLjWEyG{CXPdre%dR~EeBEC1PtawcrFkCHZ6CEIg z%7?L1DN`8?;8wBzS*Qu!tw`35{d8Lx5H~hkXcTC~s;IAaV?`@Gt~oS{+cMoUK0MwX zOlzwoF@pK*RaJAHuzj`pO|gx5KXmizU6K)WD`w3aHaH!&o_X60P4f!%RN zcWc6CVt#s4Wl1Z3k*_RscvjUWleyoQAC%0=suw@}k?oJxeTS?pa&#QwmBNCS2w97$03|dZw^O;oS?)hq%tgk6 z#I^M}RGF}I657_PQm9d&W@O$A*6dGO`1;ZpI_UyE`N`BNFdkRtV9QW!WpSTeCl6h( zESb093Oyk>f#Y(R`Fhvbd4eMJ+6`}ZCodB?JVQ7$XbN6+G~>vVk-FQ0B4EzXsRLCL znpgeFHx5!1bOk4(ZT9=jc;b3(EMmw}P6@6xMHIlkXISg_)7M9_m_zwbS~(wh($oKB zs=%XyHORl>DR~8G*cyUf(~pmZ_ytdl)M$x)1#+|7Z+jik6lFBjlthbY$25A+QeNo? zbQj#r6}Y;+uyd;y)#d##ui0)pAuJZONaRmlNmw=2srErjkp8_770qwQzVyE2c|)vf z!=Sgh6g-hBsta0}z*#yD*BProx>_|)?52zENlsHc8vaLd8Nv^{)9pI0F^Aq6v~K`E zv_Rei{aKmZE?J>u@_#;G@D@2D>b9EZ+8I~wia)5=Xxxsz0_JmP+fz6qyyp>|94t# z_5j?1(fx9Bx_g)!at0E6DcP)ROo3D>q5cj~eJr)25mZke(HeLJ`9(Hvj3VAb#XOJe zN*k8G1yV18{<+sSD|@Eb$26Y_!CU5zt$0F^Ws$t4Z$CjCP7pa~|GiL;~jF=T1zV_o}5s0Sk76|UjNn3n+$-%)qm(5^2 zIxba&E5}%p9hAUz7$$8u#QR%7029?Ig&OmOs-nPCkbiV6F z>C%MQj}_FHDo5zd^?+hDj}1D9{70d}@5=mD_J90pjlGn`4>+4?bN~*62hsO3vNXL@ z-v=%nYGj10Pgnv;b0JsnW#qo>wLpnP{2QLmkXJqWHwiE#x}lTq*+RXaxwL_it0c*wf6&p3c2qjyaJi`cFh^8v|w}^r$T9KxNp>oi4bh z?WfL*)&VU!{vhjW~Ais5jIos|gG17oc9%#g ztL0M#pV> zrJ4>DN&+jyvP^|wB*0)H?hY*mRk zDZp&kXwz>kEBhOFWEA4%J#FAC+(jXeL}}Lb8d@GmgYTD{&}Uz!2_NK8cA0J>nFXVpjGo`~%+yKdALhf2 zR+!lJ!s_WMp}WMKi*+qWVI2AySy^9=Kt%=aXmfBCX1jKbga3R(CG2KLAnoQy6)`Qx zDbpQXC0SP!P>uhnN>Jx&3)L>5Y>uA*IyW` zkSXneF|jKs&^*MF)=O63@88%&$qq4T-t6PG-3T$UPd~dM=rVMR>nSl)3MvKV<^3Cs z2A37`9&I+0vb2un@C=5sTB@^Dlgtn|>FcWa7o`p1&8J;rQpL`j)d-D$P{538~gC>Uylc0|f}tje0I$7Uf0 zgA(tH7f1UvPr*g~zS;m`yYE}`Dfv3pNlav^=kjEp-K>9IT67QeW6$`sk=rhshDuRL zo8}e?#mE+=u>>SfpST4{BX-IfkB0S3T>p2u6uySV!JoIlbuTHoe*+=&L1TT1ajhA0 z;>4)N3TV9ysp?vOJFRNR@e@bUMWB8k4W+voRc%GwhM{kW0K>%Le-Mg>DjI)N9&Wyx5UI6g)YPe=>+m?4_4c&;&llKLehLHFB--CjVjmIu)l2(~O&gIT*Wx zGVc{O9ecxd_tfb}pFJ+4*kpB8&o@B#CvxkkRXi-Ik=m{pR3K_mD3gBk9p6)}yE6_R z)1NqqS>y$uZ~b;30Y|*Ddc9=4>yzn;xlPs9YW+zBNUj;Di#qcgiCeHuxJDg-nDkf1~q!~@c)f8ss<+M+8 zZP~#$o6Bv_jXZ{2b{$m^X5-Lax2q%0-tAlR8E94dXw^-jOk)|-H_R0+-+sqWfFR2F ze1j7p|X5c($aAi4Cz_Oh)NSX6ncHh=}6dGP12$d8OBY2 zcS!9$jjCE2J1ww0MF4tU=&+_PrvRed_f`{t+va)#0b|0!+rYivo;aTi%k1e%`vH2Q z#`A?~vK>RP@5J=(XU>qgMdC{^ON81b4Bv+-+VgBA_Fi@M>f<%D(+nVrmWB$B8kZa!315GgQy@%h5LHzfd2GZ_}GZ6kMOcf<-wdM8Aic6i?FFn*pF zrcNUNfRqy-r5nP}&)e+2ecVwJY@d-Q@&b9=!#uu0TlA#xcnwDib$zWT9!DiV z!J45lh2UL>J3TZk*ZQkM;w{MYKc@{*o9JT8oEs>+8|rZc)kVOPZyYJ{hAJQu-a%7{ ze&WWWwsCARN!?N#--d8Pz z1ec~sJ8Qo==$H&6GO$ zHPaqt4BG7dw@2;>07cNFnIc-lUujLrCH!7qD#L0mlcX!ZJM?-zxzPy>edsXPI?4}}Fa-bF18E*1o-qs;G>m!+JU&cBfB6atf!EW4G%|7b9C zml7PR)^FfUy6j?t)#_HN21n)4c34RwXo$juNI$ngHx6d>kq!#_p^g0?goJGL8(l6Hj)&~(o4VzQocImz5txa5x_Gvvh9SlBEYp8mLj?MA z1o`z2vO!dZvayaJXk6t&dIUvb8VjIGP*iNf8rE3|JcWXl|Ao$+gjW8&Ldk7bPH(HT z$U`%4FrwLH5t5!Cn0EQFgySt9-3xt-`ju0(+@=rA_hjY4YF%cRS@hpG3VW3`@SDdh zv928G7iQm7-7lKqgL%#kaaH2B%)9Cu1%Xa=2QxKaPnX85ityDwPD5zv>Nt*QWc_gND8FrZ1 zL~c)PH_RDkdV;|)$qAWrxNnH|R!z-B|?Xk$|i#yOfrm2GKm7D_Q6;kB+ z@)*+_J%#jvnb@YXSB_V9ubu;xskEue#sA`tflNSA0d(%JB}AY7ZAgG`=3xQX^N&MW zQQHRm&iclA)=%&{3xjd-T+vfz(*IxHu7CQqPAOr-F9auh_V-q4R{IYtA=i>KQy?~A zO_>b9Ehl?ve6Ldmkq)>=h-+)Aq0RqGj}!YPtln#o*B~tl)dkd(JG|k_X9+&7#Zc)Z!_kd;|h|pW)`T=rxXrfzuVZl&7 zc7cc)6EkN&@)qC~{!BtNOd3Xl?feRA09;Ku{V(nszn-A_pE4$4PZdGfkC5$b+)}|N z0`lpi8Ms93{&2PgXxtA2COh_;iOK}6I z5l8~o;v1s5@w5tw+LhEe8{sG{sQih}aB68cEWD_H2Fh0}P_(8mdY9IP%aI4V#sJsL z_|z$x``#T1v&mj}UhRhJSi1+tPGb!p)@#au zz*9>F36LWwL))j{0#d$}3iPX6n6|X3(RK!2z3pUhu|oJa2AjM#(OElsoL$UnVRU2> zrYy@KkvCNAeCqGWs3jvb%7uNgUi_UKR8XBUnZjML7IQEUbw5!Trf>aSfiXZoqw%qp zx|}OpsgaaxT#4yEKLlKoyewo``j9o_dDSMehPGO0?+MgRHS2I0^~EVj>PiXDYzojw ze&Px5qLWnjxMS{iqMT`@G#}H~!~(_P;!E&(6SgujhEmZw9bm^=-V8`tPe|_L5<|mY zBBVJ`55DGQZn#^ENu{Nx`YW_025x6IhoCK=V(ht6tkK~1gG~=p22Q?8mM8J!!)3S9 zd^)z(E&|)abny9mMM?2hq7itRo%Qz%kNqh~yk3LRY^gM(u$3>+*+-}5Bq2`UHh%2h z^fi|;l^V@X|ETvErG3)m_{d)*fF_N~Wfi|t0wWw(D?<;YNt@ym7!KZ>EOeimn42&*HbIU)xr4hR?2Fw5a$wc5b^PlHqs;%DroFRG~GKZAz z86vUA>1L!RZ}-)c14K3AH1RIOev=@b?{)g2r}m5_E0~X@Z$_5o+2O=&&1pM0?*=5o z9JWoT$yn$zxy?`?>EKFEij}+?q?~hK6xam_7Ap~Q#?CneN2=&1D8g&iVelsx#Dn)P= zqsMXh?$5c~>ZhVd54&-*!l9a!s_Ux1f%>#u+}vIcC&BLODb2s3XqHZd-K82>u)VY` z@y(zuNx}^n@U2LgbGjGuVJh`kt08-w;yCMGFrU|qG4ES{@&Uqh| zu_Cwq_fR|Y(JmA)qyT-j!Jn@2TC8qIDpW+lvbhiu-ZItHR8xv{281ms7=Nm=Y9Ho# zki~G`M%iG3!W#Yd+_r@5o$Z+S3Lb;E#X|TJ3EMZ1xsK0kAl5su)kMT6Ug*9L)y@Y4vfPOV zb33UyVRttn8Q3+pDpvNe11NQp%5@nJ19uKAG|RNp?X}dC-dwdsT$mVubN4E;pq@Jhs9lw{=A_+IV!QdlX%#5 z*XIfRVN68+COOHOwXdwPSJyY4PI(AwxYYj5%gDnKYeWWurf7dKz3;WVgQ_hvHVW7! z7X=bG=R_pP28xD_6dA{;sU}hFJC+HH6F*G0Bpl@nRAe{;26g_!KB^w}^gQoj~Y9CYJA< ztNAiYoT&b*w=oLV5;dJ9a5l3H5l3KaEy3>HH7h?~EwJy3N&QZrJ8oF>Cp8tQDbtz) zub+y9_ght2$xv-pmbCK_uaheBeCB8r`1H2CTEgQW12#G%=N$W?{moED=Q;w-G z9;UQ@{JX?TufV$)wgaF8=-)AFw1rwIJ@$6@?~e6QFJRp+f2B&RrUsI%tdmLInTr+T z`Ssg)xjH4*@&W_FLX#3zpmn%K@|}WJBFaxCcbim2$)Xtud*$N|?G({v4UsK|w~uqr z-tb>yZ6j8h)~$Z`sX7;{REHKig=T7q)07K?;mkjIj?nfw`R7`GDamL*;XE zn4vwypVkCSd$E-y$8wUEUV*rU*m(%$k?6!I)9^0twVmy#B3GJ1g)}t!i_w*lHLiY* zr<(U9(+O|pY!^bbWMfX2>ffA75SW446QY7ls7kdula%c3x$er;_CY6G{UJ)fRDB5to#Iz=TtKRubKe@_vU`I6i{WpGs^r zRfanLpjxBIN|OqnP;H3KZNi%_yaBz>Q31CM@plh?KL$&W3uBi1Q%zUV9OWu7n2g`S z5m8eDfTHQY7wj;*liI!votB83{3*Oxn92n0H)VgBR+&9p;^&YqmZZt#+b5eu-K32T z9SCtQ51fpaXu?!TFOi%?sIBL5pjg{~Q{*{FNRDG~n3TMj`YWm>U{{*y4moK*1aj{x z;j=%rz;wmY<(mO{?Xf$iRBkGa!;EigrG>v#?g@C3S68?ocXimYpj$v}N>qcUpB~#I zR4%cCGD0z2eC_8Cwvm;gQkfwOvSM{8sv%LKicp!4=%Z0Lz3>__Re{%)I@hh)1yL;M zO@N~rX=vXdk!=0#mFY!F8~L1Y)$f^wnfRWt%Iu&#eXs{|VZ7d@GR4oV#*vQl%xqo8 zMVzCvqgsEKsKn4%s--DJ&R$dw!;#eEdDp&o+k5}v_v=&;hQ3U?*v9PsfT)U2-m(x^ zyAQw*Oe{Daoae1X09YH}Wa{k2#U+L**S_8jHwr=<9$K|j4E)uCQHA@Fz4aTo>zBKb z&o`${t;lOWwbV?k75d)ZEr=M zQ;=!#@!l9U12cVI&}4^~dW}?(Ss_0^4KQDWN;0bV01ZTF8ix~9=mB&TV|Gy$T9vjj z#S0PSa&)^AnDa)+1gLY!+sQWtlJJ4a3V`O=-mX*|lk5*w)K;;%9KoIh1(~1P4Cm5v zP=Q}LB~UJrs3*2bKyDycb08LrU{8uQ?gW$Hi7k+jL}x5~v5GOtL}F;_a7{I@mD0-L zez}Rn(N3=lo=oNlit2OF>4Zh_qhJ#5XlhkYSgBabQzK!iwfWr9WklaqS})~jQ^p7R zx*I@)09y18_4vZm&Ns^%vudZ~?(K5$)~g|mk{T(*>>yD;CVaJsX*+WA8fhGu74n>rx1r6Z6@ zJUYbH^L{9K{8`Lf2+`G-KZ_kGr$w?B3u6Mk75>kO;7Xsc4~-OX;4`l}O?z)-lS{|x zqvn5)v61={8GDx{YJJe92;7k~MpX*ew;^0~HYEmjqboDS9w>f}ZV;x${biP>PD^0) zk>jpR!t{f|(k4h&>fH>nn%Yj;C2Q)ZFMkLB49(=Jmu6cdX}sh*;XY=rLBJzoEIT=| z+QDU(l6Km~C;5y_&X&rYm6jqaAkn0QrB208?d0OK31wdIi4z@*%{fRw9KP& zWT(!-q+|55$=}Ecuoo)q4wsBnK-Sr8|BgKsMOv3Z>F3fayazJMZLiI<<1rG>8;pR;d37=AV#oKq2_pIA1TL1-vc%~!j!a2+`l+<}3Z6T)N`?G1G-e&x zjPwR;{^aoR$+oAR6Jonqs&pznEVj5_8=QBvPg0W>S%zGLl*uy;$Dcn7JgpXk#u@a?WwCxNpuv8iNzgSn*otaV7#buNHLL{* z@RhvQuZtA{8!2!LjtmR5J3Oc77T7!+@?|xymJ;k|>$qb)Np2cX~Y7Yfv` z1ruC}Pvhc5l_|-^fsU3ltzr>imP2gjGWBDeYOG~y?EOSw(SRa3FrAiseHcO>HYJ;f zkTr_l6?TWiOG~j&_T>kYyV#h3;|M7l?<%Uq8HN}uA_q6M^2|7W$*?RFejO!Vz6Aaq z&&qu0UlFhK#EL)&5mWX3Klu@r@`1b^Ou-0S4H$nw!`r85AZNqpqJ}RSgERn9rA) z`)!dtV-{s__?Ai24hswg#woYr_mikZq66zxtk)~kai{P0d*O>_N>3vGPJ zSK}&eN%xuz@2Iba8bly7iDdwOVKu#eE?fI^v^n&XmcLS%Q|3Z`rzzP3ga{il{1o-> z))J8Ol27Xt9Vg2=F-<0=d(SXkal}>q>Wl+}qlBlp&c4Q(Baa-Z^%~jyP@n9Qgw(=j z{fu`uao0IxSE`Vf*l}R^i)NHcODhagOOP(I8qL~nJ^mt(Rpe^j3f z3X)@TZI=wx{W~)S6=@a~t@}_QG%&v$Gxj8yCistDVuVcD!AtuN8!1SHel8l7PQI2y zfv&R;dLFk7c)`0 zj*k}UN&iVna{Uod!~dqOmyicHu$;?T_q*6=Vsucz zTrjGQ`kE$Bj|-b)nO{nl4$cF*G=jH)-N7=?Oh$tAud+g;7P1l$I~9`)XS%Tp49qyP zY+ytG3b>Cd)qLieeo53zRd0s$&0c<~BF@IeVmizvY0C7oqOpv8@PO2>gF$KYuCMeh zB>N`>RL#AAo;EEAY78$Ej2H39g|-C^nHq!3dwf+=__@OW6hnhmD*ItjU(bZT@se48 z?0CRfv!5Y7adP$(Sw00azVehgl&Ca=^Bg-`xqNRX(g)%M|6gJM$Fitcs1b!8f_qE) z8O*Gq-?o+oCw+$e8N~C8^uc(Ll5#54NOrGtXjAY)Y>Pl^U4*!J0>;wKv-tK*t>BX6 zM1>A}T*r{fFEGTrZmU<=PKC{i08r8B(6f)Ysz7<=eftPeI)B(qb4>KVA`3Bv6Y)Z$ zoC%Fg>KwxJ6m!ZcD%)3JsrqCOdT--TYBzhq)wAi z+cTZEN7d}%KTIlUny;CI-NrJDo1r+IzAWjeQ#PkscD`BRbI3&1VEjiGv0B0m5jb0c z$U;6%aGaeh8L4h>{3&jS%9?@(!7yZCy0rPLF*a)2665@gziD5pHh44hi*71e5X;^` zWs(Qo+&H{^J~9SEJz_wQ&^JixOdCFPbXhT?TgBB?Jn7DR}gMVMf;sor2i zDG@ooWbS(b^MyDDCwSqK5OriP>;;ArvSfIp{iyvy!EO#htp`xs?jW z074Aa{|Yu~rd-|G(^K5#iOzO(4ek0Q^cObJ>g}D5yg#Pkyu(uK6W7+VoTFI0OmN(O zzdyzV!**Djl_!F)f3opYIUcBtr72%{)?M4BcT|Bv#b zB$e4t*+D|1-atG!De{%;)TYs)YKt`o~lq^`h%Nm!7nP$k)H@pGqyDeZ3?>2 zE#N!mCnN*t2o3b0PSeoRvv&MUPa?OvY9WgtE*5(^$2B+w<=-nesLEQAZ`*01y9o`4 zi+-DmdmEkkr`pRm1}qBdX^qU~HbbD9lN5pp5tQA|m482F-Z`I0@x59VjTbh5Jzf*C zw*lZ;YyVudrNCCe-?pa$JprS>SXqi@r$0#SOh=o^Fm~)_MAXsY#L$T$%P^Q!XDT-g z$}9ST-CBUfFhF@%Bo%yJZB`Xvv|~ZC$T9;LGrAap=x0m6OWYj*W~fD8qubmed(jN0 z)T|8P;oB$j`Bnz~vlA3@f=GprQB~4R-^dnk4(|bY$r34khHcG3@4hGsUD8a& zYL&|NP8H$}x&6&O)uQ!n*|}5oC`nSU?289B-qhn&6x`XCRbPkn?uY2Qn5MF@AhAju zaN_5KR+>FuVRK)vnXFpkGnL%bhMCLDHl!zWYc!rb3*CIkKOOB4> zKL7uE1_97b0?B2YIQ{4?<<>HfeR8Z`CI}O*5~DuVhp{7To-e3wCg65QaTk+JTp)xv zHAxau!1*-F;%UW2hCW(7=QYdSXASrTwbLgOgN@#6Wq zpD&p}+uCyUDhusTAm_bHNv=7{WUshmhij+eaN!EG1Fl_$N>jWkhMp)y5(xS*n+Y<_ zl48aCkewDPoW5CWSlssB3hZX7+LNR_VGE2w~@f)Gy-<$q_4y-uY@S=tY8Ias#n0g;Egy^D@m zSumCjd!v3VmN+?bKR8c~T@d{^^~LROqb`lA*8$9;i#fW>N7U?MRizc~k-+XpW@nCQ znChS(_oBj^Y3};>AJTq0lu0$L=goTU@`wTVrlc2nPLq@-AJw&$9Zj4C|2}cmTg*;u z)RdW_>jTu%Mft5ynWe}eP^KP0l{=n>2KO*rQsem=LBX<2lZ?nFTRf$31iUu z=7j@T`;hS%5ngX#Fp&!^2T$m@{bEfK0V>9_o%5ZonNx&<&L?VJp1?COylCX~eg>V0 z!X*s~au0>-|p=D=m0m?pLTNDbglO=|) z7f>N39Nlg1Uyz%#QEAt1F;yhP6C_^v?gzJ*X)NrO+Ebr zU*qTVIS1=g{YL=bBWW7gf1}`8#lSC^E>dEis|f?Ge7S;c(bBgvb~+}cvUV1kxR-)I z&PuUF?P4zI0jVOdui?r`{BtelM(9ryY?%>jhGQiHjseZwb;wNZQ?Mt&N;r6PNO`SR ztTLAp$NOV>q5y<4-&k(AeodQ5h6XG&$)b15q?n(PAC?z8ioTP{O;@is(i4%a3#L$U zI|((KgX1%uchq7r6ycha*8Z^Wt}v{U_?xrPEJzAuy)M|^QL}PBG+~=9(7*U2`LLS8 zn7WhO&xe^v&Gu$;f0;CSIaKR6!ZdDgNjB=p|GfWESeCS5tXQF4zo*z7_+e}sb(2s? zoZqP9N6bbd)MWr1iao)f{p1JHp=9b03u6vS^Dj-orD*bk`^tOtY(IroW}j4Q=umw! zTA`flkovxKd7hCrDxGQnx)`HYL)3ZSzS)wFn^?#jv+;sb zCmk!Z4kEWn(A$weDPDu5x)|$JN`F45bix5~hGqTNH_%^ehkKdwHCmlg>#r99ya@vwW@5M@} zzQh@Nm7LIB$Ie^y_qZw#N^$b@6;9Or$ZsqIXCtIHl*;D*wc?*N#K6{>Cn>YHC1L0} z3Wt^tQ+dC6N=)&UxDTWxzRYM0Z86-U&yR|(d$~$LfCWfyvKsPnlkS-fxf4PwJI6)n zGUxp}p#5AD=a$6=6Rd58m&}zjPRF_=Dk7ZbD^Mk4zBa?XP^J-M2+c2>;!$+~a4=Cu z`ZTs&obe}8sC6m>l4kKH#~!Y9nR?nRfkd2*z3{F;5qZ;eZXu?v^Zq->AV_dQ=Y2K9 zZ~{Gy;4Ze5m6sci4|wQcD^1})@WN#||0~9FVUl(S=)o9edx!LYj^7-(5J_9h0Jtt& z@BAu$Ed!<_OKlfB5fQ|rJq%sF7G!g%$p$fTbwp<%z=Hu(J)WinUYP?mumIr>z7}}0 zwPTX};**lHtnxg=;vQ<6;i)ng7K`C^8<5fci?etA@Zi3n3pArPosb6 zjH=uvat4=!brv`6KPjzd8IW(0DYLI`^Cb-hE6g59hFfOb>WAbSq*k#>qD{w>O5p7G*(HQ>-q}j(;S>4L#d}you zHG#ir#RYY}4)(A!xf-^?G65MBgA=s0K1}=Ov=+&2w_**TOYLE z;7$^v!s_MmD`>gsOz*BR>RXQS>>a04LH9et6wbU?yyBc!Fq7Lvt#IXRqj)9ye-dh3 zic+7l@x=?f6B>`#e9sraci5#NDCkL)Jo9*$YBf9|sPpyn5goef3(wOlQsgAbezsK2 z$fVqHCu>6SAj*Kq9?#G7R{N3Tu={BP5Z~ILU&7`tD-Q)~1Gq`Wt0N2;*z~J>2NQ@G za=N+G_?B0TF4?xLTG0I`b9)D$LR*D{0XkZDO2sy3;GwMpse6VyPhKiSr^^E)uPiI8GXvU(^v_Tw@BnX$X?LEUV-R=ae7Wr zC2Sf9Dy;Fi-ydd>qKw)(H%I*pt zd|8w`N~k@#e}3r{JdxvbuV|-j(IMrTT_(nip|6EUiEjy9JOc_8B%c;Cl%UI@(RW*% z-HvuV_rPhT54caB{*drdo9H3)eT3WhymC)jzD4mIW-1pB%6I2K`uQ@wMi#Cp2G%(I zSmkGz)lH953t}$Ut=)KNk$EMSNzq4@N8REL$+mu(Hu{ki@4j_1w*UOrR_mGGid`Hb zt)XdG>boRCowuxw!6=%_xV`ntWM`s53hXpql*9{AT=Xe>^shWQwBR}WA06e*GTBHUZ(WwY#ov5aD zr?|z^RMz0^bpqnXnA`{%PYAtIu{YeeN2wRhYt zLWVs_=7m1N^B(OoPQcaX_<4MXZfO1f2DaU|W8B(;87_i0mQWbxa{NkP`*DkpC{+}> zC#}1HHON`G#fv*UFDM!?`om|m&5jocB>TapwTHRBfLp?BHp10<98>!=v&zXp8(1Rt zHe_w6GK-~n)ax~v+o=Mj+Hg1z8o74xLBNLeIQCgxF#(H~bo14{{bo?JhI8@Mwo4*q8VNkFeU1ba$^VZ;e;L&sZ8i&9l1>7=} zg6wr!Z?9C~4vnjA|@GM~Eii&ddHyoHvm8v?_15_@@57WOa)n!|e+=aU z9Gl;@(y4R&Ar7{f`(H#g2rBt*rI#nV@OBk(?9>Mbs0~oIJqZ39?cfj*zNQw#kEw%i2Q#uO#$=Xlyb7ftkMXsv43 z$9*WH%^Fiy{Ua(PXe3o3D3_05kzE0&At<_vNEvhlO<9Jx3?I;{h5h|GvO50rgO_P! z^*Zp%^XaF)5bLg4uRztP%&KB$8+mNt#TN8jYu=xTcYq=Wz(EUUXs&t*joGS%0 zi{oiAyN1g+TF2gf@9!o4vTJ9wpa{j7xRV~1!rKyg372l*_Qbx>!-k6W{<-A0iOU8b zd5Ke6vhwGFYNrdW@teA>1(K=dRFS3SXoY(Hm+o2_9K3Z3Pu=L9M=+0Y*x({55K~8! zKZ)YRqwW5=7o&<@Y(ahm(cxw7S5R4~hlvX;7&VJl+6d-WU$7;!E7*(~RATLvhk()E ztp%H?Nt_){-lC;|PBuA2jBURTe40NVPBjg~HMM7FdRhNEb-KguhorA`re_>^|7g|< z9x-uT928dR52ZO@O9?2s>f4EK9Y+h}F_DLjbmK-n9XA7Yq5zo?=3U7fy&5XG(6jn= zHHz8D2-KP)POyhxHv0T!bg{hvsCt1r=VMG}%JcJW> zdqD9C8SU{3+Xm0GFF6_jG7aVGx|ys*NLn*V>!bPOq}20B*6Sr4<~3>7eLxJoX?mbR zwujbeBE#}_Bj&{3EKu1zf6@{qS>^-`j;QN#QGIg*Vyn6`@|#qNnh`^|fMl-By`eKx z`B!=cQUCjb@#ZJLz>B2LW)SO(k1Y$Ag;W@fm$DW(v^SXi{L@h0ombG8$gVDo*ZTKv zZhz8IW!{ssutM3PQICwZ2_XeghOtq0TjT4TVqbP7hS0o-&gIkJ9}YqsNX4SNwr&XBvI0otk&NsHf2QjQf{GL zGSbj0X36V~>THO9j+MEuw1j)vPIXJgyk>FgR9xqWP+nTn9nj^?>trl4kz{72FlD}a*YxnR%_ z2T7$L=;fxS-q#h3#=_m6LUZtAQPzNI(Izyk{yxpanc_C<9O(46v`zOH`w~gxPz}?Y zTv;yZ&fStoTWWKqsnT*GiB4L0U?TEHwLXP$3SMb#G;wY`QGTwi+yf9B@+qd3^4bLA-hUJ-Pe! z(}@C)6YJ8A6xI>Sm(Fw54SNJ+qTu%jT+mwoiu8U#_eSBOgmvAF`>^@@+ zZjfGTr4ih+V4zr6X!4NlD>t+V%tmi1-Y+8E@NX5Z|5|AMINIeh!S-ih!t<2d6+`+= zLw&BAJc{Bs@_MyMnY3Yy2_dYU?uh>I!?&JRqpyOv(G$}#!&It&bOPU%=`WO@)7|ek zASj%jWp#;oOz(AFw;Zk129u#->kfA7D_TOM4jBd9?dFd@Uhj$CNnRK_i8h(q>JNvi zd3oj%W>XMjbZ%19s=qsN4xEw5cQNi7$g99u5%!AIi9)S6bgngEL`(26#yAP(C>0iy zv7AkKI!XVAzbnO!DCxQ4HTS>=!QRqoTlK%TC@W9S_t{}*7iX8KZceFK-7by}X1#jS zzlwsfCC2K{l7F4r7V>1vIkJ;PY8Y^e{G8bWSL9Yveyk3co^Xs zV#kUI5iurbNC^Jv3g2lU09U7QX9!ad-=S&~Sx;kVu+W7sqD`A2*N7PJge#goT~DAp z`gx@3Ji)`3-GzYB#023wC4VR%CK%eB2|ws;s9TibJ9nb=E-ehysuJPcHxXi3g}^nY z2o38S@%OX%Q!aB?4%bd1OH9TlU~-Du`Oj65>jQ=MtM>PwQ`{m4<~Ba*vON*#mtQ&P zFjwyr&sUVuQV?$(?T}4%G;!}&YSzujm2s5Mp)sHRTQ>RYm!uqY^;oi7t3+%KaNp$B?hlHd@|5=_ay&Q)ola zJ=ZecH3Tc`POp<|>)&a%?-n^7v(VstJ)@X)r$1U)vwS4yOX$b4h^5EuPWpFdD?*m1 zhT2(9k>+db#g*rUeR4@&Z?5%c5Q7fvJN?MhG3cKRPTN`K!#JnU_-$O!Q2}j!6AKmj z-pkq#0}IMt8oEFL=42?+)|5Oi^($-=g0WkfOfeeViBheXIo8Z1pfx<{Eh4FT|q5ufK(*1SdChw%AFj&AgE#2mGN z>661@yh1*H`Qyl3d$;5Iu8Yc0N;0wsGB}dL(Df8WzPn_{x;NE>TmONY@3!HBYrf`h zx{&a0Y5d92ce}QyD=>y=IaZ=nPk873$v%M!6rytDC^U5xixLmxXeE~4^(M2{4|^jB z%YN}+qk}!ez@Zbofl55MT!_YS$-SVS3)UoLJ!w_>cj+?5 z{%Uk~y8A8uaMCr0kWQoaSEeU z)w%Zoesj-be&lR+k`Br^wkTC!zHMs9p5}|(ck~<=chcQ6kR0W4$;9L7DV4o zO<Gr7BVlVLWVv$?6jAFuj}yg*-)w z9N&hDk93x`rA29scTH5XE}OqeVpJ7|7YA6$V$rW{RY zuO!Z`LI97KDsdW+BeBz2jJjA+b+ps%kKu0Q#M~4mdxnP5*LD@7pFwz#e{GaneE;Sp znl1Fr%x2Z;3Xgd~Q04qY0z6nOL6xswcFnP)=q#;cTmq>K66qU|m3gFUffy+#Q5T_H zQbS#9UEUXePGrt2EG*yeclNvX#B-AQ8?VRP*4dxa#(2ME?{B|@=BIGTE)injY=5Gc zNDJ@au<4b@rX{ZQtrOLtjvZUrDq_FEMYMd_%xJ}z@I_-{amaYG&vQo0)-e=-F*ykr7N~|0= zId%$Y?NM=Sciq!AF*uQc(I;;Wh7t%y!thN*2C)HP2d)rxzAQD0K1>6%m#HQwiU_d- zh?%oLs9q-gr1a*z!I8v)$B`(H13d7%-K^bet55qK(G3jQ;4wa>1eQPC=S!(e`D6=2JBKnk>Yq47v zD#AR$p%K4TSV)6eY0_3yUM>LSNd@rpi@372!QpFW0!g|=EI{PmW~nUp#-@GH;G^k^ zTly6EUEmjV?VothyJ_4l&-3^8YYsd3KQ4M*tv`*y)s+!H_UVDEj?qThwb=?Umt~)Z zp*&jEkJOaekVg-xWjxGzq)9bnyGk@RSGSxy1SR1qG!4hHL`qd!{6?Yu}mN);jp<2C}yA6tgB_ zyH6!!GmeeVti!(uloxP19;4<%ftu|s-K=FPQyRo!D#)Ty7%%zb%IIoWMoX0ZyJ+MP zH$h&re=@xIwV3LUKX`R)sQd^cROQ{$P`&)O?0%Sg8BpF1V@GzfU~gZrSH1CmY3Xb3 zqub5JT#qHI8W8PV9!2KPM=bBdV| zG0R$E^t-v$rm`qHnnaW=0b}l+PSUmCU0m?`;%2Bj ztfaU=e@N{i%SR)PBH!EPd1EFv1UnF;0@Pykdm8k6)sKBjIsAB+xh#3wZ+!KQHLN`I zm2a?z=)l9YAu1R#Q>;{2sBkh5<0T|>>)S3LFCev!f3Es=8Y_bRucJ3TDD;x`zJF@T zjFiaJ(iJJ|sj^U(4#O={+7Plm`_sJaVwqS*=Lj6L`(sjMVwd2pD=V3XZ3(kwo>J`9 zOLd=ERt`w(d;V$AUAjP)jFO2(y#rE1`J8N9%T+R$+$DJ1K%!7Faey0`_CkpHK=@nK z9%RftJ9En~zmqpK6W_Kszm5HOA6*Y-tA1Gl&GkW_Mq0>^Izheu1-65puIFcVDw|F( zuE^kdW3QqnzSf-a!r!_zkMR{(peey)8}IjA9kXpbIdjtCvIMs`eWHl_^%nJEDSAS> z9@2rY#fS)^SP&bXC0Ag?Xwr{Ra7EHQHL^6HkCUWD{GQXs$H)1vT}}B78w-PC#O7L# zlSEpgCXe=@9#m?(n`bRIm)VWzu1t2fg)gERzvqIwu%q+12d>m;TQwR>%t1SvE`!ba z5yc(FiKtpG4Q9h$f!%&0T2LGK{MQ4Cpy$VttC~*fqA^ZdR0E^9@I}VFIXf=!r*V=t z67KnNitGI4Sgaf!UJvKDx3}t@&lvi&e+HO_&5P!Hx?Z&OThpNb-3LJS!JrR#Ks+t7 zio4z^I+G~IGq|aY&RKe%YL6&VQMbaaO_C-dK>FeCVh9H6W(}xDI54A2(#5Z~3z&<- zd$)LbpL}}xeN4y(QR2UPSN|QBf$YQPGAA|}1UCi99ag>Dpj68{JM0T>{rp)Y3_`y6 zCo$af;xz47E5Dj8G6?-1NMX`{-(&LIlF38B6O7*jDp}@9U3$bH_QQiKBXQsgsG{2< z-*x!6s}Lxay{yl2H|is%LGn(^*jb#N#l=9k^Ag6JQRo*K^NmsNIT12Hz6qtwg#hE4 zxT?UZbAwQ`x;X+#nYexRyAx5SZ_--}$9%uf_~Lfr8OTw2m{>ySE!?!inT*zG3q{Q& zIAB#(wQMHrGSgmmNaaU}HUE)@+5&;p>s&P{O0n^vf8I<*pK!|W*njAjne|(YOc&~m zgM)Cu%@uZfx%fveyNg2@idn$VsbES){opvR`?HyLE?)`qciCjDGwd(g0|>2<_@!n^ z8mipmbSqM3b+#GpU}b*^08A$UXm@-VM##2yd zswKDn{34~Awp#6&sbekxDlS=ks&vnAAR=Qyren@>HZ z-SzOvf#=KI-K&Vb7LetWeRC~mvTXzGbd`s_KaP6_ux09wo z@9dO%W&X5U`^<^$DIRa>9=(UY70^f>gY zPQ?)n@oSs2z}!`E%hBH3De9qdkG0+r>^_P~W}#bZ4(;g}Dk>GIB*`ZO+hl7z$i8`r3I;Eki8Vr?sT;(9@_)aVh*; zc&b+SL^Vmj4BPh>Ut_|ekv*L)JuqeeO78N7#K>&UwQm2mC#W~nmU#9N`56Q5_p zYs$zEPw*jXX8H?Bj!rEW@ykGWH`Z1_B%GaE3t1Q*D1sIAhEyXLm<(0NP#Yk3&B?KakWIoti&B~R7 zWLoA3OUEvsZ;K=b3M$^_yR93Qf}3$Fy}M>PnHi$bBd5U;;%FR$a9-PIMUYH9JknLS zAZ0DoD7%ZXnbr<|KcrP3ri)62vtp!f<-nmk^~SJS){Sj*N}i0 zn!)MXGdTWpW^T2`dXF4QpvVsD(T@x+mU=XOtO^wy$#b%Xgr2-6) zZ}@U<`dBf0{I6=D(r)9`9_Nj*#);>A!Z$=aqA)XzCkP@E@2wT{$=bS^%YIevPFbZd z&XmgnNr#C7H4>LE-CfitQwW}qg-WoMWYOkdNQP#p)dYnm3%1z!7hU4*_PRL5*O>@F_916F8 zh24-{?kDrC>wiG(BwwYu#j~OlXJZ^jaZ+NDo#SeBkaWs(jYi(To&DN-PnQH)j^jfP zb)FU9lN^`DFHLc7oSkr7JYzMF6Cx)qzivCVDTSI!PBEx5;o}o?t4uKmJauKCxd!`3 zPv&wWe0iY2)SgzoK)14bJ*I>1EGY}vg3%wYhtO#c_fC2A2hpnuawXBhOI__jGMhz1 zCA_B1eTqF_+o*zX-;=yV=gb6y7uceF;49V|6BF}3;kurf!Wc!COo^2;jX@6q0+Wj) zEx1_6F*2-vVcVDGed}eq#P^VjgrX;fGUJeRrSEsYV3S@yFyt6B2J@Cl5q#11a1bx@ z%!CHQ-7A8n+?tWqpgCT6&{8#C5LC0TuU(*-j!Gl8<>aS0FnIFO{YpqUObLPC@wLOb zll3{aR^l&)yDc0XWFHNjoXCC6pA;^jXqxAk$a+@stgb#1D=m8Z)#`7978Qoh`(wWB z;@$f3n*Z&y9%Xb1H0V5cA^m6U&D#y#XNTAy%FTOA2duW&WX zIS`5Y>`b!a8a;rPm;d{^b5&`7#ZcNus1yuGBOwaHllX z4daPLkLo-rQnoM9vJDOK6d_+IvPwTasU6z#wN(T%DnkbuVRL%>!X(B?X9B#-* zgrLFj>Q?ntPoVDtK+i+NB!S@y%{cc|_a=yw56#Si)rkG~~VNxdK3^Apx6!ZObRdFrG2oI|uCmO7c!vSiQh8 zee2rRRE)Z%$WD?XP{!k?gspcvyMRq!<)sR5RluP?jD3SB4;fp zbd*Xgvr2-Nx8OseWzm>aj9J&ft&v?*(N8l;WbQrx^jns_Jt1DFU0B+QCWx{ooAsh9 zyWi-fd&cklO2T5(Fvve#^A|$({Wphiqa!gR57A!sr7H$$4^v}q?RwLlM-V5o_g~az zl&<{I@|%b>nreLefp861-dPQ;U{0hm0{c#U`-#z4ef(Cn{!|fiz2fV@TT3uOXci?ap1!tAlaZ$io2i;^C86cV87reacr4#|?C`)xy?<`v-atW6#kVlm19sg!lrAg`6 z2xNt``RbBjku^LR8X0I2H>z5wCgVUTi2eQCuOC9wc4g0M_r75;fx4iicV`~ z(}^_hk?;Op+F%nX~OW`QA zrt{_c44`@4(r3L`R9023%pz!|xv(_Q(<84vm6XV9A{vEO?@h_Rac^?V%HiNk8%vnq zRJtSQy&0p=B6H?Txx=`@VrCYM>{V_Wa>22{n>P|4vN2q{ggLe*ob(_~o|u(SF%AiJ zzGl;n{-JLed)$#Hd`w>i_y)Ij9csoGZR%B=WdEFZH$y8(}adg^kCinu_TjrXF*r391$>XabRQ~Atkd7a1PT|8ACH8*8 zAU`o14|Ko*+sLtA#u#Ya$0I{#`yCN1?Y1r70~!TH@Sjfj_`QxFRafTPV3>dB6w}dX z8W*z-k3PRoufHQgkqmzg#Y}2u{d8F)S9Ds^NYEHXlH>-u^NyQ|T@1s)rl9 zl#Bg=WZ;)s6a(N2ekG6l_>qwSGP$q&7$j^no?qmBQ$GJH`XpAaXA6UoCVC6@AB>jy zYlbfxA-wp%{td1}h<5i_O7u(PA({k6x~GJXzxdynvpTZR;}Q2b z+{w{h(CDbIlnO%{#%AF&7|qnIHu($jJ7{MeXh-)6yOotmF720dYQgDWKT$^%>9Wam zZo;!cs&~@tQ;lkBlt5(%eHPgQ({m$oT0KCSWs}A+Vgz?Y0>cTFp!lD_GhDPis1&z# z)mV(aWH1r0=^1^-Z4WQ-Q}s_PR`wFBU}k@6`5mDnvBPx}=>-l*#n4*D~0| zbyhI9#j#<3u#%LzhfImjg^3R?OCkQl_Eq+4XIcEOar>T_%NKKF&69kS^GwDZRxhXaL zUW$bM_^k{)WrLSWRV|vkEifJcMOnlERXqBKYPEpM#f$G1WB7t$gOR~04l@nQ{IZPm z%x>6)H)HID81D{L&=Gry;VI{a@#Rf4Utgio;ltGYCBJWVd1hy9=#iWkECjc(;HS&P zF>mChHqWvlEeiDP;sr4S*UiHfoY6||A^*cMXwty<_R6-j&}7;<6U&NI2Zriq>9Q3H zL=f*>zRr(FoMrN*G{DHbv%JE+_}!#iBS*r^&N)r=6Q**;RzXMrpi5YD(*p@%VBGlU5)Yyj3_Y@MF!up6EhYYq(x7pL@`^TEpw==roQ_Mbb9t<7{!v!q?lGcLJZOvnHIqDI zlYKMOJuM8@ANss+?^JlRTaso)wUT)YkW&icE}BLo$O z!axJUnn!|nultI?caStADHoRe()})=QzjjEX6zWbxA>Y8h`;SxLFTenxc=6 z4aXoUn?Yg527St04*@$oI2mNf+6iy3FN6q1Hgft!4dg45e_AS3TVb!u?U!qL_IR0p zlAhMYKL6|M+k-1-yHYnC%qGei8+BgIRE!JN$Df^!#YF3A5I_%M?i$QLwU#Hh35Fkd zItQQ-r2AA7KicQJHb{a&_6J6p%lRV4XciTQJdau}lyhCx1VQphbc&a|sR_i$z`FMQAi8L*xzC`Y za5#H_vF3S0#kEL-`%<9wSv6z%kJ1&HA}cdG8|^|wxJQ|a=pf8JXk!(sOx0SowuWw? zyG#H^%2R}!?_~;X%zBWiuzdv=PoseZpsTvV(1by)Jh9SpgXBLh2qU{t@uR%`RzYSm zl+D*z52^)>3bNr}&>qBTDz{*G=@c&F1bkNq7d`1E9nj{{KGZA1(+yV8`9e57I zPNC;zwiUOjj?@(jlVS{i~rg%&!LpT1YV8QD&yiBDtV}XPyHQa`Gl}GQKax z(<6FIGtfKn)XD~cwG)R64~PxBx18RT@t23oc+BiG%+n4x*qEfQl@1SWK|N_m6x^#I z7)zuh-<`U?E_bsU_8Juq_Mo5e9>XbJmDWv${%A z=G4q=CcY>9aW++p_?Fkz+6o`Fo!MUoqmTMwVrv$R!g^XV1R-9cFZmu?-}#0ZBGS~I z;IY8-4$h+8P!9;j?;o->;h zrYHxr=_O^F72WPX%G65W9Eel(OY+M3Vxi%RM?yPlj#_4r6I@2)J2L95K0hfOpmFtZ z?VmcV>)&eY{;xxij$TXbog-3CejQmgM>bZ|ClZ+2_grS&BOjXC&|X@mz)$%lRO~fh36Y?elTnoqQPe(*Jj)S6D*n^Fkgcc-lK~+F zM>Gn>pk*4y>J<_dD-#TEt*xXC#lW$|Gva7ic;a`CLRL_l3ZM?@g@WRmt*A&0N=ez) zwn0843g}ijF~7@n+%Ntv5I8i+k@XQaj@JGb42&}h6_o#&%zKZ+^?Eqgalc!|JwITR z)m>6PbbEA{W;8g`(h~JC3$`b?p?yut<8ETC(cN+r9<{+{#P!594LBxC!prR4gf+HQ z%bK2+!@boHK z`|y7+9my?{_70uYj zWaS9=pEImYxyi-GThZCOg~?Vh1l); zN0Z;kmEqE-Zc)~(>N7cy`rKF<_y?-pM=;6#n&`weRHFwU8i|glho*Nkt$Ko+3+M6a zT5dPC3QIh-T!4~)yhd;~GD-i9)U3dUp7edxYEY^p+pYvmU7EE=6ra#w3}YucYZ7x` z*bX*L(8y6=3N^C4f#kV8@7v29n{6NIg!Myzx1yInh!4M3e^tB+Khc#`W}GyAO4rDc z(@`ElP6aRNrF=aSN18bQSrzTPKt8_DoBn15efW%a zba=Jq3djV9lB^eDrCY6n&*!0Sb544Q8ubW#D6k8wFu-fjJ!*tu1=!OFXV_f0CAS{R zaq{t_+ieTF_$E`*ZsqXex6`PM8*ynW?+R+a%G6%Z^hsPfcwl=1Yx&_%UY@n$b>|{n zo^Pl2mrqM+K3*+t101PI_*TfVNF-4RN`d7aeo(aZ2EI9vMWfa1kyg9)x_ zH5f<|O#jfOX%l)aw!&A)NY!(f5Lr_(jt+Gk4{=g3TT_`itMY&Rd^fl`hMh;a^E5J&VHs_1e^cZ_j1$*S7 z)7h1=aFju6IBPqeTg#OCR&Zk{vSmK4&WeZz+bNYf5Xii=*D#Q7{ZaWX*zw}!mQe9; z5@8S-O0i=i8;?TR`=j+Y=n@&b1bc%^^!JVn6uPYYhfj%(bN=ALBVOM$ThbM#UGLz? zdhgfj0-6T0ZSXmIpa^~JINR$}4Gdoy`?e*GRcYP~&J72f-Ii1sqL;u7oS4}1NFrcC z&64O|MtYUuTtzATRR@dDUVUGOlG6no8;@Cpz2bVJdZd8SJ(!Opze~Z;uy#zU-a~Dw z)$Y<{th19Ci)Z}H_ACt0C_3~M37K+~D!Fno2Wyvp{?K?^q%a)748uvp8Y#6&X$&A8 z8u^NYiOHHT3xaNLS2|w3eI6KG1m+XiD#*#aq2Hk`s9WUx++OcsMQQtUB&ROXu4;_m zJhu&W=o5aY&=wihbQqlcHZa7YEfTDWFo+7DxM4@0zdj{DVOBl7I(W1!`<{_3mfAXy zIwwF!_j>*rq2zgRx1R$WnP1Eo}6c`88vs`ULhC9w>a zH<2d%61hS3E)f{7auf<*C>9$}%i}wi_?+|ciq-Ne*6wPV&zLvEZVqJ(zOz!+E@skF z<3io9n8GWX{l4uva_zMVOUQItWvhcbqB4xMJv*8`cS;2)kM@Ke>;BzOZlwozWW+uM zoiBI~;k~8yrJYG(+f@07naKBE^IWz#f^T($#iXSAWyXF1{p09Wb4kwpUfp}&{Tyt0e6#6{Skg_^xJ6Z523Z?8 zZed8nQ)G|Q!hb)5YhZHkV|>5e>Z;NcEh)`PD{`D2nqZV-X1t>IgMHL~ZINNvy_*{$=k9-q3i;ssOz zB$+U)8aaExqBcRA!IQ{NqbJ7C4N_+He~?oa$$a)bw~nd|OlLIqPyaZ^aeuiCgu!GshZ+m?zDPL|}Si zSDvlbaoA%%g6Cj0o(b$v>B|^Uwc%wL54g#VimO*t{XOf!SET4XwW0DU<7*U9{Vb5H~J%T>!-UXL0t* zXwJ&?S4c?1eGi9;aO0Q5#Drgs)HFEF|a0&Smh zu;`^x^$VIcF~1avy5pRVul9y+4M$O+4$Tl*Z#bfDXp5OebNdI>zesafM5IwyvX+0< z?KH*`8+66IiyCjh^J~rfqraBQmfo%fUEem1Jm0jmONLI^L1yeaNes9bT~?ChG)t00 zoh36%iXLC$XF`d&PJzU{1{0VNgDZHvhaufL0Ila9BznJuCRR@>>|2I2%9`KIpZdcsB`I`7zK!vR+m$xqrMCOmu#^d+%ierfMynPN5V}Lh8|4_~`yL_+U;9Q96q) z`S8AS?|;>+7vT0qCWL2n>Y?4Ai{0-!V=X7p1tERMMHUVXvV$so19W5F2Ijw1lyfaS zOjZ~8&e6p*1<4Pdwu#PnOS{drW_Ibeutp~sW#r)`o@5OBMf42pLSsrCx^1ja%t&LQ z13Le_JY4v_oHYWJifo@4gQaNOLd?OCbv=0~q+J22ICRE) zA#cESR~t!H@974M#6rZ3l}z=il%-kTX;uq)$kFCNz^z2~`#{lMcatVzTpagF!jemV z+Kf>2P7F5gtMHTn0DTDmWw_=&gu@;>3+2e*ar6Wdt}7RV?#TLjH0) zmNlF#TUq$8|D5+CB$OTTt(1R)gHM)0070$emHAQ5{Ejq%89m=FerE~;iU@owYG=Aw z|LTX^+;&ZB?ibUDoLG~JO6pg}w31_~r1ud}qDC^6sB*M0ocM#eTDi7izG(j1M=bk& z(A-3BMRV#Sfxx3p*vyp=*M{^rmEpXag^8B(@!OBcIH|6MCp{|O9MBOPo{lhpElc{d z+)M(fu=1Iu=wXFBOW6?hV?`RiLA}Im57Fweq z;!dGT)j>_4u*4}tKW+a@$+YvjyS^*d;yd8<#67P3u3N*-iIqr{fCFpp7sK+ z#C-_CInfbJw@hV}iaMQiq)jB*DO8_bojMj6JwpL()`p@!{ZSR!e+r+WQQmZ4B<$?8 zqO`w^(jurt*AU`lzIZ3qQK{e6oDUecHxU`|m8SXxcRABzsClQXzqU$q4EZPY84PNF zIGAG5!~YJoFFG1t4vnuOGRO)!F`{<(%(RBDzeBiHHwuuUL6NAzRa!zQ6fILiP#TlI z;=YPVy)mtU&xiku6)W2g2lBn`$d6ClXN3TJ*RW=hZK=LdGJ0Ark0)uO^vQjy?57n7 zhVXJyt(KdpMH}yD09D-#__`hfem&e)54>d(WR)Pq?|saCl|pbcYAXuC-RP<sk!rAW;-*zA+QBXF;9mQ6{ry7jD;hw4>9`sebpZE!nA3O7?xJp(X&mDPKV`rw7 z<)(`tJ*jvYS`|3(Ry~F$pixaB`*!z1eNkd)(j<0@ADy!7tL%Yb=o7hJ0~17gOP`I7 z69J1h;3&M78{kc#?I)hAP${l$>B2Gkh%M<%QKg+zkPme>QiGADPlD9j!9$1s+%1-J zs_AJ%@UWJ+*)Xh%1G<*iEp(?$e!)qU!Xy84SAue57(PM!&9O4qpo@7&Et&}K={sqEq067+}c zpejAQjW)%~a=X#p?ryFH^(A99@P<|oFu7CFGZ;cyYQKU0?FwPn$Q*d zhb}qp+!aqI8gTiXfI3&MP|Kq;xWD~4TdWT)oI~cuhBIv2_cEZ;iXk^h!r!q3wHtKJ zG=r8dGW=}v%P_kB;a2#&X2xNlH!B0&By>t>_;ZoWtwXnh48PK-GEP6vu%(5K$C?Sap!7JI6 zT{23YXy@Rx5ogh)jTM2f<)814Uk(8rvm16UTMr3NNitG2{|HpMDEw2yqeb@&6krpW~(RQ~>x{*m^mO-o#fRjsg%y zF-=Y7ZH;XVy3p006`{VPd_ppAPIe*%)CaMdsC8pKV(3`^mf0utj-y&M`-?X8>Zmfv zv>WISem~6Vhh&jo_4Y}f)GW_V)ZzSJ4Eg82cU4~_ctM#Z{n~`5zah9mJ?;zRUMQdM z(SuZFh!I|O$%aj<4YSg<)yu*IUh4v4Skh|0pDPR@==F@9V+=EVgypz-CGwrCI4@(hN&xQ%-A*pXkVY(DwVcNM>OPN7+ZFj`ehH#hSY9RoQGuANGXux9n377Q26L#hB ze^YtT2EU?3?f%mZb0;TFIK=FqO$QVKKw5iuNZs{hslSe-`4`m47zSacCJ6WvWA(8x zJ?*RhiZwJ~hlx}GO|T5hDw$+v1JB*edli6~ul}?P(%hz9=hyE{1xcpWjFS~163TF?Dnw$GI_%}8 z7ZUV)TeFV0?0#2D6`SbN5D#nfwQY{MKUS~a`GoH!mZ74mZQdN4YP$LFwv=}&U*N|7 za|)2uFTRh}oc6IpFh#vIo5D1YD*M#k9q0|HG;F(_GKhruPZ7VKB|B=(!M(kK%GBB9 zCVT#8JR(!B{xC?))|MVdcqy&e@2#&eS=%Ws;Yl1ffyS(3u((TnyJbaDTV^k`jqp!Y zxWh%{>h`h6S&4kHhG6{aX08?-Z?3oxU{h+y9UQRx74uNiJ1 zs?SP1`A|bnrd&UZjP(w+FV?7fTbE(2gOvqd8m35WRrXQ~ztOog5AXyh39yYBafhVx^UX!LbeBA)UCT&6;7 zm25N37eKvDFgRrFG+0*X)C1r<;-6yZG!*(OSC?mgi_YS01*$wCA}V#F(Rlv##Ewxo z=xKDOFY}we-h!-8^?s8LZyNHD|A+AJ)~{fCY79bA zRjmyoheof&M72N-OdV`&u-_grPj0tQh7DRlo?REU%{RnAlKmAaoMH;zI2$brcO((7 zR-di)N_An$Hdc)-)ahboaaZVn$sOcW6G@toD-0|L%tXNyZLrFPWOl756QHnoh%yeSi!p7wN#D~lpHg9qL|EZ8okR|-yNv;-gEW8yg0*e_ z2XO2LT|eg?jyo?39NON;=3}cWAJ+z^EYLbS@7jG}(N-Z|;88pqRQsdmu)J8IKGMe7 zNZAtVtXoNYyDX47u8G~tq#REJ#LjnyhHKG$I(wYg0UjxqQyG5dw8k<7FLoD!R0!D2 z213ApnJ0!>-7uZV$fv*&RyA|j;1VPd^0QV40bU?HI~2H@OnJ2kIpvy139veIA_Agy z9aOQ)_7Hn;K0NMe^$ykKu%9H9CA}Dtr*8fH3=Wma^)H#!B96T&64PC!7*(QY;{9Nd zSN`kMicCmOctW**KUhm?S7RDify{c@$5JgPt|ByCBQ^2lm5M!t^^!zk7y=H3fIW%$ z_hYG=o~wM6^j9hM+OT|7m&-=`uYC&>%i@@j%PqgEL#9%_uZf$@F)<1~cNzZEN_X**<3y0Iz4nSz6tyR|p3y z8dgdSOectngODqQz-13daowgyK- z>PAJg-RP^$Dwu4*A=C+b-5a>uL)Xoxh|S$mhc1v9TZGrie59EbtN(^&a zlY3f;BXys=Fcj>8s|h>??xZ+r|1I0=V!@!xh_npK`|q@~pv=-P6@v>;FZjL}^7TNn z&`RxkeMB@9sZa(4f^Ltd&QYof)gHEZnNTj%&6DSoqQ=J=RSzIvGxvhDj&S(NbA~8Q z0NX>P{?FffFFyef4Uyr6eoJ{#wHZzRSx`@54lw*>b zW#N#@bV>7((SK>nahD&v{cq9wh;LA)2>1AC5)qisqgaBZ(dzykF%BGU6{=({KqFc& z>Bl1e2x*l&4^tKa@ENoS&4_BX9uSEO`l3*AW%^JxJt7-EGsu+~WB*hf%C@Kg^0L1S zGSfNA)^&OxRA%+xtiD?CPC#24k?(*bFo&k^j<}oY4uJ814o5UV|0=!}YlnlwE7;HS zWF6FH1)e4`E#jr-XogKkaL5Px?B_MIi*9PW^A!m*(^K08?Ro=yxZL<7J~US6(eiQ5 zYEWT{Ajl>v>71hsC85(TH^eN?lbivYiIh$0Jx^0LX{E=ZF$SW$7i68xpEOk)2$gU&{8 z;g`3kk%@U()aRMcH%fcEeAB?RV!-m@jSSx+EP(709l|nSw$~x_OX2%q=&)ihnTJea zNL|?q@&tg8oCWM4{X25c{@UD)9k?=a=#%n& zC|&UJ*EC)HS7(H1c^t9&Y+;!4B`ox^WLfAO4SG9TUuQ--{y+BKGN7uqTN_<~C?TK% ziw;4gL+MWG?gawU&7wP{lt#J*1f&EO-QC@t(%l_r;`2Q3yZ5)>v(KON^Ye$SnDf5J zJ;pVz5%*kk&cdGaJ?m&i8>m23L9X}~$Ie72v*i*JP&hF}iY^xUiFj4@dwP;{wE+8< zIQW+mt|LJF5vN$6D^B~~m4yJTt>MCsc z`;(%1G$hw2Zn^mx`!anx{`)?Sg^ZoaIl0F9>Fv3Al`|k%`!Mh;3}Woitzl;kD(Y}F@09mF|= z+>4K_uVo3A;G{6<_wM|~n?~-!<}hzyyEkCO1N=usHjqQF&B>sovuThKO|5D`+1(h8 zqr9aw)+T1L7(;9LJ6M@tgTC~TDa`-ndC1tmux@@*1HTI3EpXoWIq~@?c(J8sT~odK zXXJ|v}DYnh?u zxVNXeOa4@s#Y}{e9CAIn8qQ8StNFtKoaA^ zUZzjln8kb>oA^0Dfzf-du;;>um91eJmuFeNqcC2X3cY|r%W@nno-Gu8BWbK?jNw;( zM~7DDq_07FN(2I>l2;#XD7*~2=zYyuU7_=H8KW-J(HR%isFcmdjJoF;!sV zq7=1uOpJ4}Ya?6oW4;+mksLO$>;kM(<(fF?z(@iI@Z=H9e*22gcNL@wb16UioGMjT zJp^g846;@%KN}`n1UBdlUrX2aan|--caZRSHc8cnnysG-Rf?hHj)lV z(piM3RZp0*Ouzb|x*NvGrE0il9aa2wYmRSTPp7Vz&yaS&Qa5eZy>Cju5eAzykqMK7 zoXz_`liq`x@<^vB}%c(NQ=l2?)AVvT{Awlkt1W3=7x0F#qjs|5}o3LKsA)mw(11SPQ>{&zo%=zp5jxE zCXfV|mb*tgMC~<5@v0g+01vY8(vipCG9VVqDNrs^9trI=vE&s$P*T;?)!hBiECovv z8<`#erZI;q*w;~H;e>HYXKNc$TptxDp@Ts3v2*o40e5A)<3e>e)MO>*FZ>7TO!i!8 zX%#5+Eg87$8G~e)5?8vSW4K}^PXw6EJ3^g3cuFXSb=DyD6sIdD*U`ciR5QUb0~->= zaFDN|Vl}gyC?Js5w;f|_O85mDxANldJ(z4N>1}>pG(%@z!OwT0V8M*SJJu8GjRJ%) zacQNyKF%`*YT7Kin9n91TY)8>;OTF;MJag|CA@Y^;C3oK=8reah3Z^iuPpQH5kcM! zT{n7t8Wd9<-$@azwNcmCc1OlMZg^Z;{OXzZt_F;W^Imk2V~)NBq4d%%6l6_GE`{q<85 zPd`q&%x)!sK;IdUc`;)nRaz|06E#E7GF@JIIJKGX7sMfrmityU*Va`<=&PtM8@&`k zCzE?c`;cKvP>pPbzq_FnCJmuB$i?dWxPz##9={d94#kp zNhRMf3;j<+aI6exCH-A43nKQhZ(_VnpYN|cNldbUAN-UEAi^wyy-J?zwZY*`&EYfrbEo9UQPV2bR)1qY6? zaSByZ19r*P&pmy!vY(Ds|HhTFvZiMH_nsERE^1Wjwmn&JK^IAyy#>y(V^ihYhaC;B z$ukpE?sILE##TUj1VDPzLzfD`Y3lh1N0Va&%jy)*=TL@pSx2ky1O3FC)ZfzsJKV3 zDr0M-i_TseB7!)yj{_R_1R`z)?5Xm3p5MGJQs(KERbdP9gD59%Z^!~vpq0j(YOQbZ2E%b;~FZIdVWXzid~Vq z-oCt_ZAXAuvNJa#8U{%PKYNTVG|#`wtDD;aWFs$HYd}{{SYo8m@9%z{ZC9vR7vA(`@C_M@Rs}bmkX0{>l|KB*_`_ zlwWQ!dEr18vm#(s5hn&IbMcWSc4QC<*t;{BW3Faqm0c;sv~kTgJk8`$zix3h-l6i; z#hKNB1AW&~#uW%xfndK;`n8EQLr(J%7cClKA^1GAe;^w%y#iXL)d2dk3M2hj~1 zNo~^2DK`C5d5FP>`%T0(Nr{G&$bcVh?*b!d-HlLCL7*@U?59J3dzbjP4n+4TOy^|X zNE2_&T8UC%GlX;~G%HdgZ!G+*RWO1td~)U4aee4ze_zOFpqOPpG)oUo^5=mV7%I5j zo8n#Q-0xZF4@^{8B7s1s{wN5WFKP2TsbffD%q)>giq|fa)@>a7nvHZ3T@Wq=0pz?~ za9-(vXeWh9^)uRYMZeGQVQAmH`^a@HSM^>lfo-edgw>JNDDp0@X9Ba*{am$2BkH{P z9VQ6GCEyQt`Xy%EhyF<_Z+6a@Jxede*iaw)@P2XEkE1t-3#=pEJjzu}_##A7Sq9Yf z)X)$(f>h6V;29aMvpnef^Jlo;?@Gt`V@IcMeKPCfTjLbV%@^ zFih;H@&GQSMd>fB@WkrnjPDtx=fj1qp5HgWmwk*#e=A-Q4Fjz+#Bxx-)PEb*I+UDW z-igR6Ml$VqH9NG0$rDV?Ttw6qePd0e(b2Loe^T`w*5{SbU zKmxa#>dK@}gZq^+KWZJcfdB4x@)io)5elWr7iI@_pbM#Cw3;xHuj-~s5=-yUrvos4 z#j8n!m};J4>tSL_r-`DytYKliRnUv;T0b~RXFIcuYG+0H@_I~fk+M3g_il9ei>|Wi!%{sqEGo-uE!LtW^+Q`#1$#r6| zCt>sW(-tayr~!+ChT7&a2;}`#K^L^A4!Npq)=9gkx?RNZ<-a<*BdHrU_PVdF4R0<( z+xCIyr)^A3i(zaj@sN2gd+IfGHMb~T*zJlz5pv-jTor~(>v=0~3+gt7>S#U22fTE%7%)H}lNW#&hQ-9R=~SQh-+bD;KgX~- zB+aUJ-_&;E4ME%q+-ePK#8S7^k5|WRy5Q9twAHQQSDce=#X#thdFOj~n}nE#ai3 zZhejXHRUgdb&Z_kPtWF`fV}q~O{ER7EJRsL*%x@8u}AZUdVE%*21{IUbHRblCF_w! z!;8rFwwecCEO#Q72YIS0MjuN_F`=eqprz z5Q>}0Rn(Dsi-VL&VYV{dP|6|=5r5Q7!7t}FM(<L!E!r;sFLU-u`kQW4+{|>;RH95wxO9)8{P@{RY3R7cr z(3c1$ms#y$2~Bco@T)9-UDNd8mmT7@j-roFz4A+K7-a?_U%dOvRSm{s4?RJ?LAYKC zV)JskYiPv&0dtC`aGEG2Cci(0X60X#2q%xbQEDE`PU5b(>gwPsn`Pv#EvPiAE$+%q zR@8+{5=EekJub%mLMnw%+{EkLPKvP@nT1IzfVLKtl;)SXNCbxz~0a=p6$ACPr#+OKzx z#|TQW?2LHsx6jiNQ3vtxtz645awu{3W}pfaG5?}7UDDZN&RpAdRjmer4xj%80g95H zE&YWpbc)nGd?leN^WJ(iv*&bZdkh6Wj}Yq`cr(-P)cCsZId^w`6=u{c>Y&YyBAJcs z4CWXvqmOdAwx!?2)qrqEFheMiKQMTjQBXll!0-PiH7}_6sw(xFTV1gA#?#iHEaQVI zglRBI2JuugL#9PvNNcro+ z%x8PBSNua%=*K6z3l!_A9rMSStKIOYpLLrF5i^1EAsGN3Ev>ALln^^1dxE6 zGQs`+D`-=_#^DN<{_xLqyI*N8x6*8iGeYb=lH>-Ts{gXaVD-&C-N`o1QH|P_$PNg*NQ22+g?M8_IBKTN_NdDK3=!_S}i{00~d{^m* zq2zg|q_GdoEG7t;>yk4Iv~2Tw-E&HlY~4>vi9LIf;w;yO%#fIsp@?|4c~4{w$sORu z?8Th|1-W$VP`gB_LcM?H`YTYeGLwrdCXwB#&oO9^`y47(37v;@RPzd&01AHjZ}Xcy(0ieW)d5PkplP^BsGkb%WRk;9j@Li@R7e z5LbnzQaH}Bs$2O|yl_+)@GFkm)X%ef#L+5sm*1XDnDJXOe&$sxRpF5ePj3W@cE^q@ zs_|BMo|ipdWVyPl{L$w1Q%@Ki>+$0ov~*^55^nRWX!7a;Qgvw<=;;*=1_SQtX9VAVLc2Dw`Ht3L#;6?+MCd4a81D2#DzUpLHN9NxwuZ0ZoTzx zDT5Ao{jFH4l`qUhfD8GPlWHuzXv3eJND0vvJ~i6*$ZmZK@<#7^@YKw@@m{SjsaH4U zl<+&zQ0K9+?qRms)`nn9f27JP$gtM2O;ws9iU$gWEE~S*3?U55R`FLzv3$(?dq53Z znvbj>vi(66&GM6$3z)$6&TlCAjpxF5a+hKtqsw}n<-D8Vqx(io9peCTw-j0Oq);MJ zhy^TQjki?7kmB}d^t|clme^bQozA0Emy4cS*D6)6zS0S%O11+foQ{leOKDiOr5PyaN>&Bn( zf!QwB>}Mt5ygDy~+7uDX;FRSS3^1Qb0#8m(@quwAYiG-(%C5$`9y6wVt?PfyHF@JchcupF3|5)_zN0|F9hYb{lFw)|g29H}!_gPz# zc}Mo#0^%er!+Am4fNWt%xg_K0+csxmB#+_}vYg>?Lr>MMH!2&W%Hd31R|6yFIUtY# zCs5CPE&a~L&O)nsZnpdzbBcD7>+DioRZ{b3dI3x80GLy}q{T*3&m#2`kBgD7>vwk* z*mEJ-mQM}8ahmJ)R^O(5hHWEurZSDn@)Xcr$lj}O8=v{&6R%fz8QZT7ifHr#2oZ`IP`hStSb1LzanX`zjdx$ovCq7+pN=!6a?aV3%#@}97WLVVj%zZ zThWlWB&ps9kt*xiN3Rc?h?BXUViGK2K<&6Dn6sAbB(F-ZE8SQ+MS3MbpRFSCP+6W< zQWP3`91`I8lCj*Y?)-Y;WZ{mNCy|#m$E+Z$>VJ^>gURv!ui#1KS3|5w%S!g7 zWwZKFkEns}9VQp90J}b1^tc^-Pac6ipIpX^;8d(W@OgWDYH>IAYgeYmkiNmM3o0Ze z;`~GYNC~XJpJLu)^BICbQyII#M||2jRs7%myP95G=d}EG0Y-}XEC6xvr4qj>Yu3Zh ziYoEg3mz)lcuLewMoZ*iBYch!qk{tD$2^Bmdk+FxL_V}vebearZCs+;0*QX&I2h7L zjcMG>A~i_|p|*tUk$eeImk)&5nEDlSKB*&Lk%{L5-D=4}&=)Q8h#x>TS*+s zz%_9-R}9T~Q*2Kc4pi$k@8mBl+%U0y>U{>EQPDbVYK!cx-rW$TYFwnun!y3eTixHy z^c#%pwuAvqHW!0Na54KKCxRhj#idEsczz)m1fr7FRd#W_f1T&px|IZtx+HhEyj9DhACp&&wb7e75jpP`gT#s1-v6}ydko?SN;5eO zcn}B))jrauhFU{oG2g$$qy7tcZQtXi^+A{$OmQI)zekd|&nguK{idQ*JQ4)xs;h?K z$8^zB4G09%1e#Z|1aCg@y)HZ$|NP~|W_Ra{iWFox(78Ae^Twq&OvRg6+#3e3Syg*0 z9n32W2U^1Xp<$KCC3iT_*qIn<)AoKfas+HfMQh~AnS`GnFSZk-$FOrOZj*-k*O|a1 zW)b|i6rAi6N0<|c)EvheOn4~+w_`7*Cf5Esby7zm#ZIdd$PfUVzS#(;dFDzA2ej5e zpr3;KAyFQwSXX{!4Qi;zRb5piU#!Pd(_$zy)}$Vls_oI~pP}S#CIA5n9S|!3E0&!? zqkfj&{@8jA(!S^GaU~8LTtWJ2cOQwVEz<*Fu8B7K9s(U`n?6sdXn|9{KXqwc861#7aM2QSFe`(Lc_3%ubVb~3>*ASnD1YQXBW%1bc37VpwtrS#vq8d7I{BwL@BUJ+I@Ax(X><%mh zmV7_H#G4$nPf;2LHVBvu9kka>+XXNHr2eG}qWf52PvC`+jAceb*w!3Z?|jm}?ZwW~ z%Sj3z2+FBQ75Bj>yPlqN620axx;Q+Axc^p3wUx5Hh`z!bM3q!B8Y`cONZrj7P8@}h z2}|7dn%68@1&WT(dejj9ZKZ|7PToOGb~5Q#?{W-_!27>(=?Bi3I|&(5B&sZ&Sc_l& z@jhVX-uM9E5=8n)Xo0?b02#8c+#B$CwS-s}y#Rz7J{lW1MBW!(=d>`SPla%-)Z%UK zervz1Fm;KfrZ-ISACqOd=8pA{9yq_3SUVc-KsT=}KuIr%e)2bD+Lk#>O1o%C23%ET334d zw=R-;%$(SgSHLftePC3%6VW091wSw&kYW6*UHGUl9&VsT zlU{%Y6rBjbTW80gOx{8e>Mtlb0E>eTcja|K{xHQC*bL8sw@cIMEg%%bEK;Ck1l~54 z3j4!h0XhLXS-@Lw<3OOLru|1S5C}_2;SWwh-rIix7Q}-1M;?%X(ysEOlK{R+Tu;UI z=hgrC&pGUtza)L?U-PjeM6ht5AnO&ulR1Rt=Jsax0X3~jg*rI|?Q!3hDa_=!eVC4vT>=u2y$^A8Rh z`mD7Dl-bvcau%$b@*b&4pGSy?&=k6UmArq|_r0TcMm68{RepX_?G9e!t@^~Tn&nCr zN1D{c^X#fH;^cV(LP@ikG{>KG;>=HgdnZl)+>ICYJrR!YoL-iPM{lz9r^+f7)iE(4M-W%2ufk|Pbxw|f^=*@wC0M)1Qw!M zat7e>Ku)}xeW938tG4Ln!pnC5(jaQE_6U<$=0~hIR;E8IFwk^*R|<56-m=2s`5Fm5 zA@U3Iu4*=5&(&oXoe`r7(YtCCd7k-kFk9a`6Jc+ps(#I_rqQGa@7orkMwnvw5ep^L zHO-yruhK}S%^MN^tI zlvf{9e07n!s2j>FHf`49O1Yah>iW4b=eRQMb}91hCV@RIP7EJA4T&`}zsJ+x_!88f zUU-$KwS(b#EKB_IY0Y`=57L~9o_CwOGKv^Fn(7-WiU~0ancCaQ8`=s(Ev=zehF12Z9E=iH0Jm5}AGw9pNY}#7 z@PDD3cWj)@@7ex4*gC1|i0=g6S z`li=;nA0w3SNak*cz~M=CAc({61{#T(jU}7a|a}lh-~Du8u0S*6KyhJ9|Z*3`bzis z=SPkSg!F3~OvQn{$^>KMkmTKpymRO829(2y;<@AUJFE8in3F-@Gh zRa`ol#aq!CZSTm{yE_q^kjB!J^ULVL!JR#DmHOgAN#L%DmHWKZoU&&ImtC5# z!Bkd8g4MABNBtMwQf-{f_-z!cUaNrupXL|ecc|QCp7E6ToF8`GmA>>8YpPA&79!ue zS!7K;TsY?=g9Kv_OuWx?>n5+YQ9@(ao%mF3kPgH zC=_Wgp*!C?TlWMVHQrd(8J3%li3hJlt&#YvISgNI1XkYGXA?baQ`8FvU!Sc->#L}g zR0@}skKTCghoJ{-$qevuUmlL6yPWs|Kfqm`KR&^-)t&H%2d0q1EM(sL58h1$X1os$Nh^RYx}GN*!Ljp~m7(=I8jE%s zHWwReTGwOWpclj#I+CN=GKMz`N_==smAmWHI*X?>*`nm&Tjd-M@GjGS_LmCBLe0vF z95tvrv%PC(Vqb<%!|FGw)iplG0yfA=BUldkRQ;kuo4KJ2mq$#y?)bC|dt#S(&-hL! zVkfK8;uVZRrM4HIy>i!UCT(%-X~VC($zY8l+c%JYYym=cok#`&(0^07m1vV1?biApd2r$aWq5n1tbvrkpsy!ILSc`U`^}Hq>>O8>i$tR$7 zCI^8c9J}DXWzft6s$O>3ydo7ElNG@mTd7vrS3 z36EN9WWIM-v38xUu=J$|&PtT;huKsnJ>b@9s4yGub~OhWr9C(osNHvR3ooco)m+(4 z+gc3^-EBu@2BfH4mA_a_Vgv|j9AGIo^lrCKY8eLB(K6Q^nrY|l+VRd{Bfu( z$^t&uI!2aPjc6ks%6GYAs1g_*7%S^6WmPCAv!Vpp7X$-+ z>a0v+17sh%*+)%ruDirL>JQFXVLiIr(c9_1K-@o2+R3WsCtn@q7a ze>dWSGu((+7mOv^vooKL@06L~-ynz(8WFLYmBx*QDmqFohpw(D72(G$S`UY6|W57mQQ>8c?-sqUWUMvZ{?5RV#T78Hl_aP>Je)U}X z64t{Goq&#%Hf7x8l5(b%#!F8drZ<<7ch9TnX0a zLnnM!pj9aa&ma8e{9dV%^YrXtmJ*R~N{1=0vTm;4?PBSawx~`~`xo;jHZ!YcFMO@4 zYyoxYhfRS8p9@-1#znlkYkrcLUa%5LEw?B?V2-DNIm8V6>&bJ58HFhwy*yRYAUgA^ zWour9GklpGq=Y;_p!u;@I`Kg1cx%VFvLFA+C0pEfWAmX zgr_~;$%j`9|FtuoZ)|>%K7E1=iwsMIq`h_Ba5 zp7;el9B>%b!szN%scl5t(cyOKx9!$UY;;kQpbrA;ppev`9# zuKg02;$*&%DjH@-C zU(ci{#H!o{n&bB-RajhYdH6V!Y`q&9WPFh+*hWG$9uvn9%|3rNW#4)_Ed_60X1mW! zHgbbi$y>{DdG5ovHX_9+Lx-BIO`BTa%zOCzLoojhTix1iAi@FP>D2>?-=cB}a|EeX z<8IwjG#pAtUO6xs#C$2`U>QQpLkOCMsI5 ztDW^puou44MFHQiG)WE4{OtZHWv%C>`1&G=#m)X*;lk~Sf9b>2r@OibWX4u&(NgRF zL_|*vhkczHPoDje3lghJYmuGG15<{FRT=hjWcO*O(j&ia{=#`q)_Kvsw=>gffktq5 zb|TOPudm&SX~`!E7W|~bH%EJ~X^9)_vU8&NHR@ zM%UTR6iyGIJoJh7R(FfNNnymnHJ@b?&qJp)+vAcD9`Z+-S3YVz=qyD^akG0)!p`WI zlU&=;s|{s6MSu3USH4v)wLeoj2rSz!=lgNr;BheO=4pGnx-{{8@1$aucwBQoE{S(1 zeJHd#tP~vqG~z1?_Fl0dP97kh-SO*s*si+ORB)58UyYao8^$6C-Fh@4S^jc*@8YXY zcv8M)(mQe|^<`WL3rM%HS4tD}NhXzHta{MS_7>g}@J0EHv;g}{jMu8jM+*JOb+N=? zY=w0$WxM>-!WRc(8Y$(JT#*$>C2u1WeR+8r@4FT&aC5xK+invNRCe>Xa+JRen%~FF zdy=&Us&pi-DH`y9c-asH2NLKZLlr+JnyuK90+Ub2qi#8MJ;N)zpioEd5WW~th_3H287QB5wN$H z$W4K>h)fb&{qHdDRS;i#H3;>a#~@G%(BnfuG+n*DwKw~<8~sTacNZOLN0lzt_(nx} zc{9bjz8~d_wCg1$B{TYgS|I}d(XUG%>jYAd2*mGPFyNIps{!24u*Z7i6B>XE^2Y*1 zT^Q`W-St>&O#I)&{;xv*e@Dm<&M->=jul<-;&z0y02(bL5hK<)DgZB;AwwnYx3NF~ zd6#_v3nc!Y0@|CV!E_M;EbS1@6kN^LwLPF{UQz;pFI1piKhwOR?RDqy%q>`oI2m}R zQ|N4VK>^*23up0HnS6Ln(AGhS#{VlDx zJU?n1hlfDKbJT={gym7rzb!2ALj?S%;CQ=2-TjZ*1%9R>0!+2Sz%ujSKio~W3ehJ3 zGC+Djv}=ff$V2zgci(3iJ#5E|hj{Y^fP*$QcEP{cibTvP|3?d;NE*oZ@83zSk_>xe z{pIWnseo1J02B;N{l)RxTOKe167*k7Aftc@ScOhmzGr4`m6PcBXJwBcmyJHdo$*Wi z_AePkBn^B%fBsz6+dnus*ww`(y$Wdl;Ajf<@(Bb4oZ-Eu^c=94_l5H=qWfn$kRZ^X zaSra(ZlVN)q>e=N+fsTCn1>*{3W5b9g(r4{OCts3k_S^!Q-7TepaYRsmx;eJJJ9}( zyO%=GeQUN|nCai~64m>e-fmTNP9)-z5jdXv@-yN^h5BjJhhVXa$cLv7;4qWBbKd^7 zLS`ucZ0?$ov2iDFyLW-*@oM*Q9wG?CkAJt#lT>mOZ(8>E)Z@76`N(I4mEwaF!?OBS z8>4dkGLFdiLSS!eW>jaq4w+OdI(~kBjdIWu+{1H@Zg@xh*#bY~v>#I?`kf(o%-^*9 z8zT@&KMue%y3=P{zPi#O^4dSymdH{Wj3xZ$HHf7q`?2&Pcic_Pt*gKUBqSu}+Wr67$-DEGdFc)6 zl&MAOt5lC~D>LRrhP?!UxQY~yj?t<0@sj%K{~WFiABfo93~ge7opQe+p0>9)`1Wc7 zpnVhP^)%T4jyu#+wWU-}aTD^XH303O17i^TlC3Om&iB&Qv=~r8W=DhGQFNbjO8O<8 z&yN<;g#%CNn4k-F29qn~25?C1rA>!dsiM&8%|{4XP88{oxQ?%7fWCwOid$A) zUBOlRH9Oz5g2MsH=lbA@f$o9uoYM2v)m7;fE>`CleS-gEp&2zbiS$r_&&1Q|B9fB0 zt(TgnEDf}Axg9MIX#f@rzcOx;02hx=8By@uyg}7m@TLPPxIGLIxi^1y)1-4<$^a6` zE-zm%RIxJ8o7DB=iG<2D%LexFwY9Z&-g$LTPfuA{+5c@Qv$ydF{ra}aX_1jHD`j$I zQ$DK!`g5im?o?qU=p?4(R+qROAJY`$4qYa$s1q!^G1|SMQw&k%My)$6kFSte^>Vna z9?YkVQRUUN6~E_I`p9Y1f1jgzKy)T}OR{ZKz3{U|j^xF!va+$_1_m5NQ28USiG*E8 zIUL=Ldu2Dxgm^pYM@k*aMsfFb|Jb|*=IvYW($}}u@gE=rnL2(7Sf#yG;If29?8e4; zy57yHZ5po0aybp#=CG84?SGLv))Vq+FqQYE@iS1R|Noa5inORK7E?^8r*J@#Sm$i> zXOjQw0o^UJ?2kO43N{(}(d~=DhwBzAo5B@}`;Kg77qrCoU+|G;@YmAJ4i=YB*g2+> zo;?rd-YF`Dl9wy@$8nAJdo$yGb!qO$Ux}XqcLiVq z+KLIJ6_&HA5XhEL0_YI)--?J-ffcWz0p41EaX{;IU$L|=dJ@R4Wep8>I)Ih~W!438 zjq_F}gf?xHW37P=w#IKfZ`Ht#;l~ItM+38tuSK=#Xm%>Eo0%@Gv=rzXb%fwWrKRa8 zD#9FgRCCOqMTGrZXi?R_Ei|^!xGcP4z3z4*aJiDmW=TWIcRD0IVT8k~!xiD{g$HNw zBEm)>0KOFr1a)B}BO`6?REyH`00eV*Qr^pdd0yG^kog*iq<8wF z2MhIboNHL9rJ9)-H>fBm1}L~3*59R{1cX=v0lx=$I{CB!TU6+k9(+XVf6xm@jTgXz zv~KxoMX5?e9oX8peF@BN=exO!_78U#lw7sHPvaxh$l2K|g#*z8;v=G>m|c#6tBNja zwU9ylhyS8YTnNQq)U>>LPsHt5u)7yPU}c@xTGbMq21uE&TDa;T6olfk!+S9oHMFa( z0W;_Z%$W~mNqLSaQSi+B*?$&pV<3grjh2qi{?AYk_csT=n3Dw%az+dizPVD@U8Df` zYP={dRFGyE5qCD6sz0$*_pkQw-8pv4fmBx(7>IDb5lAs(>I;EOZ*$RqqcD1Qh7qM> zo<$*@9xN`FezQpAa>%2r^1Qq5W_aTLK`e}L*v#{TkMW?%)ccnzDk|OdqZy(w^P}M5 zOfl}+*PW?Ad^Yw_BEZPG45=$=*{h6D@H1|QD?L7GXfS9Qd97A0IS`_moQ!*)ne8<15SQ$^7Ncgh*f1Y8vWw4V5l`pb9)$fUHROF)Z|&Ys zh0N1@hwU+&HOyDyS_se3$1qZ3={2>jn-ROYWAB8>QLCiMQJc2zb}naKNOA~&p;HLf&yMzYG#WKyo0I3#fD_pE5VGb zv3UHRb)MyrQln6OR!OrD5*5JXcB=(f8`00ZON@b7R$S>-?_S>a61JWx7QU@-p(QFR zs;W8xfE>sQ0HG=XSJg4}0|IRi83rLo#I6viE#sL_B)QnO8qhT@JQ~9zBA`qh=()*J^e*S6-3I$!=^z~DWZ`QkbCI)a+4PNA6eyceSPQNg2sEf-LP>Y}H z+{f9F-o`zwMfded-@R#}prGjH`f3d%GM6;(mW%^PhhTB10Oxk#vNU9*$qKX6_Gs)V=b;y~+L zqaTlxXg5lK5D4e#m-nISx7-JHzegmuT0J@MC*{cKFnwN=8yFk+etj>vy0O8i(@`CZWFJ-FHU^kGOCV@nMgex3TR?l+z= zp~*x6q~;D5;rR{ys>s*Fb{7vwXs{L=Uj|R=;x-C@%g%R`89DEDsIsW2Vu9C0%1n$I{g;^pTLBAtpxTpdsasU?ZrtjAO z7v9@1pk*s-rv@J5lXXBCa@?PTFyE0Q!whX~N_s!QeK`QKSdA_ye}(C20BOXFtTZQ{ z>`u75T?a)YqwMFYz*tfSaFsyK14#MqF}3{K*{;s)b?+XfgEr9B<$Qb8$xDSSU~zrp zdX4k>^Z<>B)3&YjgIg)7T2_aKv(b2-ifyn=Jd>a{a4*_Qq6ehn&%gLvkIrqUuyQphIwq#wip^nL+1N`K&YSfZo!fNe4SU0siP5k&!vIBY30Rwk zfdQQudQg9%eQhBC^PUA_UeLj!N&61}`fr?GDNDlGMt$xKxjDql)7hz$Gc_)7OcC4|M~9 zK9B(54f+N?ySoo<8FtVEg9Esykpo`?Ua>K511UN=d zVO)X@9|UxW0P~gsGR*4kS zXRDS!eHWy*Phk%OuFL$-!yv#w-X<*Uh&ao4k}h>3>^}gVGoCit-AtV$ckUd`qP$HJ z1zQJdXi68Fq3K~ULTRX|4q>=SeY;3mPT-{yzkMa-`RXoswnt+P`nHZkYXj((*kImL zV>5bYD&{XTFAE9^>gsqHft9z5nKlh7lA;3Dxc%W$Ga3O~$mO+Vqvsu*kmQX&M8&?NI&|6>*sJs!+n&!Iul_Ll>mqv$Xp__@13duOQW0ZdgVA~L z=yd||lU4DB+l)*|wQZIKGr+xKD0ayIRh#dk5cMvs=Z0PNINUFbF%~gd827Uo7#S}L zaFc*7Rhnd?Z}d71AJ54<50UA)p7Nq!Ud_W1_Y}PT2gG{(sLm2#yY&R)x3wt2D^}Yh zBZ`ATz@srhKUA?o%YubrOJVXtv5g4Y3^{P8dVm_6{1SsJUkDlEU4>O6LL zB&#==v0)QXGq8RY4%RoLjU|Sb!*2;Nhr7 zYB$I`nJI?xkMOy#6OuiEus8v>47iV3eG+{9nLq!S|KG4S^kH9c)_=Ia`%8M;g*q4Z zIcs0z_9=t+r~rSt$UpbSCB*v%A^553OwybNMQeip*V!eNQ$d+zUyEAI4@(#uZ%1zT zcNXezGCl;?aaw@7uwJ>_Utr1ylUX<+ z<=lnwr3Y2a|0j6m`wRXmm5*j$-K?(mn+&_=Kp_mC%5cjx>pJ4b!cQZn z25?So3wkN6d-yUtkdq?MWmlQr@vs1NnFMpAd9<&5gn2mGwAkQ?;RO13u=~r&Gdklte zH8i0c9$@vO?0ES__mh5L3&CT6bHgueWVRB@?|FL(Bub*m?f03dfR2F_23*s|<**%s z&l>a*^dMD9#{|U9UdG0`mJo34BPMhlrwzfeh>ico`CHVscp)&;pfp&$+)QPc&3vLD zx1gX*rw?E$x6{q^@wYj2+I3TXy90cZfgA8!6|+`1w7mf%D~ZAbp>S z?pG4`L%2ceTpzlnR&*6Hur)HIiP?sIjCJzkBkesYBIa|y?50e+z1}I>7);{_Ou0k~ zku57e1-hG$H*z;lwh`TQu5~$i%>F74N2T|9C3}w=rQrfTl19Pn@CJDO&;)n&_ouK! zQ~mJ@=_5Cy#{~Z2KtH;rF92U#%#=|(5->wPt#(B|Lnn;qu*uM6nk&+2%vH*HdySc5 z8+$l8ON6K9c0yGTL{WuI(eYfRPI-PEUQydDZSYXEp67g|2tK6AizrX_5s&9!RIepg z>E%esOubv>a&Df@?~a@l$3)L7STBRy*|-`l4bAFWOG}HIwo4W;BGCMd`)K?1mYtHa zlL;y)*bEd#ItA|lGmwjg#TSWqDaz^>#LG^6S`hM8rWE36 zWo4zH5Lq5`Y{76Tv+1*OUb2T7Hu<{}Tbe7BZYgX^lkT>`D1N1tTmO~iG|Uj_I_r2| zWf8XSKm?xpAY;DmI%-TsbUojl2Ckz466N)^HC`QZe*Oo5K_W~u^7708e+HV=QugUe z+0r&!?GLzpyY^}rA=9PCC*%5Wf;BK)hf^8)cYwlWvlEA&ZieUTMc%~v2bOaTp0$X_ z%;q1%0`*G2g>(W@oy!q_zz<^|dG|A1Vx=9y!TSgcAbAA>UwNs?iP1pvbd`<%Bjo=T z>m+zk4NNrdaM51K%%PRrZ48)LYP)V_4Ww`fVmL*eg=**+{u#}RmVsfy40x`*erK4$ zWoih?2tA~lrKjdYhtqbMMVq=3@hjkL5#cS?8n zyGN{Z&hPu)wcdYROPyywd*A!cYw!DdwET~$2SA#b-e&wXlhnLCN3+WHAG(Z35AQCM z7MRWKWK?~Db$ntpd!EwPaRc4%z7#iIV+R2N!3TK&sv{02PrFm(K{36Ee5tq31ApPa z(=*kl;lx_vC2ELDG=peEgsUU1cYJhob#-HO(fC`2ORQK~;I4l{?haz8*g6z<%t`Tq z5f{HJ2rYf=N{WgLw_kxIv{VgQ6WRnl2L$qpfr+Urh*F|`YXVKeTgOrZu1P6l?MEj} zKhH@SmWc7&b6SiNcIF?vFMR5#X)%2}i|M|K9!@*hwBq7oWsT(2)Zk@MaW6WmgeAX& ziM7QD3V=g}8-!7=2lGXvj>fr*&!MSlY1`U#177cDnqHfL^rcs0`eE`h$gdarvM8&f zi>?gzRf_$xmuowS#gipV>BUUp^$H7pn)UiqM@8j@dvoJkR=BteU^l(9C^W&QfVxWB zR&K4}Mk^E;6qZZh^{;m#&8wXEH(uziyNewTDm6icmSk;o(8AvhXkB6%NKwCzbUP?Z z^4RGhJY4@w-NMAgWLCNVeY`0T1VV7^S^A+3&R#wa2;<-8iX>-M9xQx{Gz)&a3$mht zg6gX2%a(22eE=NJiy{fOS?XU0*Ua(?4Y;=Q(&&{#36E6Xp1%2&G;vnd%LHl+eK|!M z4CZnw`PMoc^Kl*=F6HU9;n5c!fg4%?>~Y(S99tSJVD5h~dTmOiEAu-UPr<{y^^4CxJE-mW50dcFMz+s=qu`wYB^%0LqFA zM;mHM0T^xFb??@C z+TKC91(}55d9#XbMNTQdK{zfa#j1iN{LO&7SrcvDRDwr^bzi2sz*iISr^j);*u5^6 z7ozYveU23l12mFy{nmYf>(kH2$PNN5HO5@?+zx1uE#h9FY_-oQ92`d2EH-?AS=W@@ zz%3vY5nxEI>Epk{TXMhUvIH4*JA8IQ1UTi!O!+r&-h3#*9lv`)_YH(K?!)I|bXJiU zNr9%38={JyU8hVvX=9rem*8`PT(7`-djPm%!p*(*NpIK_9r z#bW+rIIyxbIVZHO=aTTw%frjS4f@wE_GQJEa|#Fy<-{)Rx-l(tf>R(aF8L*rTlU%c z!cVmtWD!DNyd>0z-p377jz9y+&asMkIyK-#oAe3q)=l2%d+s42biDP=^0o?q|AR?Q zh6<%mukTELIFYmWm{_chgAy1WCh4*lI>%oo?Rs(X1YPyy5wit_#FUC|;(^ut8-O+y zca%lN-bY*@zMeQ-+Z23>k%_7K%NL7Ex?zCa*ev$m|opS?DTb*7HR`st%% zpV}BMv=Nr|=Nrm@94ayyh<77a{RoS z^IachWQ9-m5~T7#a0~YuD|gsj%q%lo=uXk%XJ$^j6M)byd-1IEXb6qqGZhtPzPtCi zDV%)B<@xJ7MCXN>C7GtB>r!WyOrqjqxM$1)BuX`gPJRxcX zG?eAfa|TcIUJ=q3W=%ME&U$G{?RYAQ&yGLEhzQ+wgdy(ouXCpP$1}Ip0tj-4oo`=tz;)ohEx$0c)vG8mDi%F#tZIgcaa6-&j`r47Xg^LRtGs;WjV-8 zxqEDNtV|>>42LtpS|3(C_Eg%ZwxqAMBun+ ztZ}5j-ta}iVn}e0RlnmtnF}mI_Ok(~^c~OrCy>8lKpmD`yVMVg$wI%R6~BV%$}fKHnPk;$Sy! z5ZT4SeBBY97Y)!dIB2lr!u%D!&QJ>b@Agie>}#4k3+hT;5&GEB7y2N7pv*37Bh$m{ z_k1TzQ>*yZ(c>=sd=}xK`XtoePSw45{Eno9*#gy9Ig90s54?+5AJ11(-c6miQz2-NOuz8j9zn& z{CY#la^aAMoBDc|_d(g$MtWU!dy6SyW?{D`yN>DlO}^9gX<9@b6J%79?bgCzFUHKt z9OB6|$}|5p21wCmz!j$Wof_sYqU~ct=Cf|8nzul)vSwN`qrWbnp-5EaXr6{uyoWr#+uB^;+CSs4k`Cpn7;LS^4 zOqBtfW_jlmEr;1ylk0(qJBM_~(s{re2g|PsWN;S)IHOSlqW?r_HbCo2f!I>uO|Vrz zV-}TmDbQn-T&@I%giIND#K*@cyR3^pV_jQYx)`Y5pq!^cnWea-Mppe#9}?EF-x0O? zUG1o6sBs^yJsK0+clX$j)roHm;hoT%4WRJj79u1>Sqhvqkh5|;t$6{4eUXn~II+Yy z-64oMr8{-lc+YK~iR6BjMIklwvEgs$fVCSKU4P$I>}p8P_rT*fIg7+)jO#{kmCZi) z_XHlJ@hkaTVTyHYB%&c9{ej8;AmZOy_EMU5lbAalX^^S(=~-z8UZ8!xabXKL?XiZ@ zg>z{9zwPH)2az^Cr|nJcZQ?TboKJ!3wK(hg4JVkxJcy%>7WRXrD`4aY<*8yP zr6ONKU||-O{+k7U;mXQl(~0eMi&MRre+MzT^+-zrJYMv-1;R^|5}nXgMi!QC;g6lr zYQ0GXf{i|yvZuC6h+vG=!N^0mD?F2h%lyo6V(##ln9r?i1;rc z-Op7KGxIib=`|BV3u&7J?c0Dh*5k20TN_%EV1Jt49$U$@-^1thk66+P{qR&ZzSXx6 z^){BT(DtDo6|LH%J2T$9|u zk0GS;tK%G0?>d(lPb-zxAFj~~P#juoXI-k=9nIqWhh|g}4>j*U^dVS5|40(O$H z{@kJO_@BS`d;ZCuiUM6YE8O&!6Voh}ICvJ{wBQ9LVrb-K@U{IAQk$f)$Anbj4U%By z!eKQxcl+4=wU?iCKKZFkzPY zA-E@8UC8mxH$@v+nwEvWyM3+4Y$xG+j$3s%!#b;< zZO5PIMrMTfuPrd48@KZz77$n;&F)CgNV1De9u`EY>z~|Xg;?Oah1`>6kLF$fWv$gW zO(nd4mV#DL{i@Ch?cut9HT3W4zi?`ZT0M_p2x-n{mHW8|P$M*-0nk4(vTym;to|Pg zhQ^9Z@=JmH1M?A0C#xjp*2#hsCyuK^_ABpXW_{JCR*gjdC2=fs`D0eb9di%F+ACAP z>pxWFpq7Dgu_l02x8)+G!)kn^u*r3uyLm~L?Bqga^PLfdLOW;*MGx2LNHW6`T>75!Gd*k7(hcx>NY)1Z)iaBHU;`? ztPcIZ{jj#Vpe(=AtlZFEXf+!Do7x)7?fDMTo^x#=SpxphlvQCXz+0nne$W|VyDBbqz=+<@$x=_8(#!(>Ra)d1t*kSR)QP40DK9y z{lNc2_9AW|uE2iGPkN`Z<@CG@F$NjZ{Q^7jq1X*$PXC<1M7(xf)vy=in#wO^`3nh> zh}Yj=hILZ17F3tkdD0eHoXAhAvt+IRGHj}#O zQ$wMZkiKwiwqJ+fv;Gk=Jz!>JXAVP{#K^;{{|#ZzRAjbMoAUMp+h*EyBbOc3)ngL$ zAI`pN9}~06;_EOuP%c+jY=D*6WYvqw!>31ub_s_rE8OS2R0gE zk+Um9B`*lsrCWIr>zYZc!wZ(_DZu^EoTW!Z5eQ#(Cf_HW&>O}2OKncBr>?t>T$Gj1 zK99GuOQ;o?bW>J2%sZ~*!L@AG<&~TdlxeVQaLSI zh_%sz&u6Jx)y#L{^T~HA~vb=0Av+DGsq`)UyN9DW^&*IyaT2@50_aqw4N?y(9?gH zPCAyItEI|!M+eIKW6+=bMl!j4uGK#7mJZyisr(LkhUoFSGQW7J)f2H2$!<{=nUN@e-cKop+CxCk(mI zwWsnk?Cu72+)6q1k+6xDJaZGZN)r*HAK%C*HOCM;*#yVFjpEa!L|ZqVZe()=t?Sww=Qu1s%ttY~fBGXOK7&!Z!@ zzid2bnafe$A%znN6l|!$+Q{%*t^KJ=X}%7D@-LqZT}T4dX&TyOhYDg)o5rf`;I=Aj zIYe5PFOcuv{#&*{17xok+d2E#ytkjD4a>MiewOJmu30=C&tA0dyI`xQwoBG{PW=(k zCBFz_frDm`ljxVUV5bt>lurP6Gg&D~r&xNS+kt7$jm+IxzA>)Vdd&MgeP+b|N%E-Mlg3>eR7DnPT}HYr444 z4A8C*bM+?3e4*KlF=_SY(XG8cuqHLo#Qe(sjsEd?S-oMavYbf8cG)u@rC zoHVb=@mtMSddM=Rh>MqR`RUOl0B}$>x!bUL5nNJ*P-8f`c&X*NLqD<2v)~r*(n4<0 zKMCn>p!C=mLfTCHtZ8TI$w&@W{!^87Gu8egb1A`xclq=E#lW=cR}-&Rf+9Mb*ucXb zH4F<2=~-2^7LjA_w%_RKmZp@7_G*63=W?v{u*i(+I|BuNB)>dkClpc#`_DsRIrWQU zm1z=p2W;F`BX8x+;pe$NkxXm;+8l8oU=vhq44u%TN@VFrFQZj0$Up0f{#lw+Yqy?9 zuxHccZ856XT^<@_dig89O?b=o7Rm|{QG4ABrKg||t9mec_&Kd8d7BmSkKA@Qko*z& zxZ{9o6;9hn$<95MMY*^MVq-Q+$zWitVD!3G2&xN??0rdW~)2;y-7goRo5^ z*LOibz1=HNdiilsmNWl4t|0o?e+(tUv8&WB(?-^vIPE5up%MLXGIr-5^I#_)YH;`_ z7t3WEVBpN7ZC=nZ-XcNg`iS8iN6(8XE366(P`1uCLoG#*TlmSYlkDI+06#mHD*tz?I=Fl zw10pxxTE$+(ip7)Df4AawU8-{jfmq;iPHhd(s2H&*}|O7Tg{0DymO|A56QKYuG?A` z7qv+O{1Yu7u;#2=CB&PbWd*qrV;46s0VxN=gZ{0aoW1X(pV6neQnt*s%5-P}>}g@^ z$+lx2K%}fNvkzRPcqrWT4My+B6<(`hYtCj6e)Tm#qG=&R?mBiH{iEOl>dhTliDYwxzeQDPBNujsl5L`-@sNfVJpxT-mkpH6~7~sA}oT-Xl zvrilea5}KPJvYRs*|HxLWzIB$_r3_vz7Gme#ZD;253ll{rBq&5wD?Y`$tpX)3!{^M z|L09*plNxe17s%5n<`E7nWQk5g;ps%^1J=J~F9OH<^e~n!Od^Pa z)%4fwe~yWM^U2c*QMfGX7@z?81mKTa;GSt-X2lLNhXZuUQ1y1lJKX2bhh&qYz{Adx ziy}<_F$+y%?E+Q*9RY-pn}LhI*`!+f?a7F%k6_BOvg3i*Knn$EKDlHd&3X`?^IJo@ z#pDPo+Zo-ac=92>&vBa-ih{k*gs4gEWIk%7e{!TTn^&J^q~$QYq+q=QlQ6v}0_Ur< z2o0-6K@Y%3O2U65+^$@ zX87abLhsF4r6m_zhc~@_=)lv*QT>c}-6+ou-tZHBeb(`=|FG4jY6eE>{XNJs4#wd7 z(@Y9*4bg7S4WFYT_&53hjv18Ssz!ZVuEZxgJ>YMLi&;G&Fap$}&~H_N752$)S2R#) zuK(oZB!IdDQVqa0yzWYSFi-L4zjYhbYBEClDBnIEP(#RBxU;&0wIV%(V(DoF85_JIn(e(?1nld3ZT^ISXj; z-`W<17#DsRH98yIRi^h|o<9i+oLn5ty#Sob-F{pTt~JNo)-fYwzPRK3_6rkAaKIiU zy!jdomRevxAFRcNSV ztLnYws72aU(uMgGMB+I7q+=vHI-Q-p7Aj%%aN$-Bz|SQ^ax`bzTqeAGCBoX5NiLCX zIXsn9u4JTEaMwD_lj2brX5%THz9#80@Do=OVFlhmu526~p7d+9I9M{OkPy`VNHYGo zcf(7q&HUVbj{wA)lkXv$;ll^@KMEKO(dGKw>oxPVC0YK_PNH~bhCzY zSORGA;tH|$(uTLEl^b>&DfAJHq3|?!EyaJR{%&{_1|!F>Tszq-o~gV)G_vP590LP* z`WKcx%LzZ>#-g`434Q{4 z254y_qoADW?0hnOwg`Up#a8FN8VAq!&OFmy9X{%nI`*6FF_n+X>o$()-?uv)UDC>W zcgN>@1_m42sKC5H?{}E(7OQR+QjmV^xV>sR9kyO4`4el5gQY_qLjD{kS?^-iuXRtuZ~6|9|5MUTHDol^rvbj8!U@UobeLz~uJ=Nh^bxe00;j)Zq^kL!{M)`^Tg zr1_aLE>n_9{O{Lbp&-)zpe)2|E7D)Dp~9kI4?3WY(g;3G?PpwrZqWUIqO%Q_iQtnU zt|0`-1R#C8hiiU@6lO(FDq4^T2sRqfqc!&&%$!+ zXM!7(Q?YqYv7Cv}m^GWmt~#;3pe*`J-QowX`x>j(x0b_+U1t6gniw`5KJ`C!pY_zq z2T2=pB2`A302`fmyyLgnIMQzB;8oy~GTpPHt2o21HS~fle7^uuhcADIoM1=Xa>z~g z7j)VYU-nh{ckuJgCvJ)Dnwh(;gr13?wjArK%JOn~TcUlp)h$K)%XcoM*JR4I6zG+q zSoPeLN!y&SwDg_O(VruOqs@S1e@6LF|7&CrR=3mLB+o^yj|oBSN?WO?k9|5^dT9Woo7aGyAw)@I%$%%wML}n zO~1adU5a7;ioVZYL7Q0;^-6Hx@kwJ(0k?Ui_LKfR2tEO}O zMI7Ie<33#ltbRQmQi$C)Z-nggpRas_i1TbWg* zOtD(tE$cf>wnLxg&gdxlb{H$XIRURf^r>jS?9^D0h4_#*-25+h1}ce8sNB;t)e2T4 zSQ3tkw&#`{w8YUaAQBJ~Q!07;uhQVDb3+^~zUHSeN|+okRR;7JZGOe64Bw2e6AaN- z3OF|_wC>#J>8DArj2{u{ll|=eC&aWg&hHEiv&n;ftW0TA!r0xdKj}4M9Iw zB#$X_<>BqScN^Mx$8PDjlhIbpovOHl1_ncq5RI}7vyyY)C=9>!`*rbrD_mCy>x80# z3S8CP!gEnN_ zqcoz{dzgxY(IV-T4m#JQMe=F@&AFU=y zOW}%zknyEh^~W0;iA|rRNDVwVz{-Cm5|EuZH)5Y#_d!`AuiVno5>hDp3F}CMrt>rp zGI3juAQ10nktyWAWvV+j$p18MJ;tjC*D_Tm7pp-RB92+cgUg`1$my2&X$SPh!}3(< zKkL*VOYh8gr@?qWbPtq2oUg7nb#&}bx(|+epnvzOZ-5vhY(qhGio32?Uq(J&Zs_UuP|H=o? zBoGIyn*hbVD2sg}*pT=vaCMHZf;m!NkbB#_yeW%&u4tKXFGO}^FL87jaCdfydLnr=p)Fc_(NPv z`66a!nN|X7fHTh5krNyg;_+?U4jLx$7io2Ei|MEUxCLBpa2EuUPefKbq`w=^K{M>j zjGl7@H-dCkw@z6gFcwIcVCqHcf!rtUQT$r_psy}dwTMkl;?r}{YC_O8n%2}0nl0)# zd@s{HkGf*`^=Cr*L(~saVefomcRx%O^l9MZY=Qe#VqgV*fw)4} z3*CN=6M2v;?-F4cQsHa_J3bePC(~m9Jx)@r>rHh#Zx7LG8m(bO3HZXPd!FOhJA`sc zGDSfE005Uto?JQz=Zr>CM)Xo2fvyj1Ubd2HJn|^C3GH`?@sCQFplxhAy*Ewil4Ugw z5k|SJ3|182*U{PrEjWqMM%!Qcszj+r2?o2FM92ZKwL{gs2l}gh2jRSP`4Fk&%^2#b zCbSETwv!trW#KOhks{gl>snu1P&6FrVmS5~o+3yx>Q1n;`rE@+9Rz9MjzRY^7#8EG zL6#{JJA)X4+UZ%hDK9TCIe}ojtE{hMW3ze~)gC{-cBBGs(09Lb&%USNlc(Qd`{~=a zq=&mUan?abD)|PNr`0A;j z0ZC5O+V*D!L8<|RM)pm z3Pcds;?>H-s7e0QqfM0s&5K&L252#BN#MF_hNxHX1$R+6g!wY<2*7HRD;R>p@!R^o z^cNT_MU{(C_D`H1J(T*0d4D$wqxLdNnsCWin??OY=RXyuJ~+TlYZO+ znp4pX1n9CPZdZ#VAb>wIiQv+g*~kQWLLgX_U+;*_Qz%T-gS$q? zwerlS#Z9yjd5Fql_8`X~ zpLXA@LtCdPpfSpKPcZ8iihx0AUkHEfv#Lz&c!7_klALk}hk)W9xoXVe58Oo{tIj-kBVF``d&jlj3wzku9kk{A-9*-Nv z;6%jQuNw@%<`rstoQ>&zT|8P}Pw(!c$ZMWS+@^m2No=w3@Y---=0?zJLJc}(C)98#lJlWA!X4p@J%zr7DnL?jbtyN<_go9A!A;fr z1rWCwqtD(lx;pwUi=yT9*l}XG@sm)uZ;o~a8N)~ds`3X?f&7unqsu29*!x{jRHSJE zSSh_NHbnPv`r0lpW%7Uvp1=u??eOx_JJmFOY5U!w z9Nux~esk%6KlNq0I1U%`HEd>7u}u9ct>+UGhy{FG=k5q<*Z z@{WAB7V`foj`Hy%_fz1EC7td$#c!{UY3A=Qq-9BtK-_(snU1K~V;Yrib%YB$J(=e! z=P2r;e-)7ga~@&C)BC?I3wQPdI=GblVX@{|JWai<&>-8Whv_CJgzBS~mt6);VRVg5 zX+U(p2RKXZzg(M@@qGs6MlRP`)@y%jr>+HBqLDFFspz62v#4XhdCo8jA!sas_^GgK zGT;tA(F|A9qi6#_f~D1Gm=vw3tSs5h4WX8u7iZq5`{3ZjEd0OKt(vf%tI0PL=dokF z;Qn-omJ{fSVsylydhqB$elWobg@H%@a@#xl+wi~KKvj3DLcrXDND00)15Q#FNbwj} z;qGfGI`P|O0$JKU!t;IBj;F1CgFTgD(KRGW8{(G?JWw0ugOFVW9%HY3|9d2hDCm?< z*ttUk!1UMEB{#E;``8NfLLTP#X}U#wos4TkBvZB?ewl8=R1H$?(mUeKXk?8ok5F)1UAb=P=;4^ z>&^5JNg+04_=|OtXHg*!JzoUn3gci`KksjrKAWgXCjT)&Vv1aXw=4#B7LG6eGU%?s zTXoxS(R@6BAwtP?!f2=xz)f^xb291sF{P6ol=6*5+! zh=-z0mJl76ap9e^*D$>F7c3%(XZ5isTl8+H=*EB=;o2N%GE|~(0#Z%uCW&?yKCnknD<*4dSyW$i7R|y@~)$n-eWPJ*hDE6BO-C+3TI< zAx+Q&GO-Uht1ZhORU5Wnny=v3*k}jzRIeqcP|_{d1sI`O_&BQ$nn(zsrfdh%{d;S~ zL$7PJv?=p|UAR=8aGWGp#D1W8(kLq{XPu`}xtD1y5FCyHi%P(127yR) z?|rP>hpDSsiFA6LpX~QmNByh*YZ&fbOgdj}Qd_W6NR%i-goykd)hp9}FF-i??3@bZ zsr8fRe1%U50TX34F<9#bk{b*g_|D<#wkk7Y^MD;)?)U%7vC~da4!#4&s;;gl6SY2e ztD~kzOv#S`CDF)p?$P*{_9`#Re*gLnJ1y^sb%^60;6!;mumN1RQU{>oQPe=~1tELD zGr~rmB{9Iv#z%AXXk%|GC~baY!!o1+^j&1E(59SI%_slIk2YxOj$T!-(P>%3*CmH2 zA68E5%ioN-nFEfUw*B-#^XK67mk?SNNb-wci+x%idJE*4Nl}*f?e(%Ej5D1KzqP@^ z$AWhZ_VDW(w`{8Wr}hMJ6<} zblOj=Zo9cI8rzLhRoUNdrjZNSS{||k8u0fIX`*1=YeEYtZnLvRu^TnIRZD9oRa`+V zq~tMf4aE8;{n;LW&wz-Xb{DiCliLkn1`g=!{G}Vm+r^684MnEbDpQ(wU2T=`*7=AR zCZqo?%vyU!&@g5^ZQQ&!b4Z`$y4gQS^R1VVq{uCknIndT*KC+rKZ+WNhL&t78xH77 z#{<`-?g2G!7g)Wu*#IyH#bv_Ihrr5Q(njx3TuGXCpED0{IjXQ@&D zJycV8uzA&S@18+*szTb;Au>RY)}Q^<=mhjcrmFo%I}$dezJNqEP`oqyX{{7{#p9^Z zm>9FlCohW(2sIEBY`-?|t_?fw;qGcId2n#>BQ-S>7w}?Ei@#rdVb*6WFnnC;*F-J= zK5N2Xj{{T4hX2~E+t=cNlJ3TiSHDMN{-x1bMC_ll{-6KiPPat_DSow%cjW&=KMf`a zD5RzZj-SUVT8A@B;K-8B3uyA;|5(qR*RZYZUTsaotOUG}8nB-3JP>|4mAU{mT7b_B zBfwPB{jM!g8l<-kCZMC3cQJAWbPTrYfnHR3R=edvT0w`XDnMXo77ppw`n_b45F$av zN<+t0dG@`hk8Y8N-Od7J=4k3tJ*JH4sb0yx%G#;l9XMznZZ znwuZ7Dv3R z!TZu~Cp(*^@PNasHm8=wR_UwhmxT7HGIt>Ha{``xyuW43F`u*Z0d1nuaO}lwxscoa zVwd}_^||C*u~SCz0Kc+W9st*w;l_lU;$|y={kmY(b+XRy>SR25K;*j{hQZrAri1w@ zmPhQ|WV3KWwg)=vpxR3fenrNwr6efQ$?=y^9Md-_eR^s&PfmnF&fUc3qD$A@)CS#g zAx2qZqH3zBK$KBLDeUTek%!YvjVw0L0K}!YKBdbeC)Mbel=nrfZ4P#p)9xbyBH%)R z_PNIXylZ{VMG#(Z`0>K8(bGrX=#*2oj|bS9g{j&0fvurkbm}oMqjd9l0Jb(%A&n8Ta>jtVhFfEp4-YhZ(;hNGpZ_gyK{(mo z5&rhGJKu|XxI5+gK}C9%UC6L2(a$mYZZ|?H7o?j#8Y8MEnn+~oAaET0j?uL~my`k$ zKYc=)Xg+?NI0*J0%p%YZGhYv_phjS&nC4CWTR_n@pp1vh@JBiE^H@5^!S`MfSzHuC zyq_NGOcl|e+z~7{Mz)>4Bg@MMC{?NDut9(JhxhW29%)$!GBPrzR+JX?Yhl$ z`_XFE=}9r3gs7;8kuac%U5w<|Uvg)itPo=A;vI9Qk;yG$qT>5)#qM-;f;->+A8ecK z#`;dBHDtM@UnR7r+)H2YW)&9V+zB|o77q?Ff5hnfl7e~d`Cl44s(taGgK%t-tRxgykZ?)xQ%dU5 zk+a1{fO~3sHV^|&9a;fF!1BivzBqO`i%RYb7D#cxpXc%N8b_I5yQ$_oZP7c7-EBRp zTYw#MD~+(>z>#EFyPn%)*YgZ&UYx&+=r6M~f2maS&({Ml6A%H@sh)KIdP&f6EBme&<_<9TBJztU+px`G*^f^HT}ZZGVGF_@%~9XWb2ux)JOye4;>$JESE<7_c+-0 z2jA`K;Z2Y_v|Pxzc3`+#(f5IqQ{qvR`DDd~os*`3QSz@x(I2G8i0oI&1=`u#1}J*= z5`4x$TD;O=; zoSE3VJmPibD|GM$mPR7>641!2mzt$`<;(J;Pxnd`o4YMb${$xo5)LdpYYr7A%5ni; z6tPU}9`ri%?~j;ss{!U?;vza$=K*e{iML+^OKPzHVgne{0>3Kx?5gROFBO!ij)0_5 zKmoZ7XdO{@okE}@62bBG`L?bLKISH7%3`xz(6aBt ztz37TAJI$%KSvOx_SwBMadW#7-W}yaLA2r{dzg@6bdc{r(?Cv z@|0{x&+0siR)%7Sg{R)CS_;SL-Cz(NxDE&lS)P!e$?IAr%i65UWP|<#l73ZX0AAKc z0zfYOJ&}-e@izA!70wj`f|MPAk%a&$^`WE$ppAGY{ixAvsWYJ2z2~StfUxY5eg+`= zMXio=J}2{=P|4BxJh??oiD>~$?(QpiGH4Un-@3Z4p30bcU78e8QHd}T)@{D>bD#Cg z+1IQc*B3Qg_#>_xwtO{QgePUTM^+PHJt0sc_H`Q;awd-i0aJzWNi24-ax~egG#-~@RMCraw)~EV?yOa_) z(+@dC(z)&zbjbK}=pDCStI=TW;eeTOn+`tSRv@Hygj;yws^9*$>}9}K$K_TfkUInV z%Q311Oo)FjH?c8{R#=Jiz@%%{;4M66Oi6Hme<*97Exi=m6M^|S4+Lhfqzv;KY_Jn- z4N{qluB$EaU!Pcf=CC-CwOGOZ`s{VGUDn>R`sAz|=|sfc z#Gg$<0F@bMV}le724hxCx8I)$2RVbIjB3AF#X>Y-**HGfRt4;n-`gTLH#ccwY2nqV zWW>k`@!G@hhvDX*XdX<5Jk)UApMgG81neRJ(qL+WX8TdW=bmLxITKh^MH~MTE8d#;PzOhOGt3=sN3l=xSmSX z5e$0*xE(<#vF*z*Ss3s!GeOSeg@6O4n2JNqO>06ui`WC9AO6Nlw;WI(CmAMSk%VXi z#;Tv@5EWv6(L{ygs->$#Z+HTdxFae!t%`$teeCq4ulef9FZc5^^>nBEF37{4AnuEh zGp*!*b2$^Ko>`G?KUTkEL56af4S%`J0qwGnOSk4LKAJm|@Nd3?4c+LyqLt_ld>jTp z4v5d*vuAD)qw1_&e1EM=d%%1+&-lc2r)L8_H9Il!nzj}ordT)u?4EhW>9Lb2_VYkc z*PtBY?-}`RDVMwD1li5J!JldjQo_G;=MI8!SAq=XBak}akobE1?d#XC1A?!PXXnt? zC)3XZx;DB3S~vqZ+mQbTwieMh9>mAtxMfh#1V9?ot=lp(uU?ta!yzk^7od~%D)={0 zn<|Hp1^zIZf&x(eP2NAcj7cXGWnjGxD$yPnTU#EWDa7qmR2os-qPG#9b?J@%LcudB zk21q86G9C?sFsFCejFw~K+DCO&2zv0q}m{y5SsmR$hbh1s7N`V@pEsM zIKO*AehblT;?W`BO5thcet=gDlLIGt2e2@mf2gY2IoYhPiH@uD*8_%#V#TNvrS(OD zdj4JCo5y!X&xI)r6(>X*pncOa}E#QgzkShoa}V@5bE>s7K3is zkk^FMPdVl{zPhO-L7R$7zy=RgFI5Kp9RJqL=axZhM0_N!y4T!QaeC@O_}53in_hcH zQ^=yU+Vel3UkWL)sq=-`_lHeR1k}HjBNQ%E@ip!n1^WRMFe}ZjJDY)t2w_WdoiwS7=A0MK)=^Acxn>wcjPm@qr+wpnc`Us+_QP6`G z$3b~Pw~e570?PNKJ>`>|77xdIdzQP_1l!-oQNs;Dl4v=4a9AMhe-h~aKHXjMydjR5A+Xx70)|67O!}_>L-aeo8QjzNhP)Vc*N980;%&sZ3y7x z_`M+@qtK@)FV%W{)Ox5Ol=eMMUx9SQ>yCnf+8y(a!}7QLbU2UsO#_+;3a`Z9o-4BH z&Pc$GzFk%LO>iquU}55p*o}0f#VALSt3WQOZPg00r#xi%X`9_+@y4B0m+AT%B2R_P z-#X)BlC?l5`_yj>?2uT@GEeT5OlIzjZ6&|65T#tN+N^)s;X8I(>wFP1iP8dab0V#u zMzi&e*J{(S*Y!n${T``}mf2=rnedVnGbJDVGjDUxv=w^&wMBIf3&+ynT_qe<-2Ej^ z#>JiJgU+@#)=V_umB7@FHdXzNh8;Fd|9UW^2@1HLGl!`REUHU(VW}z(t)8DpgWW|Y zfE~GI@;$39XFn-4C@LK(r`lxCS}As2DHO8ma%xlCJZQM}myxo-Z=~;)SHHq&^@Ep3N3V3d8Zbq|Wtc3xjjvTo7<|O-(A0C}f zuy8M9dtrc0IJHzXyfX;&P#s{G0Xjgf%5B^zzHwy)-^WdL*6!M_Q*Sn$o5=N-j=C); z!{WZL?2u~c?)Jp`uMgY|0UQQCBmj87O!wkLr>Av_($LkufkXKzaXvt>ey}y42LN?$ z<6fe9_rB;K%0CrUaWPZrVy3Wk6DwEZjZvaD`EN!tUgsR$G^>+YzYATIBzwjJUt*KL zq(G9q*Gn}u^x{Iq7*ZX)GKI@vsXwQ}aT^b!RRU((y?+%fhDa3ASzF#{`PlQ*?U(Ya zc4AxDBe9*<1|>9JDg#NPzUVb6EO9#b1^}Kn8F+fRs#qb)p~WF#R0X*$klzC~Of9}W z4!}0xI{{xp=mCS4{`zFSnF6eM1swWRf=>zn=MvxUo7^X_VfVu`y`^w6Q1B?GyBB3? zRZr>H;}RP&B@ZWOZk-g`b|Ld!!W~hme#;FJZTJ&7>8LLv(Ng(gW|AgTOjw~BiHLSFVXzR>h z?AA|$o;&pO`e zc~la_QV1TJeSH$gXXk5h;BLSzqjp`z`Y?$vPlb9HIHi5ddLys4hsW4@v#*7oF83Tn;qP>zTidv_o7b{>RX>q%KvQ0_n=J4H$joP@IAaT;#RbC-=+gD-0%y*iDOWeOMzA}lzxP?C6 z9Ra^beXbT)`3Ho@;?|QG)6m066Q(bqB=5_&{|%|2NL0-y0$_TG2kO0vjgB!#aRwa} zRfGIVW4#e>YQoDKJ@V|TLY2p$SSlBYci4#A{61n)SXmPaILQ^1o_3;A6$k_ap(27G zLV@2q$GqgvoXL^JA!`B7gdh+o5GY8vq}8K$r$6P+a!td_5=-4|eWD7M_v9n1BHw7- zbkY8jzwH&2A$Z+PC2Xapb=UCOq)0L4kE9X;p^^oO6tD*tJv8EXd{4G++u9bsD$+)s ze^j@e{a3m^8gU?BTnr$wlG_FD<;R*Cz?yFjXF$v3PAO@WZbZ66It8T> zq`M>q>29S2lxvAp z3ICZw@VVIL29RPniGL~!wM7DTKLI)<;gJ6Zs`G(V>)ZC@7xsz31kuaCmFy?YnR8>T ze`jw0sCGmzITac^0)_HQ*>(&0G5zHJ*UU#^e9pvfx|0hX3%>N+Iv*Q8EtcH6bROt` zNB9I_3P6Y|&${ohkjBLt`=4d}Y4IJ9)j?(oRzK|;5++?S8rBq_SISAUOa(%U&YWlr z;1-%8036H8JIS500lqJT0XzbTxN$6i7omU)e++`Ni_1h8$T^Qpd5V?}lEo&qRzC1M zg6uGj1JB(EJqc+43}t|14CpK`cLpW!{g<`iK7|Qv*82pf=uw#{U+yPjoujK1y37%1EMY#xH;jf#v>dk^w=K{3D15sk~Yu zLPu3u@+ov?*D+)k!9DjD6IfKfGUe5h7zM1_WzFn=oXkkSWKrLyXk?lH`ueH&TuXRP zM~dL&Jc%i~Bt?q|V|S5fDDbaj1z4Yea8!i+5}P#|l%Va16SylIB8g09VG~C>(}o7p^uZXJ>hpzJlo!j$GVC>&W@g2zWEC%_K(19KLR^# z=v@2pmylz3k|JpxaV7GSapQ&hMUiPhH`fbR7g(_qd$S(oy@9g{2;6pH(cz!`eQAx= zuvIYHf%VLWq)0<&IcaEVoTjAH+w_5^iw%1o6#_w~1GACnZL6}ik=|!e3d-emURSk+ z!2#44W2jhKXL3>0&24g z=*XS%FvV`87C>yZWE;q}u2C4qN(X>4)o-@P3a6T&+vbl)Z}<~d?a6OoME~&5;tL1Q z0E{8;I_tk}wcigr`t|Tcb0dhq0$4(hVy=7BvH$)W)=frS&#bWEivOgz$$&YC2r1HU z3tWi<-~&`G{j0GQ|G+n@ENp180KEar4fQ=Oya$ER<)IhN3Y2 zZ-w^C9A1tb(|;vK&vVPIi-Jk+@S7A^A2B4Fy^ z_^VF;DmP=!-aux|L%LfWB*0QYlxcbzluGVr>1(G6)nx%mf7e_UK;FcI{Enc2e~EPe zzyR>s{5$|un!nKNsjHi+YvSze3~K!T!OtO(Q{YprtTPXP)?zbV0cEs45D}z-a~+zy znCmsy;Q#9#{@%XZsvYt=V6iAamgfgVkpZIMIB7{oprQvi5$XLra9ahys6lO2>9cQu zvCH=GoK_)`U^nu1(7ENm=dC{?^iKto?YjbH3|2~G44fwb44L5vUM$>h8Ue4Z_9ZEd zY4pfGAby+FdIc?MA9g6OECP;pj6dgTv(2pj*H`0Zz2=~zVUnHsX7?o#Vd zRFUK+^nS{ z_zG;qTqt6ESgFsLCVJYoCHQ>)dNlHs%GA6q9<6%a`E$^*6xwI~9H+`*5bk36Vh!M= zk~>h`v(&-h@i@5E{JQlHgheOl0LPbuFV+OK#mLWhU|W10+qtjoC9B>I037JRCZF_h zqDE896rTC7RiGeKUc=;rHF45_7Vez52N||?ZE^tP2lvYDTkoKi_IWGK2Jm(xiq665 zTeH~k`xI2Xa~L3N0af?FUe{Xfj^YHx=$@2=ot+ImLo)X{PMEWSp5XYh0+2}J!=*1m z?gyoHuUcE37Jhm1YTJC4F7)D=Xa*puV|vOT%&4dr-_8egMo#5%#}| z`aNX{AaB%H1RLtZXCM*c`tUm97(nsAHUXKbJ0>98V%=PAiSZPiW_q{y#pWPoIDEzy z0GK7~EuNKPw013w#%5zsentS&neuYoPk^G&Ww+|Sx{Z|h7_GtaBT(-Xx>Z6 z-)0*s0AJAOZIFAD9FYtk2#f~lvKAmH99ot>$8AJwy|x0TJ&$%J#KUHoK@HQ_V;c4f zKS%_eKP3>kZp~B|>ZJib$u?OaM%5zbIOexHqR=4o4M_D;zi=q_iE%w#6xl%K;^JaK zgo#s<-3EN^3GVQ~(i`Nn`^~0N+$B$2M+|scnC8IlmJt8@os-(bwwFvz0}0gN%)IB{T2kLk#Pl7`?8&`*-!n#f+%m3b!8rcV=Xw)UGh4=ZfAI5=| zE=Dx+IevGa3nn>T91ppaXm2ddzUezMEU1J&rS_2t7?Ebc|19|dHaHJpK7%a7SBV=> z7-wa={1lME<9FLjj{c~RrG=;Z9-Xu#)fePt2u1+S>j(>4U0EmuK&N#qh=H$UdLN9a ze`EsoWj{c9-6yI*SzbLg(5x~A8obh*=4pK^t72<0fQO1mHx?u6h!+DwCu@Ce?V>^k z@DzOv!rKCK9dRD}@uJwwaDB~c=IrsuBdSDg zoJa~T$S6qzy}=JuG&f##fL9&x38K~4IQ6OmfPFVknpFYE>sQJeYn;th z0l9Ajbc0Y4Q2w3i;jTg09(*KFFI+%7KFxLzwjbD=6Y`^@quBZwpbK!&E@UE@4`r5Z zSeTg!=*6e){eb=bI(daY3oQvD3hf@(9sg$hZ9 z&T5kZNje*PcrG;>1IV!e2Ehjd8!%17(C*VUH-J)@_Mr1hI?LOIS@z%x$p8Ebd^v!P z15LA(AFf}(h&Grm0KH=5Rt6|K#gV}Dt1os#OM+*&@iT-MFdu;w3jnA5vBuugS>v~Z zOaSZL($c|+-1$$8Xu=?466&KYJG~Cf4x8@=SE_gJtA|8g>S^4M+dyxTnZYNe01%mC zk?B-VHf7Zh3)~uj^VQox2O|guL+6aY1fmoNc&iAhRZwF97!sCKv*1@(AZAgOOb(;vb%{hCK_J4>V3^ig=OCWlAl|pHD=hI64_v1a`|r13I31!qmi@3my_~WG z!?NlElGh4j!7LA{5!c?}Kxzeg#C4#qx^9XPYw6`Q6(d5xh43Vath z4ab#z0AMPdiBQKzP=K1oWf5(v_s0lZvs<_YpM#IvS4gI~~K%`P?&}@)?HRb8O_T`!9eIIPktU6VN(>u`4Ie2OA zBdx-Cn;y77;t?I8vz#i3i1v30nXQMdSW)OMr8I z^cnER)=q&vio>&1#(Xp~_uV>z*!_UhAu%PTIF&`eVK}9P=8ro?pwm%4&I+JE!-SJO zy7Xgkgnec)H{0iMsB^LCoYv`E|3>EcjLmDl7f?GpPxSV zE!$G@QVO-MHu;A5D`JT>(Fdx|jzADQt5m=7#eQ*oJWd?%!TscP&;WX9Pf)NWV;2u8 zou77NXPs~N$~Z9|%iJ&R=epJ6nwp7GOyE=ns7eQ4$<0bO!+|*r#%L;n+kW_Q>vJ${ zR0fDsyOd$>~PWE$vtc3c5aPGa;lJAAV_)FdDj%d=Kt({=Q zVS)t|8wVvw`W-OKn4R$tXR0^5@r|IC=#bch4;DMPC+>69iGLRQus=RA7s@+Tte-K7GmGQy+@&;z2?K8H+w%@ zR(E##nHXqkyOZBlYdF1lF$yy1=u|QR8y5MlTf$kpSW+#Z++8T!9WNdOcj6v%&0A^NeOG~5?S)(}b|N7tHcABt=NYwx9f0GU& z_}!gpttKVCV0BC~BQaH6Q{#(;0x1EnDDh*Ofzs^fzz@})Z*26E%X%Tz?(;$_0Y6k~ zOTVMzQo)Uf`w4h-8fFdFd8$Z_`Xm|)d!zt`bw@ZG?(v*BuFOgCuiCB;;V8!|k&LoW zN`~Wi)(nFRrgBr>SjyO?>c-J&(B8*TNI(aL3>|&~{q=dy6$THnyVfqE{z@DTq@SY_RbL z>4P8tF2r%kb45jNE6-g7)5v8J6XEwZn;&)t6-<+~I1{OK6?J0%%uz11&6#!B$_v9I zf`YT+!i;L)@5rK?N14dSZ~JM)SylTyCpIStn!B`5H%!hv1MQW>8ZK_MsBJJ?XB1;7 zpq&YRt1o`=Itk4YAe)@o%khUpMR3J}|1Qp;|oBzF!GmQl>9a(4n)x^7gVM zSrVk$yc_udkAe-}7H0smpd8)UVM->G=6RY0DhfUkqT`V;Oh<}jX^;Qqn_}0VB(RBY zDhCcqi1=F|AsHktFi}q;Ju_7>l(B`-Nx{VCED2P{i@b%0K4WYL{bGz$aHgm)5jOV6 zc$$2_#tD!H%FN06(V^=88EiV6svR@i>)|w4&o^v6KlQYsxMzR{kQbgzgk)VFpDRFt z2M%KvovKgZKY~a*z@LptSc#8^Qql2&jF7LOj{7f=Os$;Ge|+@onZ)Aeu0u=d*@B;8 zJY1|pma|pwCa2rC->;za10SL;*xPkM}Sx&DuvOCt&ZDW==f_5PiU~zdQoMDEs1@S#RU7kH~&~kf<_j0b@ zy?YAXu;Q3~2(KSE22{X%IoVhBNw*XcmEdH1G-B=6(^a@q_CvQMCZ02l&NEgD<^iMh ztFq!xPZ1bo?AqLgVqBGCDxi&&!Zikzrtn3_B)qMJ11ll*Sl@lVfmMzh`lIH1FmzBV zI|dE8?>9cZ#>rtPYlJCuTor$s6iSM)y zD)b8iK+>u(E=EcGryBXo%H{91^<^o$y|!U6)sQE=IV6X^ zdbABl_henArKB2~o6!ty?{BXV*j}!Ht%)2;bT-FxXWDab=3e((^GVAp zn<&>^uxygNdh?-AWgZ;l^Kz8$6l)|IqGs=s6&Us_SxQ_`rOO6bjTL5e^z=*>y%G8l zadG-pLrk9HQh~OLui`%xCtSY=GOoxVLNvdnc}PmWomLCDTRp4+5Km=ZOgQkykQapj zUnLsb3Aic!6_3dYDB$3;;L7cg!y#1vPZ=(fU6zoJ{=tTyXaSyp8dne`6M;8Tk&uu& z(-zYOTs%@hhAVEJcGxpk2u0CYq=CsEo~hFgaaKd8_9N3xLLo*sTL^_PIe8Yi+7U*I zzyPZP?;%LxhVvrl3PBWbpmuPA`$olrZG8ON9h~_XjgWwV8W4e#Ic>Uj@aujgkC?3p zD5}B1@k$mZ9MEN&?8$QjK!8U`)8uA9mD5v(!Z>9#1UmAT{8L^3#;k`kn812O#O~kC zw`}ca3qH6}$Q`W51-@&2Se1DYX%Ow{{31oo$@yZ;0slOkQ7Hx3g18lm+s{~yjqKZ7 zR+!u#g_~#p%Ep43&g%U@o@W)ra(tnut{y+F7J7Jjyb3(o)$;dANlB_^1%-tmJ31lh z3J_i)YZNep{nw7PcTi_TjL3Qr(@umgg+&YmLR!Fi4LOx}`UPNeYHe-pj+T5{I&zIO zog0hRH%98_Zy`BclpqozU_lms&&rdjWB;ln@~-k#Z-FIP4V>EN>Tlbys zL1aFvUV$^{Aa$;}l*5Vq4EuPj!SPq)gwfct{P9$>(vx8uUJN8iDH@VPP}D-3FR=k9 z%YvbeO72TBcVi59G>t!R=M_d8m<@`Y+6N(+NVSHRL@}y4+O^BGDgQKehwYA59+{M( z-#i~qN#c!!gOp;la$+L*Pj&aKco^f_!R@ViruRv^bal=8<UW^u z4ovgOnwFrGM@#I%Kx`3ZZ2}lBn^`F+#P=9zDD~h@n=aD@?@PDQT4i0G%rMSry1sHI zKpF#XNh9vdhBi7U7V}`G9MlZ>Dpz43s=;K|P+=JZtRY%jT0mTbNxTbv%=NO`w`w#IcT270ij*#PD4aR@_6i9J^0v|Eit{{@0`Gp& z`*`IYkk27iR>uK85B1s$u6E(O;EPm6mJ_AiBLvpHd*vQZ_;4+tnfvhlZQkcsJGC$6 z_Hc!XmZ{WKw0=9-e%uwUFe0bomth6fHl2X$q!H{`FpsF+?hGKA9$N_Yc)i9{q}#$T zrg7?yyw+gZjpBO?XYrc25@XOJ%~^*OiexaebRWWA&&FKok0IIOr$6bj$J}M(@UlFs zINz5=nGrvkem^};?t@Q>4~fYGNhHJ`H2@+4nRpdC?2snWn?0^?nIdT-{15 zog_>{ge))WMRtCQxqchvOz{_H5_1lET1Zh+Kv;IVEgF%RNCGU(Nhdn{f#vVG;aC&D z$mQP%OHLW|9yVsvuzj28?aMIT6E?*M7R@y7**>Q!{sb5G#DJW#wzf84NIvslg^S4m zFd?zB)p~T5S7AO^eFIIc(WQ44yP5Uf=c|#2Gd7-Gf^*)ClY{Y3nW%`MHE~j!!o)HidIw_i;p9hsdj9Y8B92$Nl zef=a-MTbL7y;-i2nCHt-+;EJ>0Fq+&!u-&FEDb6zEuK=#jWSp@l*+u9-23$$Nlz}qNznq5 zIrSv(X4Ea{CNiXzHq3rH8D^*2?@@N@X9EtfI+W*vq{=DhaS0Vsk^-K;X^cj|UIj%Yi{&m(A(aS}vn0_rCRz4xzo2 z87GCvd!ex-pBVn}_=Z=LXYJK?gK=;N+a^mDPnJaZMSC62j(o11r_mtO_48h$hKdALG6zr;($C9qsu>e;cRnkAGHD(5@|atFTJ#|K__G+n2px zx-V|7MkYi~4>T0=64U1wQ()UnJ5e(?&mq?G`Xv&NwnWaRB_4;RV)M{j7vIkX6je=+ zz)VN`^$N|Az=^m-0gJ$(-A{yp0eX?3MImo4YpHo^@WjbkZ}lA-ehmhpr`i;k#n0qB zPp1Et@>`_m0f3uoBLFGy_X8K0dv~QlF44-|3va|k?zTZ7%3QnDg&wVk7>Acyp`o-W zK&T%xN|-VYcU@S@zj1c?RLo;#PMSIvAWXDb>40FfN{(yQD16#g5C{onp38_D#>@Ketv$l6NFdHaG&a^2g;_JcsEeg3^G1s%}zxgOexqA+kqoJ zLqaJmqW!*g(&+xxKuGp`b1ji>$<@BUIx&$Ww`Kv*vNiyqg3Anq&OyAO!l)+?w zVIi7P2uUN)H$VpX#jsVEYXMZ=b#QPnm0yiWVA0czVINlM;U)SY#sQeel4(7gc6N6^ zr`V6=zKeCR{r0)80^Vf%R9DEtn;~=aa<2U4;d;V+U5e|rS+QN-V+x=*q|BpjVBWG1 zI}V3Jq>}Ww2G7r|jE%E7@{jM6J@{8r{>CcT5h zAs&maWr44+Z$^6hpmrW>@qS+^)`#)B5kzp9$C{Jme4nuNV!5u%w1%g=cbBo7NFOm% zd->|mw}Cx)@?MYQUKak_-}QKb!9$G?%6yG|S^pq*U*X8Nw!Qsb0mPE$pfN8-Sxk_G zw;Fro0SM)TS#$!0YyeX7iAa{gA7cVpGXK4rryMAc}q`Mzb09rwp2Y_GJ)+sXRN0xv4*>iM! z3{3I#=PJK!1m5h#y9qKfejiUTXHpV0hz6xF^r#88ZL@y>>4GaF1>qN%P}W}a>6~Xs z``z8(HU|fAwc`4@@4u@2+dV+I2(a$d(|Ev~2q+k=)U(_!g2W$1Pv9vP*oi8S@ny2y?|Ay zXi)qGlu>JJZq@^>gS&#OD=SpCDXCJ~;1KxhPXaY4GE%6XjgNaE+l{CeMyc*ffxDwH z{!Wa>%{832fxj`a5Jf|MwOP4X`G7~=wDso~6&GU018+nKO8?}e!-eL}jg11KI7M>m zQ@{>WP-nmG%5OHSqWP6~$NTCxt$%+Uy^5mOB>1hCsmb$%4k)7Qn&-4zvsGph=URv( z8Gtz&Amd*Xb*f%JzsL4{xV!kLXAB9Ik@MuRSjF$Xe@rRyH0;)}+^hSr-#Nf1 zkVeVCQwT0T0zTeEqst~Rgsdz((c`gnqYW0RXnv?dx2_ zkC?Q+=mYstn0#}xp|qOU?-Cs-TUP(C>0rtWsI+_7(pO869uvjSXJ6wn3xdJdk43 zYGmU7`Q8Z8Js_n97O>6YIl$Bx!Nu3}W&br;T@V?=6@u4;8l3)Ker_WdC&X!py-3lD z$VA-^K2($b(-UWKKg)MmfW*lp$Q<>IMlifOmW|B75h8))ukKd@FC^6l+v;>@0^G^a z0sn#tj}BE^7wGNC+oESOAuiiL`t=P!(xcqrA4IQ_8v`am{!TY~=Ooak}ygj6GsB~wlPsb`82%A~mR3Y;5v9X09p?;Xf^`? z(%^xge4wsz;%GG4DmNYvKmRH=!b5soJs(2bAEiwmW4T528e+_K84O!M)3__7^(LxCH{N@bk9MSm|8XCDdQOEv*E{*#+nQ^;Z~qnj`!8|{V@qOk0t z)f^1K09S)6`~(tt+V|=il?r>>@zF)!gGG+<49Kt{rHJ6c>Q;|q*v0?@=mD4Q`V6CB z_9-f)kMP_KVh-w6CDY-{DJtR!;bCF}G*7U-wdnJJb7_gH!@xL003g zcN4x{0I;Cb%1e2(m4*S1Q0H=q+k@bz++V1on3dqd0Rj~I2-@D&<)x)FjKBY*cP3iU zBe#h~^M#R4CPwveFo%Lzq)qibiLv*QA?%<+Bvou+tE14UB5Y|W)` zQqq7?6C*_9x<0vyOPbEkPEhLt_n$7lFxU&K#OXQU>LGrOZTe7DF6tIh$pp|JM@vS? zi}!e_#waxuU}D6hRY^a-D}jmOtWkw1WFySbf}=TjCx%)5aX@^|J6LU4mOfShX;Z6* zyw0(PaJD|O*aHY0fzZ%2LJw>42a~n>fk*@Op-6Q$)0dVxkVJ8`Dg~&OD!9U|9!7-k zYPg39p^@NP?6cX?^ULK6UDRAcEiN>o$2QA$-Wz}b@}Ad8VE7}MGs?`2$(8u8kYFJ( z)q}t*`v3TiCf2MmA3r)Tcfj!R)q_j1X(FE}!TS8yWJ%OI&uGZj_z)=p00==!!OXV% zS@jQ&7_@ny0{|yIIHd_d2^A0;dOiehOZ|xMZ=r{UJVUE`2_S6i{n}Har32bCi~}aG z+r#g%I*|srKxhLL%{O6*fpQe{_X8;1*-MCow(kJU9=>i25EcrX7DLIbY8kX|VY(VL zy5~B_H#hUZ>w|RUE+M#z0Iyb1&&a55HQ|lwr2#{PGGyWMKFLM)o*)3aqL%m#bO5D52sHr)ZSw4OSKE^QoB zi{5%C)r&iYcuK+MQ|ce}_)87~ly1ooW7vho<$1iWl{-R(Cmdv$@IcJ3zX;%}?aQIP zJUOI$M`MU3L zg!BE=*AP*e+0M^4*Y_81UN@63pwo1RVi6~UmSgZgy~53I+Y`)o}CVw9UBf`I;}Hj35%BIIFS6xoFofXhe`gcwy1_cx0EVI|3da zy5VmTu-Tv2mdpb?OWS0VXOq;lW>DTx_dE$!T}SGr-UJ2paSxdF&rTr=DYVI97kw zm&b88o$hCSHk+GxwZWVFTtn?8cnLa^?8K#_r;)rnQ z--iuCjpi%)%kxn4cOmb)iEeVS6Nh_dT;&I`UmX z{?1eY;_9oeXy{Mzkbma9#$x?)$l-32D#`RD{bH!7-X(W6Q4LqqUq^9o3p@xBCj)x| zt92x#KQgx@uIL)a%YjORK;eN{LK<;+S0qgE?;=6^;Qr1w#0+dj2SSs*!z`U~73RB` z=^W^10tNwc|G8`5_ryw>h(Sg@{^2Hls~f?g@*7mrAu~|PC3GtEh{D<5tfq~Y=9-97 z!MSmMSCYCy-4zWTCu9uMbF%QXH2ODJlZj>q}1F;zI*c;O{pGVHC89;LL4o=CLY# z)ngSbO{qQ|qH%imXH=dNtk9<**@}O%0>HEbXA}AtzzOhU=$|UxpY#F%vH9X3$|Vq%Su@1WqSNxJ63{ zD$&~6-HeVX@)iHjPuQfhEt3xwEqsuYzO3HCGBBF@(8|wj9P@&%RoOfBO?a(U3iuSn z`0=EW`{niLJPbP$?aXdFY#KSnI8D|ghw;*a6qU%OV~zQ9r^q{M@k+$+MbLaD2+q%v zRb;EGfTb0}$J5yIvJ2;-t^ zJZNtwXOK9{59gJBr61+}N0vvaIrldX? zRvS26l{GC>ncwi2-G8(4^|19f-{@qKS+q1|Ua!X;Ns|*k89eSLy{cxt@pbZD%}z@T z=_=jzAGvUW%MlHmIWM-(BMmKi(kiPSyG6QyFwXXzC3jZG-)0y8)%k9DiuTs*Q_(`_ zzstsu^1~VCyxc!j?^`MLdtE*3Poj5h9rX1+b4M?uy)dXt6l>{TY)X&+Lb4W0O1B`u zRUFVcJ(k>Q)vqNDnpE{SS~xm=#-hYcw{(*1Z-y1qy^eE&0SZc zJi}AU{i&yx=mqwj9DWIp#x0TR);ea~x9b|(k}*mDkyMH&nga-&+VeyiFGGZQbqW0B zvnd`k$LQUf$6NWRdv3yVw3Yu<@O~30i7|9zbx22=La)wxlwA3A%uVxwPR_+${YXP1 zoafOWDG$&`&O-Y{dgtw#MCS0C7UqZWMuwmLFRNBh_r0{pIgxIG*Sen*O%qR=sYxQn ziPsW(U!vAbi?)$LBS%@08LziZt}XU9a5c^<4rdLI_m$MU#fwhR4QQY89z_X`*(A8R zFblL*eG7e9pEBs+Tj5pHIkcbf&l(>tY^FB4yrG^tn>4dWy7_f5*6upP(>PL`C1&z) zfLS^{|9AJrpn+XdN|Fux=0f19T&PUx9g69M`9YD0d-=veut@!HW-h@5wLC%uCf_qU z9!9yS(48%}?WoQv3F@hlBc7%ps&xYEnx`|yhWJ_DnJNaS7Qfw1j<3-a7u^dZ4wqh@ zKg6wKDr~%|ctVwUOs|FNni+Pq|W_md6uEh7#pRfdVd?^2gyRZ z!?ceC!n5K>_GjM*mNPrKF*a}a9@v_@*H`)1RZQ3JwOG_i z4vHF?+6_M0H)v;K%Cpy31i*>o@=?A>>0Cs8x0y;rcL_(h1MD+3=+^Uhnx#ZuM%%>wJ&vN`j%TEo`1WG3idzN=gt7W1Y| zjG~U8g9gUHpS*dLX)wvS7Ur@)+UEy6jVSU@seVD=iXu8?6^)!};@}BmHF^)*HCOiy zr=F*PTgRtPpR2FFiG+UP9J`zqi#Iog{`$)qoZlwt+5{ig2OQtPz6q-2?|DVo{8d}Z zewUNuYdyB)rsd&#FITFkmY$WKWjKEYSXg`4Cy?%>=-zhX$M(%2pm$Q|BKDS}!SBOv z1!=sw$_lwJ6j8T79;&`{4s6G-d8$&opk+qhCre8{!6G3X`ctDXU!Aq_qbKQ}9S24z z(K|cTG-34z-*~6_sb+FDo!p_PD!Aqy zSx86)3qEdi62uLQuz$~=_`#pff!aT&MZev$Zq~kbc|&0TL`+d&+0Xyt^0FfqU-N>4 zGg%oB@pY9%Fvgd&sSP>Ju@NFC6mbu!f)#x+UWZ)kkwJv1F=5d0S>cyjcah}?x}9$> zdVAlS{GP6Y3ucQ<-EQXsulC!vqZT`cHWz4)cYD- zVARuj7YZJ?3(<`)ahAx`nH{iiIPIXm$rui>v}+#)@zLQWaca}CLQngSd};yBsF`je zL#WjXk;~e54t(@untw#IAHg||{Rjui`lo|KY}|E2#zho=x47Bn#oabbQdIr)uT9$% zyPm=aZT@NTqNt4ntHv$IW}|5LBf$x$E35nZnfb;hv<891^rf1e(K_-$YrUkcS`s62 zG{NbMOP6|d8_l$xk7M;gyczgK)B6989VoY75$bSTKx z2lEp`a8sXx$+xgXbTxJ4ACaIsN^6SOeXS@`H&!3_#irydQm*ZBT5q|5t za~7e-X>njkz;(@YUj3dKcwykRpnDPLT750S5&#_*@<$z2Nwhxn_R02-D)e$OXw~%3 z5fz%R_UPBhn(v;4VqAG8bm=dzDjwnQs4xjdP{oz9c(X@5!L1eKeY|JYGowF6qRBC5OXdCZ>9Fh=JP+?zk{7nw-E2C`6R{kl8Hy^+ zlEz#MQO$(n7u-rN9x;tRHx~}{_5X6YzqRE1lf5fNEQee@Ob1I|h`ZFcfaBkn5RKriVn>PJE z>=!nRmJzRIB1TMES|Dr@0$6MCPn*fw6fB2IgXlwa;jBd+Qei0%=805z93f7_#nSO- zYI*CxS3m2d8#o$=&L2OXo*DA5E+f-Yh;ma>N+PY74e7pA7z{u#N1a3p7N%K4f6mvV ztB*8ia{>9O($p%5jg!eQ%V0*<3OZhl-~v z#K&D3jCYl-SfxM8N)1Ze#~2*VWql93*NbAOA^B&c$eByHv1C^>F%<>;e2O^0+hfB4` zFgs(~HT`lVY!p-Mm=aM`f0u?X+S4+y{DGgNI!tsyBD49Ug^*S1_mP2O+#ncvuHsM# zQ=c_LV%wLN9-fyLsFI(thhyos+AwP-T~%CFE>D)m!X;*^&Tpt)Go=5K|F?bMlQ4ZV z_~Ex0@*e`7S91on8F*oTpYmCrbZXK4YBD`Y)yr8thV4POh+kf{_WDRBIAnvYT0BNd z7KIA?&!CZWk*;dRt;}1*FN0nR4vqTApu{J7wutMe<2S$7rl9N$z8#3}Xzd&;2=xp; zRmb5m49GG1F*@L1)KVeQNH`HbtGBLbBvYhQpxNB5|LSMAmVWMmu=}?8WA1QW2vmG9 zY2qlF@%UW_lkn~-KOg*7YB#-Zt%<~;e}h}`6a6u&B$I9xjq9kFJ$=yAtM6k5Ygc3p z!|%x!9d}S>Qc;{`SXq|0Zbn8{`zGnTXhUs1Fn6|yTZV6xWTH@4zU5yPZzCVR+eXXA z6aOQvSBbF(XzcIGY^^-!_QVg$DA%adE@J16=D6r@&^%^xu)&^UpHqArLw= zrbzAI9hU+}ThpPS+jdKEFoJIrzza#Bc(?O1U+8-wP1e(?hs-a(ezyy!dHlEw6Mpu# zJ`Q<5MM3&BcmP&WWMJ@>Yv+DBp6P`vsAoz&mY(atDW|~wnf$*`Bhc1BdgEOja?Usd zzCdbWyuPaVGdFY|Cb>UT-eJ*sYk})VmQ$tpRIrHqH4_4Yk6ZM{gv<+76`6@!c!c-E zzA6Pd##mnp%3tYmJfqB0MI!#61HoCBB7vrCl>lQ%x+QZEf8@Gw{Ha(uujL74+VsAl z(Xg=OKH4`7uIP%Fm{?5?JB~FjPQ|7n#T1k@yp0EiT>okYOlo6zmLu|use>IO#V`Ts z_IdqGS8pB^SGos#Q?dmV=jTU8-^L2IJcC^~LOpV>%Y~-!P+bGQ4k(Kmv*74CiVhp+ zRR#PbCTn^<47$tvd1WI018JPEvwxL#dLJq{y^swo6`^<#XAmTjk9@mAoiohdXJ*l7 z&WhcT0B;vEueALu-%JdSdt+BI_rbrn{Db^BY`ib!n(FV=?^2rzLH~RmK9I1zFNgQoQNY^>f-ls-cZM&#O(FgIEDfgn;X5HW1pvPdR~z-r~M%*MPkfr zq-SLhO)f9=%?>PuXXFj{s3@CLq~qW+p7@Z~_Wvt*60cL0K9N5baZwq+3a)~#gd==tI)m+XC=j|k4vLWsCPriK*PvD9*8_0WdrJJ#0w z?<0Jp+gSu*x&cGhe@-TjzK8IROK0{n)WK317wc~=O%+9y%nvXmX?mrWsAxOix==kl zp~}}v)s-yALlV^bLw)23)2GZbtuvHx9ff!yno`suc$c}c zSZ|?1g9TYbU_e(z&&C#i6VL=vg6P7kE2fMQvZ+9I+G80VqX1!wwL&F6m{~_783o<` zmdWX^-|W>g$KyY8yed)Fqb?Gr`nO%Jb-U0ed^a*B%mRb6sJcE#rQhdspC;cWX%a%$ zhG5M|QW6D)nkMm6O4ARgW0m<*`vv`5br*D?JUO06<{|K7BkOFRpja#8Sw{_VNq)Y~ z-P+h9{!Sae8*5+5)aGq@bw~Y~gv?;IZ(7&2-9gIi{>#p%!SOuEf{P?|0NJ`lx||UN zx|pv*h4>oSKf~<|#@q2Cfl+itvKQdVb#sk65=xX2@8=N7-ysyH33=7ttjZKBiIVay zS~nl)Rwrau)uhE7GmgVrV;xq1jIprxHc@QL?<_QOFmt4uFS2Kl9<8M%)h3Mb9uB0p z7779@oXk*S36pa)21`jq7#J=@;`v_KomS0%_qP-Qb%aHlZsYK+msuijaYN1X866%I zTS5{xAERRsgTN0ct=FD{BKAUL~q zV2MRne4ZAxP+cjQeLX(MDG&^8mv$ou!7t@twvj*B$dLkrZ`9W_pu|mC-ZKtUxY61z zz$kl|J>X00C@T`h%DYsTe%{!_gmo4xtA6v3`HI1SZEx}t2GRhk)osBA;70TjB zJkUCrJ1`;PtEYwCJmqRVun`<03c8?|JN3l&52G=l3~q9Yi19B%POC9Cp5hc=fgiku zV^p-wa`2v#BozMCaa7qCfwtcXQY^!7 z?+!9W8%@`)tPWKoJ)M^8g?@^-@;ntQLf~8(GU--UDf4p?IN7)?xAOOXqt~usht6#M z^G(fskENKLDdMO0HMj+mhSNtO{eO8biLU07~7 z%gzI0xN*256jj`8SaFm9pMC_WjsIFk6%9GRcdz|@Jd)e^5h?bni5}S=9@)oNB-T?Q zSjscKq(5HyBfsB!OSYSHhwbV6v%+c|mJo6z(ro78S z-!H$}e=i_2d%h=t?e8?zv7=fg6y;-2nyeA$i9&L2q%|jTHF8_Z`-Wd0gYV5inun>= z=nq;AOQfcy7pn~%`-(^ULsJpue%iow=q@`-5XFjai=D4qo0UcSuz9Q0N=YN5c5Vw5K&P+%(F|rgJVDmgnAwCv-IfWU30kw&jVcn^%w_?$ox623|vmEFvGw(Z*MkR zXHN;!R0@<#YoR|on_(#$&jVzmfcq**%sP@~Zw?plKoyIFg99}+wXYeKe~d|BI9FQs z9~O-oC^^H_psH8&4#6Ycs z#zAm7(y+-jti5(>Z}CH#e_@^ z8TOebn&$$+|HIZdKi2sLZw3w8*jB^Fwi+8vlQg#N#!i}~v8~3o(b%?a-q`!5pZ)Ic z5Bm??d)_&7W}e~mE#swQ&b+AX*nbqa0>0|M3Qm6%03CRd+uqU=5_vI7-&-+_(!^-ix5(`P)x>r z0pKft9g?COfKJr8!NJ6Ycr3wGrB@#NKprqovY}W~Ju#fspK_i(6Ci+;2ot3O-1~(IG5KNY zHtz-_9e*L7k>uY^WwXeSe*l^*qe;d6pq27vCe>Ufb42}8Vz;PO$^DweC8&7HJdsIU zb{U6j4rTPvz9RE8s;(DYzX^Q1cf|UKGeqEe>jb+T+zy;aIJzOa(r3nZhZCn0Gdb-( zkDdUlK^z@fU2cH|DVUg;tf!}^Won?Ic$#nR^u1=_K(@@7Jb8w}Noontejm}^CjDLK9 z&721fp}b2Xgf=8!=|?h_8?SR^N7QP%MTGJbM;O33RO%>q5=4|#Gd%U64QF9MyB;ZM zI%Cr^Lv>h}sHyLf+z9+)UFTFAVQuB~8!jp+NF-xO3`y#FAlCPZ$)+ACJn3EtLP$ z5fplJ!E#)@cbmMfar<3!qd4f92=aEt_YtwS=^PATWe1Qy6JE4L$~gBJmjJH-t#ywj z>)%QHG0BHkvG?!!0DO;XzAej{d-%4ORDULqWye7&kb^@;L(5hE3ADQaeP?qv;d`yX zWY5h7z$Tc}0-jtrT^^-2TjzrzXrvpY#{psbX(H`~bEz`eX|Zb2<9Aw`c5uK6>5lZH z63G_k2pSw7LPdE|PiN6fI8yA~y8I7Mo~22Sm}S$Hy$KJ|b(JFx0-r~)n;)u8WrV3p z!NL1Ekg7~O*Nwq;ZzRdR$kLFF*R^EPCa{vIdP9?UEP@5K)%jOP%OKvMe+C!_h(4rf zNy1fiV2&jxj8^Nt--h2N7spS_;N^}s0c}wabiY2Zd%QM#nX|OCtTiSQrPKY!9>_J} zjPkto!82WNfF8Rk^U>h)=___S7Lcj=Th6<>{V4B@xia;W0YO#aw}>-slY?%~9%zm` z8oTioBDB41#DdS15`G2zrNM6%lj1{jfmw?16@nW*yDf(B+;ooW=chL`b><2w#0%{? zsemt!RhM#Pa&JAQmyvBTV)A4ITh#xlcs7)w_*+BMWYj@1I&-v|lK}N0%AMpbNzdy& zi_ciChwJTN_@7kiI7}#{`Wx3~mw{p7ZXE)`%O$IwWrN#jLZz)%<0-nW6T_4*11S@o z$|u;v%dBsm%J9kZVJ$1^4{!UMgSyhw&Acdp`J5d*a97eq3f(+J1Ue(soA6Op_7e+`dQhV;Au}Pr$Y2*K@%u-QbVUdQ<%@j7YscN$4vv|0nIdILR(mE zG?~u$7$9zpsU|+WV;VBR#ztLoIHQym$l;_60UJD;9S?KXaZN{p;&Ql6ebn(zCJii zq#w(8{14mY;V&&G(6U&W-ja%RofyDWti#bJ&?;&wCn6#uHV5nvsfgbOW`C@#=mv!C z%2V>xl#VS2@}PcLw=`X2UV>xJCbY|-%)u|&aodL_xY`}KI1jdb&zQzf>DVibKjeQ6!*#&-M{f1a*K)5`?sPaYe*XrZo4i7osfRA~%l7oa*e+~hUWRb8#fgT%9>QV{Vv1=V{H}n)StF5xooZ(7Q z@VOx~6mL|~mv`_X!ze%}$b7H&PS~iv9s+o`NJ2g-o%ueo1^NDOnrs3!6bp+I#65qH zyKCXz7fyJ3wTByl`u~8PQiZ0%sZwPgl$bnNEFqa$TuSFw(Ke#6ytz3RZu(IRv#H!b z?Jwl5gjV`azc8?2W?;Qk${2r4vo}@`ABMwS|1r1Xt`{5TaOBQ8!_QauI4G$c5mB?o z(PjSR1Mw_N@KKf*OO&26szfK5iDJdsA6bVw^kb7vOd=)l(sJmHi{3~7&4A&oa@aa{ zujij3>+%1Y@z_Jl03amIA}DrXIsQ3nA#qlL!CJ$8g;U1obRA+6$_xJ|u~$`~R?WR` zm1v&8vo2wuozYcsr3^#o&F*t#L)3ox&Z!rwb6j%AFgwS zld;|P+@AB)J|>z>d!F>-um%IKa;j@fnw8}5NrpfUBu8}A;@huTaP!JoakZM7g#;rk ze43965^E$Q6b}F1XTG1BIrtF3m~K`!p+L|9XKZK%3@%^K0GK1NzPG^hUk1HQTxmh_ATOc}yW7R7<4lzYQ7cy& z=U_&g9-WH8axBAsrRtlI%{!xq(Mkj))CL$9r#BB#Q?2I7fMbVhE}znCl*fg3FtXc0 zQJ8Z5ffVek7{#}LFHQ#Dz|=PbFYAti4=R9rkjTWucykPxO5*ppXC$=%dhRzhr!@5i zS^u|J2lxh|=YxZU9W#n&z1jI_mh9U;x-X-vkTh4E7_j(4ScJ3(gpY@6Y~m47oc@%$ zZ8db;b{9?#KOPS|h&9RSa>L! z7<%fXc<_y0tT6%Dq0%V){QMA!_;~?RBYJOu+a>38_@LM|NxAJch}aDzLg-%g&&$|t0;J5jUXc>>L?0B92qo>KKu8|g^mYaf48*1K;m2>vW%rVkz! zxO(Ni@h+-|IUum%U1YhaTOM%f7x(7my3KuRtYkqW<;(BUOd{N8W7z~*Hj8Fvior?P zefu2hg=hTlP|D|)m57F{1s+uLcUirf2aWJv>QGwqf(>=LErf115G`~ga|V2l>edSZ z<}2FI!YTxjM>wCJF?gKWPTQgmY6=LxHAh()x_s~EPF91*qO<@Zh z>yyfq@4Pe2bExW8k^N{4!$KMB#n`DD7cLLN|TuQ3m! zT@|D;o;o!&nN{*F2RZN$f73yyGQxvEA>`$W?hRvjFVtFoDFdEXyi#y`CR6k)Qiv9X)HAA6Df5~^nKp|J>Z6sjNphUx)9or{r_7uIuJfu6fBydJ)^)M&H3CE4zGL|H#z<)2EW+ ziQ8F^yYpL9ffw|f z9tueRNgCK%T36*e)z?{7PxHw#SoiDzD$!g3bEvMXpr&3NbR%=8?4<~!6Fb|GOEbnT z>fnVz$QFMt?cu*+V*Go&lGk>%DqR8Y^TbK4z|vA|56BZ!I-yhfWAcC1nD3%qbQ8K1 zf+Ty+ZwJLYi|j(}1809E)mS1fWefqGgcj6WO8!tdC?~auoQ2ZD2382AD?46%oIwcG zEgY5)@)GZ?nx8ppt#5-&w2TibtZjNt^F$H!j99Xc%sihoQ+f6^7MSFZPOgI>qe5(j zFrRpXGW#x0V#5$uM1)kn!_A~Q(OUVI+SdgFMlT5lBmnf{x}-XgLu7H3DMM|H`;v#e zQ9CoH@ybbksPoA&R-T7AmkeSVCqwyccTt%G_CK&1a)2R z&GoF2AI92`#nah+GKG9FM`H%J@%e(;dLBZ^{paL*ZpuFth!Pe%j}p}8>qe`p3^E>0 z9l$&ODJr~LER!|T4l~;#pMuzlUXxDHMVU_Ng}m8=o)_}dlHa7G!7@UE!i%&{TlIO$6>p0(|WOiHfrm}iS;Au$g2`bV>eR@$ps@-PY zv5+~v9)fCkJNVoy`IXld)RR?rad8n4@L^K+faA3+8Sqd>2ntvmFg^)jr(oQW&k(wB z6Bt>1f_&{~hnch5MNWl4=q;xsNaORDOV64BI|i;Xq>w7c$K;!LAcvzijr`h*A0_N4}umafApATk4EOnYw@m!+BlUHkuYXXW`Yp zLowLwLK$kR zO;I603uQNw*mr+3nNS-k;Ykio&+5HzC-5?Bmi(tS7?%RwyIM}*u_O#pvo{K3E9(mW zQNURTRx-Q<@d*N8Ywx9P04L|5e^!=#d(S#g3p>-<@~5nP-XSCb58$OB`xWK=(N$`| z;TGqh;AEqTfQZYp3!p*Smm?(xHc}5?i@IhqK-6VVuV%CG1Esb(b<2- z#?!rnb*o3*97#EtULpdtanwjLHlsTn>zSchKU>}kEbVH}v%|b&= zugMBf1xk15b}o?npZ>UoFcu&M(x;OW-|Ttm_=}t4=9KAaqXQbq(Fy?UrSH@eL>8>m z>+3q2G|=JRO2)FeH7HJIUKaIuvvW61oN!FCQ_kJm1US=io+-~ee;%2+io#zP6$Idz zZW5v#6h*FlsoqAR#d-{#={iZMY^Nt70O(uRky-iZT$^h{)-d@l&2P1xrP>&>N2&zx zf#L^1NZ8Ne(gzG$e(Li%z@kB57JJK}%y2LUAZ5m!5B<8m9;bH0g9onRtuJ6uXZ)Of z%v$lq=hh|#I?BXE!G5!`fCD?sD5$fN@7hL;RGA#D{LZo!eHwZl>uLf5IvlS|>-E4! zq7w60Yl$l?Id?vx*a!Uo0RIE~#v&0rN-gia)B*2|Fi<8H;C{MUc`@zGHt}E4W?YU& zrvK_-%Fib~4C~1U6+d5ku)EvapHfy<2JC`rRDs7;U9#MX7yZ~C(c>)(QtEeX>Btz2 zHdev^)CS>)zmYV}Tw>r5CW??8d|IHaxA%sl^(hErYnSkkR=fGr5lWF=Qy?{lfv9sH zP{6bTyrr@oibcQeEB&LwIjJikQQVv-D5} ze1ZJtb4B@y{4t@FTEgdNKVdT;JGrqK4^iNa-DgV$D`2Kh)%l=GOhS;5EIAw;*46`C zC*F6sS@dSv<&949!wcH^fKD7a*cOGY;wigIIcMe}wB|c~PJz~{5%vX)N1H(Y)a-e& zgC_9i3jeV%R~*T^2OBOoNimaMh-OY8o3}UDT?o0@M>xoKDe`J|=1N&Q`DNKD+va-i&raok10kk>*sCBNTr!DZM z-gZmOfwAf|$G%5P$li3w7#Q)cb)DXfEc@v7xR;a_AqMS|be+?D^$Rrgp4S^vqw1D- zv<08X$gh%le>AvMIw>3LMf``D2TE`S#VZdGxgG`2yrK#Oc3v<*NCAGr*$c3h)g0JA zU7Z)p+)h4-$%3m_4YRuF|7N!MKC1|_^88ZP3}9RUI$Kz=Lr-Q)O0^KE?1wNup25&U^EoDWw6HC)R8s>*_vs=jBfB_xxeH=@l#1eu38 z^iyA`4;A%iSZ75AT2{rc3YNsmRasY~V;@%tTpCW#ZlfOF5}rooT(8zn={anihkmUO zb7=KgV0a$E6?W^Vnq->$=%*`IVmQpJ98iZfFwy)Pw~Sby2(*^mrz3!lBYEmWWL&cy z4BNLw9QABJF$fr$V=*Wc<79>`p&WwhY`Fxs{4#2lY}$P zVxG9>n59649*Q|Q-rjlD=}^5s*)};OxmL8k=j0Xo5j~eoyppsMAVof#vTeQ33m|K{ zj;7zXgRV(N8(6cJAMmnnm~a<})(_MJYwv_XFk6}RXAi4h3J9<0_a3FzMt!YCEy+?} zT^c$HYYi!pn6I~eohQHQs{Hhuf91{s6I$?#&k$Hgv{gD@^p_bcuws*rZ|Ib1@^+rd zX{kz*g-x?Y2TeHUKQ`p?` zK39+cj^lFLg$swiXmHxw$Yb`VOi!s_NJBQv`dHng#Ec35wE+RmMmxNpfambSO8XN1 zQ=rf!$_y5A>{lZc?FXg>xQ%5|Ok`x@SG)w!)OuBfBW_nMoTSF4L zsyte9B1&`E1ZlvQg#1H^DwT)tw8|nWOPs7j!K46T#&uZ#$da}L-cJqoTeTTypr_42J8d&2_4oN;=D^S=SumLa-aVBaQ}7A& zCt)y*JjJm03;&$e?vn&h9d;Zybk2Bj}i*SfMZn$gT+fsz>C5`(XWvTdJDcuoO2jW^uUjJdFK2oo=30!v|3a$Bo zOiR)$&F?qGf^`kQw`u{y^ec$`lTYlL-(q(qCzGT&pn#_z*DCIH3*|xjt)tFHshm2$ z)q9U!DH&se3$=PwqZIcT3{n!ZV=B<6G&MMTvmDuNa(<2D148}^aPhlj5qOFy#1Cv z;9o#wByjhF?=MO{7zpf_URqqdjL)~m`38Ja^GgL0MS|~CUW?O$*D=ICqJWBypbrK9 z)kSZoCwK%HUUT70fThbUK^BJ@%q=BCSBJ#*MBH!EebSTo331L4dAcR=EZoJV5(F;W zmPRI=HKj;^m;yo}CXx|6i1Knqby(2G0=qrzQY0Urj=B3Kc#(3L_JW$tqDIb!{ateo z;yljabW8nXvYwLy+&_(aF;?@EnSw=tb=yS)JLlV{R4TOT?7xuQuBpcMf?*gVafmUw zH+H<3TtS7B2c0BDy1Kg{CAQfWF=QcH_KsCDEE9RGIXf!ruJKgzo_XtDf z6Vd!)LDELmV$6!y*2@{nAzwT#=y|`SQ@k4w6xbUmLsN?$$n~Y^ z>1j5k58o1Zj*h57(tF>Yp`5uPNVC>y4Z!xJ!hy=mh0olD4815xTQY@mTteJrp#t0YVLgxT-DS=#bbmj_DmHIbO#F z@1E{9s~v0;vf1Ee?@!ZsPJV^FjPNDQps|#?LQH&A6tZ5spr&S8xu<@@oZ`UeHMeWz=@fjh zO3$P3bPU0)B!g3;V@glAVEaWjf%LF7uiJ;Y4jU30+oo|RzBJSP3n1?t;X(%FbEaGy z5{0b_2%qgNq?*=ZYE*;OaB}zjnDmG+bol z&JymkE~dygyr;cC5B)y92TM{OhMGUH&)L=fEHoQdMUR2CCAmOCxkJ`cPBZjc(0~a8 z>qSdXMbGLG8GFk2x?=BRX{RB)EZpqlz!Z3=`c;fjBVg{ox(^MhQjcL#v7OkLf27L3 zcSTokDOvt_Ru={imuOQpey*X_&b^95pFIdNBzAlzH?QXh<6%Vrdrou_$$Ys{VtfA- z#{l$p!Qzw`bJp{&Vo05h7gDuUZ<|{C(CJtkzMq^(s%9P*l;6wLXV=!WlWG&_G>SER ze!-obCipIIWzj(2Fqkgw8i4@Ib-V{8K zf>nd)LT5y^{xfg8uXIT>WRg{Lnd{x>HT@>iCWeV!O6+D(;m{vf=K>zpjbiP7qXHjV z=LeUMKg>ovR7fM1BXbGr3Q8e{khJ7!5Q?ZnoYK{CW^$S=yY<3&u?EBj$ihw2Vg*LM zCC7ELI`Y)_)p+#4xqe3RVSTs!*$N>0OQ2r2&2 zH|O0jfL))%v1&P2r_TnS@z~rhyAzq+sf=`Yam z^_1&k{x*Sqe*9vYJd%h8f>k!=#@OM!Yy7-#ZdUt*?aDFD$+j7=-Utsp{mm93VICRg z^sk(d-duG{;Ll>#iWZp?YP?(}xzi7&3V&S954_ZV%q2&~qaJg%kzymwYid?*qNdQw z*N=buHS){=1vHNHnovf(gD2=;ub$vei=b?V&SuQ0y%}IZ+TjdVk{2^{vs$Vk&$wCo zgfLG4XBpnW=iBLBaxpNrmNhX;G!fSjjDQ_kEV>7X6pghs?UoA)LzbF~OEgOK8ldgU z1Me(mV+j8eCRr)Ne$cF5zFzxip_%LAI^wpzL^yS-c1;j?E-tFV9n!=*t zLTb(lyJmmdcJv1wyGy3w>KuLe#34i1W~&aS0dkL0jgy~|CTMNivNv+90-3zs97an` z4cjZ*kEdvwRy6t>XF909mFa`d#;N}_b?mz%`Cjo+kf%D!sG^k4 z=P9Q0ONdQQ4U8sBX|GduyjPOL9@C;tlVbQG*LI8U1Y$|*$(Vfxdse+_g6owYZrnXdCCH_z;-Mt~HwmnBJ+xa81*!JJl2DghwGJYRPK9 z43}C?r@>1wP<|_2jOhGIznQCL(1-8M4LXSR9n)*W_v85i^J`uOEQVu*mYwK88{>PM ztz_pVi<_EhmF*)b&OL-$C}jht8WicfDDp6;bY}DIIp% zBPfN8&PXL=`sN%J(9*cwk8`@K*w#*zZ27KfMR+_Ux(md|a2Q|_(ZhepjO zPfKt1VL3(?M$?tPyX*MLayow$;4|!z=tjlI$8Ve;kp4*~RAuO;3$gfthA_Kd9l69l z59Jm?qeEJUIC(Og-&zyO<}`3EU6|@ap8K{f<=$M$xE*ri-jj|E`&!kh^s|rkEy{^j zKc|lUg56&y@Ml;B|C2slcwHexgK7a`NS`B3UX{PRj)XT|o>Gqw4@8id-WNL8V=iBh znwlg{jN5M02+!HM^cjXd!2iCR!5myLG$f29H<`6dTITMy&hM&8jz2&!q3>!2G10-G zJMx^yir*TkrucL{J#KjNF+Xke#-!CS^bRU%qyw?%0R{sGy%{5$4D}8(*bd(H3u8nJ z6-gZ=K$;L5ZLFuq^z%aZj17cZ4p%igum@4?)qAEUtd?RovD0!5g=|-y^Iou*uzEi)A`q|twAsY!s^@g++9!|d5M77^xw#Ktr-FHS#!yo*L^~y{ zKUxjBi89SF=BKa0USqbg#COD$FgSKiq1fH%SL57wt5!eR!En*NVFjwe(IMTz4s1Nh zD1p=2z!+QCEk6-|cJU$Hxxz!(vri-~b^pO=gwh*;-o+=c>+qx(S++h(Hyt zkIgd;s4d);5FI3>nvH$fc^Unvx7(Wt=;yr-X1nj3`JPYcZV{WRMBJ zK$@scM7D%nZU>n~1|JV=CHAz3m1U7z9|Fy$Ar8K=*68v%d$6Hp+uh{t*i(4Jx5US) z*3V?EcPSR2Fijd<;Ib1hjblh>Y!7Ag+ra>Q;NcB;PGSN5K=4qkfEcpx&0ulFOph51 zS#XW>XTZMKa+@dyosMc7a0)SHy3o9KpBRXgO{##WqWX(8BeLm<$vb4%reM4(Mc7sG z1I$N{kK`q59Sm5{>^BJ}GZl>>F{gyIcW{3U7a(B4a0_9|`Awk4)IjqVp<=-o{%3dQ zQ_xF*!F}961dK-3wD@kDa+H3)-0fwk3CpFS%hZ>92zF(|t_o8nO;@w4-$Hez1@*Sb z%3Wq$G3hcj*Q2g#hWWjTx|Avmh&j4LHn&rcnrN?7z1hX}H8{UWPe^RALr$aqZLXe%L3FKB8=?h9=3f zR;H+I8y#M*xVzeLhJ)rW3$J*ZS8|oo!jL<9Y5x3+z3O<&1V>fu)9>C*9Is?G+8a|r z{FlsTc|^uX%^!oJ2ZV;wo8%5>;J{o%zG7 zbT5Ol{bp>0IK%Y3^HFZ0Ph^JtKVb5>M3}dC?!;KGJWog`fN+UNh=l{Vu(r#;~qND)J5y$%#2&08G z>->Ci>dsiL_R7_;|39)F)i-eqFbb{_=L-IXNdw<)-h81GzK@qlAEUMDH;Cn$DbwdF zxYoKky$q{0AKf0XLNJ?l078S;O0H2%JdRFZxL_HC<)~~a;}XBsocQ44t#nLfMnCTH z#F9=^`5lNTKFTJTh<3su6s5pg;?T#p~7YA4gA#aQ%$ za3|OC=*U?}t2lHk@b~i_gKBcXwjFiqW7lLkL+2{+r78--pZjCq|GQcEtz9s;mvC_t zyXoFF81W*e$Vz8pyEi_4uwoI306( ztpW8Gmt-aZB1nZP9B}5kL)?=7+MGG)hk&01y#;!UG`j@>l}D}PEJ=e`wvqNiJJ#=D zJ>@SE?b|8jthUCMCxdo77{kA;1$nz29z?8_-}Gr$$644{acz=2GFIx=M>Lz1(iaEsNf!{Gq}>`>mdqGPjS;d;Av@`d3EX? zXR!jN;<%ig{w^}pJLqi(Jj4ThgKJ>l4th+fgrL)AXR+osWP~18figK2@yb(2cs?9V zR-!0>VswfA8I6JFL(~YBS_hio#hmuRDwnaJb2A5`i@sywcyW_NH#w6Uou5gI5+JzV zsHg!f3He(s&hxt|Fr(~6zcwU+KbMI`P&&j*t`Z+Xx#m;c^KMi+RjGnr4{9pnGo^n> zVuNu{xlvPt=`mdZ@DGc<;~yeec*yOYi zw%=uUqf&-lZW8N2VqVYlFU0-y(y-EsnR44TNS-Pq@UW8&o4n=edqM6d? z7@0|zL+W8n5fu{m?_z^f5?u=?%So$T5A^K{X1R$Tln557yrLqpHDAjeyK*AVQFASh zm!Q;K+S>-N&oEg$Hf%dXF|GI5oYY%>H^37776#JNJ{YPDAxmJfqg39nty%7^{W>ALV3WG53HxC~edifR_K3xew`J=gy^ z$w#p(zY9MUuDRY;Tv{{uV-0_&V2Jxl^ZtPO`Tz_u-9@ncmo9FnLNY-N&@=nzNNO+3 zmZ*Xoyd_3Ow&cI;BcUl3kvX-o2e}-NN5N47R}Y)CZVvFG+@|#O|7=gcLqc>ZxA?j+ zY+GLhxR9cuYM92s6?vS8ihwzQRX&M^NzB?igpN?Lm|BPy+W-6}jqZ~4yKj?Hsg>a( zg035Is+>bxIxRap*PC^T4i>BGf#QA$M5{_K_WaU^37G z^ZHQuHKnfakCBBCge*8N*moGJf~I?e09!hI?p{$vcy z6_NFj#0hy=kuytr;Op3(j}6sB6&Y;y8SO=5V`fIyH7D!4@3$GCb6>y{$cq$AO+p-t zR#!9wZZjp!V$_x|g%;`d2LJP9J9aGx?xsyAKg22gQ#C28gM&n0pGMIz{Y9#lC}7gi zg*%bet!vrdHB%cI+1x^%q{^6s#wT^X3#NHR+ zG*PoQ#rAf0LSz{(4b&EtbfS!{^dI`e@|=>@d!lB6-w(c6vnU^Au%E{Qa8z)WyPBaD z+&7m5cM5lF0%BW5S(G4YYi1$qz3^eGmE=1QOtzbP%in~j@e-u(m-0(U{1gE}KMbx6 z#c>;sSDY&5cP*mG0rW$wuzrS#5pu2}zsQ?Q+}FV!@hP0^znSod9dc*<9xEcSJj2=d zggeFB2Z-qVeyEzsgnQRYRNL^6j)+DXCj53XwQ}mFTm8+yA2F8a3&&yr#@r4EPu~lZ z(_U>b1aW(}f+$%AyCNBDGh#EAyoTWEJ0==)xPZ#WdSmTI;+AyqYKZ9%(fmp`U9y1?9 z?_el*v7lVsSwDV^jAgmXQNtnPWI*QqhIqx+teSuV1LI(eOWZ4ynrFSglh5Itg)t9A z%X#Z!_n8Vq@WwkTB9O(22+M&bJ}wiQmU|NG9H7kE23UvhQ}hpAZ~p1xR@UUO@&k)eJtfU z8*M&b7{4vX)yae)v#w~TrHbCKll3)k5PK};kR%t$P{<{-T(P$E0^|LR_NCLNw0syM z7$@ku6|*$(s(KSXlI5beY!-2Y14RDgNn~hiP%YiiEdF1FNetA!e5!bfXqGjz*cnsF zHw}a}s<{cX=Y!xr$A6E{E{r$ZMeh)WEy5o5)YGCI?PW8Zh;m%5H~IDA15|Z#k;1*7 z*B2)EgxS8MwC0|-Y2}{rMD)QRuB$VHl}^aWH3zMx(L&CU3`njMrYH z7D(b%D&3^mVq0(5OEO}&VKIKV*#Z+znwx6ddOI`2FMdh~F2y78dV2vBzYXJFPi^jr zY8LXF`Mq61g`0~a#6T%QK!(5iNm1^Plfshp;rD;3Lu^nJgHO{d298bR2S((LeOk&a zsOu#+u}+FYd~+lr)5k&VwA0L(j@8P;z^NDXP`n%Ek@6L@G#cTtzFgfEd8=>z7!%95 zK}#%s;j8^iQOB*j=82`kU#`+_$+NF7Zt-3;Dg$dJ*KvTog<|dZNNfjl&~j7% z`c}r+6}?D`eboT>dj$cqp*xd(!ibHML@f@As>pnqH{DcwV8Hv$K3;OAzxju@gil5) zc#60C!RyN2wMc;6ButDame>iN-Mn5F>=#;}t&PIA`q-Kdy#_4JbE-0&*9ES_R-^m1 zO>Va2cl}@In86yLh<7aD*B>wZ7*V%vNvNpmz%kpW0)D+Fa7??T_roa9FBC`L8KEL3 zowdAJ=-XNK;?d>N%!>h62wn2o}{>*-(_|=80DdNS|_GA zjYd5+oYUInw2G-~%{W~%iyUHinch=fEa&oNJm{Ul$~+XU_2i|D5W~=n;nVzf|FA7> zAwyR5ouLsrp>6TYG5HkUJx`Llth1^uKeze=rVpxJe*p>l3Z@D5lul8heULuai*Gvn zBSt_Bm!v36p;Dwj19GYpX35cUxG~LGs%Jw6mX8hr^b8Nz@=x(hbue<(2eDABlH~Jl ztf)``gI9LthIR6_v@@&}ytgxK{G6+18x(pV0BeT42FL!u2(QcO$~BLXm7H}B-cWf? zvV)v#Mi57q#VL+nG0Ed`w6|A5p$xUe#_NBgXhrlFesWV;fe}m~#uEWI+6qx-z!&m= z5DpRBdnAz~3Tk7B!ml#9m;vcRuSZye<%1D`FGcAzrYvbIp}#$1(Q+2noyOa;;m{kk z_6mK1bX04Wa)6#T8ZwZWNqk{%eTa}5j7~}Lcs7~eRZ-y56)lh`s4B}I`p{1UDmul= zGzGs=NuBv&rs=8JJ+wC~MTLRoc9@%koZ|92n;GGfpZU8flO{QJLEd~zpyb4kt+u{_ z(UaF?_C~|p!^vv76Ty3BY2hOgM;W)a&id=tPy$eWyh>8jICe8;S-)d*T!ozoxR&7v zw4QU`Jfio7(5=tFfnhUdkm3L4kzmpkxKCYiLBlooxg@qFWN$0mJxGiQ_ie;!>uNi8 zYn7sdn~84JWDTex-AnLsV2PV|bF!td%pWw-p4eIDK9h%CS3yyYebX%51h6aB3L@W1 zU=IFd?kbfVgPSv08&^=wisF_XI*l!hOM6eWBwtfOi%HBq=L^+4+(9pk8Ta&ECNprQPWgu5JzTh*yJnI_z4M(HnF6Zs2`&$S!e@vqu z7p%?_)D)0{VFtQfL)-<~<1}NLJ(7=nrKhts8ve8>nMt(cI4)PbqN}~CVxgY&vJ7p> zbJJj%qc%vq?;~tnQ{a@z@&arug#8kJ*LX(YY`%TTH)kzpfq0f?(vWe^krl%X)&i{} zq{C1&+$nkzSFEcDX*_GlZ}QqF)4^8J_gf?B$49Y56~*VL3#ftBWuFsK3z0`NUk`Rt zrkfjsTy{*RHrAZaxo+Wy;%ee-yS#M@s>Zpf>I&irn9ICR-y!o)2`qbOzGs)vuJx4? z^Ve{B`FBXj1?|@>+EA2`V350KeV5-u`M(c-k&ulGvy;R5PRdW@;a-;`daL-Ai~bei2YAN5AmIK;Nh)PG=Z*4kA0o)^ zqHj|&6noiSYdpEm#0}s=6#h~G^~-1mXRlKxny}VCIcnJk?s|1Zrt9>T;W=ABrv&^S zqRJ5L!F@3#jsINIqqJEcwDB$3UaM|Vs6DDhRh+%~S?e%Blwh4)Y<{i|uKLWwJ@#;w z&iKA_(+7iYWyAs$<7|;T@`c0c_v26oUbfw~dOVwk8+C~5y1y0g9pcxvFaE(UKYb?H z0$Nd_l6!DWYp6=V*Y4e(IRcYim%Tx2jy^4$oYVhrIUkqb(r>z9;NCtV^qO7axn-xalmRPFFG zT~*r$fBrV#RN7pP#vMPXY(;FF#Bjm7{f)Z$4T|p}G-o}#(j>FIcnIUB<5oHQR_5() z=%YS8AHDk~ntAgXBVyA0j;Gp#3SAR}fh!rC*jwbu4&uR zxx?vO#SZ2dN+8YIrycTtvz#$<`1$XhDv|&Ia=f%o_wUp=Ucv|x^CT>kI&OMVH!Hlp zJ@E+m+@hyuBvxri#UaICg#KNIlMgHVSNV_)eny(kN|DzhKp1M05?`w3pmMcGvs9Nl zIn|grRB_N7k{q8k^>aR$IxOrON}(s>B{v*ciFICcA3EyL4tBNY2T#DjJ)PT=rj)mr z-j~Vw+aT%QOM~-cbQji78H(A0FYTPmmBo}zI$Lu1w2moM@UvvR-Pa zA7*!cBX=Y8U~%p@BDeT9wa8zLf{Dtux|pT>5_z{J-5)^cQYkc0-!rP0%Hu1d-A}8f z-mDep>y@@=DcFqXsY~KE-HdU^E+7T(l!yKoTkpW0X%lpd#a#&tiKz1t5Ls6llz4K?S$huJ(_LlAoZY@NZH@a+M?|%W5rE9A%fQYm+Sq1+12Ea z(AY1pbK#5Uzp$%drR#4V^cw6YS9=l5{8zYkEj31fUwk_~l-DY8VnHu0&$@i0JPgTzGy>%7JivARBLC6ZW&~8Uq80V;pEOiGRL> zWT-DYlefxJ#uj}h=wJ>t#D-MyplK`wYBu}o>6(r_AJ8zCz(q~l)dxEkp}j+M3t-wr z5FlA|3f_i0WvNg&X!c5KV};B7gGXp|fa0ToX%_bVDfVyHl}Jw=D}~N&C>pd6E1rjK zK-qtOD-7+i|NMu(s#91a3!_umAqCX_?^v~-hMNe?48^e@Jk%%!Azu-kgI$&*3G$@K z9pys(8KrstYrU5RD+@uBN~Zp%R%b^I>bqV6I!tV@`pf9R4DPBl9t$B&Ft~}sR#~7; zB&F4oZPXE%?E3l1p(=FucdPz|(eo~5?f01`GgGGomtd%0MzQtpSl5m>@}?aePpqBa zu=wis$PG`H!fABsowl91RxY1$6|h)9f$%#ABeG8s{LB#-SH$=2H)c}{M#}bG)tx1j zT3K((`u>1@_wf8Hfa9*rvXzA9!K=hgBP?mPQ#*ZWC6BXU)GM8B)#0UpG_p>DI7?t_ zJ)Nf$kh!kvOREaSPh2>r)dUZ(WSEudXD#l&UAMhKtt_@gq5tt7v?*P3VdZ}o;@^yK zJq08GiTy=iJ2{zo6x5?byzPvnX{+uE#>51GpJ&mj_pyI#J9+yQ{lOm%-GN^sbo>FS zuJf9ory8oo&BZb>*5Jw3{2c1iidbu&&|)uZ`|Y3#oR)I!!V0GE|6cC-6X{Jb zHoD6HN4C&1g(}yg%nv_fpGq^ttRgGgop()&>`Y`pHuUIb$54JSu;n|i``kYY=X7tz zwPm3(C-?FqS6ArAaYVO|+24z9*e7}t*P+nWPAHhOHFHmPQBiO{aNth=GAbB%l0qV? z$SIDDzK#p}&Nb_Xtl`&h;dI&8nJiiMjI^n)742y0CD4v4ir&LK(HzF2FC5hONXLi| z4VPR@R(+`9Fri6uHX8+e_loK@qnx^LW2%J_iRnn_1TP1`X_hjg{ab;%JS*TDn_?%s zGR~X?_#VR-h{J`SGqYEc2Uv+DFHNZIB5ExMuoJJfKE83JQ9#a`EkDUcY+-|!41o48 z+zswsa^1L$V;}20uNxUZ?;;t1qZa7t2SIsX7tQbZ$V1v*J_{?lKRSG`PRqo687@G+ zoS=N;6y8fV2tAz7C#>w2!+cl2h>S)DYuz`nbK7Y_{sK|x_#UP>esvYVvYM*!npz@n zXPMYpM`Pb|Q2ZX+F|HKM_GSZRBu-f*XIG?6dinWshgS46W=!;iIs=)K0Bb>kRHyIG zzGr+CR`#(^yWb+$eJ0%(r1J9HpJGRe%=FgS#Nb7$K=%vyj z*+RM~3$r^WOx&#&*U8A}N~E{JTfM#RpyL2qTq_<>&0ygfJXbh&i-y<#fD#L%!aOEP z6u-G%UcCRPm=yq<15bBY#p1xagc;1qcs&+*V)VizIGhlTTo}P>7$Oa^zLw$)kX48j zJHgK26EA=i?a(S*t$eFpS-)m;)|1FK0BUS0j@qBTnR&wu0z3i_6h>0i_3yWeqqG&_ zXriqv_vw$hgoWT~5sr};|eC=jeHh5<-$1L+v|4QF&<14AfcL* z$BvJ$-1 z^;7+>IKWjetGVuatb1z8J6cN1D^1wtt()2#v3F8-OKHE4rtjlfnpM;x`vvA+hu_WV zzui{kwtX^4{c!u^&6~hP$KZ*R!ZVNG#DZ<7NLx(g=^7PuSxyMBh>?1{bcrkvufY#Y z-_?>s)S9Wwnvj&Oh*eErE?Zw+&b8Dl*^9hACJFuU==0Wl{XkzuzLO@=A_>F2Y5lNn z1Cr1sbjqz49~16Rl#1yMmYzo0TejnnJfjugi%UygXp*!Ysu_!!R~O$sq>?6NGW#L{ z-=FnisjGf&>(Yn|p{R9)WJt6RQ-I>Zq4zg?U*qrtf{>C{x8rr`wCU*`jxJ%IHNb zH=7hL9+vjk(A2tbROV-Ag-W_*Zc>O|K zrW1D%?puOCZcQd33_Q{nN;dL0YUJX{K$Ca=sz;~215;;=r5MXO*gIhGlI1R26EIt| zn+FWjFJNI>Ky8CJm-mSNu|O_u6~g~{sQJO?Dp;Kd=U=&c&F>XWL%?iw_PCuD7E5^A72NCZ2hp)qM17%6QB_^I`nAyZZkI92PtQp+m5nthP89WFJqt9a|@P zWA^fJY?wS7ysD7+IX@{i`F9A~0$(-Vd30^YjT1^MV? zDXfJNQ*PF0`*G{6qX*)T`^lM?s^7__RW2nA&;64DuQTus;kH{$inS%OOIlBkWo z&le49!h~SfWn_~I&Zc&G;7`Y4Azq;5n$H!&vLDS|Ku*&+IvA_wmy zqXY4zdr$SHvNme8<7Zc012WHN24OwQsfUj;E;l{VvvxxPlbiswKkd|jq2N@%p<-tK z@W_iu$JbK}dUTB%;uZ1GAwt!_n&YwGV5yr=33OwuX1MCjbxjtE*R?rxTBtf5v{ntZ z!QGI?Eg{5yQRJUkMH7aieX32??PY5vd-u11s1P2w>Qj?DAO8KLSPY|5RySq>pYf3m z%6$%EqIzdP51t9nZ@87hWdCr-IDPFA=%Jm9QHK`Cii@CGT=KI>oWxf0@Auyp>vL6B zccWGfMB1*M?M|$AcPrQj6Defw*pU<-x5I+L_Tq{kJ^O!G07hjECF24oWyk>_k(_!A zTbTp~D+#PkL5-=f<}8EH)~}8Bv1RHKioCYI4gPl#anY4eIpf&o*J#5QODN!g6~bEZ z)sBt17s0{_MoE!K47qKOl`py~fHQTWs4FNJngz8*5};W8A&2QX(c7etOB7vzP)Tao za5GfY%4&kxV3$L9_S{uU0}rdy`xR*UXic+}sa!m<__J7?)@+yf?}w8ntgi`$+Lr#& zi+6v>^0yMRU{Kzg?R$A`6_Hx)cKJjehcj?A2b5~&CBiI5K9L3Qc55~7D5+Kxjst!t zNxU+eqsN47)@T@{9wXq6x|T&u95gxa$@hEC9+u2#l-V#=*j0hLcsFYqolr*T`4YxPg;`E>YIf7d{oS29P$p7Psl;GvBUk1h+xk zis+qx3&EW>5h3{au{60`<`>cLIjR%v(N!pZo>NY3m?bLB4Fv^7vYXb2b_-m1()2 z{uBG0`7po5Jny2hiU9i={x3)g5filus5X0=Vu#Qp<$W6W0w%P3dml}ffmcb$jn!UO zGW;I$i$6 zfFU$vMm(rqI^d4(tHi){{YColRRtc>QQ+F!vsTed(s92Cl*=iY3)c=?u@FkYP46gSS z|92w=`elA^?x90};rQ8Oylt}TxQgg=`U8wOn!G;Ap@wF`kuvJH*1s-1;`|OtM+tn! zBt(teGp)cjf_coIyuMLGB~~U%InbC`<{frZE1qx^F|o8EO4I_@*bMdX>uM%BjkH#g zQnUQKGtS0wf1dyFRllRoDmfWOX7h~4>f5*ZvAqpktQ(eUCU5)bfDS<6S(N+e6?Yrc z9Gg2ljLU;GfAcJ;d+vXJ9_@c_u(0TRD8$}pzdT&exjjFuPzmB{+vzBw`#TI9iaD9; zhp6cO3a4UMLBIXj{UR2K`)0DzN}J4YpjwZS;i8(o`275|b`NtwY^V3_F^lA4#m$!C zq9$IqQRvNc9O`nA_{K-~OMv;$(NfKFZkKhR$flZQGfe?fwIJ(+#U6`>q8;Mwv7<Yjc1Zlbk)W zG6|bPP7)ReWRXHyal#?{U_ZxS79XVGSgni=^4eil`~HChc$X>VF<}!slxGkM(-d^1 zqvSmq0%ww-6FJB!H&+|6Qi*fcasY!pSK}}sYA3aeRwT%?nDWCdN^)HsqrOm;{|V+| zNT+5VDe*sofQ#iU9wwjdISamOmfa#2T(RZ9iiANBja6GWx{ns~LrN1(~XX+354Z7^i^Lq63L%t1ZI1cB5W! zIkE>`L7UsyKqr`3Tn3ggm5d)c-c?(5YRlP^*k#+BNkF;|vI2Z9Ov_d{v>uQWet+5z z`-(_IStdbomUJC$C%2rh!GfPTqh|E&ASXE)m_MhW7OX!(FjYwiuobvQERzV z6noZi(*D=Co7np`Sv-D?aykbfVk#c71q+80?rs=&iflsgkJ(jmPOi4N!LTj&UY_Qtu%Kw$lFQ%{urzXnC^c%0fLl zWsM&NpTa~``_l6-uR0_1yG)C>q&@H`1qT{VDW&WlP!V8#UMqU3mKMZP#Ee&UIHa{~ zzJ>4yM~I_?AkOm2S10(jLR+be!7k1t`JeBec*%7E9>X7wsK2;Y#!O$YcMdZ#%T3aR z;`B#UJ%oWct;UQ8DQy9H0ESwhMMvBMH#91#5m;+1Ks|4)L~3eoeQ^KH)5xqNk>-^5 z^YUTS6BC0SzRpTBagk#r=a>YXpqF_CY3XV}bHVImXjj8!=oOwOX~1XsTmmyb1R$y$ zS-GAl?N6e*#{c&RJMO(NgTFB@n@+?A!KmF#ijJ(qZCA*K;56pn{xaXc@i_y@oIXyv zhn+f4#**AKDx=Sb702rWEosq+CImu&`vwoGo-|>q5g#gi&kAr!>CZPCMEBLXc)ba2 zc(XQI2=lrP+NW`st35SZIJskP#I;)A+gnllid~BIGhN~1u8*9AN;xx&UH2$G3vcI< zH~M8{2!cHW-B8=ESwiXzUp@1(CJt`vWEP%S2885d|Q6%cr)I03=G zAX55=VkFUa8tL=rl2+ZSV0p*+Ju7Aly@67yvCbPlTeqpek1kWG5PSijPdD9VR@($D z2nT$Ls}rlqKZUKXmXS7t6PL!JiEGcS#4FX?&vG^SYV6E~uS=Q7YAMSTTdBWPLX=@r zianHXZnM|C43^JzYp{{tR{Mz#6lWV{Nn<+}!5zZ0%GABoR{P^?(%d+{wuT#Y90tP@bLW#ZXA6zGw+c7_r9gGhD&Z#z_hxcYyb^IpugPG`~TiLb# z&*u-g13JQ^DOf-FknHoXc|E|zT-TP-8!WCOxwiJTnK0U}EqncikxEkF4VgZ-1m$(b zk6@;!O=GK~k4I^!H(53~#dQ56LF->?hH)}b4oM}s=jzGvY;_IXC^HVHm&5YLSHDxM zzMCZmP}c&w_SExG0o6RDqJ(%gb<8=WPkF{_)rJ3Cd_3$QM^}=k`m#?g-C7l)8i`rc z!!u|pr5Alxf&7u{MNhYlhF4oMN@uL@Qfq_xc=jvvU)Umv6Zv#6!tkhS1$qhUs=5%) zmidzBzdQ#ZFcr*MMAH>(TeEeKCBp9IbTuV*xQ5AazbO7J2pOp*JzjvmuHsrGBv~Gc*ER#;TVTJYvHa} zf;=64IEy8th;JwVyR-Xf0Rmu~%lfI}y7>LdqW7$bJSI9tKs3|w7A0Duj@M-oL}kSQ%yTJeVgIsI$s8=4MaFqg{keT#|nYs6HpSc<9#iiOY1sTwYk)?N7e~M?Pjnt@IPb+R#hUJSZx<&j=Zr$QXia;fyO@~R)*Fe8+~=VAuf6+ zx8CC33AFtaA#+XTI$mE6^h1m0p|CC^zu((`ph%gsLG3?P-oExB3_|Sp;#>$vuY`|7(GIfYlZxSq>~&D&+~EX2!g5Oh~t`*Y1167M;{Tu8$V~s z;JpH}y<0_;TlYJxi0%BCCI)sFYG_3eL=~{&Lzp?|fUIN8rW%^ki40I3z2#i2=UG+B zBC(-R`K5weki&6|6?`5A8eK?(UCtS^S`{?b-}LzuaT8>NZfY0xTRt+&V;xrz?D}qx zDv8T@@e6$=_ntDEoxFQwv*OJL!;|dkI|NOdRK%bPG9_L4`>%L)4^XCc<&dREA5SwE z9T%a4B6m#c{x~e69;e{Ri$poc{P@aJ*`ibg(j){51f+AgZBkhY0QCWFTFZ}MG^0(t z2$t*>ZNf7()vPK)o_FFRAfs3b?%rF4iBON0I0l*ND#;J%#Dp&du7QB~h_;`Dk#t7J zj}$G$MPv_#O|pP<3A`;x$6YKxYX9zho#!NxREd){uYtK$rCdmeHx`zBvs8trH{Zcu zcQWgIJ*?*1-O9-9pMbJ&v;b9lCTBoU_uwuHH{JqTme`#k!jk1MinekzW)$I9Wh6Oq z#FO{LD_k=(H}@T{j?$pl>d&WJEWvq7g)YH~$lx+m(XjH6S$e!YSc>xuvYpp#>%WAJ z_xcW?LQFKNBcqLgYDewjA&@<+nrZqT@a;yNCW$d{euH) z9Ckn+G``oOEe-}&JsP#_L+;ffGO}KRkfwWKd7V~pTJ86qh{B9a`)_H4wLObg#X#ft zm>;IhmYN0S0mU(4tX-2eP)vMM2VjCq{*FTrR=SQY z0aJ69g7RK;5&G8cLfkVx+$D*e5sJLYqP)4h;)NV#X-`8_C7dGT;*Yi?kSJDub3@ir zNYalBA*qA_-cH}avp0oKcT_1`{g4JPKkVU*JdbtzalfCdOS3Py$m2Jv%9raKt&&1( zxp|GAzn%;`9tjPQ5@I2{`_^&^>d7!^qRhR+NgYrG#jG}~On6tN*E6v}w zE;nV8)Qs0kZuxuUdz2D-aDgMCe%N5-CKy_i2wx*fQ!J0nk3l_?3R7qEl@tSMQYkT< zZ|Kwp)I1k*q77=Y99(3MXJ$)TX9IA3TTgu$knletF7Xj%zX-@#izt#8V%wy$s-ZDK z=-2PNpm~&ekE;cylp(4AvBCo;ly0H!Ce1kWmvXRV1{jk&5r?}5Jl2*uDrewdZeY27 zsyUJS4wgc6xW9C|Yf&(k3}%5x6ipsUs%f?H&+aRKMQvwiXd~0a-YW80vgFhI&zUF# zAxWsgp0VJb2UngS3F>l+fmhnHuy+9XjUweQvmShY{$HwTq=Qq{lwRuKBMl~0x5>IK zEV#WrR=(a%+xwwdztq9YBsQU&fXwACqMIqjN4OK}#CeQP1ZKKHrB^08y5SgIpgtsU zLCenk?f@S2^3s{6rqcQp*cE?V>U{S@)XBEKV1|W(;P?GzsS!;?64bDW)X@I85t(O@ zr$wzv;rr0zjPjj0Rkk~Nw~*|glmFrQI4L;vT5*oZ)ps&TYjTo1=U1`GTE<)cT$Joi zoy9MI{0{kE6E<#iBBRJsOu>A`eRQq{0J$BnzF#}tmF)`ojP7Q94=w}DoS|gnoqb;u zFOObP``5WH`(vQhHjPV9;w$?NV?b6{e5zShbNI79ba1P{MNfmlz4vnbdgdMK^<0#Z zvf62Mp+|1uKmz^W?6pvP_rEp(BDOpk3MGP+@ptGHeYBds!50^P_WcNfp#UVSo_(r7 zMEpzK83+p5|Cs6j-YJEJ9V#DD>J(%+Wq{dG-?ZG8`K$jK$Q!VQaCqY&=T6x5EUB4) zA=gjHtKeH(Mhg}VTmJ;Fg4RMO@}P5&(8EQT6rfbk&@x%A3j%;Xjf6+tNn|(o zb4yy~?=3i=%lhmm=3UgO?$*)ow@sF5UhirV6NqK-c~JI8D%MgA7Tq4|wM-yZurg3Z zP30v!AJrL2(ObPU*xXE`iS1Y&di=>{p4@osEMh8BB=WrD6*T;t{O0+~xm^Lk+m30c zyx4Lwc@i{4a^yJ5xP%jUJcz*%SfOze#Y||Sa*!KRL1OyrPcU?4@KSq#(C?J>{m$k4*vKZkngST62*7y)^ug4>l+4yhLs=&c=Zcjs!)mu;ZWtf~&@z^u_ar+eJJn)dn< zo83sYFBw@MfaKC*-etDP{Yw@5x#(>#bP@bl(3oyN-V{cEzOE*&TKv0BkREP+Quo_@ z+d<$6(Y*l)VecNI;y#VmQ-3iWV1^N)ATkJR3@wVDr@TonFk4u>=e3zwDFpT)dL_vJ zkG<}jlfe~uLGh9O4I{%FDTom-fUV+kL^0FjYN(%30lcPCC*&`PiWiTRfGSQB?E0B_ zoGq_tc=8biz3f|Cu$k`^YlO}WAXY@V^>q0!j8q)CtD)d!?4UGqrUTAS2X?=Kq){ImO9r}4@uubaus#y26}~S zV=i4$jr&ha7)xk-+O@Z!R4pD=nzrB^JFm_+(Ekn=N~_{aa(ltG{8By9}|nmz!T zk{j;#Id2~q4(3z!P%^wh3Wuh!fg)E@aNB%q$4==iI>4o)Wlvjn{es`t)bJ0#$5o+V za#e(<%f$iunEnzZ3BnIYCbo$h#GKb3UsJwB9lJ(8&t)323JKlIQ3nXmvi%&*s1DqG zsl2+ad+&wgUMc&LNg>{Pre&A6I@Wl`LJCj8tMY(!#J9F=HMXWc1&ub}N}huH1(B81VVa$ZQlaq_tsU z?oIWE3$U@6$)eP|QqwypXVdk(Z}W^X`%b28Ca0&J$+pA-;UBcL4CN=$|8#&%#xFk6 ziT^v-&jHumqc zGfU0(alq03vuu@mB)md}J`N#`aejxt8r7^TG{%1ITek^QD}i4hlNf|GdaypCjdPTt zpHQzHwKnH~2hiJY(H8=BuuA!bwAR`!pdvLhfw+Ffc}e*z7^Gaq<1AZk7<-BrKV*>7 zl%4#m6T1sqr~0Ugu^Qhmd~KwS=_pJ5%IFDDYBqwuxSvvWpeP!p5+S@!iWKx8(xi%T z=Piu?&1XyUJ@k70OW%>R2qV^mp#l&P*gFKJVvF01cYza}fiWzG)GE|bVCFBKIvpPQ z361p#yFH1S4DQ^s2T_6p(dWwnRJSB2mdk@DOfv^mfhCv(voa>H)}T71>PG~z(Y|`^ z?BFZCwuY`}{@8OEDNhw}WQVBf=g$QKeIv_i)=LGu{%_yJhS|KQm_zmFVbJe+=XBQp7^q&dedkf^(P5gI`Q0NZ+6 zEMA0~8onrlBm(GqI_(R0K~NoiR>fRL6Jx5U%Fz2~J_LR6)|>7QfRaD^zQslP_U`#P za0I^Br&N?UHL$;EIMD4n0T*RIF*~y~k!pF2slXJYv0ACAF{vw5+S;tfT5%HrfE&9& zBD-ztjT8QUWf1hG;I+SmVCoyb>U^M!o3WEZwi?7}(tPEnZw6|89rOX{WZkLs?jlPE z)-r{LsDWQWgCI0Q;$MA-1bC^(z;7c17t!84%HUvQ38K)$|Cc-r5%q@&)f!7&-~0t_ zki1G+KZ`#1rOHXjYRT?62hnI}A+>9|NqS-MCk7%NXGhBy5G4F?hcGWU5s9vR_7MEr zB%_HLKgxs5=^_FDE2jtEV|X2Y*AHlGTzi?FtOtVQcED9b^nwt_$9*blA62hId&E;? z*h79ud+!wc+UF>Iab(%*qXX~`Xy)>-WZZEPpr1)jbe8=Y`u6^fe-Ls`tDd^Pw^+Ws zW$c~8w)e!u=1*`565(iMy1l7bY@mHUgt*O7EX>aZB_GXN_gsDN-$k(Y0<0L4u3*13 z{&9_eQBQ(QR}kZg*H`81hG!0ZB-K#Q^-nc?3%r36Y1iQ(@OcMgwWVGUlj)9?VyA+5YPQZd4F3gtm|&0O&Gan5c7b;SHm*7+xF6o z)~X;k5kz^tAR*KtTW~_rEAg2pmJL)hw8LP6OG9?NSNqYGI{4Fm9Gu)nrSg~Ah5MiM z<%xq~vvQT|CQ?`p?T{Va1O-+&GE6bjDwnAUqsqF1nE&@4taS}~#G3c;>6|91+x|mf zS#&&G%eRitIUMgD{C!-Ic+q_UdR}%`)60rWTNT3e$f3EwCSw3FIktIb2&fN<_^)U{5> z%*9n1`?lvm3@Q08yC$OPb-Sj$iWIBx#nu|=Q|0Dd31zIB1QOVx4ifds<6?As9F%xjHzQ2ThTuyS$$U5o9BlB5JowUp+viNu_m#q=Tub3BClr zX!IwB$J#&V=nCsjkQktAzwG%6Id;>rGtd*2yW4biOb3o_fQw&M_HL$SkPZGI3lR+C z*ZI8;D5v@q8VRja0Z{U_BczXQ`dtCsJoCq4Gh4p3PMUly4z#nraK-1mMOj9&E7Hnn}zI*)l%7a46ViK+B2;i*ny_hE2wkBE9pN3vJJIs z3;S_9hdnj^em-pvhx0Z&xv2kOEu$y$K`C(m=U4$mihxOSGf00g9sF^P*syu(g7@X? zo72q+dBjEF;KwCj1+2aCu5PE2fvJneX4_VSDSld(^T0b-^X~`U-An9;QJ_9i18M~31HZadKjMk%KEri00`x3)*9Y~I@K z*I3vR&TWs)As4~iht>eB3T8b2|1iRTmKP^UHd)&R7WHS=?Y!FBiV$^^bRTUJnjvDl zPip-b@=r3vILNFebiGSJRLd4X`$TRFQ130Y%9#3r)}=1cg5079a|wLn2Vq^+X{~Pod-X zQ%;egy{rQ$N+tM;=pZZb%97Q91_aHnWoxBEiVZ{31MHXJ|Mn3xWY5{Xuvvvr!|rl+ z(f1E}gg}a&;=J`2A~uEy1VD_hUM}~(a)8=`?Ce< z>1_0|iOb+5*&1sdwNFWLxo4k965P&ogAru6tZyfAJ$iq>a8RUMkdCaNVh!1?aR>zmGsOx%^!;X`{{r34WQyPCL)uIgF_U zNk)>EukR~M6%t+R`cuXdJ=6bwATw;kOs)__r)e>;Do*72zon}Ok-K>(8ZXG}-IM=N zM5vkPbANW5xyYBAf5_ySc+`-%ZS3mN!Z>z(Lp9yeCb!Zq}+Qoms70Yx! z%<{wHcsgY0?IOt}ZT}Y0={`q6UJ34ad(<3|=>a%{PXBL=Y(I+Zt{s0X53ld&2{OD| zO-%Eg%1iJFP}$Inj9)d(;}(`Y*%KiL-ZVI;w|M$_Fw(=cOKap{K~%ZF2E)aP-VXkE zlqHr*@&bRD>tQqc4N{@dUAU}X?XZQoB(s47qwjW+y>MIy^dAC`|9r7*X8E{p9ecY! z*jXPwr!dYP!u>UhVh3IE6TS>~tb>kZTf)dRHoFi6maza#v;z*!zM|6?hs|lB|0=~l zy;Vt&BzCmiGrpe)3NZ7JzR3mzn?tQ|@g8bxzza`rHM#u;q)5P$>f3-Pk}r7Mqo|4H zWM4Dc6NfxcR7#I(4s@7MJns1pg(fKI+u6{-^m@V9$RJLa*hiNz+TJfTD>@~!Kq3#0 z6KihfvY_8j@q_3uayYTa|8ZdApJ1qd0h(-BlQZ3SOs#MA1jug*qIQZ5m${JCvqK#a zi>_z-XI8T`1?I0paJsvthMg&;ZPQ$YJEMp6+xIsymO9-G5O8I%c%G?i#!KX7OtATL zRgvU{Pl)0t6@Y#JDqJyIPBdl$YBfY3Q@Ci4&3?03^eQQQ!w0stIgjAi6Fi~c-nW-H zV%NOx9&0Xz1t;}`$gvsu=YZs`1@ z17>@>H1KwFmpwE@e!|tA6`&7wbc1XE`4iC6YaE(_R`G6=tN2RbiSZcYN!5%Ox5O7! zSf0Y8u&7h>!#@vmdJJkI-cR3ETmrCwpVoMC197y-s5ap}YwD7J6c*!vl01;vGWaU^xmTI7YKVd$(ET%ptwQw zL=XV1H|!5EsZmIYp1a)aGmh{36fyl;dO0|qy**a>KQD^^lg{vwOWU->lvIB?qUX( z$ksUbufwdM=@faqJuB+WZ$}Ak&#sMy3L)^L&e`v^PL#IH27#|eJEKsg=$uSq*_LP~ zQ)}cA#wR+NCu>c}aTGteae0~u^9ytwl4KvLaW$HTByuSlnTmUXDL9da zH!T`LBX5o5XCftXCal#}kPNEnKVAkdZ#_0NP;|6dHUS{O&^Ey95;o!x2qL7OdF=g| zG;%B8FR{3#hWRApEg}R{UJ(LfZH3ylC;e&CmWW_&0rErcn9Z9{!`UFUOd04mh z9s=`7bT+m%Hf~e3)3VOF|2`BK_u{)*9thO8Tz^)8%2{3-LZb{&jw zmy_q>;Cb)On_>6@r$qQBUp;WHBC0fFFhe7PABz8P*U^AjgB4ANPK?T9hcAIqbxcfZ zQQNjVcovVDW0B(_(Xun?O$$XQ^S|~UV0Kb{hct1qDU?Dj`nfk|fps9A_;@=ND3yXH zT};jDV636WF@*d=>rb;dW+`np0N(Kxp{0l6NsIpT==W#0Od`pS!qWzfrQa(;36%3d6h1hZuRsvH|3TM*4IkxJ4)unPH$K>h_j0Q zLfW0gY`(?qiQ3g;99|*bwp40V8aUzo?*Hut5I>n1Bdmf)uxJ9Meleb;K8@j3UPZb& zO@=H4<_$xOPQp*w0F(Aeo^%V}G~=|_zzWNLvZmYPfZ5fP0YB}mOmfZD__S=d{b{?o zyJ@|uA3G*?NLhP^c_mL^li$b7j(d(IcHo8g&&cd7-%Qkm!%-um%!@O_nD3vMb-mZ6 zH#2lsab5*Ch#`M!W|2^`dO+x!0Hwc+Ajrw-EFYUOUEU4tpO=X%#t47~v*3G;KRJ*p z1oeTVoWc|*L7Tx;NW|{ME-=pJ)pXcqCT_o)JD+~)SNI$ud)esaWaK|QX>ucOB| zarK6nHk2fBI!VRo8*tv6Hp1yrdJL{ZEkgFMrz3J-nj-#r31L3N;O}}8n*~&Tn^4pn z`a8e3jFdxP-r?3c&MU5=*-6&FM^1Mdg4sujcAqq^d%*vjZ)|=1cwBz?7)WN6sKw=0 zBypNziCa6E8KIQuL))k*-%BZcYZKDMJg1rhu|s>+K>codPW4HFS%7tmWOa_&*fuHY zzFPR0o$G4xWc4Cc!tB%C;O;pTkSGZ+Kp3{hzOjD}r+gPT`UI}My>q`Vd*=xo28^^h zUCwDIYwda$SY^u=P#BTpXcd_J0Gq`F5^!QJ+FHw1I!Zww!n|#vjPUlF!QI*s#>kFc zlXS&SC-Vf2bfJ`)5^tcIS2}lT6Rl{4=Hj&E^CNipT5LD50mYlT5r**S7AUT+DkI|& zSAELUz}*RgEd4;@Y)0sjLi|=mqysqzl|J=VF$*kolGXMOBBM>7;C9EwSzNzIeBtAW zs5+fDm&b`y0QGPR=jjwIcKIU!oFx%5 ziX1tZlG~h%uS=%D!E4+v3*G&Z*SqNNj3hsRqVaa_WoZ{;=t9|-wCwFA%+4+fc5%=~ z6Ty0maNMF+X2wGQ87At#3HN+g_2ckQR0U#x{(Rbh4e6K>BaJh2u8@@s+)1QfswG8} z>5bSw6t>=tCyp$)lwl@I;A)eqY)!_B$^R0Z&0&BRt=Iy(d?lZwLEwLd&QQ1mEmOws zYZf8S&HmMAYlh~xgK@`-(+oOtMa|q_dypJ-u*P~wbZbD^8Fmom8#_n0sFxE>dw6n? z^xX4>v1{cG=;fB)J^Bi2{Y8<$YtwD;;<`D|RzArEfsV^a-)bteHN5X_jrwu91EKKk zJ^`((;ZM&XkEq{po)SwcHm;LWaF*6?Vu8&?Rw$vetuRvPla zfatuSmOD$PEUhrRrfzFsyw1P`772WyHYfvU00%=oF>+)nw_r#?7Q?LIvPCkyotoKN zOJMx!db+C?&K;Q>3o!A5fclPXw0BfI!U`XguYE2SRH2;I*WW)^qw$@#7WWa}qk@$?4$nmc)<0kMXA%x7j;B4jsRqmID zZ(A=LtyCS`rD(-G;F3X^q;1m;5~P-Sh;BFoJuPlJrrU_`;9qSZjlfN*n_<5^v5KTU zIA4Dt`ki~=UP=;?lHN4DgWvfCc3l_1&t=pgmpjR0EnD%O8-K$bh+4|67P+|&d{D?J zS&n*(@$5{1pwT0>_~Q*BVVwQaZ+8SY^Yrwrz^k)ZpvdNT6deqs6v`AX>Y2!s@KE0H zN{yhaHQ+sGO=Amf_~A!6FExu$l_85b9*?GP2n8<>a{TfLK1NNoG=(B^n| ze2MtvbGFYxe~TRFDKX$fbdk|c@kMOO-wC2C@9m!f>b01wN?&jcn!|_}D3vuI@4qG3 zr=?=8nKo_wevDn%^(6_@e$+e>rZI*zZ;yXqZ?#twE$40j4J!g6wbPz9c&x8E$d^Fw~7Xsd*0O*U5G z5m{I4ggvM4*1=X_lD5NF(o3RQ=+ca?%Ba@;g<%y$Q&W@k_~CQ`2eI$forOi&Ww!Og zCZP1y)g+s39YlByL_vX*_V@yOk}5tEi)ZkAXX_?cryGmFU^T*aP6U#I*%+5Ava9#;DmY zA6pCL^?W84a9c^5w7Qs?#lj*fKC3g40!&W!T&1`eFbZ}!bino)Jj0>G$j&nM)>iE{ zjuC$w@Jl5?tB&$6a(rMq_79FzlCIW^(G`=h0R@_V1jhq|ME&I5*~pQnGdQY?6H9Sp zPbepxM>M=ua)n|?K;o9j0hunULN!jq=wpzd$XdC(t`^7|4kP3LcOInT(O5jLRk5Ji zIXPj7gf&^BYAt<;-Pty>0=@JKQx?%HPEVorBncUG`Ak6S7+%``3<@(Q0cU%EcyOw# zIh{~S2RSqnrncp_ajy6##B0tST|Nm3_->QXJ!dw?Gr$-)`vXK>R)YR~8BJLzzDeIq z1}1nJt=&;ceu!r+DRr%Z6I=Y9$~HdFiE}w7q|KuJ`nXaBf+Meaj&mLnnWG6wKAg};6k4~}CR1Tei zC8QlWJVvL`&QAL|v0XckwQ|bgcbBde@ z4TNI^`ZeHa#hp$rGRcG|FC90vi8JxVlm6x7G3tqKwhZ1ia$27PZvL%Q!dUNV5r$)e zX4Ms4GnU!%U|(xr&7-{3NY<-b4|Lsh;W?wh8?nf;wSd8xx9A_0G{aQ824u3BbFaU9 zPdE3&9kqySos(p`lJ+xnZpF5hK5$u*+!x!n0jt;NE{kdz>GF7jfLFr?BinJ z_5}-DEdKoF_93(3iXSRY%;pD5Q+M$`cB|!3i0DPY z2KXa6MB{nHaK~A=U!!s<6ZjJ;7lRkqVcsN5KJwjjQ-WM45l&iTSns2&Ylx`9t1%!? z@S}>;4qC+kW#>j`qy>4lb|lVe>~OYQ5?B3P1$nZo-jRtc4^ow{iF%%=+yYwk@v|5= z*q^9qmu-hnVY{tRwGKGPjDYi>M_$hogu$R;?W-|vcAB(SoM8HDI%BrOUC5>^EnMu# z!X|Ja3s~W#Qwd84I2r(arcYA_TwlniCS=-@qRySRsu&Q%hMn_;iDcoPEXh0((+@E?G8wKhq& zgkU)zxOg+pxHls1;$-u;_yJi83j$!9Q{|f`8718q)6KLnS5aKmw`Y5TmFlL`(w!~A zL{00C?q5|nQ8K=jKY{fQccy~q^HfbZ!A|bv4wq}oFb{C>UlF6Xp`SXXK7RTaqJB!D zEiiQ&1T&zHzjD5w9AU0toHP@NUs5r>3TB*!Nz1|iI70H*_8-E;Z-efr1wI#p<3yQl zM&}95)C8p9^;W?+%ap^$#kgIvmBo}h=X{kn>Up90-NjtipBL2`S=_ew;W}RRYHMf} z%)1OaTrXkTiG#)(S?T0%yYn6PN2a8b9gHUvzMJVsg-{R}^DC&y$DOL&ON-*&-Y8NQ zDWyQ*iQ+K-e8NV1+})^tv;9A0eFJ0V&ysd9vF&7HO*9i*6HaW~w(W^++di?KOl;e> zllRPj_uhB!?k6~>``2Cdpt?#XYVuILS0`?YAlFAD{fcaxBFw6-7kZ$?FmCxeF8ceS z8+{<-FK>kovhzi)vtu-#{>yFIPf=1tiX|xWD6X`?Mb}#yo60^;G8INuflH0Xa1%0K zwKYWcb&J(XLXG^Zq78?)8%w`8oU)FEb_<_KxR*%lW#lyev7n`heVKA)GOY)IZ(WEX zH>LVGq4LUa;6@A9gO(GlcyB1i4H>N2Of^TN3o`j%=!n|%-8kOFPGN4rV4dzz%q`jc z!(8p6A(MlqF-D4^LU0*dFpncsjRZPH=ZY=IxXd$A6;oQv9kZ`j*nK;u2~cQwf_xgPgx_I~R$GCKX$z zugMPcV0$26?vAZ^Bz%Bh|McEXT2~OV5MNzm99` zu$t;1aE{X;--A$gaLsOgzNsdj(@*j-I%oObwuhS+t)dFk2TDU$|4mo!hpib*`3kz0 zg_gcoi2Ny6g62A4X$G@jPvon(H5pNMV{ehI%gW|VrgY59%hE4StZZW428fie5V_yq z7hYbngzv!JI9{#5XwDNU-U+VcC%dr`8>kEL%-<(6$+U$r1(k{}6rQ~&D|0b3IxEEX z+?^O^CLi+Hl0=PmIZjGOo2K2_wwW#`cN6b=^*%5hjcgf<<4N2 ze3#AwfQURx-wMF|{^bimglY`tiU)sM1yi!n?M0_xxN5+&tC@Ms^ll!c8*ms zlr5yrI#UA745kwVu|ctwN^!~HiLF*=O7U)yWo>Z*Q?IfKZpwY+kJ=U~$aX83IX9{$ zyeQ@}encN6=}sp8&&+XseGMZ6eYAM5Q%5L4IoIEg8aw-5B&R&U#f325%v(;TCqc4d zfEWd>Sry9%_oU#HUAi&4T-$vjIx(4IqRWlio8bX!_XsFt8{k7wPORX^xo`I%GAk`L1HDH z?-2}N-k4S?xgMgK6=(!%#{zH=JSt#D1O-GGMXcZ#U*xt|VBf5*=GY}3J0G=Utw}1sZXH{jxA7Q5 zB8=pt4jf^gR5_ygu92Yr z3_r$^q93~s^mF~_EGBe@`r)5{Bvfs!`HvUe^x?z>b}v2gF@=e7e*(I9$^~P=I5#7R z-^-!a9&v6JSL(G?Gfa3R+9=(Nn8>{&CVLyIraLKk-~}|Q9CS!Nm0j3;M_H))j;Ga5 zSkW76m!+F2{oP?^LnfZw`w3nf9MA2y3*-5)`xA|W(rF=F@`A=gz!-aVHeQv3{N&g- zZVERX11x>Uh)Wf{7>Dy}eR?Z5(zChA>)5`}a|ny-L4v0a3#7>dZY;OBHX=YG$8m8J zgk-@{`l^Yto|-MaJ4RGFIRhZ|0q_;-cIv3TH6}vqD3;Bpo8o2QVY#}!lbW(eBvQ8q z;<8}_fVy{;>~<$OT;KRO;8&pGSaoC|I9;bwoY@|V<^vkbn36T2Hv-1t3@)DwS5<2n zu6P#SdHmW}CzAIH+4kz-U!_V|FE8dGQ|O_!4{+;mFgZ+4*cD^4+ypiv^e5y7Bezy{ z@P;%w@sn^ng-Hy}`z()Hf5Ph_uF_Ejmx#hA@W^+nE5I4Ric1!0|H>rx!1ly@v2_1h zV3@u1cPEtzH|a#Y1WQ}Jr}(3#IXMCq@$bi9c+3k_X;BE19uGVLPAkC zl;0vpn1XW5IAk!hYHZ-WeOOtVahafq$B{uS&%#IE_zDX;7V~=z|CrTGa#+TY7 z7*^z_B$D${#;^w{2tHAIa^64&b&3}Mm}>d<-7m5?k^w%qJ{9^>KZrf>fp4m(MHP0 zuX?X2iPLTD4MOGU$hlU)DQA0CU@YMJt?N%Arb3;eX_A6L?vJ{W0E(8m8Y}ZYm?#0t z$kKf)V2?nkmC}Oq2G2@cL@3of`b$iiYSqqcQ4rWWf?6T(ToBYOs1YJfA;{{*@U&^j zr2Sax;%zE1$I5F!`JWjzJmSO0l^>^nmAu`rx!dmq0e%lNC5UI$|uO`s##Lxu|X0bl`cKXyUDg zIkWVPJoG~k-s^W@B6fqnE$$2^BYBtXqjA;Yy)oQ)uODsRYLA+8Q3pQIVs&97BJp@)v0( zlI&`gjchoeW-SM~Fa`LKL&sMhJV&_epO`S7(Qc=CYOH7cT>Ye`N1dSN6-%STWg|g6%aE)9BtEPO?OeJS0STv4_hQ z!+jL#iuq-0c7N$c3abz$t>6f_E!J|Uw)2{Mr2~!t83K|kceND89zK}5$(&>lS&@<# zLhA;pp~z9m*Dn4~ZdroN@hQwHfLQ|sEb`qbcLjHKxdJjnAn99L=(}+NNz#g~?8Kb-yK)~OTjP@__7%mX9pdvOzKSsHG zx!%0W4n@p50GXZAFZe2idpqa8>d<^Pn3c`d={oq)iQ-S&d^(7&=~;B6tQlutV8)S_ z|9-JV6WM0VyD_H5eIa&rsGxos7XOhd$deHp4U}C>1ob4`i4c!%r4z#0i zlRnB6rYr+(C>{S?f*jXx={u-_wH$K_NH)2}=$Dq~lySFocAlznwl}k;wGUaB4>?U| z&!E=ntasVp<{1y4!E~~!L)uoV82luwd@F2-#76mN#1lQw<&PGb@jtm4V=|KCqahhM zof~g~6AgQ8GU+M_9z&LM!B-HrwWE#2g^-mtKtGnVw{yYEB?`0vbK7%+10Iz7!I~ z6lqf#BFrBZ#FIO40U0dFFmC^{)=&cW+{oPeob6umBd=HlcM)UUW>HBRyuX;xj-0KN z2~Ou8W5RqqxQ!`vFuQ@0zDK+5t;14MJqOCLY1`%RDKU7>^42k+4igM_Tx0Fn~5= zH`I{@DasMDGBV>M`f`Sz)8ZXS**#qjXYd`9UVkRLG&L$!cjr4e`AR&MDj z05`PZ-#%`rK=1j;AGJ6#PM2TYf-bd1KZ_+eEA75h3IIO<_RbC^v*~MN_9rjz(&J0v zugVa+5*|;jMRp>M3eiHs*Hf$Eip8E;yEHz!x7b~eGu&7-#FNE+N;i9b?g>G%X8H!m zl4!Orf}O;qPmro?JnzyUm&4oW`|U2zNV@##c4-qU=;H6mc}Mo~8{#U+sSDyAGhn5y zC0j`@KAt^fP*UQ2Ot-t##eW0Gi`MYBjDXu~Z6+F}%SPlHwB4~Mt(0ycjspF6QvLg3 zia}Pvg#B71=08Opq190d5R-&ozuVO0>mvcpw{Oi<=jWILlTKvHeEduyAgB^zk%7D7 z9f}-b(gQHr@?l=8IVCw+T;@*#qqYkwvEs1lq$*a#Bx@RzMp3N93d6WBbVP z=FsZ&tk1B$4_s?)5=J^O)qwx!fno>nhZUrI%prL=8L;u&<&w#HTVO#^!O3P-!G%8% zs+4x*OnH|AeBIRqEd2s&5SkSuab8!e+d>zCpyeri1zF+~a?{CIouH?JXU-A^gHFJg z`p*QtReh;T%Y?36K`32=_KeGm_Acv9yA<~%+vd-WM=|&Ld6)1BaYjpDlfKdA5mD8#aQtk-r21IG zq9IJN-&5_E_ZR_MBivqndoceS6MU~GWf7NF{mAb%2l=*+PL@D6o{ZnOqTV#V2zAkE zfpz8Tc~!p6+vc!At29)Tw*!(-*9~t5?KPU6zr3I7aL%+r8rTS7i;}&X{qAC`9uv%& z=09N)f2j%_M<#L^iFWMyv#`*65TaP><-IRN_C@a3JII52Qs+)wIX%$CMYLsnbx~i> z!MTr~cwt(aM*XW%Zh5vRBdGa*2*E>);3;#nXS)|+KZjRVT18Jyn3ztE{ka!?K&S2< zz{K8aZv^F!P_b#B12^S1YyptAO|C7K{(c#Q-nBn0n=(l90~3LXTBsQr~C^pT)9;6cf+2BPG_P+CZE0jj1T9l@-Xe%yp6Ycmki z=$63qwpk(HpdK%;P2*>bI$utCc*)x$kNfr*X|;kn`P&M@D+SkY!h~7ga2#V*RGyCY7OCqw3I8jp15ZVH=IMh#Vo$l^53eGFtI5ivnBfD|Jmk zolQzF)0rk_tFTvJ)yNi5CgHI7iu(UCBcXVgm7FS5v5mQ8$@i-0NvUfxaimO*B<|J9 zjvWq%8lVNI>%TliNDt>xe{#~s5?kvvgw(Bsewyo6q+%`Mad>ZNSiV@PNEJBZ5qTSp z>JQkPMVoL-FHY9~DF|XhcytE#zNYu&T=VGn;8j8+AHruvzd`Eg)}(=S&nv>(z~*hN ztZ6?F0p}Z;^Q}Ii8}>&Z zp!>(&HksPgk3o|DZ|{|`{2>@B8;t02Fb|ZTGam3z>YvT%z7&U#U$*Yb4gXxxPHc~q zMl24Qd}{x>Yl~e~OpC@l2i7+nviU>GwpuQ42MwO5SD z1j-k}+QHWx1Ov2FU+4ibS2$4nJA*I6trOc1*3F;1NMZRC4@1L`Flsg}(X4}p3`jm> zHflWDP5VL z?e9tYgdQRvuhfBA7_!HP&W7#@EZ_TgO;-QcRAWR{KD8NqP4(UzospNz?4A~;RC0%3 ztIcTjP_YPt3bYz1~o0jJ2zHlp>~g zPXwe;81ENYj0E!SqdylP1gCk0aA2s0(si_KwyyEk9nG{5aR2m=rf@b-(|Ct(bfj-Y zYKmv(DRD`pPDPaqh|xq|^&AJdZ0_DwgV0gkW^~|s>x?L230Q(A_6-MVwUBC{0z&iU zCQ;2yoSd!cE|0{bDWOYckaAIuV=a`OXjb61M7xc@Zr!yFv*?S_ex>0&>qRp6?Cf+t zpfaZuJj?Ju8YsDfwcsyC95hYh^04)K=Ud44`M{Ac1m`yaX&*Gp=zBMOBEiW;Z`}j2 z{790WROdiy3Qy{&9lvh z@$)Uy$=ZA68fWq`+M~f_Z(PcYJqyM_Ob^a;*eQH?LJN8Px%+o~Io;LZZ{~-|1q+71 zroTN$;d&adg44=L^CVMws6zP;DvhPX;3OQ4sYex ze&GwXW(nHfGWqEX=pgYEqH7zR%XOKznQ|e$=In!ud4)gxL8Wm&1}pw zvpu#d+zg1+G>cq3#B9`EYMX!xQIJ(gu3w@$(ni;3dlbOQg@*&XhaLOlbwb^wk<@9- zeR@M_RU5_V$(3s0{^T47$zET9_xNKw@NsdW@&`^JmtI4b9OKOC>d$(npx+4;qN^J0i9O4z%v0Np7PTe1P)(8~J)%?&G;=B`VBl2Wp1=#F0* z>lzj3#;=W9_e@c!ms>BP4_46dn)z&BzYYt7bSwykkOM^^FwMPA`NN%nZwO@griak7{wBK(FN1dX_}YGe15PYe=D@s7WrsT4gkCACZmpZ+8G+9sJeRYPK8JP);9!9(bl_Sgqh(H6JkhdvWqr zP=WWf{V|t$nC-yB$fn{Ot_|RwG4l3(1te?mI~Ty zY@K=S3@f5-d6a1_yK8HqJ_L&-f-({~Q)=;n2mjnud;L7&e@%>knSZT-=|XTi(S&g4 zbPsg}YtdbeQ;p6(#HzAeT=Pm^O+C_U3!j4X^nd2rOrk$3n39{vFa|}bH{$p2@*15s zBa-3Bg@coQio3fa=klUNryU%I-?oI?VwEGr$cwVPFZsx0`Md zqg4vELnMQnSOY;={ogqRwu+9iljcv|SE0C16NvD%SP051iir$asXNhApJ`07_6j}G z9U}^`j0r(t;u?T~`VnQ48DdhqyHJ7e|^F>i_ zvM&_(Zg?d)HW6Ldo;va%WF0$~XzoR`$0U)~${7Vy|}G@V4(xEG}!q zYJnvV|2S(Fg%TKx}E*97fb(1D9mdl=fpb;irKhE7$!ZY8qYS|iJ`DwcW zEg^y>JCVE=;+S)%(ApU?4`@(Gk5m0^gEP!qf7|732+v% zm@7`1%FxYpB= znv|7sdF3JPM6K$4M2odV$J>}`%q{T$&1vug#7L}SO#4>Bc#KZ+h)fa1xYk?0GwQhog?hn#9-j5ra{w%Y09;raMEes1I9e86WjcHYUxb(^KDg)RX< zU<7!0k;fo_sYdq7>z56t5l^nbfAx|1?WDtYIAZ~s9F{k6_zKs=`hc5osbPf*+Av$z z*E^V)#99q#rv7H7?Ie)@I|)fWBHE)fB&qi71yAReRtbJxl{72 zhxqyz^vwEk0=T3zGpP{aQWT#>KVknAzy~&-K*phGqC@qB=+4rpMATw&oAI)hlNq+e z4qO8s@1$L;lao|811$@i!+@e`y?iw}m(}@3)jogU_?(HE?Z+I;xBALLf5Bg{6-Y=!JZP@kh3O?E5#3&6kdO zj*p%&cFIi~K!t(ViiwYq+_T<9^LM@h1Ld=8ak;8pLM{ZDwYL!6(xq6Gs8u=^&k#vw zd7io})aqpX1AgiIAx6N9-JG$zOeAHZ&9uy2pDynB99IWv*2nG&*i+So|3-%3FcO2! zl9RQhw2)K4vJfnjctMc;#;b9r#5S4yb&B}j-<}?E3OLO$$}0*sQ|)8d0h!s` z)K*>0KXx`ei0`0tWdpUpq6@xpd);l5kYU9nyB*tT<~u=Lpo*5;{A8pYr0Iex!)Vl4 zmn`Mj@rU90l*2vc6r8%r8wg_X5vEhtfqH6z?M~v8=xE6is%CAa^M$a54P9s%qx+gx z?izMMg|L~uSZeGYPvH}2tq@{JZ2#VKsy1U*ue+Fw4ZBi8fENXEO?jn1QE2;^gRi5* zwjOmj$7yG|ikw4tZ~RPpPrZ`zf>kL}EL$HTiyA;qnl2D7$LYd?eY3gKmG)hwS_HhD z1$+j||L0|l7l>;;1cCK{EE_tJYk=C4Iv4`_dDC^vR=_q=Pzu?MAjhRwy>y{1YU#g@ z*+?n;+85Gugg`>sE?*Qy@Q9pKB-M^$F@3neGaTG=R$xbHYVpHw@spGNV^6cQw$evE zu`j(Laubl7{B8DAh4>9LN$;2kz>Q1DJb=G?J=#$sv_8$=wh-EUMx7cpg!@wl6P~WD zwlS)2=AgPTqc0af$}Y-%{)pR1bE3ax0avnkzUF+=rY%te@#S*<+aP>c;CN&)G>h7C zzIiX0?qc`%J6d>3kavn?NgY?bm>E_dgt+Q(4@DZEWOM>-eL7+E?S5kY~3*g zjK+L0%3v`*b+i#K!CgpGOL}Kmr-*{IHWbdPE>1z0>8o%1SkbZ&|93A^=ts^gI|5vg zyzNXC_hM^-C$vTz*TE4?S++JUjnWGAK#doyqA~ zEufTg;s|R9!HHED+NmCPC*&>I8oj&F<7jU92%A);8Mqgu1YUElsmfhB z_*_~RFPu`+yzmfHkt^y-JwHV{6p}4UaOPf+?-Sca7lY%i`&2MPqg3e8a?FWOe1M>l z^E~5lzWC(0ytir13$Aj)_oeZhr&P-@C-5g_?hNYzDB_Rd1(_;oOJII~8!`i3uQ+Uk1BGahIHb zbzSLsg3UEuNgkdOXnB6r-<9lM)N!%uBER~+YiM(Qc)N7Ei1E#8V796~b)QA-Jo=z_ z6`vEJtHHnd8(aP2yp9-uT?@SIIYYK+=Ly`pwm%AOh#Kpwk3lsLoYO_?Sp&#rVh+r+ z73lrT2i2VA0Okb}{UiGPY&GA966Df!HJQ*})EcziS=@a@h14vPYvm7Xwk3gXIa?^6 zhGci=!MD#PFgKW@a_-?#1B%$_p83s zx?3lL6l&mr2V;QH5*@G_fda!^Fzlj=~nlH-*B>I3H}F?1Tcv z;A<)DDa_E{?01X(HcBtgSnXvVMmfqBUZCEPoX$rlqln+;^Te5v=f7-_B_tw>8p&)$ z&JawiqR!zkI_x*Ia=KJvueLh-NM0xsU0N}%f=T!u(;(H|{PWS`hyQlwA}juGi{|zR zlfQx1wsh0r=w1fRmq%z+-X(PAYM17rM=jqvqc>*v2LV~Hsmi8%6X#W>7C)?ZRm;6J z>VVL0gUZ5)fv^Th&UuDpwbL(d%pPhs3HbG>fv0k4yRqAoe#3Tr0q~_A&nWm*;Z6G9T@fMw%#UU&wZz-fb_L>35lN7S$AMfgMdh0so=%RW${*yP-yRoUn0etd^i9&s?ejU;>&I}9 z+bA8JHy%wZ-}Qs?Y=-q_$nNo?J0Sl&A4)e&-oC;$f_@|~=chXCuvsWYmnOl8P8H_; z+oVkJ6a%X;ZwAU>6->t=Sr}I%XIB0|*%7Skz1AJTfXXqHC&Vy)fn_>Qx1QCFVGL)A z7(c=jskl_=Q>B4dax(fm+bgL_rky?7UEf47;j~%!5ywn>A-jrxxcU3%`T&P2 z)ZeUSiZ4d)MFwW-p>}?*_5tUaLbb%ZJi7vxa}je-!XaL1O)Dl_6YDV?$$Jq4AQkT0 zluI)L@Q$wCZRMLACRF;|jHZXNF76z7h>Y`=lX?dH%Ci>*KOyNNS8K#GCT&w{-l9H*gsep`LFm6u4X_U1leT`?|Wy%%I3v=fTz z4x>9DQz$h(xE2cT7qLp&)?9u+QM02#VAAF=?snA$1aCD)fEtJug&-;7TFA?fup&91 z105)$J=jBpUxPUd4{KuXYaA4wAlcSt3%IUiv<)>R*lO6ASFNY3s zYxa-%aK&?X!X_sW9if!nVM^E%k#DE{?F8(Jb5UhdB~4@lY>l|?Z$bTs+$ryZzg%Y& zJn|(Otu(nUA&3)PH5fZgz{^*enI9Xl$T=OT;+>bep%D)XXBu*C zLSt+JhwxSj9X&cFjq@WBGfmzDP6lbc)}t|vAzQyJ4bOm5nQ8WumAmA~MOzBiQPuQ? zMXI3%r=8=@?XoGa_;k)H8`lYKaPLf08RvEZEo zK_9M$IFBqz4OY$jiB2UcspnEIid<%zxpP#Kc3r9B{r)3K7_^c#w{r#?zl5i!%|FW) zPEF1-I7IRv%y3rU?3i(R!Ho|ZK8tbqxY8!PJW~i75LCNRkCB9t0r;CP^&JHz< zc8=*p%9%f0uK5{i)qMy*6ZxD~JCno=X^`NRFY;@=X$r8`g7$Af{qL)hr?xRb9zr-A zN%Lo{NQ=Su1>_#&10<)DKDHL33qamLhvEqfSs><156M@xDY@?vRRt zaf_U|dROPOOB%;KA-4nQEs+qW%hch3vPn>cgG?i!5UpK6YT5|$)dI4CHG(_^`~Uu7 zLfTtW70ZzovG!3vn$ngNlrwxt9DQy>n|ltzIU}bAebV>G|U(|yg+qF=(=%hi?MAXS7W4^8ZMn^m%JV*6k{RbZn?K~F zl*D%^rg?g07R0{K$zJJ^&R7HBSB62oW%}H7fIPbA=WkYT=jECes<|u2M zr&kA~3&h}Xzt7Rt0G{B>Qw)3D`%(U^QI#if;1?*u+($~0DD=AMFj1~#5r_Nm|3a&A zt>BeOZ|b0Yn)%UE#*TaC=bfUhigAZw}?6LLY-K<^ygF^3{|&YQ0)w z^u8iOS|*OdM-U|R}NJ8!?=HQCAKNiEVRaN}r9x;`S~)t@TmMXceuy9q27fzE;(qgU2x@gaKs?X90P7t)l@I z|CSU|=*4Zn%lp{Vt?AXKk^QCR>lw{U_f!Pl)Kwy$dsM;OEKOS8u^8z;#YG_JPF3}=BM<^%&?jn1K{t`x zWA?J3Clk%tRG@UUfp(m{Z}^KYi}~!OrfRtvKfV(0)WQdO=rXZ>D$m(iHBl|veVlqP zubfK0M3?m09ZVLWJqnl1lOVg*AR)wR`dr{V^l}j2{MkJ*bA+{!2S9<$n*=4#Nim(8 zK-fvuPa5g+s~@qr+u@Zt9L$Z$A8714#C-_r{M7i|J10KkXXZo|jdS?6f+g;sXycut zhZS>kIRNd2QTP*_#stcjeh$J~2E_B#IsYSJ1(ccffk*=TKjVHpgHX@#J@D2QP3ZY*K;aZv$GI&$T-6{zt2(#?-3S*fzGN-)6TdR5PxY8S+w z(bA>fJ*}~mJ4q_ZfX=#tMDzVLDZI;{bZdum4#0trh_%r&HU%@fO*-uSCX}U)S8dSi z!e-h>2xGp^*8ty?6aA|=Zjc!>s3#5ca5Cd!wmbd0KU&&PDhj5Yg6kfHw)i^kM=d9H zUX1?o?@5tnBLabrcOTy*cmm|5<#FI)?MFl}1MEU>q#UW4Be|uoZ^FezL(}(}UK6iE zP(k9A34ufq$SMBvCt%afD>GWH#Vls>RWS6&X}Z;=-FW>dX@2Sq$hO~25ZwN#N$zpa z3AxbYccEvsbTwlXn@sdQ?jn|Oe!jOYxh^pGF91KI!aSoO80vF;v*_`PkSxyJwP}x^ zcDRt2$HO+&%n1f(>L*6@PNf_gsookDa8t-RIQ)2N@emwel;g2-11=hRXWcT42s$Y<{Vvfo*B=)ey4tHxC|esCB8#aAN{?CHVNNos=TuBdV{L zm+6kq4Zbq+Stj0w>_F_dKaO)I_KFwm{9PiDHZ=q0UAMZlMs>&eOq>Iiish$FK`0>& zOsz$WS#tukEP5CFj-gE0zn9YMNP_}=DS9(R9jFJfz$Q%Qk6-6cF_T)s-P5c<=^JF- zzD*6cu`N38qXINW$|Rp+!|(^-3i}K;ND4R#Y?uA36g4bOu&zW9uQ6Zys}Mcg$dAyZ zPcOY?q1?5ddAxh}O*7@PSnLAf(F3KrFz&V1$=|M0utG$((cNwL;yd~XIT4|k?zkMB zNVVDR<&|O~f;oGEavmO|HsZ2C9(=^oxHYipLWSi6(OeT7RxsLal|be5#py-6OZZO< zo8pDH%WwQ0dWrCBul-K5ia8mNoodDM>|wwWj6g~91{+3H(_0W9<^wVY<-_eo&!Fs! zYp^Y@wWaI$`5bJ;XEHmwpW=ixFLA@?Pm1ovLjqO&TuBSKJsv4lm<*BP^>;D^TFGWx zIp1@yPLD$#qTIFH{%3n!{Ko^f!q08}_nfBV8%yW%c zceKh1rspOq2Q8rN=l^&>6N4kJ`7^Wg#b44Xnm`$3K*wx_ImiNE^ z^ndH97fa7nFr7H|TYuIk!RAu!BwjOfp@J9JuIL{_*KWZl0&9`XzpsLg9x6i9(R)%O zu+(?JUZE{~XZzIt-eV9YCf&ESA9h?u%*NAX%7vx>b8x;e)9gH(Aj?pKAp_o3XlSi? zDy*C6=(}GlhEZ@LRQwo$8u0=q)e`e_ZoK)rx3x^<%{F5Es!+TD{2yu1X-=0G2w}W4 zX;$EG{x^yJ2Xw?!hH;*CoE5XI-|PmeTv7P^W;{ubBZ|<6gN_HHB&uu$;)b*!D=mUj z_lo@1X{F?7Q0Jo$kvm7I-%H`zZA%}T3D7SAg&;pbIvk(tkxR8K2AQ(-Fe8FW3M7^F zPj*lACi0`DE4?k#Af#Ofob2ZV492(+>4+0USCGx%O75JI%7Z;ZlKN=RDNR};m0F^1 zyo+4Q!iSyz&!(m@hZRd9z0jCK{e+7B(to{QSh(IY?|s+=Slwb6PIk(G1Odvkf*vb- zamr+L%lD%{TrP^+AOR4q)}4ce*g8}KXsjf~f~LS{#x>x5l!?mApzC8&i+Y-PT27#J zj@e9Md{P;QZ`r2j6ZC8ANH{nnQVzJLa-zizxwG~%6Q;enFI;0pij);!33Li1FplHv z6Dst=!~VSV_6WXD?uh)+Pq1eQEKc@U?t?{Po%fsJEjL|%*>pEVU zJil?{YEw|pNlD&Kc=*P<7=~30t+90+QXUSBf9zas7b7^0y-3ly@9{{>=63$5%zC8u zG`_5V<*m6wME=y@yGYFgBm^kzPj;h0n5>6f6>Td98oJe5k5Gi3U{?-IzWuijZm|uM z08(zvB2vEeXx>lq?Cda`%VAOjph$@bRL+0(qWMVGS_28|A2;R?v~BWdqHTOc?+iri zEC^Xg6|p3TaimF`81RxitZNmRtribnhkKsz5dLeTOj7ZqRaab1UzH_&rYR!Aivx!J z+{KK_?~QQJcskDNIY3RuBs89ij+sEmqK{{qQC7oGS=w(BZ={4B*7U_EaLp{8k&)PJGVn&T_o5h0f!sk!8-C*gm zAWCNaGx~)fo&=ONqunZErMdu!=BcWaFGeE+?})9HwKo#FcK1~v8eg&Gk!kpnsQMNn zK?Vp8RpCH1X70_hU!s{&6%|_5;-v@ZNEIlbi=iL+8oSJ8?;wXG+IuM+qNXpo79$jN z;F_?d`+%^C4intTwOML;d;7}%_Hy7TcTr5Y{KN3FCV7aWvyGi{eC(yqh_>Ayp{c+A zvz?cNe8q|zuzshs=9J;-IPBR#Ks=uMHI-@!^zf3m2c7Vz1ouX;_L+#Dv|*|Rxg!=U zJOWv80i)Kwfbu`=;cw9Vl^mGMo$Qh~0b{gg5|1P4;NV{N;~N-~G_dA;W7Tb>#r7ob zmEgYrDQcn)yK78^qbWwuR7y-SszH?~%j;UHq97wUM5`Nb-XyXkzs!!BsC-`mTh5Cq z@gXThf-Vbo&%$t|GwINv$|#Q(M-8SL=wiWcI-biXJ-T*1#3vuGj;XJA4mLJZRtH5$t4c))pTEljVBn1Ayxy0_r+e*z8t!T zPNCtBzEW$!pPmqM$z5k&Z0wrG%^xtiV z6`9dMAGgPfdI59lNGQ)+CdbzK5%;oF_`uVPER4-k?t*wP+qTHwnz(N&Ev5wD%)v?S zV6wCCZe0sjMeCakgdIJei?Q-8EG|@d;=t^W^l5>+I{uo0O1ZD60SDKl&T0EZ9u-E> z46->RK~4rO;%QJQ;Zs3P9Q5Zv%84-BFnn6|i|TOXe`t9?sX=Yq7JynBXYoCaVWPiH zb;W${am}3I+QE)uW1!Hf&%)Yzn&>(lp6J|5{adJWHN?#&Ji0L){IV@5mMJ9TAykSM zn(;gtEnUe=fx`l%PD+fwm0+HILFRw)>TT_nsN#aQc@9h~b_cOSJRcs_Au;3pa(b+! zhIs!2D+~M3d-FFBVx-a`UAZQD?Tr^He84`SpH!Nb7S#;k3og<21R)AQTLp#Q zCxj3mr`_YKlCFb{LxjWD(>)SyA+jB-@k(tCl|c!n+JnwA3Mh)}H9)q-j{|&f{u_?{ zqu3XS=k&{Jj&(k&0IlGDnCci8kFeYdjOdr2JN|m~Xr{KH7`?zEhyFIhIAuZgaebh1 zkBlI-Y820Kw<;*VInFM{{o#4(V3tvr{?Eq1=D)i-!DLcy=t;&r_`3UL%m|`_Iy2yZ z`KfL(8JB}%ra`dAE$NB2vgGyy>}&RDg>_tJnvqW;d++x4t0GeQ=U_TM?MK};u58mvA6#q!uGos#tdm+{Vj$~HMx>l2sMj#G z;iFMM?7nHQ$hIP^)tVfx+E%(TKxI>MfEs*|FroV$j`#PeT$jq8{cKRx8 zmvV$2+|l``%FR3*@PVwEf)}O>*@LYR?LsJuND~B^pSbfpuN{Bw1l2y7yH65X`^^EB z`?=tE(ucaXqJ9XH$J}O8!#u|u7O(s%wU~uo6nFG* z!$kqsZ0&XcOM}#bH?TUJ3=?PoJmRu%WAyKQlakikkY^xbE7`aXblPaAk7M59+v_um z#P>qc-AfV{)FW%*cZ&#QOCXKF9D#Z_`f-20MGJErHCUaP95NjK?H=CkM<>ruZojQ$ zE#%_KeSIKgpd;5kkG|U-NM$=()j6Cng#{{EGQhb9zz2oEgwS0gue(UTiO@E&mw{%I zr%7ucSj(bE>VTWfI_I`#W4Hzz;mn&8n<>quL)tJ#t0;2-&mu7qb+RypaucO&jqJ15mZH-^Weoclw(=ecjskFeBn1!S!o9Ay(9N30OhmyaE=Z?dLEIPY9!(cdj_>zQb_%NV zm3*N#$pZ;>= z6t74iqg{$#2r4~c>c5e-D<4Y=@575RV5X^l-*upp)(Z0W#ZceX3s+tmyZ8naBHb$c zE`CDm0nIxQOv=zqajmp(o*)ZZG)-F1)CYZe4bRUxD33s98ZeZ>i?RZhUm5+KZB0_c zgUbmRAYEIoy*VfLyR5&!Nj_OAgwL~0)UgXGG2dl&1jL(FW3YDCnIy$xw8Qwi*pZ=7 zk1!a4cAl)Q^YWkfU{JP){b=)|OhxSt+H37&_jRA06tRYR`GWBpq0 zO(MbJ7W_@xU3WBsn1Dg4DE3f$JWRHe`u0b5HJLJ@-k1$p1(1{S1V&_$Po`o63rHfy zxUP|AxbEJ+lyDN5j|aCZ%evqyT952klKoPT(#_FwXL0GDiuPv@S_Y$86FuU`F0*!m z%^{}m@|bFZ4oa~CRVaQpD*wz8k`ZkVDxZnzn|!_Sx*MACSO+{cYVA`;C_KwTU}`RQ zY!z#0%%>9d`4_9jg!q>8Sv(mLKOG9!q@4WuRI|oy?Cyx$0e9EGD2-Q5Z9?lM4d zcXxM};O_2c_I}UzocDXK^P_%Gci%m$s#dM4=G&u0^Ta~%HjR0!cysD+8cbVNGxG5M zOPGb$_-mPWoe~Gew#;o;yTq#({uFHwiJ1IP;dLZTI3S+=r2l4+lH@g zYCcVi4`2Soh$$Gj1xEF6jhQQjqC=Wz*=-1;AL~12 zqYgUNF` zv+?e>!=OJF8?h1Wk^W`{VjVC>kJwl2rrmu-$p%khrA|!IgZqFL`5rB2pRUDYnLM|? zN@-Aj_I=; zs{-VIv}SrT1oiOn2ew9z1z-Gu#DJFldXD>8?UXM}NmNHN$cK}<^TTOSx;;3>jp#7e zYwqnE>oNrV(hrM6n&(w>^|&eQTz~$H*`;|t3rop-SB~GXn1_=TKXJWEe|02(KP1SR zj_5e)m`TCDR{q-MwQpU3PyM+4AkqGKJ^698u)UVy6D+C|x(~WPPQ6lg{vZxz#Jmf& zwZczNy^rKH_Yvl(j?OZdX!C5`nJ~q{#wTb09P~~@b5ZW;iF?W}kB=o+AtR}rsP}|4 z9Ly@fc19k*0IsVez}&+xR!B5kPVm;rPR0PxzCai{Mh}L=($raIN%yk(sjH=>+uZO= zipVbn=3q~h;f&HQE*aET*r*MrS}+oDpm9`glV2vwM3`Zchu3*>6JU~ko3Z0`+(mtO zu;`lcT|Qdk^IY`n&LY6ms%rs+JV_o_fFO}I`-g*?inKqV`TD5>+SuE6P!QJ}^WW-m z2p`^!{Xy!HE7?KBD#ZGm2jC1%{`G9efMa+7=}j*k#!J5qix%WzfnAWO*_8ot=81gv zWkFDX#OXj@29kf^oQx^r|x zLQ(>Nk?HS*FD(>~xqTYPs%xxR*7(p|lB&h!zJa!%Aim`sIDS;^mT}avi2%O8Y^6}M zW&MRNucV5l`eA2jLHMtaW;qZkh|h}6+G~$&2dDjo*fd^gPEEa9dHN3+6yL+QV_uVj zqrqKk%2kIO_(UIKGM%xAFW0;v`8Y^NPWI19BO1myAP4+B%~SHFWs8*~e)DhxaeOL@ zp||89SE9={&0D(rVp%qCu(6;^Mv|JMHoLZr^xNJPD5^N4alG zSgL>Q;a|4)bnp9sw8OV3iY{G<4ssflSRSg_Tr5OFpMH74?d@DxIZ*d32z@TOw3hF0f# zR*zw`p@VAG{i}M{FgV=J_pyNq0P6?oX2}X6y&Vqjd-UIYRPo6za+{6NY#d&5B`7Bt z=XIO`HB-mL!@w4v`=h>0&$T*)tAcy`bs2X*wBYaru6EU6%{P-Ukp**t&!($aUa$!1 zqV4SmA?0=ZgPU%czA#4IPuoU61vgL62%7CL;jxnx?m=;4==-sx62R+q_hmv-{Ae-d z!yi(^lI{u-s4TH=#RZF}l~@c{meydvo~q~B!5gv^DYTG_wWRBpZJ?9q8^~lp6fY$D5SHJeO=a>wVN2D!b=V!=ny{r%}^Ofq0R5o2d zpcXzzBFtM7{v6`r)_dkET*0Q*>-4J7YFOXc7>Kn?F%mW)t9O87uMUHXrwxUYcCtxw z6njUY4~8mm6)eic28XU618E6mh+hv)%Sc`iGl8{&w@AfrGa-2PRQs<*hkI&U?28Sm!$uzFl3%Td&+}_(^z5EEf9c zB94y4VgIpGXPa)2LuW_N0k7h4N|%q=efpGdJ}m)d_@E539=CZJnw{AUiuec8)Rd*2 zjg5Ea)u`Q#H;TzxPEvs~6)Euj1^PW#6Y;NX>z?(jLzjkY)4hAEty|(L_nXYD+&}#t zYn$rhBKD!6-7Dvd?T;oYW)5$#lFyw&=0;KS??{6=gsQTbql?2+ph*LQW2ZBJe21f$ z2tLpjPN8g30t>@v5go08uJ30M-I5tl!(ifO8>YnFG7PDO?j&QMqj;FTL~k;0jo@-c zEC;{B!bx+7Pa|+$%)@C5Cs-)lrQJ9B;dBg}(LZakO;6AK7$Ax0gE!ykS(voNj^Rrb8yk$ zMZNyrjo5=Ddup6W5D*iF;{aIiOE#z~^{ZM24Xeaf>{X7pQdf&3ckc&KnB`|UNXJ&A ziE8nSO4JO0L;r(|E|&EXb{Mx;4@aA;d$7~?C59{J$ngWu`$MSUmsaI5fXICLkj#g5 zBfruud+xbO*{MtzalDt5;XME)9^n)TTY>;we(hViQ9lK=~pT%rUiu3dvZ7h{26?P(>f=u`&k(^CK15@kg0ZM*b;r@qV>?Fui&&n3faV48+MZ$`(7lz3G>Y)q4#&Ns5f8`YP>XI_f);QuSLzg zf{B^(Cz;0{9>1*$fJq6o`zq?fi$y+*s+2f`gtJgSStvW9mgG^05 zPAyI{+JtP%85qDX97V#_txviXgMS`->OQotE&tA2yi%*@SH_nh#Y3&l zp-uEED<)VBer6VNxzYKZJsn8qDBb}dy}A5?Xn^yQ`KVK4)eX?`#P73-zhz@lNZFjC z$fRd?eWd;_=c%5Uo|AJy3Y#71$DhR75ug8RuLFzE{|>_Iw;ZN}gZIZ!Rv%UlDalbkyRq{xS5ON5v)S zCEJETOYEn(dxdPg%6Qha{X{TEen2PN9;Wn|b?mS8B8ca;&(3`h+sihknZb?)MGbB6Bhdmg;}+~fD$xWt+&T$)emUfupg2A$ zC&tXdvr+y<9GY4IH^7H_ZmZ6SYcxF;N5xC{%Dw0TS8H?Ov!1MWNSmgv)*}9Aw(hji zXJXk4VIrkhXKS(MtSRt|FwYBs`*3#)!hbgkTaLq2T)O!U=@A{g{6Wz?NU451*jxou z!ixJf4q4&uzBT-lk!x{imy@2~i2Xy>nNsHZ??!Q>_9Vq!w9CNYY?NboN%%Q-_Mh$m zN`Z?Z3UrjPC0H7Y_+;q3iJQ5UF4Nd-uPVu{+Q!ti%CJqKaU@U>C?3KHw&Ee0x2@zs zn3w~F1*9yh#mEgqO1+;2lF4&ub#IXQz-r%}G7Vx^>tN3s z&n11d5%2u&dlZire401es#y$t4J&0()QXE0;p>c)gBDJwzWOMsRHldYMZ;`@o}B=^ z-gq~b=5dS9p_e=29qcy*7JOZSIDrs!jw^Llk+7cfoqO!ZuVc)m0jo6!P2(??@$`@Z zQ-vxKft2QeFzbPy!T4-c2V)lX=e60mP8^Tep_bY{Z-3{v^Vzv&feg>1oi_y7(F)mo zlWh02t@97wUP&sv!-t2bFxY!9f`fnI3X?l}cSsMhYn755W)_MR^w+~XDwvFAkdvC- ze@nDK{2>7zXN;R5#=pVpCNt7bDHXdA&+17mRqFZNGBsUVbQ2dN&VaMl;f2AcqR-#x zX9X=BPYpJ|C5b|sM=6w6;46t!1it^ex5yv$ysa8&EkY;**ejo4_zRekY}&aP+EAD1bJ z`(|s+|C53;0E{Pa@m_SN;{Fe>v0~EwXs8$h#VtFuUBu%S?uM^GZk^p`5pq~_|FOCS zY`q=eu(XWQN^7r_Z(8kC&l#qf8_8N9mo+gM&Fj%Q{wapR*Mc}aVk`=L+sR0J)}v{o z@hc)-+rSzin3K{u$ofKyi1@sp<5_ZX{Vl_6Er@@Y-n2Db7+vLN%3YG zuMstvd9W)dfQaH<@^ntg!b_YaW@BZ2tT7kw_|Uv={zL5USGe;K_9(Ja+8+j!j~3$D z6GhLMpIgIwUy(1(Y#s9rto#MDwDcUKH-rzkIRKhY3O7$0Iqoh$KkvCo%t{P2Mz8*NmD$x3WJPH@}0hOKTyJ7ow#eE-LEUrSG1#N_8GI)2+-l`FUX z7#WV98~f=K`?q-g)JNUDnF4;ESgFhNz==Gk$8zDHm$)4V=C1p3*{}``GQ5nbusO-V zHS|7gz4tl>cVZu6>Yuo!(DhRB2x%ollV^0VFC2y8m4vzpOwqufQgFECpvDkT3stlI zg~c7TdPe7J`t*!V-!cSUcPntpk&PYoFVF#uHS`?mO8hEDjvM#en+miq`SCJry^vPo zEfQL-v`g$@fC?ewAN>GE@aReFpr0?ltwf8;B3N^q=9u2HS{rJHvL-rwda4Ga8F-9S zPiu8N6uK*Dg*}Jw_>3-*T3$eJSdcw}4fDYvAO{*2xi`2rx7w>DSY z%QLEq$>krh^6KE3Y9*AT0vxBs1cZdrQ;#eLy29+Wodg%~`iuCsx2%bGG~Qp;t%QiS z06C;~zT4$Fci>OnoM%{qjO8mG7do4Xs49>M;JaT#GTSc~I|B+zwRr^a_$7ZiGqjU9 zwc4vM+ym1OY`;V!W;}28GDAmv(zesKG)}bD^%TDTrI_-{m`{*N6q_;7K3czDRijSG z%Riq|qF&ozaTqH5)7x3}EdO;|T}0wbih>3H-%^Qbqqa)puSHmiUh|W(9$!fs*3t)? zN_mY@Y>!NSkitG`4b^WSu_Os94VJ6ebHB=`&kQM~e!HBRaf830jLa0H%SPd79Hu%6 z_^=3xKk}=b;cuk$QMOgrQ$+Q3Xt?PwdZ?z05&I6_!xrbGQbIQE@@eT!AQO|TVYH2I zXu@e`m1iMV6Jv*UDTljMLDN(T>dszK!(D+Oykdvrc^xfDg?XzO6I|=10bUsW`im)U z$r=pJ2wVPi5q=)z zs7~f2ma$OWkmiXlxe05Rd!!L z1($ah9JdBxtH{mt`IVu`d#2+&<#tk)aTLq|^vBA{RPj}&V2iUA`If3j63spT;bqe) zBaOKe&R17xJUR)w>?|3MkZu=3lYc3BSy^HrZZ!(y+xd7LoewkDANCiz^6YR{D<)wX zcLDg6pemiPddjUN?KD-S>JfE|WY&R5(g=6}>*ZY{B{x~#OTDphXvCt^2vzAtX_cO( zoF4N0jP@w}-jMGCb}p+Zi4}RmbXXGuIF9vB&sOW%PZ8{t5jUoeoqC<^1ihnFW>q@m z>iVeUlfiPw->`V>6ISvjtC+++^3`OOQ!gm5vp6Na;f0pSYE+rV;noF|@w}JU(Bpqt^W;1wieX&824lH&Aksfh8d7?x2zzJ&A z{bN&d5^TO^j&vj$gC1oh?w984m!04^@Cr&0xZTcdrdmTd>Kn_jHDbay23vn$($jYz zEB~{0pfk;BdK01NH`+)!UZQX&spA@~*7)_@A-wAU4SIycy3OOs8-;s8Q*N2)p-Pny z^s}7N?p_jA)1ZS@5va9=Ll}k84ldcXmUi{Wd4X<)$62w)__8ojM&F~EUTSh>@d;E& zZtumryq@0Rc(OQuE+xiRe7t6?HCxBvWpPB`tqfi>=J^QyIFD~qCGyiNnF}3nkBbr>&yQPqp?7=A#a8r&6ue8+1z?a@3uwBA~Z=;XS zbl5zY5AY&=3cNiK9NIJ5|vNWg79N-5{AYy9>?OV4X+ zDU$?M>qJv*CasM=kbA>XA_zHdDhit6KbD)TkigPX!DO_edp%QVlXR@q=RZGyXiOuV z?Vqp3)qK!c<11*Jf$pj%_#7A z=;wZIy7Hu1CtOILT3pF@bLs6+fX%9^SXJ#OewBEk>Hvq)JPRIEmAKq!gBwRyTjLM+{>#D405^u?b` zD_U=A|F9_3`yg(TuEB#`Yl!9$a4$wb;+jeB#-JnimBm3@SUnm9VR#dGjPL*hqp?!B za9^Wf1Sf$4j*Wx3A|C%uZ{n@MV3O zPY&UfU0VO^7+hE>sYZ$T3t&cyyzz6Fz5F*1{Q6AW#g58H)hx1FA*{w^Aado^EB#Ru zc!&)}isiD)Q5Tv=x&P!??mYwO-^ZaO;BPQWe|n!b=+dUw zzM;^3Y8)_7_A8!|ZPzv}@!#O19$2^=*t0u%7x7o=P@DC-ZNBj)=JOxhe{vG{syG*ftiF*N`rqKSbN4Q`3wr`{8iVXwrGmf z7FR?UztE;=eXbe(eZRoAD@|`M+gJk=(Xcqa2zHK@u=gQ>xgTiwn2X|nv@3G=%pz?s zaug-x(JqepP4o%`zb=S$9gb#cZP^{lhG9>GYK5rupxE|Y+|!6(52|mvOiDGbo+nHp z4OXjd5+Qs6)IS@c;>?oBXveqR8({rD_JhVI*>heKv;9(Uo41uzZPU&v!zmvz zBGqvW{I2^gkS4A1-AM(k z%YL7^xdA>^sRpmxYKx@@TN6&j^a?-omwHmraew?-nua;ZGk71JFMBsOok+1*plDSH zOHZtyUdnZc<%8Y~wXN$X9-c9$(B}yr>I6llI7b>UNhu^sHeaSKjN)y1+7| zM6YdFK+{x}P>BdLCEKF)aCFnr1s7ZV^)rY!v7f8V;=Zq+Q$6m@T$ZhX-6> z@Ju8MS))JmBATu*h+6H%q15J!1e(WfeM5@(`pG$LK7VCCvx$-lmV@|*fE3P7y60r= zAL)2OU4BnEwp>*E(CgFmhJe2)X3(MU^IbAn=%s1p?F^9jM6z<5-t5U;-pa#f(66>^ zbARJfa_4v?GIz`_X{N6Ka-?&?b*NHeck5P(Ecbi58fmTDzoHDC86qo0ul|a#?w}Fu zv$eUPh*3HBiPcsUo=7mF^eV8t7L;ij>i6rqe*#2wNi-K|`=YxRqi_|ponkOE)BJ3|E7-SNFNHs^ zn1GGFrSa=pvYheJbo`yiDBCghCZ{{a0c$3+QILpJMkS#|Z)(o#n$Ni~W-(KWnbhJI zeZ#%rCkZD$L%-R`HjN?`{&nFQcn0c<-+?>bo=4A>L6K@1-GUII+ z%WUq0C5)E`XZSeTRU_C3gSW;xP>+hF zT(A-BhgjfYqw*>;s!bOCA7e8hdFZN>etvFtJ+3Ft1MKiQ`pXM`)L~E5(fj_Pkv=H> zXO9tZ2KZju6Nn6eH($MIGbii*&LAKxrD4qP>CsaT<}UIgj}m+km%ImgFk10;<%DQ+ zEA0j_v$Qj(TDp5a%{9`YC*(Zw?Z@0(C@&ewy%2znb&v&rUZs7!ME6%FF~SL)tB3^u zMn4!19QjA|HnVgvp&P7HZ>{KJgn1qL^v@}kxSSu0WKx6s!iwuZw(aTaO7*C^Mz55^ zh$1;TOVr)Dnig%#4=wt82yWM(v<3YqF25&fkp`@$L zb2py#$iAH$NMool=}%M25%-R=dp}QNWcNEyz9%g(!hSo8;!8i~kE@#a3G+-pPC(u% z!cMGdv-&|z=TmNN0*Vc&X30Ue;At`1HqC2HaqZT9x>mM&pzdr_mSZ*z5GkSWn5< z2WQQ4I;q6momK13IqCVIqr_k9y|Vp$S$jU-XVjGG@nxWSg`R1Gy}+y$HiWA51Uh2 zqR?_=a%=qIz$#lwsHS#46cwPUPK8xF&%J{4Kgmi#+cob0WLvr5%xI<=+VckC&Msm6 zmOGxWvt_7r*680|nJR+N4=wc8mCw?lIC$YH@wr-(k#uju>%1Ai<5Dz|^+w$JqjS=4 zxOh?&2J-EC?OBz+3lR78D01#{lxJ@L*t~4~#Tw)GLaR|)`*~ytw=5eiZqK~DU zw*FwWk@4s6N-UQ06+YPWxCkqw{U8 zV8qim!^)oo-u|f>;jTNhvBuONUfN#6MIe>7<@ zf#uX}Asw#yZWM&-TwlOSe;<~7X&YPet@{7j;p{Mv8cnJRt>R_qWgKemwEjhg+e86@ zf?J>$Ap#28N-HRYi}ZS5+J*?M>&xWT3{e7JLBk-l4gcuJE|CV3gTXJ$^+G#CnPsid zEXBSrbhXxyFe#Z`6({~dlsDDF!Q_kW31nh#OrEC2!1Kwa6lQ)5{Fkr-q&7IssxD8q z52mHv70L&8P8t;+S9@1{dpL0GGb~B&G)yXE;+JY}U1VwWyIgjVBs$I1yOV9sz*0y_ zX=>?g?X!-VZ2EXZx+KmqCR56RQd6ZO^baYM7r+ymH7z z+3a{`a{sJwiCBC3Z`k7n&(vGxRTF9vyIb{$^1(Qb#R@VWe7tX^>$C}3hQJl6Dan}% z^zV$Z=lxQtB8#To?Vve)sa=U7+y6;tgFiOC_F8$rNI=Bj>uWDSCxc8UTKws{o?WjF zYk|0skQ|t_Hu9Q6V!yTtTUr0pW%LSkNn{tQvIQM2o4a!(BMBBf=8Vzp3#P9|>yT*& z%*UT-><~;BEKJxuy8*NN`3D?>>J)x@M`imGBOwjd0>daDCu9+fGbx3@uOY2^KE=bz z(nH!o*g+fq3cJjTAx}%jnMO_W5NGZ^b9f@~)EB`fu15VQ4nujZ|*Ow6#5zS|OAJLJ~ ztmt_t=6uaU!~z`bnkhKn!@(D~#50IVrzD3@LG77c|N7{-;vj30C&C>u0dYKJjIo}x z)#?(o<`0MqMmA9P@gSK&SXWUSUT>(d=^Z+@yb#np=VNWI{`8ha&)~H>UxuW_W!)!K(WmqUO#HZq39)^2 zf38EuYGaA*|30`f>StK8SB#l;U?E|5QiJYvTj0EOGnfPp?5oA!b+Gkx)7~7)7-aWF z=kc~y>q#nkeGe>ULy$UR`si&8p`S>PI4(ySiLB=aG~Xnf@nHRMSj zo%O>Pzlu<8`G(mYtfA`gn_1&?8~ufs%F)KIurPK@0$Pm$<$v(|9}M>aL)W=R6qQ#G z0oT|)2iM#_hnLKw&mxs1VMEIwciZVKm?W&O#wyi1rK_-7?WjUm^d-}~UE`4Y{v?#A zy8MM`Nhh|SZVvnD#Z00?XZ<;CibNyTuHs;DEd#Tm7 zEj$7Ax6WGBtJT5Ir(q0v&yxg9O0-FOk@~!XFK)gaV1M`=uy$Uu13-sQHxTW;SM23_ z3Vy|A7ynT&yv~oE&->lkBluKFtp-Ldzh3o|)e77pm&&S_!vW^96@|(=FHGJvh9zs^ zF1WMu>%isBEr%Wv_%xuT>x1C5VrC6;e%%O~Wa$U3@{|9*B%!GGg?Hn|>(!FbXFBTL z{9%h-7YZdGg?GkTBuBanx%iC#p3`P98w{to>a*}W*eE36|2X<=5f^^yQo=~tfqQWhpH?^S5sv12F4(K_fsjRR|bk6V(ZPW|`B@^gjq zucDUfxUER|Q_fb5gtxL~vB#)?&hz2_FvT-r0N9n{J*bN`boxhl?i6fuh0b+(UH1h9 z2I%&?W~3>Fsu}h7i@SMoN|hEHib26-ll==p8LPJtPI)fh*w`r1eNcX^-D27x6bq`V zeN~z0@ZA%5A}scQz(@#8`uo68hL<({wDKo}(vSi|Pr?dgn0m)(!W$J=8Ap(LfeW*B z8V2cQ>;}r7J!tuE2n2smmSD_~r?cHyN8k92`b;vgvfK)2D%yMTYyfAt-$w{Xzp)=G ze>@r{VF+73lPqIP^-2>>{XFV0+RKnE4Jjp0`aZEo30)SF32vW>UW>P_qf(wW>d6I+@;mC1ERq&s}LABK$L)^NtZu?b2Lbw3lODko!7G4dE*+1ACnlJa6Dn)5-1f2OUu6FMIFcyh9{%F zCR79Vf#|-8V?c-#1MHDwkiH`x098~1Knr`KMx1(+Dz$w5kCmhZwF?tW4e;h63L(b0 zMiu9^>c6wvw#J`9i$2yk`%OdNeU#X}M^;0FwTf(t72m2c zYG)Db&A<3=6t;->Htb-GiImzZj}GPm&dJN1=)~5f;FITHO$pPoB;wu=NT5xL8xMXv zwl`WT$BB^mss(Gd&-Q8P1;bkpYvVEd?mVXD2q+!7D+FQ5ljRDL7t7&ZMDXFywxGe* zkPc7|95YudYY#fdmLA@ru?K}Rujxu^1%H)4=NUTCmpegRb|4CPX014Ac8CU+-aB{x z4?oL3Yh3m2Kc@V3WK-Jt*0(Cj&d2;zdVf3QP`Kwe=o@}EZaX|$b9s7fG=e_uSTO_9 zl6bwYH`*bW-D2hE5wo?#480kkI#B;qa(8AY%&qz-l9IC*8t=r@{m*yvh{!E}XRBJn z#Bw7{EGGYdAcY35L%R4iXootoEG7!V=kUbPjIQw6S-JEi9tO`4VDzOD!}2EsdZ~J) z+2Fa*H}#ZnwYyqY*b8>N9w9ZdWUES8>GYJ6*tD`lr9TRJB$-#7lPHNy(rxCf*WsuBSeTcY@ENStR4=3WeT zB<=bW-BSx$?vCPHochZuk8;5J9Df(e_cCnRXaZ93@4Il(j0dKp>A({hVp|wo)TC*f zG#m64h*HfJ93-&jzUvm1$>RSlaC3b3TkQJi!0tc6EqG+l!-946E1ri{w``Tb2R6=U znQ5N@|8S0td90U8e-r{6h0lX&-tQeqDZ!gNnCB;@!t?h;EAkYw`)6=h$In7>t5fbcAbf>kMPcpUFlSXZPr*5BT z2@mfa)_RlhHak(4&+@BjcqGkG8!5OSg;BF7T-E>;rm=uFKty(`BuF^8#u&b; z#h5H#pk!MnxX@uO10`27Ebn?)+J9^o=$D%^y!So%?w@!V(XpPvdO4ZocvYVF46_fhHXPn2sV-O&y_98e{db;yob|A_ZU9X|6Wq|SY&-CkKI1k0j zERgXD$M8l36!97Tz^%P3vE^v(CIUCn4Kxdf=}ZVTOejW%=&&O2#E#uI>UPWhFH%nG z83jkBk?Tz12G*y~l-H;N*u~!qg1$x2O1e9ArTq*whMLZ zR0HSqraOJ_im)^#a&9D|xx#{K2+*&rhGY}{kzM-1a-J3Eoi)B>y%5W1y?5qM&S&40 z^%EM#@Riq1YR8onY@D_PL9yb$#Ui`7VrtxJ+OFxG>JbcTigt8Ht}f7_2+XSJDHj;y zfDPl$#}e8t4vcmi(*8H=!saZmujU65*D#sI<1KYOM;krlZZLHkOg)2&Pt1*LjpbX- zH=V!6wvj-Bzs&)HCuZ!~-$5hTEG*|zU2vt}?*9=6P?NFlfqHpZVX5<&X_E5aZC4?- zm>9ps@GIZh+>xPr^8|DfNgh!?zq_G!JcvJX8rA!0zwje+F3bObFs?CWNo+NecaU zAKBqaph~(R576go9frnasc#LWLR?|3E%fIZm?pm)*(6M%M}`hXyS!5}@<7T(R=z6~ zuZxzA7y=busE~x=@ECKkkLVU8gWmF$HU@xT9%`^~*_Pqx0a)nrV0dng0=8WRlL@oA z720lyZ4%C8&r)69$oAfc@H0;kXKU^* z+Gc3BgG2T~tf z`~nzvb(GR%H8Xieb+VjH2`z(itqdV1JAYR`w;)#?oEeY?k9f`Ye#Kt#s=0GxCGsw# z8w)eSB)A{>&YS;n{k1QI2z>?&uMiGbmxrfU^zf&46}*@xyJ3m0yMF)w3b_@Bl;;)h z9AG^zg0pSDftdO=V)$*F+BLQn2)qEqdgxi(Q(J)4KBgw=#C+3XIKs4{Dp(2hG*~X0 z@UNFw_9$zetV+AYp;AsSiN~eqm@-X72k^U+j;q3@0$V)Bc2a_a0fR zQ}MivhYWT5-{zN*ZRLhGyG&p>8*8&^;xgM5x>g3LV$aq`ab4fz;iv05Pt)FQ5$DA8UaSs(;x^j4?e=M%zB(2QpB?)Rf}5g2Bx_7M#j1-D;U*yuKY z)p6W2>3aZoO>Lk`$$Wu=s};nVEQxh@YV}@z{fdrx?sLdEOe_W|itx{wDtQ-FtMsS6 z^2@LY^dfNRNhEhMZ;7V6 z{_uZR_%r@|5)uqSp7-f(T;1se^a?u~QOq~V&Aj)SVIek=<_cd$%jaGBuo3KGR1ouf z4c(a%i45WuV}FAPemiUHAE{+#_c|`7>xF3MpzeYjkRXGC-GV4v`84dCb>`KeNLxB6 zD1w$NIkHv>=xSZ~T?dL=&kt`wbMEHIz^6jay$vvMzn*jpf#jLUH=Z|ZA!9H+aExHX zRK*(jIhh0=d%!XOX9+v>9KAv{Eztyb%E{I4iM^&z3BxxRU2tn`dM79d+_uXT*}i%s z;iI$g1i0O}{^cOno}f?aLP2{;iUA2d`9Qiqj|s$i%eks8wkWD#Aa0DN*4bU2ARAk* z(XSbzbutp1djON=lFxB$kMn5dp)h_%{Ws*B$zdtYxhwyzpx{_<3;5q4u4z44%)cCl zm|4{rxnBjbtH_e3?~`h=iSh97zG@=n!uatBTeoUwT>OA8DU3gLAP@(=Unw9Z`q)w) zn$O@klzNshhkl7XiENr&Kd-h~EKVFDB72{x;R_X6J|%#%$S&3>BmMKbb!EgE0!$Y>?_DfC`3*(8)2Wg-#R? zS%K&pms#0-Kf`EZH5SSNy8J`X*>-*7C{%U#%XeF1C;bu=1^jEF7)Rscfiy{I`^`h% zzLx;(Pn)^AvTDBL?_U3=lFm~+D@>;`1S9x!Q-AJBJb>3hm&IM6BMy07Ix9SRp8vZ@{)e)hXc2vkEGyzNmGpnC_QZ!h&2y zg*Ti&teJdpCBMOtKM+b7xyZU%bBPDT$FS_^|MBCuz7Lg(UvHQCdRAHA_}B(7Lqp^VyE;eU&FZz4Onc21OwH+FI}@oqC%P!6|`ud zJ~eSj<_6APc~7&JPjL;0j|N8k{uTrs%b7f4rtQ>^d^! za&`7az7r>j@&0S#2~X7d__vC^ipWZfA>+3_T}2Y2Gd*A%NSk9)M8YBndH?+MTzmap zX6Q?_*q)(wH^oa|IQQNy@iQ?0_V|cgG^Oxv_buf1Cz0F-U`>_i0{6b52KY-T6=X5}Ur*KJl zinZ>qFf)a0`~Evrz4O+m5L;fmDW$j-*dMFdR&}DvMuQe1Nfj3@*+OYf_GiW$r}o%x z-5~J_MTS3QCnldOn-ca=77>~*sEm=+SK)S6P)c;Z4_-&WL-j**dSahw#?MFNqs7f8&ifK*CDU$_vlIfz;Vrwl z=41~P>uPi4XZ&itN~fGmA!8(7&o+4p{|J@$Pd85ot;oY=nox<*b6mHDmbMmXOo;!! z_kyBz%gi}HqQT4Hla0K4a-#Iz*)`sOZGIIx)LSYB`_MI!$0m{jjd=t;oAPFkMM>|v ziUX&q@ObANo0>JZMipxg-Dv?x1rUJ+Wb)<)CG;|(xGQAqszq(XPCK3t@N_DIcanzh z1ojo`44j}5M%nJnv+8MuIl7q0>=CVv?j2mHXV>a6EzOt)jX;bSklEtbR6+tk2L-~uaW(r&aHZr?gbGl^oDv~|o@)RM>N}wsEbTKmIME{zD zG=IL(Q#(za$HlbeCAkwuo@H~#F2|2eqE397WL(vBL^a^I2~8zWbXBX-foN3f|8HxO ztL%V^nGE0leO3N^q`R67XeCd2VIQ5(h>F|%zx!}_N_o!yLNW4$%=>lssh&)Z3`s0O z3K>Rg#@bbFVD9P2=_y&a$?dZ8$-12U=G5Oy6cs!~k^Fd7--~VJK}~5e)b<50XmTdl zOvs#F?%Z|B7>R%QrAGh7OAuxz@JU7fundHBL9){27g~8QW(E2?fbPw@H8@raddOC6 z8HV3Qo2$3CdKu%5XMqEik@lb`+yW>IcdtzX)A7JjY^e_0Z4mIk0EPrmCB;IcX`Nz3 zLYU@c=GwY)ie(`bW!%x#wY6}Dvr==4l?nt>;<1w9u>$2u!!`Kn!=3)MmViATxO(Wx zP}@_!JXH}kt4)`ptf{frOj|l-3UX*hm3_Dh4rx;D1=-`j8Z~VcbC!&EFuZ#GMXoD* zw*Yg!a^=k5A>(6V1GkEj@>xufciq=$dcwo4deiK#km?I*m1$`8#TzNKP6DE~a^zon z7*hmeHY5hzqP^{ndO?Uf)iEf<$B#@?AYhgMfbwZ8Ydb0T=H*c9yD#^USaEch`-9^R zZaO}M#N13GlvWP(`B~)KE?q8qxI$oG)Usq2DGmPz(sT9$d#Hp^KjsQnLyk>S)AU zqX##L;x4H5QEn6+Y$mGHZt_>FNe`;w`$1E_@!nyAieRJP--6m;%=3>}W&{E`3Co!{=aefpb$*#28!1xfcFaLUt{rEG23j2_m zC!!hc0RIR3mOG|sihJL!ZXas|(QM|}q&`hR1lg|aBAg>p*z6?vogACxzHz2ogphbjLfehg!?XB&*()^fsbl&ZF{nAFS#sNXm5|6%K`!s2X} zs8I%YcMl#s0fM_bgap^%?(XjH?vmg(xD(ui+u-i*{F86*^PThW=iJQA+`L^~UA1ae z_3FOQ2X%x#I#B%cJ+{80wx$P-IaDj3QT3&ZRj5H4bIgisih@X7;cUt?*3!Yl#{Z8` zr9y`hwKFXt4SHC&0jNVnYYmc5W3RR@<;BP6bs4j&W##=ypho(iy*n*K#k)9M=^8ka z1i92sD4qH+4%$)tzNUQf>!0^-v01W2naX=XAN4!=eA~O_criI!X%GCNCWL z>_y+_#2>v&4g|x{nGOF8Ok`wqyMg-!#_mdHmUO;$KNoSV^BCPPyFyT79A7~A+5*?y ze2nfXwUT?ZzZjifS7^n#V&nS|2Lf$xX+Dw36k|o>+Zyp}@w(rtJOlR_5lY3qDPWn; zEn(4gszrAm>j;9tXeWk`>!)j#5b`m}t_sCtT-fh_;-FE2YXgbY!qPISY3CO=7N#9Q zO`hxuBKTuk^8WGvueA{4r(U`a=Q?l1q$zx(@PcF6-gmcJo6y7Nsi|gY46|7575aQ` zYUkTJ2bFj-JTVE1aiD%fqw2?pLaOUxq6oWW3AyR1KRzn^SIt9ePBG9fFR-mE1ZlJM zic){hh|JoG6U9;`(|W6ovSsKoYyS(#uK3qFYY9kL=N~LA<$Ws;hdw;zvya5h3tTP0gaFBhUp#f(BVKa8A0$HW)XX zo2b~XX=Od)Mo}>nTNGrh(h!2ZYYR8*;C9is2qh9W#akHk~*E@`vRQewF!~g~M z`1>)2AQc`heOVAa{94|MDAmmGGexC>`#k{=!0W?L)|RM$-D2a~*STZK@VOG?vVPe0 zE}(!afE`T9@4C7tber?2q!4N%=eo~K@45rl;SQhwWipw|PB_WE$MQa}oxkyg`2xe8 z%S@wX$KW@qsB|aYE8iz~DUc96N>9V}mpC5ec#^1Z;rW{<8lBZq_^Rlre!URIKjEh7 zAvnxK<>d*Rpy?2lrlxjlxQVS1MSVd}8&0Pk+jC+s&kMdkiA1GKzUoRbgw{9DQFK-y z)O?s~?H%hw8)r(^2d@!|oh_BBl@Ij|1H#?jM6}h?+54%)V#6W)vgM;%X+q4uE37H2 zgZ+6lJskv|9ERWlI6)sEPx&*;mRC<;U=kI|1X@4#VljBdzeYMP27mj2%e4%tKJCyF zt4%pIAxYJ8{Armq*v^C*2op71J`Tw^m*Oe*tmDdN>@3y$Ys2j&634LO120ILlg`m% zk>+#Z-dg+^!WUb<>Bzu?LsIOe$Z45c(Ni{5*xfWB%;a$h0<1G7f!bok5d@=2lw$u>&@YlXBUS5Yiam~ zNE=ulU}@?QT4gNbnWGH3*AP`>rXmIq%t^(U;QQ= zzEK&8Mq)jMW%UQ@7GCY5bpEJ_w14u4U17a{N5aV8#xMXr0+6^-`A5kT8lMdgVy<7; zMA&1xgajkk?+hKUUyYc(usltYI!5+j8T2$gL2qk&}V2PwM#M%*`9Shu?~x zoLr?Xd5B-Igop_3+GBo_dccdQC`v%xKzRIt>SM?wifMOgi2qPzc5fJv6=_OXk^%uT zfq6hA=dtT3N;tT>VImJwZQ)6F`Zw^4HNphhXAI+pO^<{rSp&8|mBB~RXDqp0BM@I7SSu}fHOX;XG#r|h|xDl86M z@EbWNxtdmN^>CM_^s6;Y~ba8elLZKzpeR@Oc zacb?_J>c+Fd+;N_}bal|rtkA3F*fJjvjbJKd@J~WEE$e9oGbG{d9 zs7$H)mTCiD0dhlh)%}9aV~1OS?Ma{Zxelg-N;)+)e`pPUZO?`GHB=St@xhkA)`Clj z`=AYj@ZFy+mIwJuF%^C}tQG-F6sweQ9SB%_Ssg(~4WNYCkN>?xPOaTx=B;JdXrIz|IkJc}Mkx?x%#l2EP$9 zm<;7HxushR8{!6IKSDqjpq9@&(H}e!8A$g=_wn0{GUgz+Yu2!`23RyhJ+K^HDjoSm z@4l<+^d&HsdI~{#(#?_)P7K&*_4y2E{;B_R`*Owmbv_3r1&%n9ExITwFFDJf)XK#| zD@nlrWy;~w%r!73X$M|9DU?NX0mjYV2r^<_b|Bs!Uc=tgagyhuorbbmHo$Qn`tZ=H zH+?lZE=daXqUnp3Cm?RB%HrnpILQ*DxUESML7|?b@CbSuE8%TnjTjekpm{UoV`Kmc zrjvzVCWKLgja5`l`2H(Qq&KM7JB!qF(vVvi=zL6&=t6^`Q^X^~H8&gk&}O#YS4BkN zSp_ZoBi-4+eF7Omry+4&(U*gep z@9q+54m6IB4sTw{o;|Nqb=VxV`R*6E1D7Od17U^(_%wn`>@{6Dr&Ct(CZ0%dX1k{D zUAT`)p+oG@<9O2m8EYqh6Qshg?ot$TfKmH{Qp~A=%ag_mBh&rS-O<48N!gh!dz#Cz zx0^+UXuvfiyVuDpJ=&5`kd9$?BSL4 zH6b;H@Ymf<)JA1db1?{n33qSdNln@;^QeG9@g;qppspESGOsfBWB4hi=qWZ%(gbyO z1m~uOep#oCsIQa8BnVJ7ti@DRwC`xHXycf&__}dJxNeU2!Dr@PdN|wCW-&0%8Q(Kb zP|-k2wwgF*nvb^LlFH;BNkY{YZ~|Ac|Np}Gl648Ek2vPs@<{q#-nEmzYPlm ze4HTeY!j5VR_X>Uj+VC-ygc1?e_oT1qEqwKsUEP-R*rIzlsdPYH#gt^+1*PwNE?UL-Qu#VO6o4TOPs%nU1ZR zMHORnr-BDe%#_38PQ2Z7C4^BR45WKreueLY`5LE=;yCZ|y|b@+1oWbZqb!9^k{L@u z8PdjP&#@<_R9{Bn8C9?2Jpd{V1YYKJ%igq=K{p)J@iilRa3_B@v+D(1_fo zD_K&>N|uGqWaP}h0EK3jxK+jTkBl557yIa*{QmmaCiRfb1lzCVG_CtgnA=ezbEJz_ z=QB5T6bMb@Q@(YaJfhcAF-JR}KyBp#VlMn-GaC%Km~Xz|UT?@vZHc+JzsRZfg1Nx0 zx7=-#Z9J|np1IS;)@dnoDh|}%d3U+;t^=@$tHn@VJdo;4MJtg(HaZC8>VsS?b?jv} zGTBZ=aEOb@{~iG8-BZ9~e#Z3V#XIi(007#D5c4%YGhJq^;`6WiCrswiwkb|nSnxlw zr0^2*6-67T>Oo>}D>!U%{4(1YPr}{u{Ph`|0NUBKdDZw?QkE*bsJBLxB0)K{cc@%M z57VGJLY=CYe7hAzdzp`HqJs0;HrtV4Uov9`cW7$(QhhGiD**i7{Pv!tTqBGd!j$zN z9O5O1XA&XXEJE)Kp0+r8J%rYVirDLP9cw3Wgvu4biG$ZNgPZp08t*iQMMqR_rp=cI zjMF;xecy4u4|v*on>@cQwKpah;R4nU#xz}$J0B52dS8NXR$Oh%1`Czn`G{OUy9C@5 zy*xi}(!SnX#_cp$a zAsy6u(cq6S9;mx%`TZy!w)Q9=7Q)h0!nFry9pZ}kU9f}`%+R)_`{Tu^41$C)6HG&Q zMFNzb5=9FxhJu3JnjTDuzhwd%Plj(YM^vQh`t9fz(emmK5;j(hB|7z2jzn0t6vhiG zhbOB%O2q5ezkxRyeySJKPi|@)USB{mzA~TtaviQXx0KnBdAnxCH*>wdc?nYQveglM z6cC$WD3n%fg$D1~%CQ7PHOed>TD60~j?mS*9@JAcWyv45IqoV3U&tSiJ*jp72EPkQ@)O>@gW?4S36<#jdoqJ%Yr(zG ziO4Tjcx}Vok!U8Zi4wW=X=)i{maj(Wf6QTj<{XlhPYX>Jb*^64V4EZHt-l{^3^8>? zd>{MvRxUjHdu~5l9f#OA@ubukUD-)|*|Ig&7*Zshz5mb0<65WT3@d+QPx0NTQpXcV zub1>S`I*A?`poZdW}k#{{Qfv*TrYhUHKN-#W`mZ{BG=nC_3@oCO(G@R2~#9e&$J?7 zZRHUvG&rHk;OJWHz0J^`ORVa_uGzyYOzD94UdUzC#c$14&kh96NMG66ePxKDf^`+x z6}t*eg{S%DD<0X z-J z9!k*<<>i(!q4|0u_2oXHtBfcZOUNa&ulV6~V4#K^3pS?vsdec-)#pJ*UB%8Ms-;Da zb~&#atkGa%_vT#k55w1mP*(w8p7sIQeI_ecucVlhWa6+r3x;8odGvqsLP%yCU`7W# zzRRz9nkzx)k?W|IpxC&+pI8B29KH-!0i0FFPh~!5qpGOy77`gsZdQ16lSJ z&Je9p#^EvazFkSl#!~neI~eA-GfAsvL4#swb%8fJIbbFa)7l?&4+<%{1~3jL*T6fT z22MSmEa7QGSmTOv(jGL0-#9L#yXv|RwDcT!v;N>rA7LJ)uoPOCX8e%ic+=UwjRwfJ zRSX&|?!ID^Jv;qO+2lr7E#ToJn*8ZEe8?g+M>rvX|kqSv6GlYgjyi+Fc zaE8KTG%bcOW)zyi*2M*%Fa>5-!!xOaQ|ac|Gzdbb*-{4c)XUF=6o|qVGdBXXQC_C} zn)JDEtzf!^9s2@Mi5L?q;W%XeGm3v$!WS#x)fLt3Z?{6RdYbr7Wu+Qzwt}Y5Andu% zACA(lZa-!v`wMK7`r+WNNp2=n+}#jau0Ho#-#$NqWDh`+r-C<@tuPQJYJk}`JNJP+ zMDN5)S73*x2rWbz^PR#plXbkyUK-C3Lr}!VWE;pftBHRu*DJ4RUe*d?kt; zE{N0|h`x&oV_p=1!s%ruY1gnWO4>6`!{m@Q`XaHzySR(C)o?UCOr5?&TEDA(&8Uyd ztAUJJNY%3Q14_wsB)pX9%*1ck_KSi-hij-vq~K-xLVJqc4&W0m%S%9XT=nvM-A8Ck z;U$12A(&MS{X9b1bMQ@-n6#5tO01yP*hS8|G@IM$+*g0vTn=fVa_U^@ZgVG-|dq1?uo5<0FZSfZ<{}>BYGkn+THWH_q z9GKaQP*v#CX&SC*pDAp$MfenrToCw}ndpcG0Dwmy5k!A%HzEewuF53&}iJ);tQMSj|dPEZtEU4{iyD>JuUZvQzm;kr24 z*S_7Ua<$e9wBuKSlfagT

      wujGe2T*TH^?gBDk83&8`(9Jq%(8&wgCG+m*ezbin}*`@5JF@d|rxiVN|>=JXENI@7dF7R&3EW+n*gk zG2FZ@^baU8Wa(eYI_?L)iya3sgwuq=thztskYgaoADx!^(rEGKRT z*KFLU<*8;YxL#J%=J0z3qB(9S%)wg!WxpV;>KzLfh4Ie|=bQ)yqR&AlHZ z^z_gxkSno4afO>a{Coz?Tx4W8iV~_~HlmYgd3bQs^{;duED=kRTh*xfsWxENb%vl! zsKy7bivNl@m%zIw@hCb=3W)sRFga9rhDA@3t@*lmlkqNBZf_*RF&PdbA=I^$X4J7KvgxH`0eOP2 z6ynVgM|_XSz4bGDhwkr@X@(I98N+B8s%V$`I}n2220?c41_|!g%syB$wb-@U$p z>DPZCmsy(-mVL7r&47p`zvU`=Q$!{AaUw|*$l^CG$vhijP8(@T{7O<9_R{I-<#uGl zTR5cs>-Umu1!bx@6~PGixNF0?AIziE#19VL5?;LcWt5DiJp zYcd%4em3Bgfv`@uyLDTPVqS18f9}D%JqlA~D^(+z5{)ZWoZLk4%OC_;W4sMbc4| zDyk`<)yxP8slLA4?I?|)DGY>121Svck*la~p%&i17wllsUE)VL(_X7%!>&JhHDiCZ zgLu}H$oHkAsnx*t(d`hD0FS!fXZyYuES1uWQ2I4?spqi|Gzo=<8k<@Si1JXOeQ{!$ zc~OzJ!;B0K{zfisvKGOsqfN%!(pTSNR2aLht#G z8Fl07>&i5{qhjzGw1Q^9=k_4_HKE@8pxe>u0){{tQzzjMf)PVcCj45lp4-b9#Q_WO znAr%w*`@WmmQct=T+d4Wj-U+;v~LRLZ0rUiSIw9bc={BQNvKxo3%sy>n|=!x=m->1A(z-MuRaTWRL(t}*H-F0U|IdzGQ> zym0Zk1S7e_21o6hkTtwAb8_~eCay?VZ?YqJhF{F<5bu1^`ZyZQY>`ycRG~_DcV%Lj!8x*`wy*k*ujjVJ*WpW1wntWgMQ&Xqq;D4O7G&zo&rVaoTc`eSvwDCb6>A7NAg6%qh0Ns4?%) zpzf=S-J%Hhe;S2gDj1;|JGSBJ&cunKT8EiBWm*XjzD^Kl*Y1$RM*B->p-!wc!M#Lb zcI?mv1DM{}h)l4gr&i9QC({$6)DbhKUA81nAgMz3|nN6p}x=noCDA0cZ!e^20l zPKz0VHXM!5j@_H11a(jg#>K8j?A6r4JnGD%m#FR1f>}nt^vff%BsbXNr^1`-8!SvQ z+WYmKxBV%-%LRepX%ckiZ-_d-uw#|LPXu0ZkfimGj2U7n5I}<4Z6nI(`QUb&*X*}| zFoY63*aho1QU$U`KNh(5XE$jrQ7Xk)xu3CYyi1-UzpFe$7YY?mcDo#TuIGL}L{xf) zQGZMWTW$kNdK)9@?^HG6-3rTsnBVRBj!HD2=7WbA zMvZX!Mac^PlI`Nujm}oKw+Tz?syzQ8&Og#VQzRo{sv=n2^=JFS@BqJbXV%%<;}T>5 z%p(65FL+x^Pb$6lfc=|6I#^Bijbb}$J&?>evhQg)W;Ik3N76N8Bh!xVU@m95c*sqJ zPmz?DtoXjnONhVjTZzu9^C4I+n1drPpH#@%ua0jC+YkwYfnH`+h7b+hqkA|Am1pw{ z^erqvUEc7bRfMuc-bJ`;<-dYty7Ap3Oex~mE^h1Ohm)p!5*m$O4&DZ!32wN#9|__a zKDN+hmlII;aBDrO3Ln5aBPU9wrtk@$cW~Z6qHZ9?@cmeVERdqW!o+Zg76Lc)`qg%~ z-yp+F(ObjQYd?*}2PvnC70*}V29TYRd@j~jo^fl`ofFZW_rwYO}t3H$^T%R(+?Ary8Jqf>s zT)MhgY!R87-}4B#)V?AR-f*VsGlmrxrhCLoJS(;sz7IVi*1?#N`m#>mA8uWd>OAeT(Xu6W>s3tn-FeSm=?-PVx=^ax0Lz?;-w`BjfD}d%?gh@67!V(&#C*SN zpLZ5xVX$oyyeaHkyi)x@phQlHYv=RoYsr+a^)k{lY5QL9rDv}ZGpd)s&>;8@I5nRR zf?po?#WL2`@0#&gN=Ka#<_Cg~n$Fj|12Ldb`3~x7k$oMPtI_&Hn^(08Xe7XqKQ$$l zMn6j5Rr^`obFux+)nSW(7kmv#-oweRDC~t^y}S5FOOxd)_byuOhM9KUAIAz8H0DrB z!6lpd9lT<)b%;HbA7h9vKePm~0p{?gGWKE*3^d<&AUVu~I&oQwl@vO0Cd6!_>=B@tYO!IcC zItq;VP!=i*jLq-n+={#e;xr;4>s@@i8}1eQDs8={4Al})5=Oh1>UA=-dQT)+-&xBq z6r!sl$R|^OCx>s_Mfkb%=5ZE1UJnKd{1*(HqBy__rtEpGUvw#b%Cv8Up}loc9**It ztr`bK&;&-ljys#pC~PBq!Kj>X2QAIkwI8l*#_~0;)rr~kppGz%-O?Jn92nh~94hH%+>|F?P{$vwwS<%3Ul94$YdkzW7T__yNL`+|jx@s;T;C;c#TXW3|u1o=t`CwTs6FNR-(ziisgHaY51BZTXDbmkHdzVr_&lpdM5 zV&y@lZ%%pKBJsFm9)C$(%{3SAjif4|*^HC~i%9h)?R=%MXxQ|~;VUdjDzh^(JN))O zXYs}9PY>>t!bq;YwYTk)i@72$`8LGf!_HBwZpiRjTtoXJ?cYx4q^R*{*nssf;iiD#YQ5PTSZ53X0_~ zlf53CsvJn_Ai_{BOD$cU^+i{E(R1-zerro^#V?#dKbgG!L0&K6Nq)f9r+ailuPu8} z--lFjE;X2C#==}@M8J-+bM(p${r>)J_vXpi(HYyXEfwMm0BV&A0|}97$&myCVSXi( zQmd0WGguo83PlbCiJLp};;}mac3q6B^gFQ|4uK^hEGdYE6f7r(hK0Fxcgpy$>@ci56!RP!xFX(=pP?oH?P=h zou@-pHu8)c@<`o|poT)mj#b|IILZ`BKcpxtY6;m~9ckShL3?bA0f{8&Q-KI%ADw#A zMqau8XtvO!;QZ|SknYOp=qJN25mw2FYo_H%tB^G%Rj@Zp2NW6!qW<`$G|$3FcL zJtbd6*!z7fO0pL(7JRok6h^w_++%5{OBAUO*Gvr(mdWvJRBrvV*UDCwnJJ z^jmD1?DTf=1As_&Q{v^$fzU2tA+e+MDjuG{3w6G?fmwRCnRfCl(no(5yJ~f-))juK zL*JbbsBNUbR=S7T36WB_+E(AR34&$v1>A!)B>1fX5!IyiMCrpFaifqM_!Gx5;;`D? zwzHJ;gEx2!ysgs^%%^IBz$-`axUwhxkSWhhme?AsPkyP)y$vQ0{ztT53MjTnLmvEo zC3SyTQy&Fq)6yV}q1zD*e^7Z{N`b{z)Z<=iuYMeltMM*9x~>qdUU#p7Pi>9M^yH>+ z?5nFCpI*WNWy_mwvfeoEff20Xy^cy0S?3?g!rPk=m$q-epWc#VMCd1EaZ8SjA*VWj z7=vsccCjg%89wv^;*YWsQ!&p2n}_%cAUl7V?85ASf&I-ZUMSy#@EzAT31@e<7SptW8~#Td}(oLt#6Igbc@Hh<>rEsifVZ^ZC5!$IFUm3|Dw? z1MvqVE%LoR|6nL9_A7?1&Osi!)nV!sGu8(@MCTiI&aYaS2(A8FzkQy_rX@36*Kx>K ze*a9&7Q`kph&g{P==V)BXHUVm_IFjU(blQ8;`Q9Q1g#=;-9rMMfXB(PzY=jHGFwd` zI}hyguB=;(+L$hwS@pOqweZBV^4KgIpqP^A@jyQZ7#u!(wA!ld&wztm#!4%ZG;)VN zx>g=s>d7Lw)*Mt&XrtEZKH$RyUopK*@Y5{oavkry-W9fYJEe)~mu3V%;P_z$atUJM zHPihrY=7WWTxE^~V23k0>RU=`+%38?7-`#(ticn;yi}pbQl>^*BRMGK$lN8(KdKtQRU8H44(Tus7@w_O#NZlV9fjS={5A z8Y6n&L`tTy6yTcuHyUPVZfp2y2N)$OfBBKIMCKH;`^6!M}z_&I$M z4`oZ35BnR+cMmN3OiAm}J>=Coyd@3}w+#*LcZM?wTlbJnw2*c+EXQ;LCN{p-K4jpH z@!ta=F+$fA@Zo1lJT%F#+}pYkFze@W>@+iJJ6$5RR4S!#OI z>~bVSPGr)mIlKqWP#YuM*z%>nIhRfaQXQ@3=2J!RHCEtOfi+6cu5R;r8h-6g0@99=MLq%MD36+cPH@avv%2c7e4fVp@YPpbT@ox!}2G(gm!K39Y8R@H=R?QNwNB5ECKh0*CLG709O%s#TGDA+>B zKCb<^oss3;WJkLxj#-;{@tYDXv4O!7ZjY0oH-g)Iv+;^ZRwup67G$Cmaned#))$j$ zm@V?1i+wms$0zoZ$j9b(tBw)kD9ZyM{Ja2ymw!3a#ekl4FOhF?Q>KKWGvo#MRQ(1| z4{0M$Ei%KcL0)qFM7w|E3l_i$KI^*-UFBH0m5`JmVDXj2tzQN3Y^C{RAjTx02X5+B z=#Vvme4yS*J#N02uNB@%Vv0;uD}l9A0E|o!UU*F+!<`{G{=PK__xalv2OT)D=fTsG zb&Fh^vnMLrLp{xg%cvR$EXV~47cL=QAfh))iS4(Ht+}6z@&B$;AU%W_AAgtc!`Vv| zOXsypHH$E3YM~ax*D9tryUodcT8a9JweE(e704?$cZUkp&o8@JjW0)*#25C>bx3le z9oXc}-%f6bUhFtFrw3OE!n2N?T0p$s5B)*rm(qyq1IFjSx==Ta0F{N?P`@4*S z^(XRJ8GQY(?ko$cMa}MB&6)~8#Y^CEn`MOx-E6L;E7~9(6bfDin%ktNL#NPaT13vt z+G;06vLIZ8`loe`Lx{k*xD&}cEn27#Ea(vubbB}+tP4JwC$^Jcv(RQ)43L7(h!Rd| zodGM;biEq9n7ULLYzTV)4U%pL)7~uuUiS)OP3TXtHU?zDQ!SQo#)=-3{Pg!&Nugoi zehlM2B=>auxYQHCCW2DR4%#xDM1(&Ix$h*#7iLZl&-(4IV@xzE?C3|s& zX(i730#1h>0%rO^jsxUQUkOmVs1{}xrorN`Epp`N|6 zg%P;S_Z#>GoTKR?eLlYtbnxA%wPP5h?aS7Sa*D?Hq%mpGnypcpY&+R&zL8M}XI_1g z@_^frYPI%?yEqQnByO!eWqd5k|G;%hT}&mU3HI18Kx*FGZ`qr_=90$s=5Y_&N5ehb z{`@#4Ne_jXs~7IzyXewZ(lm!c&_KiBXee7WMb$+*Vzz~6AOr|Vb=C&JDSQ&D;!?(- zl~_)Sdkr?>D3Rmo39<&vkXtV>x1~QwA8X}Kdnbcb6q7G+ekTj*Q1}*UH4!+(OYtG9 z8+Mi9R~Z$lY^oGzu29$E{eOT$KSNH`XHfpNm&i;Xf9rO);41bU*JMlZ z;XVW$(_PiHvL@xsl@XHH!%n@vVlHH)1y*hWir<*}`YN|)Rw~o!*Ezt#85~d1BO#1p zwx4Zf+tm}pf5I@s-K525m}Y)(odh6*usSs;K`T`kinuna{-b{VQpZ!q?!5OKpXmh& z36^+S98^3w(CdApt#RY3Nl`I3Sk_vL4Dt4-N|gTtXW{zCOcmechX&GYv_XZv+{?Tb45rle~^4M~vUrwgM6e<2L#C%M1 zRKM`yNjx?V1EIQwHC|ASf4smp+}} z-L-Hw4Am829Adp!KyS;~VQ#C{!`S_btgGb&ab_4Rbaq+Vi~9Y_o{MTk{Ogy^-xxaw z*uD-^j9s&Iee76cCoFed<|Suo(A<5}yuK9?>b@iW00%1|z{A&Tp7p}>b4X=7mz3zS z+b8a6WsZrTnN50V98qT>aF@BDLyLDW?Fe!9lux;6@J&9+Ya+q&@lz7#MieUCTMZkU z4RB&;`8HXdjPCO91uc*9+GmtOF`f}gAJkQC!n{Go-^yYF|1S-&MGZJW36}6%5@XFp z{chqP%ru(@i$mBqnfoId6#3lapptiFg-Jg_KA2YeppJ>guOT&xAp(SPk{W4-==-JG z;ynI~#n#NmQn`1pNqad#Y6|6F0I}8oiIz-2Df#870bsm|c;sKg>PH|X&eI2Tkmp9- zN>$1Qw44P^I33o0$gh{N71JXBV_rU7j?4nvgfHkWA zZ+I{iq4M{U3~69WfXbs|2V+^jqs!wZ$lyDaiYSVhDGq`r^X5p!d$eDWNgII#t|Rv;&ni}^SVw1oJBGzM5(pY~96-ZEr@<%$^y zYG*L>f8Yt8p_{}fi|hb}ARot$wk+MimsVJxyw|0YuEZ#2?P{OXH^@LvPbZx@L%RQd zAf-=&0cSEUd4_WC)HQhGF5_)FZ7Ym@D|fm&XU{3m7oNU|_yd%$`>?D)?I>>);zEBV+3E zz(<#`f~Xh7j}a7xRG@mSQt;$U4&P~mt^lY;HWT1L^w-yYcKs3lfz4nV1RPhp<|;!H zcDuy$w+Gxi&l|2#?w?|KzZ+`}DfDUYnVK~nII|*wdp${1mZ`VIQDzwGZ29}%Ys1nAtyPi!fC$nH$ZHV5|%>?w33 zL)CG|FDQrlDw6F2&!)?fny9PCA1o8&EuU4zKrG<&Pj1Tw`h!FG0V3jwXl+TV zh-F$Cx2x7r)eAWNSYpt>fw%QnqwjCk`dUPqghMQmJVoa>qOs($&n(Q(rD_E&Qe2wbezg zczjnSU=SMGZbyveZo!ADJb`5-YY)oKyqk6`p&KcyjJxZL$VyY%yJ;BNH_>ogo^NIE zLPf>fpBn(=w#rxcN-6lD19O|nAr3m@qISeQs^lU|D7lHb&)VgWx#+GyBPT0qAL<$( z82CXUfJ4i<;kUlE1p)CEiFC(@q91d8C!ulEr2U7x zG1nt@nF%kwdIFX)KVBSw5KAAqqL8{!@$A|q0#I4I^^&9j8TwWL4$~yNW+O6#-tg5#++EG>rzTB zv;elOC=ntXw^3h9&$25`459YFO5}gT;$MY0b}6sU{1UDA@yrh1Ggefs6jgVP!> zU2s|HTn{4#U2Lp|Q~j&m9qyEKDm}nU-Jb`=0c9id?7!-is8vGYeFwa(fKKK>aU_`cIPRB?%d5R(SC;ziVPZ`U}+G7+7+$QrJo{ zPu0A2IRFxswX(dAcF~3SQBU%?f9uXbR9N7m9l_BOWCLXDwBp5`&1_+~NjjOg@RXT> z&Gn&szP-%@B@#hIMbST%i|p?KXp&+yd4^Rv*6x^!!-IMh4{Lws8a<%!@zze9vXramxWWPCJ2LaQ|8sO6AER@EJ=P~g zpJm2v9|!~YSk84}^Pi_nOSd9*yu&yp;)q>j(+AbR7uDbrPo6@b8Iljs^*)pz{K56!c;li2IUE&4U&rmC&w zpHM!Gs}%0WlH@^>4-pjbr)jcH)MZL`MgClmGI9{H8cc&>L3P&QSP#ZE90X~J*r+nD zbV?dgf!@rQF9hEBr3UZrLo6@h%$>CKMe{aO8S4YmlHo%BDOvvGpGW$^n0ZV>aDL1X z*??An6Qmi6QtT_yn_44GZ}`*u4unRSWan`ECWTOMa#!yOlWA)C_l7e0M5d+*Z>2g0 z|9{9H@C+sG&JM8;cQ9IKNQQV&&_-pYk#RW>B|`p0JqaPe>n7`Q-OvNYk1=|XP)jIT z>Ah}iQJr>Ro~?xGVxGy)G8M_ulDPur+9#BIPG74@dtn|ZJiW{ z_sa%UpF1A-yi=mVks^_~9B~VfY+hlKA#)L0_;J2en;=njT`bOi)T+%*F8&PR`II7L z%VLS?$GD~5Y(%BQ&@KJ9)R+Ce42tMZMS-k2PM-9ZnEUgGzD=Rh2~67OtU&fKWSXe3Un1Y765E-59_K>?mue zOV0gon)(mVR_0zV3-lvYShMo4;{pppr{fuZ0VyN}SGsnM-9?PA3;+XY(#bwFY>ZeQ zv=wr*yQC|jtu2L3vE7OgB4+F-gp&|6xQOZ#tDgo^6oyrOwL*SmVuy4ve9mi|$ihTM zjy{EagwuIV;r<+?5P`{cQv*Y>Rd@}T6Wm8MIW>lWr zX^Neqin%4^>1|L1s4!xmFw_7%T{^+Y|6^h)pr1{a$0B4zpC9O&o;9(m^Zm{Ne{V&cSaXzcSv9 zTYKp`HV@T4u7?lhKIMBSR}pvSdw)?4Gn_GW8~-y^pU^zSQjM~gC!y@a{YQ#ZVbgF^ z5CaTsZ921?X`{HZTs;C;1qxb-_C{rNtoi|lNM+DHE*+w18vMKh^u6ZVV2Y=15Iq#E z+Ub3h^|Mw7d?9vl;hV0VO@?HDNZtdAe=g^Y9ShMIa&cGnY6jaQ6Q_8;fd)?93o?B7fEWpqAuVSTn(y!16U((}&GflpytBSu` z2}p|B?YWru+aCbR72^NczS8GWFE>R&{@@vChV={TU>7S&Qk6fORNlHm$mm?wL|p;& zU!^VaAm%2jK8vlC>#ll zQ?8^;Q`%M<9>l-~6w>J!f`P=`yAC?~dM{EHFN}}j6;H`)!^1SP7rdD-oREMER|3l) z1oPR!sD73#wfkG(JNa0473D?RB7{&3DAxy2=;;gVVGNqPl;uh8mj1VP1f<+9)p#uZ z8LOMhB=8=Qp*B8|AJG}{AK*(%Hwqg5A}W^}f$qs{59KG&7)}!|@nVq};}+N`+|!qx zZ)5?EL+$8;$3eLUBF`6EQL&3nMOl6~#pIR!_pUQ5V19y%6?LPSG?O5u?0x0k1)_mp zTZ<`6uC@gVvdc#hpSWhV?U|i}UwwkWlxhiI9R3x?zICa*L$EfsWq{*{QAqf_r2hVM zdi1KkBYT2>`ztvlbbH7^&0NhRopt2mHd;=);PEN^&L2ggi}6?ujPf5k&IDym+pj~8 zhlRx$~K?`3fg{vyGex#|vi#$At+ppj4q0zC7uaba39IK|o3}PrDkO+8Axn%AOZ0C~;Wc{&&_bx@-TS{+lf*2TD=Pl>cD1;_{qY@G#@*;BEgn`u zZbY6K{?wftDQ+*r4F(Ri*mPyZ|D70^F^Cdq7^dZ6H1b!2__rh|rtW6(Qz6TBbsvuPDZG$cAA~`n*UD`R=sk&I8n!T zE-7*Uu`*6XD#2;@yMgg{RXs6JXS1c>a~v?AL3VVnSC_M*P=n?p`8UcaM$9hV zJfE>%eKR%RcI44QQLCoop`Ev2RvZOAe7Z}5KgEEUWnaqoV2P{3d{pUorVY#ne3Mim zoMcGo-ZQzc7$+p9O-o|^`NrZz6RKl8riUa;vL2xl5}yS~GDz86@cBIm&I~vLqxZ1J z)Z>*&ku(=U2@C48CG#x#_^K~Cx33vv>XTX_Qj+^$>zTiB=HKuVhoNiQX*c;{)!w=G z_OhKe_cS{<8|vcWhda~J6Y2I6*i)_l^Jv`i4c|17dys)qnFG&fHmu+Ivxza>9rG%! zv=I_XpDSR!?zBkAs82b=O)R(#A>xXpQXSBL?uug7F(7=e`N0i z@?)J#JlK9uR6QRm4WG=xo7mITzo#umK-Nc+@WahH0yBm<`sSRQGX*&9-V7kclX6p6 zvN(k~xm=t9R-wM`K286XvP57l?T&O%^dUU*L%0g(E}t}d-erm z^}>JPI3O#XB{M~LWjI%RAl0N%E- zG()$NP11h}OsoP7dSwBf+~asEC+Ozwe!Ge{0?PieTW&L1~GFFi9jgu9!-l zz_SobZMS>#RIS1de!ogzUB@xR|5woY$l%qUZJgwEDDfB5a5oFVgCi%DHGi^gKZp^I z`}%*~ZeUYEyXtY8_@KFZG2vOt^IWxSJr~UH^z)|8ZynFy$D%c_7zGBI*FyJMvrf$5 zf)eI|L$mTq9{v=xI6>i;efgSBKkeDkWOEK4GN<(eZ8X*Hie`VI40zMFwwPZZs}@s< z@1iIzDtanR0>8qRFiaO5r^j%zwdb!gZq6sGepy<$<7`>d-#u+TykWYN+b@GIAHz?2KEA^YM6afh}OZkDWKdslf$LZ7+=BdRJ99 zy7-=j!5QlU8~k!xy&?~%3yH&^MR=8+Rw{GVrJl+%;4L!DiR}^b2R`>dmcU9)`}Ib= zhy_l5mPfH{tF4*1lg?eWtsB9XPm?p%rFCdXb3R%_Jpt$*zlTYX!DCJfDVWCw(67p; zTF^}dA)iH*AW+~PW>;EOsSd1|(k<b|%+0RB77$He zmz}M}ZscR_?DrcKVhg+X_>nwIX6qk%zh4eToU<#sK$Py z;%)#$TymEIfR{4RWmY>I(3)$)kUdRovF}g(f9TPKvg1y&52)#+5DbtB&~%VL7?XH; zPO4A&MbZ(S@&-7A7}Gsc@ol*>h~Jd3bil4><_uhO>0zwRqWmv?BZxk=mXAk^mrMI3 z6r_B&8QaEGjb+bn31^=Y4b5CBhjD=9xOxeN4IEX{PVkDFaCfiMLHf0P62-QAX4!}9 zK#0KpPBD%8&*q!xJZW1_7>5nElIU zPvKXS5M*Gw`fHi^f6~<#!%frAEQ5!~7vQ^xXU=6+qv4T~z!<@k!>eu(d-rJVa_dyq z=Rw${pC0L@ll49}ZjW?OM}6Eu0;)M!In%t4c3u9#`%V>H_tg*vRI(n$H8do1n)1I# zbxbcHfxgm2eGN#lL{MfCYOx)+UR#k(t<;9n9W&1?3i@Wh>_V{MfMpqt8I3r$Edi8i z59J#w#<ch);M4T{kRqjhu)6VLWZi zlH%cP@Z{#hJHJTrYnxdse!lBkOzrmO|AKwM_JBrM_^#=n;yu@)M2|!OlE6B^>K%@= zyLKI|AbWlD9K*K;+@q2>;o25D5TN4iQ$Su4)7VWYw%W{Au zofRJr@+3;$T?~l2TLqDiYa!9IXMh9rgI23nb${VSI4z-C2sO}D5`i_@k2}egRgj(( z$27yFGo%p|BVgSj_bOV^dKYo94*DHF{ZgO_l|QPk?0&cEy6&=O0IEpmSPB~Ct)^7b1tfLF8o);TcG@{&ta-yg){qL8_ihc|If<;+djaW zAk3hP8R$mGogu$zLw6r=0$YPLHFa3J_faA(yarrXars8xm-8e~e2%FP|4d+Xr${9S z6DT?HWy#0V14cpi^R~h2uoaNl?ekM!-U?j^T0=4U<&n$jtIHH#5OvuPS61Np09-`l3U(%kaGkwu=Qfb6iSeIZjj?iRGCxH!FgrGL{IfctN`#(mhob zMTvsRRcRfm^6uQMoaJiL-L>b&Rn;rH4W%PqTnq!^K~D(146lkHyb2~wjLT#83qcSx zXGbd2?3;0Y7mMobA=A`?AS7+}gAC(L{U{(o?IL1C1Z5-W`34XQ$-<0cE)@+U6FpN+ zGs@I^L^QIrV}?`?B)q9m{R!dD^9s;%21$a zU#La%u)7<)LHv{3^vQt*^!l882fV!xrX`-=y(YI`2q|u?R2>+Pjh1)T zt0rRdRfm)EVCvO=n#fLuH{0=K5lz70=GkJWnj`OAeR47V91(qU$jGD@${!GW8?!wUmUA|6_MolXoto%Ims<%(2Q;9B(yT%s*=GWc_2ZUFh%${3 z4iN^MZB@~KyyP)MqEx`kM-#j5@oTMli+34eLOo64!=V$xDB+LZF|5?UwXtEu+bbn3 z3DIhcMU^>FMuMc7^ilBj;2h59_zX1wZ%U!_q6OEDLSE++raGo=r}WqC5i%g~vacJV zrCNrJBxquycN^ydtv@htw1a3h7!`x@KC_>L${1Fn7l%?f;>7iI0ovFH&-F}6t#u7> zA|XS6z~2tZ0bZl58b`9-Mk^57gT57^8PxKeOP zRz}0QE$XVW@Zqjsx`nr9pu0xCf1n&Em z0Hs4as*5kt)TCb?gc%VC$!N-tSCpISiMFCtpl^d)!8RQ~RexcJ0$%1WGO(5U4GPGR z#osZ2U2#FkMgHYcUrf7^nDU`L=vnjB%7(yKWz2fCW_Y?Xd*R1P1IVnJ-Tfr19D|)e zk^(hoEnmbP+h%IYGYW;RT<&Qh@3~lSG=>wEn+s$&bEwR13&haB!3Eh$T&|5UQ~Odq zv%PrVT+N`n*P#pTOdza*wHs@5?wmPosoY(UC`%4@akD}4{}4a=rJUCHuIGU4NypuA zF^LJN^~CAS2i?3x@mCy}#G$k8tJw5FJ-3(c(^|-mTF$B&`w0hy3bAK{1$-M>~-<-mV?MIMz1t-+qoPXfz*qL1olZ& zH*A8NLG5@8MpBH#L5CO*N}An`r0LfRLneI*yTTjFDctS8S7LI3Cbx^1IIR*soKSM4 zFmRH4aAjp;lM{h1T`GiwgNx_+c7av)>GGTXm^CFh;!m0bKaO%ujfV4pCtF88^7#$u z0G@*~gBriig>2O+Lvx*L968GV7pRbI^XC#hdmXNUzXYXiZ^j5kxjKd0N zhLK=X7#HjoGfv6!f{$D7hpc5m=~0Sdic|hw9;-?bYabN%(JY%U1vZUX>l)e~7*@p{ zwNsFHm80ZM))CQ@4b5E;AZK;ob+IAxX7vB&8CwWM^2($$OkX=wkL4 z7-x-s0Pd4@>x_1Qai!#5WzW0{V?yI^uM5PSW6XKeMShz;7Awkw_sJZYEhdO?W4!_3 z@G{v89FLRo_F?9tne;pCF|gyLKz-vS2CN#+xu1dVk#8|evMLTdRj$uRtQ86{Pmt{~ zsz;}!a3J)iu%68>E@NwIZ#L6r*U(5Bm*hxCx#K_UF<_V3 zERD&UzUnx#Hx9JQx?6QVkQlhGeWKY=7&{9We>7-YpoOm%FrIT5l1_HKay4vVah(Zi zMWq2F0`PzyVPGGp%Jg1ov4t~9sWlbLCIrPT>fVjj0xmmoxf+cI98!I$xB))yVM5Xg z%eORx817*Nc5^Qdg!ZuXLPfp1kJ+=k~{;fh_1LPj$-LuoIr9o+eBRyb|0z4I~O{x0a572feN>(&e}w z8=?@ZqYL(EhLSaRNjxoD#2^VJ`@&{(Wrk=TU3lg)-cj=a<%*Mlc!uCTKb69r1D(4i zh>nfO4FaLdwV4bbDici4)^2oV%M{|)N<^n^D-Sxm!Dy^^O4T|sywpz4+mpx5e_z{z##=Ayu} z96^-N)@-(!?|uTIwwG!SYG5BcBbGjfp|oNvj7GJLaTG5PU4ho?JVF*g)=?WNL=r(J z_VZF~3z$h>_UdU+$W(B{RhsgpZoWMdm9q`zWM6X|O)f_I73pcadtWxwX~VDGeK8El zvA0vprB?#mG7^(v5}8C&x$1d(o;N?l&)^oo`_O-$h8-q}pueIpi@2Ux0sPZ2AtiXxM=OrAwqnM}6i0Oh5ZsC+~#->?b(VAnV zfgWekYm6g4Y1((g`8KaA9fBpzVK}tLHVTUH=8&AEV1M}f>k;ghfUn?GPET5V73p6H zx2KJ#2T?LaBbbv0!HE>U5o{ziPZ8rYJ_#3|{gW>BO$MA9m~HKFBZTuKXG{E;_0sz}}sTE4+qf_0W{I8ypL*2gp-y``NK{#v|^nC8qV(JDI*}SjTZkeBi zIo_T36eB!%$+ikfR=^EtUjtz&#`Uu@5PzO~Ef(2t$`f8~+<+~m6(~H)0380`D-tNBOg~C2yg3+;duS^b(TdK3C>Pq# z_#qCBFX9>!a%fdX{QhHT3;i zmknHf&rZi$Nt^X26@!})4Do3?3#P&INH}x@&}0dd=wa`VU#xsD=d2t;h%EIz=Ua&l zXo-N}RjfVj=k9L4D6X=*d%{xFm%C^05L2+lj}VerE#Mr;2#lR8Xn@IY@$y!40DH%K zLD{w2E#0;_+VQjV<}~ypG9$mlU{+S-hC7z{ECP{@t{cwaA2aDC<;aaKIHdLP#diYV z{!>9Pw1V)l$#B-NEO5vo{MvmzYG8TxLjwe>`chyQ3YTb;L{K9YbFF^Pn_!&()}*I} zGm=rlsy*4RHG{5z-htot6m%V)EU_T*jeZe>YTB1i;46;55h*%=lva;kAJOC@(= z`{`3{w=-sKhapKqq6o>y=Xdwuf4w$D)u_{7fttUJxI6>aDf==MPtQn5fbiuHeWy1? zGB^qjAPQ~p$)9@iq*41D7mfkh00n-ofV}bNvu zdo#budlDUqyVR@EM6{&7eqh!`;-Ng42xh_vB}$@I^knbD8uN(#MU&EeFz%Bt z$_00Z_7LqT-R^MmE!Tr*hwo69PsyW;O6BV+>jm^?$iJ5k?Gl-WIYCUvaGnHHgi#>7 zVNATTM9z=Pv1K%Ht;59!f!QE_|BKMY(b&WI%jw)$%^H*>hu9H{j6hR|qQ@|`nM2!h zk83p93^5}1?&_>PPwg@oIf!qcev$lvR@bt#-PbBs8FRQduG~oZ*8U7=_WFCeNnMov zxUk_w;5+sm)9*wIQ>hUZ7>=hw(j}_$8Nk^_If!tF0cbAPt9*vpow`7#(b(3a*?yDr z6hnmdJ)g*aG1{+I;rn#*m(3>I_f6(eMeYOE9!YRkIS<1S>hUhxmuTNKBPE@;OsBVk zBl;l)su4pm9Z+qt8VIJdx165K-+8yK$%|oizgQhhm($@Gwneb0FBj`LT- zjgd#>7SB6(Q>gb+OyJhmX74+7KM$coy6&)^M}MFh7UDse#FSGgfIF%Msf=1lxF6XIy@ct%4$<9w_qK>C?uESBh1@p1F0TUniV!noXYBELVQ2$9O=33@roJZ) z5VKn&EP1-yTR#Z9U3;=Ep?&fEkpx~fRhMo2b>L!X0 z&W%O=7}TKm-0GFc50z|honMzj)&T}|cnuWtY(79~yd9o2B-cZ=@C;>3jMUZULKO=k zjVf@}hjeG;@xXG6>4p4X`WdLMuHOvn^_xvz9A-~)YU}u>0i84{^hDI-?hp4(sJTx$ zgcn7sw7J`FeUJvVIFK+)>$e#ZMOwNbT*OgxC^hVSX+de$=S*``-CkHfK%E{3lP~#O ziep~yawXcm7J+4R-u$S&u)8j=4I=6e-YVUblOr?|)6zFv~ zqaV4fj??qh^B`$I7+N!QkHonik09q;D_u*Zk3opj0vFZaoM(;u& z*}-!6Ob#cQM}JAKfjo8uUOTs+NXgV&esj(K)03gnMV17Rz+SXMR7(lOm6-u!8SS6i z$QGK!V{6~ju2@QFQ~;T`-16r6IBBnLb@Uz|uFpMAtE1@iz? zM{k(UX`M37JqhbNIH%-U>x8lsKlII?0?-Irp|Hg7 zrNFKW`vb|lSh9bu8jXZ{@%LHdOKI-C1faU6!~4~y?Xq4k!G)e)BlbA{K4LUTG4K_9 z{lmIk*d&1EMHn`y-D<}aFIG*Kl~rYGy2r_!^tB*p#ztcI9*n;lO~jfX8$@$W&8~ITjQVxV z3u+WO@qtjK!Y6oh=SP!fc0n1IsEBHW$|IAIPv4)S&JOF@D^7Dz*qc4$6W4)xtYS}g zPX0`mL$=?c`BCI$y!|by2+t`vErhr;N>uFAvo8vQA_psPvPlf@BOH%{eiX`CM1p^& z1r-wm#W1sxbMjDp-^?c^H*Jj(T6kF1VOVyZ+fylHslPhRJ%wH5v@}*cRH+BeBtYe; z4ihpowY6>xoUX5lw4JpFaw5p&uYlP!H`OtA>X<4j$lvAM1My<&?X477lpZ5E!sv1n%N8+e9yiLNhnk{&~BuF!MhJ#g&y-Yyp$kvdgwTBtLqTg z=aH zw|Gn^0&#J1Og=BpsM2ytUosxWLtB7w*+|Lh4*?G$YVICY%ymNqIdZ%tNMU8l)1OrO zWfOx|NJsoUW2j!=%pJt6#cjiOhC>iT&}74iBYZtZ z@@A_Bx0;IEZ8cla^TZoa#l}^I^_(;OfQisj(%l;T>*F&U8zm!J((Q4%b|zYT#OU~X zu~y*lVUDbsITv;lLMw~V&%Qz%_7Jl`OP-@AmtaHPpp->8MQFIqr5Zg&#T zkwu=U2~vGeW+H;mt%lxmL>=ncW+MP=J{&`Jm-rrWE|W6ORl>7tbM0?Xp){OIh{+;m` z6r6P;WV${?jKVLeeE2I0Vx*M8NQ)P7{(S}jv2+srZjrpm2&P<~x-IB0M?v|VSe|wv zp@)#MsrqH-hTo_9HezyIsAEd<0=R-F?tg|&?ZYebjC8kN4hSmhyPRGod~4mHJ>8bj z6oUIiWUn9AP)?qpXcWjRP$S?}EU-4bFYMkZOM+0}Z&1pd68VC?CX-<_kzqxF6BV|t zkm)X`la*c|FJN^!^jd!^fnPhCfjmwuh_vO`m`F-WW+?QkCJ;S7*IUNU;Q`_iM&U=Z zeQaNC-aOdC{h*+hKw)y;P;uhXTR2Q7iLXC`YVdz20j%r~#P$W)jXILrpr%;6@D(AB-hyu!BROROjgq_U0La ztNacCN6ok)dv1UkIvQ%T;RT-8Z{_th)N&Z$2k}6ULUiJ|5XBywY}{uN&0EcKdWYR3 z2@>u7k@17PHlvcXl+A z%F=GZcRM9BAdH}Guqo}Y2H&{^j0hqGWHzJpDP%{dSHtf8U=$C)zu3-P*KNzR8FkcBDl886jd&bbaWL}NOBa<7Yt`IVi>+%Vn9^^HQ zLXl{@&3O&-%_YPAkY)0ISF!j&_Wbo( z!KJ7bM-Q+c3&flHZi(G8iFuk{;ATKy#MrisD~qOOLR~%n48?Wo6WXtEa+bj8Z zpO^bv(WmXnNsz05&!_(oD?H>hK5OfK)GMmlJp+%|Yn+&9SS2Ds+?fndX?Cy?Q6COO9<8?A1 z=PC&9={H9&odGhSsl zf*M$PhI?Zm!U7I!57+f8ocuAx{@KfU|EW%~`@J+S;& zO5Nze<&~yyb_n80VCuQ#pN6tW^Ft#ikjzocXDk`EKQq1?pI7<_b%Gv=fm1|rY)CEc z{LcKsy{_fS9?~iBg^F(vRP#-GUu2}QyS{7c9d?VkavVKW!vJ-Z1M=q~f!P&Mtx+|d zrpRO|0K>~xLzH#;mOoLv`QxD&=zZ4Ck0BYL?6V~?=g~U8tWE$O2owY4LB1ob_ZDJ8 zQLU!Uv!&#Vu|De2NrG{u2y%2dA=nfuZpgOJsoEgzokkmC`d*h#8)06Ac8a~bzEs{8 zCmt=FO9*!a3l~`x(nQRhiSlPxn{!eXf)U5p1C2f?H?nmTvRX83CBB@V;U7CeTs~Jc z3-!#}flWE9m_Z2Y5=rWn;_AOg#csBKGU@vrvsa*-RnSJMJ{zT*3UL6{14+p;9Z0?* z&4K{V&<$NxOdQpDA3Dt~6i7Bbd-RiqT8?`QZU0GFYGLrTJ%}d-$md{Ccz@E%4dneF zg}hq}Kv70vMMD(HO^Uve0}Ms^p8O+isFkrLLabDlfY48!8+L+Pj;vGeqowv608R&A`yMPaYB*tWDVG$*XtMTBn2QU81T9bRBo zc3rGqQmL323d-tlt&I;dtWrNPoNdR?FabhOJTlK3J|+0 zVd_q8Y}=%tG)O3@-aii4{y2i98HdJ4R_4IQ&hu~7GN^L|5wQU)2Aoz5U&y76y6!_+ zxQ0V->aT7-;fy|^Ti?!mgbYVOetygJJ=|lsIJ5sYo*wH=qe=H3@s>i-S*U<;;b_T0 zi;*3x=fb~nEU@y7cJ3RF*e*_RIdh;&uw08>4Pi&+?w;s?NodgLXS_Kda%b7Rr+l}WHNH!mfg@QF&Xm+UVgoIx4-y$VM)xOv;;EWvGTo46 zJ|12l8yawIA>(S8U6n6AgEHo>u$40Rk<+O^SJfST(S^1jYgI!4!z|fe`3<6fJ*o)O zgvXnQMR@M`G};pj;!3c1caeTb@Fzbm&-HnWwo}fcoAS;m@^F|&9CUSYy^QgC^FGKW z|4U_}0UTQhh|}g}nC`PXyt0T6!ckfwu~Ukz5?WRXEmYVy+e z>dF1i|M(>q5-s0SvQpKCv@J}#Q}7h7#<3*Gkl@10=;Ccn^;QE1mK@DBt`q}}qmP1H zZ2UX=WD*yh&x{ZnCy-PXI!P`(pxS_Wu}=0)?&Gxb;UkM-gZgA%~MN ziKg?|@sokJSue%}y17dZ2N3JcB7loZ$)l_{7AXXvfpgg10L-=X#mF6O9ZWx>s;&RZ zoEW;Kz)}-%ERSuH4lQE#UYJlh_BpLoC*g z$p84Tv;)*Z*S!(T@<&H=0q^B*!xdcU_hW)d_beZmZvp>WyvYmf@Z9t70B_l9L-EIU?ki zpr%nU9Ttsnoh|W2yunGoEsn_NfV;D*-69G+6x*L#2RLQFmMIiD{B!=wqr^C% z6FHbLgfq2G*bNR@UbP#f!au~im z&h)CAxQ=HLI>*=(eRgWMoW$Xq7BAj(Wty~_Jsm!09XKP_Mxr&BlZXv`3*H-Ll8Z`n zT;aVk87gX$o&s=*aHUQgwQ88I3J9uxjSd32C&l0rR{b@@3|Wk{uP3vw$%my!yNRgp zmgI)jqW+OPl?)sEJUrGK)16NDI|L%^DcQlwuT54Ect4<<@rs1JiQdyhJbBpFD?^=&xVR|yl#hCe3fDg-$QlwP zxIlkiy`LTT*wH(uEz4cSG`JqQ>*+um@6Ky!5efYAZ6d$^?=sPV{~1al2;YLE2hjlq zI@KuhM{pF+cudge5*(HGV;eJhQ3ATvE0AFmB#mku?(kdVqAF405QFEI=oPJOaw(yM zNu1O8cb{^M?AWyyK=9JddLw;EYdZ@G#;af&lg%0?LeD#5PPvjYj|`c!qJ$hpM9i1$v({pR8oeDx4{neCXy_di-qxumOTO zFQ82o73|fj%ux$AIr25|vH>$}b%sr2ldRp%?jFW#m zy}`2e3d_8}wMzwUvlBjFeyT19;q}CnLDVy0!fOu(uruKa`2tv)*+IX9{>g(g_ywv2 zlZz%Kz+KcH7ZzYxTkj>FFx9u=9n_m0g${|^v*-Diq)FA*D zmp312$OVZ>|GQ~XEwqC8*6H#Fgfwn4L7QPbX%M_#9pCBzWyo>Fy8ocI>qR!wK$cO? zav7eU%Snoxul!C{i`B|3RxXE-$3s9@ZeYIiSc=@-zUqLX*N7|4a_)aFp@tRQPt1JG zHKN%`y)QhrJUJ#btJ}k9pdkdgqR?^-_H?@ zQqAkC#MT}m`61GiGYCLwY73}JC7n1BvuL$*4}0U%@b>V}tkpV*>H*mu&YX&a+93}U zu{pj5NN(tf_Zdr_EEJt8{3{*>VJcxcWNuLQl3`bd|F$6iI#W&$cY#q=z?fMOt0=K} zt{hOMRg#MV3d4QA@H+~4qL8i+G1y8bW=HSSTFkdWYi#|ia;7G7w33d02Ino%ITj{? zXbG5FT$hM`CUCjUJN<~QK$Ldyi@vIwz#|0Eyqme5n42q2{xF>Xg{JUNl=>T?<}tov z9`Jc39WDjLfr@rP-Sx|(y>JDc@aQctMfQ#m0*ix)*HvC~3i_L|O!*gAxCMUlAgM+n zJb_tjwHBFQ`Ztf+m7i36`Po|xho^jSM5z~Nv7A3|+juCmY~<0-y5RqpBUxET`K3x; zJ*;fJ)YdQWaRcfJGnY0IpgsPEquiRwl*WmM8W)97iZqPHV2^oY z35cIcup#JbCfK09(;Iru+qN$q_y~KkcWVozUGm>sytXQR_Y7yulfKlC!N8P;u(m>W z&9;rPJ|(-{nf(y{&q&15DXGE1B9(0{epx)uG`DP;_M+{6tRI381owsN_?Js^RfyOE zIq^4Xh}1L-?fT+$LZ9c_LtY5};O`d&>9;+t(uF{cISVr4$P1`LsbqEMPUw~aY9!j~JH76~xPuN?BzZJL-*Td|xAKL~FfrW|&~*sy_G zul!ZI(!)~}O=si0!bIgZXH`m7Q{=;-8Ibe9z9IsBY?ToQ zd9k2i?zPY$%dsy`4gJNzR7nO;-@QT%#GyP?hb>hnYOs{Zk$;o_zY_m!FAFLQ{w(A8M0T`l@!0?7Q{-ONg8 z^*m(jZLEQvfS#M6gV4-vY1a$JZ+N;#%QMh@2b@FOh#8IT02{S{ zy=G^)+P&sNz8*5f@RwiHeR)tqntQ7CnQS=WH{~@T+e8Q{kD4RsG0lEqZ*dr2Rsx0G z?GBajSHk}S5K4g#=S*YEE^0pIc4AHh-4LnOS`z%Ynh2IH$##bQ8!Padl?oK2Hgv!N z#0d5LWz!UW(W*Ii3$k!By4q>x{VL>hT-xdK77!)g+cOMS;)9K%;vl$y98j4#fGJSF z{xVElbavo>8vj*=9!Zbo!hI_MD2x7pHYfjIZk|jv#CI^YxnHOSU-}xw!sFZg2hoI# zC*@4GZd~CNXN)Ty^M`HW|9(v~nqh-Pjx}tu2vja@T@{#0oUkUdZ;X+g_BAf@2baUtiW;8t6ccBh|+D^*2=0Hza> zAq0lledM+D|1HBhJz$_}ZryIQ996^%>%uoZuU{SHAo{@>#YmaU2J4K6IuMG*L*ShhUzWc?DY&=^$V`N>XT2B|&k9D;6o9MD8-L7f6&;%#` zJHY%axHLfY2e(WT1b#(zfTe};u*e?f=!ulhIeFz7)T-Q*b^WywP>ZniXiIXSs>hQN z&ecH9uq(?rBX$V&_j)UQPL0=S5(73hzS~Vblh*J#c-=&%=rhCmw9)F z&fjlGR3SkfU=-;DFS&#kN8?PVa%+z!gpK(YHC%Hwjb#s^=#0hHu0sB^iuhNMm|D&` z6Z-VbSEN+Bu}azrjT3x+cY^id3)zVQ0tqT7LC>x6MEKIwUbi!-@|J5*z}Ryh8W37r zi@4x`>uZc(_Nuv&8Xnz0>t|U z?&j&6G0K+kQ~FBEhieDBWw19HiZ%E7nvu)nDo*z=46_y^zFJmiN;# z1hM6v>m(|i1s4w6GYNKCsCD-%CyGter|Z$FoXEwFVY|R^GTBWvM8eTyAXd;u2?S=C zTqNUH21V?CkQBd}d9P_>JcgO+%k7)dl+4hf9Np zyhzPdF^n;SwBOG<9+$i>=^s!~y@o=lQvB$`I;_>EwM@j(yz-XOlb7JWL=DCui1&m< zgrU2CE^`w>yLoxJJWf8opIN45E&IG4Sj47no+rip3PPn()X8IbP8`vrfDRxk$E!$o zTG+7WSVvDgRUoW;A#TF}p@LRo;5WpgV*S&E+{#MUl^UfZL?+R+@LH0%gWo6(r-fFv z?<$0_S%Cra4cw}&7s-dHjed^+>^VfZ2U*Ds4UK>rulTa^x!2u-U9qcBI{n$jNJ9Om znt>7qB18{ITaho(7vZl#XINU)xbGsz91~R#B2T>UToX+k$Lr!ul^7rb`p-!bS5u>i zST16t=i?w&pzlXn(%~L&b`9N_K8!(jzm1L_*hu+RdEKi4(}`uD5_y{HvFy_x*l%o8 zKMhcTKfj5pL$ePrU#u3)#Q4gj_&U@Uy0*IV8RL~>ecA>=cWD%+{T`~uZA?LoU57t2ZOPOLMbiE0^&{yD3%80gWbUb3`O*#*ALpvda z;DHmbf^46=u2h29A~63Zl$i$0%SO}=$`)BDhlq>qUqcN68YBuOK7%X+tOJ`m6<)Mi zVGAMdMAJOpmL1hk!NzN3sa4)q(SjyXH6sl#+@Ctb@l@H|^faBHTq6ogR+*gPc5pr3 zi2rUB7%Dq@xe8nq4XBdQk$Hb(Vtbnf-7>X{afU_RKo%R4#3Goq2SCgC!4ZHr-kTod z@l`M$LkYtk5l)oPWa^suJoO0!Fq}PyTmD=+&L>fgv{{UQYim9f1uCm6c#k*u;O9Ep zpHn`YAjuUZ`6B<|Ky|=f%eC6Y&m68uYE~2kb&XVFXVM>)ercNfJ; zH`JONJ9Uq;^QOEd+HdhcKblEb1vgKx`ui?ge-AR-sf?e5A~yhhFB-INHpS8f$j*_*}jzeH~$5}z30)yoO1nJ(zb(=mvcNXdYL)%*g#Mv}a zgM)>H2@pbX4+-wB1HqjnxVyW%26qeY!QI{6-Q6X)JM2T=@88{zsybDt zs;3`d!>F*%a-exlGK9a|tB}#D=Go1s`cB%x80!3|cdj{hk*~p-(h|A@d(&Ry66jdn z6<&Gc(0FmYx!Ipd_j&`Rhh#YcxiWhWJ42JDs}8v^)&c^FY?q-f1!b0h^QCSfMoO$l-C^;fkN z#$0Use{BxpB`A(N{M`N{^;;H9Rw)vjwa*t@+AAs_WB*uh$WU(av-X`g;af_frjMc= z2Z9rft=RhVJi4>cd|<~@dCV4-w`ETeX5>eGKgnk`_Ig<&QC(BJo&9?QlG9P`VpU;P z9CVbT-vXXfHo!H4rHE>bD4Qgke={{-!#s2tZVO@nZS8DYxNm`}7%5<6L1f_XVp(NB zpW~8h&HQs@ftkG{!Y;)Ga{u-yS9{(TU)4w$`c`?mE9&7Z(^c-1;EL zeJ@6g-7|jw;w6}g9^mph1dq+~I%DDA7-R1)OuRg1?GRU%Sao;P$GG{^9Oufp!IhZk zrO}cT&?MeyU7dI^olS?G&Hk@eWBrm>E8Nq)?Was6T^pSz+yms zUuwZU!YZRe!Q}G$FO7s|SA7Rbvg>kTX3@!ahAI3yc{7s&_^${cA70~p@ym~qCyPri zQ)#`}G1k3rhq*8q-tHSybbBEuJ?2lNsyB+pj z`3=%jZ}4oy?T~kn-2DqpxF;#q)tJvYPQ$LQR|9`s;l|_z?VnY=a^H?uMe)I&Iahkf zW2*Bi?zoEyX7p%1lz(l9@LUdNsx+#}MCFuyN@6hdk_XE|?Y5E0r5AKs{!x_w6sjiW zp!gyke$)=rgpYjb#PHZxNbi2qljd~fNK#h2i3!-?*7WiEcE#5p#_XUbAvr!*(psJS z^lH@sJAz!Jon*mlM2gE~P3T++Z>g6?zoYtrTs4ZA>YKzatC=zF<1ec&BsLL%I?LR< zOwtM%k*3rvoBE>|d5Y`ithck#2WgqCA#x_i<3Td6mnbt1ew+pHAi0IS4_te8RXU|P^b8RJ8)KbD-d)J-epo24&A)}q9ftQ4pZ;2yQ3l>3uIE0t{O$%x>?8W25$|dOEC5tqSgZ%w- zN(LBMXEa+@n`00`kM=W*e@{YfjKXTki*lGAo zdXMd5qSrPRC#Bj!JN-Af%2wYAgEt~shQw}J z7|<#8sVOb{ctJ*`9sd`jY#%DYxf6)vHX2&jog8^^E^j9sMY7^lRu{C z2W%nL53r#kE+KwDq_yi{@VNd4I|1is_rYw%cNgWNW59 z=;XiWOvy1g77E&q`r1End46H3e?vXyl(`^_KKgT>eF708ltFX;R>cnX*CUcDct-JUw!Y<^l_kEGfLz_T;lvnG z1tYzsxmhJMbz|i{xf<)0ds|jK%W-QbxFMkjNDHgQGE@o&THwDwjxh5aBS_yfB%|jl zmkIhOq&jX|6cvdc50O%0oY7tG4Vk0V)?ephF|nYjkGl-oNumBk;&}SGDnfNlnaxBqm#;X$ zq89sZ8+xrZYZ?`qv*x5nJh^-DC=oKmQLMKyMA#Miz@L7`bsgRbaarIrUp_n6CYc%D zOeInrCKi4*XvBrTIb>Zi(RLow97@?$6eleNZ znT$sNP9jl)9~$#TdE1wVZys(K`s;1PCn5F^LZDC3eZ#4&+@|<10og}~x+nz`u+3Mn}>l zLs^_>?qI8yTv;Ccl{!6e)!|s@CS|s@;-86Q9L`aWFZ4_Eg{LnD+}t(jg@53`lL#1f zBn`2|w|Iu^Wx*8%ayGF)L`fH6^@2Z#(ehje@tC%AEOKHrmG>UEcZ+p{=iZQ5&a=^3 z6|edp;_Q~u!loCGWqj1+ze!FT9o?Z7dNs2SLfiX#N3qTjza+Wo8A0Icxh>@>!o@>u zmgs>_;C2Bz|A}5C@o%w>Jkqzav^0jHw2&HhAX3ak17(2evM6*gVfyBNMcpsDP`u0%f2jjrPTDG%HH z-ENs2rp)B~xQ)$0x!e40ny8tp?GI=j@s306wI#CYik*FhnxVRaD`b;Jz2!0T-5iZ` z)8nY{qZiZPfhn35MR|Z>wlu{qLLKcXe@JmU`RsL#dO+KFI*aj1r9we8ujx2WYI>Sf zmIqwWmXArr>Q2B*i9WgA=os~yR79s&qa$4;eVtMVzV|4*yL&j(N@jReMp0}XzVRfv zB4Qm=<;em)x4cLNN0yvb>w#ieOC=)Axf+Hc0**i-1Z%ia@$mX}!A5U=o1zRZ%V%;n zsPN?atNa0UCyTtkK-A5XmeAueW|%O{OQb4nJ?+)@W znfm4UwZbf542D&>^(v4)ZSE_}5ye3rIxoWGtX;oKjDl9tc0+>=O-OTkVPO{B)H%`_ z?#3}jhkENy7wX?`=} zw~9KNFA}Pa+iz88&@GCE9%lvIu~C{E?@vc5kWNZf?q$%Q1ts7^cNU!fLV8}kfRJsY znoisf$u*jaK`g+cq;>t3_*;EVxvaG;B|C}~m_oGnpe`2BRaO6d)!!~^{| zn7Q|PN7h<5GCCZjaR1T0hQ*z0T&2e{9bXwn6F4g4j$~aIbbWfN)NC=Wlwc=iC(YO57kSs5E9!T_F2)X6$iV+hrjsB{G?I7NELfqjX*Y{Xfk zx#8l859IM&6rJ9kXF$B}OX|Gc|I(An-xSb6nIhiQI;Yo#6W|b&APiwNv+_g$G2fyt zc%GP?Vxp(X3|@6eJQ&yY&nop9*Y@ur;ozw?-X}jb8$Et_N2cnqpG_P){`EEADFbyS zAv7L>PY6_Y%5>mr@DjhLn!)$RU0}%=`>TCx9T(v7Ue7|MWb;MycQhESwz8KHg?yCl2Fl}Ab)abU?v(rcGVKfbZXFk zni~5IAYGzO7?9geYv1|Gd)(KmqmAibtsc$1v0JdL9^6Udc36@##3wNCdMh0Q3D9t* zzlQC(d-p$;2Tgb^wthFEIL$azc|dC$48A+Zi0zPRYI%Q4`9aD*$VMu8l7&^JED>!> z`}Vfj!8PNJvReos`WPxe)Vr#AFPBmKrGjrASdE~XMSGJzxeF=tY1WOp8J53I*OddM zt@CW8$OTx0>MVdnz>Hp?6t-rFG2FS#jYko;E+2cGHY?+6?>iVD zs;+A!fVLvz%0VePFTZC4sM;~DV89i7y(5T9?-gP{e<-!T2_bWy%Ac5Lw|h}vVj8Pg ziV(M{X{BpzZ$I0G!)O7cba!5=+>FGV#rKxxT8uLH z<{vsRs@HLITh;eCZq@57_v|q%5KWgePTuS_<2#r5;+v!oitW|GDi1LSHUi+><=}Y@ z;j4ecKR*7w2Ze&|oA2{SkGe^1`CG|COoGwGIJ^d@I|KZU9J}i86VRVonU~#0iE9)c z5KZ5dsmQ|3F=nwrmdS1HSZIOT3JhV=5>4K>N6x}RbP?T)_G*$R8q5(yEete_vbDuM zkz(-5kRV80A9Q!W-|mdjcIn1uj?O!Ute7f8<2rjSAXC`ABM*o*C%!w9z4K)YfN3 z{5(iMn|h*8e9x-83V};V-iX2d0%K-VpWe)Q(JP<^@vESrdMm^^F8nTqVsF#;ysSSQ z8nY&*ju5FF_b7X4cweIR$wai#EElQ_s_%W#EfKATi()SRvd}BTxHs_Cy3@4%fvJH_ z^4p&rP0Je2k_u*1>|Lb2)9-j;Vk0jy`wX(2az$6JgRjjs#5*QWK&C_r-WAd-9CTXFQ$P zLx~+iedQZSX0eaYCBIr^w22?12K;D)Q%G!(=;PVVAi;VZ8i*%s2$6NxpwNVJ(hvj=3M7ta?igas-o8j%(b@y3k9pl+Afh;B42>H_+fD5E?yAfL47ABGBVy#tg%Oo+q(3p$&l^)4+GPVBQ8HRTKTzWa8mQr%J90Ft|P%ZmV;?-3J$QXw>mL>? z0rI=S8T_jwhIa?h%Y_{Dp}Hvc}1TQ*2}O_GPTnTd!>)vD7GFMAw>p zJOomLt&qfLFb+HitBwi~M&vxZ%N%1VYJVAjaMP~lDg54;W->bA+L3WnXjWKpk(4uP z)m!{PJzE0Y;(3{39xH)K@Pk@YM@ky7nt&4^;d`CZ#lIKVBix{6LfcDt3(wR*)rF;0ILw2{UawHu_swv@K(P>?Z(GfMk%!V49* zpzU6RSb2Kz*6SVwhX#lnC$l26;2zU@Ds03~_nEM`72ro2FW(TxSpp^V&e5HIAIM|n zeH`bp8~zdYBT$~s-=(YZb(;5gK@MkQ+etZbj0{qV3{;;q>jNY!lx?+hHg3;tVk3H`9UVe*)$W=i zP}0S->)loG188{K-tiXgyF!)?Pr9=GH3;7%%>C%3KlBxenavYpk4jEP(~NVQ|MK() z*r~UC1|ncg3_X@++X-kiC}rqNNkfbGFnjQ0(H4@ZpOX@~fpnIM==qV7EnNC6Xz=>^ z>wp(xH0by4Q?)d_8&)yUbY~N^@cwa*7_FA78kDP-vkQ ztKL#K3E6GSOUdZF?j|{gBb#Jw)eesTmlOU!^Sj#B7pQ*7+j~2Pn@+?F(^5K!VMn3) z(|`IOl*i`5p;wEPVt4&?TTlISV%O3{;qf8qq8N);$6b*iy~bDi55-T#UR6?<$5Sdn zSGGn9wa`BY$r67tU-z~9fBSm1;M9202#CIK3TkkcKmE|Q}vy8Y%KLld-06Dkld=tM|{$Ww}VfA)DLm)h74fAg)H{B*L`z0y?Cp4 zVaOL1v(ty5(q2hgST~CU_ z2Z-V29374S#%*yqCpkJ-sX6kE33BDk$-`z?nzUpfH!BS7NLUlw;_@(!9EE7Zv^7}D zq8-VoAjLK{N%TTcdx1F%gm%03x-IL=Lq_aYd7PU!2=y(^kp9c^&z&$46N%dMGUK$jhYN6 zLot0Z`!O)+UYHa(K33@Ij4tt4zOBpvxcw9*GGu{byI~!2ld&@7a}`U;E52LpV4LI} z2uw{F^-Y;q7=ER4NBEKYGg34<-D@~_$2qEL$dKmtTn}pU``w{JiLxrv+Jt@5D9@wS z9W3Ok5nf8$7BxW8sK_lWzon6$mY$~a*wX=`QqS~d>pFPB6I}1z%-vyT2rLD(-sJ!^ zVD~T8tTssd=HHytTsIF}$ZCdZl+{J=v^OaeMpc2dKDqw6`}4a1WAV4_6W8;;PYl?% z@QsCU#-i5Th%7M|EDrIt=Q0w}#Ee>hxAW7U@=mJFT2fZZvr>F_+3kVw*Jix6TaQzl z1ud1jfQIL2U{+Wnya{NI@&nQ2o8a41NjuWMKX4V-{x?7uyS+KSO1%XRC(U)MLoDbM4Gw0C?uLebi~RrMLQhaJ5a&BmkY zYPGJEs_*Gbjw0T(jIKmO#&iAzXcRkT;&0-B{J;}K?A`OAvz2EiNbpu3GabY$<@w&4 zlT4`M^PHw=6)&5!^>aYaJ;~MYa-Pn~hW|?SS z5*c8XNvdWzMks;o+qCSAa^KGHpZzo>pm z760f2KFhXJi+gVbC0VBGy3vZ=y1l8d1l7DI(^65hp(9|^+BzQT^Eu$o)_G^Isi4Ok z;5VWRa#2WVzAMTgiV(euu#~lv8N9QocdD=5*A^Kdgd7JZoRnPW{G@Bu`A1r)*fCDx zFH-Hl4tDWm95tFZCAz|faZo9Pm#)<^S@w5O46H^iK8NvKzsDYhA!GQ$XnL4{1B3Co znc&sX-vbb`o*I95Om5V0X(~KRxm>ey+ItAaQ4GO62-6*vQwX0s(H zON^g7|FR^!mgkC`8oo+Su(67D*K{Llx#PtvzZ1g9F7Fg;|7>$M(Oaz&_VMgvx=lAb zDVJS>%9M3=n+4@H{mlxl1K)eek57O9I%|Qh;`JauLwpGTb!=*&Y^f2;O2lgz!HMxb z8n2qTC!$V=Nt61lF4Qz=VWsdEOs_4a{P|re3IkM@%!taH*I?GAdP+)$Q)QdFcO%w1 z*vekSBltzmh?iP;N2@TC`lAlba=-^jI8v~-9Sb_U)=yeW$Ai~Gc^}ZmRl_BVhBDsu z+W}3_L4MJtIAK|lQlrRCetu6MUkZcM7U!+E2-kIC<+wwM?-33ewY8|hJp3&<$HWsG z51xj}Nl@8{3%_n5ifUlL4L!zB2rms-W`!-fX^v&G4s5+FfsE2$U*gpsciQl>uO}&g zNVi)*Qy0342DbiI3P|Zw6q@j*wys2v92$x5nqI9?_}=yHs=RC-OhV+nQpHiK8-%4^H3-X z)?+4=aRWtnyOR`FEz|DOu>rk_{($dC3a>QT2Qv#UYg5sWxkjAUOgXT+3TWdig#f_w zO${TJsVQ1oirX3RyD|Z7ixRb!m7I^fasXKoU(5<^X_7F{WtwolW4~Un)lc(?(U`dX zE)A~-aj%HJrM5p`a;6{=GIszh>+OM8m8TR^-AX5Q2A}7V65DpOu2Ih|S1>n9=&rW! zGnaxRd~k$M;Mc|4(kx@XPnZpYnap}DJtE)$OMNX;bwkPY-o%g5*ZeorpwPMU)ukHi z#vGKE33D?NrPX_mvj7(`c8&~pzOee>VG^_#4JFh%$6^{^@ONs9XdU6}(+iFA)YcIBd5*uN1x7FVj#pJ|}b?*i~O>HG*6AAJ%)utAA5uj74ppS2IAzVFHW=7Bws$tFkG2L4}jaugU zZNN+A#~Uup^1t3P1sDX`pe2{x?lxbNvw!8-)Uo=NN6U$pvg_K@pF26 z2_HKe9L@3?1kQZCSDG{2^N5s>H3z($iC%v{R{rkWats5C8A6A}U`_v8Gz-Z6aZ@a2 zA?t0daQDrj%VaBJ~ycS3X1if0#RRtEM&YMdU;u{3I{HH zT$v}m+evi(+`FZtgo|u|?LgRR@h}m7CdxSgK6GgJlspZd5>>8Zp%wv?h4xa>GLv{b zP>{N0Xf3V`9L1CL#QN;ZX_`}OCCSL_`R6R?%lYU3UIU&j^h_0T2GntkqZPS$-=Nl+ z;@%R+(eXlCRCLZAn8?Cg(9+EO0COP%U53x$1$8n>ZAt(JZZz_$6ps3ps;Ru?#oXI% z$xNdk64Kcsx><2@LX&@+NPFoV_H$-jHVVD3a9>@1tmzB2W(Jo)#;Wp(+RZY-x<{cM z_eIPoaVTgDh79q0U}=gRvsPh;ju@$XD^t1li=Mo_bd%6$5QX!F`SFwxrBNbDcUA$f~ zT^k4|{wKJYvgEpq#_8AKJ0ton+^OTy;LJGppsTdj z&Kk>(>Q?y#kBQyvgr$GjgPD?u;C_NqaibRpTk^M{cK-2Y@i=?*9Qxb@s+cC>?l_PM zWC(xC$ZG(6eX#-Razn+zvxLhpn`O+Z$&-jQ9(n?L!O>4a^|;Qib~JTPGLLC0Ico4* zppL)OA4S;W202BYyFHhp`rG8Pw-zm0W0q3+td=lL-Du6?!~=)X2HZ z*c9VYi$nhM8uW(u#&Q21xnmKgt>{~r|HT7x)&HHnVt-t`Xhu4u1I}yV;5hH;%DkaI z{Rdyk>?zqh zrkn$g$KpVhjznt2j4hul=7U&W1YK>&6AjQCI11*~3&WN_Bj!bHnUJ@6wylBN>-&8; z`dAiQW4#Y+X(nkP;8DqDg3z)Sy1lzQ&BK{{+>gZ!oFSN!SADTKQD1W@cwqDN5adb? ziV^>mEoxK9f-g<+QH?vYFX}hq=+006aQGjQANVCY=vd-9Oyf-$_06?voDt|ra9H9J zuyimVAgwmfi}yOa$uHZFr4LOS9xf>_^^eyNcP}?Qt)q8oHtv_K_C!w z;&R5M3H%dB;RPOJ^aTPnjXZ~#S~-r~MXvBxWp zXS-#mv>5Et_?t0gTDNcB6c;`2CsK6IEb}-ji>ffOSTM!sRVY$#RTm^b}w@r`s< zQ5x&AQwZyV>^Qd>0+FgMNP4ftE6Q-uYci2{#g<75T^P%Qd!6c16dpFa_qU$7f9rsgTZP_(W+34`s!?M5En zq7AP9n)JB5IE^`0n7K+gQ_-myUQ}=L=8RYI{qoTcWzy|9cWd8*-6p#A+Cqx@QCw~6 z^4DnZtrMjgnKFib2nOzMfUSBI5x1JFm@@}$_2oBYC=f^@D*;~oxAP^h-RfWkMM_~gIdZ` z`OP*}p7GToRWt$F?ji>2K4^iP0pWTRk=o64j&tgF2Po6OPwdrIDr@9I#7as|RhFp0 zY{)6&Z7w{IoYHHs~`_^udOqi-b7cDoXh2OCe|iK7^%6>1=0 z+}QA)v?%FYo=#VJNdA2hm-;vgsxi*}q6TwvW9y}jUId&etP<}$+CD|B8!GyB9-5*p zL;=y+?!1gziBjrk7jt&e&8DA?_rk+4O49@=PFYBOpTL$ z&>)be2g^cWyn4|b?TGmA``w*bqi^VCFuDEEwQiGMRGPI6M<;k^VmRt+NS(^QkGLNE z*!_dPR9x*-S{&n(F!&{3)NYe+qFvE58%$VlLKv|f3o8rXH%<2$^mVn#XVWBpIBhbh zeIYXwvGo`kZTH)sw3<-!AcjdZSm|a(?P%Z;{H?N()c^v0f0O6npJPW+>Qe5K(|q-i zT+$nRITUj2Vzrs;WX0dT`M8iSLkT@ZfvU7ts^L7^DBBWzmdt}V%lOHKh^=M1i~71a zW(0tfzS@T0Lw62OIGimp7F1i8H*+y>_HX5$IgWkSIU6cn4d)*B{rqkXn`u&lKYJb^ zHdPFeMBSJKG_JS07H$_;D z%B88Pqlj1YYh_uL?s}RF-#DAzb(qZ7$-9s=eQ`G@n6y5~+tHRfG#J)?!&99#O3ac^ z1p>uD^+DfTAG-&D`O&{uG-)i`@pEkoL6Ybix{oc?mst0=v--^t!<$i6N(ok`#?fS> zm{xb!%c=9Ie{g1ApO3}`Dt~k`Zl>9^Pf5FOeXJJ_ezT(N>_=Q_K0H^~S&lyRxN0;>FeU z$8b=G$q%pzQt;5m@^WlHy2s_{)KcAF)xLUgR$775 zn%|V{{y{44j%n;M`tPqA)Y===kpSX~>HSn#|EX$!IL5Qn>OnW;Kj|@6tk@V%s|hc) zaAob*2Q4N*iLiAcE|$7dKJ_d&@o6k4sCMrr=@y6|Aku6lcId3;4P-g0#@YYnz>iKMp_|)NU!AcPIz|Ds%bKD=daI; z0@qVTgaD=lx;B`%qA;o8_3AM0gHDH5;tizK_Y#7nA-Yy`*kIeNtAQ;NInB@Kx&|+= zWiv@1cmyWinkW_87UVp0Ag0_oo1Fz6)|-fG`!`JKb>U_swys!>l=FohLFh=!rmWFB7k*+ z6|yE&GW}K^9$hx3W*IY~qxn|eyVVA%9`qQ;L9%?TmFt+Il%0Jp=_GJ9+VU@M$BL5r z<<$caK_I=jd-sbJl;FPsXi`tis^i;P^V(9q>nJmyCT;q2rx>?GfZWO8=~;}ZZk8eHTZ z++6CH-Pgz>puilHJ87qpDDH6Cq)01#Xcn+7Rh@4|Z9Zn_U=g+}oJe%Vd62~iftJE| zq_Q>|Cqrh9PB@=Z!=j6+YEH+J6}qv*Z=w{UTWMN9TEtOVme*G;J{mOc^GGi^kh9U> z{EkqQtrk`*@1K_4E*|nssR$(m=W#eWAEhtuZUs0r5*uqH4{qu|_1taHUQ6%%8S+m+ zW;ii;{_VtSbNE$wb`*9t0h#`!uD>@FxZUhIa^ilY89TAVCfs_CUfq$;89S0ja+JNJ z61j1b6AJ`79F9p55%`-DhpZxVn5ll1{p`5xuI;S3S0g5%;UvnKdXc6lpMb9G6`@X@ zSFh2ocF{dl>I__(W{;|sYf9V)Jy7Df>aNSiU9oDjfgEJ0_ni@5UfkDKO8hU^$fMV2 z=~{5%?KyT!L+S;q2oJ4*cy3GH$56x~vE10ZK@HkE|6jzwA@HWsXYyT|6I}p=K%psp zzlYDQ9yH=Tq6u0VPBuH#k}(Xd$_2tDr3T2IIJlmCS;^Du0!UGYa+MM=K2q=fI61{=Eho?RJiA43KKtNL zU09%})aEUy-!3&T1Yo2{ZZKx(JRZx}^enX>2~rC^r8OxDQOOuU(Z;Ch%dfbUZM0pm zK~G8Dje0ah{k?W+AHnzShF}8ekG>A2?XxV44Li=9m&3u7Rdi`?n$t}?(nY4CTy<9aNSodpe1tBGhQd>U}n5_Qc zY1O%nEjwFk{}qn$=IUZ?(ahoiG{hii)!T^mn=xzb9+h4cpOWXgdcZkKo|}oSt`_sr zxI%-$*I?~pG~cRR?5!%I@BrPH-pbhU|0mC+!)N^?^C|g}m=P^UZO5UB=w!>3xD;)A z>f*HW$$z=#ubfi%Bb1Gf<=SEDC2IX3!N0A`0{_#=qKcIuS7_KuLD^Kk?&(X;&$XXB>6$65qpbe?WRd(@aG36# z|IS>YykQCTIuY|OdO_`q`4j8)%+-+wVv*jS>MUXD=qnaxGJ>^fMSFSe2NkrYU%vdD za(o1%Z55VJefZ7jj$L)Wb|k*Ir=b7>k>MPs!Ns5_xFCA#De>+xkp|))OJ08Z!B2lS zvZQ-;HN1hpEKce}m9Bg0K#zA2#dIrcT{eZ`Ue~PkJjI%2;>ssa%3kA~U4T^;lb4dW zqRoR0`S3ZM+0<(=)91Gvjw3prwnw8%*Yh8a*{PkJXJ^rtUDP84cVDG5bcFtb7k>5z z1uzIS@c!y}jFxegy<&`&vEk&d`kVG}Wg&dBGRkT1;|*%=%ixAKUMigF0Cz*2)LSK9 zIBuTI+n8v5mmuK@66dm?aUc9=#5?~BE`05rXnU4(F0O9D`6{WQsWcJ;u|Kn{yt-rW?x_?IVP;6ie2iy`0w!=8jL7sx3u6w;b$RD_L8Vqio+eP z58m2lCWe&&v?PZ8?{Y>_)z|EiSzH&1>^VvDdO?iCm?c{2g9FadCdI=h}|6$o8 z?E>QxLA7hYO)*PCR|>E_a7t|qBlA7Kv>;eNyp&8J{lPfS+*-QpCFAUkLjL|wjjHU? z&zSILY%zLiK?}3A*aTic;Ju%`S5FTOSJYaC)xT)@r9f+M+aa3ifo(Ua!GiBL)b+~1 z#uMNUXhkrNzcQS}&2n|Q^5TC({O75PJ?x7j%2TYXY(@Pe<)fr;YEfR(z2N=gKZzFD zXG4A&p$f>xWlX0(9;$%kqYW|d#NH+z=1=&9xDLT@zjLRM3uZqYmU6{)ii_C72}8I> z1o52|S*4d_hT>NBHb+TaC{lkaO{`thKO);Wv|?$XMRSqPxJRmR^Hef7$-YH!7K+4F zzg0%QYkx7u51YIEcyqoj(XI7l$u|HFOOYJ2b{kWZoD_U-ubo{zc;ebeY$>8|$xUe7 z3`s#N2aLqkKmxJyY1{hJg1mMcr z+jlG_B2UsQ)JXsn&?MH^_0qA4y(-uxQnP+A4wZJVDw%6qq9*oZ&KHvnZGwP#oS!Q0 z~7@7lI-|~x4 zkM4m6)gV8y+{IlB`n1wa?bkHbfg(3We5G_Me)O{U&8PK$3e#J;bIpThOCaMi=jB1i zmC?ON{X|i;Tm`5RIqZ*s1A{)voFJuyAPlLqo}TBt1od`@rlqQJ6EZefEKI1wce)ml z*L2YinR&CKRy+MRoQ@#T{~bv6J%nf=!-&sh54nAmoAUMMcU(VtYt%%gHZ0G&(*;8FqivjK63vH*;M zW^)tZfZKnlYpBPiHL5frrq+1kwEr1^=SO^j6#=sVbzJeYADfulfm5@W$E_Mfkd zh$-_xe=Eu%jQH#C_vM6?g{h#=;25($=Tuk>T?{p->!slx`KzaDgL z+icQLWHg1R(UnT*dNIH$fnwOENr4Y|n$Q!2tMK)hUhqwVn3Z!Q!iSW{{-i$QdDSZE z0n(5I(iC{F8gTsY(?3=%C_;N4f_wJ4NDjk19^{KA6@x(4IBwPZ{{b| z;B3?{bH!{AgaCmMq#7K0okY=165`Td>#eAsu#$XzcO8rs8~cCM(!p=javA%R*FSQi zx|5W})hs^kBR4cHB_Q8E5Uv8$O*!lb-3te&$iZ9m8g#8RLH}7G*_n=~R-2YH?W4n) z?Rm~8`X@m~?0JDycH{g%ly3pj!vOsE1oFtvA=!x9FgxYlk~O3{KHT@r3ybwylA%yS zt%A2>Vat=l`58Ig#R%}W?|gsHc=j5G<4I=81#2zjC~_}S^sOmz@MBi_!`93sSQ%!;v>cSrIGpk;9&9RNlF zjivBcc+%(r>0;g$nX?=8lL>-dqEJe%OdQt4UiOATZ~l~dkzV!B(l2{}T7e&42R#b# z98^Obi}x$R0;O~6Lb+x9Tixr+hDs0gQ(XLP@q|8TJ%ozaw>*!5ZMys)ZoR%ShF9U) zGS*xLIQi?#Wg_q%`2P{;|EE8usObNj4P?of^WVH6ON)OP2T&x`e}aN|=Y9UI3G|%> z%izCHlgZ%XycRkDpYVD{4$hH+M?}yw*R`>=*3&Zk_p61rF(Lv3Jv}=i9pS&JK>d{K*nH$&|60)pQxw9p=Uj9Ia2 z%7u@EgEBHC4KtX`p}BqVB`iZkH<*mXE-pC#sOfMnAX+KdBjFT(8NC@6xmfV8_@FwL zb|b~mErCSpGEo6W=lQw>rJV!8+;XC>wJuHVC^u zJ>{6;T*WY9+nAPykB*-f$l0)VYa%gJkNSmDEOScEL6A>Bznq zua4!_vePna zf?k~;dqpNYdlT*;gUv;4tg<|5n2;3o!xx36-NDxyAXU%!N9=ko+l@Q7ar0*9-< z7Z?Y#12emhr7&=@f-U?!Mca@vPzF8UIOod^j&~Ap_!(oSu{4k3)|EA7v`UZQM5z;< zu4)a9m#jNvZG-`fts2CfA;5d_4mwdaVmCL^xqC+?~;<|kK+XB^EnP^ z+u9OVPy~PQkNwIOL+U_`@pHEF?+%8QFrKKud4E7n^=3m9TGvmItIU4_h3kf!LtS2j z@fX(U+5L^Dq|^vQr&B1(F0cJ1i+-$mnMQTYbPR5$WL}L^(&*pFf;sP=!2@N!X_OKC?8@ z3BtO(1-kU>nwCPt3e6#5ww@D=JsO zFux9hi;uHZ;*6G(j}711*?FtjD4XJTfi<+m`ku$HmZ($S+8(6cwv(fcX< zf3f$KVO4Hj*MKM}(kd!SE7BcGcL|6{Hz-Jp2uPQ72}n1nba#V*fOKxUyEe6{&1Qd# zb3ErU&Uvo){qg;K{ezd@vDRF3jydL7bKM9t2^+t$e)8hAh^6@}ON$p4Z^*crq$~jH zys|t;9Wp~bGwT=s1&CNUSs!w9|BOW&lb4;Y!4Sxu{Nd~q`~RU(ye| z@-{YHB|#g=q-h}DPy|9=w0XT zpJ&P#T&o#Ro5W#3u*%SEDH=U3hMI@pTEcdNPl>ZOpL@}pQ6k=HT|vT6`2vFR%1drA zhz1){m0L1jdTyIuHSp4-XATVccA9tjRS&M?RjXCS-K*0z=A)e^^T(+PE-L6$??5<6 z5*+8SAlyw6d1#V1?7ej+D}ofx+^4-z{3y=HDavm;5nH_fbw2iXMADHK)(pSBH_=9f zfW~!0#1K^7?cIAY)Gdu&kHXci-r}#p#)k*UY)w_pytru&)I9t7JhpV)m-pm1m=d=} zDEF>b_QIbnN%r0ZkvsEfr+B^PvfaBWIVW|2zLF^JrTgSnM_SirVsD6~+r71_<87=C zM6UH1+|b!Qo!D>X->rU-JbNDAkWC!qHAvk}wY_kiPbgA*l4pkXGAI*5Ajkzxd3i2K z;+-Qy?#{+lGNE%+DEV5<=7xT5)ZW`Y-6#a2|MLr9>6Ys`84q@H24WXlOd8!>H_biG z8;&LY!jJ~nyp!G2~CsQ%Hp(Mw%y||!lh?mnfnMbY(i-Kgdnf6 z?kZ)CL{W6?5%DCxh3>I_sx!t~W*Ngxlo`np#Y*+XXs_4*;8f0c{}u6ZaX21y3huafY4q)8nAnc(bJv7wF7e@b%4Q8` zCVvac0Gg9mS(-1&MNP@o$0Eq>tlOJhuest0lO$=;-5;8otBMh^;AeefS6Tcu#D3}9 zUAko$kL-k9)rxgk#XP#+>9gzkx+rcUs7|(*W*7U>RH0(=XI8Mr?4jt+c~OX&7vk6e#p(jl3Q(_}Lp&N;STQazc7hB$XP)^?;% zlN#T@d&|bKE`g)*X|(3?Aa)RPPjq}#q+db@PItUMV^_xtaYZ5ySq`3$LqdbjGNF8k zYHhsL^r|X{?#S(eNMx&Kv2LS>2NhHg^mpc31kR4qrj;JN z6UCF?ii3(lp)t!a{5f{=+Z_>coaSMsiR%zGMgi;gP$o@>XD9fEc@s$LicJ|qD9s?h60>9VLe7uNzWI;Ho$d(s#t ziLMF5xf`v$nAO^^T7LgM>kEbfyCG z)Wt9#$Jo0NNje<0h<&x|xT&MHtP-g?n&a^KQ%`^Y-B}+0+_+ubi4wX~{Zo-TOx@`^ zXNqq4#f1}6WtW?0ok>~S<=5Y7w;@;8dp%Gje*ch5;?VL&zjmvFA0$Ch_nFE@ot-ie?3lsYWwhBqYVLq`*J zcU~=J=%k-rxU~?E0jjGpJNdH$DgyVYd!d+Q7+d=T@`u$|yxwD)efXxuzsOh#DZfK= zi3`_RwEm5mVPwr}Jf$d{ha||N#@_Ns{z6hMT~w=Uoq}&#H__azwa8%vqvP|~QM2@o zz5T6Fehn`;?^0D%r^e#0lyC{r+?Q<#Hpo9qJ|ugwv6B@0G-+a`*%9mVysugX-qk-5 z%;Qz_#~~~! zxFvk$wG+sQyr&{*RFF@7WnQ4pLOI?V^fsj=BGXONw)H|k`6KK4mS(~+Q8r)xFkRg< zk&Hj0?@4;fo(%D16t%@I$nI*-nO2?a3m>B8{4AJKZFwzhsN~a&rCkrcuNRvP{p#x| zpzw`UR+)}gWHH~MQF(k|`mR7=RiSlvm?<@VII`UqyC`1 z(t)r&)A4jtD}5d7dVs0*jgE*-ai_AP;a1-R2g{-CfNwA2c10i^JZE@kWFOaG*Vmm5 zS#ET^w{X~`tMrVjV;0zbC>j+U&1Wb3(y@tO%@sx`Rzx@&u_0<<+TY(h{Ji6!r|UXb z$g%YSQMLX?GhjJ_Jhx>ruhaX9&b*MKLTht(gbk@6&Z7}61C&cICDJaZcz{K(0au`0 z>h=&t;SftQ8!t2O=<2G4*1^rjWUQCF6PWeBoF4CWeEVi%hekHa9*ak-O5oSf0ir^8 zhF%i=23lqdl<*2BUL0MXHc{Glki#Sp;v0vTnm)m}V5Em+4r}wg`rdaXJon?r=TkNI zAO)A#%UD?zniZRmmqjO_NOA_({X0qRX|ECSTQg>UU&Z(+Ta`hApuwf(e= z4Gn7VF_Z5TpeEn_{V{;pm8AU;#wZtzgecr%CDGk%-!VYpeR&_RO>tb5*?j>?#<|oj z3?4+KBFEXS+91QJqUlWiE^j|gMapkKye*tVVthU<8S_s|{g*M6Ypc!9(XsE-{+Yv^ zMmaJ(-HY+4&>pl$ga@(R*beKut}=Z&h3=Pp;Nf0OhA$}l>$)+&y7BJ|_FsnazcR&d z%ld@S52ZKwM>xM4f6))c1XKWHeJ_PGbb&`P0i<{Pzd`@+obLaNr9U#CJM53q{)gB6 z@Bf+1%5K`ZyeaZOkD7w23kfyOhX`or2T^23ldHbReE)^pz#X0MXvP!GP398Lotw_i z$6CRW6ArJe3$q8wB+;dJVI~8fO-<1op=eK4>s=5FV(jK)?2PwXSOnL?4cM)h9v@pb zxS_g0QiB9eXK~xt%8(sy$wc+Z-#k9`VZ- zLq+Y+R>^O>PDQl4w};5Z;C!2-IFS~@ADfuSNEFGSaUWyd9fM@Mnu3oC*1VKeNQS?n zKT%-?=zs<60OYpn5e?S1@XfEpmVx#IYeU(`#HjC|@j2|jkCK;_4LV+LaKC`dv%%~t z+ZD$JS0dQhQB5zxQiVqYpaS78EBwTgT3gW^{0p?0}LxJXQR_`I@ZyDriY)n3uYrZikm zli`Y_v*DcwOiblDmg8lcvRso3GEy0YAvUz(X?qLd^6JX5DaktZE_EM1dhN`&Qo}-M zG&ul{XtjB|w%?1Y7>R3&nuCAp7AZNlPrUA6NL0GG z4BTZ{&*!f4@=9kMm;83<$HMoRHKG)~Vkm@-U0l=gQBg#D!#O!QHyG5pSDQDh+@9@) zxSon=(||{p8dElTn9a}m5I1P?4rguvcOp_qS|nCfPzW{K>lMMZSB|&=$RY*)3Wb}W zpxiU>tG5XDhBr1gN=n#)k+q24Kh3LLihaZs4ygL*XQQ6PsSoci%@oR6Q760!%UGw} z?Zh2lSQP2|`yskt18*ffvhlZHKY^_$YE+=F{qx zq77!I1AZ;=0`ulXCEHRiC?CFccCzP7gkUM&@@tR*Ymd&1-x>dKuVOwpz@E+z<&{^B zxN?qaU!}E?HLd>f+!s)O&$-=rn={~X9Oc31cp#eJ+1}2QUpH0jXgOV%kP;|Pu}$D7 zNQC+r-P=6jOQQ-wMtz)HJq4t#&KZ90tk#AE$I@h#6XljV$ShAq-r?1`k^wn3jO^6Z zIS`f1`4v|4U&U@SfA~H@578&psD3DtPc}^#5Qo!57*}sHH$-JU(cv97UWm8uX35XT zx%)`R#h z_~M>F<=Wlqm9?DkFi z+E4#bz1r`(m)+FDx#WT2AvD zUZB>INH3wlJye7pqasA-1-Xxq8hG7~m};M^pAxLF@2 z_;1te^a9GtTXTPZ|M)j(WcLm4yB$_+-T7`$GHMUmIL;Qcfua{Oa3&f>S1wdn`-f~a z^z=^0Zgx}lMqRNSTL-u+6k>#pj1WhvKkW+c8k^p;FzHKep$y@j`$$NZd7~CSJHbVg zRUGpqOtv)dPWDM6QxbZL=eoFcx7{BvLjz)QR1U70_LH*XXXNQdDF&q!(b&LPh zOsQakG*gwqe@8a!)7g7bnyiMM(b4A5@4QcGkfL^5mo~y3Jw6VvCgQ8M+wm0}@NNq? zhcEYxOn<7Q{^l~+l z%MsWk(wF+PLXM9olnfGG1n%0CQfKQ%y2K@ocI;Wx9qdB}LPOi!A0XI;*f zfZCR44%2G32|KgsEKa%D`fe!Zo6s830;exJbxCj6aV@9HPJ-%l9T3Ql#fbdLU9Byh z`rG3+vu)Yy<9oxo8uHw;=%dJ^oq6Eii(fip*cN*T<$0|@Nr0X42Un#eowm{Ap@7;S5U-(2C zk3eXBICmbQ(Bp?q%-Ob?r&V3n$cM5fQ!7d~-iga(7TYg!cIWKHEhuF#4hVKBS@gX9 zTYGRX(`TUI5)3YfrHEJF~PDV*dNk`WK93T2F%q%Mx ziW7XBBMbGg=)r>rk~M^aFAg9H9%~b6#TK`BPLt%;T(@>^xw?w^1`EgUl>0H(L^QO2 z9@@~9@DNSDY=>JXDMxYh;p^vV;z4uf4;UECdy|E5s{QK>K+>3V=CXOKat-6&Yz>J(*Pq4YK} zov0}6nm$9B{G?4GNdSZhKz}5)DPB!tkUEjU1?B_UNL-HeD!0d{&y>${pnHyQyZ}ts z9gLY8aE40>$&mn5OXh?SHhz|kBglRr`t>wQ+ks}s#ly4pQSE!o??8tYK`10A*DXQn z{9H{b+*_>?BB{Z|}|-kUmb zTz9pkvN&dv;BYK6m5HQZ9Y~j7z{PNeADrvO7fuRe$nV%qScRUMPG_Tzqj@w<#z+A$ zn-$>XE!A;_;By5N#4rVz>Ri*(#!E;dOz;k% zOS(pcn`vn|m64Ov+0jwG{RNA%_z)!j$4UH?vy)oOFs#$;hn|W;_^d%1}rnSS5D@BnHc`f&33=;@mi}gK9RZn%$IXLNc%f zrd~i^_l7XB9(1u~@yk7B@xy&rFY?f0qJlD*TX^vGm&?>wZ?nH#>Wl%b9e@GALOLd< z=}PNmfWI!F9UliLzyjG!DBm`<&_lxK&^+;^w_7#2PBSUyxseg|>&YDq5AWIJRWH$* zKT|#N0VL;*>Za6YO4zf&EkClcz*}s2HM`%caGv{`DQjuf0(+Y4efspt5El^Xx{aa^ zecQZl3p5kyubos33|&ha!e-H=_v!Ojyv@1VewrEJ-l@mBJL4EF?apH1iK$k&p~HOp z(|&dVgj;y62qj@Cd>%8!Iv17%2p#CW4E@xc@mw<9?QOKiiF68a>Hb=U%g( zx5AvXC2fXQie|B1lXrAbwe6-u58ojmfZL(xG{$1{=%ZA4UiDVhSX?BFJN?WRA`C2& zbN;fh*jRW6Tb2hBN`~KTR9ru$L+N9dr|(^89a#u3?lp?PokaXqxamQs#SaP%^F2&?qw-EiqQ?kiKh2NCP?;8p!Z73XMtTK;_73 zc2KSxQrOV(6o%N>0;F^!thy(-uuWN_12g=t=$+7Ss zF5ObI)z;Ro(dg*th+{XV7_R}49D1M~acMBS zD~jc{yd8_PXb{4uY_td$>ddgy4wN5aH>GhGltB>#DsT5=p!@O zM)wp={`%S87f5t}#6=D{K9yg5YR8=2#>ni)LWITEM5SW)-r_q~#0f0?zHHc@YYdur z@EsaL_s)wTmmFM91+`C6BakW{8Fk-@vc;hZ{@F-RdGJ4c;707C4Mj6yyzcLx^i)<< zET-9r1ACzW_awYxS|np)VgR#cw7|*q`Q>H~e6y*VQP?hTd%du0-Ke ziu-O$1X_%jbwSl}#tIEEQc%=&f4X5U2J<#nU-M_7U4LV@^dy(6ii(jI9E1&!wL9jm z4@QmTL9zfhQ(O~t?tF{_wfbI@HF!^QIDVNHO{QRKw7XG0@chzgXJLMw@HcqM6ImWnl zapLRS%c&R~noS1?Imhfd?NzrgEKHy3v#!?cb+gsS(eE-`DCIC8+mAK~et32?pAT@W zJ)9a+$*`v=#WzBejQUFfS)f;3A0>c{!HbGhY_{9tZaF#;BMlHL64SPz5@`m?0{jpv zpxfXE*ux(B+l|834JhOI!t%1CBJC5VUkc=r3~N`6PFj4TtDNaxhg{dEgR05xneJT~ zYlL_mtEC<2L=C6QCn@Ku+ba{y++hnJ{Bwfe-RI60)IBOZo*F5j;d?5<$YNW0oH*xj z{elj=aewpuYuBz{XK{K$`0HJ35ts{KGKRd5TZ*Ag@qEctAPpe-MeGG~7rOxK@D)2?+W zec4B`BwG`KV)EM^lkjQ|s3-sOowr6imfcmzN#hr1U~n&tjEtT?Z>}*0$P!3)UJ1Z< zXUOn@7jES9T(q};MK2*T&}~B{iM}(s0kzAYv|U%gV2q%&thD|XqJf~hTiOxvRUftxU6J*XG=Ng`uO^nXl_9>PDqkurEyUL~q~^eUZ2fUOmCgdHZYR zb`OVlVLx*nM_@j-f!a}h{?)YJ5gtgke)5$il>#9O!7!l0kmF|*80XzS&opq;gJt16 z)S?Sr=zTa&YwzQH=wANulEG{1tg;_4;RnHGk2>?*l{D_2`c$WeUxyNoNGR#!Hf?)jwKG2lvGWs0woW-W zNGVMsNCo^T3YXf$n^*j?u_8r-2pX=?;_Lg%_a1SvnE2oG$KKFVpr^OJ?61(5{Ged? zCAwJT#ZSJz55)a%yMIy>R8{@>_RPpm_l}_*uF+O^Je=pyWh%d`&Jg+mUY)+^G#UfD zYBSalAkKa~>tT4qx?hSqVlF~K6dZ_cvu*WP>w%;n=|EhkA*YsoAE@VYyQsy@SbNp< z^rjwC;2()n6yVv^`rNf05jfiksmN{+FX;B67{7b}f+p(*Vk1=NFxMpEbE1Cp{R;`# zZ!9HH61dA#ppjC4sHg)I7VR?OCfRw;+QA z+!k**(rc75d#aL2iLd3CD_OKH2}xDGxj8sELii3wLM2FI`1GLzfadIPjP9+bCu!F? zRrcU}@s1{%*ewYhVR-$xMYY!J_In^b_7ZC>tK_P~1{LM+S~cU39`%9>iP*Bn z>e~kzk`9T4iNuq>WBK8`qo-6hGuLaURj=tNu;?0vUH$VdlIZ-QnDqU&wJ*N`9&qXE z%~uVm7|+XgG%P9?)r=B20N1!D6S=@wlx#DFj!KB}_(2;sCIWt##AYP7laETQZ);S* zCiRoSjH|Vu1HE#+Pl9xFFjLVs-(?|Gg8yK|V5tJ(7YaEBIw+v|Hqa?&(6!T(xPPuO zR~mo~0(_^UtS=G+YaKYRRY=WaNQl_z)+s-wK!$)k*$Djb2*UeTc~J5 z4kG9dU6)QLos``{0$LG%cR~jv$N`MBzbux+D?#cf?<(j~BjV2%6N+Tgv9N^zJ(3AXLI;qYR#F`W zg}!*M%?~dVl2~7S1NwOq{45oOYD`-L243o@4Ma(c)%!)U<#WZq{%QlSUN2c+N0WUN6&-34yy{%;BaTDEAIYrvl)0 z&baGNva;Y4T4|23wFvN@a~%XLhTBSCK`!(yb&}I-`hkHR9Rl9sXY0jQfB4bHo*Y?H zP&nkad)-^#YktYb7qu*>a{TNF$eYYydsB`EbAn5?T=yQOeHxHqCou%F1rVEnIKuB3 zv>b0OMv& zgd@crta<|26R=V#3@$+o)!6U#bDH0y#7`Cl&h;LXDn3>%+(%S*_EoJVPJK<2+{2_k z9^uST71GZz9{x2EzJU#}CVtiaHt1XVo#5juiFZ3PLnZv4XAT?9CqD$w7+Fpb*hO1(m!3A%- zQ{KS;5zz}Yp=~LPOH-R6)g^t7T~^ll_K(}8o47==W!%?mG7j9?(In_8fG>Wju?D)F zpQx^0<&^B2tI)O-At@ziYgcXD$DGPJ3KP{Un#*FPxF7Mk_3=@E#D92vX}Nd}=~t=i z3Y+w=5{QQQ-;2-qilP6AjFRA6i$mRL5POBq3&!Zds-l8MUS9UgVB3liEDkw7J8YUv zLy}@!{233{zr3acHpP1M&>AY6e){o`i9oyLc{Pgqx3LwPp3XdC%5o+PYQPV`{23eU z`c8BR1FMg3<`OMW6dwgm3G_@14MK6BX#N+I=|F441(ZzK_M-VBZl9*#&9-=HR0j>c ze8HqRo#-tc+vdL;udr|3d4P8*dOU(war%K`>jX=mymJQizgw?$T`R|C@iwB_iO*s4 z5|q?~^xp?2IS#ud{fz7Kzgp;Qk4eB`>kFTDX3snt!M;6&SLrp~BJJ(u`b z>$7Qjd~Li9Mx~ke{(VgFc$?Ycu|b0GD;EAbc-`A5(!`k)C)Tt3N`Uj!<%MFaU3JP{ zBHU04eD}qQD8y@q$b$7|MouqfMF`wMr2;t9`Wl-2Oqq(2=NUT); z+x0P`(blLu!i#@;!M#X(B6~h^{EvVHrzWp=1)xx&S&EhxHy|Q14x}Cuph~~%z58z^6h@jNIXL3Y+1tsn9-P0#_t(e+Pc;*Y0~v`YRPv$0CyGR`_7(hbpOK z)Qi7i2e&pVSA&Zo?wWdM&dWjn01)8KOMZgR(9s2DM{h`-!65j zwWg~o*F21KXIyGkJh{qQPExn>GB-+P($R2oHo;{zyZ;#>2mQsZd{JP6P|PP3AUY(` z3FNwR+C?Y|K#;aL=3`yR?IAv~L4?}dgdh_i7A>CG;nlLHebvY`z7G~_LdVY}>BDab zrI%DJU0UmU5Rj!6TtFOpLVr0wckgfUNj{I(j50d|N1$nej+3Qj8ga->s zt`Vvvq>f?qW8NtgoMZVb&wku=xNt8k4n1%0_y1GPE0-{}>2g{7oRk?io~`jHV;o1p z85emlDN%ar7?n%HeNc_4wlH_8c|HAxLq+qa_<8^KZ<2WMusTIHVoWm=N(*Z`8E2`7 zF)E$=!r#y)$@@3$?116uWVIUeDXp)nyXM%G4hNK+O`IOBu19H~$N*-VI;QqFDqvBO z>~-V&HBb|{i;+X%Nlb|X%WHhY)b5e#Wel!}%r$~xZ~7l$rvxE2cdO-%|pxn*VGHJE!SxBeQVC)y8&=X%4HS*GZpdiGK>}|I8vF$hGKbe63Ur zdQ5*A+Yc<(-w;W*1K8`IypkRQFE`?UCNcT>**YS^Vad{QWff7PJy*>6{NHZjbf`@- znLG>Lkw$d$zLx)VDdFko^!;39vBV8OMD?f?@~Ny=BvXnw7K;r^^kZN91V;00(_ zWO{7+M*iUY`mNM#3{!-SRELOnh91q|*nW{9CoR!d{ zlIxhk$EfV6_4%MuxU{llYetzGp2J!ClmC5BejlPRw-Qf=?po$QNGhR+zi_EpPl%xM zNF_U`m{IbWZRFnpQ~uj1GKh}x_h?G%8;|r6bKJotIY#8TChqPKx}L1;4DjE1lXc9> z)fvJ@3ZHkxJ!AY5;3FA|85>!)j{PCSg7zeA$mtxZu51PXZV2)Fo{#_Jh9AlC2bU8Q z*6P)1l$4P}CWz3I$KV8t*jOIdlhi7ynKS8U@Q-)=Q0@tXgerd9uST49uMp8ay9x-Nz<4eq~eyP)+alCs#qu~)ngB4(0M-*rDf(aaYALi z@I`B=V5|Dso9Ryy>nDVk!>~QW`if6y1X{6z{-V7lC0drrqBh|TN@8FJnkmkq&Q7b3 z6uuJ&{TRDYNcc?&l_o{xar@zr<<>I>xhD+r&+2QJ_frPaT2Lp+@agRjXT3v?=Mr2$ z8odVPR}Q3lOy_RFd1U^EvkCAy72b#4r$>D=Y$YW5uqc?$d{@ZQ%%_kL1}*GVkUHog zj>EQVb9{pw@XR=u&nI`XwpJ=u6B5+-`A)~nNpdV!pBxUYli3)@{e@sZ=O7^~x!N-I z{F_QSL;^+72cPQ9akf;DN|xcC4*a){VV>tO-!pnAF2BC;(^G~uB<0t*h%IxQHp;Ao z@57}Dr5`#myTgtFQX0I5u+37hbZ(Vc3UXt`d_^-0Jd( zW^DbE3~(jG$|<88Id?0C_4$q{n@v-P zuA8~7t5pcd^W15BhdDym356lcy4b@x;QN(2mQ4r&zXsxeB6qJfns(^|lf+HfV;=#Q z?IDVX{?C`q@6IA?Z3k=Ow2>Rbmt`#Cj$R~jMMcW18`d4(E?y~$60leb5DCZ3`IRXC zfr5Y5@PCvynEwXrsD8TXI?+11{(qWpIhIckEr& zphHY)sWa`U-F*FT=)VDhFKFflSIK5ph2WDW4^oFDrNVAnmXgc-8}`4hCCx?3<38uL zQm5mCO5y&OOW)SU&~LvgRZhS9ZGs=}sstNYHsLECye^DI{Lks@iZpu6xMC^)Qi?(h zB{IC|oOS(kHlYxv=uHyfI1Rf1srOm&CAi@O`^#uj5ATJ2Qcnucy4E&N3{;q(xA9@6BtC^elnHVX+ zvq7T?XknTCM`{hEm{>>_{IR+%goh5Jb5On$!jz|aF2&?kBcA5o$A1gJ&TAuosXM`O zyAdOfEf#v-3tPVTrTc%Vw|w%?ur0ebpWgk@dI^XFhtuEKreztoUx}kL9N-6}{#eEW zJ;fu#HrOhGl+WP}CswrPlYVP*mXR2$AH~Z6v^={r&?u!TT53(dep;Z{#5$h~+GC%) zzZ4CkNc!b!tk!iz*4l|Tv=p>tf~h#??E$srKH76}^?dDGfB2j+rOCD9=3fLVZcXTi zR{6;k3lZBkFDj1;P`(JPJArmyuw7;t7(~KXrOFg%m?|DBzwtQC9TYo%QB^O5!?N<5 zX|qYoOTjDxiTy?em9Snh3Da7qPG_T`yHm$1b+ob^3Qa{H*H3|R)XjIOIYsvD%0E46 z(ZR7yjp&js|8n~fOk!z}&xP3fc>oMb=CIkMZu#kROopDCzYRYZ=J}>5(D6)cUWR2T zED-X9KmXCD=d}jn=%8I^iR8{wvXg(YONbO^S>7xVw%gccx^6^lR@8cYHI4} zP>R$)kEh;osX^W;(&ID0ur8fti4c-Wu-D+>CVzfZFBj1-!_=ZBdG(hC2w2jDM!>UV z4j!vmw}=fE1eSHn$FMGfZVpYPE!ztM)Fh$&v_|C+Yz)%OgKVoL05;)ix-Qa`F(k4Pc5)RtF*Kftko#j#ap0P z7dl;!q)yt-PJbKCxH4XDIib|-_KV0#hL1j*TRz1KN4g+RVxR)Kb~El6v2T)N4YxQ# zSVB&R@?C7?`3NaG>7vmUw6rGU@%o;n=WAB>PuPG1n|50h54kQzQ=YbyU4CqLm6V@% zi&nqk7ms?6Nsv}n##!9M<#_h(n{@cy$LP#LGl6W~ZB)LVIFDX}rT|k~q3G()3#?Z2 zv8H+L2#$ABcvv^+kANP?M$L)~_U>T)pJYfKR#M_Ds@gY>t#w+P-Q6!>1mET1oEjDs z0o(1lnVCS|y7{Xt9l4%x(y&9y=b{cPU|Uv-e^Iw2fZ*CI-5#}$#Hn9dhvW}HCqe9K z-4}|+uD^^AHu^fKFz4Ma;JiBKXb$Gt8UcNX;QdO^o^>V(2yA6Hibo&au)DnTtC+rF zJ?zzEf}hV}I_$L5LIm2wf~xV%;^$Az>ngf6@MP#BDoCHOgEIOzaW-<@+;xvmViNJS z5clyJOknD`OGL2?Vuf1|A9o)(+a8o~bt$V_Ub(&_M}cy2hfM>EPv&6f6J5{lw;Wwm zAW!}%%l5^u-@`{iCCC3wx&%jvBrg*P3E2tV{zXM~`#|>l2_W`Z z`o^CK4lkUmw7+UKD3Y~VrFb9k$3wh4vQC#{NxKQ0bz`=Qft~pz<5AgN(C`O?ve>E#yT%iTJhOLMQ zetYB7>WadjH$za~kF^B-9A_wQU?RV~7v-a^mBG(@GALxF0mMI}7z#?J*2FKsf+D#& z_x0x}LlHC~;`-GXuZhY_!!04u!+`-PgpH(^4P!+Oci0)(Gu^clL1+Kd8}dv+M*Jl*dQG`s}Ib#ZR>jR~LbJ&Cxj#bRkw z*!{G3UZ(s&T|4HY02%O{KT0G*0wHPei3&mUBOZ?$NBNOjZW+?Qiow6w3QC&{x(xMx z*I9-BNwPkJ^RJ@W`3QLy>mZ#Wt^yX>L=I)Ehj9#Do737H87oFKD?3zZBYi(;=_n|> zH_8PBj_Xd^Te4a%ueA~-9W*O>9S(X)0uZ^My)Oz?~I2;N>`&;e(PD8X@* z&M75rZ3_$bs~7)iVDHPFO3g-D2{B$nStUBH;Dm(Uzc~Ngiu456b&X*eb;UP1$R*XKAB0Y(f$)&yarS8mMmUZ5nk|V8;z?d)8Dl z)@*+Pr-nw7qM0`yqagn>FkU;Y52YKKeFp@bHtWJCyfU1lW?&IbdfsbqZ7`H|8XEu0 zV=1_+A8Xb4RK1?I(TUo~>*#2Z{jB-ImDC zH;803NeECWo{Yaao12ar46ONf)o)ksZl3A5u=y0rRw@cHfji>b#mS4w5B~sbf+!zR zH_WqY+tRf+0b`3E*>uZ?j>v2+M#n7y4l4+{>O`6@mPzSXxI zQ2mk>enDf3Cs|E}2yy3YcDLNB#Ut}unCf|E-U+!*GVF1{+~;BaT=9v~WWU~|ni^H3 z?Xq7*%I|8?o*mVyj#FTT9hF~Y*Sh7p+IJY(;gpGdSea9Jk8yOJf$vITTqMp5RgF-Wy68gGo!iq$ z*CXHI?6vwVSdNbrdhnn5{V$E$myCzt_7uu<6K+wSgIk${EEt(kl8mxr_pxW0-P9lI zS9Y7J^nL~qJRyYSTa|TVX++y;#mjwJY4huL3U0D#9gx?#I;X<*+c7Q{q1sQ|2njuZ z4s$_t=hiOsltH@>4i4f$dBitc`Pr=1&gTOxGPYAL>Zl*~>x7nKEE4#Mzvzs}|~mmEF4 zubw}v3=uIrz$&0E;K1nWJh;OsDe|A~KotD|>ieQ@P32~9kiiG%{|2+w{Pqqm+n z;=nQHWx4wZTS3#F-QROEZidL06KG)wV^$rq96h)zu+9hbjirP$q zFOM1GoS7;dT>PUC2BklFc6X>a=wX@X*cN>$V*1(W==9U^2}e!3DC#^et##xuGHE6? zbU^%0RgnEir?BZOCtg4_!xrwL?L|*VIqxwK%^@A`7QPTN@^dnY0aGIY;QewzOGMf^ zes7-G6bt@*2S|cGq;d7s8GOQ^60>{OB9*syXZ5B(Ib-;do)XJ<3 ztezjT)cW`6MDL^RA=~Pw_ z3k8qMh&W-$rOuD%pGiqvztE^>MRak5U9+yP-=P7{ao570185sPc8>a#OSQEp;omU- zdh9NNP^otHekonCKz9Pq$7DtJ<-aCgkh(So%5x|ROlxR&@W3w6Rj*K+&`!!tYhf{a zmAbp%A$S<8^lYy5P-pe1eo2q`n2QDUP$x8tzJfEADQTRMFVoz;l%(;_m740jhe{CL zkZPk!BAbXm5zmg?EjyLkV}m-tHI;K(-hB3`UEkdwq`8grqUDgT>UmEUanfG<910Bq z>XpN0v&n;{Z;ZL!`P_TRRb#{&GUgf{!|v|TAR&fx#T!*vR{CR3jfh2Cc0fYY(ML2%3+OPaB%j|Go1jNRvt~K%eZ3~P#;DmXns2jP6caCb|mphrcx!`oYNQs!*ZVAMB2C9o#vptPgw z@KY1hH(uQ+EnDNGW{P1l2~{3Kb}!H?qq-L?`kvrB`*_`IvXmfvzD;$vTcJ8JUmeK^ zSMb;{Fzk+xIsEtASVc;}fitmy8xMV=7~`h$dvwMhlx99fmiJ)iKMw{S?N#7lbLBaD zrM@d=6H)}`e8&NmM5h7$sp!(q)YkL8{At6at2b3x+yXjxl@t`LI$2tu2lKv}J9JG| z&ytmYw6Mh`VR1Bl1Dp|pf|FZYgaN9a4`d_QZPuQ-52ez)Q5FPeto2T^tE#H{95345 z^CP<_iS9e&c}c>8dlQ3Y5S80B8uP=6eca?h0&G}IeHmHqJrIv+Q41U1aLkB3IpqM| zBPG2VpeH};4ldvRlc@{n-=Ff}%GXQpIu*_(<_|V z8Rum?W}IF#Z_pYHy7j^Q&m}#7mWrYK5$EmE>qJPYeB03M|TADZPkTR_Evi1YuGOv9yD#76eN3N3s zwr=jGiR#yj-~RM(Zp8k8tP(f_SI&E%@QN)s8?7UjqKoEpqlmTMblj@-?j|lcFkEgw z62Mfql1^*)2dhqgaFZC1(askfU!&c#v~oqlr@J3 z#$~l_eZcTVNeU8zpi)vw2}nqnNJvYkBHc(gh$u>lgwhHK($ZZj-3?NLgtTkD?uJncEmx8~TwDM8(JLDLcEc0(SUu+vW0;AD z>aNMOuMp>_-}l_4@#FoR-6f&+v4tA2L*Bl?qKMLnF!s5RkCRPB?iiv8&baH}o7$ z}8o2 zGQspH^~mwmR2WF^yt+=aIisebS3IBS;hv5A7&t(9n`8G=!YiDmTuI%wiz%N_D>)~+ z2wC*2${gAC-)i8)9TKm+ehEHytZO$k3M@+iFj8X-~sL-~4g>|3h3|3@a(2PnilvQ^hX)rI0 zKHuiQ7u6p>WZrqI)i>%bs|`t^kggi{@NF3u%X_8>cfA+z{(V57qC-u7jjj+s9Zk|5 zsD#8ZN}~VlWZrR8SrYNeb&P`cim5p2`blrPU!{K81~=uZr<{C za&iJI7=^ejAOh=KB*<65*sDvZi+D!+e&{^l9*5pp(@QK(#Q^S^vKW!WUex z^H?osT)pP&``=f8np7=-685(F3qn8Xuw0+HmCaw8&XmvtNy(H4%u&UGn&b%{Y3AF>xhaqcs&k(q-4->Blu&xqf6Sm zu-Mhz-EZWK4o}aWMly5^=r5t*)vIMMfksZbbw@?VnYPp*M56!30j0g zP*4yOSF94p7mML58z9vrf*&E91v|($DS)25;r$Fzpd=z*leTUW3(-LH-=7FP3w4`* z<7x=W>)0-Dq|rAb10)TN;fqIfEG_30F2`J_L!G*DuL0~SUpwhCT=0{m)6t0M*rN_s|1DepN9)>9T%m2Q9fLp9O zIavDtH5e2d-$O+NNHn;dBk~iZhYV&dA|ezKY&p&V)h2qpAOv4jWTfH$e&Vu#GafO5 z`Vvviqj0QmSFwZYT7lMkGMW)I@%(J}q|xi%zkQ4Pzn>uZpMMU$O&cAL_F?uGx@oxG z$pxJVDO>K*aOGo3b(ybQ5)FGv+= z^ia7AM1C_rPTP1aNy*64$9=~s1zp3(uU@;>yApo+E3WP6PK)!m<`)o@8P!4K3tlPY zhZp{VmUTb&!di^(-*^0Sv|D>R8;k};$;PaJfZ*VvzhhT@mAj_16(2BqRV6m|FL z;+6mT&^GmX4@4R{B=Y5Z9Xby>fFH7gHHxp!KMS3bDY5*-oIz=ebGF6mk{ z{O4h~L&>unMKiOqMn;E+HOCd8#w7K~&k&@;C@ZYhHId)A5A+meOT)uWz_j$BL%kji z6nga)lZzsIjd5DmVZ9qRE&9z5tLC~1JeuEEhL8^R{LhhxGe3Qj9H)Ht{JB;e6aY9b z4X%Jb)on&jM%J`nMcBd4{nkP7l(Qe9K>IbgVA=59JIsK(VC&8s&dhTWZu+mUX?c^8^43o~(X^B8_%!K!34HNjIf*UF%KSaZE>dWnz2VE=v#k-uc>!yBZqlsi_M* z#B-V01f=11QUHpg3NRm0z^=Iq5cpWA&TW9>1pQIxcu1K1zk^+_@pBf%16Y zrzgYtMJqi(dIVn<*y$8l!!vsq|END(i)&mK0N}LR0O~^~1u>h4ee>o`LB%QHlXtul zXWa86K5u_ewc9IA}D?Er;{p zyC1f2Tk)`s3LmXKWOdXCiz zJN93`@l_FhvNNv5Tj-?iAgOw3`?P+HLbll6-kwGS$>(;a4(00OZ$5-NkmSMTt?zyD zZ$xi;nH}x@s7Z$k^pNEfUlg<>%f6M{+c~s|9vls+5MH|Q===BexTq%WP_-)F3SeilYVXuOQ z>uRuG)xa)On0rFbHPxgYZ!0))%RIci8l_aT%rZ_QEOk^kk<>~mDh)PI@W>ksN<_Ih za%0yGQLs%({*f(M;1uxGh@hvH$w+2Wj+kV*hZ?t#pwnj^k?5fTk7FXegp+Sz_h7 zRK>9}WSpLT(W7*YQAFS|tFF*Nr!AL`_aOUVs|9z)S;=zJSEmuki9SKX+vcgk#mI{loMjp=1K=Lra(QL}Ii`DREdQ46_(E2uZbQHW5=o&|8> z{D)Y*56Km+wRZlfKpGp`ZcW;Uv`WJ4O1iq2s#YFz(}4+ zB08^p4dy&DlzOy%EU7DlPZ=9P|C;y4N+WeSg*+Z34OB?qICD|Z6g66!`~4BK@^l^S zxW5L55-!G&?nC*y2s?4HX4EqTl z8Y1fO0J7s!G#1EAnQr4|$LDv&{Z^fDm|U2cn3;__0FZ&Az!xgL4x_0FeK-I4+bXof zHC_Uh9UUEK_0taRK1ztWx!tske)Z~tlBk8nwZr1f%xAScCnop5{bsI2(dek_{QQ9d z>}dh?e&oQx`K}UP2OJn0jn7R@OFM?HOc}YkCLJ~aV>DUVU+Z(~iwStK|NQy)%Cr|M zS4j@{)9Po#BIE>KYwF79s{qbRM#;0F=g<3ABoFy9PWU8Hwmm4G5KvJb0i3QKCAMiK zd>T;|8cOq3?&>`m!!vMYC?4tA1+WRHm8kHK_DGe71O@f1G^HwqCnU&=>zzQGiTK+M zdYUQH!R2xcUY5!Co= zUN@3JF}1!g6wvis3P);h^)pO3#Ab?kkxr<&n=g7+k&ViC-3aW8v27Wx2QR z#40hzCzj%^CEkWw2^D-{70lPJWfNe))?fR_tuH#`;a*8}=KjgedDGg@%O{ft2A`u( z!{$HS?#O%bd{mT(m>5m{DjBZflOGjc6zBiCcmH<>&G2@PFLm+GPx6|{HWy#UymQ}j zzLUa8+nk$NFdWR8)YR1Q`E}-)^Cc`KaFnwC@hJ5OYZ{~0UYhsEv3+D9v#E=L_YEL4 zk?xFJY@TgOb{@2wnt5^AM*;G8{QYqwN!dH$59 z#y+!U!2Y#>ORmaKPgD5EuWn);SQyLslP+v8eKIw4T+Y?qX2@P?b;LGwJMqd~xq&dDI{hJ{-kS`~j(5*0dBr?zXRzcl)4gF}4?>GY;UA_Q# z7)OQT2yr66IRGM2=0IZ~n&Q8-mdN+;SWWf5q(il{+->Jq`?{BCa(&y~Aa2nk%VtsQl`{HD=Fc*t-SSAK50++4w8Cd@;! z`tX~RLDD3yymfuyQOJe{N{Y3A7(WZ>%n;4%WZ8BL6 zD$`y;14{5&jQHZ9?Q_B!@~-*5zxe3TNTF%<=}U9DT#rEAL!WQYnwseeczpN{j}{mG z2Lp5=G*vQ)q;aY}T3<53&q zjh&OVWYA#-H88%uTxb1TG_b}VtalPrtQ>BYC(UmeiX6`GJP^U0+vP9MI4xIW@0s?| zdW{vCcL5oX&_*kk6(=>fXA-4FXuPnKlF=kuH)J3CF{AS+W^&ZKxv!8{WGuxL^og82 zoCe0PI)6#xA_8?cO{E7QHNSKN3IX>hx`v9LUI!_}oA@8RSmv87Q1X>T zg(go(od)^!ulqjjYnb}IOT-)BD!);^Y&Ou3ORDWE1wfpn9M$yDkXgU$*pm?;DojLa zm54hHI~34aF!E2dXs1{jU21`~?0N0iO1)rs><^k_#=xAare^agIxV3n`rjmbW7O0? zC=8Jx2x67wU%DO~U@P-m=Xt?Hcqf5B$|*56w$&i`)az6Z&63Pqg-NsAAx#R!&CSi~ zD_{x!=FTt+!C_p$#0ayn1f(|YEOl1qC6pBDzX^1cSS(#3L9+{jL^;YFH3BDKaRm3Q zE9C?D!k_~{L(t$hcXSxbNd;f1SJi2z44(aMl>Xk_^+QXaxDf|s_aoEe`=%n3cItVJ zHN^m6S+mi~Y|TOt@b_lK<`dO(k2kC7ZG9P#G&g_0QSS#xdV|>))4<9182o|mOZHD}(4gpt+1&4uFqnXGu*kNfq0h*m0= z>FH_lTnI4ruGEA2a_MMoq_An1&UQH8Z=CA7p|6j1#ql2Rm0B|~|8>W-o}0cjz^8$< zQzk?;^nYn3micK0JrA>(^qN8+TpeFHzPfdipRyz$>8<$PB{G*gGXn6s^6$IvuCngf z?F{ejxfZM4xp(i44I}WG(=dWHz@Vna?~Y~k5A~5LTeZz2Q*w{)-HseAKQ(w>O7>0V zK<#S6X5rN5S-oG@RK`>UU=morN3VN~kFICJM!Q2aB@J3FXx2xH-8AGXQV^?nI%n+T zWKKXQAP_e`H#_@%$HW1dy`x_q`m^F9< z6AL$Ior4AA&67$*Kp$|Ecq1dIDKX9`d@hbUtK$%#`&+c};9ksQ! z(_knW;J)L%Z(vSqaRV!MHiabN3O#a4oJbUWDq-Cmo>BUyKvsT#&`%AN#NDy7kpcA2)n>H%zF2Km^0GeZ|;giZZ~ zFC^kG4CPG%cna7)lJpaDzB2%*^uZ+`z(|cSimboz9OXI^qi{jdhr^Fu|4a@Zl6)R0 zpcpOwzLPxWHkYHeeDbZ<;Mh&OFvw@~Qk}@w^bF?!Z&^K#xb#I({U*| zlP85eAUQv*Oh9}tTty&7BAbNm+>Fp>A47KFV^fo4(}?xU8B@lJ#M&22$UXigPfqhg%zM0+$TZSPubl&`MATmlMppUEU<^Ls1iqJ5b zjT(N2DrfX%=JmuoHHp16a$yDZR#$bHOO&Ir7!(s(b~L%`(OSB?7|CjknaZl+Tm6#x zb4{|&3ku)wC3-swOp1P2E#xVsDy=$fnw-bo#ae}+#$C{t$L=(grv9Za;Wm5B5Q}|Q z(Jl{GFO1btjhzoMyEs4RwdG1;nIHFy1^JR=AP6x9*kXX|gFlAtN21_$Q?IGVw@9pR z>a>kwS>3pL)x0498MEQGrwgucsiW zrwyBCiQqmLDVp_oU)v)vL!)D02&EFypYj|1%;bWDgVX#u=FA{lFU<0%vFq;6uTutq z$*~OnG104C+TMnDS6^rhQBu8hp%pm&Htdu>ywb*ukFQ_$Je@hD69v!jj~UP8OXh=< z#FtO>roPfg2|tICVgLsYWD(szb65SEqBV`j^=PCXi~v_RExxlZn;%#QG9Wb>cel2- zrqn73cm+u!V`2(TtWr@hnCt;U-1n#P`_uRHN*Qs^K7Ymm`=_;Uz1U1i;a(qTQZ1So%>6-G?YRS z{Zt>?Mvrua;yJgJ^ltiK@Nu%+jlXbfy*4OinBtzqaw3zgsD8)91PKt49-49g9vau)*lN3%kuI;$6b6gQ(?C&$E!~6W;~U~yHSsKt1Bd}Qdp31 zjk;CKcxiD2?3b<|2Q8@vB>JrMB}M8u*X4Z)lX>GyPwiX@N>q69kFC1CUxve<1e0>f zPY@Zt)4WaBy=_&#E~Q2LiqC#}-(bflBJTJwH)b%5-X#K@OKSoi&C^1tUW*K4hU5=4M z0;C$}p9Ccj`8dC@;bN=I*1>i7{A4^frhP?*po4hfB_m-sE*UO_jGx#%ITMK5IeG)S z@%-BWJr|bWnTIWd?)WjO*6v_QEUGO~J+D1At8ihI@P-db$3^hUez~vp0Pq-D@qenVe;%Vz%q&549*S33oos1w4~5;{xlJDY z2#|b{T8E2+MetyKs-EV#p#5|})Eh()LKoxDT{gl2gTK6c z?M#TLe8cwT=-}J%t3O%aA#PRnEG*h@3gB_?VSN6w7kmFBT5)XEsSmG5%~a8mrE?Km zw`rXyC;}@wZ+WCP#l^I=UQ1aEvuYQC+C2X!{ojumDth(IQqZ4ujIWXNgcEqQv67;n zbUBTn`cSC+@Vt2LbKJv+=AE*m@wTXLbwZm2uYhoUC?!Pa7m(S|~PQcScB*}}`Hy^S_@%=p|H9dO9O=%7@t z-;P`I7|1~S;r%J$0WcXw6@b6UW@)_5qu?Zr_r`ET^}qzd-_&~q0d@XsB}_3Lz{PJc`$5+4JZE0 zU!p+MLF@*N9`<+1W&`Z~D>Rp}%x_EUh!bI#%Pf@lDxlx*s@)OQF1Gk=17Xf$0gfvq zMSvUoekEGR=0^g{C)IyB=iy^2YUi;XByyacV=xqc-_ahy@R}Fl=;EvE488)`7o%R>7O{Mqs41=JBhMV894q(TUG{mqH2Sjo{{1BAsj}h?CnlS` z4WspTki_60Mm2nmG)|c~hRph{73Uy6_a;<-t?4G61Rom=LQcj?~r zMMkT*w^kl8rO`*8P!}nmN{ULOiBk{~wfQ%u(e2CjHl|97RvYZe__L9oYhPEY8~xPO zWI6Qi%fHO{UteTYq%5w)vEy4V(?vR*Ss!gHqO+(TeLG8byV^cwEWR+%b2lmvfm4%h zhxj*NA;{mx`&<@Zho59d*Sg-nH`?{k-O6M4$HT*o<-fPX$b%Nk!~!gBHc~_5pLQx- zI3qU^ex;c32ja0FUFtbP=A%A;Y~U3!+P&AkS0-u+?lLhl8M7s_cc+hvMuxq?U@7AJ z>C-cm^7}9xtX(j)xji&6(Wid)kk$$z@|!1oAr@mPr}NVti0(JP)vWjJU@+Agcf-<8 z_z@f%Aa^?2v#-oTJo!!P;Y6&tsJlL1vAH|y1<}3qrh;bRxO15I_0Z{%AyxjPEQ% z6jCGrM_+wCzT36pwzo+Z%ChiqxvhqgY+K}bn|kM=#SdR%+`i$62)C|6(5kov2=foY zzcsx)lB$#sd5j^bfT}*+z+u#g^r|Dt7On1j5?U4QZle*OHW}GN&o5y0_X$gy?vyZ0 z0SRO=u6b}QSuuZEQ3IAT9lueW7swUJ2o?UWN%##CeolF+;vI76{-Q#QdJ z0DYZ33!w+Eu3qp*j2xq&o!NtHLg4d@H~NJ`TV_!nNFI=&wYvz7D#VTQTMus;$=IPO zoCMV|Wk>v*Y3UDr}tw&dq{x{%V55m37lcLbYRirhXv@jQ(+0<&SkIV*3?# z^b5<*?(3<(nK$4iybcYoBxiql$g<_t)uWxQD}>f>5YHf;5_Ny5E^tnZ-1e=kS;f5* zkNZy)6HgKt5n~G4XYZeuC&ibVwaNVa%1sdsR(=_cgw4Au(%;>C=93r+uS3#!zA%a1 zAj6=<-v1F9qmQb;j_kIupC~QsxvC~93ca4HFxA)b(X~pU5xG5;^=ViVB4h39QPI%{ z(OW<5Eu`nl{|{9=MPRrb)BK?H_Qc3cd1RI1x<=q2mK-`j)GB3ts*_aG)V#3q5x@2P zCl*}LdY}h4qC|pL>7&5#qr!!gu;5X%W=T7(N)#^2FoVd6P~8Esa@=M2`vUYPV*Pi?l7+&2a{e9V>m$ZC(?$fkDrZbZtVg>Jv&kkwG{vu*o6t-4hxk+^o{{DSIL+qP&{WYj$PgU{(nz}mENPft&_v71}D zb0Op*7n*?I0#6H}(;00A4Z6~KOdzq8&k~xNBdG5Hxyp#e9*W!WSb(ZkwLPfAeNB{X z$rKQMmwAMQgj}OmKSn)|nVp|sDhUn=F=hoM#q{xDZo`hBc?osNVu`r?BxGcpL+?Ia z8aHWx!-E3bPz)BzFsJ5Au=D}1Gdv4CX>Y!u3Amdgyy4qVM+XZNfT)XXR~#ABIoeaE%o1J6?W!cP~Yj8 zdinf->af7JrE1=#Ynd&-$G0#LU9R@R5+fIvs`Ys;n=!scp%#Obm(bv@FdD!My2o|F zD`?@~FaR#Y;RHx&LeHN4{w*a8?{IO*5JE0MmnrREPNfa5+In=J*!cM6-Ii(Raki{# z?;|f3f2`22;>8qMRxvMMezZZhnJ|?3DySE)co|;xHG-hyd5TAB_yVf6{1Y>65?$fP(W%E(jy1L;)j$Az!aAzrh$^4acMGrR*_tfvt4HlF7QuM8xXP3(o(7h6Q zQxEhjIwEmtF?Bbn>1Llx74t%to1Bb{7%g%xyx>VNI@FTT$Y--~S-EMO-no0Ygsl~{ zG2{pSmj&Umb-rZX!1mMUQW6DT1%Q-4q7MfJ;fsMZi5dJE5{nsYgijB@r^%uZ^N&AN z@A}$x#>2b(M;9oCrx)wLttp^q=QLlu(rvmf%E`qw(f}|i%DWiW!}<3>Enj5-VXdhF z47Y-{udfe#(zw|w$qMd}Ep#8M{z)uN#NWZSJcb8rO^O9cqlt*_z@n|AzTM+*Rkw7C zY9R{yH==n2O z@L%g8C^_L;dtp!n{voRgKBp2!V%^<#%cZdA)?3q(O1Hk>GR1qGgcZq`501@oe4Qe* z7vbT_U)+?H36~zUUpN-gXR%sn16!$#fHwXo49JUZ%bbd)yFR z4+2Z<^!OfF?XM?K1h4HjgSVyed^}GT-4_F;FSy9>K-MI838O#c2qJ$l_n~cGVTFy(!MU5pRlp;VstqV@>y_ag+Du; z#~Q8KaC+jCchDAh5ke;tS-_qR9-qeD#yE)F&(N70MLvsEajwzSS}t_^7g;uM?<%&C zEve3>*u1txo=ZFM{=7%`3Z3fLAiqjCm*jSD>*}U*X?rnpIb~o!-;H?r68aA~p2V=) zPDn_PvnlFoYDt*~i6(JUIko9tgvWeA2bKOTLU=CEwKxAt`F9(lfoHlG<=6-p{^L7) zjQ(loZ-n)pjU-j-N-&NB0YU54>eXnOt<_8t0MER%{Ec zXme)Q6`cD(Ap|ue@DC|SRqh2LdlR-E&rJHrN;}p*7CE()&`M(Wrlret{Yv5|%*wx* zt3C8AJS(rJLW)5A=LOD(qjgu4_7&&s(LJ9VG;6keQ##wL2glow6MbgFD!S&>$%0dw zYPMLJv<}wH*3u~#2XiccO;8E&MOA(%qSp$3seFgY_8!|PtU@Ey0*4LYk7 zNB!ArRI5GEPsAFLH zB#|4VrWk-(GBxrN^?s7x_X6w-7-RF_zA^0*SD4iFt?5_ndd-?s`S>{YJ<%sx=&MUv z)xg~S;nSQt-P`AF2`B_3{7#F*YRD}?JcU|tSx8vY_gLYcJ=y77#;)}XZwzof`FiYg z5_?>zlyrvD$z|>2&Z9F!2do)e28YmYbud@&OYYA@BZ>z^0x;|92Q5UCd6i7ZFM5T( zZktaj@8&OmKOt~icGy^{v_13&jn@*YPnI*!B0u_xnvgHi@AkMrmn&s2d*X7^m(59^ zL)OY#&o9c8qfmWm;5HlOJn)k)_o1a(*Ko?}O^>b@4D7C*#ud{az(cxeFt8Nm)O zWc2e^P$Posezfx~vXQX{)*|k}>EH9&Sw$0m#(6rkmx7w^%0#LpPE6AFo+%)rTTDSz zNa)<%Q3H&t48Azmo-tkvk|xAuMY)4YdrRVjib8$(Cvie?Wzld_YHT5FRxH8O5xp^; zhz^qifkM@tP46E#g~r~SaS2eO*|FM*z``f;TZyvhPk_d?+ljG!Gv6vvxOw4Xh(fD^ zFPlP(i2$h(P9qV^Ys==J0WCqG0q-j=Q+(w4th0khnC<($08}Zj_QbvY6$j9%*NM2) ztmiHGbRS_7DBQUt=((34I{}X;^TMZIyY*YoA%7AFK-`^n!9|{jw(t`TAcT9EP&&nx z^TJ&_2hEg(xWXzr#$caCp@qq3f+q$6IT-+M4(<2usBP%P0`d zclQ6|KPh(QIP151sU-HM+^DsJRn#Nr4Je6o{u)cqe6V_!`0c5kQs-v(jbW}oDgC`* z0B7f#@#o^O(lDJXs|$H|5=TqLzR<6?!GSq<3Fz5pl;y?GF<59dk}j-WxLH>6d@2UH zZh#IgNpDj3IQX8Phh3?>S&+E*_oyER4r=)t3YVX8tZWXk_c{|E6~GpvV*+)yhArd< z`D$+GThDQ+*a+r3zTy;es}CDx^EW`!47!sHCo1UV%<33CuZ{FDjGWv4W42JfEX>}N z+hX8&H)i_pF@I6qkN_-3NRzh)L@H3`Mxi|;P0`gb-wi&9XO)19SIU-La{?OD&rDAT zuc9&q!xrb}ID>T-5D!fBu~##ND#Me@#zMe++Q>5e7VUeDEt`gd;SU zoO5p^AID<5zq~pm3dh8yRXq+MMj+8V106*o=|N^cB=^JDAdY+ehBbw*n%pdAPOv+L3+jbtUwj9yDprF+auN9o%+mwnY9Xr) zoY8E0nJab3HbucV1fh@K?{gYm0wL{0cTu}fjg3;0k{8Aw8wX$HRTvStEa<9~b2#u$tT<)C(iVQd#+O1ECNl_%i*)i?OG3hBmkyA}{fd-x& z6}Z%QnY#Em%iLoL@Gh9ULbG@Ti7crhBLTYV-Mb`7eX3!S$;?m)E=mZ={0fvC*T%|` zOtcvyaHe)?(6v_s%th!FqUq^b)uV@aBTcTGmYNDQ1?BVC)GBwuO@f@5GUOM4jTpSz zfck4Z(WhWGlS#Ymqde4_~4*J_`#Yz{TbI``2%R;n#s}&COHhnzTfIxuA5N zYvto5H$3ARl`Q#t$VlXnc&-f53qC2`A|}$Wvy8<6=7_rJM~qAz9{A3$(9*)J`^Qq0 zXj+BnBo8vwH@fFzNdo8y5u#Y2uTlv(*WvNlj5WXP;oY5iNn^ZCMuyd+T|T#c5R-7{ zGTSVb-|vUz?%a9Mg#RjFvly8sTD~+CnC5#w}oF`I#BzVTKY5C9Kz@Q*9AtX#*J=q(~B#6_Y zmb+i1AwU0&r^b3OV#MRYdxc`nR6}WEc>H=b6gdwq9Y)a0gMD|xX+ed;pPgx9WKT6KPYK2#@@kB6QaBiJ5EG9sp|%q=krbImck>Co&` zNPwZPl=bnoHU-SOU2){td17Eo>*qQ$QfN}Imq+1m>@r44^V zMq2$*Lt^d4Mm7~jG5=q0MS86Pi_`6cUhOqQinwtBVQSY?LmzARW`<%TmtwBgRI4IZ z=)P^C@e)%1i3h0~u)`#$UI~}hEL@J5T$sKs)@6x`oCMT)BVG+d^@QMVb?X*DBo$vf zfm}jhR=2V&g!Qk;Zg0F%yKGtfU`CDWo}5%ptSy1aksaI?^#Ofo!{}mLUS7^~p}~#8 ze=+Ry_)M8=<0d|KoLp(FKCz!=L+xKALT0kBdgaZfUx40dJ1eD=xoX_FXR+jmf06z* z7a>!c^BVqo%8$pmbD+Qx$MM>h)Sv5w--|FiU)a3MO4DV#?w+J_p2Z<##_rw6i)Hwx zqt|_-b0DP&VNwFt(r(Re6O~WDvxw(Z9g1}mm-kqz!M`TXa0eZefU@5+GL59YtII{v z)Y#ZuN2D2t9`)8^Mus8M=`q_MDv99*)Qt2D`IALgTDc!4<$lFO4YBd^`q3oNIcMHi z2`m9o*A5AYTZi)=?JIt#Ov)f? zcJ?lzgoK0~>tkx>SS*s9$z%O;&7plo4#yn=Z<2Psoy9#&c7lf_8Pu_#1#4XmLIb^Y zkm`E76{zV@O=U07Y!n!HmfIV*(qbSJ$}D0d3%Y%~16W5~2ks0;pkRN^fq6&NT%A!5^;7h0_S^FGiQ()%AB zc?tz;zIgEhN;Y%N)4VM#GUuLSF$f8(rCE!~K?h3T*VR87FRn@^-(e!-HV7!N?P_oD zPYtEw{jSlZ{d>tHL}CsO1(Xum;H?6Ep@VxI!otvO*u3VcA@tcsiVPF=T5qr2t7wsu z*X^ezJIDx0N#C_7ceDPnvJ%PemaFUhk8hZD*H!O6efqT9XpInjhe#$}*WU0EaO}~+ z%Zj}7nJ&Zg@ix7K3JQ3)ml<)Z00~l zV_4i)mQhZ$Rh0$Y?YlvB+w`crL1;Jn^9)3GbqFA$0Mpg$?ggf9XaW*JL0Z~Qo>|~4 z)C7P3Kq6oBCpV#2rAMaOE!?Qt5M&dxvdW%oqQ~O=B@qlwT0?iC%*al0$?-^3z98@q zW^yMA3uM;T9+4l5Ln>!SnBJuaf2}d{@){I3jrZ{<7)ih62>SPzwmjBsdsAg#Il14V z&oPf#w`f=zIyjY(^71;D{SU3%(4BKz6DmNSF`!-i&yAjGa=Iy@uB)pHi`4C-^4fZ# zK2LiCDl&e(Zrl_X6EmMU_+1E9;|HSli`n07lYWy9HK%51Z2+oq$3By>YCqUkzVz37 zyP|sOg|Y5zOm$&wnr5PA4ZmqC7zC{fQDQG04rPIB#>E5hQ3sqciH1soi}8(J`;;I)HWQyaACKSL*}eHv5boKk z#Pg+8zypPFx_6h&AB28M=bxW{{kTSQik3}S^L5}8b_T*>C<8`#D(!ff3cbUXF9XHf z8l2t8E<=zW!L3^{j~xiTy zh0549cEYl!&lTNst!c65^7Fy%*$TFMA9r@kFO|WQiG$F!wY7EQ5F@Kha_Z#OhX^dV zy~oV@nLNF{y+?^d|8@&uL^mlY-XExS8-V10Z55RWOYJX&#VR>qa%ihD_kaUAk{%?G zndZxTIRN5=*rQ(DUUMpJ*6#K()N7*p#D7wK@8WFjnVwPh<}dg=fXU;?=np+aiwtVL zW7$i?ft5gm&ja2+MS6QU7E}fys~Goq^W5Q5tx9$m7`MU2vQR6B>mDX@Ql>s2y9Mby z=E69}noCHIDtsxtV59ElTU|0jVXK{7uC~xN6C+jpg?#Effnm5E6$bTD9}a-`Icjd) z0@e0tVnFAQI{_z$!A&6ZwQw9t6vy& z2kqFG+;zPE+Er>A8c1%1Q6XJrX}l2t4kH!tDFqjrsv6R#hCb;277Wdq;7ORI0=%} z36~Q^d?vi?zcs&THRQW?Z4oen*T*&ii2Cbm(?qQ@yL;~L2SDF}$bmx3@p1*b$EcJ1Qp;=;ulW8Vi={9e&;n&w!-m)zd1u%xGZ{J)dr;U`Rvce zz@m27CMUPKF%|XKY){VbT0>6KU+dwE)H4I~H%zBmf6d*+aH`I)VmpoetLplaX6`Tk z*K0fAIXSW;yIn`YboFYB`1lz==;17?v^Vj%!bZq*ZyhXR=ne}9=ix4M_WtB$grUQg z+o6stijW7-3x9`{+NgPUHk&5nZH}*Sv$RWXtR2Z1z%%JPb%MpL`x~=Drw#9_q@f2m9aM7g^Yho`ouK%p0~mYgMP8dvPYJ^WH>5%5nNLiIoFKq7 z@C1vy7TP~Ux_5=?j~7_b7mzr$X8Q-UPox<44?-GKd^pvml!Sym0Ne$h|FxP!g@Q+q z_2LEHHcBVG!D5SS;7EsS>2Hr!ebNl312%d-19z9VPniDdoL!`_g&wun-2s+ef znD@PtazPo#p1+C*R&ngV{#Il;6lyT1RHt4-j$~Zx^RXWsW?aj;^=*Mi!k2=X8m(c3|FQJN=nk{G- z$~1HE~u-EK8FRgM0B4^d8{;59#z?)$tWo?8=D*Rtldpn zW%YVK#~yU#{yiGWXsc?mo2h(;vSNa4PzTT*w{ zD?bX(7P_wWl%E%eV@}wc92^>d4YDVVu8=j?wbEp7#)>ptn4k zH~s6<-qu@ov5p>A`U%lMFz2sXJ!Cc*VEj&orsGEn3Mn{jgGt53uyywLoZS}U47X9w z!j2+*123=!pib)SKS@XrD7)J#A-05xf^@C?q8*v_?961VKZzTgUTadS?$ud}t3w?I zH?usrXN4<+mk84!GZ2BGxLzD5mEz?1WS9y+MBB}3 zD|3t^YqK%8MZ-H(THv~4i^7b%23;!bsUG?z@6=k2Jc@>d`H0w191Pp_XD~Rp%s6T>-x@Xq1hLCvTQN6P%`!E zdEP;B*@*nVeRt0c+4vF|&W<1kxSuq^r12TJ@;TqGcq-W889o+|+J>vEq;MJ2Ze-EY)cuq$~Mzj==j5DM$Dqktng6(YEwNi~l z7g08mD|x3b!84srC2qA#t0R}0`AF}kNS)nv3ORkJYyZe{NA|MO<-++jrE)WB(O>65 zHH5T^M>S3M{Okd=UG+$S`UAGsoU2!_Udz(6dywZ~Ii~SGS8hJRMZtBx_^pfX@jhed zbtRV!CJ(O!ekV3vk43wl5z}BViGjC%z99Eti(prnMuV5eQ6?XrrMS_&mdCq*whxut|62r3$6(eG8vs9vHrQ`X?;KZ zJU_#CdtS6d=w_fR4t&TdvFUD;o@-UxsfV%8#QV*=8*d+jGHpD(7JK4p9{X@dHL-AV zVlT-8b_^+e5zCni(sQ4Jh_B5fk>kOA5@wO;-PNvUGamh+f3x#ZVD(Z8^dE`Jy8C`Q zcH&`v^1^c0>AcXt*6gh2NT+&jX)tF~IcK4OGV{&Yy|Bpi=-NrW^1Ye0+w5b`EP9kO ztd6Iax#e>eOSzjIhh1MDsF}mkr_{t#FHR5Wcc>sG?`} zi0h5TJ-gq;x9fa!@zB>=kh%BfUddx^41_2>5lV7kZjh+%{;Q?Rw644796oxeP;OOr ziH=?mZwVYRbr(@_Bb}zME0fLH7>{kc^k@~?V$y4C*Vpm3cBQ{+8~Gh2_~ z{NOY)KfOUZy=cSElXuQgo_qKa3Ib1(7SWG2`XNwPi`qVkL`cM5Uc@73xYW=$^2-s^ z?2-w)4_+5r`9eu*a9QZmj6hDpR&yo+)g7usMec+nzT5=Pq3G0H0TZEeID55S3pMr@ zrc^nJV<-Eym$LN5p7M+LlfI2T7sbZ*-97n)=2L>taaG~M!NCWbvBKaWi<&MSLJ)Ix z-T@&Ojx`%PCGEg_=l&>im(g>r;@y43Bb~fUK6ti!1zUSYd*LQNyL#7Ib4$|?}h;8y7&mC2Ta}N^>hTWn?Bh9CIHKQdGG1#&G0al88%Ky8#P`LZ4`#ccjOhwlb^(GG2uP zg8^*f{aZbY&F2LIGWL9YDwhU)#@2gthJ;*H{~xm6fjg|Wix!O=G>vUFMq}G)tj1~@ z+h$|iwrw`HZQJ(UdB1b+xMSR3upjNY=F(h$dE@D+m8kR#3lNpJ-?a`(yYF_m)=O4( zl1m4-UzZV^H_F~Gw<-huD_t)HTpr;pOaW0eV0F5-&)cD*yauIxi#$e#zZ% z;zwFj&HhLx^~^8}=)98O{}$8&6o>HV@bc_@{pd()G(U{a7m70>D1U#RGH{E1 z*>%T{Z~a|$Eqi3~GMe!>wBm#RTry_KZnt~l_qb-WQNSv5Ht^TI{J9FVAYa9ZZP>pV z(+3Vnd<~_Wk7QlPW%*)1g!be{QOIHgJyOOV_epH(LviXQ7J21dCx3OMQR_qHEhU%O z3J*=q?2%{tNxfuVsV)KuZy>br4@D>im$i?9O$R}jV=nHO?+WJ|Y!a&rOIV4=s1<4* zrA^hDMQ^@McVspri1M`RN{8}~yz01FpH4k6PUGbPnpMJO(gk;{#|zJfX~t!8>`cKj z`dXE8Vd#brV$m+xiTdijl755DQQC7Aox@=yBf~FK;oNJ_0L5b>$5yS6_Ia?e(vd>r zsDGX59;5kawb}k)r$KSJUEw_1wlt19R72B*lcv*aDfK*bM1L7ho~|q9I6>;ub~3QE z^RXy(yYz$Z9mJ1ks&YmAARUIgVj~)Uzst@6aihDkEWx;XNlKXeYy9CU>RJ4}lr~w) z9IU%jw6ENkPA^OCUX=+)hvZO+Mq3j4#S)pel764)dPhK`=`0CxtGG5c{>WqLAns^) zObqx7p+1dI*RJmsk8eQr_BtEx2Xy@y$pnd!iMP?_q%L(%(l)v$VJHbJ6O1u}=^=OD7nO!>H z&G<(W;(~M#mEh}1K{hrn#^It<V0*a&cZLd@MmiVaHraLCapu zXG!MIG0)&G2kuO89btlx`}{UTAq09X&BI4NZCGA(@{D8$6Q!D+((d*@Ap<)ifj>++ z)tWl}8&P5FUFC)qBW9S`-_xTRWruS%ND$$*QMRAEwN6IXU=&;{F9!Tnvh3X^e;6#) z@VI`w6=ZIl5z5jaRQ+GDs?{O3Rys|lcpg=G@1$|fIOG!RBcA8r>#0>7=F3~9*`u!^ z6ftC4F}gd~-tQNeFE(nf%G|IxX`NG>Sgo;^TN9yY(PXTvzP<@PxJaLQn9pIU%@0$n zSLVKU=OOxI-%4cl#IRvFXE&DA=JIzzdPhf^MG6AodnQYaNT_UE1_`h^!Pk{-#;32O z6L?e|uA7&8gZMe_rE0B)l0Ip%l<`NE>&=I+V1Lo^c=c^+t0^sbU$5RJN|&Xz%U{i3 z=QaMCcKkY6UJR(;uyS}fDL;X=DP9O1+|auxGVGsl6rqdCy)9q<|crBzovHkOZZwP=gqkc}AHI^(j7fLqqW*h6& zkZ}k(%AY~fD9btrZ4B2lnc%0*O&U`1(2^@cHcSb(L1=Nm^fuZ$0GN}DVm#G+zN|H= z%zQlG%h~X|%-t&SJD_9VJo)x%TD&Lo%!mhVN4GhnCLl=?4a@B{pS(}>=Q=VW*6p@5 zRw5mx!?f(S5L1yZ+%d!jbePsxNIXVK&OU9;IW_?XXV&(!8N5&C60}Fg_z$Blk`jYye;WH^^1Djy1T28Og-6SGup{mk z&vyCZ?Q9s;w#&WMv=6P$-N(PO-<||Sa1QpO>Gv9nBQmM9aStj1Jq*!zLE2m;Tcs5LxNoQ}E$v_lo^-U1`pw^QkbMLLHtIy>a8%az0Ylqs`cCFU~%PSR+ zD@PKfmB}AGRRMo9?Lk0<4%4A;7odsQ8E#(? z*Q7zKb{4ojc(R%`;?`gP0$lU~$(53csgC_%!Y;1I0n$#UclneL#o;Pmrj?M939Aes z4c&dY9(yP9M90IowCQ3Pf4~R)-?ACu%q7(A&FeKkUEg^ps6VXcMdd3zG7D6pvVA6| z-=V4R8tayW86!hrd4%(ggzrGN6Nt9&3)e3Ck7vn2x+;9*plv(VCod8nG~zADdl&yC zz9QmK+Qq!lC@bJRXps{Kj61de$a+;Oh&NM0x0g;+ZZEjtvGzkmd$UxwnYgTUXWOZV z4klz@9V0)LD_Eg(Ee^g(Lv~a#b_=&~3pUfQ?PJTg8ZM#b+<#1ddxM@hfxA^d*%9H( zV|JZWH}uNs5T1JAJ&5#}M1nHqeR~_~Y5@oF$A3fVeu|bJadnT)%5bClzO8U@$TgWE z)u#NWyEs7D)`aCj5MLyh{sXVVTGs1waBkhm**X@L^t)dY#@wl}NJLi;yY}H42 z(?lwbJMPr@ebwoDRKdk`iU0>CF4V%Gz4=@yH+3euvG7%|l5Hv2Z0>u79d3rxKpL-z z)wD_^Y>uoUlJeZuRmOo?Fi|5r?^EupVwkC0xDJ_9`+Qj&9ud2vioGyiQ{y^RRq;zRG-TMu{}Cr8Wz_8Fx3>4#0uI_4&&cp99y zrUO=nrT%y+POu=*XaJshPl;h>PU#$BwnG)rzTDHPU& z7Ds71I8U}4Q!C7wYuNmu)&Nffdvc)tV}=FEzIEj)hVvs4x3ZCHf$JU2rSYC1*z4fH zPvm|A_V?EcwURw`U`&L$=d5&P2M1~Cw+wR52!h(@pp%q(N4~Ut z4RKs~tE77q*Um@ZG$25{ zD}u9nBY!>?JZtJNv=Zf`6m}R;T2Cn1t|`gcKF%|a zPuHYYS3!E9Mj4(kODFiNuu*~_me{7LHd}_pvT#B`Ap!9Ww?uE0!Po*Slm>mi{q2kP zzc)Hj5h5yRdAi@ci%=kwes9P=O|MsQfjg~79P$QPP1%)AH5$Q?UJmcH)~?%^52}Xw z>6CqJp`odAGbO4Ao}pg3K8Oy><*L=1cR-Y2`iN1g0k3J?P{^i_fEH!8-t$)|d7sCQ z*YWkxJUPbi`zOxAheWku;R%;kf;VTo)}c}ov0~12SP&4)dnpLW2&?^yc-zt&o?E^& zo$)s{!|rX-5zmRkgD>xY4_#I7< zJwpM-iLr=VqK&#=iLf!(d_jHN<^^XLT6WbaCycdFKoAA(r6J0{ge&|}%V3{9DIdNY zE+6)gRIcr1^>1oUgLd-pCjVL2DpN;QefD8=!M&No-ogI zcm2mN#PCHw$Q8bOx`f^Uct7JZR5t;U>KRnDczq#ML@p8e-lvIEB7CQTvvrH?$Bs-S zBO{~rw&vFM)Qxl0hP1)Aa#C9yR4Wk#`pQE{7cbU96c{ug#Z5bMs|jhR!G7qP{Xb!f zo9)d9`b?#&>=W*Mk#P}xY^q$~RA3JJHOoF_f;YMMhFR97`K9MQWAHoyXaQ7RzFGoJ z4GnOOp?Yck3T4DFxqVeTaUPlkS}x$5x4bsjal9iy zv?{H8+_Kl}3I8xw5o_B(`w|9q50*ev(Be53xxRnEKSyZl z2xOe*csVVr$dNfZUZY(wS+Np5(c?*ZMO_8qn2`n<&w0U!4e?+gR~m#Xl4$>UCb@7< zqjj_&-s#low>)Y1QRItS8rlB`8N)ykx(FIk_?wJ*#y7b^@*Q}zhI)ISfB`m{G2%i* z2!W`ykkt_HX|oZQ2GYpy8%yUbwnBK_Az5WzudC`p`!ort@}SoVf!kmU*u=$1?Y}fQ z1#C2)6Z=g-*s`Kl^?4u-t!-XzMd5NrNp>z~ z@0LEik(#!9RNs=ex6#B<2UkQS%0he}%7nT~!BBF)u&(h%$QF}8kPYQpArFa1Rpq?p z5ZDqTnVcxn?|&!MrJg6Gcj`hzo}r4BG~{|GLu+H^46?obahvgA@YwD1a(^gA+w?m> z>~dI8t-j==<24VdQ7|3+#|*T;8SWfWUgPvzB&DL$TrvvsgIO>{?8BNCdTM#4BT}msu9<~-S@sng{xI@3Yzb-1qsG1w0 zF1?skr$&t)$73r&JX2l|bjo?qEX12pJ87MkWMyj188!XGbTsDuHXQkf5=>k6m}vLt z+h6mnSvi%4QR_eDO|-3aj|*$lfx>4ajuflMcSKizpUWXB@s3!VKDTi6wl{uS}Q)=jdqZpa`AVZ_J?~Z-O~65ZU^${ zrAp3WKGDfv1(t9RQyaGfpyqlXs`56o)t=N5!S?Yyn@zRB+^do~tBV;UdMEW43OU44 zsc#W{Q7|v{(NO!UUA=cruTdPRq)15>zjWRdv02?JG1-B zQJp*wot_oTDCP|Wsg;!@SK}%4qa~_azq~m^lJWYLkVu!*@@BQ15v%j*J36ap*m2n< z{O-Iu(GEX|slvnyNLwd+;z=uIh`0#hWL(rMR6I+B?g9Rmh6VoF8_9AHZ@*n{tVeDJ zJ|;?|7c)=ZkswWwd!@vDc$YjD-%B*JwoS6zE5yi(7j2uf6gh!<9wxuld2NY$NA}rp zZOv0C@Thjxf2%-P#kw1rpXWa3Q^Ag5lzo+9n3nIhoUFwm2{I#XL>D7nIglEXIeJhq zDazX!LTH@s%a^Z3BOXq>X!-)LZsqQ!d5id63;(Dk@Is)`7PHeJw&%N(^Ew7-~-q_OR-C0M#lfbT1D94%;)S;3AqZ@?n(! zFP2tPx{(p35?Yj*ep+|2|5gZ_U@50a6kiEK-tof%~g>RjJ2AGD1GN>L)y(`Sxn?846L_tRreUw*i0 zenPSMxS)zilzq~LX^-m6bl@c_XnH%jg0 zG+beq|DI}0FE!X1v7kb)Ux;_%=^FeB-&s9vwpH?!>l2oDCMcEQ42Khpi)c{asDS~3 z2`}w7Sv+g@wzy>#N9xE&logxbrSSZ22Z)cCQ`mh~A< z$XRgh>+Yfry^TODqlC}Nco>bgO%<+I;rgTnkxv>!@yE+LRh9DZBs0r@S8}+us|wwk zpdL)g5aOX&GJTfF`F8;6CEDJ`DY>3bWG+FrjJJP-wgy-W~mIQ$ctjC>Vb~NA1LC|vQU177t69n>Ugfy+Kt;`~@Zd~sm z#ZKllIAKGcm-rzJ@!IwK3+=nJ17z@`IKBnR#C?pa_U;|;^>l3S`S0L=S*j<>bc5~7 z#|f9eLz4%7{xla&tods9l%Dq-QVj*^wQjBz?pA39S>6Ce@mtQuP(8i(`SPLZN#Fqn z=Ah-OyG-?C3paS7J;|Fj3xi`#>~ph3l2pF-GGsBsiU=UTcRs)pQ4Xw6#mxm30mbd4cS`w%$HXbA$K)zi6nLEb!j3;>xS+^1gFN7;7XfTjWZCy(8 zxYZdPV`BZH%!P5QQlbY;Ej7qK0PGZix3iZ%DNfIPRZ9$&yoqo>D} znDRJL$|GS)A`&Ue@?C7X&afr(G395i?eiyVA*yBp{ zlf==7&?rHOlJFxHO-RRiDOvoJM?R87jx@>qkz?vs+ZHh=HC~}%qqlQ`!OHrfLyM__ zbby2@&FJC~1VKZ*po(ZKkXG#EKga;5gyB=#x@vp$pnDKyjPSx#t6nv3BRxQv`xDC* zrbm@$vA}sxRIsmF?nt)i6l8yA@sA5xfbm5fIm~h6zztXu7%J;yz!Q&*WZQ6)RxPZ+ zjW3#I{VIltiq8K~h~$CNmrhZWE2aBHab~c*{?^J6MCt_1wmHVuD#wu5dt7e%Tb7j7 z@h{VW__#3VzCVxLj<7$izmefsihaZ6OH!wpJRu0@E`s*I-0D8Z#~LtLOack!8q`t1 z7|@EGFq79*M_>Z?_ACkkk24s#sIp}AfF}v7xg~Ye{&&o*hWYL?2q8NEC|((VaKsRzI= zNgtwLP`lj(pIfy-Lv3`Y;b=bUY~bekB;+!VSk)&Wm4>~_iqoaXv zmNk_aG@~d%ytcJYgmYologi_f3XXdanv24)m8RzjV;j}zZp6#4Gvzrbl4twNVPqmA zXEuz@%R++WwD)5hf3K;Yw{To<+I0W!86x0N8#PcD-Z*5!G-Zl_@2>AYL&<=@Q5gB7Ow@0il37gK%H8}E zX|%q)(vGcy!1E(CW1AMgsYPnAfEQpb=avMw)(?{d=RGD945K|6tOxJWCXA1zkq7>9 z*r$S}8M>gPTclo~l8=8_k(w2Bo)6yYSxV~iCM{lb0~jw^_&qHNBLxhczmn*mfIKgE zaB}iq`R}AEDk>3myD5|{h(B-Rn2t5U$!)1f>7(2duk-$ApQA(3e8A?LYL0~xfq+Gy z=a%PW;ZO5SYuoD?a06qf+vVqsmgD#&%>roS_UIPoEx!j}&F%hUR6{p$A1pT4La;M! zJD>g$sf!8_bnTEG+)bzVPQ48~f*dFqe|8s$>BY|Ze6~9}8It-h^n3;OY6YKrtc6&sr06w&*zIz z2!B|8hS!^s238YC-@+iy#d&+9PeF2%=276;mJ^j)iXY`}k4r*mKjm?u};1x01s{ zLvafqga!m_3JuIIlpZx>mANU&{bXy0nOdjFOI{6j*D|hZ}Is* zOX=jQ4FbR8OPgArF!vp(p?YCS zY;KSW($i~xnSH$dMRcy1v)_CCQ@&v?h8tZ##2cWk;?PuJ^7FiD2C`oU!y)dQ-dUZ@ zIQa9f@DPR~L)}ffVK(OUG@8C2E%ugO^Z?Me~%&&RoQ}&)LFrqsETWp(h03SOXUd^Q4dVS@Gut zl;M?Yu$fu_wY|)qFZUKbJjE#p$BEwPhS_h8=8(c;8t$U4l5o!jF)g7FlY@&?+&772 zM2VEXRS+t7zp0(wEYXAc1i{09{%QRU&SMCX>Pi1L(8$pCbrF36T@@5zO51~R-K8LK zx0>9lnRaUkohdRoX)%n>a0tSrq;fSQW05<(MO9BB#R{%9naOF%w!`|R_d5}K)4f`G zd*7eiw*0_3TwazIkw*;yUSMAmbg+Qi4!G(5?j|9C%6kb=7gcgD2#gHT($X^dz1n1> zqM}mL3shdhHKI$4BV)KoTgu=Hjj{gP{?J5LRqKW}Y81Ew9roSJ+b3X_3dGxDqbsfZA0FVnODh2&F)*W+1h4?keoQQM-wn1aenU{jj~};ltXt z5d|fK@l)DjAdc0k_J_d)_F}`oX`%L%GY643>!o08>?bb52H&oD-(y+VlplLkY@8d= zaVGWLAz6NP_W)!?(ZfQbc8{PaHY_KMC!vo^7cgBH&L3{H0j2Y0+ zq;!YN!_DoV>fvH;i&gZS*EI2d98|RF`FXZ(%ww35e3?Ge{n#X_;?u&|U6L(fb_-^q z&huJ3Wg8yM$zPv;O|=+qAc5X?ZR*y9-kf%sti3)?>%Mb_EzBU_VabZ>S|7dCpLe{j)rG1J}@Oq zl(?ZqTM|u3V{LHZ_5>EwkT-l4j z&P`-bf74i{!ganT(rF_fk&c&huC4j;Og?&H)S{HhdfQ;E`p#5+HCOXKUq`Y&(;|$E zab(-r)IxW^^1dY7gtZ^&o3-M$$;6oQ=(wdlWdwQxB)?|;A+fUqV`;rJhLIEfZ*Hb% zIx7&4_Z;22*1!01b7yyJ?2s8#{Vm49@Pw z5bPsQ+b9<&1E77H<8~AAH|p3d1iQ!9BBBy6f&)Sj5SC7^&!W~Mmjnx#tKN!FYL8%c z+q3%g0dlg3>I74kCp@qE!OhK;o5Jz0p;`)OH0U!LBN(lW^g36FO}kz9VDB0-fX|k4 z9TqCP(tj_LGS%3khmUhE3iJvx#e%z*6$^I*GVOQ2GWPK}E?#H)JS)+)JULd_67YfG zgw*vMk z9wDS6lI0;)i}A8nQbg{@U;B^g<+&!Fd!u6x_w8(VH;=bJ3+VJnq%+|ymxCu@oaM>Y zlBT~-i8@#{-WRt-nLANPLQ|;RW!+<<=DP*I`EjFYd*jo_gS0vr_{;DF^y<5j9_8vR`=MMraT)=0Gd?c3Z} z^S^SEE0%g(z5-)Mye7yX*zfhsn}55u2`#-$V?@f)VJuQ~%%7*sT%DPs`!aSnL%#u` zh9O2&6^L8w+Sb;LuV7=?aV`Lk9a91`5ldV@Rhx$S6#@AfF%m%Ee1@*W^UYO=hk0Ci zOGFOo($?uEi~L2DEIlpF_MYC>hV?2_77Sy$^MBmx2ECCQ#(=8Jzr_4d-Zd+b*+v{@ zNn=*|4%A}Wa5;IP`gMr~CftbrB@a8wd7u9Jnh>6O`&Vpo*d~t5KgM`$Si^uq9_?)4 zj$sXcNjjbxg2r&bW>~vB{c&}5K4H4sLkcY7>GA&sGL@SbXI1Y!i-S3nzPy=a@z{Hro((gaf6aVdo1_YzJAJE6$RW}VmAY%ojB<2yA3z%pWU!A;fxEiZH8@_cDrPUpZR zxjDt_y^({nGmD~F&TuJ6Dlnm7M}i5D)n$C=?`@Ma&Y4G8iWL$k@%%D{d9z7%zOGi^ zs#vy9@DoO1{XP%Y~dpohKr zNzOqdP4Sl`cs7`OhJFu7UYyM%n*LizBuli*?+pB%nY#B6R^w+h zicZ*C0xvgD@*5{zlR;SmY+NQqDUtEscwZ`Ou-vXZ@#D_GG!5H;e`DLo6Ui&pk19?iZU!C>?CxIm zkjRqT+@BMn9@v_EJZUf6*2IRZG_rd60wI93WjP00+(3z67>k0m@Dt%M)EZG2sH8%$ z6!^~BSEYfW4G8KH)sq`pa=cLb{-1DEZpew7@qZ*Wt~#N?pPc^6tDTwfefI!nHklSP z9&``Q2kA3!f+)kCi$pjMv~5&J7O}Q8(eMfWWDNg8+d?+q(SZn08;{^Rfzj65j~Ms3 zHjbaj2r>TPKFis6s9c^rO`GQ#GMzA9Eenx#<-5aRT%f81LWaFtQCvE~CA@f9xrxSbd)TD*gK* zJJetsQ*Ms^Dwv8zH_j(x|1+{}KG9+>Vs}$;rWSPmNdO@$@+)j4Z{}aHdt^oHQ&0_>^7aVRtW3tRt;|~tD@eqMTYh7A*N$7(`Rs7 zz!``R#AR$Lx~Dh=ACV7_bCgQ{(6LYMAN%t%Tn-IL5*0=#-=CQPeW97E2Z|GN`I7Ol zXjDZ9d|vNuN%*?oj{h7Gs-l5h&nxOI+gz+G(v-|jM^vk}8Rw_ZKjjy;5&ypR`NI6; z`=DZ&AyIAsDeA?)ra@X3`@|>;!5kQus{2C~Wd}0Y-4|Xh4FQ_9v%G89ps6Eie!| zxw$!@dBg1bEg~WUd#SChP1w=cPL7T1OEVR+58W6i3Z#~*U}GmZpGmK$2ACC`dW$HH zD>v$sD}JQx4~maM58P$Unn*vrI<>tSyOVNIH-fMBk$FC%##QSsg)0d-9mFSeAE$M%-Cpr40Ulb~4%t@M=2Y@%OOzq|X})fDJx z4B#LiZ4#G53L?U3$@38xsjBvhJ`)<_?pNYv@7})5!)C@!F_r-5$qV*vhi3aj@TI4@ zsg6Y=-j=nRGoW)Jr0#hQORxeFS#%KGvP=mT1I8fuV*C>0Gvta`{Dh1u;O@>%%lKy$ zb8BA7HcPYFHsJYtZJC*Qhs0WmXC3d}Kc4E9k_{G~f8fWT_ccMc^B|5`~5>1GC`ZP2> zbfdNst2TTK%-!~vXH?A6w>Jj5p^Kn?q2I!)(}P+nwWo$-xj0Eu?TjVDY1SxDsVG)* zTEL#y^3Zscp~BB|UDz+Vm-mI(#i#~;GVwNdq7ZL)R|Wp1CL4o4^BLSO)4-4}M7C6R zrX6J85O0jg!?+&$!C>L-n}jr(KRrN|11YEy&c46A5GuuwsORQvqp3}n^va5JVUp8Y z4Shl{33x6j?SDr(CfXV^$`-KfEF(;npevi^?q~up>_I*%&o?Ff-s{YayEy+#F%dy- zJkdV^5q202+4JToaaDBu*VKD9cfYQZ+LF0XM2^9eQ%AaWfI5M9WrSunGmf@bLJ6k? z^cwA*oE~m&a<2ezE7NLKiC+hLg^P+Pa?hj=OaA?_iz+2X+DCr-+KY7klbU-=hEiaH zb^(MF^jEdqA7|M_T_M^w1Z{&VVv0wumEP=SQgkY=D(596b0LTnI$eSfki!3SO8B6+#%UmuxJ8ht!F>!CNZbKSj;=EcV5@|==Ye)41iXTZC$ z|AjH>65C-pO7_ok9XsSv=8v`YCD3We1x!ReW&&gvI)NG3baZsV!D<{~9A;ztgsLLM zz4;fQrr@uGZ*M+uM}Zqgo#;oT-CoV(f%a;92=tjAt*v^k7LZ$SF2JRr1n)8uv9Xg7 zt;S{%S~h2&E@{)w>4QaW5W@IVOrFWoqTDo_P#vu&vz|3aZbsxk^W46NVk#mG*;J!t z;5Rt`{`FTC!O)n%j--0MIhDGXuB23)$QfI__)@DUO@1ZzK!>3Mdomw3Qn9>kD(4Rc z;pFe&HJ=T6VxN~NI|bPj6H49!!+3(Sr z8&e9@!=K^ZXpLwk?l9pLXLoT?Td5mxm~7rpLvhOqZ@BAisw@Nav9LiO8kb&8RG|NF zw2#=x@V}RdRYL`)g)kru8Uhlx-9XNAP02w8rUYRdTOYD1v_A(^jF(lUxH)nwuj(3wl)MwDDJh?aMVL%jO3aQw@dsA(pkcjPcF@4GV&Bg7@%G>D zP`Vt_Z|Yi(L1oB6b&;$>PNI4pSF(~8d!88Nqe|`cpYZg|vt$&tcGcQ~<^EQGWJRg& z^1aq|G;$@~P#UzdCSV)Y@7fn8y6Hr1XFj*7j|yy)*0PhG;keQ?GmigV06sx$)#A}Z zKnV!deI<&HO4Vf0U1m?3gDdIM8&WCtL6Qh1~%))d#K zOk(Fem%8~IU4Ca$%Nvhd8k!sgSo%~?1fS9dB|HkW%{6r1kA?S*mRnj05{E!`9QA0h z@^$14^B*@!!NFsP3JH32ogo9V#Drdo!R2*3c_rZ zAOx%EMtGg}V;_J4Tggy|edmo2Oen{)fy#AkNeGNlojb>&HP5fXVwo6lj2EvPQOo{q zSzuzD`U3Z~p~=j61QjPrj5e_!Mi$vp1{8!>z09=s*0q?Rh(-?_j8t?KNJOS<@6y0^*?ZAl z&H1yz`$&bMm2T85>&e|eFmH!+IGlS~M&GgO(wVEdmE&&MmPiEfL0kTf_Lz)Yfxwmy z$#9qoe;)B0KzK!+*Z$5+ft1XGUSi9K`Z3 zb0Tm-_h* zTt8I?^0afGp*L@xvxD~;_(=4oAy}Z@2?QD_71)nESR0c>k0eGrbt|fk1Y&juz@TlMMYd~FDX)zwRmRPMo_={}$~O`uO-TC@YX51_P(a5-S$9M8F@r+X4M~X4q&_8 zarNi*7QxS$*2wdCxu<#S}qqmtKa12nBIJzzP@*}v`96$ z-W~rOK*wa6=I2$7XG;ZappsR(_CUgtN#TsJYe=W_ex^I5I_Y(f1U(bQkIANOko3i6 zVX`xzBCNpMn1U%SI2Y^<#510js-=D9!0TZg)=8Ywl;+Ru|_OmPot|HyT@XA zRfs|MF+|Zh^nd<&5dbS=KF@Yr18Q;>$Z?p&(?(ly^g5n^$~&;kkift|8|6#viH1)C z1!nRw;iBG()#cqrlVo!p`yG}tbuWZJWSQit_K;{UarM`b@9T>Z8C3ac#+87m)$(YP z2Uw`kdVn^{3Sy4kJ>-RJDVBWR+d8}qaQk;^}bg>570G0!vb3svhvE@ zj1_P*(n5>#=Gzn*PWiB1E!!O=E_H9xDxe)Bt7!5PZsz+lm`r;}BBy*yBG;_a$%Q`J zGKt>ogq|J`8B24|m4LFEnIUs+hH%uQ2@70YTH{lDer<W{I=Nh|#8xlU1&e+p1!JGBqvbVnk_E2^ zmvd*rJHOoh6uVirw4~03wLU*^1N>H{wIRgq!0gAiM}q5G+`4_6xC+BM=6yp5(1bar z!B5Qr{uCxgtIpccJY50lJr~PWb5IIpg%3}_as!R|6>5hM>=12<`Jkh?;)ZbZ_S(YN z#?g_Lk#U=tmV841on2qp6?&7oIgr(9#(C7UeQ>dFl2HGVa%^@Z|G1IU*y2E zi16fnO(21~0(^{knT{Fn2oB<#_LDTl`04$v^^C58&0enFA-FAq)SSOu{%(;Q#H{5P z$!vC9ch@T@Glxp%6`aP`MYThxHAH}Df%kH&0R!gOfQCtu9Z99gF0Kq3Ow@PF64hf2{$`b;Ju)jkZ?K(B(OH%}Ka$;PwDy}CyD%7+g z9(8?L=2Rt)4O+{C>+~>q+$1Z9bagw!n$j9V?=Z9xFzRMraOueMr++NagBxfX~ZSC6z*2fe(5-q#7z zz>3>MyzhH@ujHxD8|qFpw!&S05?7572eoU+r~pU2;92XCwk$i-dXCV8zB61EjB9(s z*4<&KHKSaz)eI`_on%GQiGFRbvSgFRAEO{`LC5cZo0pcJ_y~gAb1RSTk4&KnQqg5k zhS0KPRLd@_pk+?VqJRJXdF)NOV*T+@+`bFsG+%bd-{a~zLFh0DrK_-;lob?^#Y_N@ z?UC{E)^38v&@q2pkG_*)&FI`AIxtRkb(n$W_l_^>-%lyrO-cu;2RR;nkkW{NPg%5e zQAUjw{$`!=BM*XBOoEqv>+5a{^9l-d=tZ%+4)jh!GxjR zHh6W`xoYd=eDH9wN&8FlbY-{hjm0K<_Y%&pYKMf0fx zxbE&r>i@k^XDnOo>&m%1iTe_}%;^Br!rp+(7)pM4;Y7^MJtS_o`=7ErzV>DX#-0qL z@FF1B*0>>AVr=ChvzY^K0;X$M(Cl{^$oxI0+*#UsU(+WEL=GMTv#j1FHKf=x!|r*6 zzGxHTBb-21yZsz8P^8XGQa%}7eLgV9d0u4smq}iJvMH$9CnA^|4X668$QOa>J&uK! zZyhw!Mz6}Cu>?dVwatYxw>mfB@~SPJ=*iJB;qC8l02unMyz#MAb6g?35Gwsr*^Dg()ApdzCyL!q z{fA=w#gPB5uIkOHJEU!4ne+Iu={nt?82?+G)+ueE@q!+LBfN-S>D+fk#Lhr5LOhz7 z6UHX0D>R}fJN~A$3D#0~H*n-Hzv4EoSqJ|wsIrvQmK$SdL9@YcrM@Wl3Xvl zDUNdfKZtwGw~a)>aA6lykYFt&sU*!VL?8ndy@ku3uPvfOS44B4Dh;l=0k%v-1d}pR zjxhUANm@Dkuw3j?I@BXI(c1*AyM!}Xo}-a5t?Dej{ZL{L{I>M@LtV>Tps$B7iyB7~+6(}9Q9OLm2q2Q>O6grEb)%N%QErVGIjdWDi}ega&!*BI3N2>qi`G)-0e43+}~ z6a~SWUqPr%-prWM+ao4fB>OqB9+7L6+Q_%>8GQXY&L)AHV?Oz+YT>}}9OShmj@!wM z=@GItA_|~?^Arr2F-Kq+$w>4=8C^3Twbq_+W+>`#d!;$q*;`%SSMQkdng^i{%&Eu$ z1w^Asu=ge07W}Z^MNX9x*;u>Hhh9{z!#VrTcjLMl9I?Uk=@VFjfa+lA0f8M-BTUV8 zeiOi@A>63#dRhcxAUJ2f-gXB}yF!ZhsQ^>V9nU)q+F(Dvr-X3(`l*hC+(*$qEc^I{ z8?t4>^fmHTt&%z670+#9xH=XzJ1`3Y-7!N6JBQi|^|(;q1a>ICLkL;%MmdXwcImaV zg#!2X>vt)1!yMF_%Y@0(#cZVV(og(==u+xyL59==w_-B~C+ zS+-8vE=B#`mF5?3ME(E_6;tCdc#L2@ES8S9Pw=KLz*h zNx+c4nzbbdnVKTvWVCEfFuQD3o4*{r{C1|v`+q@)uUKr7k4iGJv44HLNA&IuhPV=P zeWJ%AtmWYxt-)qpo08dXcRR~LS7NGS?OXFYao?5%dV)VlfV9iHqRwyq1`|HBoJ_5s z@QL_?UJeXDl-h0Dq7ml!Vz`-ZTz}kYzb>tZ%vKD*Cj3?Pe#wD)8BF5&(O8#aGOkpA zlAWgYahvJBq1qTDSM99t$x$?}as@N@^jFvDHI!^5iQw=)yrRo5L1+o<84OWg@+%hT z(O?P|F%dsQZ4BX z^(sIIeOOAK_g>;tqOU`(lChGw2(3b<5Jou+AK#ebTE~Pf&u2v1uMt53{dsQhNG{RC z$=xyB;pOPfJa2yLb0nb^j#!aXjXBiBkWXGBW@vGPbdXdk>;fn5Wz+_(ZgA$TvQ2BQ zbNMDl8IEywPkMFvH(u%FQZFJiy#{{Wv6EC7jR^s_1b~BsKiptId+@09c}C9|;$I@G z+=`Q{xMq8&t9!qj%F8(EMD24)`e%UCewE?b3R_MFp~p9}GOsT7A*s!2WH(Dr}#U}#aK(z5$GebZB>`dEiY4zAGZMO!#1vFEwlQFUJ|g0^=Y5Q#8_oWpr2BAy=Atq5H+n)|tLy@wnLm#|aEvzw zV^Mq+v~BPe^z}G*>ZBn`H3=-NvlifGjpTH6!Gq6*Pvj-ri>*1$*M)(}Ga|eURuq~Z zpO@C++oSXZ410*YA&X7pc*9cVxR?DO90%JZe6nD7+B)Xr%5YTlOrnY6a}$pv+s%h> zj+_?80%%*T`#EG$q72#`xv*XBJ8~Mkx*gzzyMOctZB#%jez=u)a($k}9Bv#>%?E?R z>PlUeg6?i<0kYlQ_(ze-uT(|dry7Ia3`sW}hxGT1O1c+H;w16%h?7g8L%CMz1LhU4 z1dU(|f$J~Aj!~wFe+blyQE8}IeG#Ezc{;rARe##HcMX@>9jPM(Szlb=V>#b$zJbY} zaa$!qeinw7@hTcc_8G*vP|Gyr?&9KUXK(djMe!8<{%2xaU+r}A9@Ly)@rg#rc~iCB zkQ0oD&tVt6W6SkeRn{CqWQ9mpuP^rNv-OCKKK6(Lf|N+oybJirl>oN`Fq3qYF~D~KT@q;!lY_LAXQkGXpO zVgi&86d6^6rS`f3p+HlXUWY4*dOC1Jb5IcE!@27hG4kJ|>w}v%>NYw755S2tRbqq~ z{gSdrp-^tB&Gb$Az#_DPRSiu&A_B~h%1*NXaUpm(k3_k7VTj6mYyJ`VqZ1@eA>W8LFM8p&o zWtDH7hN9PMLcN}f(>h=YQQiUf!rP-EjQM+l06rnTkXif~JwPI47QrQU5&j!0n7*fnBru*m)9;Z$Q?0h7k>WbKbbb z)ZGOymukrf|MA6mix5t%WFaUU3mjn{V!|n+ifv@h&G|p1zV(8vMc^^uHbGuJ3|-L< zD}U2_4vZDUSH7#Z6AECB&bNep*gSnGzG++geO+h`Pm$KOTzsqX@Vofxg76%fxo9p4zmmafTKRiJ zl}N3W?=H;Y0o<=Uud|S3sV}}4v^A@oeY(>|i>>Aojjiye#Se>%50@LXddpHN3f7x9 zR00ExgmO}BSlEK{x|&qKY*GA!p~F&9XRFOFfGGhCi>s@v z9XANWP-{UfGJrtA*llh(YN{QxVVYR1^@o5*{-=C*F8ij>jU}|1W6XS7c?jIM{Gg&k zC;WnkgMA5qZr8PGPjEY(Dndk*S?>>Df%1VMw0{v9COfH100I2@Nv^M8?OR7{PD(ms z6m*R?-}~+DTZ>)=MM_Q|2o-h<$=7uc!dk9V-r^}eL5ZsvX98zC=^!Cf40|Zs@MWCO zBR2RR@c0V~u}OraMv9pa3f+D!?sQBp)r|o$VtOgr`?#LASCOBCy4@h~+36^(nM{`g z`YA;t;EE$)v6F%V?#4E7xdOh&9-a1?q5XW5`QCFNd`{03IOEiLapv43(0;&R&58jV zYOYhSuQKl*q;6_)f$q1Hi(_}W_DkGq2(@+9*2H2DiUCp2_gAvi*kuY}QsP5}@a<$! zO*}uw+Wy&6pD2aM-$~S&ZvBR6Gx89|7Ma$z?a15En8_=oD5F8wt=HIS3S@C8PK+q# z&eLZ5X2Nqaj>V_PJin+|4qVFx^5&knB)UbgS4 zvZ0A%!5uO3)QoAC4=~?M2MIxy15cIz?t>LxDHb^Fk9-!k0}tCfJDXq>;~7-0Q=z?j z#|J&In&B>%ks(AmFMs>}%{PzTNEgP2{cf)*)@F$B>}H!%26L!Ny4X0$u2sxT zQ;JIW*6yGR$E$vN^D4ZFHEuE^V-LYX?=UtpUO4c#8y^J{(I3e7xldCk1U=m$#y_6f z0RrhLgp$rYB$7{guy1${yRkPx6{x!Ty!=Z{`TMHly7PvP2c8!SWoR*O__*Nt&y5a^ zpDObds#RySJy5Dx=?ytW93ME4)4lr&bKj$X=QN|V$(ASPa}oNHqg|pks(auAVzC)2 z$zNyd0Skm83%|zd^D(}dFqh*>Q&+x1lYBRiavDBF7PHn71|@%CWFii+sZ-jzfs)Zz zgcAMgm_Xlb&#@}94EfK266RsV5NG0YE3o)r<%tLWX(X@`CvPdgIsp!t>B%QVzkzYu z%ifNta)SU|-3J^bQ8;&9tM(5H{-QH)0g1#C;Ngm|)(52+%j>c8-3VWu;6e>-x5F{E zvvS;A)q1(WRYe7I;fjoe+M9Z-VPk|PMrZxDG>B1sS1+T$7YpwtMn~v3!;O`8dWKz^hsr8nJ~oPmuo4Qy_zkYya^(39#` zdU8(VnJA)m+;dsax=)ThY~dhn{#@V!cjP(6Mj?l1?4J=gJtb zAUNNQ+zy`Tuebf)TOG&)K76p#K@ErN?}*(?1rLL9Pks< zVD^h+ODtrx}xU{;r7Dvt)x?{nm;?teYZAnCb?)n~DQCN|%#47nh!QnH88njdl@%43&`K9r2 zt~g{IvbtVdE{KS_NFV8~FUzQI|AH*W!G7a2+RbD97Q^qqn32;pZ2Yu{=Omm!{`&5L z3tMWdXEf}ydX08}^~v7JNX|yu*;k-zNiE8e_(hd^WwJOdji22_9%yBVr zJzY@j>~3s&5?2QHZ2fIhsjLib^8mkGcxT?;>KWtMJznc?9vs)7`|~&Hs+Ti4QgqQ!oyU5C$7S98X+-O zdQ28_Abj?4O?pGFJ$;J8<8&&hN>UBP5MCPy8$MxXEw{h>g3L3Q?RI5M_D9UdYR8jz zERt;U^{*L=-Fk8FVYu~*pS>t9e9wt*vGYW0}AfhLmQB8X zfzus4bI856s^qS8v_F(;6u}o|F|t%qRG#ACNt3Dpc`yf-!D-wtB=INXlbVYIamx_F zZ-}Rl;pWE6jcvOdN8EJb{}<_CHJE6(iu%y~FgC)W_Az##yM2X0;2DzpozCMwT?J{H zMcuk(nAZI!MSsiMa6`lSAWd?T09ny-qvgI|X9s@c_05&}|NZWCQ26)1BS_$>S{a1~ zs1J=xLrCcWZdV}9(89LsmSJ3`nDir=_pp6Y4)j~RR+7@{Cq?D(<)n$@udxxb?0R$H zmW*~ueF|zW(HAHabJ2yuzS23@I%J_Qj?^ruz@uydAt#YejS<`J6$|NT@fv^5L}1m0 zAKapcLwXAdI?09aKYPGvzSsgQD{`j#4AO7`yT#EC%J}KmgayUsw)_dxmfZ`>Odo@$ z9*rIhrbMZ~Ws=XzxGFL0n04@Ktx$9;9Rkbc{>+#Hb8YIX`?pgWnKz7!%-5^YZBo}R z(PMrciL5piZ!*P8=vnEn%|92U(V(yW)t-Q;r+tfd2sd@@DR_wT?XT}e(3WfMU*z({Gap=hY`qCDO%>bnmEyxWF4lI2 z3D=1VKPkIbRb@pu{J~^&oG!>*F#e*n4J2|3dE12@sSzSkx)$A)wnRxcj}wSapH-8YG>q3KsSZMfe^D zW;|K&%}stiocpqZCChV%o57+@IF+QVJ~?avc^CfGln|7kZHN^n{9S~w#%M%_vTsx> z)yj0*Kxd0y#uF$l8=|^odMdK$zd1&9kyR#nX&RgRv6`9pAC=%poL6P^wZ ziUy0%<_h(lhn^Q7&1&wof^tPDr-$ z@yFA+KB1ptVNOxGE8Yp*q!$)hVufAGT(g=gHRcZCGgwXzo-B_-JQ9JJBOFBz(&`i( z7N^^hxxRFT)_~Iqk$v9GqS|F+^o!r>4>3NQ(lY!{L-F1Rr0n~-!Mp<9Aj$weA{4fG zMz^;hf_frKl5hMQerQo!os-&u`6jeRsEjKzr4X^3%B7jv8DK~Jd={{GEBNHW6P5zc z{%x(TFjp`eIf8m`Q!#Wf+kNl?)cAR;a+@!Uf5*E+N_7nW?DDKiN0`{ara$(4nsEZL zm`J(<6ad*6nY;)z11%^^PdftSqqj9pH6(9?II5ua>7-#J0!XI&{!OaF+w%0)BibPX z0ITvW+%a5_#Ml>U>Fx`zzSo z(8IsaMnGmJ_ zK&}E|hlXlUWFQ;x>VosSr&l`L*}={ZM4Q-k zXrx=K#AhKoNv-{Ioch1J5eD8(lq-a7;#m)p&NrsUh*)M|vcu~}Y?HBwBJ;yjS0xR9 zDkx&!_%{6+q?-Hp7E2c;za#Ux^>D!*LLNwf{XhjsV8uyvET9|}KYJtF^E+%zV4jx2 z5N5m`lr7j6ZM0xKvbzAE+s*Ue5^jy`gqSge7I|Ot@yjjdF6l;!GE6{+v|{K@>vN?_ zS7yc!5EM#Y;Qf7uJpU4u`uzfOYk$3+$XXk%27dH5Cg4BZ#1D%^H1aPyZ157%eS%!gcA;0I~&tI@yD|lIaJ7 z@^dB=)g6*YqWIpSAm2$X*2j&_TVroCQ0Z(IX)m$!Ow=|Qx^Z(995g~1$+0#V)e=d) zP#|Uh6E1J=zw}No-Ao~&P2;l<V8nQa|f%R?2m?t^KpU^W6~i{B zO(Ny(q5^h7`i9Z?zO5FhOK7hG>A(~Ozj*S_o3#%d{@S>+McwD!oQUz{>{33ti5J91 z_{Qf>9uTaqi9_z7|~g6mN)CbtBzU**s##Oy*+USx2;J$0-aTWpSin*V*J<1lX4e@TgB53 zjNxxK9;2f`k@fFivYKqGGu=@9aVA|F`3on&vZAaM3pDEBo$fFwcKR&g;7mo><`a=&;FK%um)I0O@Y5Z81;wC^1-nLpYbG97O`s>WK7Y^wyZ^|3~{N z**9np5>RKJ_G~$u-MbM_%`qbD7rfi7XN|DWz<7jyW_b^tnA1S#_*DEs&$$eH%wVfv zZBb8qgO`}0&|34;Q2=XaTPW~A*K^fnu=0q?=mI7&E#9&9H7!$6=XWu@%3!YC&3ys-T7XLDaj7?MC;YlR%-&L%ou0AIdm6O9d5&wxR22S_RqGnVS9 zHKGKOvhl9*Jx}|6|E!lG5Bfxm`sPRnDB^Lhwu4*4!Bdbu5JP5Wz~Pf;pqk*=;L2zu z&(s^ei`J@jA2YV^QoEhSMsOrjchx6Sn#qB<%wnhAt7Lk+iCkKci|?Th6D4WdRU2z7 zm1kPcdh<{VF1W^K73#w^UMWZ}&Dje^_qX6`(WW}(ERU~mvsN(|4wrU~d=0;Z@dVYN`gMspyNEq^hD&CIwAHU1ywxit#_)QLiaD zqiaNSJFTx(%O4y-+ZvjgM&qC*EM}KA3_!R1W!83|-3D_b+CzVCi?1_^ksy6pWzCnW z_u>dao7j?(j$FxqC`nTz(Sn~Ha8XH=^o6reYHIu}a2xQ!0x}U(cueN-7+-T=(W$w< zj7SG6?)FYa!iR%!)H=ByeT^6%AfD}X5o;fGs!5f0|1rj-?hilU92L7){l+g}5p}8A z*My@&web!sE`Z{cgAE)Z>G!)RPs#+K1o@mCR0Wx9BB~Mui+Oi4X*F%=HY*xH0UiaJ z396x8&^@nn8h|IusB^IqjRKg(0c8r~Bx{OWo)v29$&LDE2M%xN-xUoUd-rN`JbZf z!Qa4;Kelqod*tU`Jm43z!lp_LDnuE7i0tP**K{sJ4^185^nHmRbYa=j$RPRVCRl99 zv>pr+;s#;AS>-9};+;>`JvD3Wbg>^poTfu4`_mSJm7Z~!SrKCMOG};)tYybF%*0s9 z#LEsWKrMr?H^ng}#YvLQ5}H-}eAJ6L_Oz^ypx72psARpNQ;8D`OGv{4m*G;esP&d( zbC(4J@7AFl-SGg;!AnpvkhaVm0us9a%Jqnpz_{s)8?(P5aJs@j95xGNYXo^M!=FmI z#NnV>Z7P1IVBy}Ua7DH(9uR|5XV^_ZrCJ4NcPP>nWVb0iPka=%#sO1*nUaXks;5`S)7>xLOJ_4QWS&)f97khwHdG_ zQGzTuw+tGqw`_(Z%F%8ZudNc_;5;?qDx8nR-F``7^Rp-jfPTzFHEfNe`IpWsmY4*<0%JQHwTi2@^XP#g9Q&z{9zxXh=N`CS%huxE>#c+F8HM8KD zH8}_A>f$gE-sA?HhU=8ql&2i(0f6hbKAHZ)O8}_I0cJ^$fEMcq$Xz23$XBXdeX)vF zx)>U2XC(KX@Y!y^(LZeWl)Ha5BloFbbI*e1L74O>{~q7Pw{}BLHakAM*W(WV#u`#)7I-SS?N6DdmsqL|AwD25jQYj@=so_J| zvdHX{FxSq&ZP~5UWTlKvD~;Pi)`2!B1M3pMU^!bt35bhVXFwg9W;QzM`bapPzSBb@ zbMbxR#r6RiIE6~ss2hjWI2fl@ZzmeQ24UhoLF`Tvi{)54HUn}01=D|4WH0tHx#89$ z66Xl|TAJDU;Ew@EYxvN`g?I0LzY$yCtb~HnZpH=Ko^2-3>r7kKIfE`&>#JW}s;*FL zq(-h6A7o-MnwVt}@$|8io+h!;VH_;6A$@%vWaj*8&lcVR5a09>P!FyB3 zrOkblX`yJ3{2H7l3)ozW8tpI4pm>;u!ry!~J((u$}hs zBYLOHz!vP_{D%E5Mna|WrzZ;a)qp6w6|IGtYQLG!lRt=Ronw zJs*l<&dx&H%ng<8PL_(cQP)N6rpL7h6X+Kr8c}ij-K@J+0;$nkh*@t@>x@r@`DT?W zlKaWhzptQ5`T1c9uJla+FMQE~xG8v*-EEp%Qc zLD-o6YF!y$Sqo{N`zLfkER?ojd2-$WRgV1gz+1=me(kk#I{7gurf<6w zlujOGVPe%{aVJwr`+7nRjIci&2q%=>F>uFjTV8nqbewlMtsz|n<=B1AFNYkCc)&yP zBn=evc8AbYECP;LF*|yoe zPKRtOhAnuB^8EiNB{9T>#_C>9oY@#W=cE6J#0=uNX z3c^-SdL~_$gXE=bYk|aysQ`DqaAXdN!TVRfUT) zWOvV46n}tIr@b~CHd5?;H**$QYu>e$pHgG8JL9CkPzjuv6<~dgeeXChM_H2L)6a#_ zY;Mg>8a&;C*9tyMTJ$ZWLE@i(jduNi9^z3?)}D3D)C3h^vv|+t#i(JQ@R=$celOO@ z{CTTCq|LOlQd$*TlL5Xhtjl<3|#Lld923jy`U z*zOZnm|0a^Fa}oTgH7W2ZPrnMy8VIoL*uG&7>J}re0s2F-)Z5V!W||CUVFu(KLJPv zbm@v(J#+_-N-6clv(}O5&IB$Cp z&+(?m3=ufZ+T2QMI(pH@)o^!e9Qniybs5dILj7?579q0VYwmsIc6Ged0*br5N zGSY;Yl(XPKCNd{&{*FXiYNAgKM(@9HoN>H~ZaF!9A-`yD3>~`hgM57g)Q#9!hArC) z_V2laySMqN2VY7IXw}GNuaD#(i9_JzAW@Hp6m0`bgryIHD~v(DEt!lfnoX&U%_rKY z<i4GrF^5$<-iAoc`S&~Pq@>{u#yY=Vtl}H34aI>yV z25@2v>)m)yK>a-1>^MQRXU<jDOzvyVu>A zInmfP(lgC=%}IMa*4wmlG>*0?V|v>*CW=qL7KDL&?K82J&ZSi zA)-XePQ?|oAg`i?dXpvRCU*zB!|{V#_r{k+l*v?eGgfiY`DYM3}mUL-Gwp>-Mr1ga9t8`l_$o>%P5g`NO^@}A0D^ldb9?Pq ze>USD6%Wt*axtYSFo)Is^S706!(NUfy7{bq?9e|E=PpxRAu4r}P21c)-8)yv*PpQW(vN?DLwH>13C*{H90s&e7#J=+_f?s5P@7zbJdA6Ei zw)g50Yp~Z8dtH^l`9G`FRt^4f)$`;0A8dNeLO9l~Sn*ppyUnp!YgrDau?ZpXW zgzlaGxRUt}fQ*})OZZ$hmf8FeTKPP8FG9B|U}ZFcp_$ubSC4>s_iq?Ra0RA-uOYaF z8lCg}@gD+OrvYe2qP5bqT;3LX{|Tczs+L3MaB5Y{$NWt^;=gAdK}b2%FS^+zBO7K9 z2<>-_(W^^jp;hYcXNxF8VU+!u$|1ov+-gV;d31zV(1UaSKfxCvEKL^`z!#@?*Cn<` z?RroeRWN3Z<3>nRXIg(&nf56*WvAzg5Tl7Uz_?};RI~|!icx<@m5d3h+a0#OLMc@H zP(t!;$}GEIQ08;fqBK!YK(d?wJ# zbFmo{F?rMN=B`SYt=%>X(0+nA6_odK*0nkwh!t%5Nxs6c=NzlC-3|-27lMd@O75_4 z7`3RQ3|XR3^C4?bZA$~V?WJMgEJJCTLyB&^mPxfwg#h~q-ti(<{+4mwlJFj`-QuA$OMW_3*?ua7!D#u)4 zig1dp83#`fs^#mzvUC6qlesC&498DWDd_r()#{FSYbKmsz`X&jSPdVhpNlU$ZN8L6 z`J$fR1C#8|P82XuOEa^He;^x6!YbMbB;j}Xxl ze1uYD&vyT|JrHP&AV&~ioNV=vJU-x^T;kYz+zC{pvn{#=Wp+E5`g};|ogg1_Yu(N0 z@V3a6d^bd&!?5DsMLyCu3rf4+yAUWBsEk;o`n^}21J%(uc94P!PR|QeDE(Bp=bU5v zJlIVAtD+P}6h$a}=pi)cP@}raWetcCP@!P*siX*I0hp``u`U7g!fFngy!ZQyYG%|H z$6ojkHfao^TDnZSr+&AOQFs+D?L~Iq#!A)k%8E)Wi42K_1*7XjqKY()dZ^3nA_H5# znLMVEZ%0c6cg9L`lrh4JZiaVmw-i|r3|R{LPGAdFq1Sc$CuJj{^c8HJb=c)0;8UOu z4!8x?zdaBiPC~wDp`4c&EP4*r^ znFLTzW?Q0ZJ?87SjgN|gBu=>x6^b`o;=K#`ir(bLCX5teBQY@i6hBAvXr9Lnado3Z zg{c46kq9mDp|qZdJa#F;r!`K;QkB}}YHPY>rNez+hZakb zuP|HD%0;+bB$sb_mDy3{YO&btCayF|JuuT*4E`?6J)`chW}|jNwEDGPLOn$LL)wOt zI>jNb+BXJpi{*5H_skeqaT!!ON{6G84+k^JPO? z*GGJNu&^XEB4|xl)Xz8bA!rZ&<($X8Ayj7yi zWmYSFM`N&8&J;xEUN9>#r$A1d@Hwm}r?*}72HDeZm*+D{>q|VDlMxxlgPh8a9FabQ zO9m$JS>Mt@DHJ>Fn!skJ$G^lzyu&u;CWUV%6~SnJK_#^VpC3O1k!E5sIU=~qOvJ=_1C1tx0-n}X#u)t;%ErnEJe`s$ zNU2<$--}nF zb?HwfkrOKB*NmZ$`-t^O-N)Q!X!$<^*Dt1g?wv@TYf@b;>l@Sof6nIEV#;OySk&~W zUC(~jLiDKvY=hDB?42S*vKY@WcX_@SNAC=s!{JBO01Z)UWixOxt5nLBNL|sC=GqD$ zrV)xZPn*d{-nYQ6-yRaKX$&|KWZT@7FomnOCi7>1NYB&iUroxG3yC9ZgTpXF2MJR~ zwk+Xh%la}`{$6yJYCyD$t)OPXK5&*d@#{RARmk}$Z|q3-MJcw8QqY{p_eYb7 z3lGwLr8#v#9ZUn^421v^YWZiOS|kzFglOT;ZNU~m29xC1?Lfx%ML-!>5`9|7{A>d4Viq9DPE zq6*?^iGgPyTMB1<0?F|rSmhmS26E-0=Y(zVWow8D%4>@36do) zaf3h#`FBeXe{zL+9ZuIxTsY@7pW7pvArT>$v_YMTV(W=V0Bj?Now->32)Isl$EWGfQ_k{q`ivm>a(lnHt?ea&lAuv3y^>g!- z{Pl6v2xhkMB~@Y7R#WEZuX6$=+5Z#u&>S3-QUpKJY*|9{xS*qkuZVAG%->Kc0CV(d zm=yDkW}yi36`Olk$f+DGe@?VYnI`NYQD$bZ9uaS2*`OsWYeepNPjVaL6VJ~5@(st? zZ+DsohGr`IZW^xZkCQ#;=PRY{Wh!OG14ghj)kp6{T^^-f7W;+g(MgbidT^b5j=`kG z;gCly2vWqb;0ZVySb5A`CVm9>TFYs1j8WH?f5kar@5Rr7*WU_658Un?zP@ri_(S=U z)mv)HG(uLA4Ln6)gxJ%A*%R&4=T2wJ^Ik~5DBhf?rK(lGM&eRXsSXu$LUczdi2fLi zpCG<we!+3J9ZKSvj~RUmb>C} z24}fQY}ZaS49rM!eUmH8@o7}Hlsioa}sa9{VYJzDXt>wJt8dOiOkLf3EY0T}T z<5~apwX6Mt7vB!TwhS?$t@iqf~k1mwp9!|T~Aw@@KY5xUrBJjItfQv&ujc??5bZQaNz zGF}|MSWrfnqrENlz`;{M139!o&fhw|6Iu_&Za3&(f_FHs(~JMkB_=Z~AQ znaE9LJnp~51Mzp0cbp6j=Z8mY5cfeAoJNMz2yg!+H6y71qGA>fho|6uqT>uWL(X=K z3XxKJr? z8nLm4)$IX{&WI#7(|p-9W3r+jvjpJK1Pg={gfHh6aQ5X|G;3@knjb)jfhx6#z#FqM zd`{#L$=aeqW_ysr61b1ZS80*t=v|(f=IUZ^pH8?++U~abJbt73a%W)uj%eL^uXvNx z8?`34nC2nvqdV>=0PCV?A@4jyqm>wL~VqUon5Azg9!`5PZrt-Qa`t9+NTzp=kk< zlHBOM#|#gRuW-PJaU*N^VH~<N(K~^_i6rOQaYAU1B246`4|y0#P<%n&ebM~ z#+YP1y}rkrQrX$>Pxp<2E~&`5jIA)HXgyB18mS)+i2VX*t+8(2geDS?6qpB=FDeaI zEBRce1G}!ON`l`)gyQbN`~N@?Fm@cCgnQGh;N0}~QZJTK^SS9*aJ%`1-{!V?-28GL z3m!uS>GfJm4>oW0+I~zi0w!Xpj(ncb9rtqN{GqM7Q67MfWQPqTjwjC)9!+gdQU0c_w}>kq+RC;akg*MvXN7$2*whdtHXhg`c8J5RdhcuzD- zie1CmL+CA-f;C8luY^}utQE5*w1irO7jMg1=eWq9xD})tGL#%L4>Eo=$tr zCr+UjpBgD-9Q8?@tHclz5(KaT74z8Kg*kV+WVg|6ppSxQuCFf&50Uu)0tq5*`fIen zjai0GHdZm8FqlqO=?d&r5&f^p!8)TmEO?ow)m?E1Nq2yN+9^W`3g1;-aD^RgSMH5Y00LZnGeFCbka;6T*Qj&RA`vtFQtfKJCzFiM;B6~sC@>y?<>XO0hW<$UXj z1cVb5_`(;6ahqze=*{3F)hW8`4TZodxtWX^2_LBxLdUP~*>M~IjUSNb`H1D&ip4x^ z$ZftGFJYK;bWogD*Oxu0otNlGq)q{X#laanlINi<;P(#KJDS?IohAa5%12U( zh-1=$-S{*uB%wfEy4p%VZMhn;&0cJCUq5Xm!v(g{qLn)dS??r$mfXS%0DQ{W)VEeW zO}^QnxZA{#3MG2UQm{1#LF19C;)^!HTqF2rdO;pCeTbsDJTZ_sVUU=qG417@9d9{( zxt!qX!vk63r_ZKK+%K{{9gVl2)0YyW8CIiqq=w)zyO>#vaoG{d?;q*)P&xHLcB}Q- zj9}k8L{Q}lbjNKIvW6up^#6M0+qq7&xn^`4DxNvvVi?;X(aI>cH>?(o3Y6 zx1dLE=z@py8J1?pXgwRRO6Z0klDL-!OaCTt!h=---f5vMDIU!$_nc*D8alVW za0E5=pRwnIS(Cd9;o{WVR({Gt2g}?`D-f~!weD+-mwPvpy;`s!M)n%9JL1*+1L3>2 znPZ@Hqc<(AHE^4Wr+&_tQzaw}9L>(>2KT#zWwjGQI-2^XQ(V?dRtJaqpLd`?oSFrw z->*lU57)JFZ50HScMMRBt8nZEBgDRTpb?=0pN(@>8vNa})p>|}^yu%0tudQ5-qi!Z zq>5=)P2Dly?;3g>;7U*bRtESvJLIfH9k+ukM7%%tzTSAC6;R3FU-&p@{WKte@BS(v z_oLKXzk^3Mc5&jz-muRcsPk8XGEWq*OE%#O_Ps_J-VN3JS5}>L+i00I)mM$0c1JjK zmJ6TG^*j?A{4h5>Ky8iA>7jWP`FGiF^nkj8H&hl!t5QGUGJxGSsq!kHvwg2VTq5V& z;4ZusbToMdPs?AE{UPkj>pmgV8LARrH~w;6kxU!zgXBEy7>z@^PQTe2b_HXXPaeFW z%scX>kcI3nk(xB$GiEzkki5D6^&`Q3woZqN<@#3X@*CzWv&UNko|Ahb69Z7!`hPmo z9x%6^cG+Vf%2as%2ghZ{%RJnjc|I&ykzN%P9D-!_&i{4rtO4ypF?{B53Uv5^uYVdz z%1BfgiD5rUxk$}KBhLhr!Mc5=7}dKY7}1-VCA0vONQr^J69Zh!Ni^Ah-N&T+-)M&Y znM43E2}Y3{SP=6&EjH2=!Td}ari?l}OpAd}2x=EZx>8b5!F{tyGjDdk0EHe*CDYYl z#y(Wex$TIUx;>BlUFI9VZ>zwr$()*tUJ& z@7%f1%sF%a!A9+>RcqC*vg;I@O$Tu-BsgtE@Ok2UZI*H~oApA3VVkVFIYe zOivM0LYBv=Ns+8KNce;R`OjFrRLjL*7($mb;JB-UlLGd{hYVTOkE@T)m#0{@l)KU!)zG&4_?yRwy^q%;l z!#o>YE(=<+`q9#!^zuo`n{k~Rxg3J|o6AZJ+ZGw5f%r4_7XC-HG|6595W-)^-6A&m zh2Z{EC8Gi)#QKriqG#xFy2bVkc+&{(>H*U6?htl%1dASMSCo|oCyxQf;fnpNVe&Ue zDYmnq|L9~DR|$Sc44*fOEy+ulsZB~E@D;h|Jr(~XarfkYKAtGwx_7APLAgb$e2iNf zAFv!>#v%jb@<)oI&&4}5KwRddfP>JUmj^M2=0XH|W!A9H7GSvD->0T>?|Dp7;N24A zho4f*$O}6L@-qK*9VjoEY5xd&hX}=DJ=mDUYIt>85d2WW4oUiT=97u{u$l5v^ME*N zVfZ_+WCm*TtdjhiG@k5vNY{{ysNdYSEkF(Cr)r2-wO28Ko6#)Ixg7tx)idf>Ex&R; zPq@b|Gh?J@2u}-?A>=LiJ;<@t5wnpNgMg7};=NH$y_)fYJH)?OC^*>Pnh>R$(k_Fo zZ6@H(Mv%gcCtb;$(N9PfP}o)V!9p^{b+zqYq)btk0w$^WARiwnbb|?uqxaITi3~_-`99h^D z+wp(t6A_nFh4sNoPfrh|)_1gfq~#br>1h_6Rz0nTgO(s% z`!{R_Y!N_u=#RANY3vVdDVESseOG#8zt7*>8X!X&KuXoVF&LZer!Bt549MX!<&DEP zI#!HNH%#cn1eE@aMv~6Y{7so+Q|?V&tul9>)X$Bs!Xiy0+k1o84-OUPAddEKMb&KzI(fN$UN^@MIY9DPuid4D+2Nc3ehidjB zql;EAq}`g1lHW%7DXAXbHbyx{3|>}?#y{^OU&)3~nBnFvKImmbRUH4{YQ8@eNpydT zz{DwaO`-Qz;>b4l6Qf4AsQj)iq_>hkxuci+>tR0pZDr^GViFp z5*uBIinyG6b7h5Ptb8l;B9P$x^W$c72MD8;VCpn_I``(u1Twcs?=D}?`kb|VVNppE z@hC+3xnx7V949(YW~+TGS0!*-vu*F(B-N|aIZa}0Vs)F=fk_06S?MH@*kynl|MMV{ z%PuJ5w?xesfxx=?Lx-b(-45}iL=&P(Qo?}EEeWEA*ec7Pudy$oALSILl*$yjM7eQ! zLDMGO;xc=qiF4u7sKw*f$wq1(ucg4X>aRNZtXO92@b!G@%h6+@GrXX!DPawAnfEL-JV@%na0TSZG4_v zBPWKAVCcxgeopyvr{5s4pK+0-oxPH((*wz+{X0Z0zHRSr(!P1`%IB@k|HLw9?>jw$ zr?M#L-+r91SnS+di)(Cn?`dE(x=_2_-7fiB;~{HBlclz*jiWb*Xa|>-B7bIQAY2gF zA2dSw&vK(%Irusrx@}i6{FmmxM+ge|CIn)$rA<^VTFYM?KD))iPb-Q1?vptz&p*B^ zO%OWFbuPW2Wev(kvqQU)S0eJis)W$yd~ zjUxN_w!o#sXyl;gyqo9u&i{7>_ubujI`V6|XCR;tur#_f^k#uHn%Q{R%F4mcr> zSJAH?jh|U4kWNQe&Z%2NzjQUQpTo$iFB_wL>S>*T?blYnfkf7a&oOi~+`4D?+fpdI zZ^-+tx}SON>6WH!_=ETDf5Fawa0BNWL6!=n#A8&s-_!PoVIjZB)^uDV0{^p2DJ`bi zhPKK6Nx|U%wo1(2!mmK@J2r0fvhf( zn=jQnPb+c+e2B2TVh{2y0-E<*X&0QyHN5qbFJsaCt9A-f>3{o{B?Ym8LSOv35tXwx zYP{hmRI`N_i~9JedDKQOZHQ{MM(Ui^KIRBPR6jfiP$wjkO-Ue$YAF-n^$jEq6m0~$ zra-KzdU^R&y6CARz>@8JmO;YI%IoYesB?WfX3ayD+BEN>N9}J+Qmaq-=0L8-o#{5R ziAs^Bcb6)_^Pk$rJ(1nX2i=fEVxug3`!PtMc$Qagt4VZP7ygyADs?kd?beHK6uE!ARJqv2reCx+DQt0VUJjWX%8+uwpUW z)9=wb{iiby4;goaoS4Ea^AV6U(2fs*0U{%H-C`lJ%Tt_apPA=D@`f3NM z!#vi2VbE6_h#DM?A-d{dqJobKYRu}G*cZ;NX%gqGszC2B1le|f#ey)J3B>>9W6z37 zcIUo)|6FuO-)tAzw73l?u+~yrtqDUvrz{quITTjAM`tfIE=$D ztls|e|3h+#i!kj%Hlq)|0|0U`6(a>d!hj^7de#UfB`4|~CM_%>_}r8qLUA`S05V^} ze@KZs|9XuTp2+Rz-$qc<>&6kiul?Vp;y-8tnP4M8?hUOk28_5XyMv))rT^uU{_(A* zA1b0cuhrcz2%f;9gk@FXpEee^I>xfxN*T1y&zy7}2OjtG(X+3Yz9 zD6JSPCoZ1i&M`9rZc#zbDH29d>kB!A&EPR6mBeEnZ^NmA{F5A7#$=&uJHbb?(c{ zEa$q9g;X5}WnC@TikC6f3dgRIP;uJtSw(#SfiN&=^mH>qgrS!IKIpCg1|dgXuRDvunT_qaok+>bUt}rgdXP4)n9|( zPuJ%UAls-xO%$&yuYRac?iMMCN)SABL{}I zHj@9b2R%pr%_em_=`2X+!t}kcvwT$XjYtd7ZoQ^e%+`5Vhkapn4HJ6{(qR{PL^-D${(&qwvE4LvyBpNydXlyjmga8lJFP+sjkLHjkey6vI ziK&jKi>b?VFXb*|a9%dB42o|0RP>!m_I6v)N(sHjAF~{nmVEHpb6vcDp=`&XYNfuE zH^HM~=)=YlKMOW?zhM>3-3_DhV>pHQV?($YF1z+ck9Cz z8&WP$1|d@=sC3iQDwp^nA{R4w#E$tA?jR3-)(pfp&*bXC06SQUVQTvzxO7KxIjvzZ zf!d{D(NrWD7W_3I^%g&STJZ-J9~wDGIE$~aGnnc$Ge$d=Q>sN=nDgQ#E)>#9oAByQ zLLfJ%z1cXS;d}BD(MTurpU~m|Ua|T22A|d}melurV*x%mAw~hgdCNNmUG>^<5)rO& zE_#lcgyf-|s+{1rYxp_l9ag>tK8}%xM(S0BDp0wUN=KO6yhnT`8{mW*4^@cQM^&)95sV-V!+C!r@C}|B zuxi_vr{2nF@X$F4GcU5_Q?X%$snCeMiWxR)li4amR$fBsm?eI$c7z#drpo!^0l9B# zVgGXq`#SzXY#=^QY5KZ+TXp|_1DJGx9*&*;Jc9hUW_f zTAo8QDqDdf*QG#sV^`wOfNJKe!;evKPPPa7PCnf zQ~wx|4BGwvuiF9shCcp47_KmpK^vAi%Q`4Y zd!2T2F3KYZ0c8!?wIR&ZslfYsZr~Ec&e|<~ZWrd}XUHs)Bql`;9~LAbi1801p4OUn zV{(Rq+d}`tL2dfm2=L+4>7h$YGI`rgf{Wox6wk1W^ctjyBdqx?%8%H2lYk+i0gn|7 z%nC? z6+~SYEn&J3)nKBvFs9#+>X*yo7*d-lSON919Aqp>=f3|k-T0%MT)Tx=b9_H>5IN8^ zR2DZIP;MpIiG`+8tU1R(AyT9mHBZt3pl~nUAk)kA*hgqc}2miw@oB$cH8j>$1zq4Wx^Q)iq>+-9b z0fX*H$baShjT0ps<-$%Z#5s*5I8u~~06gp$?>7`%-m8qG(JoHDiuFMZarJGAZXr@4 z3J#KySOn`q03S{px}=-3VB95yZ=LR$-n;@lGd0ELlQ> zWy5T<9>#~PPUTw%YINUjKsr}sxb6j=v2-<7Fr*&8y*?JJf zTo-ivb4ict6HGWY!2VDua0SnN(lX#hAyJ*R+;$e?8u`ru&ey_BCGh28jNv&q<2-a@ z;POW;N!AjDN4%8eTPY9>-8)))JPT-vl3&pKyFGaP9uzT<0%T**x#DosXd6E&N&x)+VLG%3TSZ)Q*hdOrD=$ zsLY{v*5o+2S0?66bSjkACJjx90348&opPzOuDU!hWK()#bUS1Oc2yxoh1#b?k={`A zPk89^c+VwluRef3gB|IIk0_-2{YkU~i}u>}5LQ`*0By!ZqKp{%f&9g-+#R7k{vX&U zYM26v(S{`^HUFv>H(pAuqMr6!^v{OnQ z4F3g7D22B-zkga-8EXdZTm?+i|;y2%Bt^wZZGsg6e2 z(FUZE{JlBIHDV8t#h|ZgNdc6u`AXrmQ71|Wh81l$Lt`APkDBaL=7iD4re41s4-YIs zX6oonmLbLjCF-DDz_S|4`SL;=xZbk5(rV06OsRN0ID9QYaT0?bRdXp*CFiSQ?va}8 z3yY9h$Jbyww6oqaA$Yzw3y63`N%h-6e9FY8h z^(Z!s*D(P}c;smkaoS^qlt)cd`RwDBLVFwXOsLJn@#KSz90)A3~b zDV*dXE~m|Z$%PgqzB)-gOl7#vb8Wx@l6gJxs5SVIS0apvIWvJ&=SVQk#drw(n~5hP z%Un4`6PN;4_g;+J1du52v0b%AsNt@Lj_9Y7Ol|c?$U&m1P%7Kyvu20DQZQBvh|aqY zVCJ=P4BhrV7?OlbmWRB5*SzJ?EEzky7tyyTf@&V;aHu}q6LrT{8eA{ws<~X>hlWbv zMrSP3+y*kV2CmW|$vM+Ss-_Y9n%<*MO2wt+(hazmBe#IDJ zKpsbTbAh*D@h0LY#M#oR?=V|{0at9sMxkmgXBm#^st68cTXj|ZJ%7@jFe7e`XkU%^ z3n(JswN9o^;RPWGRo$D>Zb68qQ7AfjY+@GOu`F5%scZ{7v#T&uUl*2ff=Yyw)nGMn zhqRq}yggQS^06sA1KUSYQ&ZE-&%~ElN_qveODXPeRJC$Nj@07VQs*)b^Wl;b$O5r5FD0ENoyJi=%HzlN@!KuxzxqDU&g)JSRB(3#6qbCGHGa32za8| zA{JF*R49-HO^9LK1}!&K8D*SjRIL#;zM^8x@*i5d;y5UgFf1`O2mhSHMTDKZOO)O_4wu$cmP;qXT``wexXaYz39<;Lm*E4LWHCvprOhN+_BgLL{5E0ckG zYF2sEP6P>|yBZOk;muFSz(|3SOTT%;c{}SX!Djm9(#j%#@@s}m;2V^uO}uRgJJuv3 zBbL(xYFnHiiYjGsptoe(K|jQKmCsy83QLKEq=gJx0Hb?&yPojNVvElQcXWk*_a|^h zbghJIg;M^|aEztdWvN%U{r*gU%vTL!pNDsMC;w2>ruWk?V9MTIzF6scmg0H^D{QC( zOABhGtL%unZB{Y1Z4-FPRA~bo-`Xok*Iz@bVC)$hBY?_&D$0Zr=^ltG=?0~MqKUK> z{`uzhc3yNDXr1hYHxN5YVoM>DGvp-2Y9+iwQ% z{}+{h-SA_i;qSqvLyA*+NOzx^Kj?ByX4RAV@WyNUy-* z*T>n?Cz8~d9C=`bTWerby!qFf;Pj&!J*g3*VmVVj5T(G963x>_X-9cTJBGxcu#f^|Mw%> zUD>=G5(*|NMj^>RMYvf0Ghay$W@3G*A%`p;P`Oh)9iYF*%c4b_6Ro8*ZUDl00hEvL zq-(^ciQ&rq@ZJ?Qc?GV9*enM3U}>9UkA^caeWkQfIXH{!IDdOj^>_mZyasAuUku;Q z>lHJc&Jy%iJBEs)7cyYH20q(Zv*!A{Y;8vv1Tpi5hXC$a_P9+Pw2fhIKQMk3DM8pvqR=L{4%ZP ze1TZM(wMTj-=Q0GR(FpBw`u2Mx&4^>e(YO~o~+|gdCzJL1jdRTHrrpHD$%}PfAMl6 z9Xr4PxAp~J_$=Pxgg>r>koQ|T~>apt0ru7=7gT1*%tsrdh zFkHUUh^8Fmoy_?hU`0>@#dFdM2JdmC8{~#<)RT4D!e&`b;)aYWPNdL&*(LD0Y6nY!2kVFIisdUbm{ZoNd4p*5}OKvbo z3J7DKj!n)^uW+T;TQ}BQEFykHRctD5i-ZfFg$*V1C0+tBIYBw^2VLul_G>V72 z5!(5@ZmIVZS(U*e7(HsHIQ&TUUn}f%Nx8Y{7CX75W#;?plQ>tOVdI?j(OWI!?V&Q< z_uaq6Fj0E6`kYGwt-;lU_iim@5F(xj;B{>7cKeubgvdJI=jzO%6XK2??;&8K^Vm~^ za}5^t|%8Zf`ULCK!XU zPiy^moyQjr(g$D1ktn)~bC9Q4S3!C5d6;i5^muMmDuCG7*wZP)3hm~pm6epNApW>q zvc9+$eua^k>Bac+6bdGa*d%eqyv#A%_#9;!^ESBwqFzLpg;WYcWuLarA=M8WNlVO? zm4<{;UG6zJ_bgF7>&6N}0ZH`vWH^i`D!?7`aD$GPUvZpND1``AS;SQ!YP4O}IJ5mp z6xOSIxn;ILmIP7JqcQK{a=t}TATueppn`C}6Cb=wO`CerL;~dE86ih$7#rgp?!*r) zK7S$7ZK5HwAm))e?o?DoQpG8%1aZMmR2F%RbcxCVI`(NP&E;*uc2Du*=z4?$s9In7 zr||bezIPJvvqR3kbsD*>OTs{uuf3j92^>&y1uH~F(J){(z%=@Hb7xa$(obusw1d9d zyF+d}s&r>oXB$DwVfQl;%JBk{d|!efeGWtdIIO6}tFzKmM2~AMycB=Xw_gJxll5kNxf{FMKb}9Eqyzle?NGu-b^71hixHKPDZA`7dQpJ z03y*1$WNFZNdAu7&p&bOQo}Q`mzl6BuWEhRIEd-02 z4wH`CHj0-EAGZvqsbqK0n6H^G(~=^<$Ks$-ptq>)o#Ya)S5=E5lb?;eY(km#HvB^t znF4Gpc|6%=MQL21DrSBw1p#F5im~p$$f=|JvQoh-b&`l&$hQvrx;s0QszT6h@i>tvK*LFet|vQe;x@5J(sDL zy8=7#zgU}^QXsX9$Vx7drWVrL zsTY*36_{ULIA;<}NU~~B&i}F_^|t+%tuLG{UXm=eAB>`?YWZ( z1D`=YikED!mc*u_qofC^jg!MGDE5u5Qg1nYBnR0ZIbX$VyZ3$D^U1}KmjFayOK+KF zz=yR55lHnM{dlx5S{xaTdbAqC^o&Vwf+~TW#H>G1k-RHEkZV;wNHLBD3qXioPAR%G z<*1N=17`p0CyKz>!NSVGtb0i#aP>6g+(Ht0u zAJZ{`kDQa_r*a}^!>g1#UF%}m%oZyV0$;^veF-E31a6<5bk^q?I#3&1EG(hDJHB2N zF?>e;5OX@7Eme+=j;^30A^o(qjpi#>$TpSFLs3W6*WIc_Q9$ts73y1MNa97@lVfKE zkcxnuu%Fbw<FnJgLjf_HAw#6kKY7|6mm? z5Nkm6JOSQ-%>!m#$D0I)|D)53%-hLfI5=skO^V_XR7wTj`1pEYR_D^i0Cnpg@JNSJcT5#{v3F zI|#a`hu7!^eI08N$nVI-dD2c!{@NMnv>zWK%6h)8hCPt~_@FDTlSqx8- z|5M_ED$^x>Vm;o*9Tkh{ufa7H08x^(kWzIi=c8D2uwh~|#P(1jOE(}I>x9zH! zZw^7%l`DxLqD$RRpsp!4R~ic1nOO8 z;M%Z*A95BD0e`l+-$#3ATW}EhzEkf`Gyw5M3j(48dw-a|u95+)ZkwDwIp>zIZt}-- zg=C-Tn`e-&UX{0$AfLHyG*;!3UuS<70nXiS%4oT=@+S90PYm`)JVgZ)jor9Z1n6yS zyaJzp+=rP9{j>z|^cHjFDB{FxI;gFWLA2X?9xn&o9%&le6;gFOW!CPg3|?p}tQjPQ zpvc!XY&V*Z73+#Q`L!OXZ*SVfKJlzGdvcINVVVpDr{S5rFiqA7a|QyO5?YCO4tH{5 zFgu&zoi!I;b00v^e1!mi3yc#og6q17QuPvq&M~eFKiYOwn#`J(DT>|2KUOgr{o|^LM9VG4 zNv9woukvMge?CoMKweDTHcI=Jv@re_CHjiggsarATBb`Z#@c>St3+!SBK;{7U}ej^ z*McJ`m(!$KA;T{BA%w9|MAjiShx9|IqHS<(%T!TL7myzsN|#ZDKL#^XM~0!^|K$*~ zZqMObzf8Frr$@VG>^1Nm*e4A`6{X-AY!@fzM=xb1|KvZXJjNDih2j#~+9<^T$#St( z%AHu$af&10vIe!JC@m$@2T9|cp;e!|>1AF6@S$i*Nz)L_Cs%>fUu5jFyDFJOGeS16 z#}hxltbZepTo-xCb>;E{Y5(4a!^~t30l_7C7w*ci?L~OY0#k@A>VDCS?W^J11#}S4 zp&y*TDQ#$}*3c~<{l5)e8`+g?L6$Z9&Ak_P8m)fxj7WdvTGSe%Za+mmn`mAj4<^+E zpT_Iu4UWTkYAH+ODhz)bX9tec?cm7XG?1B*Edw!S+nN4dGY0q;Pu{DXxpKkwzB9Kg zt+`EB>obwZzl|*Gm;Fv}76DceoXfMA z`i=7EN5vva_Hv?6jwOqFLIaN@B0;uPCyjXKpxiq;G8hOWBlg4kV5-m>(H%_fKXe=I zbJlQ~0u6 z-M-!D;B|}T z1;XQIiH*U6$ayRG95HgZ1aB0G(tI3h%~xNx&B$p@4!BXxoSJ_t8D185=R5!-!?R$v z=WOiE0LOixr?~<-BSXm5lm2_SW#o=oHH1l@YqNbRA%+h^vRqJV=TERBjBBuvUP+;XdZ?rh*O+lRuC{HeDTa4?+QFb+)1YU-dHlA3FM}8 z;bXoGgGp*s`{A#zNb!^8clA0kbKT<94}+|HV9L=4%g?4q!lWmTXnr~l$@9_Q2{s^0?MyM(f=tJ>;ZK)7UFRPKfSkr4Tr_;Lr1fHkq8vj5VE z3fq`31x-^l%!X;VXSO2-$!%XfuIpl59g$BKZzcRAG;Ztjli5m|`=C&6AM*)!w{P^) z**_1R!v|&!br~ZEo~vm*!lG#UN&kN;VoIz5Rgkh42IMw>SC6{2J@pFkr4ptNsO}Vd z72L(I9SOF7PAQK)YlKg`g0ckwp|k`@14U!`L?el+mACGs#hixIA*Yu78`&<3h?L;DD+qN%yl?Gf*BnEYqlmOY%W7B>H;23L+~ zW7>QS^_C(+gB!<_#s*F^;<(S##sY~G9^Ja3LI+LFLMXPG{PRhw7)Tj{4%EzbtM_Hs zolpC)ls>6oL9M#iF?$lpOWwjb)d5O>FC_K$O)m7FqnmR1rtNs93^d)XbXf-$$@9ps z)f%n5vp5BHOe2NJaUHz7C4-@P5hIPbm)x3<;{$%?N-etWbl&Hm+dUtj|DgCypvy4Q zwgYlqufM+Ti2q&thmL8zFu`;3HYwM(;!Y^&Qncx|<1eIrQH#=Z5=Sz~(pyuQZPX_( z*Y&*U*fJXKv|Y1x+dN+~oiw>r?*ree6YtqU6Vh3DfmF>sH4Z^)>*PoY%Z;3gpO*_? zK8IVRNUZqiDQhOmVD6AeRa)>vgEScoq`laq-#8739VBL}-)w>7LyE*(Wti~AwYada z)n`3|nj6dTKzm~hDm41C1L;ON?8Z}R&LLard%5dV7C#Y2Oiu!HPeFsa--#QUg9eda zivIdpc*PgeLGHuV-GGQK^Wz&2kDM0xOaQgaJ9_YLmWFV}?xB)`G|s;lEn(fl)p!Gb z%EIeEHHQ(SlBZX-vJaE%P#K(p$Tr3=H3_xN0GLBvN;3i&wD%-*Aff~dgZmlp-F+#u zw+{}7MmgvW8K-=mTwh7sk>O01LLO8z4i^|qW(!WY z=ndr3QN9|=>MI-)TU}sQAa?~lZH2}SLBNwzh|$Ck86k2R-JWG|gJxbdSTCKa;MO(U z?)M3E$Ku4vR~*4q8gAuA5ZeZF56^5_H7`64@#Qc!8tnPI)!M0PNIRZl8_SztiZ>_4 z-MWaZx)a7w5fzhBOI?TY!g}~c_fTjX?Ga@lnY~R+?sS^-u<5Me?qxikBhE$>O3{4l zzW<(pM5(8Ka-3oN?K$9+Yi%Ypc_Kfn!l1L;vc8ktUAj9Jj->N5Q2Zy+EcE{^w*l4< zR7jF(1Y7EprlVq zc=esDNE;n`LN{j2P(@PNz>0mzi;Fs@)Ovd=N7Ot;HD4&y30fe{wy==`KCEofkCP7s zVf|cm@=s@~+3(9?_{Ie#{3rq>X%PwU2hTHbwdDyPm%LmaJZ|5&Qa5NCQX5pcx)MhZ z+2Yr343;q7304tWEe)JkJ5GWHd|k7)uFuashKtp<1TJ}^xcKIfmTrNPUCDP?%QU6o zviY6%Fc6VJOl^)8rbck*j3T_PvHk1^<-f3>Zr`GM1oKe;t8M~=7n>sxF^Zc#cWCxz z5!ga8JgypsDiWex@xlt?S!Ih)uhVt&s_SFwYANe^#d8@PufIY)+ei_c@ka?OhWH;J zGx(FjyXlsCQ+L?1w+l!cqdJrxrFlXrfoxOz835-1lf?OWKIhwS{iqQ`*RBSbLll-U zHr>yLdaJ|+^B*p{?XT%P9Gi0o!;Lo7`2!VP*_T4qF*$COiVIR>odRN9cyN3va&qE7 zKy^E_9x$`E_@CpyH~&yHKuJgkDI69aodt@NJw$$bZ5Z;uI47;H zx8_Wj)f!anfh8k`eSUUoL1lyD)hvUl<(eHA`SB5ndxY8S>P&Ju`v0L3|2eu`%H6+P zfTB_Or}dME{&fQ$T>=xQ!)`n&o+vsZ_bi}Ailjo^&w&M7=pvOUhP!@UnQfo@;ag+v z{eVUCf(5>zfIrrT2~EAY;P_252jVDV7RwdXLI zb5-WwwA)x$XX_W6YzLcMSpF{5&ejcq9W(m2ch=v^ZNA~*1AJI4M$sk|eJbd+<#U4D z=&Z#O!S35Imn$R+QM&2fb59cvPeiA!l?Ig1ym1D(bAD0Y&!#%A1R4n=!Z4H(rRqr1hRM1t{W0Yyu6A_D1xgO%wi_O=f%Ki}wZBZ4+Q zF#&HjVDq(k1}{toi%}dj^^)Bm6(|WSwFETsUiQhK=myZL42K3O$(3`(PSFl@@ubqB$wszF#BOxwj~25Bg|P{Qe;st~6k4-?NhH5Ey zObRSgb5lZjzc@QV`pQS16i-tRtOy|PN~LFut@ejtU=HvcxhLkH zPir_hGL*dqs`BRykUyGo+;ls0tfi9o$qwYP7*|Ioz)m>c-Qe-n3(^E_tQ)Q+H0Fyo zfm;1?W8lLDZiTI`n-nSN5MaUmdB&AUtmdcua!SNqe#T_qrx{L*9jCUuc^iN-T@69_ zTmrRIfrV@>vOt0)sbhkO?tQOZKZLoIN5kNq5+n!33N>Bv1!0|yppMrr9leSp5k8Zr7Y7%ST-eg2^R4+j|p zm80^$S3fqo8vy(&gC>ggLeoKZl3XPlEymU;JQ(=M28PW*o`X(svo{^IL)srD9lQwG zM?!LUJ)QGtq0$12v~HdK_{F)gaM*IM4d9bFMqe#`gEUd(mAN25wYFn?X;F6$|53kz zNhu$!bNYJ6HDh}-rRy0h(Bs0wrnm7`=kHZ~19dQOtCXA7%DOA(2`nzLoqa!1xaOOtuO_Q%_UM8OQw>7u%i>|Blb6Fa> zYzyfmUwQ_1d>HruS>s>2z#bpjr)H~JV^X-E3^6tlcVmh;N2;JX!xNPOitVKQ42WS) zT`0bXR%QV1MJRDt!cp6HyN7jLQ#U5VnOR9*u9ZEDFVYzMFl$6+!qH*$T4n%kG+1nO zzTmTc(gmk#QUZjT4owSO&)fxND%QMttzG&{9KRaAdKPkc6*u71Q3EGu_oH{OZV z8_qOwzNe&*yC8e~iijFs7QuYuJ+QUA$i(Rg9l{@CZd@|NLYA!r15VS>UN4#Jy8`{n zRPU}(MfT_J?lVgEzca}tkcZcbcth=(ZeYOtvJv`XZ_3bGC!L-} z+rrd!+c@t!az#w$S%JK$A#XTw^zFA&ZBBoY2r+CsaN@xTdG#)=P4LgT{+W{xH(e!$ zGKI8?u)`&o3c70c*_m#R-6ErLd?iNy(n6y4&0tW8XwCr*pb%ZwWN8)Swano>1Z_6b z*|se>8VQYSDAP3KlK3cDO%Z=W3ikT`MnStj3JU4xEUE``WZ~q)uNpu?$?`q$*cMJ= z4}!ikf3ez50PG+CvXAoJAGk$KtM`MB8%L zwND5kirxH&l>RalhH3<@Y0bu)l=0RZh>q@4MA;U5#z}f`#$G*QD>pKGc~Pc2jxxUb17r*=U~n2>Xp22i&6SLUH|?|!Q*Sr{d+BfJpn zceze-=sq0160DE(d(yEtEf`3QZK!a6k)n#)Blmmp~(1Z-qQrJZzU5hI^(giq#|mo8l!bibeL< zTJZ+9j0JbrhElX}HfZT=%yBTRkn1DnMf$J_Bszk|EV^)d%CZZzfurR5|eUC!yE}nYW}@DAVvpsq0R-_bugb973{%@ zaZ&$rY2PQFlyzH}TwuaS^Cw=-nA6?e&6H9>5~otNg<6coV~KlLW5~+#fu;Toa?;M( zeRZ06JROSB27~kIO?lp`EsAD5#NI}^x!v=X&jJ5&!h7H@pEREj&yW{?D+7!0h)?rB z_udzB_dGL$IV?W-ChLC1v1jBr5A~HAR$Sc4&yTR>a&_KdWHM2{7Y{R|4sKh!4x29c zwHmseCFA=}HdxI0u#V?!;j>=&;^~T@P;IfV@dPOhD{?8+9QFXJ^NJqzls!0aD!+5U zvGp;BFiakIkfQ&qGEp7XK?^y}@2jdUiH!UAoGxUJwJDO57zBu1@!KGbWt2fKLA2PL z^-7=naFvC86|bB)lOE=49bNNHwFQSvn{-kAK9!>rH7i_rG|@%ySQKUa0j1!{_cEeT zMq(!^1AEi>+wL|v$^Uu9eIcZm^0XsZ#oYSl#T%4)v=Oz8Y1=&LA;hsE7k0L1l{WhXW~3b=k>U&yC#d?0h?1NaTN0KFeGK6i; z=G3Ls*UE;STCwvrz4~?7;V^?WZGSKFCvGsNWPsH0cM@+dca~od;XZH)wTwZ^Ba?qG z`CDOjGnmkg=K2xvSD($*O3U5c(~S+Shr;v)K9Xx345G z%z#(2`!5jZ9)W5)QF|7w#T2oyY->|uIK+VU%~^by`dK36>JL27{CYkI!l41p{@s2I z!1nl--(TAD2-t@T2Pm36H#O7KvNq}$o6ik!+qmof-28-hWWlo?N698$hU3vh!)o@6 z_)=%4G$44RNlJt?^AY%z zJZa^YHY+kxOf8nN`|73 zXw+T>w1{iNW16w&H&L)0Va1i*_tJ|>W6v^gb>o*$CN43;7<#K`&TuXcC*v0kvl zN86y-Ux=rWErE9TwHCmQuVH_-)DNGYJjfe)f3ju`5Wol%R&+J1}B^H^jpQbhNl4GR~Wv z{(imdeTYRCT=%#&(GEAeX72aLp8)ke;9nM$S_}z4vHP`l_4?Wn){p8nMr5&n|D^e+ zI`~TG0kl%72J5i1KiBT;)ZL6JlnXhnY^m)>Unt3#0<;hBgS6%QSg?llt?D^>S_~pR ztPM&3zlB3E(EzkKbwMW3#107Uwo^IqiM0+Dp=TgxGdvn>8swrgzy~xif_K|3v4Zt( z%Y#>1BURE`hzV6ewpZ#mfAO@V@^diUH(Il-P*o-tM&$UoTR7p)T{o|@t$^{oC=-K< z6qC}f&ciy=At&r-v$_Y@OkKqz>Vwwvt~%-uxc&aMj(^0Pf>?cEjHH4KUW_LeVyAz_ z?K5j($X%@1eK45XRUwF#9;pY7mSUaed!}?kiiF3NC zcJ~J6c^><5PjNi?{q6)dk~^48M`@X=zg*K$%znRg^FlddfX}x8yFVkN;{Pl%A+~=k zwbtZ=_7c<#cHQA+?3pPh4)zb@dFuNb%@mTwv`wed@3g&7?cdBZ2sz$l5P*!sg%2h@ z7Xf7c`D2eC6v-;ysp=|}z26MWqpPLsjWhS(;Iy`>7iS&W>Ck8EbKMAr;;`Pm5~k<3 z{^w*8V##TZ_Umxwj@+(+rKh(Ccx2W`ir7i+C(xO6{Drs>N6EFfp z+vti+V{a}dJ?(%Qr_=_#L+*66^)T{)D4{%z0ENo$xi*GE846iB@Kh?k8h3FPSB zyg$$=wf#AyXY^@(45)Pz`n6`i=s(C+D!|nj;*Nz*&i=*aJW~@}1SmSRJCarjFOs!6 z!D+@adUR}Of~xi(P67(;3BQ?dR;s|yEzT?b~gy+h^)h$X= zQzcEsj@&YU=SiX~V<<}L84f0z!e5w~ zSy{#Ran~OiEXAHtNatseEyA>67k84hfTG|3#Bh+el8oF>Zd|tB#qn8JpGZ@!_LC0H zUso;#yXSoU%nAoPJ(FCpd8DttJBrX^8*_$gnMfNFgL3nZ-TCrz)()vufJpeYs)OjN^`Fzr%aTjC&a&SrYy?(*1Kv;gX=1 ztA5h*3LupCbx?vYJ%>A3GFKx@8$`JyP|xrc{rTkv3Bo+W=_20>)&vh7VE*lKwf83lbTwkPTyqNSsZP^NtVp4LwzS;aT9JB4>%G1Mx%~&+-=?vyJew+)N+&uSxv@!`tik`ol|Xb@ZD_=-9lB;XWtS$` z-wMN1mRgY9d0QG!NFe8&^$W9xI8-8$htqTa-4()F>Nd&K*NM}a@9A;1@IEd&%Z^|{2Ce$ybPLPStMq}G;D}6v4N3ExuNI_L>8Oa zlgTvCeD9TreUbS>1$+Ncf;tunfi-sj!FmO83qQ_n%(MxM2#~W5H_%m(Q0Xo+;<7sn zpjLJHf2!9(=M~ zjE=7L>+WW>it+BLK^T>;Zr*Ky@r^jEx9~yw3UMkxH^giO&t5-4d+7U$xx8-bbj7@o&WUi1MJm0 z&WQ&9v9J?`Yv|95WAn+?Q~k8EU8BGlmX}B-!`9yfr#Lu4;69V;!&QwC0*#fK7*VvR zcjHeSS!P^iZK(*gi4c}ekE4fdc6&&1Ek}zQ#r*T%R`>^kNTi%gVD6>yN~r8w6`t|b zI@(M-n8}Pi1#2?qyAs_m>ibU;gJ z+7+AW|C6mf+YXvnbo_wN{dO+U3@1Mc+#eBtX=gWebqKOY>gxiEN1qS zOmHBbB&mN2Sn;Zu)y_Tdx!-cu`TA>=kBrM}cQrgQIxZ9qka`MBXNNQxeyNPHTI~q$ z{hA3-3oR3zE`Kh|om#7Y6tG9R6ai(<{Ewk7TTbn#RT)@qlE`%S$FL zu|LJTVc94Ae}(sGOM-0=TbSu~8pr%@{n_y~DeC3mkm&>X`^X)py5iV>S8+}^Q}lc0 z(t+x^{f^}{>O9WZA48H*Cre{rz-}On!w?P4N{Py=J`OAfSY>q+<7f^47Z3sP042A! zwpwBvI^>Yp?5EI52)R^3>R_K=@lufT7w_X!Y=vZL;k$9G=K3-8o)Rj)S|04hi zKkJKq$5Fwf`V}UPX<&(&`W>lAjZ$&3Q*<0(H>LYOODB2o0VABe7qBt?^fx5~qE@Sa zcg!`fm@ttCfD`ZW+Q37Pq|JVvnlruEKu@JsFfQH%vR>MtPA4szzEidb0Yv%oLy7$i zKGoT#5P9%coa}yvO{y0>#cR)(ZIly&$pEuV9R9r{LV&)XFK|v?k7_gPXgK0k_kQNI z5g>@IS=|oH{}=K{6D{-~{GNB z!rh9@$8KU%6jJrLo{X4&6?y!uY_J_zor7wjWNU-e5+u#0+vbXbomENMR=Q9?y z8wc@<{}mkrN!VYw=z-esTk`pFG5xfjFOu7RQB#%UV9|PB(N6dLPOl2yY1)t=U|2fm6O zg4`{3+M%twBh=;lqQjV`&HCr=uZjNso&J%k=Z@_2K_)BS=D^Y2KM$mlOk0 z{=1i_7Ou~R{Xe>>OY?LN9Npm z@*_9p^{!D5a!oGRt=V15Q<8<@o7lH60qId+0Rgya$sw4%a@^fL$!}+xw(zp1;lG2> zszKs{-B*T3!KR$LW62I|PPII%sm>bel={}z$~{yZjHR~ytdaOkf7?o7V3zcmNq%Xu zUSExmQeOha>$|)1$m(TAqEQmz%O$Ymod-9z@{+?p9ddlT|2IuYBez#2Bxv-7<3ugv z;&&yRzBdv9;?r^Uf7wuk6a|n@eCOE9?ZQt4FQs2YFB=V;?ZKEREOr&|-FIbAEzKoL zr6EK0QS;j%gjvsPE&=w2(^=p%fK-j+PnVOtYC(`2YMGpzTZxt!&ZYtG2szKe0`LAK z(HE-xTnuLhOr1Y=h@U$SJiDlxfEUIFZTN<46T44CAmrpoSA$2*DJd^;|JgBUos zFJFU0nHYXdU$fQ59K~&P*{ImRmRod325h=>olUZ~00>t+MiZ;%K;1M4+lXCppDd)u zu2{4FmEIj)B)lmQ;J0ws`C#c5w2t|?Iq@ibtCeu8Ied&PThRf9R6Jx#*9sc#RiE1T z<4qApx9+%&7Oc~sBM(kvgDB5l+6KL{M#tw5Kf$J_yc7@XyOBS-0#^{V18MeP7#Lc| zS1f9T_s$ZEoZ{~PCE*Ph0EBhgS8Qx*KXYoi#!6jCOXjsG=J$Q|MSv$cC9miAjNj%w zh6Y+>e^CVPT> zNd*piFp0!48KVxk73H1%@jtpTh;piIVnSX}Z%@9%$G@=s)cF}FAoE5phAgM=DH!j* z3)!S2L;ftrC$0OU9x&uY?1-e)!$HP08KfEkJK+MgpsQwU5F(+@LW0LwnC|51hCY7#EjOEL?RTCBWFMbyuNbv{fkxP&|(_X?F29N;D?7p7Si_%dK z5O2!`1_2KYFis`VrnVEcPQNh6#K7W3m%;n{#F?Xn9Z5>=f;5f9=hb~II~ zq*Rni<*IiUntgiPpykKRq|R;sfW%@8Hj&pqnATWom}shUL4I?yFiO?PS~_sMxQ59! zQt^#_d8w6x^n`^VuDP^=&4n~R2j_kj%KnIV1M}uZg+~rCB+uKY`Oh`^-%0`~U&gpO zPOxf@zI?py?(j(prmdMLpa7=uMs2Iq!y@8W7W`C`4bxhr?I2~bS><;;fDBb+pqV|PBrDl zqVSoW`SjPSF9Ur~kC+&H@AzrJCDptFF=R4!;W7Pulp0}~yprl`I<%APh}mh^iwZorGx@awoFok=5$HvPC2Q`GJIz}7G?G#!WSl_Yc|5s*o?uEC&%8pEHRl*9 z{}xj@=eO7LasU6Liq1I%3nxlPVbJhB&8T2pB@|%h!71562Y7oAz%a#gBvt~QJNgf4 z&pAWlnO#X`Kb3dg2JQg8$6|AUG5O%Wxs2J(qDk}jR!(PdS%nJ!?8Y^uWO`0~yNI$Ev~vutG*?*-xLgSN-{#VV6Kc&$D8u%5HZro&DI`JT z@`8BuSzoD?fh(QwnwS&NO0Zo=;VTDJQSEJPl>f34Y@NQj=##MGyK$v&{d33GF}e#n%NDfs0v7=Qd<4;LcbxpI?nD}ASelH{R3#gcLe{3f*0)C4P|XW zB8WUtMJaUZ4;zOMIt8&1u8z~3Y3ztr-bBOJbY5Ci-`kl-<|mD&RWl@6NtBeVc!ouJ znmTwU*0z+(s{9L60!bvgwuZ-B zf=@~@Z((DwIvGK`+K#fe-qs>9Lc=IXJIhR#A_Qn(ck@<}?d2ORM^AP-UE*plyBL>_ zs)FAv96oR6I4u?Q?6ywx4b41qf0AH`^tGXU04uS{&*bq?pz{};fStsile43U)5K9T z8dxVg&RI={+8KRq2Q8@6ES>yAQo!>ck{XNL$I)yOpgahr2K+_>KHNi z;R@FglGqm5-tM(m&W!W>fL^Z+iMsQpa};f|meLMzho}C@L!sBg858z}jM-Z4uXl%x zdueC}>e|r|Psqa#Y$iLGZn(Op7Bfbx*^*jAOx!88b>Spr2|oquIlS9iz$iWcBe9

      k3up&k7e+aeNHVwIgt7L;YCl3AjJT{I$V$ zh=6$xj!hM76FK8i8!T6?T=kJLNV65r-%v?teW^;q?hZJg?Zf+b!o^31`susHLl!uJ z#p)o&L_-`+2W>RoGXP&;)OoBrn(=6{7|vR2_w$Ru&@JGzzx`=f*1ydwOidU_L`$W0 z=EZ|^L7#39J?y;6C(wI1&?OAi{?-;B%JAJ(pM~do=x32-S~I8XN%EcuZ4_+HTN3Io z*AY~e->$IROWmCwg%&rQcXnzqXq&0DagQjeN_>n$?B>mmt7+=Zlx!tNZG6t{myM*E*&71+YGWyGd`8xV^5=3QXn z{Gd}=kuwP7D!X2^x*=p%CBut#v+ zuJ=FR=qyK8jH;`J6(8cO4EH|7;QaENiGVA<&R&p5f4M7>H0Rz<#TEoktZ~K%(tWS^ zbk7n_VU^e9x=4Gz;wbKl@X4~NP2Kp!J%}e@nA*xdl@FdcbzeLUU+52j>M9_VMZS*y z6C}xZ(RNCeJy?4~JB^>w#)VNs`g_?%ed5ZG&;%_*WAqcWisuXs_K-Bx$>eYXQubERK?jZ z0x7-K_2Qh9^0q0@h#A%wH6Y8;YBKF2?m6qMuGfetF0J9EKaS!s)K+QE)RaOy6Sj^n z(8<`l$r@raB9)m;>FCoJgYJql_Uzxji-C4UB#bLL^JC?EfHt9B*`mSwms~<1%9w(( zG(50n=Z}8-yvb&G?v|z=8rfp5;={9TV5%A_fR9UnKjjLB_UJ}gpIC|U*5@VBqG2ja zG0Ct>2$HDSchYEk*1Xp)3X$elbOPa;w<;<~l^%nor7g9i;CdPr<^hIvfVIvcOxh5J z@eQlqrD^bP$AunYb98l_9nntFUu`)d`10|WU({azMyzh<-8EVi?69$hj;^hgNZ;}n zu)@oT`5wwE_)J92%dgHIA_jF{z`>Gqu6&z)=1i=+!)s_QT*5j@m(28U$&o8ukA(*( z26-?Z`8nhpP99Gbq<#UdLMO^P0-)9dDUFk?2k*ic#dnSE@RC|2jgBl3M%wHMl+b0S zDkD*6_9iVnn@}nEJ*C8?yg@ZR9_}&824L1w9QB>F=J|OV+j0D&-a5mstXQxX*FQR6 zdTkTHIlFdV&Y70X3~vxToOjf>iwcJgk7(-l!-Z^KcW2S?h4_UlE8~uT`AX!|y(?0s zEjo-z-)tvz-4IV{?Kg;c@EIUhdQzR2Gn1W?Gn1eZ_zaks_ZIvM2}BSn(<{#M7`#?Z zJp0FON%kpUoRhshM&qds zi%KIGvmvAN^o%x$`3fF3;0%{|lmqtQ9H$xO?C_9X-42L7W77tvasPcNS}90t-Fobf zkJO;*lgLsIArVjO58s;@oAJW@kA3!wJY17)vvD7uu2}1vXpR)mwn4M*F|)?sm!)i| zC8wupn$-eXyxkRruRurJ{4pX2I;Z8lyF37;PUy1jc~VM92rfpG8R|I$X898eEu&{G z{`5viqLm)_|3W`>cz~(wZ_mAZz}Mf>ev(`yeMd`OOn1{p z6@qkd98>r{0*dVsosubt)cI%>@Ljc5rEMF1Mthcex{Jt+uFu@Zh<~eaew+vl)2Ix} zkX78th!ZPptu1;v?+}~-s$2A0M@6B1o4kGdzWIn|Y-}$JbjPoiYW#rNruJ6@19irO za0UZCK_de5;=OlYB_I@eWTf5yM=B-pvSUFL{!0Xw1+K};nQDDEHrpYGCLX zA_a4-gt)!NLD(Ez1uL&a4HA^suovq)Fp}T2!ARWfRDHd*)-o%xD%z6ScJ^6o2zRe; zo8P!K9eE#XC{V1@{`=s+06Z;mL>joVYL1^*@!$*^CEL&(tOs$)zl(v*ghbyOzP_eB zF8!twHIbxQ>AAWUk7risN{|iu98|w~cs2iIt*bgkNL;xO$A-zJ#f>fsCikfQ*kCIW zK(~wztBZ;DfJpCeZBx{_G=HIj6*SwmKypn7RSE(1!I9|fg201m+G!3gz_M|gUjAU$ z{gKC_{S8~<*Dq@Qi(`Khu)U3z&;;I19I%Ufjvzd1e}zRyz=Gtn<^F@%vLv$C?mvVIL$No$L7%&$RqeJihE=nDyo)~5 z=en&VGT^wT)c2Yai`=jNJ+JH-7WRe{A!!*n-{tVyT3@hy{N6Tl#{e+MW>4 z?v%JuZ;!)doF`^S0XnuKfy8f7(V9e#HZH}6R7?_PYKa#aTna|coW+kE87rX6F3dCB z>mF_%ive=t?wfm&fruz4%9%nt*||m?VRJ57y5MT?KV0fT5BGMeO!&tzR89!bYduQP zHI%^kAFAM%GbzINwTzHgtSFC(9%86|8j3j_Ei=J@fPhfTKWT~j{C`vwKBA^5^8Di{ zK<_pDhX3SGw+@T#)~QXD$apI#A%JZO;^pDEePqNDsgRo*D*Oz z(JPr|?-84EsNDqsDNm!gnaF4k8-?GSNNk{ieiQ0xVf2*G5Y1@TOD**Q053lf*XpAn z!%+*5eq}9UYt^JuBZu`VfLE&@Yuh8E?G_ zK3Ca{8wFcSTV_*LN_+Hme6e~+$d;dtNGqs5b#LQXWs!#TTCTuu?D;KC3@X}YcUc>I zWS$s)ElK?d^yX*rSZRZ3&O}CbS>^-K>)I{@yOF!dE8QS}%KB@+zS2$HpRcI4z;tU6 z!H+)X4+Wm*ANPzav$H0+&XQ1b>uP;xIlxj(E6NqF{2;wUz0 z44{)u;Y5%H=2A``Q~wCbJ^v?*aBt#fG7-C4>~nqh2OB=&Q52@a-dlj2aWQ5ls7E2`vZt{$SNDO=n^PdP30 z3F~~Pvclcex1mn0W42D>E2JAXgcWVjh+w^JhEgB@D#zC*v`c^!{n6yRX&tZ;Y@d zx?<~sQ}(YlRQhFD51+x`Vx5Ljl6JwSYzlmy%?-IRsH?j_OAw-bNiYw~)BSEG5i;&F zO4c9E?FPEjCOwB6tFAX2k4-uz*8%*jlxd zE`Lb1%n%ph#w3iOd0Qsy_!bK=hAJY7hBF)$4T;Y=A`OchF5~1898cB#*Y-0NVLiG; zTvDJ+rv=QNcgprBy(~%27Zkw6;;XFgEXFiQLx0naiS#EbiZKa>@1b)H0eWdaEBS9! z{Sm*R)HF&0?&cKzH=s>i{g%Jgl954_p~m$iL%$jS6LiISDr=9wc)$qt<|!F-m2xoh zhZg)H2h~)aw5anwG62E^x^u3u#$-c>mcXp(=r>~odbiO|NiE$(AF+5kNLex8vZ_(i zEQum>b$#8fb;3b}^AzQ1X3hA6O5tE;o@7{Fc0^Jhf+_*7C%pcBD}kWPm?x3=aB>hT z<$nECEV)icXtm){hqOOdXCu{bS{Sk)+R%iT5|;m~yKj_dkhY1kJ}dYM>Ld~y`}OlE zc+5}nMd3Gy?GA(lrbA#7)4PpH$=;df#)`U{EPD*_@RenI+Gou>b(8Nq)!`W6Cy0?nGUly+mm*O^D2neI5{FCg0oU!0mmLkcn+LGQM zldw(E(#Y8kKo&h4_?8?KK)FkzNian;=z%xSi56K&RDk%5n6>O;k$-*s+#q`siEdOKVw^TQQ%#8MCKjxP`8YG)c&dnWQ zpmdXM0a+*6k*rQ@iYuI=ZHY_uv0>?0J8tfEpDt> z6UeW@W(LlZ!QPDd!nAn`pr}M35a2ihroJ zG2shV;4lLRxDK9#kbI}s{1Xn=U;^pid46^i0qZ=Wf;65ad9NC7PNhX&*e`<$0KO|7 z)S>}K2*$(GlHMe`LjI1M!F28s{mtT3OYmlMYDW<;`EbI^%zA{ZY%NXdKFOuJJA7Ir zt~zW|=q8ZuDTpjk=~`)&`ZSgGrO5H!X6pt&u8u?53E%r%X-96MiDmexs2#+SHB?+! zrmgjUsHz1RsnadXo)NO+oguLg1!C5c2I{MeMd}FGU<-W_|5BkZDrNwBKtJZ;vbKJ% z^YDouyRowJ*|oBAvpF^4Rfw!u24w9_zl_GCHv4;*p*sG_i2oMl)G?g=TYEeDak3e# z)q;u3Maq{eN%#<}7Y0{~tmXE5KN(54ptI)IJ#~MW5oxqSrGGUOUItf}{LgsvTPYDT z>$3#t2-LWvwlebEJX{SZ>aBcP=b|BL*WqL9uI2~b{Xm~7n za;CxA9;5{4*fge8X_V;hwxYev^rx&;^v_+B%>ERW3UMVjId-r&H6PdQ>7SPg%(~?E zebuXx?ur>75+OUVgJeugxHQb8{ORD85Ec$*R@Gr%(EQf~56)ZmZ&C9`ae3cuA^V%| zTkERoXE(fBHYptN^Pn3XB8zfr#<>Xab^$}W_5mc!k*fR!f`~zLMi#l!zEgbCtq>0d zpbo_Qmx^e*^lUir$c7H!%{tiYUeoX3y0Z_Pao2XAK5yk;|Kpo3XyYagVm_ z=tPKPZLBK3vI8^Yys>>5p&;cl)X{M=RI2|v;~Qqs5SGkUWAV{+aC3%A%eZX9T}s3> z-D_#5p1kiwSx6WcmXdfhHg(XK&@z%-ZS@zuRp4A3TPr!Jn?L2*9ds$=#-!v8^7lqjITxs!G(j78TaA=d=A+m#}V zpnx5W`}`7K?4ab){iNn1Sz(?bUmQ6omRNiQWR?Znp9tjixoOVV5z7J>5M*)Qve1GG z_w5Yk%ff>Uew#-Y43h?y@#JeDpU+>VYqEiQa^k$PkRF%=

      ^1d^?f+crdo5YVYXv^34OIN>m;CS`J5 z@BU%TQvhCg-svMmu1At_6zS@uVKj(Q*jV7TwK4R3`Ui(u33WZ7#VHzc&5wIa5`V?C zFt(1i1p6(eBq&nt<#wu4vad%@w__3hN9BfpEP62r(l-R^RgqT z`Qxs*-3jNLbvLHn?nr(YL7Y-Hk=5LFVA0;x)S>YLuYD^#@4>tY9r)G0>tyr=3O`9iz4-RSe=Q&%r?7Mkinuj`R6c6jf;69hSUysoZs~lyun|*}{ zp56Cz33l$H&XFiR8h!IbL)||KI{GkisEtcWOC-_3#1@nk{m1inwU&Vli75thj?cfW zE)T!dL3*P!n%%5Fo}fPlB*}P7{%XLIl^{d~QmoQp1u#kDXh8AiY;WmC;bG<{Nl}E( z;@<-Et4-$!BIWkIh~z|H!!=KzMxVpxZM9j5_~i=veWC-6L^JuDU{lsv3$Rl9ycsoP zmqH6cGq{IG>?eOYQOIF>v_g@yYyq)E3~s6uexU3~e1_`p5iuf8AobF&!4rvFpoKdg zN>449&+~9}2=tGT!4|16t}p@@s1vUOPsox!7frxk?oO7DT<*N6w6&?wqx_9Lq$v^x z$l@tV0`v_UI670r(0ph{CH=630-aUgzG#$iG{Tq24@INt1FjAXEC4{~=bMpztllqW z=$oQI(({+_LOop7{PcThYfNYA>?r$V?lyY{me9P#I*Sj+3x|zsgco-fZ>u;#1fQhZ z>OB2L0)3*WZShEJMCk?2wkX~JsD4}DmsjQGMt4w3lKIIfx;0T(L7)PXyV2+DN z-YfRG@>f~2U?gUG&Gt{T54-T4?+)HvNWYs|qI!D9#Xr~+#rhBv=f9Rb)cFX#S4R|^ z1ei~M|7Mn*@wOh!eVf^GI5XYiYS-07wMjq^IWs8yV!+q2d58s-)u(=`7@8ng2?jIt zS?8zS8iYQ^`+036crsj8yr&yWkthYxc+PoG7iPFI!}^%SiZ8N*T=uruG)Q_1>IVXK z5=+W5a4uZ^a8CF@E41|eON14pw@BF<{o%+wZHiDY1wbN5EKvz!K2~xH*}ZN1ZJbgV zPBv(ZuKmr`V}uvPI82{TREH@@XSYXx4t+zw0Iou854~*dJ;aqoaxUPHcS`iR|71fQ z9i8vs>A4NcAJrc$ZkK}B@$@oEu@p<~C6K20n*V_}nJl0r*j$TETg`ht(MRP|51GcW zusTY5g_)vQaq^@?DdV$-TbvyU|DytWUJGh|V=9SLvoB$yBAA?O*kJmLZl)o9VEgH; ztYv7yOFkp@EOpL%7}nlmGFXH;6*BJ30f2%!#cu4iDufT4 z_k#y~5u0Z1%eHp~#%3>&GY_Os1jV#NCnwf_{dFBVDw!o|hH2a-oCn^*VXhH+~8E=CPXT^(nQ#?Kc}}D&7J$)L>Yl9yXz_ zF;F2wzvIwX=H|Ie2Md>Fh!>0d zn?L%@lCI60=3*0@F3Sdhn?&&b2lw8hb9b~GuSdT*2SdlA+y|>2I@*5u^}O{b>8UbO zujreZ6?vRsvzvn~dhrtzGmJ8=Hg-x56SQ7(dR{|oF7T=Ir%~hNqtg>;E;w7K0$k8B zJw){78OJsRWLLlnd)tHuym2_#}J(JB$6GtrO@SG+u+Pbz- zb?8HAgsw^nR{Mzei}BtBdW_wIR~0>m>&@ws%45g!qxq=veZ_Ckz+Z53gYxYz;qzEM zj~NKn`iI(OisI2D>`$>SUUDODiXZ0huo+|Pnouxx20Qc6DJJ|AP&$GYYsjv)!ln9y>p!AnG(6sgg*YzY^|IpqkdgqE?C%Kf=885%2Ez+t}wcVD&~c8?&G= zU@3%Y>lHeAF_7%%gm)Nq+oOd;Mn}$id-S}Qe=j@OkgRUjc97wtM$qhVx$~XWLjQ(> zA+5E$B(s`UMJiEc299l$La#m={UH+MmsaR@)g*4k#Y6gucaHylyCaRMdOWf(BU$`o zs2hg**YI%C*k5(_+SNT{`aFNqR8#ALsnHm##c`hEsNef%10q zW*s7GPdx}9^I13%Q|kaRJaUYEYOM11zIu!oZmh@#WRmq2+-iOKv)G%(=r zF`TFtrQqICdY^x8^>#;#otz*z#9*r4NmcfrpQLxCLY0Wxzn{hB@DACrw@r#xNQn;? z%b*_fd0K$qUk&GHiZ#`C_i^Tvxm#?l1~(vkMBE$iFAA7*hd5f$)fS}pB-ia}_$rLx zkszgYDB7dfuv9Q;I@x+Gf?R+OK3dW%h<#&x`4g_EaT?|2!Wk0w?XC6Z*YBVHIbeUC z$LQq{L1Cs;UHy+_6{wZY-3QalevrWHpMm^=Qk?+_-Apoi2+R*SX5)*9FlOf6xeY6y zf(Jt~ms~@NY|^kYX+0x)0BW>^U!URan! zFs)_XR|hT)dX7XfxZbqPwr5Ata+CTgic|?rX?uO|NfArc_WIJ(%=D{)@pwB|moq{Q z_%(UoddsXdEk<+cr0qg^MtWQClS`iAV%2*bRZT|=@}v=uAN*Mn8 zfXAO))*Jw?%`?3&?_Nr${Sk+Y%vf6=SFC`e;KO~4y$r)14q!9pi#6F-KflaoH*J-9 zsX9BuC^pxQ|FMrWkL=|Omjm|UL#+wKKhU7u zeFI}VE$5E;A1aRM>3&g0Ap9e>B}dlYOt(+W`V#+?|0e75*ZzFH^7Lm+;9<@(FGH9m z1`SO1_dx?sO0;gUHTm-Nqn~S3({F!q(Fvil(dTK^<0HW52=3_iNVzr zTuv_Gv36WV(b2hzp?(a*xB{6&I%l5(PTXYtpU#Qbx)#@c=1H)qq2sNSYfg(sTPx7; zQX+v3Q#L|vhmJ8-wsBPBr{LLT7#mWDG1WQj4>-}|2J#02{_D_GlYt6HR3ep=i z=q?c~|4io#M~2vM{QmU&rwHPb@#;d)UzJwC%cg>5=JW1;KK+evrT_hwI78%~?8%cB zt&AW_18-$J9b|r9->B!6fL#nCMIKqPn^9Y1>)o^0fdbb)7gT(ojA5sHfkO%Wi#3Lg4z#YIKc9 zs5xr*QFq*{kd_i0Tpc(zLbgpAG*t;*rP!re4rb7@MYk?3@^^W2b)9^D@#16ZBW@i= zaD$U?$V#kb309l#WEruDV!fQ?aNTj=U{f`&w|Fiq3&a##p?HrhbQWKHyKswodZboMzu4V@_e-)D z*j5deL=uHrXN?cCcgSoa1$E4l22?JMkOQ7jE}OjZ@2_xk4&8!MdMZ95#gWF2V17{~ zM&5ktL|8}^(P0VVBxmn^DmaiRsy@0<4G7S?NA(##qx_Jv;@0O8%HlD>oEhS%N5Yb3 z2hES}`UM3<3bR{#F&Dfo~Llp8-ZKK%&@!PDn^r4nKN z$6HoX%Hah?^F8`IFDRvYEpj`4Ye3=ERA`3J+C2^zLQy5y(KTD_tStx%g?9v*l_E6R zEK2zs@^CsB3-L{-f?31KzI74%GWqHe_4t53)EgD^B-Hr)A@mx~T-2U*Bo#Po zRj3G(T=F#d{ql2H&YPKr3f&Ai0SSJyeBltbcaX#XN) z98OMHuq11~xm(zC`iccb8oo00{X4 z#~SGUIfUEq)Rgj?oR0=lanib07G&_Qod@zp3yO7!ZfxiI8+f5x`910e2EV@lFkz*N z0IWVG&07S=BC}&bL*pLo4p}sG7=pedDjzq$3Bsnrh}Ar%uq1POxR)l|wJHXjalAaf zrVCGhYu6ku@?j=dK@RgpSXx^7^VA<5Yl1hjP9}7c0GLyWkbZ@}n0=ozrhsF_y}Qm- z5Lv{An&D>b99e5zz%l3ZTM{MjhXAE98KCLqn|&ik%Cm8pKgT8GmqVc#L)whf$Z<%I$fK5Ng_K$pVnA{Kb`j9QI+6*+{k;TGz!6VYBr zjD6Z7pyDDUWPV55hmLNUF3d4#IJ~ZepF5_gf@=5iS=bB zz2!mC+|Qhv>>Lfuz{vodxL#xvc*uod+=w51@NkmZ?qx8M*rAMZ-U?9%y3J(7hxAcG)vZLU z&nj7QjWl#M3`NbbU_AZJ?@}-@3SZ7h6^Tdw{vIfR^i8l7*Mzbdx8Pg5T3jy}XswzzgBr`5n)?fg0OAC+T>c8&6r6p6D}4l0*nMfYfRyaZMwlAwk$ zXg1jePO(qDyxquj6_RMWJ>?N*hp9q1HfY}3U40|Z>)$Ap6Ql->WTJX<(`}fs0;WD% z85gw37!{=HApSDZNo>Cz0z2axGNaAGHFqI=qK^Cy*`s*~6C#^n@55Do-|_k*^*m~` zA%VxNO&;(#EdMk%4_Wb~UK`s7&7wHPZxdK%CmFIDz|WD+QJ5TPl!DK^zPVZk@eMoM z6IUrXb$ zN51;B3|hIj!tX=B1Ms+zuVIZmgqq^xz8RWO;PL4ujYI`K6<%H@rj=xPW(8mtU`hlH z7x1r z3~c}<;#PXgZEXmX7YBZbrGTiq*iOD?P#IVqUpa_4sq zZ%GnC5g3wi-l{4^L6HzS+<+xKrOx?idDPvp6U*s<-S01@EL;owgXx=uak|9PB!|`L z%wl)`(a=$leQElB!=u}SAEc>BBDq>%e>tk&{nkI zUuU9{j~=wG`r65%yQN4-pfWiA9m#ZP7|^lv8yNfdQv*1t{%x@lYecm8MSye>&<**O zAr_J(H6?~W%x~u-R08=Su4*@Tp#<7JF0vAEGr6B1z8ro*;&j{~KHp@I{EXSI4k7{@ z^Qyh6F)Nvj7Wfc^s9GHqmnxpbPnv)|DnqZ%=M`7jX6K+aCs6@OFV^f^G-OmPv@*rl z59-(LacL)2p`hBmdUBMp>jL5dma#q$~Hm5?2T+w4()33O3G10o7g zW)g)F>miKhpab4v+|P%h#_;DBOp)8~##i{}jWYozs!WsKY5^AB?aJ=#e0dKl>jqro zu>F|sm=bpBo#TcOd5eP#D3{j!1@yk*+`sRbbw=Eir8E)?;`xy;HVCp<{A33zmF@yc zY{{&U#=TPztBexOFumF8*!xfWNB^;ZO~NmyfRxfpYF3!rZTVgPuhFz2N*R0VFJ*O% zHz&Ab0L=&{&|p2`dSSSCySRraEC`(USsRVwiFwa84irxNC0T*QGPBhJ$Ta0s)^a@} zs$&4!XPAaFVgE`WDPCI3HqW-gm%+uQ&Af#pzO(Z;fzzfBWR1(Z*A#O#J>S1zO7WJG z^ui4d#f7)?`&RsF%`KpmoL%Wn#s|OMh<&?iX?gH!w{?53gO>DX`CA{-Pl*WKD@{*H za`NBqW(&QWQ2fjA;acy!n8G7t2^zReGo_gw%jd_rTgtSA4)h^n`A{(}uzlsLfLy{x z9s5j2Fpvo`t5S|?>rm%3$39&W)-xc5W-jrx2lqgFVyCQjns&K`mk!9c$A-KTCPiC3=yaVz#EjwyL~j^WQHm@gEe0TR2Gj~aIv=B(JBCG zqSYa9YCLfnw+#kJfq^QJXJGDasHYUT{K3;>CBVjC9X2oDzSoKmDS@vChE|C#;@m{jIP8<$dm@gXzbTdb$Qd~d2Uu2ri_Eq?%vw5_I<(_Nc(jR z)s+`#yFItn#=#n}U>UTBQZYKxdR)arX^j}peiu?$HT;Fj~WY1x-59WPhZ=_ z=gQkV>oN>vO>$>{d7=ce^T7HQCSYSH{r`CcbL3n|TvvEnvI}&K23z9Inx(uH5YgFq zQN_Q2C{71*X#(KyzcxO=nFW=5$5Tg_ngEppFG7^2WS;>of|P>EOU+y{xBYzX&!-E} zTvSlpe|RbHDK63cMqcePqQx$DKRq$oLGWcs9*@p$7S@1KbTaDWLd)G` zAfzmQGdF>H2UF17bQf^scQ=7#NQfLje9m+Q1Y5_U2hVZrvL$`f>yu$dlMq1uc3E`` zR&yM^|FaVEOqoEBEQx;nY&^5&>a;7ht92<4vylr&Q2o9T)m;qO*Jj0HPS;#H_lSNn zUVqgCbYL_5F#Ch(A1W%``-{$hBlbCt6e6VBW}7N<9Ob!SxL?rL$VR0R`I_aDXPvE< zFa4=|{cm6b{(hMfHmpVpx<^oJ4Q6NoF(G|TX`33Xu@?m?kb9#lWE~zm9^-QskIM19 zV#vVjiUxXohkGqAuluxN&KH8py-@!y5(zmLPcY^5--zL*r)A7-7fH4N8U+8))|d-y zzpA^rt5+qX2ZpQ}?@*);1_A@9BudvkkD8&YX7};Thjg_WU}o*hBeAAyZrob7D>d&e z{(`KIE-ic&NcfFj0~F(+c-jxH&{_C1;}fPVG}KEk!xAY8hSA(*4cw2S-2u)-gsFO` ze^FT3Kfnb8&4aH?N=AMeN&E#q93=O}3Hpo9q1qzbND|bp5g3p!Tv1?6Zpev|m(=3P z$XNzs2n}dpPvFNSD8r&621oAx1+5VCfvr7|p;Cq|f}8S4*P9j*ohXE$eaf@M#=4oR z+-r>Z8<5`gX)>{S>R6rvj6M)B!B&=*5PzaS(KKoqDT(r+2Hgh4EVMQkXk9ZaHOLB z_Xd{b&Bw>~_K*3v>%<$9FM1?%j_3zwv8V%)#Pq zyVVOjRHS?fym@f~30e!f#fv=*S>)#35LS@^U@~5b$(+OPpov%Z36_*AEw{$@-&hCR zyBCXgz7Oj|#TSaXHSalC#3B18biyoY`wODVERaRb<9@;Rb{fIIru`bOBh{j_wvEs^ zacm}FB+>!QCTzQ93(!ye+|;#@SC^j?-6JyJxx`#bBxU5GTDp5koC_E zPNtEyUu8qdQ^)x!{1!Rtc?zBcqPL=GmpFESd+tjRk2nq@>9+f4m_F(UDDYF9m>_?7 zSQ4O;`HS%Xe#2i-VI6TB^0*x(0<*odH^R7AhB8(2`rBkPB}?QKnjk zN-}W_SRn2W{U^b7>nXLYehW4sCfGNV+NQO*oJB}7$tcXBZ&ULjcBt| zJ!J!}7^Xb6w7o=hZK8)mZ|8z3P9myZ_4N!buSxGZo@1@G=UsOi!mdPla_9)P+?=^5 z(miS3zarSM(U4Mt31dF(aEB1=_CwS&*&4dcuBg5y-$XSY6s&_nLut7A-vom$;9ot6 z!Xd==Lw@l3jWA4cAX+sWNCISdPgpgCwu);guFu)yGwHvn{b=p++(Yc~ zr7aRj!Hq;l(}^@c#w#p_pTZO`$YEygWC@@2?uSzg)NCCv6@`;!JN) z3p#ueG=ACCh#5?$pZ?LT5AS+EDpH{Zamh>;n~1yT5+l}Vj3sjb-~KZ*eZR@FXZ$opbl5e?wBC9=3f9f%{P$P$cvGi+@{6;+ zDqE^LMhM0(Da+!;(LSp>4}s~PjN(K_2n`g#O?P-6wWebaVE*lOe{)OW@A2>Q7x-xB zA=wwAa~lc=s%S@(CaW?2M$px;1!@3EZO0Zl6^|KtV7&LX@+wTK?BXO6_85HicbC~b zmqbwh;KDdk@MQ~#GEiya+P&F+?ejeBz0?ro^;|{)V6>sSX-bJx#)KZqL>y<0!bt^H z(@AS^ggs$-d0@(Q3ObnnQWJwvO=)3t3Nv=)*I){*KyV>5>Zn6q9O*LYG^7?i+#7?y zkc!U*7YtzZ%YAMxyTFcoYf#pK=KsPw$#~ADh_IP#K(64lq#YZMr3#^IijIl!UA!qJ z3)D;#M}PAgT<=X5RgQ*Zl#@Dzi1eAu>Lk7g+5OW5^aScpb%OW)?;Jw!hMpy%oOBh{ zZNhuet=%PNqj`cNnSN9aSQ}Xg4H$iS{CKlW>66;4__o7((*JfjT~eN-M{r8k z&((wmz1cE3iCshA?iUWEt%1Yq>}LVD2!L>(ULYQd{-C$FpT z;?6GUoD@++JdvL+@dLg+d>Y_&5OGz>(qbnbd&_qqrO0{hZB1@I$qJPpNWBJeiyi~H zQHz||mhSNS(l-aCb2#KLz2e*+YyH5V`RXBRkAq$!2=5^>fpghQRBC zb)5M5)EEQq5iWH29=Kd3nAhgdA<8c~Q9W5`!w97e2|_*I9+y~lX`>~J)|IHqWRGck zw@K$`AyC_Ly0=M6dPdEf`ADHnzVW_%}%aB!h^)hoYQU-h^;n4ZTUe zJcDl0z0eE~#nioN-~BfaBE)*p{_(+=O7Vho8ao4S#TvUYMgMojE^WQDz?|K**Gv|BLhM~3O{C%JE4=aKhQo1-(0+X$I94zAU=WQ(Q@Tf zp)}`0ei6k}X?{WVtn-*u?m<{E*|6Aj3>&#bS!l$<1}f*2v=Z-fz6M!0MnZuvV85y% zx69TvO|Wh&{&(v4!yH*3OuqmyZNMEV9}MHhCO?>~F+{8nlNM%Rvg4qd(o9NC+#56N zGBmkxt6i+EX=a4odPi3$K7)i)=YTKq(QGTJSQ#~t*L)t}tL2OB!3}C$M?xFlKV|@g3)5~ySbrG{zf_q22Hza9*n;Y( z168Qg#U1z{*?IpB*6>h6WX9khgSAeinJ&tDhYqU1IDk^BZ(`$DFnjW&I#`)$f6E^% z6-Ejbb}h`D6Z6*A>mzI+_m6GgBuNj+?lMP1)Ra$f7QrqtUf#@L4Y2vhB7*2-@aAo# zb?cE$pKL_H=-Gy+#OgKAa-p0Wpw#@HdiTG4^Zot0XXMZts8~%(dV#wCKu_Pw958VR zig;So6(G-vC;FON9P0EKv4f!fKi7APG}~&cL{I1xvqi`$MvNvTp{GZ(AeR8r_H9T} ze;1=K3(&vZ@W3>eM4NP&wOD)bOM%pNSVz6y!9gj=x<*GK*@&osRYlj|*?TD4hCV(p zNA`-IHERJzjqrw*M^0zJZ~O9pAw`D$uVQu}<={X|+2#nD`L1MEe=h{51msU_2Zta9 z{YZQ#s9GIV&>o3!PT6@0+Ur}|k(qFFdUz%BdGuaP9-@y^@c~(oFc@p~YgHcChU&}} z#=1I&HS&R^ZJc>FkM8~N6~Rkmty}7@pOfe6AbDC$ZzG08U7)pj5%jc{0F?PH@Ycqd z+G(LTJCbnf%`s@4arCv9XHKRM{9i^IjUPKMpqp~rbT1pJxe3gKemwCh7HxT6ZP>JJ zSsiK^WCAb|P9(B7RndJoc{<~^g5{vpiVc+uG#Bcz`4d(!$x5CEa}oI`vQ?B|#&tne zoS7%9#^x=SwP%=Jag!F9^@DXhQN*up*jXGxOEN!z3S}^bI&_&w?-VtFLKjfmqTYN* zO>&ZPJ zT6x{&SDS9GgygP9jtC(0D z;z-&XgQGpYNnTh<$emXEO~m?C`9st+tx*68bm{Wm0+-_-0+m`2CV1f#;)kHQx*vgG z4X$|l3g4`sSuEh{QdU2ryIf^{5$iML`hkm;xfDi9 zp~8ltDWv-TM3~;Uxwdh&eS+C~n{=GH`hC%HJq`G5#Acf9!wA}#DXH)+mgL|*Q+(LS zKzQL{!~`CPXU3H$=bkax*6eR8i_y4aP(=RAo-Zb85()+wV6?buGocT z8l@(@1`5RmSrq&?ia~+nS##YE6Is{Ae@& z6>|o(@s=Le#dDi0P#pG53T-U7ys|+W7pDQ8PmLTg@|_qzCQg(WKktVbH$5o6rz7L z+=>`ImvNz4f|J=>zz9rW=qpvoh*u9aEA_9HNvi#9A;bK>i9@dQX)ctUo?c`0d(aN| zJi2fN9-Ms%|B6wJfPv*vc9DnI0qdK))sM=F!e1nMs1JC z@=5y;p$&r@U!CR`ZH_1(#drUV*6S_EE*D6rw`-r{Dp$aO7@zCQlM58uK3KM5+Tftp z9LjPtc!vvkv(u}+n5m4O$SG*!%frks*wJ4d#h_%Ta+mEGX7NvK#DEe1XNI^$s39X# zL?P-%N9v*s`n$AlP)}4u~17BJN~2* z5&Uaj8BM0o#~Rz!`61%TI>kZSuTJLK7f#f~4Q7lD)mII7SW7{A_u(U*v)by@__2*7)O z_(>(?tvi)+;hs&0da%oTlP6-)Jzq6KSo42m*+?j3v@KytdME=?Zh?D7x_na22dUZr ze7Ym{nOi1cVaSR5*8xKb#_T74deN?OR3o~k%2KO@t#avHTJddLYzg$9Am0q!gOV8P zkc;W1ef;*;y>QMrsBkpQq@c!NaUxNYlh3i;ckqz5If*8#`0_g73v`P-|AV|aCjRM^ z9~Rn1g+xRk`YyCJ`vsapykI-9;4PBFg#f$Gjg2y#3|!`+tzI( z6jxy+4mx&rII&+R{H9z!otaCz(6;%|ze@N`r=m|0ucw{_dop9di zl25i$#bSR5dNO-ee}TOaS)w)ZB=89sbcqmiZ))ySrzP}^b5$68X32+#xh`l6xXb~{ z^q_Cq7Q5N8Uu+;rft=M4nuN_PLg@g_(tZb$x5E3FiMZYs&(+Dka_uw+0;e zMN*-oYN-Oa8YboJ$XEd_uS`nzpNJT=C6RFjH!b?`8l=hMXj`2{ z!pwEC$Oqrl++k$M?DER6gqWdL2rS;;Mx7$H*OK?g{Bf29%B7Lj11!Mk^DwL^o5}L3 zyWw7L4J)<%(w+OSm*R91`Rlb)&xd6CNUE@2CWs5@a&I?#SH!`FqzI?x z&Q1YGN|ZoIIR#%@F?>#bGLy8Ea7lLRckWFWh1v8D@A-w^;Jo=TV3v{-?%~YZ`cyW zPV^*yWA1}VY>-6b5}p3#eKA11puf!OYN>98Li7K;jf2ph6>A{9Y-Xr=)3jdRYUe=N{3Gr$a5hfK-6YTtyz-`?|A_?HEYs9G=Q@}!(OVzhs_;1?;u z-82=o7<&d+WD$q&#h)*z8x}$PD>+m#Ssz@B9Mu|LkV)J24Mc_u_g7?nDBT9`p&R(k zT!M6Nkq}Hg@Ez&P4#7+ugiD6Eo;i}(p=Cc&6W`5^-_jXUZi1*WegsuE{=u6fC-lVh zQECvkCbcAvIo;>Sh1h!Nme<~~q69`jfxwZmF?F?rUx+OKu9b-1JPfdwWrwxwM{ zn8i8dV43g8N&`27iiaP@OA+Ojs)2A(@ZS#G!?Q)cVorD-pxoYzL`KW|)%I7LcpOyY zJ0F!8rNf>cp0pQ)yv_d9 zPPQi!ja9HY$>3XKT71C2Qr^IXh*QJbMm;v#z6|27XO72Y+SNhzcR)fUdrfZF zT)kap$W`HJJKLYsunH=M@W&3q~T$5-p6h6l8B$wiDs6E;O(w@33hKMccF8Llv4_Jm5Y875x!es9&EA;LrrQ= zr3APcllGg(>JGZFQ~QQ(7OAZnqkCqy;6uq5?g}Qwa2LNd`aUnykR?-u>vD8_RE9yQ z#BNacR^EA0_7#V;&3>)U*qcGTz2}xiz9!YGN+%-7dL3uk| zr?}HOV6yI@Xv^Dpe{7}7N#KDC7v1S7<7q~>zuWjd5Y^78Ye03uNo;1?-U3B7=Y}@s zw9A)ut#~hak+ipXV6hixNdVycgvxWE=r6TEb}QNUnCMMd=JY*c>hRlQ&uSR?LLmB^ zH6vr8`YnPTX;u+6zJaF7Zq$E!G9O6Q9LzcL4hZCj5&FG7IqvN_H+uCCo9ZqrUKDI% zo`z(DAmX1ZL1Z%243?_S1|sUKwf&vkCdJA}@4#UrL-AiY6S*-baRd5)g4=XIxd&f@ ztjxFxaviQ#qRM9t`;wllIBG!TQ-+hjvWf+Od_c{_9M8hs7hkoDcnP-_wu?o^P)JDPI@pt(s1Wy`+)5J6$7XLxj4KTv%P3s=Bo2o;_j*JX z{|8a+zqdvYqA7*by8{S+*$n&%fH7o43=1(i5pfenCr7a}_yz00)s0zwYo7h1{Z~kh zk8`H@f3oLD1R;DxwFfD%VkHQLc!^o4+)QGTA2MgXUWQy;I6SWIQU(hcd2pC-b{2eo z#k>OAMP~}ZOl*XkD}1WaSkVPG%PoyIz&1b_1Cj=?w=2+4jb4~k+}hh( zo-S(t$a4qfgH>t?{odEkmG4_pv+izfxjK8KaNIyWW$M!Ek{Gnzesi+uc2kn5nr7xI5to*_fB&^OR zang;^`n$%M)8S|!GgM!5Q~}gtT&u*!`b0NwyLtTq>f${oLZaFmkV~~RuJRQ^L~upy z{pz4IgEjGxx@&o;0t44HQ<1DFL-@(2aKV&#;$-OM=m(r2{nhkNkBfkCGiS z!73(2!S{2B1PWRjpXvL}8G#bQ?=f<3ka!2k`<ZLM&^1T?XrM#l`LVeGrAp!=x zZwg!XW*VKdhQH}APcQ**H`zwFb!U!*tlvV#^I-tUs38}4b(!Kex6-n=Y;0+(V>Oloa><#*WV7v#OiG^tY%mwBc$xaJT952Y7R`>NU z|1YB+9`8R;Xg9`A*bZcA>#zdgNl{Y+2KUmH5;?c>`CpCw9$;82N=VAh<3<6>X(wa! zsSlXk1bz7#1ZVILC)x&$`?KPOusPfSj_iA%KqP~m4`XrgZ(3Wl@ZR9v{WQ+;W0~Ov z)W3qb6;^WF=xL(R_hADIyjA*?nPOf@NI&U@kR<8 z-&%p1tqZT-W<}(3JJH$QEa=)Po2|BPHkO~pbQ@+xj^aj!I~~%I&Y7W0kWwU{)pfNs z29w}zA9t@_Gn0&6nPhiR7dGN>jl$;`4H4ls;D7Q+zPf`=^YBOS;#TAdS}BnND?b86Es+?SxHMU+fwUa_9Kf`;Ult^PzSO^&2Ncz1ap zS*0t>#NBQTy#-}?Q?8#E_g(R15QB6#Q*0&G1d3Apm$Cl_EWY7^3~T}dJka-yZcf2; zw)xxpEOcNk&!vGw&1eJ3eq49n0K+U?2Q}hEEE5bhUfs_&TOObSP?z(S5L@Tm zdnI-vj8v*tR{m77?D*lK#NCt_EGMDG)i>vr1yK`|Z55i|2;n00=mAkK<>TKhD zn|3&80QoA`Z3(x}F12)i?`!5#+?=f#IAJ?&mQkEkK&xY*u`3uoa|7A7$v<%dxpn&V zbA32hVb+m1!KWJ8`9RFu*QB&%)3?p7RV173x0K5g1jO-{t!Z$ksRN$h`r_sr`pG+( zeK(I&VWCZRs;1zk!Y!ZyQ_w<6WDb?SAvJt6o{Ptt_N4t%8DY3+{cH+Ghhe7zxEgef z704hT_Kj?klEfFtOKRj;irc+R#RDwv93Pr$EFb*7v}f8F)&mhe%g}j>(HE^Z(k7dB zEtM2rcB!D?PfxJ<^Af^dIVX%X6d>}-O&ZBMp+L=`mkpwM@=4PuApzD$3<#%r3t*UQ z2pCW_kY&^QEs)%tc4BPyXtZBjH;CFbewNH*rh$h1)2t^_7&B;nX}&T%PB}gTvU!1g z0&^6DIZBAsG&Oi+oJI3n_kUY$5;Kyu64%)Q)!xKCY6)@cHX3_N)&Wr{Eiq?FP-Fn_ z_&kJa1NmGPlo2i8t~i&Yp}C{AO24PPwMz>GS;_18D%5R}RDy};>-w8LFQ1BwfO15&9?Co7b*)b>K8H!XVNrJRdW@AZa^4^96 zz$H`zQCKQ4q`fN6;6QPu~SKwocBinUCVYzlC55aChgQ zN2RM-;keUVyWi)qbGp-6IxjWXO+4TPL|U4+;pTjY#p!)nbDo(lk6xJm93oZPPNx%~ z`dd~jT-xQ0pKD9jj|h7ePgTi?CrbZa!I3!#c8Skj{UbY5<&tp77nK6V#}G|2z{MVe zL@wS=waFn_>X`^}CUgQM7AhZ%p^Y#G>260T|c`jdbQy9 zNz91NJi(_a;A2{WdAEG+C%lG4rjjJH%}iSyHRo21#Jh0n)V)lZOMy0}M3M(lMWRq=URlgu5)@J4n&Sfyl-h2JCC>rkp7^Ipid? z%SE)EsWx+Csel!UQKSsNM>#wQL)VVpYq-4cXmS)jf#Zjj%a+XE>jK&nY3HWP!Sb>a zgK|;C;T=B~xsnYJKFbZfe*{}4lk&n)Vi>VhRk;~9D$!YuhaDh~5(0^F=GN+1iKjZW zLks-oI~fYMf7ZSIoUcTCaxYu4p69i?6@E{n0_4~YgsnYCa`Pgsr~Q{OzIE6TQ-0UW zB(dEop7^dwp{WAPZO+^FuD$+{A~AdeWnZ7UvRYU_1IG!(BfI?%m$GC%Z+rwP`@cA6r+N@KCV0%Jvtz_wG^iL&uNA4md z@G0@Gsj}lwtiUeSKv^d%2GQ25Jcf)JY6Y@5-N9(TP(VvTGh3Mz+s>`n# z%h+(WkWh3yz^>`F(8e5Zqp0CdroiD{Nwqi`U`A!5CVlQzbYz;HU&g9+{8g$9?2S@& zP|4qkm!C*J^3az_IA4i7PH`AY4d7>2+ZAtbKnIZSeli@T?K6g(hHi3aZs}x>VF4|h zHHHDhUjw~NleAK}E6iHO?SGiic=Z{OFRnb@K2v%`#-l z9GfG7n$q#~SF5SP3X}gn`zsDeYzSk($=?oRAm5*qWK>0nG=|VFB~5IMmrL3=u<*@A z6R9A}!azx!HiqyVz3oZm+x0iOp8gZZ@}^J}FJO&Kd7F9S_biyhxo*P#NJQkG zUftgph&SbOY!WQN(sRc@;8d~1kXnuJ9ZDrgJ}G1(7GId$EZ1mh8t{Qo@e?(&GvdA4 zf|s&2(ekeG0g+`ZFchyqFzd}c7l0Rk3$YO~hh0|s2b3S6jETGzZfs)=dD<~I7xQM* zw?`vE&T$yKGyU<9OUPUClLIC=AZyDY@6V44xtjDn*lKAl^&zLxsk(8|w@JdCR@j<) z#L`5J?_x5b_V941>)r^(o4dbJ9z*c5?LbGU?Ut0l<6IMu!_FF{h4i7~lIz);3fo-E0#4E`Z&aR- z|3*C;TQ{p=*aqoA(+$GnfrqJ^>k{ed&wT#Y-llWir7{77@f+o*N$xW~FUWHU2rDJi z!6&o8J{k)}CIX<>Gz$J$kVdMKw$FP-dY^ec?#6A~DFh0kOl@-Ir^crgm*jZz0>;J! z42E3reST~!vI2`8{-I&5SnW!hjcC^%$1k1*R2 zMI{ITf9AtR1DDq-J-B^rtK`K^@gHs*{*|p-0E%7n$n}oloNPkflZ*gZ(H?kb1fXQ@ zG>=BY5aNOS{!`u4(?C2ul+Gq#@tpnEv{)36;7xx;P^SVFAMZxQ>*bnqD~%)YAkx94 zg2e4*`rOJdpl6=x9_wq%I2&CJ^*aNMl~CLvqr}*mD>H$Ao+lV0l1G3%<7KR$njRrq z$gI-gp@zi~HTt%1TRJx&H-eF1av=TOkjc4VgXQ96-YXrNP}(=jq}ba~3xkDzM|ajR zr&I=%ZppskL?LyWH&eWKt617}t+HTc7;%gBR0A^?$4*;PUiSve$rd2iG<_M6$CN^{ zl~qV7!Q1a29J~~j?*PYAb1Ih~vRT$kl3`EAoL;~|2RbpZ7UMAwNhnoL8;%>&1ewsh zY=22Mo^NYrRY+}Ya-NG-)kFTaXLi(8ep!XyL#C4^GopwKBuJ)?G)M_X>Ps0R?=Rnf z1{B=_gAgd?bE{EA`lE;%D6#hbi%@6i=|dI(<=Wyw?eBL!Y z-yLkX&644^{J6XiDwzK_8%%C0`P-g}z`g|)ynNhr9&K4dx>LUm%As5@Ubtw!dPwy! zBf1w|qC~9#Yr$51MKDa79F3a5pgrlhVJ(qLt|hn`*RZb3O4iT#vhxiY0p21F1(9ZY4v{Zmb)rYqkrH|nJ`fR+`G@u|EZN}l z@Mh{E2oQ$NP3>G-$NNJz)a&rS5wo52QY5jj-dYjPXKaT&GU8r`V(Yh7;$NNC}Pi<0$RjZvt7&JP8t=kn?^d zXKcGObo@zn!A3y_A~R9rcjPjl)CphKkoph>Hvq`UO1yDF zw6nKot%Xp%C@$E)ShK!I?)VWDP|*}(wJ{?%iX8A*2p7%P2{;T?G163da#yXOWwEE<%5ycKT5gu=W)lQgpdQmAi_eaYW+Lwwk z)Gw@qK34;5_d##(MgwwPsMWCx->k3vH*=&Oynmk%^!ZB56;fxz`)1mwjU`s`)3;=c zDD!B8H&%)osO-rx_Z%cnSam+r;u@5@YgQe&!=i{reUrRDJZ7cXg=FFdNp#G)29d8g zBW3R72FiO`*>+e@&CQV*NZht;Q;|;Q zfM-LK<*6DZLhHIeGZ>4`rVk3=_Ye$HJK&#b5=ow`Z^W%$CVjh*>AMNux{ukRF^7-xzSBtKPHGGpQw)zKaLlJ z{tPwMNLcn>It%EwCr7E}%;7o*5V00j_TM8vC8kA2VabrM1*XF?Jp4Y4L4|V#qBPT0 zspNUtJGz_XbhPmn)S%Y=JmnE>8UQ{0T^xh#A*(iU(od4??Hr^u50Gu3o&A2uC7-ai zutAqEl!=POkkR4n7qRcFU+?kNX_1~z(0RDq$EG`Z1B--ns=X4Y(Lvh+!0&p~+AT~W zU-b2x0s4tLX$(*tM*{L0FOb3@CDncSE1AEOoh6VCy14{M0%P*+psdi6q`UTOc>>_+ zWa9JH4+fiK9`8|a_j^U zDVxDB6;r8F$~2>dH+|HXeoc~)X)uAWu6KZ?MTddWso}6Fmhvd-W=Bo&!KO@4Vc&vY zuvDNOb7OmV{_vJhCJmtMOM3NE0{pLgcEH-}%y9mO8ZDwksHy$z5QH9LNtr0RD?@Ip z_D$F8K!AiI&Lg4K+WF1%sOhjx{hjCRA#w}hEEIwRzs^mj=ZgsK{ixrnbK8+aGAhIK zk4^=sy6yfwS5$@1<~%^$D5dP3=kcU#c-5Tj=t6b?u(8%~Q;4b&T43nzB)XC29YBg2 z9p~3qP+ijt2~{sL>LrWi)#& zblOu)-};0dA_y`!Rhs>V>PBvicQJJCSS6;=B! z_y;(Lv?>tuhI;x!LFl&y@&pNCongR<{-Byu>L~83%o$r&nwWWur1cg$$$Z=clVbS_ zM44X>gze$f3!f)g9ls|gVp_h7METI_G9j3c)<@c?Lz0U$ii!HM*54rJuwUcUEQq)!@#`$9y(008HA{0IA4g;)#1-8vgSCr zZlM7+?01)z{XU*fBxi^GJv|7ROAoMb9@ns?L3`F`GHrf0LbQ@$xTDInvROa5V*KU` z-Y0{DTCl|8CnPh6O4;a0xzaS-*j0E^aNc0nzTH5!iVs?;?AjjS5aBE;BeTT^-=s=KlF~77=5Mqvtu;kG;h;WRK`vc z1wEDmJ%%toX0RcZS|f~U-I`2|2E)l~wWAAGA+cp8Iz!}Ff1C}q#BZ7R_UF8e(Mx<@+C&#r|6AzvC-(Rl ziC`zMl+Yk!ydC<$Jjo7SJnE_&n1w4vyhN{&>+1z_V@uDPsod-h>MjO7!$?+iT|1Vw z`*77hB?~Ygh&=SCL_To0R!puYnc{IA=J9Nd(5aJ^g7I_RE$96r-(y~v>lRE6u)b1F zrm+N&j2xuzKTWLOWs>g?CeI{uYHLRj>*}Uu2Aze_wAQbe?7}7FReuh;&SV~e&7qj& zu*QqI!qP14frjzpWl=cC*tAuC^Xcr%2i=_lW?a(YyA4P5)#KH$rywl9PuKFKsdAzv z!_3OR_Bz0Slp2cgg}N1o6?Z)aE7ewn4?Is;rNZy=v z?>d`pKi53)d62vBXV`mR*RBJV3u7>9R{a5%bbFcqt(pp)SCWdIi}pscMCvhs!mr-@?%?O!&X0FYTg{K4u~_Sy zdnih76d%@v1GJo4fz-9xWrip^c%=$IXLx|#ezZjN#Nj3KRs!DEgKgtj+FPjy=qaeL z!7Kx2T|W`dKm8tk``4Qfq(>&tDu1mUe$tGlSrNx`D{+d6XV(&ww_jU6Za9LycWJw@ zB_q&$dhS=w^gZJ32 zr&Rzl+rf8L_Yg@7lnliwbLtGO7EkX)UC7rw>0)o82Hl)%2IOq`&s;`&{#t6ROOv<-fbb2GZ2zW1lw*0vHZHVjRCy^X-z;J zJegkKLPufdBc-2zwy#|I0cPBzT|MZZ3wd7V%jdY%m#GtbSHLeW^nNgFA?Xsfl%SBX z7P1Ko0y%|(faZ?MJD?75w4fB^O>&kp#{FJfWRtl0+-=p^)=N*?<3}thq-ogJO+`ND zeo0ygDtQaBkPuLd_2hKuG0GZS^1rLnAOZv> z1yX21v#Cv2z?hQs!sjg95_=NAC)fum$6<3ZtnDBpLfou2Tq&3*KJcx#2UvX=zj;|6 zBRV5anxUU}Rr=K*l4Zjq4-;}?!80Rh;drpnd|Ps#`a9e zD%svR5H^8A8$7mM=hNOn%xYNjN%j6=#bH0Yp1_rfGsXZul7Av!>oq++|}mAV(y za79Xs+rfYJz%6Qp6}JhJ`d__E`g$(Q>TZoK)nx;=2v!Kn9ni1sguJpqpNri1h(c^w z{$VO~65xV>6cmd5a&d+kYf!b6xBS&{1{|;Q60C<_0>5g=5SIZM~ms&ihN|hvBo^;%eo;>f! z(iVfB*Fq|-eUJ@GU5@7kibUM;(Df2>zM&P@{a#YnXL*pu_2O9o3LsI<)5HMf!U%Q+ z%>D-Rp#ecp>Pnt8jZxqO4%Lk2cCuDzNUHkY(=62L{_(k^#8H-O@Vdx z&(?8v!|vF&+t{{kG?=tq#OnWbofz$Vr1>KJKn4jwj!#IR`}!W`^!W!he_s zl=tM`MYFE3b_!dLsH5TPhwb1+myG3{X zYYz$wV4geFjEOhM3n}@N^)!oJhS-Pb?;U7xhS1<1mOdfK8qi==%hjzBTgmmNi^m4m z3Uh!eB_M)>yIkHda|_BB=h_PF3R~eFe(Sfktv^UX1;{vp{pKa@w~S2ILGgwkZ@S6c ziMu1#cK{rQyA9u6!3|NmC6DSQD-=?Lo^TS4 z8jy0m0yyo=fWL2^1Q5O?l!Rp1li9(S(q+x2W0m{y6W89w`GMcg`N4T(HPa1w_x}0W zB-b)=B;*!{&V*bUfvKgec=0zFl|9Epgq3u=Jrzv0_L@=h%atn3iYDRv$17etLC~c@ zv~xa{_}vn?=t@2;_w>8XvERbVStp)QTK|I2uDZ58A_Oa&tLx4mf{?}}08 z@5+GU`yT46Ri&BeW105$Bk*qw@$l2&0~@zYLSb`V{YD^hOXNZwLy=fVfe<8A*$xz>;sXw4k`PVmFtz{AgAxpV4beK%uYQYC>2jeh|IQL%pb zEK$nyvP;tMHV?C-SHlBtuy7UVB`ZC)zDK*B@+o+cdcf1f2Br*3c>mV;x;R>364*HS z7?@`T7fHbfV$um#*+kzP^fE?~k z*_MNm0xl$e49Ii3#V5zdCgZ4c`jx;omQG0`FE6@x47W2afWpztA|aGe?Hh&hrGh}9NJZio2-MTDD$m_1@pd-ovbxP4PY4)%3=-Zqg`T~+Q zGpliP>4d>&{Y`M4KT2A3hcXZxEJa2{d&m1c8L}GG^TBSe$+qbtvB=hlw4qQaf#D(K zVT|}y_Ha3yp4S($ch6ieM^PIYMhfaZ>Mqe`2b3F}{>ZgJ;ndso27r?JU0?N-MW0@E zSKBwBY3Apu%_IM+I7vq1x_ljyXWg2%Y=O~;CB+yxXkLTikkZZUOnR)Fi7?hGNqVhD=bl|(~g z(h-QAVEslXFASSu>X}Plo$d~q|5@lm6-x-AR*+ZbbEM~hx%bae9SSl$p0V;9D0snM zS*%zIj_#O-1v`HcDgrgK9H5rGe%q-Ix)NP7KjP+Ju&1xmJzb&w=OXK~a8|%bDlrIN z`AR6}@}9Ho5fqfsR;FsC<4~{svTrC<_dBEU%K8~8D3@H{PNqt@xna!{mqV{OdW{}p zS~=MnNtvanK*qocR`WxZK;dM+`j-*13pKnGY~_IrtvyzrX!mxE5_rS5)GpcT6)Llp zp3d5mM+>!_-e{UT!@Fwi8a-~S>}M)v3lbef_}DNJ?s^yOR}3z^_vLXAXhF$1zP+on z{J3AbYyW$j3|dVps0ZesuMtCVllSq3W8=K`G--!c@37Jv-^Oc2L*KSFJXQ*sJj4j4 z&3nKWWN}b8h$i=yNSnhy&$XbYY{}mmkY23)KeUIN=6blh$9}&JaQb}YtES|5&4S|y zjiT?-jZO8zaFt9mBf3wigm5$J3~8&4HbTPvep+YSI@2yNodcrER9U)yXHdgWM&NT2 zc^08!IbucD3foQp18|>g%G!{WCDP3ZL%vRw!HeNm*yd>6qoT4EG-OG}l601iI@r`y z_!&baR7N?pBg)+@S0cEXmJpyuJH!(?+aOCHb=MO?TVUiXaTO)4|<4?-Ze8d8I^C2fsv!p52=$M)Q2F+Gt&k3 z3G!1}ykK=!jNBXMXg#+(a=D`;(loAd02h3Cy**R4tgurMv>hufRheB_Js1u$haImL zE1_90<2!5i>lW|vpP;C%_({vV4SdRWKbLO=WnqZ4U#@C>(Ijs5Lxk8+^pzBUQ@JyS zFN-Ukd1vT_Tz-{PB5!x;YAq9fu>B|5GRapFtgL~8NI_0#-;o+5XBP(MpD2uM2uDTp-yrn7Sy>g&(Yz#k<{2?Y zpO29sa{4)K1%E=)BC6xzyN7{=up0N z1d-$8R`C!;J16^T{$t)4E^W-E}lCoa+ zho!O}E>c8@9Ly0}s5$-lW$Z|OUwqnF*Du5*BwgLX%6~H~@1ZG~)6sxAB>@F#K_h6# zmNAmiLkfK!At+}bI%3BCF4V_6c=g~ACExXw)m+#tE&ruZ&M)BDNCMPQlT%r!zJuH2 zc8@4nm8gX>HFW0HK$Zoxv-dXhbpdX0rrsZ4!V{uM{`Z0Wb0`|IR~6S*=NyFHk6_UA zmZMy#lF9YGT2R?WlXh?A0>{*xp62*7>g%R54A*EngXjhm2c6K-EEDzysr6Hti|D7oPw z?^!~*L&zCAc-KF(D&aWLI1^VR6Z%3LFA*qC0gMhomn{F}d7j&UpzFI8URcc)ciiK4 zSXa#b`>+P=j{3)Y(8NB*y&t;rtbUDa-ChU*VVtK+>&GX5n*%jtMjr3pPGh3FlBbpC zXJU_hCIRr5tS%zLQQ+>3_}s62La>mv>X;R1CqBfOVMO@itQ1$Mmyu##yLyn@6X&u zF1Q2iPfRiAoIC_xqZWN$wZ~=H@&#{w7#!>Gdl1?AZ_Ep{&x{`f6+Mv5O3Tm(kF{HQ zz(qsA8p;pnNvN$d<-XmjpPA=rm%L?&x}P9%;&F~~TK3nP!!KgBM||$s)CnUa-aY2| zhGO{fnO;hV{14ZnMn+Su!O>;sW}E^aD#@( zLfo~?$aqf+_Vf(Nx>D6tSLnWI#}pWN`7PQd^J&3LZe@R9*o++R4RgIw?2%n`^G_DL z9awQ&GNUob>%-7A0ssv;#1bCMI`d6rX)$3_DfvH)!xKgf;$Cb9+s>#6&TRcxH50K= zvu*8E_q;+ma(0WW1UFrGqCVVHI~ei**K4g`{aHCwr49KIg2;(y;k`FKl^=b*=_j|% zdoKK;G3kirvD=vg<<{!BQoN2H;_TUmAvinY1SU6G8Zf^OvT<91>{krejql|TPe|12 zNunGGY3eOMN|oZZZST0>#X97@NoxQs>9r`00B-;!f^5i&sDLYq8zfRj6vYMgT|5k@rKVFFsBE`)L(HM!$`FIyc1@Ih0HOtnc;$?;(2&Q^7ChIlE0}`#lzNKTW zfeILcR z-1=1aX|FtfDz{DqF?zn*xdX{RXQk_N=A+uHR<=zDUTA z$UX;b)XPQ};+LYw>dD@nop{d`{XWVJ^r0@9!vze`3vbVT2XdR*O(RW3*mM?vI-g*B z6OP7x&;$E*m0?L1#`0}QI1oeM?aO;(eK1B9YFf@$+y;l3$ZnDtBCN(nyty$9 z(KSL_SJ%;vdZ`DL-E}u~b^J(g$Uc)CP}wC(q$aTD|JLHn=)1v^nV|$LdO|7W2fkj< zC9qtCct;Q6vSqa~%U96Um)YrYfynjM&jlTo`jum2eg!}LsvnC$+>EG;HM@$=?Ue5@ zb+w>GINx^FBFx1aO+u6^N{o0U2X|!7$G7|Eb#$M4GdAlilhotNl=lL0D+nW*)^E)p zBg`m@Mn?WG1Aw^!WM6hEg*_i)S&!tf`SC-Fu!iC-Xd4Vtsj9yb0MXuC{5f_%pYstoA?GGVnI|%1E~jHr2%GcU3WCkK(DoS-0mr*h zt4(+xdQ|-C?$s21jnrHFR`#gNO{?cX*1b|$}gFP1On*xT3#QlWjvFJ{MSWU z?s>!Zp%U}QEP_BHeAWKp|MBp)rBJ@^vsjxxlYlwp){VbOGhTk zJ(WOP`#3*ezqd<;6%$7f)K;SiA+|W1oA2TL^i}{Hpr?Ya=k&nB@lDrBaYD%!2J3Ma z>0S7Q+wa1nER0kqgu3@!Fq1mff|>oHi`_xy3UKlg&YpK(H~iF|4)N;`1&!JU z{}EMHH6(Zi_IuHK&T@7t)*JX5ceP(n48FbS;LgZ2iOxoeo#ck#d>B1JE@VvFV6vS6 z-OtD>PoXTrsTOv!nrf<@aNkzC%9K}3=h(dQB**20&qIvO-;I3uZ0qJt+gc#EoL<2} z+E_0J`R|*|9hYp|)O4SwLBhXKwIy#QUEQz2=G1|}DLE9y7QPNx6x9!oOYX5w=@h7Y zi=JdSdo*b;t9q(t>{iyFFC3>cZxPgpFcA5cqJUJS8mu}0!&Vk$%;g7fihRi7dww}H zu!zpF431X@tW2=_rhhdf%M5X#Syqs$$pkxUs_`}3=CZ*4mCYCE8UEs0y)1vOSmI{~ z3*c)=r{%>21yxp6l-3B6>+b;0fm~9?sqJF<$_Lx03vSYS09xqecmGiSB}IOL7#sFG zsf5C!UjJy(oRl@&BMy|113lLbP}B_aoT&-bP)sXwE*GlRRi_1Yt&U&*y$Q4^L2QO> zRtQbUSw2YtMWi+f%UokMLrDVZ7O#}?F;qG^n%0+hk~&MM*6<s;UvGc<#8(zNqG8@*824C@P=IUgV{45y}TTfcvLsZS1GJx4XE=99`F_F z3c17Dd4(r6L37r26*CQipG>6;%U1SJ7k;xN8Kp2&4x@k5skJ($v088P$$U(WW1=oz zgCIda2G$iu5}z`BT{#Dl18R^2^}N0d-lj4gHOrr0c1)Q#aRvPQ_i#rBROZH3iWPFF zXGhf>aP)|0KlNL;VnuZ)Cb%7qfMo0SFE6K_?mG=WCK0|@U3`9g&AU+or>W}dPGD5! zohi0IjuQc0465bvNxw}CSuv-uQ&eD=0X_!|M3o7Gd(qB6GZg|v zmIFWvGy58cKfT}!p~3JIU37Ok`wi?`PcjZ1vLi@hn|-Y}rQRQc)9~FxUBvMs2oCUA zr>HZw&&9JwT<`~yW{-&fWk-I9$AN@2@4RKavbMnK7cP3igEo}XQsd(5*1-(sR?Yy4 zl8d5$0BMsh;7_&W-EqOs81t~BtvOc_#*KmzNhU-Xg2sOnO|Gj@C&wxyP&c~UWMr5T z4x44DnAQr8nrm&R@tE{nx*DGcM!pSSpQX2HJ$*ue zUMmaq@#JE-6yueA(Qv9jU^1GukKKzFFy9y$=KD{<+~P?tI?1Vl;|Lh^J4v*{+~s| zMiof)Wm>tWwx8PfC9`FI0t zX?~NO)gpMpJ9i zXS`J>syX!K%Mr%S4iG7B+O*k3CNrUnP2j)Ik#s|IRXRr($w_R^-uby7q#hlOintQt zXbeeBo%?{H=blCz`!3va`Rm-%sC)4qgQFREwb5+3eH$fl#c$zX%pFtU9>|?)@`N^p zrl@pn_IbM9jR(OeiLO?(=L(q{%@(uAX3u99T_`Un40*7(&TeME#WALLKL&5&x+CDr z4n6(D655Ki>K#>z#MD)ap~tHz@t(M_?OGlthvzCVx094>6_ou zdMX0E3qF3~&H&`bYgc5Fe|^ZADXH$t&;B z^$}2*c=PaeNygZvsCb36>y5@}a|_ara6y;i`9T~bdZn{(ls$(TDS`NZv5oA}Y7K~A z3UMU)4*4($hknnRYxEh#X;AgPkhZ_@+D52|mQSUQV@{b6aI7kkruL;sjRXje*2a;I zP6?Xyu{(or!HLtc5)N3b0Kh}5x3v1 zbnlgQ=Ecseuq;Jn= z_V^3tg`LW4?wTV5$sWFp7%D|~o+yxj2u)bstv$!S5cTNS2c;t-z)Wi-cit!K>2P-F z`XZ(;_Q~!0#~3qwNPyC#uCdM(sj znM72OAC83$Y6AWq=K7y+&<5zZ;^{Eu2T*uPnjX5g1toInKju-;7l}X#VV6DDUw^UV zhI@C6P0ezz776ZD9XK-UDpMN0l*`BRNTip~|9D4rC81+i{Is@Ybr}s#R%f}@U8R_6 zeLt7Y>~p#6KfXAq5@f@Ns94z+E;e1ns1d6)Fb7ic4I;XPsd+}@9%DqX2NQT%_hSf# zFV5ucQ5+de4u@2I*qgWastV1-WEH)C zvYzvMxN1nX0%CWSKK5O&@@Pw7mnpcpmOm7J(4Q&E+FVsrMMdueMfOU2w`Ir_!KZN6 z@cu@G_{}swT9qGa=fgBtD|OL63r$pGe$Whja}1K?pM=?%VEpFXP4J-EPZR;UF`Q`F zFrp#CBZ>;P5N|BYzO6I!hmzz~0mJ@$su7MEIS(-i(kIFI0=XQZR1yA7W>jd{Fv96z+w5To6$ zk`bhGXmh`y{Uo(%!B;HEYAKvtE|~yC|^+9 zm~sUd2rB|hZ$gP!AX4r&3sjSV3nWttzM}fZ zYcVOJUyEH8Gorq8YSX5H>zdMn{YnM zT+Dn0YDh`&9d_l8f^xsnlO@|$>t8y!2IA%a=yJAGMKVfLaLZN;9dM7AL&Kkf3d2RAY>Hq?w@iM?8mIKowWP?ZYCF>I^R_f|>6!Gp>dA=@c~UnH#Sn~WNKQaP2bHn}*M>zNOS>k_ zPc-j=i0aPBxnQ2sOi@%N?1AoQ{mEW`M@lkMM#BJ_(Cve%E|Z79W5@A|QOP%dVY>(Y z3;ByH^@i$Qwz53vs6>eEi)G&z%?4`wbWpMH%?g6al)GnLC!&TI}0HC z(ipTh_tG6Q!7xC`CTEW=J9}PD6O*V`;)>`xms8J=z297Axie=0nCoMi9^3Ee{dXsC zb@XwE0@UKBm~!<_!ms7QMs?@g^)ya)HgoHfE|xe8jy|SMqUFjwJ;e~MN3%24FUQte zDb41&*c#GiQ-19Xm-_^AB87nxnJ;H!E|>?~369>*JIBY9YZL=q(eUe)gW!J<8e%4n z?Ea@4l90l}pMzK6Ij$o0SvjJU{nZ_~!|HGCk)Lc^27kfga07~5C4AhsP%{S-^0GGYrb2`xHW*fM-&)NChe(;7mOLqUjE9otIwETiY3iHUDnI$8`t0?hhtVHaetD1Tu=? z`xs}q$Y#7}1Sq6z$+M+OUcC7wClAw-(PlS3V;)xOA|&s<%fMNbO< ze<2=GxHDqsmLrRJ#-{O<_)kZlxlOZz!A$r7rqRc(v=(88A*SDh zSbpDR?D=wEIIV(b3BH-u7oomP#&-a}pY+Z)FU-4@D?0hv1A&{CS z3C)A|jW4-;N8_T#wrl^}Cywl(<)7o!EMt?GlUUku_VzJ^t(6ZQVGN{V8*JvIhD^M? zILe=|rJ+6gqdlZ~**8y*Tfygfg#((2^fmd%`0EErrYWjSayefPyC^~jbl#EFf<`Ou zguJu!)=yP_U2WC0HS6=H^~qV+ZwiSsIrRRBwjUZ2m#mdQ_xSi@mIJelmW}yn^u#+{ zS}c?a1jeiRRkHR7y{v^MjbNyMMUl}3fw6KOIOda#-_G-~2EFxJhjulg)a5!c1ecr> zwq(M+Is?Bmza* zHXSwk8ifvqP1eqxKzMo+IfmA2;q?>ltucXxU-z^e2V3*s?*sI%zny@5;?Ywi&N2Jq zREH~?MYIALp_Xr}NfCZ)&R}-5sh6xlgn;DadzQQXClc)#r)Juu2@<}`>GaZMc#7TM zRJ%Hy*F)CEIut4}cj4*nt6Ad`UP2jlGu@nnPl4V2tI_Y~tx`+0gEC~n^M#<|kRM>t z{6|Sl{fvLEHWXI!hSxsYF+tyK9IwJ4YoPy;$_r(Et^Cm+I{mu~f2?0p;8tSn0S87Z zw?5d7*7sD(>!U_F|6T@wLZ;K(|Ei`+J^!tx9Yoo8b(jP@NTPe&mbOWNkXPrb&UIGesCWDp}(ItRJHkVuVWhDbivYx0J4RD zoMJU#3;t92?{w5%E3B;x(21SOBE^nGrJ>01g=JnkK(*mzAx=^~_lS7%|`zLJ)Ow{u7pPChE;PmcTsf!bWhLTLNxXF3ynKk(RR` z4Cg`#vcvBl;$N2^nKn+#Q7VKQ|59suE*QyQL*0UGL{r$U!*0(ED5B`Y!3dPfc~C@Y zh@81M1ZHF@!-{f$qaHzQ#mh&}jnKhLDrTRyj8xbhmuX|>{AHP|9q9t!@ zM&xQh+1OM$Zep+5*_nTOJ$i8e!wgx_=Z0vrzP&U*y?y1nSC&Fh$wm1^-ag@1&8fEa zdeIWnW-+`i(at@_`S)x}c+DPW1wRcOrzgg*Q&UMaLs9Qr?7tJ|KSV(?a`niHk-h;) zF<4N-(R_n_ganB9_e_hXzyuM&)8Ssfsd2DpQoP_!9?ttQ9c8MiG-ex79D-&B0WV9~ zcep8kEiw06fO!MH%LAjdkuU%m4Fk-awmrrMauR#M|C9Icvw4{$ zfX8)XWbf!KD%|w3fdqvzU5jc>&CSi%*VwEgz-0EH`JTsT{j}LJ>NJwBeIYN%Ca$md zS$4b~v(aVG9H@jST6+2ah9M!9qA`nAEc6hM%`7j_eNZ{;^nuOnA2X3({-_)Do zLD8Uc2D9>eu&wYTjoJWRi&z^<3^EG?{LtYZ`U3+zSRKwk95sReO>kdwgRnlEiWK&L z`dNDkh`B_iU1cRt$*+G^xc7zSdzl#Mf{0}tI>5RTq2srqiHxn}(drm4U7OF$TQW&1 zM1L9^7Dhzi?i%_noTJ|&p3$dMi7g86TGawGg2q}!fBx>g`lbM4s3QR+`=kCwbK=ev zbmOm2n3(-i6?VS!sIk)+o353QmIW0KLuWzIwt8|x<{{vX>}HCrMlsZ6iRq1F^FP01(>K0hCXgO(yjxXAnG-@TXD|6q5C9;=%-e`d>+X{ zhVtjs_GZt9I6~-J;Rz;_4jVuP)Bz^Av-ID^zr0K3X~G2a_{T8q0R#4~SXxhNV>l7k zU?3ag{waia=a#xvRig!xVMgDMIloB;TE-e0L^aoJmye|>+aFxBo?u>-#u;qkEW_nX zk%ThgsQ?;!&3&Olm=5l}Ma(~UBTwMvyQ`U(kQV-?sDPV(BEX+?=e>~8TcjWt4BR2W^NT%)vh!vJDJ? zy0pFXDbhkP`>u}G5=)d=jQ0<1wv3Fof?;-yY5&a&Sy|(F5_Bn~d-buRjU7>QR{=q@ zIqe+l0B%lCP&bXBwy#?R3)mLp{(7C11ARS`4~gG-{9e@JPTeV23fqA#a7%dI1?JJY zIsYfqlF#NlsIygwbO}5#%axXmoeJ5w0Pt;5yKd%-Isb6J{oKvc)UabQ21Z zr9Qtz=g)pP?6Et!2z2v(R;t$QaWs)>&TS0EMwliMU=d)h*AiW?q*lQ6)(>LF&U@y^ z*%TyfxtDDCC=2F*?*u|@(|TD!fd@&kH=h;c=Q@_iTkcK@x{ ziG%^q$u`!O?91BBY1VrV>}xPDAIJBDBaMMIX~gd(`z;L}c1IUIDSOl4@DzwZHLM1* zr?NjN!7Y|OQa%R){#g9`OIlEPu5)p3-*yG?+pm{HnE7FU+>mTD%CJG?Wdx7Y=|klp zIX0&H9Pf9uQx)*uF@bA8gGf$KRb2~itO$(U<$^j05dzjnN|DLxA8d+LFsy^HWq6re zKT!#f)dW$JgDC&ulH>qilH}ksDAhSR%NLG0ohByAUAzS$ql2P;aUNE%Ft-kS`6ee|FV%y<)l%oaFx$v*)87c2qx=}QiZ0D9VR zl`UB=AB^-24(&`?Iry6lBoB%k)(se19+^pPhm;$Qa#mTmZf%gFxRQS*Q(4&nZnjg| zfZpa)bmGUDA5>|Hj3j%dYurx^3dX4NCk5_5?*{C!D56MwL5WN_V{m%CWO!X2m5co- z&)}B5*$YW}VYP0VrHWXHOWc3z4Waslv)W9AGp;y7#rr_yQA_*}5q}9T5P6#_NBSEY zjzK0T<;UcPldou0{r05Zg!6;m1&;?~*M9i@*VSd*td2*xrOPvDbPrAuy5dmZceI4E z91!!pA7Y6r4+4S&;~?7@Jel4i{fy$YU4Dr&Rc50-9wGHo+Vo&HIX5vXU4|jTZ%aAc z^}GPp9vf!%FJL@5^VO-trO|xj}X$$>=qub3Gy?qP4ojQ_Y-b_*PB+kjvm9C2KHe`&~ z|7T#v|Ctr#eVY`o+3sf{ckvAQR22k)NBpl={7^fpP|W>yl{wlxSO33NcI%V#J(Z{ z#mh&!!SMi$J_GxPMyH|ll%AxIua*tZ5aK9OV}On1z?bf z;ESV8NqV!m$G71=(rK#>f_Rye$j}&{Fsu)oeMUVjhRXK40~>P83kh5*_X}EC<#C#1|I-O(N$u|+4Jy?!@vH5C6~MJ z-l_dPE8|vfaPzb^TR|uf{?uFNp-WNI1g)r(HJXUx4vRs(>0EG`It(DohaHBRFTBPX z*UrUT4yBsP-P~?CDU*Zxi;crXEY4qnikIaWrlXhdLpS1(S&A>4Imkp$u(tn*YO&i+tIr-Ljt;?aibOMc1R z77KUy(bg|jS}=soi*oPbC)une^6Votl|%;@kwVCvwo>w%E$v$XS3HW;c|aA3l`R=t2j)QlaD z<7}!V5p*dh5)3N~vyzE3+2jcn_LCZfc;G=qAl_|Jnk?FjEL#gNIS8-Ulg?2q&1qV?fkAC1yY3K#aExQslnbGLWCgvKzuElImI zH`0S!&$)jLEXmvIpXy7~n>G5mF>@-9n2!##1NB3HLPbtQE~E-k_d&f##2PVu

      Fjp?Bt!X@iara0c9{mqz22h+&3R9 zO4sA!%W-+J;0{411IP>w?Q5oOlVOFBa7=ntWQ%Bo)ibuOjv@!g<9Ut|prt1k->PkP zzFvMFA`UGUow`CDbNO?aTeR}Eg2hhbv2_+ ze93+x!U%jUHbt8k?~1fX;D%hJ{sFiOnjt>Fjb&GHqaU;T`_6P!9$hhXfbsF(?@Ew_ z$I-QmWiy&E5WXK<{DO)BsE{mqm|Ovl#@Rh3p(3^o$Z8^DcUC&D>lb3F53qP+QIH8D zA@lzh1+L(dh+#!jk;SkzC%oaZWSQn6Dm-gr3`ta6;Z9kJGS~IC_`vu)OhCwe7P! zvcW`WB=%+hyIg-;GZO+G(QF9p3KKxc& zF6vNJU!{Yz;-sfMUU_gH!kkaY@>?3&v)14X!!B*`{fcRSplSb6=B$#wz4Zy?Jv5Ek zPII`gQgih5unf5QE?)yR?<6hd5gkyUKvAloj$`5e>H4Tm5GMJ^hr`6vUf_pz3v%k- zeKtVB`hLC|wcxdYB;vfsb@{X3Sl=V{zF1E$Oo_p~E6sqO^%|fO6Cm!p(d??lK5D7z zjVd+(7YpA_5hmT(^_C?Zz&Z7^%1EOKe#^AXdX-}Z08#1(XaHFN@%HLUwiC<)$l zJntOJc1jD6v%q8HH^Qs1` zTAS4As60!U1gPS<)G(v{1+0$K2@{5a{ny5Mx?%A^2ulU7Pu%B*P1)1S4KeKcdTV3O%7$TCg(RBe+4s5&vu(tVM;`pk9ytV?~*-G zuETO%Zhm$y^R4o}n9k{!qIcsB5>JWfx6{=2pN!{UtBaUM>{BECN}1vJVA&9%+)zPSz?4fZ~=zW zX$dVf`QgF!%hKx=# z*j(L5t^c*B>`6C6J#Lfns(T7|M50LTL>mIMZ#-8xX=mDVrb8h!fy}a0;DfT{rGt#8aObt=B(( z_0wYT&SMK`<}-F=JJ8?rp^ZOgTxOJ^-%Ss)%`Z9bKldujGkaCM%Z2Pag>)p;Mq{8e zw@!q`LC_qC6~QCt>Cm-E@SMwxCXDX>K+X%DCISAqJFPKfs-lxAMVKw`sUS4CkY}o9 zXfUWae&ZEKnLl_)w-YFzq(_e4s)cml6)W_-79!+TTv?`c!MipU_pQfGZ%GCOUB3Li zJ?44&$*^;=A(NG^?V4ylRN3T8{?`Oz2<6z}r>ibD{;^?#1o!$Uyk+O_JbJ^W(Gm*y z(h=lEXQ&re50Qm_=r90p54Cfq!*<6wMz+CzEW}zi*^+SecTCK^wt?}RuhG7wku;>^ zmoG`S9XF;e5S7W*%}ESbsSI{sqXqDTU1Zsb5!@#AeXjhw=tixI8IP5a(va9xu>CZJ z&CcDUW+RX$JiXPhB9#9|ZNLr$qvQTjKAa+zwa-*SA)vTR zg{>n&RLnIQ(@PQqz{nRQu}1h^WHIectx*+}g`4|mN;7fki_hfBj<6ycwA`REo=9dj z%RmNRot-w1*1;b=#p^i>F7*=)GIC3iM3Co2s(#xYMUUTV9mVTTkZR0cf*!2uH_A-w z_hK`4VGGQZ>PR`1KIsC|_mK-9aW$Y=9{3~Xv4R2ZnN@fDV zAlr)4zXtPYk@6|HRorw(Re~5`lw130UdiBhpT3u*#J9&lPwpx8Ha^lltgK*6VF?8F zKcMZk?9BfJug^)oNsWH=lv{K}h))m-^A$8(;Q{MPQ;5Wn)b5iE^y}eU{-i?B@owyJ z=ZY)+q00aBeje&IeU1q+WXSwcxSo{G==Gg3-PWrHUQ%xdcU*UDWvd_)xuhR*GFBOF ze#mqZwVoEQ5?NN$J@)iY?IeB3FxFC|3hprL^j)rh3uu5BE>jFiYod?6{+S2@lL->M z>t1is4XPyG+MMTOb+|87t_w*DfnsfeiokE~HoaZ0ya}&8GXfemP4}S*{z;gW*MRzo z`9BXR$ixohb)-)V4_O|tiMGOz-h z?jJfYp<9dSU=4LRQDsw(8_Vo@qY3CKEA9}#w>~DluXhF%^Tn_wNCZYm1JCRzTs{xQ z7Ikx7duT80RRW3fsehcGOa_TxwaNVx;{wAB14=^7hl4dxG<$syVecZC`oer|>rdSs)_$P5!&%u|sMFemgloP3zc zl3aTR}*R##iRYlS?dZ=@>EoG3k%kF+rt{ICo%T$iC2S>Ae@B*4Xua9Ai+9vT^uWC~Lt7X&q0mf98TzQE@~Ws&tJ zR-6N<-t)w=${%zU@uYbi>A$gOxTB?8kQZ0{NaKRQ$oqKrcXieF(rx;d)CI}zqSU9^nfk4RN^bA~h}Gbl_TMWT~GkFbCZbH8)K_N~MZ z$3m0y=YU&;5yb7^kb#|V%+PT>M+(Yi&#nG-Nwg}4D7$B)1iZ5uu);uZ$AdYH{wa|R9`a0TiDKlJps2U-IJtf z_AoXEIyf|Bax)Ce!0D{?4nVf`jzg!4EI2u|HTUHY2>}oz0U8=x9TbF#T84TZ@SE_S znp!a;a=igQA?_3lgW66%LJWf+0wU~SrgL+oq`SkF?XjnZ)4WZ~6@WOa83$9ZHj@FM zu|3bo-H*-ZoZri6TE|Iw3QNHvwmOdlG^YuVEv@(0$*iOh%8+En#fTOlkOXK(s)DyF38 znN^V~b`$0I@Mx51Q5~8!u{+f1l*FrjH@?w?a)I0;E=aImE`w)Gg+ZB$@z!?X*UP6p zF64_GhG+8<#sK4xYzM;)TmP>iQGLW6jfoMNYZ)sLxh}LqJG=8MaBEz7fyb`-kpj2M zU;V-NO1#CnSmA~71!xi1bXt!X zn$_u(?Wb4I1BSOdg{Wn{@yw~6sQ!9u)_;@wi>1b|Uo^)v zBLPn87)w^CX-l+CThp5b>^0$X?wY+bg~D++?j{D*Atv@;$(V&WqB&m0TkdPU7G}@d zhPhIo4m|VzZ4rho-_W$O*4ZN$-InAt`}yklt<7@=__QEj54}lUYP)Z8%>M&(qv?`n%k;CK)2su_qPy zD8h|C-Yg1&BMi;9qqpPP(0RD}+J`oxlgs4HuDT!M`{ivlK!>D#@YUKWO#}&6-94>i z$^;bmUC^G#jln2T#-|`QOeV$nLcu*L!w}4SLWVAe5#gLh<25ol*sGXfH*OKLMSq#v zyKdOu=xOZ=7Wi3NGJ->Klwx+b5@i*2hiJU10)=Lvx$7=uGslD;PA)iz>?U>1X4Kb# z&MRr3CkneO#JQ+lwx=*v?U)GYv^^0zbf5!wY+=iaP8n{y49>Go5u{dJc;tgfv<{mAQKaj@I;=AhUW15<>K+u zmnP@07i(PsEn3bJ?%(LWQvLL@=n7T^8P}r|taUMO@Q;1@q0n+GOS?#K1$rek@Q|Mu zg(PbA*}KR6U0(+``3|C@tS2R#8PIdk!t2m;h@UXd-gDb|MH~o3x5=ZJe<8O-)xJ(p zd#kfU!j6(~+TeYV4s$&Hh#goECLNt{VN-eDKeZP1Rh701ymo>LAcj?XuRHaw=%LAV zAzf zbT!aJMQ`lAAyeinGkMUttX$z&5i{S|x@bCT#=oD|gGguvL;$o-#sd{3OTB zrFHXJSJNk%(dF%Rro_X-fN(136Jy|HXJ036JcQCdSW zkw%Vd8t00YE6=7YV|}tBtO}x?Lowa)Et=nJ%cWgdj<*QYQ;d z8hF$relMyF_({<}{A-8K6M<)G$tPy6K$-U*Ogi%QSOYuNU0CbhE(s2ULZ0a%G>z-G z`|N?PcWCYf7FJUR$AgS$<@~GepmnB%-F9(m5!RPer<(ZnPLWY*xLj)ZR;1=-7KE4O zK)1OV`V>CdnOUj#`9)tO-+M)_tWP@9Kws2Ss!UZRUT5nlrX=fVvuDnmv6SSG8Z>y2H+4E&#ZR{K&8z0;Sc1CfGo(FfwO3G!i5r7GZQeSg{?Mxx zxA6k&ZP@#Ftr3!Fx@@J6e+V2}Hw~TAll%|K*|lQf@Fa7qyA9ljyvM0Pl7cb`AfI_q z_ra6rTfeN*PI^pA;S8wxnt+Z8S6rJP@bJ0o5dm~w)dX=iW-DlK&pD&$;^vS|K~&)1 zz{u^z1fBH>b}1|WolH|lfG_lktMxoy9BYjxp|{T7ENj2c+Hl_Qe+S!L!B%S} z>VX1GX#-neVuv%WRhnHmhVjl!9}PeIv93UukBA=Pj+lAm9^luMGL zg1d!S{jP3OUC=v7%-ALc94T^>Tn&V)pJhZF8`VHKL_fGW#B4gY%H zef!#yzf9ToQG}qb&l=*7V8D66EU9{0@X|wtt`_r1z$mS;PBe@EqNe>gTM)-JIbzbHDeAr{sE(4k56#7~zD} zgtY#!h%cMa99x!Otk;J93P~xzYRMkF@)=hjaWfoATNm-M6_fc={ny6w5f52hucf>R zb=-%I$-9*IfRBC^;=mIi!u@{=bfXDp2=fGIc!M|m;N#+93gwH-!0NiY-DlqS@K>k91u3ROL?V@cN6NQ4Km z-&9NM=N3vA`Nio_^0Q?Jm=n7Nl83O63*s1C^GBhI22cmz$m)@gkY)q4$BD3?hIWq8 z{C7Lal*4fM!)=fhjZ%sog1{`%q|n6H*A~2%6NF?B1_naP*Y`qBgm2hRN19$Ove6Jb zghk9^`^h3Ri=#CAfuS;yz+|hXLe;}afMfo#AfPKG!l($*ki!a&KfwW*Qr-pXf*)Zf zXzvm3WU0ea1UwHIuqSBk^XV7kjn$~t`%eM;Ye9Z1(t-ZJORSed615r2@EzlPW8&)X0#&*l{ge1R zVX!E08|OV24;$6Ir@&g18otN&x_s{KP#93xCk-YpwA?4D<63tSqg}kl4ZA(S8%F+? z1i7&1Zh%>g-zp^v9;!~UbqP_#|3%1;nDJ4X5ZQfm^&k*?z+H+ zIB-dL^VICffa?y7vE&5ARNk#7H@=Kh?=^caVCC`dmVmh@6^k~|_u=qC$yXNr{tEQE z0za`l4X@c7n-9Y3?M8Yi=(hIh1tDIH_M*`o;25u(r;)tVr)0wd_OQ>C09b$FPN3(8 zEO^C}c(~-RuZLm>a|%1PdK-al?uMJy0vkYxCjGpe9hf5DGK4jL^lsU8j`)NY ztrka1Bg9W7lkzj~%}69W%lOougZ^X)vgR;N`JL2b=l-fK@2b%Kdg{-9^4jj4RP9d!+DL*UD2Ypf4BGMdS#+R`lNd*_o9e>jk3<-=Cl-YEA62a>BH!Z$ z%FXXvy-{2j*4nq;IJbtY;#9|tll=#1t)^A%KI^M?G|7Gh88a(GVlUZp;*T<8pFE5% zUt*VkheaT3iplxC?@kV{;hWwJhOf$42Ae1#MX17)R!kJPKCRyj7*J|sKBPNhLgNCoZo2~}G0i{t! z5`-cS#AP`V#kfPE@^3F~Yv2ndi(P7*;=>vX2nikm_hXLyZ<%oOXO7UAr-WALlHZCLkxPC=-axI z^Ujt^PI!2kxUXxQujU$7z5K+&8h^~Nx9;e9ac9-0W;sF=sDw zYn~;vng#qJ2G$XdH{+uzSQ-CUjdLvAI9UYi5>|LW>>K)pi@<_LM<{*BFImS2AS92C zTMgDW_o%KA;Giw|#F{;dn-6Z60T%EP0Ra=%Dm40?Pw&p2gFSdYS_p9;^1E(5d-y zq~`E5`KD9K3laJ+SZB8^x1!s(Zr!*T-S?obhq-oY4zpidq2VlFMN74UEu$0LMuC-G zu=Q8DY8Hj#xKw5`f>eGPV}xG8(v&|qk}>njitn^~r5~pxctm*Kz2VP0RpYjjpgwVJ zdffWp<22TH=c`$u#rzOKN7wi4Gzjy*66_-B9^K{DSKZnr&kzUO;Gw&FOmL2}7iqJQ zW0vYzZajW z6$OoHXNOE?pvh~9g&d0luLyI6e{`uqXqIuJcyN{xuA|6f4cDi z^&jo}Pt)Rhp?kK&_S*XtC+L}Aqd&ib4>s5de*2HY_}bIJxs?X^%7JQ>PLUz>stSJj6cYCX8r%^ zBTHF`1>kk8H9ds$l+X+xzCuNMBssaMnGp?~j$Amz8u}tGeZ7XuTr{;5f5(Eeb>(m^ zXa+8#yeL(0!7TtW{k#X*=({$uw=b@P$R#n=@c9@~?dLYJnI*m|nM}hxNZNm}^<4M8 znx@+Tp(b_F9&0v3vwozON=_dWEF`3#$(QM)XNvXEWLr|>v*}`xIgaGX59zG`b!@mg zqUs;nysK2`-!QdAcOcTvA?lvS@!K9{=nfB;9`TOwu{XK)ZpW*DX8Wp6YlMOg2d(rP ziU~2MWy@^pDqc=}@T@JI^eCxV_`na?$r@HFN`H{i4?ya<->1MSL%pB!G%KaAHBLys zi1))LQhg`>AZY7nzIvMgM5mVixDWXAYHX8((OG^770)hV+U zqv?C|qju_t@bgf3(N}*{2(|^ zF6BDYfbXT&r&K^({MZ*f7l^+05I2MuwSd*C=2FD*g?vT$4C-4zveTbig5a<#s9JP; zpZV$#div{x{vF41taP=S08d%_OD*!kgI;nO*;442nPa@i-JuT-gaOG+3$$s;tP8|% za3der?)(fv_%)&(%_9MmU3fo^OB5-c2$R;GKFFk#!PR^z)GpTxXWx2lQXWaxNvc&r6 zm7G2ZDMWMVeY2(f0@K5BkG32Z|8r>x;)vSs&8cS z-Dllc54fx#hvb2Mmtef3j$Ie)pxstpZY)Tk2dVd*aOoJ0Vq}Dk?g=`2o=m_?B*IBz zr7?@#|Ak#w$nnI@RE;5;@vCEvt($P&SIEKsF&%>Rp0b^uhyb|g2opa-OO|!r0+O)ybe}RWY`S)8> zQ2Xjr4A-B`exxv(cL2?rGxw&1!o>?>kkm;W?@Qmm{dE@9GTP}BR?=OPQdLXcYzfTT zAQ}SEbd@pLoowT}PnB?ym7pRM%nqz){abFnJ46&@;DUv+hgb6KY0sWa4iX)UtLOF7 z{Jn?W89R)I$Df-1XzRyonnzqqMOHResvz5ou{Q$j40xPLoAuf*L!X^sA4Vgm0JtXN zUEYnD89B?_(6<4{rI{zl#gQBrkd<_G98RrrA8<9_5e#MFyLqP%`d(i>v6DFG%glRd zb?icg1cX8~N3_v>)y)x3hbtCP$(Z>`Gqy_aMibBWVmd!-tRB{KI~RyHmZCh&?QZgH zQ34Q13Ql18w-mQrD2#y2r7>fARMY$|3C~ifE`sAx_pZxvap$M-d{K@U#D80Dh*$m@ z_r%e`MyK`3nCEKzN37DK(=OKvD_o)@6Z|Di#ev%EoiSgaYR}2}3PtFUl-xlbGP%() zr?Z7xBtwCpiy_GeTqoZ;ZhEG7XNx!{)pVE#2Z+Ul`slgJCM;M%gKNJgtP-}1?nw?U zdYBm2t4jkYOQ`Iwz+xTb#aee@e7fJ`zP$R8GH%C~DJVCXaN52CDmf|pV~3YJBhY)S z4ws_O0RUy~qIiw@A~RfE8N{W$4G!+X#m={`>@FBDE53f+Oc+fpD{~B^?eA(Q<-174drO(4nZrx7A9K@i;`pSILmWb~<&dV$3d25E7{ruAd$*r@bt9~#BT!X5? zI_`?|^P?Bc&l79l15{Mbfe(7yy$RcrMCeEFIm%v<@iNhtK65vqnf(zS{5mmvR+iNa z<7lljYtf~U1Hnvk!$fIdfY`;6pE1)cw%nqpVaD%Nyu|{lu+*qb#kV{~AOQ8LdAU8P za~PQ6zBE;?91dd7-_$(FTSCTcy~sCde9B!JBm<#dXF zcvZ)Q4q#|;9~F9)Qe)pQ=Ki9yZ~Vw*<9goX3k*j{{zUC3I#we7l;$NzEM!{~q1t<> zX;dIRET{YaNNM>XP!a*74PO{vdKU|Sd?U_W1|;!74VKB;@f&q$f{nA#yG^b#PG>Ih z%hbA7{&>dk<~C{VT;04OUN1%lrAblqv;Dk6Xc!2W)hr&D&~fI;sG1_SPTYG(XuY^B zi9qCHi`3Fv40j7rj|99beQBm}B_wX6lgy$r>z4Y9EVW>7~DQ z`Hdye1zmyw4;i2S6qu_w%#$G0*Ruk1K3Gyl>|O^L^kb-1{*C+AS0VGYLY&tAnV*1`0D7 zl&<2rp$rnZ)lJ}I5njJ%7zm7K7%=gzhU0o>Wmk`gXj#;v$$9kTJssM#vrcCscTG2H z^;WbNh*iSFerJiR9ybRy`un!B_*+X*1f|8s>8g0o!)2rlRK3hmlxwd-5vB`$a8z4- z*kf!r&b@o1+a;(-1q}oOF_Uq<<^FXS=7A>RZs+8Vnd0f@QT*^bh3QZks`V};ZG=k> z<(Ja)X2yrXi3RRl%93-3ti`lkM$U?cEQb~3xu!)*@GlJW(UXP4h)mp|P=W}Ivyc=> zPnFrET9vm`4%IIAQh4u5BZBQaWzaWkR4QCNtmNbjQ`VQC=ue+JtbR$E64M3HkFq}& z?o{x^Hc-le1%z-JqGa0TBb^cA_up#4JAy!TjIV>TV%lR9P;YeVbr!G)hue)M->D?m z;eb~@Vk=Si22-fPB*-kZvt7C@cs&;v!djf`@JKw3$6t6`c#`&0qNZ5AQo2bZ3o>z5 zy4LfsjYq-rjR@_S-%8RJdjF{1rnS+in#P3gk$*i&PL^l(ZBE3F_ScZ8{wHnir?l2j z?S{t-geC2?#9Q~Z8LXSum6evJO)WJa_S^Q}J1I14qjbUP9<4hI79$qsZ{kmLh-jGu zLh~#HWx4a~!;1$cPqgUC_je~`ci+E0T(NJS+tYVuO1CfFtcEXB(L}9~dg77uz9Xcs zdiebfu`UGA;_OCg$4ZfC_`FlnZ9$ct3(#?={GHte0RXlpsg_C;yiTS?UO{uxn<13K2DDjmYVLyl_WCy^q@XF$AvK; zMr@%YDAzC&)dXIJ)+$sN#g2z_nFVTg{$rDQYFH46gtQ(>aBY%mMY`>AuZz9lXr9}J zoU=JZaG^=%e!?zON)hTbVe_cl(tSYb6SQ3D){kOAMN@xq1W*9hZ4@Ud`1I~_l66hy`LKpd@kxV}dWvxV zRMZjNAbvOo0uiXyq%lrm$JhYFQE8#Z3957Ou9V$&gXVj_3zc=8hoL9Zy+YUnn$I=e zxOz_xBO(J2zN%{~ySrmuck@)Ukm$a3xpF8L@8x8cz3uzyb!0E%WY>+JLfG&5=E+v_ zbG<(?S8|Q2g$FnMjDQbS-oB*q9yH!Fu{ziEFv*Q5*aIXWuZm$zP5ha#bs85iv0g2> z^|^DiJ#X6-KV`?GW;~&*U`~A)%ku<`C4$?UQP<5xzv;T89W*Z_jO?v;q^ddkz?6yh zsGZcK_?fxNsktb_F#Xc?>Q?HU>W;;`ceGmW?R0|qD+)++#r&et9jK%0YuriS0k1qy zE<{e&S^2lM*I*ZkQt8u-3FLs%oqT82qv}K8RJlM@^sw7$a%p^|g`>VD_H5{#eSE9i zvlgLGMog<@Qk+l_AfMdcBPGEQ+vd3+mILi8XQOQI+VN^@J*UI}dKfl$Z%FqyGZpD2 zBb}v#qF^C#ye}ENoYO6B^V}wRA*{oC8?BI#m#(;RiB}D6Sh%{RX4=@}>%i(pdlc7p ziuUer^*#_x$OyNKOjJS4Emh#^LN2W z18I06#ItIy$i$)>L8hEbr8hfO>rq`95a|3kta{bg`MW##k*tp}@e2o+VC&}zo=1rg zUHuGHAn63fvTFLB zZ)j$gCen-=N!GnR$+S#0QkhBcI1 zxHNX8GyP#OE56iuwr=~{%)1y71d2xGgo&D*yzP+(w?|~$oY{MCfxNapwV}f>8>iGg z6)vR2{&pa>%q9x9R@<>BDv^GJ!`q|}R|vbdaP>XxaqIITFp%~Jt2u1_4(oTiyBS+L zSqjmHZtopwlgKx?3`_iJXGgs?y}B}td9DMb-1U~Tka&v=FZ>251Q4j-=dknpbRE(A z&1G3eaxRy-a@g#Vo9?8;t#Q&qSjAIG9)HHG6`1u%F4WGiIgtgaE-a5sqa3}ABV73Q zWE11i?(L%fgOi2Nd00A(!?_&01s>71>TOgtJfl3!j zXW#TC`oG(oX8nPEX!MkDo4APoL)Z18k_*b#A#=H^NajEvX5+(oBwa@A3iM!_D2|iE zHT*Tkd4k(%q-`gU7prs=wGjv;hG>Bw2#F^ly2E((df*36^Otd-SaRy#%m-=KeRf-^ zwg$0g-P?&)%$flFe!(fr3%i$i`CS++)mBk{u(#8o&E5GunT%V`sA-g;YJ6hPZVzw~ zBB$4*R`r#RU_aV=aUO~Zh2!rBVrSbmYn7*?u|F@l*++8>%?2YsS?7(nu(D~cy#i2- z?}si~^r)21LYpM%fVe3)4_1xwp(UbonOb(J(CdwDgAEL8WB231Dc$;|Aj?c5n<#s= z_+zbQ%4dY@T90@9Sm#n(3u}kNOP=>Ee8QP6V%`;MI)){LmR~O>r1H}+uP>2wY^CNU zdsI9RA_5tayz71gZGe$FRkvjZCJn5I+friYp2I0lj>{%(6tRV-`4eAGBI^a<948PT zIglORTuu(OLAO4&i*vrpD498+Zu+o@Tw9q(qK0 zZCkd0%Rm-UC5A>Uu9x0egd1V}KKhU7G1+@Y2oQ*^Zv_8*@Dg*gqos(4{0A6h|-x>Vt;(Q;e=iqd_xn>Ph7DaWxkxSJJ>{%2Yt82R;5XHlLGHK}s zlQ)%gTUK6v;5K{RE2`0!{R)O>;g<3kk3>7?;V#hCdHnB^T;eiy<90?PO@z>QFC&pm zO@#02-d<9QaG$5x!9asR#Fg$?%>!Hx&%|aAnB({9u8c6FRy~mq2Rxln=)M8@x{E76 zN1eqR48X12=VC*bJi0?jsU@&i5#^KQpCxg>H*borU*C%~5xR(G5(OUS}Q$sMBUGFd<&%RbWQF?-PNKJ?3<4f!RD3KX#L_?k;h; z7uhDceFr#&V@aKI-^VUtVrKtd81a<^;-z7uRk2xM6D(%%~!YcA| zeLg%l5nFu9B&s~*uurHGl#Eie24A1i#GRj2esW0*5`E2nRqG~N0M>g{R&B2XQ@cF9 z7mn0$e53+i#OG0uL^PvjNRd5Ty4*ZbYM%ZRtW`+D^Y|@Vw*q+%S01 z%C*Zg&7nzRc@Bs)fY6UI*BcxS1OLt7**AJADlDDmc`$Zvea%plz zXUJ{D3~Y)}Akc5bj}qmwD2-xq_82XR=2E;OrrbgbhQaZUEMz2&ZBf6+^+n9b{cc*N-=Qe!G4;wGcwEei`3jykYm5kNQ0)jOL z{l_Hk8Sa4b=61KXF}TwsgI&*f2aLqg&`>+K$9y50nbMj*7`%s^MM0|@j*`R`)-nnFA7(t{Px{J$`u7!nUqUu%>;9->+lrztbuC*I!$DGc+5?1iEC zf4UWPMvL*rHFALq>hX4+k-NvlfDXoOq z4%&D+HfZd-3*SB1xOZNwC_6aSs!1Iq%k}_f1WWJX`e@DEo|p^04`%oTeAV%jyj$iu z%9es1I!U0`r`cLFrIE8&vJ(P*)e)?-m*Hpo_jH-hub#cG8!k}V=hsxUAIvgRCl{Aa zUxE1K4YN1kUP6*QOO5MDKRZqNRT#&n#@u<^B`?PLuF8P-m!?C1ibE`UcAFCzuU7-* zr&OiR4o-@=O?At){!-f+7IhEVLD!osl!EhR;0Y(CLKh8yWw+(nm;5Ry!*JX&EfIfe z_y7Vq=>GGjH!HV`s7ceay#%cni|5zNV+Qw&Urr`AjT_r>9`V^nz8^yAVc5T+%@ zmzf8L+M08uXgj1@191gm;tEAVPMO(swKp*x05%s-6+0yV!=nQG0Xu?N3FVtSReb|*0-BOM%BF(dwE=orB zEOxfpvwt)#`S>dza9)8w&ihL%-W}7~0TM=LvLr*#{NubS^OwEQO#92yqLK@qv@y}h zx(H!~H{6z&;nB3%-YJkEpZ9-V!CB2pD>d&CdV=W5aYY_YL@(QDX?P0VH<-><>;7qA zQn`X&Cw;G_<18gkYp#dkiRCp2#K<6L7ZBQURLxWfD;)pf0)4wsEJJ=xJJm&WG=>LJMkA*fpr^n;FxU#p}|^@A5+_UTqhoWb5T+{ z8;AQMH}a+FM^2-K zoN7lPZnS{iM7OQRheE7cy2RSQ&dtk5T*cPBY8zK`OKG6oJR*@|+D3c}0*QRd-WZTY z{}G_Yr~p>&YFnMIetXY${y0gwKAOKte|I*4IIHaGZ0=ccQpa6hafGp8T!rFqkxHs4F^-JFBo%I)6AO@Vy5rG*JO6ydA zbUGe2%h|!|Y-2~~8;3#mwHS6+-|4On1ucT4XA~9)gr%(4tEV6OuIa3ycu;uC@K%n# z+HJYk?l6A_x1HEo;Io4>mTmmz&Zun2<`Ucimi+Bdcu#zVj>h8NVkxbRlqFdp|I(o9 z$MQq15nS_FEY?TGxRul^LWjvkv?ag|y8{f(=G^dttS=ppH^+_cJPsq6Zzz4gZa>mPw21e62&i)6AMrFS)Q^OcmJg~ev5kqU_Z(m z8o5Dzhs!EX>=CYqX+MP(8um|i6#M*=rX0_?V!XxQ-Z<2%v7%#_(*fb@JKt1fu^%<6 zw;WnmIOC~~Y#(QJ-aIpuZ)|`(`qC0eg3Q)Va*?;FbBJRZJ&~V)q<{p0Y+wMm?J0ph zV&wIx%GCJaSOL4Zan0ReoVv>FtP=~Jk~4SDGK#dyj_ESPo{ll3k0^{Vmu33t^^30( z{ETQ@+vxB1+>$_P*~$Y8o7%Wy%LVi(%Wwl_VZS4zWO0m_fzn-5?zq3R-!Gzbzn^O| z*NAWmja9NJAdnAdB3ToIqVSkqwvOe%6Zt0IuDgV`<}%^an~R#**obk(nHY-4TxT@Y zKahgxK381?yeR}*e6(+1A#V9LJ@g_ARa#l&}@3r@yM3z7!C9#z~)MhY9j8XpcO& zmUGNX@ibC0pbN2T>?La_%{n*^qJ=D<6VKM9HfY|JkN@bnr8YB^5sdYxKpf-5x-8O0 zi9Jy_y_9n_UI(CMV??MwE{nqN*@y*RZRT`upnO)vxrVD}yRY`+sqrKO>F1rjCq*Ee zR2SL(@V0=zesq+cetA!37pt})b}PA4f29(4H$~~Zn~3BhW3dwi(P)VIMTB%#TEVu* z61Oo&wt%9$fzIv`N8!iS=D!BhuglyXClmmn7 zP@MdRa?S2wh@!hHWQ}Q}L3#7l5N)V4PfYr@mnvB6l;|8`Yk%l+KcSlB+?8|0x!LnG zJpOZ&Mk``bsz5JP*9$UBi#NES)mx<1GDB;83_WGiiI`-j5r*`xm|>`cW#OF0UGm;9 zqjc+Cx1zib3ax9aEu}oqOEgXB(x8{~$TcZTO+Z7#f_tCZnlY5*nF{;X zA=`mjMLT=OG?%dA!JPEt9xhOZkeL6;3T$T2!EW(P;2oqA{FcS!OJPyWybs@C3<%(K zp8W?AIN!Y>id;~thz@a;hMUgzb&$1GOul0Sje}1M8u}jIE>f`N^$M@a!C29If(ol_>zdY#2NBzNZ=*yDe%AzS=WC}R&77cisF zU-!Kr7id?ZVXAj4qMw!zQK6^F@5+!GEHzSCL85Y1OdBnxJC3%R4qqO+o;e%d^l(Jc zN$&kIne8vaK7Zh7^f{sN%}3MGjr5d?Vw85fzuX{qo|DQ=0Sbm|qr+tRGWDA7I3@^J z24Hr_zZW}tFl-;C@c}~={B=}<`3%?i?I1DYHKp)RjY8B43=2tF`{V!%Go&xXs+@cY zT|ScO4_4>#_ww3pmnhcWM0qnXiO)ZS+{bJ zwsz|X2(P(T7UQED`c9<%3Ab|bNpqz=yyYpwkSX_{nD3avdOd-s?@{iSMa}7k0gy@m zhqh#fQBrb;`JR>owpR1`+@`?(^?1vDq4VDEyxX`v)w%UFRbS79>3(OsCSoeXtMICe zJI;G$ErPikB|0SebtTc$rU$`F1U}*Qnk}PI<4Ebu`VYV$I9rk!4(9qL7EWNp95vgG z^P6ofr5kq6@mP_$+bi{e$T>Pi;S&X2Y)x>-2@)J)nb?}6Y|{fT#>733AEsIVHESFWw)_TEFYPiQ_`g|HpD5>Zq3;=xYpCr~1$Jmgb8nJgC|`mR zgkFf}keyN4(S~Q;#{&$1tXm7S?}>g(NB}iAIHp4*<+WR0^O?Puw^KFN{LQGLBR6qC zc}~R*RuZ2#tqmopLZR*quAx$dg0{dQh#wv#uX>Tm3g|+q8)wnD_ML0(>1ay8+czAw zZ#TgWgHyK`FGvs1Fmq$GNBXAqqXb_%cO6 z>22lEuzu){`mp7hqA|j>lAG-9rsF+MSuSXaoln$fsUK1Zy9j{}k%;#937bJ#9lesS zoT0b=+YN^9hy zmpHx*9oSduRZ7{BSdX%=ylm zKt?N_>-Wo!K)6rj*l^fdJMZEx7Bx+ltFMnO2y>tsT8OiA%q_)pI;VdD^`?;Jj}&5x zT<1GoBDx?**D~Ov9<_t_tvEQGAMkNr3(_ z?%X1sz!>t!#_#<1&5jubD zjq;4qC=Ip!MHowbB2=_w%NyPo`-4CVH7N%HZqCL$R4Y&oDLKw3Ib|N+@GGk85;bo| z@@<7}zUX!8GlJpLXV(t=W&reWugF8(0UZ7hsD7S2Y~(}Mdxg`bpXUF}Ej9P7d05!Q2>3FIOWVC}2^ z=}sXdVBBV~fM-{=;t|3VW8GU%COsWkA$EZQ$13n=(V0Y^F+f?rb*6Y!Kt-pHeYArK z{nrc7fkqXj|JSIl|2&XbZA@Xy#4%;MiWkxnizJ)``j0t$LeUKPwrx)SZ?rlpycEL<=?%@qtsUY=c!7q;r6@rp=hR0EKLhxG zgg;P*lJ*$9dOIF66c`FZ`;S)K(fN}zUAfmJ-_AY2%bBSpOWCkIQuviTn3OODqtp@h zJHWhj2|*x=>a^FxzdYrJkm+(I4r04km@yTe;2a)D)`H$?#80&lH8vJ1tfJCuorIzQ zpCP;=zsZ&tV^{*<7P#3b^uUO}{kVx=k^JiLOZ#vBg+t!=eNHu_GSU^qOZ;Qf>6R5t zB1_r5FbyqF>-|2FlLwJZ;{M}2V&WJVlG8@p4elxf zT`NZvJ?>Hq>G3AA?4|;9_1^4L`C;fkZk*7I1X6Ce%R@q(GIDcqq9vXA{_%wcHQ9Td zsS?m7wZtolyqhKx*SO3KIECwmLM-*P>xh&^yr7asKg*3`gdVygw zsEITmixb}y{g+zeZXLCzqcnJvs@q?vz=%jqYM4y z(2kHuoPd8V!xdvg7HnX=rNEe6kf};7ACVhQI^BDSyF#M8>7?tJGSX zbiON7!}+;@cOET^u|Dyj;>7W1t=I3AXNLtJJVq%MGLBK+b&J-wm;8Idhm?NF?7JyH z2G=Cf6uKOO<{ch)b7JcP5-lZi!gZImfR_Sr)-;;-%Sf!luU8oj$0OTZhzmO{$LIShe&hmJ!0%@{}VjQYQB9`VyFzmO4+ zmBtgtbw6#N9L6ptvSIyqs2x(74A;eQW}NbGbxt%v6wy{$M!K%!ULl4(6L{wh%MYBv zJRiL`&OS~=jBU;qv}{&I5rX-)1`PZL1i*EE$7P%M`!`3}h&nw9&s)zQ=bp;#{^fHP z#{A#N)}N{}=vL&b=7xVntxakXo1K+058dC4G`HpC0mnRz2m{WtKZ;!)E$=0jck(v) ze;zOyxsp@;2&YihG;DvG6=lYJzfg~yJ)r!?)p4I^5Um~n^WV4_ZL9ST1Nyw@^sY}a zL(lZjVNbAck^b@gSOfk9?FZpD)9upNNYW-MdvA9^dnS_W7zS(FoS%+?+KUGi1Op+VN=-C9^>=Fq?k%d z8~*O`bc2C%wuNH(^CDy9F*{yyGdh2Nh6?+m)`x$4!-(GlwC7FTCLvdy$i*d6d!|Uu z{{3t*EzgK*b8jEu#f%rl)nmc!iaRo! z5ZHXKpJhn}rLgbc@W~197QORnRMS*B5%+;^VK!zZ)8liqM+ae8(&4ij9y)~Tf3W(H zYA48#snTJ9e{Kty_#538bzWr*X&_@6oc8xFOYg}!a$ zn4evTRA8i{uIuHFALLvX;8&+`&ZVxZr(QcJrHpcmxi0+AZOTwiy||Y3&{3lup+f~| zy@@s{g|N}o|6t?2gVkH(jG!&%wA9=6PeY@FR@v><-4BS4M zJZ$5D2gY0C&=(M%U>Pvj|0`$5ER@W)n#bnz9-%yCq##I4MUoL7$pU7 zfB7$wd79=~4!o%q!bT@2jn|=}L^N&d|D9+|Gc-|@sF|mp69+Auvxpi;Jr+)_=luQ! zV)q++6Q@NU=C4J|w>T+*Sv;av$F|X_cT74C)~;+yu?p0}4DLa!%w`7kzc8441chWE zi$$v(=+}w%CMmifwEE~?9(ayJ{40bVieUW`uj!9HdNlB>bkOdXHWv0EC>TA%U_aS_^41nIt8#{bzB-A`16a$pGJ;P0MIdc0oQfLm(Dwg3aE)+z!odx z&)ngQZgv{b?E-b&{AsU);DM_5<-dE3U&aa$s);5AuYo`5cz{-?QRyGL0eXx02Ma)a zcJW^+{{S~gOfOdDPud39-e2bdiH-ctogfn9zrF^FuDSVpBZ!334W0PqHUC^+pq^pO zq0u%F;0&z{>>cb3buIt=wAM32fMcL%peLXs`16~Ki&n(c!okpvR>VTr!BEIh-`c>C zR?^VQ*ujK=m7Sf5hX(=fKM!z8ZA;UNTx5w4JVvI5hHfzuBABh3dN8nLY64w}Z;AB{(PuO_)co=;`T3PHTFr-4r`T z&~s-SY&NaCmu#jSgwzm;|2T^obl)49-sbVJGq}B^TfVh=ayGm!Dx!&>+*w$x?igfi zC%SAt*T5SY)PV2UAJlL)EmQ&aeAuzcIZU?YX!Up;lw&S<=4pLs=#2!A2Rz^0jITJu zFxDw?r@q$LS1ohwA8FyxTyihI3pst-yjgRY#N|!3nqRkW_;jx^iGM8pc=~i$_sVoi z)$tW(-}L@ct>bj{WxbMzeREO-68>`gokMfdp$5`c!hJ~)!(PKvWoU_OY2Xu5&A!>= z48BTB`EE(`=0W-%&!YC@#i67>O2bh~v9V0DxAuzFnE&MV zE~n>!)mG5gioNEvy=MIMMWz_9@gz)7E#41{DH81}4R_9uIs560;dhQJJKmEqN0iqy z7G6BwcAF%Z#bq3qL1|wcR;P+4R7yvWS>`F%9hXw;_gjjOkR+5W8S$Ylm+%x7qJs%s&smcooPQ8?LB@t z#^b%46UkPX-}_v7HAd>Fm6tEbsG@}_6kV`3$+i&Yv4F*mML%y8YS-NBj7Pzo>zT9F z(%KGuQJ8U#blXu&<6D36j6aV@fT`wy$ApUVh{th%)R{RpbsAecCjLeECM%I@ zuK7xv>!tGZ*?YbB_rMuR)C;ks}ZvkG%j;#xtnIVapnVIdFnHgio znAtHi#LUdh%*^bVnVFekw$JJA`|h3BcV^!A&70ZVa%q=T(t@hel720zwh>kO$2jrC z*w$?&A}jI&g4o>%971OC*$&5!p$yI1nkJLk`f@w>TG3AFkBv2*HPz&&xwLzpS!G44 zUv}d=bK!Hk0~2*uEDK^3);!+fM2V+`u2`5Uw3ganSt4jj|Yg;`p{=_CFobljU%d+L-<}UB7m8)BOwl#QYA}T)&&Na-P zvi{8uLdcDJ~Djjzat6|6T z)ZC*xd3;N+h}6%*LH|zQbV@R(TMH?rTchKtM=A7Y2Wk{LR*#U(CHE~kDVB0kLWT~vvG>xN^B0|ll$7EF1{n$7p0FE-ic%0 z1J?%Bh}Aku8fMh*b~AJZ?4@eEgbL$I#m2)WB?B%>Lli;fOZtETip*T@bEX-C6F7~_ z5#!qS*@CfC?1CAhrsQ7kx1+uI^wy4)#WYekNxnsn;EU9diXN(>OgJl*mNbuEv%e7{vby6iqCk8wt=0f5Ir!e6f zr;TLEs%h2W${qjnTFXH5pOcm+=1GXgGBen`ZEI~;sIjLiScqrSWrBA5e!_9bP7On8 z&*xDoPrGrw3yWC9sBhO?_B`+Jvqd{>=a@C)54<kPs& zEd>vTmBljoVb;hg3GE@*NRg zKqjmLv=glh?G!3D?7<`XEB26RH3z3`_a6sfhjC|=mi zw_YzVC+*&jxv;h-&^)H|3QEJc0`X)y zNf~j3*h2kY0+25OsLlu4v=YhbPRa33wcwfShT-7Qc)98FPWRbEw10A;y z_engYfZV{K%j7WcsFRdaz5~MQNFPW3*W=IPMrq_g3OF;;&+V{hYIE^2Ym@TEL}d|D zDDIn^Yr^^oY)q9x+G&1qzZ5i}NuCRI$0%n0;a0UB1XkUGfwE#a+3_wd1FzBw7y;4> z2qSD7G?v(Gh6yHYYBbChvqx9za-$QLL{e3U*=mLn?gpF~fa%aqwb25PLzdG~#OPA~ zE&^~$ZULH9?qQss>PsifFa~=}lwP%ZUistq_qod*BCghMhmk zLW7uqG$cudo*Z@DgIrA4F)sh_T%2NJC0|2As$>mqF;eP~M#EE>Y%^nf@ZW@w+as*T zeqKEg`ri(S?YD8@U@WLh%6L2^OM9j~SFrOckvy0g%qtA@7_WP+W#t=g>pv*Zy znPq@7b)^T2YGy=#P%+BXyzwG{lT@G+!csEc(vw%7xaW~YmWCP)Sgko$s!PI-f!?25T9U?c+;NJy3ehyKjY3^uq^5i%I2U4e^WbX%Zn zx#pwI=8#q>_!GEWdKTfV1sZ1aFpAC(a00D+ya8~6Umnc4iqmNUo?5pZXSQ3L&4Pd$ z=UTqX0V<*T`sFi&5g1ULU#pdz2nfh>wll=OCVm!f6U-tFi#tIFXtzL7 zB!2h>NLb*80w_xdbatQyRR4}9{;W3*i=RyFSctbopHQzBi)-AFyJygbvLcG#lCpu@ z2rEPeYJBt9{dS$BPtp)(NE}p=keCCm3@s6*9kEcI?HG}ea3EOlHKU9wkqI%taX$8N ziWL_ZA-)+2tA%>96*~mZh-CRkU3zR^0H6wi6&sW+-AGve>^6xRYQO2QC?qoEkSSIq zTqtxkxZ&Rd*oNAMnEr-@a3iMM9;^u9Msq7WUlO&U!GPn3e7ch0>E)t{q1fP+ z)Ms+#$U+ZyL>Y#Y6W86ZSz6@n1$e^-@d?u!xWNQY$wnhaXO<%(dX8veKltoe>w~TD z92G~d$|jQB=q7l<(lCT|(mdG4#J~8b80n3FtzB)XkBNUzGkd-MMKJ)_mfM^K_M05J zhRTt<(C8VD>V$yr1hXd%B9Vqwio8&D7u|y`!~2&wWpE{OG#aI@uk}F58JDpS)748CD)Hs zOw^9J;R1; zbVhrNbDtQkAjfQP`l%>?SywbR$o-vZ57aff7R3jEy7if&%F{AZ-Vhtt-HrK)7$pdW zGos3VrLRG0MxGVlB!>wh6Aj??kD!%$;Fa|ib$z=3>UJG-3Apl=JTw?m%!pSgiqS#_ z6*beSFG?*ODmq8aB~(eDF%K1%BjzTWA7wU#n4+-S8IvO+PSq9Bl6)9NT7VImEE^c- zGLKOfL?T%|3;KjH${-JLBPfOvGS-Gn@V-12@O7{v0&P=BMb{3}yEb1cS{-{32K#VE z_jQGFN9w~!hx8kg`hJ0%kj!HcBi;JOJ+~zcd{W!F>@(hca`&P-E8RLz#a3 z5`bw=i56+?F9&*0RBt&q6bD@-G?Z63V8Cne>|?}7!oWOU#HEQ1Dw`ClH3kZ0fEt`w z7AcnKE#WVDu(ey0PbexNi8aVwqhu(iOF_5eaAhY#s3O1^LTS0Pm93Br%aA{M-8LE> zte}$fHn+wh&J8F(tqwk@P+XW6EcTjG8WmZBPCz_Oh**5(yGxXkE(0+W3`2}#GzCgb z2ognZ$iou5f1I*)q?wizi8q)MAs>hVYnP~Sgiz!)%6BFOJ{1Eez*)}aKznEo%B#Cg zaw>RF&NH{P;P5D^JdwlWalu?hNqrH(lj!K}h!TP-&FWb z#idiAA-*7sk!kW6n?xpBXx;F90k~rRIZ#$v6!$(tRo-_ZalVMe8n9b@b|UhZcpQR8 zWsQ7jZurQQL`{xao1h_pw5%CBYoKE8 zJHMb8dBKP~j-vcAA^Ns&aK*ar36?d9d~k?Sha-;9Dvq)1ZdhK6)aB9MYmMd6xK}A| zZC8E~;SD*b#Y4G7NfC3W2HPRaCX+E_l$+r0Qq&TU#3sA6;77*~xn{|L@W_jCA5q*A z%-ZXSj``(mCPPy8`u*A< z#l8VDqTXFmhM-4`iViqYht|c_!+^W7F@af}?}06XtN`)Ugw+hb_+Eu{OeD6`+LZ&m zq7gN~MldS}o9*Hb>yKGgo^LhID4m1%ounsnKr69kG3c%06i=O89>srYVS13^ayNIV z&(dNk<@>3ghtM&w65%a7B3Ys<8VAbtBKtmaB%vS(t}8}iSTqxgEo6*%odFgiBG-sk zFkl(J1MLVQ&Ki`n%B2bMZS3zP`Vp4w+c^|t z*ej}B4Y36R{!N&wo{ZQg#LL}5Zhus2N ztF=ar(DG*dqHsb9Is`s6)N@b<78O#rA8FACf0%)ZU_=V*jfKWCb zbwHdffI_S}iL(QNs~_7SQ9K((_>QOyAFEN~T zkXCM65)f~on4A`$B8>=n8z@sC8nm>dy4Y8fESO>WDvZ)Lj4|ch40p6k=q)G$%oK8* zP7;SNgN^>Om1jpbYRh;c+to+rwrPy4&I!HWg`82ykzWvTuuIw_J-QI>A@<-$oet)> zowR5@Ny%dOBNLoy!fVO3y4b_p&fGgOBTMU0tks%vB`HDreN3Z!sv-KuAEn-R_@HyY zWO2@?bXBWq4llVpm`Fl6LMBU<9(gmhLPA>9I0YO@r8s`YA62s&t#ondyr-Lp{E_fh zKyx`TcBT*nEo+Ju+Znryy25+&zQ}jQW*$T>N!SP|sb3g*RYcJS3jxqcV9S5BWG@1| zCL?=RYye755|+QDhmEgZ|0xH^Ku(l(2V>m}i~;Rk4k!T7L7)$lL61zi9kW~!j_$eZ z{?6}Ch9-{(+Al|j5^9SB9#%wDMmU)_AykoH9h{JZYp5nI zwr&z?L@1_S+L3e^V5UUHo36t}DI1GpQ!!nE*$hc6TlqVp^oI&;Q>bJk@|VHRv`@x< zQ_<<*VI-u}TR@Hr0iy~HCQ~!w1*-zsDYHW+7(aQoSqM8uzEwW_^%8a4bMJc-RU@k@ zB`3|$j>qJ1h*x!$4I&@zAtfe9?MBC(0&W6SY!#mjzD+jz9l@E9KUu6iCz#fyPbRtc zfD7;z1qyJ>tRsOw5o+;!2Fv0KC;)S2MCA|jJRmuf^ksxT%c91wQ{3lZL`4*G8cBmX z6_Vjz1T+#_kO=gfl4GM0F8lQ2n@mCS4BhR@G(MBq2%*4}*!Lve zCJ4E~zNyv)*tcVP1UZ|Y3E|{l$}C)!#@sB#N-vp$7ILPTf;@x{lY14!sk)udSgR(n zzevCUH5KE!?^i_?1N|~bci+EM7e8eRIuhD>UntkgDDb}On|SS7hkq%~ZzL@k zLRf|yp7Pd~848j9^Pc}`gGb_(of_$7{w=mX}rd8KTO?9PGeZW zZ-T{BuN_U09ABZ_mzVD`xQR@9qK)pu3<8=q^lU*++}Q0lEv+^(6ri>1JP$kmJxwU!gZ; zm?zD)Mel(A(l2jG5MAE`6JT(M5HPr7@aHn}*aveXM@~>WVEZZ@$^23D?pE96v0ls% zV6O3d9uMzY*>=!KCe$D}WXUJ#2rSf|^CuqL?AYgfNrG zpTS`&?Ta&;o+OLI1 z`BWl4z_$6T(ofsw>kkM!slTX~7fPJUA~ccpySCd>MV);S!US_9gctAtnaUDS%;8TC z$*PaK`6OGM8Oj)VDvNP4I@tvuP>>Kax!tyzTy|tGe~9AA2=D!giyf^_tNSXOoyYz0 zl(U`3`!N#hDEk?Rxg2b2Q5u+a4xpppY-eGHI;Q~#z#U1pq?Pbj{i%cW;JiRlVW4uZe033@fjRsT!7#yC*&5 zXFUk8YPl{)gS(O+m$H042z-%B6_OoZ%%+TqX}x*WT+6K*UqV;MCHz(=#BNKvlkhl0G*dv0x+N`E1_yy(XYG6->a=VEbQ(D91?3JK0tS3Y z7mcALKqz@^rwYrTtW!jou;}q3t>^Tnn=|J~em0*;TXSaOFBn|lCR_=>xsRZs56{MnqUGU_k{@emmJH9NJr_)9WN%w&j%z^rfVoy|2G-5T`V+uXq1F6mVR$bCK1vt>EzzbILy zca)sGAI0*29Cmn4*X{2;?iR3BX7U`}Mz%Q8W!B5yD|=S#>-EMdu{4H^46+e9sdUU) z#4tn~E0&*&Uzl^Gww!FV$b6h?OLVw0rZ@+YvF#hZt>*U>=2>Sl?^m`QJZEB*a=xhQ ztV{Fys0dx$k94fCod?M}i3-C{*lML!tnQ4(h78__)emBjKNpe}? zDWSJD=H+3zyGrKB{E>wEMz`PCYv#0(c{LJ2i6@)qakW3oY()(*J7dt++$QW% z+R~wD!rxKN>`)i*Z- zbS!aj~b5`eeO0Sj})t*y}LEU03;K}i|)X%<_#83%Z%SqyX)J)TL8!V z`uM?ZYUa6v#UfuD;`@I02YkEd9@x#*#~5A;TkCN9)1S60wwsl5-k*a9viFf1nnPI6 zZ!$>A?=u6`UMTK>6ci=ZB?_vMUOkX*AI${Zu&h{ zKVw37`{|jOk2n8pt*lfXDXHpaf_XU)>FF{xe!CxD31`2zj+&qo$Lg-6>XRla5 z2K}q7uEn^#p%%I{@T~DB4rKq*)yq~9vzUHKUN?b#1kW`)Y@=UTI|w_S3KfJg2kKQ`sMyu#KU0!e8pj2xt2Ta`Z&Rl@jF4u3SXr)v+~(D zEbUI`-{D*P(SJnkVOB9s^D9@}vVXNAf|8`Iw6N}e+^GJ+Po&^Hy9Ha95nBH+A4t?x z)Mgr5UF&42MC9X6`g8Dh)}HK$^P`gq_F4A+VPhPa3s;+KN+z?I^3Rvl->-adZb-`i z4u@y_A8>d@M|}rZ8vyujW@SOAU}WH+iO;abmzKgd09QdpZfI77CKVf{pRDgft z|DRd@iT^8oRw}1w^7+rs$jSl#lPCoM1@_jCb_PcFpHl>^tsFiN%=iqfeqK2*X4j5YO?y~ z0A>N{;+6m+m{{=ve}7*rXJ>7oXyl+tCnqFAr)1>f@OKu0zYl_cAH+YIqvN--vUafl zWS{vj_CF)cpT&GeSpFILtKiQwe~|$Yp|p{qnVx{PizXlkJw6Ku3oR2PK07l5Ee9hY zRS>{7Kp^&?SNts$Q9El#8vv<)$?UHmbc%FJc6wI!HlMOHaHSJe1SrDE%)m%NQ~*Gi zlAgXjo#KCy{wHDoN&5eg0o^}u0TF9E%l``n{7cvX{c^DUMcw~N-2W0XAVuI`Tr#n- z(sHl>?j{ae2Ify0=sDP#eM*3qfrEkmQ*nSVEdvWZnahqRmP*`lAC4)rV*)bhqqLSvG(ktq0 zfe6?4EijLr@F^sRnOBYGYb1hWfAX$QvAJV|7Q6b@BdQlPnfhgXLrVXLTf5ffG50lI z`s48{BM%PE*I$tjs+9?0csV(K=*agtTRipqyHZd)mWCQ0cp*8&xFEH(U->9)BnXnG zISRjniVKxh1h2AR{0fL8f`W|Sng)K(8 zFUUJZH~u})!k#Cc6Vuu*z4HuR-6P0Rd0T27P3VYM&%@!HYqA_~2f{c@ZyT#Ta|($e zjpkUHsjEcz@A?p#^R!vZ#W*`jb5f9Ky1fJxYBgCkaBK+ybF*tlcg<%Ilkm0%g&>i4 z=b}5u9rt3^GB1|05v&abI{Z7u$ZG9%HuyP<$_j(UupVq?go6xS^N8(Gak^c)%JXBN?3JHE*&TRFlq* z2wGR2%GnA#)h7=QNx^lwSoY04kTIiH65`>bN8Wo9u1fxCGu1SM-EpFrI_J9^b*T0= z!?n9``9|likbDHE*td59Q389;mVF6vjlj=|IIcFy&(O$4>*At>N9`GY&X#&J$K{$9-8o{NoTwW#og`{3=2vER zPSI~adP3u9F4_v$(T~%6L@7xaD`l;9^dF}Ziq3)%WnF%438K#HJVyDWUqFUs5rWS+ zlwl~D%UvC1MLs$~DdjL>uHRQVug|V)%7t)2BL^=O;tc@HHB&k=*7Icb%w#)EXhOuB zRuGm6%WV;?K@F*UAbT;}&w69pf#N7&CR*KTs~x2#6pr` zFuTFx+G#ks>=4{QXe$L;_wBL-TLtTa)RjBy!}XrxO|af`yrZc@$?c6Z9(*g@RMv(sXFAYTmqsrqHD|y#X#AQur*xoT(Mem`#Ry_M5z4hvfI65Hw~9=r zUmj)gnt*3|v)06nltZQMKB_bUE2}oz?>Ac(tL+lri^}7aj^~Z#OW@+(CSmZi#k-9i za)L}PP?RfRcKVECSos(q`2cPXY^1L3#xeB+5*^>DrS&+NDJ)CFnbK}v6Ii*L_ikuz z9j2%NOK^6Xu&974HxAv|<|-^aNJB5jly`!-C|>&rx5#k}t4AYHL%G*(fXhhIPj4SI zuOU%hRI9f~&iThCt4FvVCqjx#tu_FpqH&v2b%|)Y39#mqZO#ppy|~o!62d~`UbIv? zTpx_C=)mnF;9fXf$>OytdOBhp2+w90(2G0Zp4jn0gic%QleRt5SD}(AQjC;e zO#kwF;x1J8{Z*4PLIItKoT`Ppp&bZMOHOLlj^bCLDqjH|#SR_eP552E1{wVpXIsAP zq<%4Jdye_T7W0LG(gqo&)$1bA>U=l`c6L+edSi^=t;}F?pKqmHC>k3POVsYQ2M5>0 zeAqj<)Z-OK^v%1*NH9CNfMUJ9$SY*EHOZwch+sD);RhVT+`=8DNNhMn5xYEVwg2-Ho6t7%cA6_SWKeKKrTD+<1!-&bJazr4eMY$+~<{ujC#>o7h4~p5Ae|B33^sfBeI5FVyMZ8C9Rn{Xd)6e?PgAk)DP1KQ~?N z8f*3|tSDX!zuzG{0?difHh@exGloS|@0Ta`G#VeKj{NlHYYG#J(qNc6%qzf36R^j zk|8t+98xb(oc0)RzD~pX#n4DU&(50GS*It{gRM%x|J6S7g5`IAKw$RO*BeTiBWpUl z%;*EDC)){A?=-NL+WnuqbxLI@6n0Bznxmm+j=XjfwUn+&WiC9ausZX2#`endjZCVn zwXVwNthLQ_Cp7r#st)W{y6f?cOp53BwWi;J#WNo}@6rS6q23^>R1bE4Gbyg_M6!Ue zrLs?y^q3D=Dg$8^B{^20Lnk?2IHq9=EkRJyu=iaFMN7HvPINQCiJA#K=@ON7RxGr!Ll_J zo=2S6s$?X&197}<4UM3B*Yb1GrFXe zu)qdX^Rl$MiQ%HIvvuj_Gbr?3vvH{zMFOb{!QK%xspCvT&KGI#8n97B!tp6PT^i`3 zq)qe>Q@SKE`PR;E(}pts#jJoY(l$OMUoqT0op7r7?;7uo+qA5{GoD|B!&CH4xa9N zn8CS$48sY&XsCFMU7Mw-!;s0natfY){T^a4Zp{&I$SEGdU2A219P76tXO2h_E%por z+jS4(b50WVLAE__4cTR(^6jUJW9uNeUPP0n3J!J44)#`-B!H&n&p%@&N(1$_lU| za~cf{YuI_HLU5CwsD#2E<~UWK{~x7(jsm32QuAuqBW+>Gz#94Usw^o8yi^)>-mz>R z6~TS6?RELNkX9B;Q33Eh|4TQf+N-2%Hmoe{2T~F|BPhI~kY5A@w|-E%Lik%>9H1~e zbA%F^ACX-mkF-^-<+yE_r%A~RTMPVmLc!L9;F3U|AgCEN7)K*fA}FF!E_a{5BdCWt zdJa{Sgi3iWn*F&ArY)N{Ye^13lheh_B)l&HHnMVJQ+k_yJgD0}OltF+H6>P`0n&vB zOEs`d!W4G?(tt?kEBdvFjRygV8tEI-?~UkD2WiPtkLJ8{1)%Px?gr=(7H&1~Ylt>E z|MurP?O;lv_rc(uM|FIE1mP^skRd>Kl;T_xR%^E>ghb~lGO6WB*Ml0czUKshUW|zmHBH6eOu1gk=_!3p` zvLy||ZrgN}U0cefqb4>^w^3x7`6F7w2*Th!Xy8QnK5l z!7(}iO~@54Jq^~J$xiI^V_+6Z>Qxy^&+={PR5i|rQ#>C-2<6tBl{EWtSaWfE;b6@kwb5_9c;t~)6M6T`r zP@zYhK$e(T;VuD5b@brPVQuG@>uG8!Hzrw$Hg!{_Sp_jU zYd=<5r}y)Ya*pAaA-Cz)b^)_!4D~felHZe7I6OtLV$Lz<4{WxpxR zUS~|8s)BvZF+dbfGZhxtTPOr8F;f>6gXP}Y_o8G5Nu=Lf!Cl3=A4*=om%eiQ!{$H)HzkVq zeCR|D33@#QN#{?7svRG7sAu$uCq}e7W^?=vPSVrMYx2u%p_gboSxlC-MgS{Mt_aPh z<@xrs{F6Pc#exnGFusd(*x7y2fixH!h}J3Vq$%OZ@?*H{5z}SbL`-sR>eR2>Hle{hK-hk z8K0Grk(QYqpP8M3mWc(Qm5GU#gB{R={x3r{{Qn$6;5TqEv$g_^At)<|e+F_>2M56J z0(8#K&a`^^)=snr*0dIObQFLgj{h=-@Yy&2CprI(k;6vM{$Gq7O-t()c9eHq{11M- zJ>l_7ZDKhv(`D&Dg1;Kujja(E+YzmDNxSEq=Brazyu6qMkNX?G<`h2_Fm>JrCG~7RTG`y5g%YD<(^z7#5+t-F9 zOaicSinLr9A!`~lOSbocjcKh8NBT<}3&}bY?XEMokF5*I_W9X19fX$BbtaY#O{_5N#7KHDCBvxCZ;&Y}&u@?_H>XK?xbkI6X{*zb zwrG8|c9o36-FajiGDh%&Sb|!Q~p?O5nrg()*@L;Z&*17e6$iC~jPe zHrcqeWH#a8i}Msy<4}#TH<&E!=?)lx z?R^S>XCqnNf$8WCnm?FZN}AW3W=HWJ460KZ`PSQX=QE{#x}Z5RKwO!<001ge~(j}$AbOf`7VR#@Db0D8TcSr$F>2oV91V{3e zA%nPeLKEwhbg_Q_*3>>EFvPEv4=+;eAqPs4@m|#nvV;30Yu>CQh@&5u37E@=-!^F} z_4#Ihzd*-mAKKG&j~&(>1V^&B#KTE7wwT)5LTnHGJ0pTP57V!UVRO{e!lf;|#Jp8K zr;7_xMQz&mFelg(mWnij@7h|Cjh?{jI=^dQeafitOUzSsAu$wn2F9MASNqaRym&Jy zM;fC{IE;;j#pjc3HiqcIG66&JNm*v}q-SEKAmPyjk*SmuK_Ob^InjZvZQ z)%668J7ur#$D9<7y+|fsf#DBBr@lX~b60Xg)1EdT55s6NUX+4tnkt(c{Lu4=#lxoB zNxG&eSn95>NeBUJjqa}Y#ixip9!H3XkCi27{UxP>@R)?w3K=YA)ZWrtl-ABWzg8aJ;f19)4(DqxA zGyS~P8Vv8jT4KQ`7*;(t#f(~IJoowz+UX6VV%Xu(8H^ZgE7 zyXE>S!Cx@LaIw)ghU<7PS}vJDa>t~p5Hwi$Bx$i!?K#Lja#;HZzSiL-kO1`XukTr{ z$f@v@OBUX;OM|SHJ0^%4LWaHyP{;%1X>!VXG2{{FlaPyKjSMh@QY>W^$WnO6L`BO% z&F!Ky1ShAzJDF%nL~YzpBAZ?4$8Wct*E~m0m|lW?^UmCtMRVd~DuXRyf9i5;R3eDE zuc#907GQbQN$+Dr;2##0Y|V89#F}UcwVgkszQ~Zn8wEvpHn9OiWqDX<`Cg!K`EfTu z(+L<&^7bs4LN{z(=rm~Cd#n9Gz-~zuZrX~% zprjp0(UPs~VE&spJJ;LNo0YSjGZGHOgufAr;P=6Y?yJ*B6_6}jBAZ%Xoo;9-7_Gs~ znU#Vgd*q;+{3KE{53{=P@6ECvOj1jU)7LvcN3{y##j^tWDM3it089cU=*Z$*wbDd| zKNS77ssQ}a2AP^{w)+Gfa{>i%KG#;RnttPZ!BZe~ZfOd^v#0?Ps^2wm&xc1^%>G85 zP?Yw*WRm`${U{QJL2knqN>H{!JPFOxe3}=Fg@nXXx7q1cU!#z>f*w^3jN#T4kZ5s|Uo5^1Wzh>GCR-Cd&r^};ANwR3VlDh_Ewa#f1 zMKlYA+th;gAU}a66uJ`e3-nuRG#+3Q&`ue@VjRc(bhxjF=lyhR*L*{un-BTa&*ejf z$}d~mgkwS3dcNgQU!T!4HEz_`QLlan`?a!d!30LlC~Aeu+jP8{-hhKQg@bIpb35Fv z2FX69W`|PPm%aVzVJt*ht+HKaRjN$1xqI}+ATHXvP%R3qE%5a2jLF@yL-03=V;b?= zfnS{s{Fz6dQ$is1R!Q!)xUhs#A5vQD^b1Fo6U z9)hjiEMSLN^WPEG=qgeKX>1ZsTKdhHd_5Q6iz<7M&ctkERci|QH;>w#j^U+ z+=$`D%CCwZgP5zdi`#-zng(HOjxi|7+wzbzJ*=lqQ)L&fhHJuQY_DyWCF{LJrRLQm zFRuf1<7H{yudbqHzNp!NKK~I>Qw}3v{OYi`$K9w4flqu776d+80SW3peVT%bQDo-C zj;dTL6mA{JHHh7ZJ5kd0bRw(10lMJw8wm_fny6&#iy_{uTn6|-U!rW$&vHmNaWy0Z z^t>V&gguP;U;S_oEX)@b&{zsjtw(QwZH6AW_#y)D#2KpE-qIW7YBk`8H|XX0-*=>_ zid^JQR;+FKBCC+izGC=v@Z)9$+Hw1F%M#l}bozGnWWJEGdl1V!nm75t5$LkdM7-M| z)iKl^L}#@{6OXh?8sZn1t~19!yfE>EkxTd=Z;^@-Q%3F`;RX!EzK9s7oDPchup)^! zmeJ(k+mgZZtRfd?o2jvsVx}F6=ioWOa%e62YLfRIL{Egb_+9Th7{aX8CBz8JF9dDee3ONi1z>Qq0L*W8fcecvOaDJHxc|mRSphjdq0N6lqkt0r zC(!68hWX!C%kfzsE1-6Ic6}-txV}Igf@naJRNkT+i1PB-y7)TfJ1^UK`t*AL^s1Kt1^w&z83&R_`aAylLmnCE)8icwMd+1B z`H%Q#J|N)0EFhrj$d45uK_Dmy2uKKUC`d?1XlN)HI5c=TSXej=6jVes985f1987F% zd}3NMd_rm>Y;1Bi3Tk=)6wHiA#=*nR$PIwg89xgFhK7cQgN6GB5C4sk0GojE|MBOe z3kVqs7#BnY6qpbQ1Q{3<8Tg|Q2(VBeFgQRGpThXZ2N(nt3>*Ry3K|9$kf9z42m}}u z6a)+u92^Y5F0cn69S95=9EE^^9|Bcg50cOpjnOAM8;VGvx*J{L&m}RFzMU^L%$Kj< zFfd6-$;c@vnORub*f}@_g@i>!#l$5Pm6TOf0k^b)p^>qPshPRGgQJtPi>sTTe?VYR za7buOY+QUoVp4KSPHtX)L19sGNlk5CeM4hYb4yQeU;n`1(D2Cg%lMjt3Nf#~e&ZfGJVg-djOyFV~rh?%!Yu0C1&i?jcGjQRdAarQ69{)4Y& zAUME{34#oY48#ZY@JycL4fAh99x-riR~C3q_KR|-Ywib7M*uH}L7XuM`d~@g6zE}2 zC=mJoPXct;xPjKtf-@E{ufkOwZl^}O7h58*{6lJJK8P;dn>0PKrjZg3Z&JZqGCv8w zz^~$dwN*qn4Z&)dVS8L#(yPOs0?BIxl90qzdokMxi}a2;63H3@uXvZia=jnOFqGG% z(!$#58d|*sJwtiV&czmy(uR0{g@!MY$*NJ54Jv!2e%a^V4J_LEno*Uh%x8<}9Y3R|KZWPs>>$>c`pxhnA5K3x ztA1shXjdT|Y5Nt$^rYWTxx7pzX?nIL7cG*lEczW%!dcoBMTT}PNUrIHrIh|<&_^p4 zyPC9BJXcfz8>HiIFJ&-TN~14YZ6F+^p$m(Q*paa9RRyMjP&G&}rM02#*q{O4q>1*x zx$7S7qMAq?UtLVCYj{A$0`EoPcvy6q#v~XyM$QT^U#n(b*AX7JZ+vL?=E=D2X=_hr zeHt!+yk^$IA*&9qpMOAU_K*2Z)(XBl-#Ye8PDdcSfVUkmd)LW{dR_uY*;{G8iHx9i zqOk+3Tg<8iGWw3ejD?{}$(lqG7~~tg!C^wHe1YDmLwS1EU8OZNXKX>fqLVdNeKF&@ zi08Z9OK7sTmXR3Ia#0*kafc29KhdE@UMZ%MGD(UNjv%7#xLT+~l6zss<`kjEzkM?Q zyQlVlJq;-eLfT;L-D8;JiNAE=#?|HwM>8}R1ousrGzo>P?8_MeWt)w96(8pT&FTA6 zQ6?izGlwH%Bhj1~_kyV7Pb)dHltZqwLB6Dt7UMYP`}mstv=1PtLD1)Urm(m~gNnEv zD7M)@!cab<-{~o46;MNG_l>`X)*Iv<)<|OkGvS16#BN+yvR`JT-=As867G|G8f5t2 ze*j_D?v!i32&%LGi7{v#@#?A_*9?88gEhTxSdldUTE<-uB}?oxgnbF`IHMKSX&)-P ztlTJM@mQj*08ipoJ*IzDAoLW6i--+6;O2=@pkW4aA`x=8h|d-Z?G(26?K#psw}dj5 zXTH>3x;)3Xw9ypx-iRoJDWb5r*j=!+y)$SFt1Dwm2^+W1&TF!qxZ>1|i+n9@t|Qy| zE&tvE8gImvbs#eELMMe8I|n^+ziTa8E2wvkqOi?Fc;UeoB;@_!1E{2(9)T(rJusI; zGcEn}YbAa19)hKAA&0!DNt#?Z20#=VXR75!2q2(c}`SM4Yz2Cm*L^fYB8?v zH>u~0_%gs=Z(c|&KK8}1S~dQVt6I+Y*!UocnEi7;?Ak4MbF3a$TuR( zom$z9UH`;wH%G6SGMU<#2~1P>?^OFdIs9ZC)aPK)lg+Sn1#2e*66E2&5Q;{ea#P)-Od{}5*sSHTf!@+q?a%=v}C(n=%s3=iZK;*ueDlq+<~g+D4HmkOgP z0ObHci2n@%7~XJo_&)46=rv%yiB!PI^(7TASU1M8D|1&pW&r;n^Rom6oCbLwGjL}4 zv3UNG{TeH@@Do3etey^rqs_xtMrk6HiT;r11?wwR^&2aLnVeXPZ(~`wWp1Fi@AaeV zhn3F8v>M0D;Kr0;Oz5tz>ItMa9`6y5au^dv6^SSKMuhHo=l$K^k`n z(m-(6B)By05-dp5xVwkoPH+kC1a}DT?(XjH)8D+h@4Y+syZ61CnYuMoHGiBx&gs*q zrOw%V|JGW2ZAGc}{n+Fv#jsSh+RsvYn~A;|THz7e`(PtqRjZtN%xjBpiuJKWaT)Du z%WP)x+|PcYVrWiY$!mudb0YsKVZbT7ctvijy;mR|5unfzdyL0J=4fG- z2rpElw~Wr_mTs}bLWi$3E(QQfs7_H$a_pCFZ5!!q^7J1u9J`)&>1z6Q=XKqoT@E1c z372oHzP;qfP@)+6vDRf=#YD#mQ+o-L-FjOH?L#bRDKwGvCvnUgiZr+i++7P zv{dt=xY{;7e&8QAu*WP=fjk(}0cSO;oB2ghnCR3;xBq6-$)rSg;P3?sB0ur3qzESkp*xq?L z&fU?fOgp;Me{3^L=YR$e)PJWcbN7m$)Qj^>D@nd$58ZJxRr~!WwYB<3w2v!CnnO}SGD-o-j#*j6yXfSw~V1Ybz$ynNYmb5C`O)}!;&2$KLw}vaKI1Z zEu*HUmej1=19e`53LT+(=6)xxIrl2)t$t0X!EOyfBZ5NgL#rIAPRNln&4arm+oL4i zjtYT^ti8c$tMoG(`yU9PN2e3~kQgps;?-uOMRH?|ArJEew&vE?RO@Q^wi z$+$l~d4xobl&d4n_T=ct0Fx%VAQ>$>KBlaE34$sAIZZS}IBlDnrR)WpmI6+alC z5Uiq#OzIFwjcBpGQ_tDgvLsZ?KufC^()j{!+b^&cdpAq89%|-DhNpLk%gUklUZB2D zAuGiU_BS@2%w6XPr&uSf%hQ8G1kFnam0J%sC$bX2%pbkwR7{_|3l--$IPlI7Y>=6gIl*INo?h87ErM3m&x zH>7OnU}2b;LZOGr^k5Oaoh#+D87?~;YX@pJ)r_^htjO`p zRL+)Air@VR#F4)+EC72B$KRhUr1BxSH|ILCx$EwxrsD7gya4~c(&CiheSY~Ep=>+IgWidjoID|MxlkmLT~s47n>V~7IgkY zY%KpP;KzbQtjWhLMx&vqZ%2uw%iGolz)N-aV-t=tLtR6H8R5ts6>hc zeY!PzAfpBMX`@P*+px@}dQP=3Uz_ltbNdaD6?J~KCuh$MDzK<3F8AL=Aogo7^J)RL zwoEqnTxQ*ykd?|9*isMlGn(k~2soDP8>aEv$qR)g;;!T*e0a-5895NaNH-wPQsll- z`l*ywRG!vin%mi~hqu4vao=u(#p_hRRL6Oqi1!G@q?(`lNogk;UP8(rF3(^#uvFSu zG5EI%?Di6hLc~!N`A^x5^`v%}0>~WQW&&YDu2I{4+`m_S`z*gX-H?ZH{)wQ_4E{}Y zF#N|@Bhbo_K^+Z%(FKrxJ@_e}Bf8fK-!&#c<-WFw_)T{z8piAYWi70Qb8ytJ92 zm7S1Wt$yAMubmshmKt4O(XrgCV82Qm@=GNwvGK%+r$I_6sG3zYZ;nwBj`%|!j%gr3 zJX>NlME+2atNf#VKWEx-mUN;GWjkIx#=GYu#yiwW+I!vtx{U&^&o!p7cL5?9l z8<0RkvO&7$u!Gw9TLTr69~|uoA#^!#VmJ!_b^~f&6X7pWt!Nh~&;fH5WYB+?>st^> zS}gR-2fXnXV~Sm-3@sb6ik8wb{#^6ddUKswi2l$)JQ_X2xZHJ^nWXwUYc~ zcsu;q!}w6SVN19Sujag!`M4O)(BF`O+3U6=5T*^IRXMeN4P~8dj18DJJAyAc(?c6a z53%N)@um!|yICo>#MGX>KfD0LJ_Zrs5T{O)&a=lta=pC3oO3>esRG=Dk5nDV1LTN98ti?;vCU@LZ7laf}VJ<^R?*n zamHHD&uvoiyaKefGOFoE{i}0cZgQGm0gK$QrTjGswUKxwtUERG$w2`*>J{)eXv%xf zqvaLQ{{0oOS?&F=_iK)-bVRMP@{@Udc@XEAz4@X(h>P}dz-_FaWz~>9kbUw#BWSxj zCi_==?y6twSOkXOj}l3@xx)K(o@HVX!lE8p!7E_w-u)HeGyDqZg@C?HUVHy|1rRul zV)T~F6@2l0leA!V9#7M%fWjrTwnche%%LdRYmp!r zWCmwe&LzZgE;-p7f|ig713E@i{LyzG6+*p6*?AXF>wx=!AcgiV%qZBI*~P0VmHA64 zWS@==KeGH3WzZ)!MX=3q15}FHCwqrNPXyiy$k++wM$G3nL^ewL`~Vkx6BC7Q%AoOs zC#lZGbB&COSH70bw8t-KN3lpzk+8XsA*+fR>EG3fOk7Jk3?6GOpzH@@wx3=SUp;tn zR{fJ0rozp3uTV+iu7KpZmG0Rd<~e<+)Kd=xR)5`IEGC{Vt;a!?9-c1qNHGkVbKX2F zr9=lMO^5x_+1@l!Z0{=*a8!RUzbuvV2h{O4iR2cuuHsNBtI>z*3k5|#0K?kCk3aFJ zh$;a*AiA$uL7y@>>Zx9sT|HE8a#EX}#wcy}s-ea`l27WF!?Ulr>D)JlH&6Bi>5*{UnSQH*JeE#*M&F4SAv*hzX*};lR~>1 zklFgx2W`gFZDu2N^Xvv(V*(d*yRXBRy>D#?jaLF?EIP9A`}gT4-C$| z!dmIE|L&T*oIg=y@)L(JuD;F!b)A&G)A&Fq6MvV~+5SJU>6$d{I zF~9rL3tvIm!WV`i{p0iP)FJF?vnvxbF}>xwtu59~A5|V~7D$M#EoacvMB%Dn9pWgK zq6BOiRJbrAoupSEGn?g2aHi-Yt<3MpkmKGAAceY)E*mVL$42X=`S8Js033iI42Xrf zz_L{TMGLe^ZDMgAl&-oid3@p_YFK-@uNh8Y8Zt8S)k%p(?eh{@Popq0b2y~PqLxKl zyQ5ITk)EL<&;a)|5t+<+Ox*-_NpU3mkOGD6%5)I>&C|f}$C%YBYh54=X7f{=V!D<} zL(eD>waE1NtF6ooe!i*CnLNIhG`26W;JTHT7@(|S1q$mPCy!;<3B=T9y#mM=%O5sg z0e!P@405l4_s*TS3hkHA5g`8j2+l#aj}vnwBW#cfy5kVQn!_vLgNDm!&x6TI@Tsk7 z{^EcYvp}t?x(6WDcNCd(RSAGBg2)7LMLvG_3ZTr3egzoNz=95Gi?YlsAWAIp*#`WK zpdSA+=5ePjp>yH*j~5AB)MfD}EPHccZ^;Y+TxJjxjQ%v%_VdUhya!w@M%bP<3FkVt zzJ&yxO?kN*wi>z8v^6ZAjo2P*C*nHQg%sOEQP<@~;^Du&aI(OuF!%u0;1(Kbb){qS zp-^k!|1f5gLZ7R*~HHref?_Svpkb-4e1Rp(_N}#>C*?i3LZF zzNKF6>fLZLo+PB3^+WM=SSSS0`O?w)3dqXWgpo~5-gm=L%6KuQp)FKgOXJ6L`D`D7 z#@nw`uYh%q#7^44aHYJQW zHX~YsCrH|)*T+3|vsUPMO;nkt6`V-xV(b3X9>HESA*3s!-ULp}B_OWNg4kQFcoWjQOZGpot-Hr#lIU_X`8PH8FV{Ih$_8U1P z$$VWy&#@DhHcdXr@(v)5KD;g)D&W-8MsaPu0$sxcy9NHNc18=NMHn43jN+U9?a8N6 zZ_x}gBZLmU0{GM6h?#T~ML*l)1bPM!n-1sd_IOVx!275tZ^sjh(K-`_OngayJ6LtR z+R&$fj;Qu+d8xf+jedyh-6oyYfe7pD~R3K7|e^RQ(rbyj1G?DG_(f>wAGipTJ`Hk&w#n zdC@If(sycfJ~-`K7SHr~mB?Qg=D}6}f7X2^V61)hhun}*G`mwNm!D4V#^TJZV<*TV ziv_z%Hx~?HRTS_SnHaohF_6_WzrhWeRqR#0L9R5$P=v}JEN-}pcHi{neamWV0*UoQ zU8}+FTkblrMdHVio3~F5?-aV(GBMMWN{3OtPBFIPNn|gHU_EKbybE>9NDXT5<2m7< z;S5L@ZX7FhpgB9P4J))vn9v{fiUlfU$xzasyBTlza9Vmu2$hz(7@Cn}S4}z0ml^v< zHVZU+X@@&;4lLeT$#Hj)k~|WB-CuwP%nWS@i3;hh@TDFLg0fpG>!zULEfeftC8uDb+cKCz8#T$ohOEpCM z2!EdA)bG(!y{TGnDCOA1tY3-TmhM*iR`M=9cngVG0hxWR)bL=lE8N6-Bln!fU(0n; z9w@^sFk8I8NeC?v%mQ!G z!ISBpLu*4FYO|5+GvLxxecocoX6Rc?Ph5jMwf^!C8ai?uIqljRCj-T zJLC9^&S3?o5T0N+?A!ajX7(JZ?7c%MJ4p7#IM#d(I|6wP(LtUgo_gbXGaakwfRiSx zl=>$sm^uvj0r$Hg063`Sa?!J^sBLe}Xww9hnhV2nGj;+_=q)lG2X+@@;hH70NqiFr zjT}8;7L!O(Hb_p)6XT{zqOS=e>twjm^~8oX%&Enz%u)(6H*1NI-DCpDn#|Z=0bYUb za;_J5c*b2iKUzgH=jC zB%Sin^Jv8gcbW!-N+%i}JUT9NZLqJG4fqN#05_G~RW*L1+}#*a=`hYFh3a%uR3bx( znlK_kV6-TR2>nxBsHQN`iGUd;2KaI_sDFR|^LmuWI1p&QaMqzR(1tFi11Lew20G+D;#;+cN!zf zTnt%@&ABTfgi9EO2WMKN#C!oi@x|5pRFP3`#muyygdpDN-8bHUwC+L{HXy;Dvh+$O znu;3Q8FMx0kXpb$ZLxGL64@LRz-(`&tfB^Dlc&++G??Hn`75$Vd^ z)X0Id!xhpQ?>aoav*F`vyyLfi8z|i$i2@Mf`}I_Vz*Ln5t1{_?OCn^}CzCLtVLl#iIe$6(WZ!)d zQ?kfAj`{=BFFF;9{bxx2c?YVHbYsO&eBTJOtzZwlrK1u#bdq)AoOEI78Gtu9`{(T|lNk^Sf{=PgD0u!|@FJKdH zX84j4riF}|$tysUu+~$I3#74zAl@X(+s$i*`9mv;Mex9t$_rxg2Ab20kMr=^#v+Jw z6d$N`pwB>xF|_o)LSD{9Z*WJ}_EzG}uOItkuD9eFjj73d7U?P0TUur7m)sxAl)n9Y zM2326TlFNA^x$R(Ezg% zJ;;w+E9071*{Gdc+c+981Pq$#1-C@GcEE=WUX*x{Cfihd18etgG`^xI0Uw{*IdD$+8pyBDIEytH_Bg;14b=3F~G*MTrD|;0QPFgh+Ir1Q#%VF32GBa zbZSx}{6TEOsF5R~V8XlaF9RnRvpRp07lyy+NXPh9!jDxRYiLFIqW$PZ6SXD}(cUy= zyp-H~cd3JGiGAWN9jR4S>%Wc~L}X^frrFVE2-g&Mcql?bnh5&=5Op%sNzNvs!br)D zUs&Gn+X@c}twJrVYWU}v$jN%y1A%>ak7DB+XJX5DHFdSEH32f_0+P65zl^?5@W=W_ zy2(KJ5^97a0hmPVSuBXd`r&Z7{`LzZ>TF_DsGU-Tq)uD zwVcqX^xe<(!mE19x`t-+jV4JEMQTdQ_6pK4Jmgj2oZfOMkrA(-s}H%QAKUT$dqAb6 zq$*<<07*))_~W9!OvDY4y*Q_(Kh0i(!TOr7Ei`tNSHNZ_D z&u9z4(!7;soHMlHm}6OK=3oQky0VkpuuW^aTQMxiXQ?`2{NgL% z74T=abl$r+uxKB;Y+IICF{ffx^h|u<_hHv_H{DEAew3na zVC5{XM|+=1Bzh%iC%Bg{?uc!>2Ly?yNb7>7vO_>r3NY>%J`M)AgsyLvrcszQyVqnK z?Ly;n;dk*@@T@nJ4-SV;4Q87^j23ITgiJh2*H3*Bg3VJbCgT#xq4xdxo#1p|FJY^Q zogv{H8xCpf6rcw2cu5VHeMMg53j&lXUa5tSwc?GP=wY>uHw8>p7 zHYCqmyo@bLTSPP8mXBbR zG9m9p9#ujC?oap#vV~k)e~>Huvf(sk%{iK*8aZtFsKq(yl(IyNuKUsMljE@rzx_ssu5Ve{0OaCYcA ziG6<8Rpj~X_73i6xP6|_J6ZD)Ra|zTz-Zdvmwl&_YIL2C?PDKluL!d5gKv{I7gm;i zd;0P3+ujwg-ufb29DMS4!Cpn#q^`(j8}NItrnvwRez7T8g^Z`uY>!v-crow#HDgJo2LKkTiWTQ29jc>Gs0OP%A|nF@>6BGPi`4 zzZkMO*{oV>{>R#1F-EMNRJDVRmel7$_zftH_A-a&b6Az>gfD4Yo`+IH_&6sDW)&Gn z1(z#~X}x)pb0XC562y9*plOxdHu5E&|MGCIhfYIo1B;(R;vc$9qA`<17~b{?C1tmJ zenEoq`#(`(e$ZQOZ>^3?uQa#fl9;JekX=Urij$X|2V@Jjn_Kec8pg9qt{-BbBz!e* z6rS^rRj$Zb^OlAtYIlSKA?9i?sOy7$F_fKv!XCA&YAP~=Uh{>Pm`BScmADcEK1#nP;bgj2>K8CP5oF7bl0-8P1-(37T(q&1lDr&yd|}kp9G49OiRiiBTEv8WiWf-9E(7`zhqImLR|}V z2yz!^%>)2KevJ(MP$%wlti72r!LFYKF*~X}GPX|?EgCSKw^RgCWtwA9&Q!L3vOF7W zQ9gxQc9UxEM(Elq1h7+0hM-}_y>q8;;TAXsBgk|=?+qD(Px1k9y)%tke=?DoQ>cR!tf;LSW`jCqH<9hX13cDw>~U?gAE z%NW`D=6c)1i}e<0w$yub1mj_+ZNP`6X7%mjp}OI*72%3!mQEllF?y=o<4kRQo@kEw z`TXKm_Ev&b30TRZ>sIZih;YLtOUVW`0+05*5DwuDy6B#mb|E(q_ln{(gS?alm&Sxy zIz0{>U;Hh?~8Frd0@CCi?f;by%J{x-LI< zamc}IHHhMuJ}TNRX24~@s0U7}<2i1WW11&d(<+`_v6@}#F=gw}jG2o%EtDveyzBM2 z)Xjxe`>Lng^*_K32@}BNZOZQK*ZP-smfYfI%iJyWgXZ6Oll|rcAgX^~_4p>vY zc!m|GJy6zLtkrbEij%nRH2^ENdbM7;0rZ(C(ZZ`!Y|WKBzE4=}Z%gG0>*KIr%FPA~ zZxJx>l+4p9gLKK{8fIv!C#^sIMo*X+f%jMnBW6vI=)+syL&r)-*Ap-A#VN z#`9T1Hj^K`0s38(NSK#l?g%U$elFq0CKkhqWoUW@G`{P9Vp#rHtCfFL%)pECu0?y~ z$eKW^(;*!%a>9qAR{Oho3#KRQoljE7O5&vVAXud_{Z;ltEPi9TCU}=BxjH#6S29}l za_JhUx6W{vp&jG&J*r-+GC{Ug*58*aJGe~&cTe-7az0C6)EI6t5vgALtD;126XO7F z@q=~Nk0-kexsyz%wA}QjO)z3>m+CTG#_gD)?umAZCmXWmF$s z+hgR~Jwp8>+rwh7f&S)|rV`QigcbLmVpcGwuKLz^p_5U~&Z8*eF%^ARCN!sUJa8pEBW|RqO&Repu{;k?BD3vqA`P+dfXDhrre zP`lXn#5*g&8h~TCo^AE;oMzdo?(N&FCrb8%>51Fko&W+OS8{$2P8h0Rk(d>DoRxrV zFcCiN@IwUUXEx07>~h$Ud2V~bJ=IC7Q^f@)R4}x{qNO&)==;%4t=bvCqgl2p?FG;R z{nPT?ZsE^-EwUDHlD^8-bX{56{D=#`=0xo0s!FKzu+>vPULSiw>__qT#nhe3s~{-h z3)E^~b_UI!1NE-I`s0^NxaY~GXHcyik#vNufSXCOY-zn@1>HAK`-mE2Z4nBWub9CZ z{ITCUP0J)arrhZ@b&nhb9BFv#yBDmrpH8jYp00RX6ccsN^-^-hzbt4JOfe6n7V>t{ z-$lXrLjY+Fa#-7z!I|@OAo%5{+n&QS>8Nb-fwsmC=j4yrNgo^lKLGhnsf;zkDfS?$ zoq${`mm|V&BLdPNz=rK6{V&0MGa2jN!ET+9SP2u{d>jmOSKdW}x4Bw^yFQ}3q*tf- zhmG+)@wrb(M1vYuhJs3Fo#k5=JXfWBMC_)( zz!InY5|sA=!e_*WzQk<-`7eK`KAw%_y;*G%?BX`|?!AbOnSZ%E5#+Pg)jeJG8uDuY zhP`DpifqMywUfq~ThkQ<)43@%GqrwnKvir}N&m60tbim|agMiO^r&p^CGO=-Z@e67 znW`#GxCE&%qwx?npjnrP$3#od-?zm_1JxNxL4vHUc z6@}x9G=liS!}Tw`TeF2KhHt+f^ZY~@%F+_~Z3~}CTgD&_I3JD7@l5FiEv?2rFu6UE zou86D`roTZJbA(NF6-V8&)&}oEg=|pw~@~sPX+KpPqw=-FiVDZfqmN*vSpgLWFP4{ z{|qWU6J8KrCyX3jt{SqYb-v~IA{Y@Yc5~Nu&qc9CPzKl`1QU^^Ny6HdSdc|P*^c*x z3{G=@aa=8USM6$ZVV5*neolN55=gvgTj_xHGzjOTL>}|&{|S23Pv&|BxI4Ly9%l5k z6lKZCnC+TRtk5L2Uikuy?yj@Ly}u{doB#_R#nX-rEzWgmcc1gF)dba6BOkA_TZ)>s zJMXO*z&e7qaJ!RRTD#nWdH(IgI10#-7H)Zw1ulO;EyxNp6pkBwwkyMu9dY2c zW^0{o@A5X=3sKUzm0Jm;zX_?iW}0f)Ia_g?0DKd8l*lrk@^8rGSggk~ z|5iH=?73T9U;Yu6&YwgZvv5QAR2?tAUdP)(a!-3%HV^_hW71zI`^oq%IujzN1l%i- zmh@huHo7juRv7#Ehl%7Q&Dn%9^4^?4QC~+zd9l+G<%@t6BeX-E04c5g?zlRS{8vtd zyr7Lm`FvjBPl`!${}T-Tzpd$0FTgu>g{ASi=NKg(_wV$PompjMN_VWqi`!SjS}VsA zLb_ewxgLy-$tKd;&--SLKyazVYY}Kt-uBN~9#%r5#3(*oUs-;y0w*lI@TRM4sT9Y{ zz7h2PD$s-_(hrM0Ln|sp_C5Ft2r!eJT7MfYF~$i?jXLA2!^p#9O($o@KiSg5+t@(_ z`=?5y<_!LEv?RTh#a%C72Wb-`D|R7a{?|CD70jE|F+Y&AfDD5~e!w1241yx80h0uY z1w41`Y6sH4Nw^4^(HY*-2oPizQR@1Bs*sv>!S_&at=h_9p!-kOHe!Zr>S?)@V<$ge z<!5JHk>A0%YX?~@Xh zl^uSFO8nQwS1PFgEbaWuvF&C>M~B24uC@gwb0@Z90_JgX=kvh+b^B2*t4!-FLEMqc zM>WXY@hN70w9jCBuQ@U?u`%(ZT0{eLS-O^n+08BvM7Qo6G*g4h-iwD z6Cs4G7H{4f+e!l%^u`}1sNYJ7tvh@Lpt|Gaza8^WD@iY2h8DvL6jy%dNyrC_#^vR< z&|_Tsx09J>>y3KdxoFid`1+3rF}KPs(NADU#KGK_us3htTww(=9$#;ZNf@jTi#3yZ zLcOpbmA0El@IMgPR%P71E#^uldGbPP`jZ5#;jfO~h6_TCToe>6^1QvAeSxcT(a`*u zA}2Ae%l&CszX~Lid$?fri&F;tVOdu|(RI|?sYtP%fQtML|4hum1-N8ii7_mp4j02_ z{1vcDZMvVFsow2Y8nPc_Ub~>$Bu<&Uw)`%&jK#?Z=^bfJfkRNF_GCKUkGay4m8Gq# zkufA8zf|Q%?%K4Wg8?EnTf5uZ?S%!4>Xw@W<2fxzI(3zt=JjB>5XiT6Wno_ZeMV<< zbbl!rw$f)Z~YqTbtEAWML+)r^D@d4h~opcqo0&NbiaOS>r2(i>K8N`ykUZz z&MX|aaVs^=ACl6Hm0cG+eJM1zt&SOmkQ6GQQrP&MD9@T(-vPhxD;}!pqgr{$asRyL zA1n?BjcDxB|G^_C84N@gY$z8??^phs&@=s}ppNyczmJd(oX(IJ*_8S^;T{t3Wt{L^ z-NkK~^t;A^q1$@jqwasiWZ)+OKS=%sTlJ&H52lfp9dszvF_xg`l=zApE+nH+C6PuI0a zn9ywEI-FhgT=zM*8jWTvS}WD>8vuq z)4@gg&&^uvz@}N=#VVf1CzN}yKQbYKOrmr+xc!`)mvU7|iU5H1hW?Ev$RZI`d~R{M zizXmSIz|GV3(zQPj8l)@pA<8&Nw}4-k1&<%;N9A6BPdc^!5=R%5;n1;a55>d4Oi?#e8;?#aw$klW?IUW>Z{G-meBvBFKV#sLLJ5 z(NLX+X;8mmPCRgc?z9U}76qK-QBsM^zUE!$izt>ev+So7!|ta-{EGN1yIF5?ZkS?1drt6dfP7%7y5pq@1E0#;8{j#z-Ghj7~N$a=w$ zT?{w6&vCzLxq$gfY>||LO3m7Te|sO}ZEzu`F!jADMdRN%i7$K|*9C9F? zgsK0Kv9~M|GO#&LYYr}TI_;qjgH|}ywt=k2_#|N3SlvPjQEDG#>g3+03EKK|tE6I3 z4|z>pI<1oYhE5)959>wxb;SUIbOoYh2ZCef`?iHf=ciY|0xSq@!=!DF*F_e5(C)(M zXe&zNODaoXUymrsLE8;__$xpp1>*?`d{&C6Py`ftj|71C6(L583^^(BBG&1*e_Xbf z|2|2;Kj?toH`;ns?Da7L&0A^Az`rwD{HN;%(XtT2qhs%a8jU&??T&YIZ)~KjYDzi$ zsBqWYnwrLNhd+b%g5~__+c-tSvftnjr{3#+5jhu&Xmc-gft3fc8Z~c9?X3&Cwy1pT z+;9$TBQS^+5FRqZ$_-j0<;R8Uw|DDQuuSEBx=7)@dOQ+D5T8Sx$qW$r!_MhRwXPz zIX!w*jfmj=iGBQpOI0EC8&Oy(K@wEa`Z^Y^7-uha^#_D<)g#Nsi#4x+)7*|{i2sbx zyZNExkKu(>1W23LLRJSEZl=ZA13GQFCjRqcR)dayDD&_?X0Brqxbmd zlvlu;{NFFY#IDf?I%V%Ql`FVo)r}YU`xn8xj>=m#7`-2jqwM|vzP@%Fx);%*5av1a z?-GlLX1;*BDE#@U2D@&5yx(;2Zhom41xx4e`{M9@0@5*i{+l#Q#?zXbseTtMuV z_p5^1M5Hk9zc2@&f7Wp3bU3p-n}2^kkjsS#{oGkqPl$BHea2XhDzIR~8DK^YN z;kU+@Y8s)nhBKF5h3C&MeM#4}_Ght9F`CGemj~){lqx&2f*PcR6T6D^MOStQUcUsX zQ$v6msZ&8VVXdFb(ti|WcH8aoEi`|LQAVx4<<6D8A-c^Q~6ElRZfN)cPpVa<8 zzKvX$zMz+O+H}EOwrJ2STiv`fiXxn!0du`uLmW}yn!V}0NF>n^63i4%Te{C`A<9am zb5TN;gG@cX28w@xb30YO_Sz;gTy}>+C}x5&aZ2#@W=ln?Gi^u+G)lL4_@#=k_)lcV zNd6I0%mbMlBFZ8P{CncYHy22{jaiS1+tgfZG<`Leq~d1yGETAj-Dkd5>nu&`YHVlZ z+EI3aOI)&>4L9?g&tY(#R{M4<2lz)GNJ}D(q`oaN+syMMdF?p$ToAXf`GFnQ5zn#L zv$Dz`2KAXkgS1!w>N;)4SJ3z$n_%y6TCT}(dpbKJ*o+X>?&1{oTri60KT$m` z$#?ts%)DrNd6RQ-^q0CSh(JC+6yB(O+LK@%cu@+2e-ENKI6_-9Le-a6wDXP49bC69 z`qsfshk)VKOB;S=LM=uARY}HPByO(MHEV>*i6QxY5mupNrs+?%qv|vy>~G>yTQ=61 zE!}4vDOSqiNHfuW;HqsLRsM`M`4`%&v4ZV+q-i0|FX9&lKFrNa;h%c1p54tdm@4J)tiNF+ z+CHM2n^q9SMoq5au}fM9xAgK{Oz0T@{bb@2l{e3O4Aiwd3(gDGeyR_ zbs>ChQxhU_Hi=PMgrVbCRZ2}q?XB=U-aQ9YI~H;|;~f#jWxPnLVKFgvV28e+*8$%` zhu^=3_(@0Z5u5vnQI<+ajpKwe-N6w%SApV%)D&XB3ijMO1T^aZl-9#N=YChT^_w)9 zfmC39i4sHQn!uUo!F199J7V%Pv41C%Yw3cFad3TKzVS=jkKp(aN|uZIHtIAko21%aykA(;!?(*h zH=aGS4K2i7@SSnFS+De<_w>~hFLp?dAywAIPGud7tQ<)q#`VhcI&c?deEJe8oz>dDW{zmjGIC@)MFjNzEVUUv>G;rMM&ZjMpfb{E<0$u%NtU2}8zd z%j5%ZJe8wHk}(nhW*KPjqVfX<`HqJDzxbNi~qpoOp%7B5Q1C9&5~0l7Ym$igpH0aie}G zkS}ts&>Twz;i93fu? z-&<^S@w^=lHb)XKM;0f#-WTCZUIgubub%qH9G`!wr~W_PzBo8}|8KW1cAo!5&#MRGt9s6F#jL(FFefuYNGYOrui4( z1a9#En1B6OQ?vi=&A;wn{+C;if!fX_{>(I*BGY3qNn{ z-}Za{^X2vb=y^zOK_0@68=hXX($!=F!_WLxS_?+RTq8HTvnqU(Pbz4=`$F3zsCKw4RNfPK_d6+(BjJJhe_$%3H; zxNMQR@%r;kKCyI^;HZxE2U+swy*j=38GAQZR`QArs0N$nRAxJIki~t4!Z_cCnLrmvve+!G)du7&$?5U@@h|ZmCuO}j%gDa_s4JAE^*+_ zp}=eT-)D&(jX~fWg<7MP`2nvqQ2dk-z}zmz;aO`3e%?f3^Qt2g1CZUKtayjEp>0_c zza6*{;loem^%cexNhsdAZ!9yV9PaFhM3_(JhzS>^Il5oL_qy zc0xh<**!ejms8~88>;t`@kOkd#$|X#Q?M@MYB9oJ8rH25tbS4xKo0oC%(;8bE zE=Koz_jRGLW;NWbN8!Dg6R5CiOP$g9cNEIVK6ss67)B)gL9~8ee_oev?;Ra@SO2S0 zgc+;$nqELSAxglq@ z3i%&?e|k!U6-CG}$Mv-j$WWgs3gLJ5oW^6H6fhWH;s*}M-~@PWV@=%M&3ZE#so`OF z$Ynh6h%n>mxui2;(h&lRo;N`w@}>mEOtk`C24!i7#&eS-&b6b-pLsLU*+Lpzb1ewn zq0N8leIh2r)e8Ry9(rKIIvJYIU3r(&f^Hk!B3pk1mFUzMa)AftnLLaZ4k)@u>K@R{ zI&s5$;(LF~P?$frXzu3O5}0bhbUY?O7%8g8E`pJhGyKsEZVFyX0te9WJ4Bi%6In?~ zQ3P{oBw^+POhG}VG#n|aXP?_E9PHRsDy@+fhs0BtV3;&2!aDkA|PSM=@lR~QNkR--uQ8DRfh%EhD;L}{Abybx3MCEREw9nuB&r4-LICSskT9P zOy>*TE5J}>b)brg+487#NZ7D3h|=y$`LDKLv>^_{b4p7k<0y(Y`3yFgz7Mt{=u-j` zdFHYAcz6UUFRnegzr0il?|#eiun`5rz0gCKC3Zvlpzm*`&#@12qnMtsaKDG8@|l*g zcjQiA7uRRI9up}@{e(ZN(nbP%**=@W7uPk_H3dsS1?;k3s4YV>IEVHVZyvnDIGN=6 z-FeG|zH7{y+b(WjmyB)>K0I{GZEp*%g;J6OHtc*wM6#sLSQSOQIXEmGs{061 zti*$G>fyIkPx@R+;B;MUtDmP=I55jI5W%go@mV2sH=dW18)!XFvTz0#Vsl5L5-nB`*y#%7 zk)T$Qanmmh7S+mieDe6}Paa!A%hvg0)U}t$j1*5!)du08BkKQn1ki7|^W6+|o1j3j zz3fel24dt?U&$i5QU-Q+YRtTVhdT)1OSk+MLuX5ruw^jr8`IvnXXY1`s>*zuR~3aN z`iJE9_xFk39v2n+P8auOBEWEQ;!Ya5GD!;HW(Mhg!7ZPw}J9Xy*?bfzfcs+ZT0gzy5T!m^?IVFj+g0QPv$b*Xp$u>@(Z zjBe~f?z_epk&i=<)Zbjm z?Z=rZ6TKF1&qs^!9|PlnWDwt|U7OmVxSRH4^fY$fd+gREDt>-03CcN0zHI}HhCCKh zY>;RDraY7c5c{P-7<+X;O199;4E&+WRLlP6FyVHuVXsO)l$0(`9*?~6C7zIh4sA7> zpawW1n1e+nyR_Z?cz>6l$;pu1|9&#(sqpyXK~E35cWbEsSK>9Yoc;%rM}BH2lEI^P3yj2rEU*>a+mRiWsM zETUV=nW>f7&;WH^!;(=-2IVEgw_ZaOf?5S=Tf}@x&nSg25~gWISu|!8mp(|5+FO=?h;hJ*k#j zR}MNFR?{c6Gql0HDPCVp-@|$)cAC(w_g87#(Hy&D-UG%qaEOtQc2?qPor+639L({5 z>=OVIbVyd!w=Bkx@slbG@Kdvi#?1SlQtniEzPBCv$83 zlr2yE{;$n^`t2hdsbBR;GkWhQKMi7e*!5((alW8#OHgNQs^Azvb$S|>G$|BmR(XR0 z%i?eONTT^NVsLv>1++b;R*VvAh+;5J<1Q&Zh&okQ4H@#wlA6qln!BTuPLKh9NuR9+ z2;|*6$2{2fBqZr?i@@vRv|cI0(=v^*m`oO(Z6Ml}<(SFJ&(avzVEG%N^IuWD@QEq$ z6s6c`6!(WhN~)jXaEyu#VoW~K)DgL7NbFB~eXD%`9mJ>Rx8d)cX2_>ZLeg)?AlR_< zx%-r>ik+Sld*9IW4_N1jP?u-<$~<-ozWkPlc?zFoV7J)NR!4Ysd*s#R+zKf<;V!SQ zcX)8u@4G%zKhcYy7oA}#A|bC}yKN(1gq9DQ?_l+N;FRk(xE7rS5`wFsu97V?dp)G7 z_cnns7}Ya;afJH1`l~CF6#R$T zjCA(qDKCPR1$fA+sFGa;NZ*?aCer~PHf4*qt1L@5iz{~glZF8FSov4+xXSe&Lh4$$ zgt^5BYQeyKfRdtKBl))~qwtXCt07g&FP_#FToX7C#V3_hmxX9~Xb`KD9RafK>m1DQ zA$Zwp@{~6@bS&r~umP?Z#s)%joKEmjT>Zc+Bu`9~7uQqdSMSlE7ye+n6hSP8I3RPdJ$*==R z|0h;7^>rPB@s6V;&}e|DmHb2km4Td@iv&S`6n>>e{HUbk>z_Av87_$c6Ol<>z-&2^t$b%qc+v6z6IW<#Ep#|oUXX}s~jAl)@;EgFx=7IJL2k|C`3g@ZMxlj>@bk_ z#FMQ|l|x*$eObJ{#6e2Z)~;bB=+8Tx6+Dq_Sz9+K(z}Of{#qP_ewXF7Aclmgxy457 z;b@EK+q*uW)Sgz6Q;-sYp-9>}#aOZ~To}tDCRl;k(_(TrAFf5_sjr96wiXSS$#%}Q z4}7S-+QyRmV}qzIn!E>5KtW;3K}p~C^W9ipCs{V=aVj1FQzBJIY_UsLi5Dbrx9_!18B8++VfcObvI_{dnyk`vGBjkACxWKuqM`{$xF?i1tb5n^Xg9 zEEFIPn<^3*9@PHukurU>+st=6ymr=%3d92$)X9&GoI@*j_|+I9)klCNLls0y^zL;R zOa1ANu>be_RO|*)yutkitl1=E)61~lSL5*y?BE21@Ud>zoq`x;?s1C%)cl4>Irb*hgBV2ErepCluVFMw$5i>r+*OzIv7#XACJ-L%dBnKq`iOd)Uod5z4oKp)(D`v3Nc?k3RL--IJ#2~nbb&Fw@{0i! z4!bwK^z88zBthAmjWaq-c!&htU>MG`6BieNNfcd8%a@u&KFGz#+2x5q+t53YzidKbdHR3awc~3Mo8W!LNR;B#6hdbZz zNQufd>*|&rAR0P0|4J*WWQCImOJ2TijxTCe-On@QQC)Bk`~^t9fM;3vahDWzuIpDR zK4?EU(o(px2PcR-!Py_#?7{)m5!uNNf(Y$h{C$ZbpD~vNrc}`QPJ1E5a$MLwr zW8?3dLw)NIw?cf)i;P5iZG`Fl%~pIc?GD3WR7>;T>K=WtFB zj;=9S%j(gb+Q!+0>l~^l=aGQB+IAIL7Hsx&Y`c%GK1p-ss;{(3qWESmV8O0_ zz21?n=BTaI$qv@d!r$fw84*aFQ$B>HEQ%o<8_Qh+4gJlH!sMk}Fva0iH)#-@*jBhN zLFs21spS1xca)i11V6hoykxG!a^~x2W{lO?>9?e{hQ@CWHw;V0w7>sZ$HogTOgVO?K&tU#m zGA}D82Y0z}*vX%JbEr$vxXZ?q&G|+|4$g>OKDS?$Pv-5=C@Vtqh8XS>YB#@jS*ulo-(mY|^9u^< zrV$5ob(JNO=666=DQmBOjg1fT*)QlHE`qPKEeH>D#gzikdg^N5{3Tu6CI7y@YFGB& z1dbE@d$~&ZLl8ejHeDxqh~q>r;!KIVAbrW#YFU)v{aP%%_Zb?GgH$}62uD4ZwMmxQ z?ob%XZn1gbxafWV6(oiz2~FN=>};qZ=yRL?P+gQPG$eS$x~3sWcDzos0YT?=E%K_a zG;+oM7GM>)>`3wm>2AN!K-fx2XP)WOXF6Auz|<-f$!)IBaaDvqTts`eb>1R9}Sl{vX=n7>ez9~2$902pKtm5P_ zuc>ZoNo(jsQle|jQA>I*-Ncr=CFCu?HB-6G!I=4v4(hXYbf+_?wzn7Z zuS3`wm=aGPK8S9Mug|r;t*fiVvwI{nFR&9J&VXG+45A(htD;UmW;QyQi~6{toqyS* zqqUSDm%gp=;Uw_t?!|4eVWu&zba-P3L#1sSUf+s~q$N!`6Zx8Xmcj*@YB+&$t-X15 ztrRP{(!T?o3*xJ%c)?Yh+3W1|2P?EdC`P*JqiD>h;pQp7cK2 z^GH~8Zkz?GFz_*fQAD!4t3}W zRurkqYtFN+@On|iFOR&aLYSjYs;ap{OebEWGETKPg*}?DnJAWw;+xRDdtV5FK*w)# zaNl37B@ja_9W2paR$GwAi_ZduPiQ4dSV2k+S6h^>`d@=3P?&y2PasM&)1x58=1?cc zY-L&UDxnv3*Mk`;O((;4i1zFr7o+-us0{P7t;D8QFI(*_skx+6f~h6bV0v zDu@WK6vp9gXr1M_P5Vu|;*Gc83TX>O7}z%MEBIZF0N;k<=Mrp66k{U>D}F$k5zt|^ z2DA6DR>ip1qsZS8@loN}AVTK(V#IN=RZ3R%BIw=^M($A(3#JXO>YU(R zR9hDLsXuw~ZtaX(YB)H#313e$`ICfNwV{)xEF}?7mO}5^=t_xj3rPQD4^9UK zn?*9awFexum48JK7g836%jimk1HYWrHzd0$j`;3HcyhZ^Alx{D^hax=sN48-kgyuy`EIQ@u}(9jPimKC68 zowP@N<2N!S9?oBRG+Qn-hz2t!ZrTS=?X^=K_jvtj#93 zD9sDcRHb@YhuI7*7{c_0KCt@D3p?51dOp|F|SYwI#OPP{sV(5XrrC%Sl!qc`uY;o|dx0Woj>v6FP0Wr6wCc$T(u97)w zp()P4fSGmuVSi!?+#MT4U$tff5#|cYOUu9BnG%WRy$0otxq4K4KW+orTJ>GhC5|B)28Iao68?hC_Z2?_!Dz$?zY6yl~nv|+~MOK_DZ&Ycg{ee(*a9g^M})@4}~rxglBg3 zi4UnC2O5iWFcXs_r)>s4`kz4(VD2Yts8gM_qMVk59B(rzA_B?>pF@$o`7EFdE4p<@)*#D~cF)uWNgHci^54N{6a=>9uQh}V z9~Y&#fcwFZ^QGk5qVgAKBPKJ;8V1K1irs*9YP1dWBam=fu^=B6ncIrybYhDT+Rx@j z)rRz}9Ts7_s$>u&N@E-9R=a`b{P~S*G=#^_F-dVSqeFfA&H&(hINF7JbW>Ro_bwxy6Z&Er=CZDWr4GJJI^Sq<_Vd4S7bKpdj(cU4qZ(@Qyn2KOhaK5lC`s124G zkUX{MXWC%3>}kzr{xkwN5n)`BGa(*0fVO~Pw^%Ie@1wnz-!KJUZXmyhQD2<>WW{%~ zF$>7|GQFYg2q-1KpZADqS=+M-KZ}(C_zpuUm#7}!cKTcSGNt;!KZk}0HdX`it%ko;T$PG$kD(MZen*hj$cchG$6?Q#a z4Pnl|>C=8Owk0ILNYb_#a1tHd_o8u@uVe=)C8? zQh3KY(}rkc%H7v4bf1Ise8aaLNK}vLT5Mno5lF?mru_2mk8c1XFw3LGvsVn|WtK#( zVP!Sz4mdcU4c1p~^(UNSan8Ogdk(WI?$l&*6HhUm;X4zF)2qNjtbUX7-z_V-!*|>2QS*Z`W}L zscpYv>!`L`V$`mzikY|5^s9EOKEd(w&mGuPCLb?mvueNY3qRB(3azYlhO*W*MA=13 z7$|=~lAKBj!Z7^Dp583?$0paY`V2wVRLKNtDzuI%tv-$Y8`Ld^ve93Q8ua`a7e#c@{8FZfXFki3wuNoQM>~}N$aT}*5s*Kb!WzphI z+qdN{SL<<0op+u+)^*yw=^=ldvD}xq;UjZN#LFDq?~74fRzmbU=?edLZ~x!2g9LpL zLPdcCrnCooTjnzPmySHSD(?kSOa?EF*i-wAJZ7~lW7q86MLY-}TnynR=@Wh+S^1Dw zdsR9}TNVezf$h$3g4f1V!X(?)xZ<_Q{T&3o7&tZ&o|FJ8jQ@nH=o?MxF4Y3JqCdgF zAE*3COmmMW)(#u*9;oIB0gfHy0M|!g4(R>l@rZAl1|p)I+;6K z6{kI&vv3`o&W#Y!oGqMWb;BA{>TD4lXTmLxx`hs}ffFC^mdqyg7<%O(DIY;QvLO9> z@D?e3(s0U>7k$6S5E-yiWv+Quq%WPnAfw#rbcgD0xEUDgs$c4kl*v})y3C6(F%alL z^I!ptQLZSz*9HEpa7LyAklhr9vQ8x2cL;Hev`5A+-FohAAhjJALQTsO8uqHcYCgup(7#x;IbNM>yho`+yn@)m_XHd z5?^S#NXaex2=Xm5uC=&*6Zp6T(>ksnc@~-iRl%qZJQzHh>h`ZQ?=?_W>C@Yc#0?qND~>0i(E&olma9Qo?T36EQZfQw7* zyH&B(je<%rAVI=tSA>zY8V4wxdZOxSE^ zRn+b=GUP22(?W$-Rc5djT&Bjl2U4ys86K^D@YRj}@W!a*^JitAnac+qo_~e&1OIE6 z**|2+|Jzj2a-U7TIh9P^+$;^?r-i@&5!?KqGm-y&1hSQ>y{qLvQ<7!>k&65;Im!Q` zjOOL#3z&y@K+TQS1 zKauGz`3OVNybW9Rr`Ass;p8(q)s1Msf^vd3hNtuz#-LvWn$>cvGPBZZTd)28-d;n~ zgg|3sX*||rc>hRC{;yv__|8|3HjLf=ctHqjr8xFKcxZCOBc3FJf{{#Km#{`&aaU48 zAE%YG8=7!YbDl0RZnqc|w0?LvE|-1sGHT)A?kW>CV=#+U_hb(U~dJ>v}~%jWqw z+1T^7saZ9%h^MaK6l`HT2UNSjVC@}ap&ItjpBYVbd=*JI$m4=^ji_?VWWmYEp4+)3 zu_tg!xIfB9Cw&y=nz+v_opQ`CCeXI_$|IToOXfx>a_e2An(!{Gx4N*_;r6u%$?eUT zC`Rquz#?9zAsue^mg>KyD$?mfi@$FPqk?NX$FFI^inT;lI;+5a(6w{Eny(K?vCSqH zY=fY~*W=XmRIAT@RdMM;deVP)BB2od{#?HZ_0+u;;k%a`$I(xIBQdd`Jrc`gr+H-# zhm&y2(J<`*JOOOrHlrTn?}0hIL_&?`bcLah{E17t8{+1!mOPux$MUcU4h5x^1{?d- zl!`mzceG~YWL}{!$`6qx$JWN5x5g~DTOWnC#65Y;@0H%9%6GiiC{fqjTm7cADGj=) z3T9=J{=%(leS(Fnsq?1QpJ~e+^yAF@i=cK4^H3+PmZ-vtXt`5RDU~4zTOw9tOSuLk zUu$rRqqi(=V&5M~qU-YIn|;Cl6oRr%RQ8H!wg2Vd1~K-hFGUq6bI!s(B|6x9PBev9|j{E)!R!=DXvPo1+egmcQRQ4%u2}luBYOZoRy$O(vIe9bQ7*XMk zU7IkeHC%g^xzx;7)*dGIaj?_Ts{=pQ+fI{@W~u~3osj>}>DH_EYfeeeGy|k=p^}aM zv0XaEcKWI>;WTBrnoZa5kd%rQYB*l3U)rj0%E?8-A4H|;(VCs?K$T9?;GIs$YQ3Lb zqt#ejOzm&}q_gjpId#K{*0v<(+T&=qi5D09+JdCZwd~pxb;{*z!=fa7h1NXGoy1zc zRK2UvvK;rw%xhm-SvNbTdXnqygtS{JVvTQ!E&}|mHVQ^5 z5Rc1=g67;-eowA_84UKVn3F|fMH?mCwe}>D)Yzkg!?U2)Nj=lfK112c`ciUS+wVlp z0*QogJDuXDbk$7?G9dEj3~3jlxZFmUSwE8Lq|@hI;*yf$D-It*vV|R5Vpn_=Ok@Wsa*oe z&s-PbY@!yBpS3IUUROV=Jc`X%Pw_AvNqx~5?5GVKV-KuV(9vUia*$z~B8}98?N`-h z;O8n(XX-t9J2}aTuto`RhVI;Xw)>QHbXa}TkQ0f<{hben3c_zRt!#JGk>|-QeZls- zkIN65N;10wo@1x84b^-p^vI@%hJ3NbKbd7D_hyrpNn+2hI~sT5&{yuyXSB7w??K1b zx8R`{@TxfKgZN%Cc7FUSs55X8ipSYnaz~z6sG{UOS5KIb`z#bQZ8zo$^)FYtjXWBz z*~e856sg{oYX5tsl|Qa=uZ+3DHrzE5dRo`ZbF?7s&dw~#TbPwA_Eh<9u|n<2H5(N_ zIVo5@h462^z=(XJ5#*hJ&0fshPgpp%g^J~6oe zM!(EkWV6riyJN;?N+O-xcd88e$N|wWtPFCmO=^62wn)YyS~N|&SXMmp$APaU3wMUu z1Nn1rhJwgMDkcgU*xuTbA@g`-PQI`HkyjOmej@ydpQWHCH81DXa;0#9QAYAa=CBTV z&s*^O=vvJyQycQL+U;b)xkP&ZK&vBGS_$B0nT+j=rTAIWHpv}FasY|$;~kP0Ycn=j z&5^Cg|MT+|1z6sLqs!k>v^Kh&K0o4}`2ceXuA+P-2}MurlArp*k1YBSDyQFw_>M;L zHH7&C)Lsfh)k-H=pJWjK__5+`ORJde&mPD=eG*-={q(1& znN){Au?~bZ-q2KFFxu}su`@%v!q^XDfYip*<2w_nE$y4;6Jh ztgJ-e87|^GB9BERbLz9=4l{9ivpQo6T7XqD4*c`q>8A0>R17~-m6|o-q{k0Qi%kR1 zIO}xOo8rs?OT%7?#&V|QR8cM;8Rf^~dt%Utqbq4_{HYUrx0t8pmny%_9(X@AN17m`$j%doICNmN}sNeNNG0f*IR$pUKQr zUZDS%n=q~jJJ$x~Q9uxKY_yCm3AP%!ay6!VM(+FmMEb!Fp$kNC)pDuYxr{a!3B#rf z68|j!Ya)seVGk_JcM6v$`_b9Nz+(P>nmbfWF_c_-#LC~f3W!4~uuxs^wBPjTQjhGS zrMy`F=8s0bQ!&x9@>q#nK4#CkQE8#VK`%cRnKED)=DJ@s%XFimHA=`mPTT0#zxUr` zT{*KI)vanVTIJSJKSfXSY-jNxa?ofyT0?oll*YTi#YuYHj?0#1(wXrqEStL63iT;a z8FEcBb%)Yur`%id53z{*%d)Ms{QYb!@12kGs=SnbTq``;bBT-Ek`EjfgswObBmJ9$ z=E!qwReD3xJG@O@_Ff(@FS&hYl+j}6ezJSrI&!Hu!HB z1J`^u%f^+Si12Ey*w!EGMKleW#9G4f(_Dr>qFE8LB@!>KDiY=VBQ zmFngBZzkZFc(w=`w)VpT)9>H9`o*00&YBb#85Fz%uC)7DkLb=+lpz*gUvfM=Vlt3# zNW~er`45@@Fg%aeaxT$n+jZ;<52bzx{xC)F{M^C%;Jp3N5}iw~jnHnzSBzTgA+zL57^u?92#9P?*LoH8rQ|By8Aesjn>0x>KdCF+ zlydF%H6c;0TdT;aqOmV)%|KUIQEWM84Z@}a_MQVBTIgKj_v#CsEv(7! zthq?-j1kWBV0iJS<*Y`*ez~xn_1e+mEsH#bDlg;t9yf;qS8LtGC#i1xAR$fLB}BG* z<2-^}smt8rf3U=`7~X!ToeTIT!rYE#pV{_hK-q+hQ{cM8yQCOO(W~*tqbEz9Dqg!{ zH5HbaZc_GceiH9Rr8E>3A58dTr6LT|?3~)%isL~?O5eD8>3QqVxe|2seVNht8OEyy zG(%ry!vNmhwC+Czk6WSenw-TxAS4?y!h{fn^%j0vJEfSKfpaG%yMScIqL6s2= zhqspsN&3V791tgy%$;A$vD@`hQvq!fa7ky?Qu*UA7K!+)>ROXV&e*pv*+!1(JpSpf z4&ede^;hUk84wqKaR-sR^fuVUE+f&~Mul3zsSw>L-KTd*o+Gu=I~$XxD=kfR3Q0#< zGx<2LwlK_G5=G8rt&^n(ghVP>>ROJpq~A_R*FUYGp_`ST(VX>LWhEO_KCHtszuRJr zgz}m!6RzTclSiBzc~L(c`%s_u5TA*;GvqEbU9%m(p-K5UcQ>#)mWeO)R0pit+3CqN ztDiImxxS!n(VLa8v~eao;pr|sgx*2w4nH=yaQrIJ$iN#r4DXvKdr^oUaz4D=T~icd z86FIkno;tkC3Oekh*pwr$VD0%;Oe_>{!TJ8Z!u7l)g#bQ^&CR)SuGIMYd8^xbJCg& z7DI^ox!er|lW21BJdM?xw;YvMzBN!A6|zNj+~m!m`Ruo!edDFBz7s!7;YE=G`^t?6 z#mlc}`2{^TQ-z+m&@Qb>l&uE0lEp+Ul)n^GjDeVEH^{LjkL@EQg~I!ioCP402@O=$ zTN|r|mV(rN!zhG1qP>dw{Un|vWDM2gReW59PFAk%_6KUHQZ;1N{K<>(7*JfrfwwTK zr}0%EhDOrrRGJ)RZ@laxS20v7=f*U(+OqYwYevkww_+UA&hOgtUF9Oe`Qz|P>DXKv zQ_!m}$*OKX2tQ?MxDH0qNa1r%EJ-$H3|TDHQ?xunUtWcz*N=7;$!)WtZ_@T2)x|a$ z41NozH#RD8SV(eK=^vwLYiZg;G9~(|n>F#;^XUgEYoTmFR+!AQ$eUcvzMJ+)t9Clc z1*6*~&vRz`u!4&12`g*noe`b3IgAVzO zY&!n?ZrCtK%x zlhKiFI_q0rX8(?;_A;@?fcF_(B{0hLRrJV+QVsX{(o2+PZ;M#6usyDlF;K}L)~dW8KiQ=H0%aoO8s=+Ki7I5HKP&f*{p<6$Z=lkCJ%ilv zmeU*qKlkM8QzrD|r}-+&@r%{Jsc$rj+&K@v%*LQ~e`emwuPH)5o8A;rr@uWHak;&E zm>k#9=1g^63t3?1z=aowpfCld3-1q!oL{~!pFU#c@( z!?wq|XlQ4$QXMI_QJK9)S@5}rdkX4C_&MXV_9*>+n5ggj7Z#sy5FyghJKit$Z_iWD z+iJHXY2%TyMg;y%J2nR%yu>P4ajwW=t;N(itZ?x9jf=H!wVi!^a+YL&&ySN$*O)i& z5hgWYupzFV1Cf>P=*-lCp1GqP)i=fA9jI)qc@F))F1~6MZ>%57$IGY36gCl%5 zQI}1)$fRS!HdDBrYhPLwc+@JfMN5&oG+#g?mh2t>m4}}9|5CSzoBLlq^xS;^9Ugi< z_~oc`{?kLx&BOP&Z@Uq)~JNyV6YW-YOx#JwDzwNHF_!nT@$WXVc+<%KI`S!r%N(fl)kFTcUg5Bbn zt{LNR6qJLT#0Lx&=bGlWo~SUAyOcuu3ZHS0x{?s5@+Xp>IqZfETnvV&9Glqn1lqd5 ze(f=XR-A1e%N?A|xQv!1UCB1eE?MJdR8f1~bmquYyE~DOENTxD44PrAI$Qx?vJ@BOr<1pT z+Jb$=bTmQ@H)_)c2@Nw}$9pfeTih*N2LO8-v)z^6#l59YbPFd2&NT$<`SW;DbwW9Z`f~qKh zLRDmuc45}SYple)pikW5Am!w*{sl%TspGMGXWYlt6QP!pGv-1_+0U0#ElEl_IDEV! zBK^4Jqof*PINvCrhyhzI%Wk^m+cHKaB>=-4qBp$X0nK@%aG!RaMeMgo1s(|^PPZ%p zHL27k_x!2sUp88uKPogdpz<5#@)YFqwXS1N@_JlQ%DAak`zfm+QERmqNZGQ>wEJT2 zjlmD;fKnLIupJ0d)Ck;M)|d4do2|L23&W0ll!Fg929^rHw#omBJ`!fQ!F;*XqOyP-Zq&Dnm;o`Ars_}Iq3i%d6~C1fV^DZDH{Y^W~LlK`o~Eb z0NJlZxRYK1?)f#GOm{FhhDM4gnTc_;%AXZltaVdb2ELMWv_W*@Z7$*W`K}W?S>}m9 zn9J}s{3mewwzN41RW02SZY{>ir?2^Lo-C$$h0Vj;oP%W~{X3g%){|ltqg&Roq8iC* z6rMVgdjaYuX^rVzwl}K9Qzxc=} zrX#svWqnnAfqk1>=ngp7MkM|YnkceZfPxybYprXl`0O!~8 znq}?=*z$^cMm>UvjOG?`J#fer^5|PzObtx9Nmtf3EtePYJY|){3%3%bWTf**;E%f_ z!-2OJ;auIR_EycWFJ1=jl2@N6eiuKSHho$1cg1f1mAB zc!QwD9|uUuRuCF(YuLvS`-!}?5jlV6grJ)hbXdK#$(%boqx6$xLj(u88Y#>2tSs*6 zgpXUP)YKgbwan>M<-O%HcR-WVTm)lFB7f)}rpR~GFRhUl{uFd@u{GPg2mi#1F?ilG z>ysn(`R#p@02iUd!-)mDXj?MBso{80d%XN8=(Zqi|jqT@x4-I5>9yF*Me+JVhh z#H+$AVUc$JWyL8zFSA<7hz2~%fX@MtWPoAwWoQ!EYwn!BtVpVDvfyAg&J679jM+*@ z?P%1kJ{`l^I)}JLsu}0T-EIn zNFQ**41P6k)Y%d2NHfXEe>zMdl+wUL+dWc(#C_XdAoWc@6HfVjDZk^wc_+G z^0K$NgEQ{)U5LR$R&)Rm{so4B5o>3T=iG`z2*zV?SbBNs=jzb zV=#8>-G;9tDNJkd2=uB=$42Awt^OezPo+1I~FwDcwC6m{@RXVIr9h>b9#REq&{(&Y!0i)?U8RcO8T24HP zlmq|ODBvXOzKQGvB7LNgj(vEA7^zkBsm>-Gso|cp?sRbnp%c&}ctZh!62K?%OHY#Io zQYh-69CVB}DVTXnD$i)eFHDu6pf8o9d0tKmUzRi|r&m*lZ)v~#*guy1pUMf8kUIsnle zgsXB_(mx!yg{y%)xH;RGd}oU}xa83Btr}x^EN86-S~D3uHP32 zW^vw*WXV3xjveOvcT1>DY#+|GRZRcpw!4pV$hAvpB;-!f-4|D*!OHd~8<+|bGV70i zoXhJVo1;z3C3fIpro+Q$RPOX>fKfx$l>+-FCA}TD=BDrGS!omEaWPzv0zXcmmOpb& zY+u}mXzL9=Iqbbn=1I{S7;HKn0L6IB&C~7?JdwTYQ)pC`QEi%Db^lONj>qiIH69z? zJUDoZl!<>N=TlDvNpQYK&vV#D#$6q!+@6mF7#uISJyArBgrQ^M*ToK0A@c3bfyi&X z2T4*$`1e8HXf7`-xY28LPvQ`iV5A?3`vfbW-~lNhrsogio5sv=WmV6%5u#xbLrPWr zAdU-d!gA9~AjSdjLyGfRs+w{k!h3Zm0M1{4##)EMIYgn=p=5pOoejsHl(63_vmtJ| ztBeZ-+hVrDkDcnS(v_|9bKg%rbP;n(#PLeT!^o53pgOA`XGPI}B(%urZ(h~fx5?z$ zv6O?0mOoGwjv>J1SUS8%xt^kzauf!Adnk;q2(5^epDe5yW9yy_QnU83@wH;Ugo-+D zGe!a$;rs-@E?`j(x#0w&X!sL$q0n%q7C44qB-#moDDL5y)GUy?-XnSf<OHYxltc6DWryt_DMF)Wj zUwr-1Z@6+lUg>oUsfa1Ov)>mo{o-mwC{2-BgY`HaFvg#Zvq7B;FYN)YrPd`JKT^t( z8w!!6@l=q1M}(1*4FD60Ov8+(pMgHsiMCD=4=AU9qPn(k`D&Kv5k>}(6!uH|e*A#3 zMmi;U1BQ)^3dE%TfDGY=6~R)Sy6HN>^E?NZhs>|^+$bb~q`}ps!AG56wr_dz4S2bR zD4j^%%BLm2=T0%b}|taG+|K|`+@&B_jr|)5a*--@Mq^w2_l9oR{!Th z$Z{kc(vfP(usVGjBUU4Sg4+Ih3hZ;*-j|*45rLB7>P-8@!(oIMOPbv~Zy_s5lw5Q; zuaok2$)tAe4U57shBetf>%{(R1Ov58Ze6g;VU#0-N7NrSYu(EOaYyuLyD`X?2qu)n zg1{SO=}_yP2M9Z!xVbG`Zbw%-nIEM*b7R{Zwi&6pdqKo^8O7T_E(6K!PUWr5(nvD>u+CvE{XL>h!e^ySl3s_V!UB(N` z*mms4cxF&GkJ^C_dHKm_qa*x0ykS@5CX+Os*%&*eVPx3lt9U%9K)1frw^L3+rKsW9 zyFjsAD!fxfo4f7Xij*|GWrAq)$bTz+{HCAe?2J7lZ$CshIqE`VjJis-@PsgGtup~c z^rK~SYZg^<2KjpxusX}FL{qD5$-$$yXLw^cmfugF^0vxgBG;Mmkscof=*Y7?$mj^N&D;(Tcv+Zep+ zFVbZij>||`xXc#Zo=&g#_7_{37fv2pb@{w|<*y-o3ruf1E}% zk!b3uo@0|u?{lJ-P_1U8Q=6^wI!u40osxDT7#<1z#B*#cbFus$yqr2)@DW{kp+;C1 z*Q7UY5aaH>YWQo9*FpMVw|sbckNEr9^5QR`-zT=+yQIBLXU#RS)9MF7iJ;cff+&^_ zf@{7VvGoOoKFKK?#Cf0Sgw{NSneDxOmH$at z_f6~?+Gd2*0Qol=DgYwjf3WwKQE_x#-)G|v!QBZO9D++oppoDj++BjZ2MBI~;O zyAwiicX#*3nyKr$@9TNqd1qc*BOhiBYq6@j=&nA8I#p-yefIwS{nT0ULQ}}V{jC0v zq&-~kNKcwN=;6Ef94@klV+T6CWK6>wrH-QyD+6{JvuCBVizjH;$NM_`I$hn zw^{m1Mb9?GJZmfR1NyjX!-ri7m{^&HWx4 zb7{z->vnK??oTaFe!^-oZ$si%+@HCv(!ixe#zE1lfOVh^xYd8idGJrFsgk~KVN!Je)blSB zE7E;LYp-ol#zTVz0}R*UxFxNnly8sCsA8EQ9|wP@yO!vgiapE zjX8-yUm=haidA}*wKVKyYpf zTc<0ZgluSK$a4@k##m_|H`|jRHihJfPhb1S_mgt-<-m5h&!#JWwNt6|_jm!Z{Inlp zIHNqzL6sUI|Qc4l||OK|kPbZ=%YkjeY~3g7(ncdtwX z&agYn+YJ)h5`nIDZ(rY|8i-Y%$aI4B9IE>=SrzyLxtM5Y63G35HFxqjzp4LRVfDO(p6GR35u=+Cb)~A6bBQU@1`H*0@MO6smxA9>04&X23q%GmFlohe`>DJ#9pRU*7 zw!&V}l6gxY_la1a@oG9qi$ZLnS_4vD?qm(;QJL9L!kKAd||Z7B7%&m3kkf)Au$S|egZg4RBgr_ zEGEcU6_LxM2mwQ{!m3M`yZU4P)!unEQMKQNe=lR3YMD$ahPI7&s8TKk$3*~={TILb z9qqfosycG;v5K{}%ak1^o~+XP_2@?6{iKP2wSIl6`$ney05$KxcbA%)}*cko+TBIQ*xH;i?@G*>F= z&w6#NOcYIISbgi7zcu-AR#xPkx$ToJ*!HFq6U8ZI7Cnx7TiRO(9m?Nu3C5vC;q#Uj zgSp#Odc86Fo8A}<`3?u)f&IYkK1GPl=98-Gl0|6>w1xOE39{X!u7^3E4Sl%v zGjflz$38*7Z|vy9j$HY~h_clh!h1Q0?bpteDOh<4DVKw<5W#Bj>jZ{ezKpQiOwG?W zTxZ%kLd6jP7CKny(rMXZhiKakDD%Ux)~>d3`tCL)Xvz`;bEFypa>WB4c7LH&sPiTD z?r=YX$EV$~ejqtmH|7pD%&d_mcZufs-wfqo$o@O&8}(vrkz4(dJ*a|b##ZEH-B?5! z56}UM#j7@{P7|{{ksG_vPc4S)uPk*EL2HBnm!0r0J@08K#$h+x*>yPSvvv3;()8!G zT*@Rb&9A)Pl~{5%k3!sT6D?ukWaW0kriOsP;ni7)Fkq1&542Mh-+pMBkIK>!&~NW1 zKbmIsW82r{V$uDi`>A<7@v)!s>)v$^N=oh6u2|@v0t~pqOk-d%D1C996hqBSQ$4Ode$YBW!#N;q=NBUOdAl9JCyR4V-U&IA6aH|$}e zBne9j5xa&T6R~N3y3#_xI}eHCy;FG(RALq3b4o_#ZN6i1P^nhp(M zT$R%J&}!3c6tVIt6EC_-Y;kO|!)ewPX^yw`Sw%@pp6T*lm~lgVum=A)8~3L3I2&ac z-Yzn7>el1j69hQ#j*z)8qXWn$(L|wS+pa`+Kw%tc(jVK#y`kZJ`S3dpi`Wh5?PP{Y zkifwpeY-(dxJmf|K_f{=CjMwHdVgMPDxCCZ522QGk0+MFoERC?7+zJn9~@g`KXJQm zw&V4mH|#iV?Ti9FU**WJ7B@f1gIwh%Go|?f)=~G9CdoG_o(`+v=;qegH_ugBg2l)& z{u}=4?A=fu_XS}^T&xXOW`FI6#Z&(ui*o$oJDj;jWF~J0$2H+D`1(-HHd;+%W)Nns zj3ob{>hqG-_QH+5gG|D8?N>E^Oz*`-i{BIyP>~2&8ZKt*(P=VU_wvVhm*-(W*O%6& zZ5MT=qsVAnVmqSM(S1v_%K3o z&L2N53#;hK3CXeaDNKzs65mpmR#l)HvU*YuR2pr_vwsZLka{zRRkwa|8M|vUJL0o& z!Jt9X8RM`*%CS__5DSF?u&{$a#qnI$NIfEDyXt2c)S(-;vAK+9M%@UCw97L4Gk8H<`CMDlNJPcxNA;@Qsn`RTDAvV%@K)Dvz;Gna<`;St7RQ?+V?&E8G4;Q%rZ(K^binNSWaCR(u;k zSVw7(sE2@!BvWO^A49YV77aj8g9s_Le^;44tu7Ks-nB@50j(O#0MRn-f9f}@myWbE z^UAvYKSvZ(zt8Yfmxurzzwx89aZCS@rS=;UrCUnuEB`Y~VGZObC7P!L&Eq=XA?H*1 z?~)uWpPGx?NI!A8rli>OR$DR1EsMsbyqMOMMQu~!t7U4bvElA(hKT!?O6^1US+R>F zcOHR5>|c~gAOI2Z#FG7!k`Fvr&6?u7+yFj^m>+*5{0^bNzwxVm?sUZ;!=BSJY1?aI zi}<4heL1tO6{oS_H_liOmQ7*+_%sqLq6NaS~?zA;_fvwVvILzxzUx`>K>w)l{f?Qz=|~LQt?3i6)ssKEDCz%uanp+n;#HwQ~NgQISZjTTW{L^ zF4q0I?=^`nk-^_H+^PHxp!HsRy}mrXc__a(stVumL-DuncLPL(6xVKDS7rFi>+xIc zqEOQUh`cmY4m%NfTAK^9hE-b|^Lqb zDZz21(GHq($8lbbsU^)HX@0zUse4%0+J` zDrMUfjD9}N**CjUl|%Y%=itF z=*{7;?odgP^uL|a0_S?-b_9?`fr0JJ$rQ0-9g>4#Kn?KJOtPt{GWj5xsKuVx!3c~! zfTmSjmGvKsC^om)n@;P#X5OAcV`QRR6EBv?9cnlrO(VjrFdT5MMtx3SAEY|%w-P471^Ae z(S?c{wSyfc`64m*10Q5A0|?G96+Vein1A1H`e23i=O-NT*jCcBjT=iPp>k8iiBYJ` zhTRZziE)8<6+pP~QI$grivUN5Nl8&ffF3^A7hcPwXQ};K^CU&OF3cL3JcJLj@mS>% zkfc4$c91zi*g$Xw(B<5J(4CO z^moAo7w!=pGTZtbE$;t2gEeittjSA{%IO4BRvjX)NSeuk)3qg zwn=*I*n1nq*SfxhfYj%pjTeT~tgQvJ^a#juGp{LBDAJQE^}QtXH&>BvBAO(&obRWy z#TUg{8A?d$PbwXeQs<^#dO6q?e`@mJCcq32ni0}5vya$v@T9Rd)$bvh9uHv=ADO~} zhJ13GFdlnDjlr8p>o#LD5xeI>c+BW{ir=#fL2@>5AJ27i{={^HonJt+)wy+~bPb`a zD@a4|HbhSd9%n(vv>g|^X77nkG)D{v`LCZH^^Xc9zbu1Q#YvGl>gw_f@j#2)qOYy9 z7yQuL&1_`^zv3P)Q%>=A`o8Ydye-9OpAP57PtaO$`v6+65$#w_+VLl<-cGRNlevOx z(AMaJoA*o2!Qy^xG<9BJPYYiHZTCl4b^kRkJSoI2bC+_FeNoV9zCvc2;5)={B?c{P zEt-!8tJh9V`&TC^$B2aqKVFZ3yum?0SfB>tARkago{!UXXYXI>9*53Yp@ZC=zC)zG zj`dDwB8CxWrpM#4Z!sB&WDD9S@i@x6=`q5IXW$)?#EJs*wb4O3Fum-Sh2RffE}d!XeVdzUfVO5L2BN>SXHyR5iJ~oSoq0uk^edaO&c@sy@p3X382IUn+=5$o4(i@exoOu22YePwQjzb8s~rviY;x{Wrz-0cN7 zxBh5&l>Z~wOig@03MTV5Z?-XrnEdobFe~2Qw7J`sz+f76XbSpfUI2E79`J9bKA%Qsjyikpx$`F$ zuA}a>*|69B%V|2gQd?}dmv3^xx?5*35Y0lZ122rC0(RSz+=dor%^gxMta`gPD`7>l zV8-#$ z>1geu)Q(W)M|!k^+*gjziBzjt4ylfV!1}d?q)~UdZs23T>aqVo6}bEZ_>9)QJs{@r>Ol0j(| z_@mWM_$iHsK}OJf*J?G0MRA?B5U{Anu)fyn$} zOK93-MKwdf9dU;&!8VM?l zFv2lG4Al%V_0~&jKbn=s^`y3@d3BeGrPoW3mPtTM^{sWuyq3jMs^h`k{tU3Gh>CR- zCoHy$YxUOf3mV}IFO0QXu(8BEKG&9l8Ztmd6kFOObUxR<-8F|piiqqQd%bn!eo3V? zcj7=v_U$Xe*ru~Mx$i$2Q9uA4Fvxt=BtcFz{UYFBOG0xQ{N zoyEFir1A!&{p?DRNAy3R{J&{Sz*-F?Yx!1AkMmX7Yu;;ui+!XnC^Ftgj){1C=F|Dn zB3)IfM1XFhg|xK-d+vinh;CTw6L;o5{A1JQRqF&6G2f1 zHvYv#kvXHDvbA^Es0c1mGaTh-3ZDlm>3y>KIY>L;uB2 zJlE3qX4LU^Q}Z+-VkHb0)iqW0I^I?m#;^k+qrRaw8{`@{_2l}OH{tY~?uiV`iQ;(s z|AVROzgC*~UwM5X3|Df{0*>LS1Z%`6{9bh6Tu`e0g+zwsqdBu^(YJd!d99ki2X6W6 zwJ3doDGoxNgbncda^BEGd>ZHxC*rboniR=Y0I#`Xe}vd z&*=zAD2mC4$6TVBLGPIv8RC2al*QOI=-+0-|C?Kw|28(`ugZh}d2Gi2pb+B!32X*8 z2j_o<&B(M6R~sZ4Trn$TQ&;}*0lSYx2(>ov9YR#?hw#^jKMg7VC(1*+ag7T($u)ho4XIiuAW#~Bz0EO#84fZlb0-er*^&ln|+ub>EL;LTOLIIjyKFQvH;Ko-=)|CbDX& z29v)1V*8d2y-Odrs}m7jK%|=72yD}KH@oUdy)s`Q^z&Icqe{D0TY=cD!l8v-S#S#z zkNG=p$+F;V3P;oDI->^N>5*K9%+oT-LXxt}g!~{rg)@tY7Wum1t4^-^QnlbXgM{zr zk4}55^E%~H4`OQN(l_S@h&~Ig^|_e(pmLZMyE27yJX+?jD62dtTUEQP%8e>h2T31O zq+Mn4i>0!z)5R+C*$$JfxxgR#%G83JE>9xAaHorS88^0A^M{8M0v)^issi_2-d$?N zbmaXDvkc8Mnwarml#$!+!~Cc6Hx;RenISAP>hF$+PSB+8IC@95&GvmVzbMNH_H5QY z?z#V=oRGn)$1cINutH&ux5ida!Z+1jJB(R5ysT*rS1a(6rGU1K*C*Dpoq`HC`ZaCe z{V0(|TWL1@z%I88^EQ{Z8%>)dsXA}$O#67Ym#E&Xq|fiitn90@2<~kCM_^KSE1%nt zmW;Rd>;zSfqU)SYBG!kG_lksTqe~*MEibfsr1%Qq?kd167__~s1g2ax95yno&C~I$ z)byL#J@v1o62VQ!u07Gu`ll4Ca^AXfV{gCya%vz@&tB<^5A#irg(+4` zD>?m=%CcTgDDBn{E>byNOK;j1hER(9x<=AY<;LW52}!-{jQqmdI~xYKMy_1NIvnpw zmT9Ti@cM@{@5||hCg>0sX;R#>RYdRwWa0;Xi~S1j!E%G0l6Gro6etdOz|07fCcW^^#klAH;d#|SvXo9}aGSYkVIuD$Cc z*&i_hLOe>)__4X9}j%7E&cIPhh^I|U!DYS z)Y73>)Mbnw*v{rBrrr|YwAH59)q4{D3^ro2k(aDxFkt$H|5hm}{RFzgiS@qIylaM? zjVa2?NYhmBhi@uvS+Kp1yi6sxM147E9#KVHOg$h@&^vS$daM9QzL+uE+rBu~<(9_5wnUtw$D?TU)jTL$zP zO`KzQSI(-TQczrz+1gj+%Od@{2yKrfq<--{PU@?Rr@#{vIODT0ZFC!F%30-HkL=kI z8+)7ib#?+-`M!LqxRKrI#10)&5|tD7^t>(RP>F~n6c^g`W8ZahhSFS!h*?0Ro&}-9 zC}DZ{Vp}BoQDlp-&aiCj_7C}Dze-i3H{5yZ zg;Qd^km&0IY8P+{q5H)keihssQzlmwNSC3f&^K21EriN4WKZ@j_A~vrqZ+b3sFlL& zy>ExE2r=G!hiYtLqj-c=Ll=1)ZE$JbVKC)pxOcu5u*Q2+=HuX__`e}v8d8hBGD3yC zuJO5L6ks0dx)QokPIB7&r{x|~-XV%6s zCCpmW$*And)a+Y!x@cj?cOHN8Jws~hrTy|lEO4*uce`0c!OvugRPuev*BoG_UHDYy z=saz6q}|K9;*UwA8_*c$<)qXhM`SG56^@tB63Nn)dCGr1v7J6KvkolDexVMHdHn+? z&#f&6{JJNgjL!149^I(ZBH360%n;YxfD`*o#c;vn#0#d%ZlSt97>eZGVaPXAea8qZ zQNtubIZdX3cNV`(6qB!!xfqVS@^Ju_1=FrL?z)nI(1hb}^f*g!;4@rQ7yeXw`PWC0 z9%^|w{cwd}3wRkQUE4V@!ha^_B}g*rkE@_sabjs-UBJg`<+wK=$2omi-9eyM?=3-n zVPV2;Lo2ZfvHEj#k-&TtoK@ZpDuu^q}T{88%)75LTM*D%=AzgI}C z3-&@7JWN3&kj&Zhx{&TXSPAA3D+%WFyId&@H7A9>XL2TShRIeEQxY?FCYn6ilp?(M za|O<%8M<8PUAV$39V~dvb0rc(J_)<6VJd9<6RnPE%x@g4pH<_t*{@BakNwYZdMpLU;)K(jl+T>yKZ|qR*LJ}QBrNdnZUlxKUgi**gh+p# zHYTKOrTqF@1VhU(q(Bx^N5Xh@-Od%Z8S7?KK)P%?&umGwYU#9aE5I+W3G2x>ykgkL zg;g*XGs-7MjEN?|{AVlc`vO6&<#)p`f7tf6N}IJyrC|slpQ>be_gmk5BE00lCGm=h z$GEnSbVtK0Q+r>opZM&sBFEP6ELOjc_9U{V%#^fx=R1&T>)li-6bAR4j^$5U(>28= zTXqdIirm@0F@AtN3E>?x37(siaGJ>lzP1LLKiH|<*8^=uo2;1(x81o z(pI1>!Y#<(-~^#5sU5`@cPZD|uk8}2x+DNOH~_(E#~`EX6{`sD5lXAcg?z)SvYbbE z8HEwmQcSz@)WI!hIryeW)d(`nK9euoy9w5oskdp4`wBgz85t_nn~_~L8W%Ep{jiMD zMucHwb7ZZFav!%W;n+FIHFBKFNhHf8m;RVH-JONMZn{d8S@m4Mxs^01(f6E;l znEUY$wGaXSn{8?H6g5I^@=!KGAF=#bLRRSsC@aPC-1Hv{Nwkt_cOMPBo(P1PNT?KI zkdov4;KH>h&N#+R{P#`B&MvW%HpW@IM*7OHDH;O9`{VE}3^o6vFsYhOxajFN3(g5=^<)wi;;yJa0uTsjRSw`PMHfi=+Z0jBgeDDYU!#PYaPK_U z6nlQuevokYyd1$xkN40TXfHbJ%uMT#YmM|=50JrkpPGqv;z5t$-^Q>FBjfSFY<@lgm%b1S4$IP7` zT?mX1hw}u%r3WA+6lR9!B4;$f71<)U-6h*gptOyGwlu-=Ek|g)1U>JScNg|nhMllI+&(b{mV0W~ey9KE~3;CuJeOVNl zO%BS^#rdORi&8c>PU96<@-D_R{OV*&ybbe z1^xsjodl02lkb$i-wYJUDeW{`BfD_GN=tEMe=-QpP~`p;4=mn&^4=%-<1~FsixE?} zMYxs~!%ow4%ArCQP|Ep*R*5uL?fT7eW_xfpRYxJK8A?r;jrVlu`vChj{9MNOP!)eT z0%XnCGI$t<^=`K)p5OF>HZ5cLNxbe3U<4KW@N-sNHxuBD6r~m2y4El=`o3y7DlMV1 zE-M5JH|WVyyDl|;W~mXs;F&g;z|xuP`)%e7@0qHPlfy?}$Ym43faQGWTPx7-b3Sur zDC@%pl3PBFSmehGva{wJx;xI72(rK%qX*$ug}>zdNhONt;&MG-#wALUT#sNjWBMGS zSsz*0wD~dEWO;GGXyYOb-gBydf)p4F{bp>Go#;MjW@XIl6Y{^gY67vNFDYYBnx&CKPG(DIJ)&6@F0)T z9Gm1@79i2uWSB-AC*#*GA8SB(&F6o*cP~R<1CIeqKh$q1A#FUMqtA_4b_l~AkXbyB z=~~W<6@2@4beLUas9H(6bdq`vR&JYfd8Ul(L@cY_+~Svs`e`^Tg)fd44W6qFFJVKB z-x38yCw5r6Jkx-V5j{@g-2WTnjkvIGU$ADJ-J> z44}CfQErT-uW@P8TW*UTFlSOA*L`~@lq1WDSdtEAm^b&;-92fqTn~!393~Y{f@Mmg zi@>xbPI0{!CTAC-HL1^j>tfs#5hRnhA8;Gz0I;?+Wrr^^cxtee=r%k6=Z^i&&kZ3( z{TQ3HYgL~CCpM+R>vttf5;oT#7q6E-@5(yKz%hgveqVi;BOBko@G{-)v~X6;p$ zYU>BDH8_H&Vgz6^!L3x{3!<(O^z~wTjvok`u-(q%oaxy~=Rrfa#GO{}{uN@fv)Sy5v#OgXYD+dFiv`6p z^~)IeZ_z2<{;vdOxcPYhp;Pek3$VX;uyt@!{cL1n#{R*~&C0|~1uXG@#x9kzvUM?Y zV*l`7?cbcz{}N{E;^b<^t}1WjY(vGx$;}5e=RfEaT-==e{QqS{=D(s-7=jEzpqCAh zwxoxZIS3>#4`K%RqsSlxI2aHDum=PD%EH0@z5Qz+r2Oh%`+vPq#s>WbECqHkdzEqi zbN{dRfnY*&K%m;#mnG195Hcbn5+VXJ5)u*$3Nk7>9tJuZ8ago!E*2g+2_*$N2^kp` z9UB7`%^O-WGDbe8HyoTiJUo;P0wVle!ff0;Tz{Pe1_cEL9Sxlb1A~Z*nv9z3fBEO7 z3xtgfD-YxT|I;b{zeuO}Cq3=&E%R);(c9wEC9STQ%P}fRHQmJQcdG%+6MBg48>w}c zk;2Xc2TU>2#kzuIrlxMccAFpmyNkL1^AYiO+OBMr(izdCB?95M5qInmvL}UcxmTP{oY}e6;=pOh3;oBnub}tZ z6XP8?T9$$*$(4x3u5=BFDC)$T7%|gT1OnL+6Jk%VNmlBNQik1r1WqTI(6q&Lq+hTH zP4$tqEmkj4pfw65NdyH3P+7El?g6cQUwu2%`265-Q4l)Dkk@Vk#WMr+2d#k|{YJAr{HrNCPnKvD>XKfKPmGUGFQ8xM zOVDRN$izQqeEWAx0%~`7G|T;aVmr5>4F76eEJXPs>>1JSXNG?n@Re^oK&hu}u z9?+M7mCG!7C{Olz0U-La&;73+TjIwu=e27>@j`Qf4yXU!VQD|)zq|GV>IB}85X+L+ zt_sDAegOd|?mQdzkET2?aQH7yfkvSz=~ev1#QGi@Oz?Nh5}|m&uz5yg?L3?EkA|z= z`KDP442Xn({R28r)8d}+Iw`M+fV8(xdC5LcJN!d~^FoKt{l7b(77I~n+=80?b*_>o zt;#1+;hp&@X-MpMb(I1veLx`hpCzilw$(rCzNnoy zBzy%aTf74KH?eGKKXQK@d!p4gc=qfEt^(>uZ9?u7m%QW+h)u(DErril$WW>-B=+*btQ=Mclv6k%&{0VJU#cj>uSCW^Tsj*NQRXl zYv1s#8FLT8z}l7RJ_S1DEI@La}S-jv3Mx@{Ai%aN}S` zQ6Au)_G@Aua|;Jf`>qy$v<@Ce4wyC~kpZ!Jaf9b8QLShFai$AT5U_>`B zQHP(S<_=T#UC8!|AcR+80f~E;Y-_O(rZ#PfMC-;)?3CG%VqkzDeZMIRIFDe=OQrs& zg3Q3&3dke=Vgjh^R7-bW!x#|HEwmUsMUogidrAIlP&`8MG7nbni}rZ%sGu$#yNUJ0 z0tGNjc)lL_c-g-L5m@OleM`Nkh*I(&loabtJ@TM?a_1{MJLzAVqZp-VX%i15uq*c! zwUMjoBM1CgTitZ5J{Evv2W^Q0q4@ivL@m<}Jp8*eaA-e{NnN~Ah_@o#Y9=ZiXTN9F zImd`tRmpxhZvPf1hAJ-9Ij%x6v;B6Jk| z>F5r{*F*BvxQ|;wO!Jx|xG`2(vRiGAo9UJKmB&1nrOwQ$bl;-xZKgn8X*+wfPkKc< zpAPv5t8cyAQX1_H{qpO{a-CU&H(R|sy{ia39b(L`eI)l6ciT>&LG*=kd+^OZbHKhec3FeL(nYKT~YvO^fW z9LFXTqb6Zk#B^t9CtSQE?*%xEu)Tj~;rY4dPL>`Dnk84-Hr$<~Y-+CJB?vq*1rGSU zouVYvUg`Stqc-L(?HU_+tKa-E_la`rdYW3L!5!=k;%8PM^FvCzmKNT4UM+e!Yu40x=6tf-2pMU%>$PUbP^SmL0IVuZuP1NM zR1TYrC8Er}j)6>7o1(;!Rt;>jC}!%czIr6A*|Im1e(L-ZuPzK%slDGw*g%s1oSG5b zJcv5nt$Lt$lR1!N^d`+N4-6;e8LbL>Y7M7o)9}6d9_BjINSGX9YFye>HFqDX$$tZz zG++oww58dt#@hI({z$J*dkJZ7ns1Ur- z#75(dA)qZEWO#OEiiW@T9 zBNZ=II}q_T7`2Q8&kL1}%3jJQ5EA$TqFK3r0TuaAYkDm+RiSMnJw>`hI}F593PL^P z@s!o1|5@?YTxhWXZc)vDKer*jJ4Pl4pHxYJNxJy2n^-2GS7r@wYhQ56?;EI*Ky6qnzk&1gl{fPn zN2^441RENa9(x1;`%{}M|0tXt4`!=G&gc=w8goIx&a<|t_67S8+ zJe(Fu$6i_8UgjjASfK8E2WPR&AG^r2%NftUKGdG{AVzz!Paw%5Lz}?TOpC4|#v8^92Mjt}AqJ^0b z%${xbeLF3mXY-vO)N7w2@k0hFit=1Qtmog2U#^uXRBo{T?9ksWQyl| z?ToC8X6e%Sl{k^Ph%h}%8JoPWWLA^Y7Yh)I()6V_6Q9yRp>kSgSpo%7Jn^Ojeb3A1 z{<2>VlIeeGlWL8$SlF7HqR(_pr;b@8O0cp9fW*@!lF(u}ykZAZZr}a@lL%ACi|*59 zc>9W!_2VH2EH2e;n!uYIwPMA1u0xIU9}#i_dRV7^8>8sDlZ!FePbRj5KCtG1HKmh2 z5gI2E^*pHR&#p?(@&%$Y{nB;e<)uEl$w5TU!!*S%aefkap29m6oK%6SzLWX)J@o>* z8GDF0BYP^)MA(A*@c9qYh#fNJ2s1}8meKv=P_P`IutO!I*`e(;FQ9P*9}@!$D}zgx zgY26FLTCUJ2a$)sP0>a7*p32E7l;T1Bi=8%nRp;Knb>?szE6~SaR#3Key|S~p=t>#EZ)}pDV${Lr zF$e2w5^Xjl&LW7gmWSI5NXF)jVvD$iC}g|N5}Dk^(v@B86!5(V;O zR`$nuzEFnn6~bT0tOlS@Dub;-HqVReZT@4S)qp*deS^Q!`0z7Zc0<@d79#bpLwMs9 z_>y?)IC%e7?8U!z0}OAPf$xJ)Eq|Ldkb#cTNzd~E;HZAQ|2}LYO!Qr8@zhN*MN!;M z_jQgjnaV3G#{niGXK|Flk1qL3?c`U|C-v@LO0c)!^dKxEZtYLh{!GF>zfcw!sI2m_S#RF*>D?5rj77iRdk_RlZmig=1Z(Gn5cF1H6d6vKkJ%1JF zlMeDhWs$czKHyHdHimCCw?<%{G>!jq+wN=k7iFwDb>lw)ze^kSSfyWL|5`Z_rt~`p zu%|73i(_%LeUY_&a-HQUrc)|BEh1KF>9QE>Py-UARdrh-GbHBHyz@OlQU```qR&=N zA!FiD%1Zn_ivc<*=?jcz`-~6#PuxJ3SdX=1@&!C-5AF``$+Ctb&a*3LE*&^*HTV>z zWC3kLr5va-L3;tApu>CXM7%-Dca)2`GJwbzkdb?#`_G|DU9{!LS4lX|y_zs=K5z+b z5+UuYE?p&}yFlkO^=bZ!F*ubr^IfAhBtmAhB&mDfcsE9=d5Ti|y>8=eAC3&kqDR28 z>C+Om!S|!IL!%(e>;1(|6Q07@Q6;89djuAQz5<5E9ZBjtz{Pm7;(nMIVF0jbOc?N& zOxz>XFxMk|2eOV?bzy0U#6^>P@oDe7M?kzCr$Tc`TW9wK|BR>(&Ldrh*v@Tjz%{G# zpH>z3(EH!dz5wAV;^7|y`R~yr<-=b10-7rTX5bD4dN{4j>D8^Z2*-g<@W)AaimWtMq7 zRQ*(Y*@^A$$?|@09A^VtlY~DWE@Eq=^S$}^Y?r*kf<;_L%eyo z_7&1H3Y(q zbg?BR8l;WBujUW2l^p}l!BA$5lm)oEgGMHCYgTx+~2wdTw~<}I|s~?OSGA* zk%9SbSB4#iWTGvGLb2l#mcwhpSp$o0~%RfKF`ZNZiF3X=kN|7*}|zIs7m>ZaaJ z10TpeGXf63gjM@KP$3Z%hi;$L1A)AN`ni3?fEgBlMND?X#}{~L&j1k9K`f;3y7S@h19&MIhR!2=u{~K*M31V{#LznQ zkAB~>we=md|0qdvkbuQT^HZP)KULzp2eo629*Y1#O!Pwct8|UlrZlxL`YrI0XF?Xm zIYhff@*)NeNjrsMZ!aQ z?Jx}{=g*IHXo4lov7w}nQItJ-Iy1>Sv2PKRnSPloa-6PjJL_C2Y`?0^h=z}9{W2uj zU*jE|0aY9JmJ46#qoQO#dwUK#nOiMxoK9O5*pmKMF^Om@9Nk3gQ0-T@gf@R{7XL-z zcQ4F`6cH~94)BJ$=R$bW)BncaTZcu}hwY*RC}Ds~NvEWM$j~t$(p{o-!+><>5Q<0* zts*c;H!9tNbUT#NFu>3-bPVHOzVG+G-?y)G_OgSYnswb6uF}eK((yR2nC=@VLrAv1j%oMx4hk0xdD`!X35pNa>( z{T@UPWxgA7j!FCG9&xUhjCjhLmzLBn{`#cUd6sl9_1!q*a$$8XP~0Q2x~dO-s>(_r zF13RGT*SC!`-m`xmx7g9C>GW7{Bvq5ExFy4BfTmm$@S;Hs2XukkI?QhH2DZE9!sPa zUu~AZ7!hO2C7-liLngn?FM4^X->{sATXqQeQf;P6x^rI^X`yL-u^u!rxs=md6+ER6 zCO=6{-Mrb`71L{N@_NWr^a1B!XaC}%Zdh+oOPA`0ks9yLNcCn?Xb*#UK{3U$leJKC z3ll~X0a?JM?uFd{u(mIfGVFWjwdYoZ840j6So(S{fg0I`_A?oL<=+$ya z<)L&x3?E!9mJd$tcVO94*;0k6YK{{_^Nx?pGOasB(xtvAJoMBOitFa0_GBfkP|7Z+ z3yc!rL)F)&+J@^iFlb%Veyj;_Cgyq@8ZtQvp@$k5NWf(RKFlc?>GT?Nij5Kd8$s`C z%~jC#v>ou717`6r1kL~7Bj_tOoI>!w2-?!z0eT-mHs=3uO)8q6#L~vQUskD;F~;oNrYcEO@0KfSNVOL8$ZWx6OuUQj zkF~3%HcXhQSm7J?bH?$EH?P%=qoXP2qgQyyvjh>ya%lL{HisIAr5k5l zeD}0!aIny1)hk_UTb(rXmfpPQd3Lrs{m1S2#od_CaVgQmYdCDbzAXE7d!n8C! zQTKM0D=ccvSvUA6ve<*0w7tZ4@ycGu&88Bh?xZV3tK5IB`y$}*LiZ%Z($hU?=7RuX z#sXyK>8o7l!I0KP`bmu$l4H7L&}@Mso5QBGBW1XfRdn0qegAImA4(#q)$sd4g+!D( z;V~`W#>dk9U*6?odRgrCweQWek9EW@-jD?Gc12-Rl7Spa{nY@P?k&XkYU~BcwXcLX zKJd87u|?~LtB77V*;zc`mhc6p9K3vy|DBaxfjNn8=rE_3f)!O+Pd`>ZU?Olq5go&r zJq8)6I<^0F%HTxloR_>?BfLAFu%4WvykW^A=in@F=bbSSJJM13>~c^Z~Xfa zikOY*G)buKLkfX@H;+aAgc-F*5AYL6#VvSC_#Rk2=iW(Au!&Du=d*dw&?KW5@eV&D zZIAiE3qn#-G=2>l?(xur8c++8(U-qL$d-}zHxRO;;TGfb^!4J4n zleV`~at}&-3N{k1N(~y8?^7Hd`yA=W#?HjKD(%ZmPH;N4=$?nwrz#pV>`O9lOHNLH z0I*VZ+mGFxVA|uL?pGe4;F#{f!05rTAUaDYXZG}JcgKJ@prxJEKq6wdFq?At?OrRb zqtd{kOS7QYxx>XrI~fEzy_7^~433{%V^G@?elp2hs*>j9Z|EU$#D;aWKue1ibGff2 zpyA~-x!_PIueEwtCeNjhfT}VA+2n1IiofkPFZ~;oXs`W$kASCX|2qWS+B%AatQaDJ zhzu!kbxqfTEmo8%mGLb9Wkm8!KBtbll{GS&+X1hoxB^c;izvWHLeKUx?tGLef<|>~ zx;++tcIn=GTIgTd@{x@*K9%G*C}HwT54II@Scp!)d`NrM8BE4sdiryTmyqvZwQ*IE z`W-4MM^5fYxd2cWr_px}$xEe6 zAZnhXUDf;Qv&BvCa|NZu4P_@yeGc`yd%Qxtw}(Tl!=9L`#%{;UL*^GfwQ&?~fycp5?rKxhBkXPNs#}BU?3_TMUOS zfyN@m1UL^X?~c1)HHg5PA*q4BVWc5UKnODR0+Ja51H>u+;?3V6q3Qg6HXEc@yD*|` z>t=orz57!a*Fs6>9sL9J_yyOlQ|eUBxfD}=T1$gydd)-z}+JyGNS>dZkyU(|2V6Nu4KlVnrijB>^H+6(7Zy2SB)rLzgT zEoFgn_L_#bHsJ=}bG_KGPJ_mUN?l+rp>*j zh@hPwufmB9hhR*fgO1twMZ91-I>93En%hVG2Km1Ev^sJ2^9?|l2!Ihrn?j^2`KNh9 zVis3C36Y~p!W_e5I~t`=?j=|iIE>0StLA?t90Y3QH;al3Smsj#b!qWX<&UVRV*_re zN1K-u;Eix(!qYx99YXTOL@7EMic#ldO5jBjN(Xf}`a|6tt|%@1@_WPPy5FXNXx9(M zb5aQs*#k|Vbah4?SCUT*&-utBc7eL+6L{nbB-DznW*jlxCIiS(E&9i>4?8+IS^+0o z`FWMw$G}YJ;+MpcRx;1@Cg`q`FAT*i)}OUXjH!;0|8$&m23#IN^k@pKfr%P3zYJ3&9yKl=-we3`u228se(RtCzvVSDe%xL2mzeCi=j8mHa zjFns_aptE}m}rkz9v)A;48RYTzO5VFIi>HalHm3|-Hr^HOWT)Blwl}cvGrv`Cn{G* z_$cC38~q!^=qjf__tq$Jt8rH1DU17>sT!{2PQWEX&dhhIjdcDDZCpQo3}wG$6`NnQ zP>DFDo^BK%gQ7c)GG~VOxMP>sP)z)=`Zwc-ImXl9ot!DltDPwB-Y$w3!>?)?sEJjp zsjpA<(%_>-a*YP(tn*(}4&Vv+!f^R)C@z*ZIM1i{$GKbgqtYv_Vqtq{enNNIThd|` zoISZX?qGQw5P9jCezzv9M=+6{<=2oxRuRV(%u=+`Tm{Vk=)INIUa)h@>c68QIZBLo zaDFZdch~tJEXDx}NLImuQS-^u?>l#*H4BlM-GYkJm)Zod8#ZljS3K%=A2=^+!FWrz zSY$XSUzOos6@LK=_Eg;gCEvl~IWeZa55R!qqT&Fa`)C3=IvJ#m#9WJs=^4q-EBCB5Mbh>`4lpQ7JT_0b8uX;r8a)x*r4EHBQo#xv1fN= zZcEllM{-xaTdDuCUkN%o7V|8JH|=iu(*ytLTlTvIP0?N&&SXtI(&h% zSBojrHaBsytozrV!8W$<e^YDdz*49OtsiKkr&73$ z?ecs#870H=(RHr3hjC(i;TEwB9>|;8!!T;gMX`yQ=D)%IE*$HlgA#kaL6bX(9s z`USAH=ryaO)qM+n@`Sq`!SOXCXYlV|94B!$&*KjSFB_zT;Wb{I`AQsne6bcnp+1pf z*G`5Aq{7Xk8Y3ep8VztCIA<)*b@E<@Z5%U3A{K`rdKlZz(^H*hk*2B#C`7tEFjEX9 zWoY1@w;>}e^DN)d+1pqI-=XcTVj#@_wo^}u0%^YMC#XmfW(Myhjb6zmGg9f9OiCS9 z*On7q;7y=O#A~b9;x}>+ImgrAxe!qTa9DHv{aRS!a&n7IQrO+k*wSbW&`K(L`put+ zzsd8|DH;P%0?~##kfVW%Xb1Z+K>q6cchdW%nxfc#Yg#bh-}Jn(In?1hKneV_!kOva zlQjw%Rm8Ux#ZL+ZC3JoBM`{=jH)Kg>DEiz#!yOYY#@LxSmt+~qJQ^?}z@{=+f_Jyip-_x9v#8WWtSS2CYp9G5CTRVqX# z;0Iu|W`1Ji%p?wth+V<;;GJgQydLm2zI9e6_xH*cmTqZo1u(0@#*pf(-6BKI4E^uX zd2cy)bR^NSVan#QjH%Q{r6d^@)t(ii71Za3by!a3GeUKrW_L4&av(XzyTzySpwi{+ z$xQQYG3iRWb3?R0{Blm~Szrp}4S5Y1$-Sa$NbGzcu2Gk+|B7|2I!U-$mP%*HW91L`Uq8fjiyraxn*Fz4tp5Pmo>bFqGejFE!1~yl@ zban9o6Hsa*{EFa$pRj@F2ast>UH_fF-t>pCX5MmDhQaO2TXME zAi$RgM}OR*TD->@b#nPVx`xa5N!7OKeXuhcnPOs`oGb+x!XVw|la`P%LdXJTp%k?~ z2f{LIHr@JG24fE5PNiWb@EueH7iL*V8Ni&V1c;($?C=r)HbE*H7F$2k6xx>gw{9%$2M!m(FM z=VNU(FNv@%O6iqlIympsPl4hm{N(fLWJV?4#$@Lgv172Bmn7dB;agf;6tK+Tb+h5% zSUzXZibZc0SZd%%$E77vD4XRZG+hx=cvN|PJU<@w;+{Nf!){kM)GS%!emlL^d;=8pPTu-nnN59<=h zN4MmeUl3PDZ|z6}Yy)rrg$w@6Mu5cPLsH%(sw#<7WES1m*-;AM4%K_1$vuDM87=GY zQ@NkDr8l)#!&MW-ruISfm7falPI`q5=`1?a4v;Pg%)Y1w=n(*JZ%ISdL$ii@2@guPL;34juIsl ze*2kVed*q|_YL^EFd%i#lSaN=#4{s`=xnN-d@^|kjJJZ=&s`*`yL1LHZlya89I@zK z+fitoT*y?!h zq)#?sVo!@Z><*AM@k&YWFAuR305?L(u)xpqgdf621OP=>#uorYcmB0rS(B<(`) z9B*liY^jcSIN<$~hCYT0^`X{-9d?F55EubCNBy{jT=~0LCI8tw)NR-Arze6?-w@p) zI-$6*uX3mPZqhM+Y~p!#Anz-uHBXFCw;x*9cH~OXD2*&Ci^uYE$h4;}t=dH6=Y^7Q zJ$3_c&s>TVE~R{6>0L~{VmCaoDM6aK>3a4&gf)enu~^FK=i>@@gjw z#VQH3=(qMrjg1g&6(aBreU7u&l@DJNmV40^iUAAKwjjOX=y_^jx;AP&#QQMttfv!Z z?;B%SKRg?cSbFiMCxm~mbQb;%_L@K@cUIa#YHDBr)kftlF)>Uumfl1ZkJXMB-7>tc zJnJ4y=E%>n4bR)S9dr=nyUf~vn{F`gG_>6x>oQ6!ESocS3@DxL;8TzKYQ5^bu%x=8 zn3McvQ>k(?-I!jV*PCvio;Jio3_NswWJyIOV^jmg2ToLY0Ako6QhVRbGLR~`T^;6dSlTb%2yb+LM-0183_iERG`_ErPY4MppRzlB}a zRz3g-!_TR}t*}+VserWcll$^^FFHH_T%n z3n}n47a9FGo%&nZrr*}YP`$kM}}Jf=7Nj+3Zv3w)Gkr#d|VxW zc5-~Gu{%-u^EO-K0^RRy}w0|+s zM-qOmgtEPzX2T$ivHF{tKf}7oVYE72XNX_lmm<8YSL;Gd=4vbOo5reNiGkv|I_LQ$ zKCCB^8QWAZR*t|=2Gm?N>t@BUyue#Rj}n5q>zwVan$yuNkY;no*(y%Z@++MZrZCO9 zuBXk>zN!|IVtZ;C(RK=4;`tWTkSj-3v!hqKcf4{5C}=e`Ko1z!cNFjmOkkZ_=j6g# z7pN-*AIjdKrvTX`pbwBu=HuEzXaM9Tg#Q~+k0N0!&yj$YefL*_BK6cC_9=$;`N)6B z`LehC^G2k!0dFaxwV`g^QdICmmULr29zqMvr}*aMcbd!AA_{)9g$$*bBEWDhOFyzM z6=OeIzwH}`-HJMU?Ib+C;Vw_{wHH}$5a;<*Pu88*r3zL6`C#of`uXMO%`$1?J+qi> zzqU&w)V42`EB#NR!6+B`W899_`aCExkKFO#TrEMPTIoUCyvxFCU#c{pTB>=4yu0nx zxsm^d&o~0X# zD8it6371MdEE7`Qsp9Pl6=`s*6O~bYw;D}8vSdV(@1Rp85J(@FB}D&TSTR^8aL31C zQOVbCTVnG4zR1@(=H#iX7l0ph)`=0%gO0aZu#WrKJw%pM?B5F#I2O z2|pPG2`m?tLi!GI_!SToLtC?sax)HPyK=#95L)%+Q0f-KuwYA5uCI>+oO5M&fNv?o@N9}d5X3)qG4BX;T>@6#$+D9N1WqtTm`)JL|_BbrA)<~ z7k<(DaejFl1vxt=c$}NKQr$pGDoZnBGVa59x(|>)&+HnF>kAST6EkdIIfaUE7`$wo z?7+6%)#TACruNlJx->r4 z(XJ7i3)BGNF(RaVcS|RM-DtLYotKcU|IoM=;pJ7JQ-tuz)Q~?ID-OM-dpYJlB-z4p zvx_1_NBMrs#v=_rnrt}*4ycl7P?8PoI!7o?({#F)SRt)lumfJe=y=w7p65Y^ z@188>+Pad_p*a(4ZKZuT%tLF-ELnheieYQGIiS-q&BFSW7(P1>!;rBnyq zv!`NR&_XO*oKszJPi8yQIyM9%Y_oM2oIYMccpn%7R^uSI#PGx$&zfy@ghwL8+w`ee zK{(lfSDsRIn_S+yevn)Jnx{ zUBMZp+f%=0Q!MML?)Q%5>sQUJ^`sF9#HKe{TGdVu)zs$GIM^U;qDV;yiYiUUu-u=v ze5;_C`R~`$IiB<})rm=qeZoU|(BYMDOtFtS!YNrg`yub8lZ=L&=V87aao(k9x!OXMp@_BL*N?F0pBYw zpV0)sP)eM`3|z}G#EpktDsb$ui+~z3oIyM!1l}Gcs2Wk_ZpdfgCW#rMc$2*ri;;az zq3e@BQVtSuT*0xzfF%Y*6-=AFWfz8=m^neQOU?) zJg*cx3n!V_rV|@{D6}!8?|XMO{bXq4LR`r40LlBgbsA(b-KfiiV1zMg|} zd*i_h_y;(7bp-iYM(jcJ|RQP_atXh0U_&^P|oHyG(Z<*Bm}B!Y7Ys z^g(no5&81=Q2HeNu13^!%=O*}ue+wwLNo9}Wm2uT->E;gOh)KL%ln;7hk4y_AAKCj zRPNZbJg1^Hz^k(+DwiY9)Q;8ZKbkP9JqNzb`yhi7 zu*9{w zy~zx*734LUSd7My0QeOHP#jg16DCW#qriz)V5S!C50VF#2k=n^ka0r;le$jG`8{FH zwoO=30nt#3j)L;P?VE$&6#&MoDt`qw0L0j!$~fNb7ExgR@FLE=fKXSK;(e5+D81L7 z?3PMmau=tgH8ptq=yh*^NQNCBhor2r zzSkO(y_r=_zjy>5+~@W@7`>*O7_njIin;&(m=|B-{zs*hT42JBN1XVmLcNZq$8N3uBlfdh@+bT1&I&GIzrAA%$W|T#+0sVwJ^Ys z?%)T)=U!vYp2`daN|vTh_eH{vU3_AaGO3){Y1iYfkr46xv~i>6#Ph^n&$!-SOksIn zyLTZiPww=t)v2vQe|%7yC!m33x>V#Yz1GQ;K>H#k(398en}4+!x^E7JLL`2glZH*{ z)DSTpPD3!+wz9kt*kwBp^LbA)U+l;KSM*%Bb8!+SMY4mcL78Fv3w z!$etFyX37c1TvJRE&Tps=y%!z{j{0+cO8X{+(1XzuM+^(>wHZO{Xst>4Bn*YXAURt z!Yxs}f~FkDyAGr0elJFle`CAV?m-@N5PEh6k3B_HB=aJ8dh<6EMK< zQG7dZ{O|nSS1({9X@@AFAx-_gj!L(KB_aE98?e8Vs|6p6ZbCow5T<0H8@5ztz}?(k z0xq{j7shIC_Jm+Z3ErY;ayOr1wktnToQWxN@-(3EO6#v zcYQ*wpZsqicJFZK6v62$_NTg`0oa^c*pevb{x$x*Z-MMVA*oDQ4l`D3AazFtc3lg{ zkrrypqnvnWy`N&yMsNEtc?9FB+0a(sI{5BhbrRKp5Mx1Z^-Fr7&`5*03?r#7OH|?L zZtL}(l}H9?O?4;_WOsXeugh&1-CUNoRT?Os6S%6$d)#&syeoKOkL@Dtz!>`e`WSys z`O@#B5m3lgGlJs$4Khk>Rx15U8LaE0H||Og?+;~5QhUo1Yw}bN6sm>M$I+b!>5wsX zC~fGdc)Zi@`chRVPE=HQ76>Q*z7T;ex+kqcHdr{{c$54f8qLP$RuS2W4Ydo8E4pw$ zAI~fy9--vwdPLnKCAy&#(Mwq0!e-Xm)?|R_ywlE7@6c8F%i}rWqRT0MBwOPU%~6Ga z&kXii zjt~0pvLp-8Ttp_0>-sX43r70myzE;z9VJl|ph6~e3x|I$8`u{NBJW~Zp?#vLC}EZC z-wEnNMorc6ecJR$!yL7HSw zXBv^R=v&OceQ&5&?LC_AGp$gn%;K0c+xh*=(O0s`Jq)8z?Z$}y$adl8^zKj!mmd$T zr1?WfaFQk$ok_E=p;S^?FB)&mYEXS20G&l>(4b2Jy;Uynv1OT`AL1NOT^fRX14Ozy zBgGD}Z|vZ#Nn#Kv5!2ZYf$SLW6w96ty8_B5uo^%HUb{~8*2(Q|_m(PPd5>Nby?O6D zO*Gi_*Q%0{7Fl?Z8Zm(s)2en$eQg~6Ad-8XOzx=ION4h>%|mPvj!a10_>raHMMV^5 zGA-CYC1(Eu<0Yei;l{=Qtmy#k(}h(a!ZF{`ki@}uAbWt0 z5(lzUT6(*nd64f!=RdtH4*zAv0@9p4P`TnQv`*5Jnh+}4$i-QSMBruw&c-yq07i9G za|HJrBycu*ebFy}Bp{MIC+pFEe-ew-<42a~(FtCF**58c1D=jpvGg~{1Xfh>K43}^ z0|MA`%D+(~*WJTZ3LRz3f2%~Tp?^wBp+P{Hl<~*&$2)C1LvRW$0=VYC_6i4wtQO~d zat(Lh2pb?NUyOQYc*+d{t+9!B}z5s8KIz+NucX2bK{F>BQyNBYpm5K zgP|x7Qj~DXPp%-28UtGo1=1dQPR42VYXI(gz4|a@iVyu_WU1QkIfE-tXPZ-hqfv4J~_)3Fae?Pgy6% zpUt4OO2aSSucSMF*?MBdVq^bt10_eFyET=8Bp?Gs5X9rI8uwt_B;?h1HzvrmWc}()D*TbrV;F_Z)@xPWPQZ~ zcV3JKxsa=kGI>hvI%g4b%mHW|Y!4cMp<#&?sB|QA`Juoq$t!51JggH(ubGw&g5!BAuCh})8(pTGSU(2 zTFDtL-oR^p=*s+H&`JnpN05>M)iW0g`yK8Pw0!ine)AjeD_>Wz?l8>YnXa=o16L+Q zF{TK95$w39zc?QIQF!nSXngQA^KZ(?lw)(PIlyxV}yu;u@L;G9CQt{5iK+sv8Ak)54yIZ>#jtzr9eOy z0Oh3E9UqgWX&f#|*Ld~r9dV z6XMe@ilr5hXOC)Aj?;@&M&|tcD-?Ss6Z8$$GH|?Cg;H$A6dBDIv{MOvwvSZwtgRt| z@ZnNdNoB&a&APZiuEci?p(iC`wy65@#hLiRH)cFS(0evnf#d+ZLUegXS~6qSa@yWPF*S?=yThj6^Q7vJzNZQ*YKY3DG>atFqU&5f>|u0-K8O zpprD~=2=maG!0Do+Mr2r`)|-AWys#8o@ONg(MMSYvNPK{%3zl3zd=WjjDsJ;I&z(< zRf!19Y9Sg6z+g1Hd5vq|gYJ~c);=M1lWEy(Y52}m{=B(5{Fh4jx@Obo8}YXdBKAVS zp$3W88A8n7L}HvYPqAgyk+&N1lA4?PQ0am;(TMraN}}2_}c@ zB_hRozvM!Pz_<>wqgTPFJC78iuV|0=snhDnK?1j2$Q5_Ytd!e1dt?3R@LPo$;QKYC zusQFC!#U2C91F`1a~EzM*CqoVRM<}FAk4tquKS(;!WE+?VmIBweSs8?)mPa#F<5TV z7nMpsfWLWl+lMvaqorAC{f+uP$CwpCHh>_2`HvdM{cpE!!=GtP0N8>Zqk(ctUR2}djUJrB z&_7HB2glO@L_YX7R?q>!ZoO-ox0DZ~)6>mh!$p9-%PVR=P}2penhA zGAVd;C0{>#-zqGUiqPpy{MOk;%Mq}n&7+s)CUH{qemU8YUns@LVkaXx{`RAzo^qO% zdlHy4UHee2koobxmt?w%fV})6=*8m3!XD&+awC(D@z+}O$nvmY0sYEu2-zr52mSTs z2U79nvaWnby{DB7nFF8b@4O+}FnGW&I1*6BSPG+8qct<(sRTV71QlFEJ(+;3-OPgh z1iw+3kOd}P@`i04(84dxb$4K1kew!29Op@GZU*4?0pocBczuw~$&^U%Gr#o5WElNB zSHL9cg0wZY6pj}(D;7NN#>W_x98qKVxIKYFkx9VhYdqny>CXXH&UwMhDZ~o)yQO zBXWsvKc%5FEn7)|tJ{0Bb&|aI8qCDFZjUpAUmZZ_h_{6HOdy@zYzm>_ejgy2CctTz z@&qx!rU*)3m9kup(eU}j=gh8K(jF$QGQ?Yo4-TP8JTbKZMDvBCigPlwS@8bMYQ!@j zj|72iTH!30dwO2s*<=0>*}pF8Zn75-$LgdPz|g=#4n5!fN1OWdx3%L75cqnk1K|2E zeTs4Fr-%}cdrtH}NHqW&`N_0wv{qMy1bL6tJOI(48NPIZj)yqQIbBjf+erJiqU^+s z5U)4q-q{32-45*G;#fcg7tgjA&^_Xj1smy7XM4}&UyV$zWj&%Z=pQySgQ zcY9=-c@TUtX_8GX&QZgRtlnT&N`77!^||laT;hcu9?JMD(;@yBf~(W)ty+LU=)E@> z%&_rY1&N0?tLwQ0l%wu3aHyv6vf2b@0Z{2hp;BN!p65c&Vti zJichMo1OaplJe~{M#nM+6>um`huSD(0PU!T-J@&#E9C0kPK$=D z35iH6eEPG61Zdd5zMce|)JYOv*9Y!PLJHHKe)6}3Zw1_@DC@*}Ynihh?O*nnicF`) zBn;d3VW#})9;NB4%Tjz^jnJ#Bg%xo9s?Yanc#2GORc{;6E&i75rT+I zOMvO9RLtK~CKHF;bRhx0S3hh4j%yJQq1F_~P0Jkteq?JZC)#9`Q1mrctd+j1K4OPs zO=abt)N7x^*k}8~jL=^ccwAD3k|@MEIUs%mx~VH^O&H1(Ft9F#f1&tnGDOjTnJ2-! zeNzZd3T^v~QsG5iTwILd6n6g8z#4L{$y7&_U&D4MB+B00n}i>56R85L__zpf;d`=5 zmZze-(gD!tWeXYq8z zzR%KL#32?GXb@FnyuPH2-1IiMPiJd+?Yy1E39?3l{q zU@ow|Ls%Sm({N5>r;ii&5$FSzO#-GC>9?+bo6C$M1xnnEFP_Dm6b02&vOn;Ur>8~R zHu!&X6&z?)8th@m30p0g)Yry7bZDB|ZH0p7o^`8fXsVe6ZN$bO9gTYX$_^BoB*uT@ z$sDih6HoKC`+&2vlS+b8tyzerYh9kfy5Cc`Eip}MVZZaY?L}xf-!!CW5ZQ*!2pP2g zaw8(|l}Td4h*5&n;P zgT5VKj8pkZ#L{fECM3=(_C#-ZEz}oIH$)8+A5kKqZ&u6#MsPw}&jwW&wlCQ2e(d z;3^z}(nAn!hK&A^E*Xh{An(z?iNlqAZ7Zh#3Qp&wt8^e{BwkNRvuF?QW?^H#I6lei>uH6OV1=f@_~NVyH?!Wf1suYnQFo!`n|s(&q=bkQ zfDvJ(WAB0dyU&mt4yIasDRJ}7&r)k}sSJ$|8&%bqnS{W@Lg&wHvR(F!L>iqlCI{Fy zM>~5;?$0Kk8?Yq995*Zyz&A}`{`pA}Wxwv>JJ9-Fyn{@_w*zkxTs4tOm(RbSewIbj zT^$#{Jhwi6qt5s49PeY}?=CG#556%Wgf)pf<6=>ij+?|Rvn=&OMYu<=<*>I6YsEa3 zuYbUB?Zu1l8QtCdk!Jz3hi)sKW{(_~{Sx^g<7)IHzDM0gcRHnCxetE^P*FmGu{=@A z15jEY*cK2C(aM*8(~^+Ml8IVCse0}3ww40xp-vA6?*2SRVdI!|ckd;vJCwDa_rcf3 z9A>-WJ8se?HEr0CF5IySJ2$;e51RzlA_{aluI=uB7c!sM89y(z7|feHM%K@p9*fOs zlzxOQeM0j?{#0&ABfawO)lW(hKx{tLA_^N)N_UgS$iDRr_>pcGyrHP1DhmN;W01Kc zQpmMX_G@ERI(CSuHb>Aq*3VKv;LjTQ$H24|l@CU@V*oQ7t)#nwD+TDY{Q$0VZx z^mYQy-A#vW{LzjKduxt|DzP!suKzTWQm^RAux1>eJ;}yZ$H7fVaYvB?A&5*4H%Xn5 zat_6L>=>R0P%oY9)~!--3i^>%c#us5q$CC$qbZP{+g#Fq%LzA>M~q?*zflz4-%v*p zhLX3LAh}UnDp}%3c^4N)*+k)DYt0MGZ6X)0TE}tl{ub&CM&6-T9#2xl2;L0A{wKWE z1AAY3jPh6Im}(m`)hg-oLE^7{*NWnPd{8FBlr$&r>0irwnN{#{tu-Ls3@SNF&^+`b zRa)UOS+m17l;v_9B<|cvW+W=MuKcMLb1}*VdU&?!Fx%;&!A(RlKaOWJ$X-3Id@EM$ zn3CQcb9@&7ce;wNXB_CW!ZYsl1m64197#hMDk&Dm;wStA`eC}E2;7^R=#h;v-j};q z@g&*@e^6M-=m4^B3IB~SkB931 z+#9X4<3IbF@<2G)+40+1pgLRPiE_NsWq2|R7nmS2W=r9ZKjTvl#|?b-8XntI~4L*Pu|}Oo(9P z8W!J^9Uf60N%|PC$(tRE%}z8qNyU|iqyiRNE?NC)ZoZ_qD7bzIB*iGbA(v<5i_ClS zLnYb>WF#lI=$lLLolS)AIhi4(!QhYO9tSPW%zwt(z?PH8-GL-~V5ha8d7A9S?cO6J zncstKQLtVnDS-SkDRk8TrQ}RC;TW>c0FL*K-sRIZUzrkZWiN@cIqPaXfI)hWAv}IS zWHI0bWMgtemY{wgae>CLg)kFS3cP7p#~22JJpmv`8!PGFsdo)aobcJ?Cc0g#mO=j1%#l^>SPU`Q6A$z${i zS2k%Ij>_;YS|9TKH|@=2bOsp-;@Wl${k4KAOqE!knO{oIxi z$3i#iLo`)s)WhUUk+?Z^7kUpGP2TJOG%s;j!QfIJuGJsP_ZbajgmoES%3pe_phas0 ziHDMr$!TK@ zX*r=1@U!Q%06Bgd1H2<-$-*xJ7ufaZEj*62iT>P6qE4p6d4bC#ZrGB=Sp+TwNJVLT z(%{HNPz2nNh-I?#vp=uwoABOIGjf}n;$y9xlk0$YR5@hh|JR7;|HWg{I$-2A<|Lyh z`yOn)ww#O{)>}$46I*6Jw9!Udt+rQ3c9%{(e{83@cc4?z_uT%=CP-XZOS>r;n5)k9 zi6@Vr0j}F{C7$o?{&NLLUah{IXZl?48#r?(Rn0~n8&3n7;R-Im0-p(CZu${V}MZD;p z0Q-Dv($%9!drJqxB+J_s?ngTYrG}lvrN#JVWkwJ9B1Tb6$gibV|GSs2TqAI^Mg^!@?8wyp+GO zSoY_AHAl@Zg}ZVJYPYH4sp^Ytzeaac)c#EPeDw(?YD%Rbd|z&|b`)E)DtP1-ACQst zE(<8;kPtOLlX4vvZ$s}(*@IP+*j19)KS)*vo<`mlH7_>2HQa)FH}}c#){sG)|AWiJ zJ)|U|9V*fAD;&|RNk)^#@Vi__=E7atMw??7k{BFRXZDzj7Z#Lrfhi{s=uaraL4SqS zz%}d^bWg;+zAyD{pPGCE+Q7w)XolqNHW+EqBniLasDjjJ0*@Y$Y1zTto!V^IM(a4L z;HP|(dXXywoK<_<&+P2TD;qv5`eqISg@n*|pI?7Gk*+^|()fEHLq35ph8R8iooRmj zwp^))x^IE;Y`W!eepfOrQ|Xwu*0g$49ut>eRoQyA5OBP@H&!*=+OT(Q=-TtLbm1sa z+F$0U%*=M(X4hdyS=B-T^~g=&gckx?)cu+%nOu@OJ~`V@f4%)mZp-<94*Z|%@qd=X z|37y_ZK`Q~%l0>>dGVQT#6&VW;?1)mkxL@8@s9$qo?6X8kxK`?IrDrrNyCxG3kR=D z$noyL^cMoU5w;5lu=@b@-Ul_~lV-OsdfN*k_J)V~S`Q(Wo1U)iZ0Mxjv!h||%Qr_4 z%#vK@8v>^)Dq-jbq14Mwx&?_i?>(_XL<)SJxA~Rn@1qa>bK+N@@|Eml4w_tdCA^kP z;ln$rCeP;g2S0pu&BBfL!v=#^T7Rr3+d@9l@q3-LkmpJcgosefTo!HH`=Tm`Q?7P5 z$TGPvBX0JG_>}rWFzf21GBV$#7r(wC8}wR38!P6Hq+GqEdT3tbp*UqGeD&!qr4Zwb znwQ{deIJXyx&hL%E9YNf!dj^e&kwzRh5cXbB2M+eGkSi>{WS=p7bge!g|ux%(D0Fe zi;Z?IBB=Sa!#SWowE6em@4&JPWY5w2_Ilj7=-rcb;fODo@zbNMdd(4<=qAlzf0WkN zaYk7EL6}|@Q!rv)=uRnFW_mEd)q8}M!*JExfz(@a-}jfsO5m_Bie$8r0vTCX@U5e4 zx#vOqN83Fv6ETFAJLZ}rGV`CPZ+8S1;Pl3PsW(;R_Zzq;dfI|ovcT6M8R=K%u4BoB zi|OWCLo%%juC$?bT31gQ;B7ggx4%WU;ml8Zs5f*D7y703O5425>mE}t7?W!C;UYvg zR3i503EqU9{A^cZI`4y@>;|x&@@W8>AcQmhKXyySqiYyF(g@@4>y#`F`gev0}K`4>j~e6C_+K~YS;OiH)yI*n8FrPias?*UI}JS%M|!DV^Cf;ZI=-a0KREZaWrcSh<+1;E!aa7)VLZx#gM;$__!HRW z{dk^nd3pKk32w2?`)R{!Gzh!SdL=SA_-2dV`!;POCp+6$Y-7V}A_(K@euwYrZl*0E zE{=waX?uISv$JzFgO9%9f>F?B@BPzFU!V5d)YA&@hXZTxKMN~QkIn;mQEy|UP_BG8 zWBgkP8c06Ti_=NWzWL9mDw(a8n>o0+{+xI}xzAWj(n%b`Yg~@7tqcziYG`Q8H@K1} zk~oQ)n3#x*_ZNLESX^2<*xy%CRjo5O93Txzhe)K;X|AuTvOAh*wYEOFxw(mqjEv&F z>%U8FY!H6Y;(lGuLsdeP;AVz*mZ;@sgRGSuz@ek2MlhQ!O_t)OuP-<;&sopH#+I0z zjD?K6y0ep*l*InwgAv9X#s15KnVg&)xo_MdVr4}|3S-Ixc`z_ibP)LGSy@?AQ%dyo z^rPUv)CDc-L{U*uy|?e$9QG!+h7!lpxnOcqQc|XN@9}JQM$^N?;k~_|;^NRkM@C1t z-x2K3&!?mektX8fqG_SD>u76hkGJ>r6;xI#1XIXp5t;P%_HJ)(F1C7Vs#$t^;u%Ax z_xANU&b-4{QBo2X7KVq158mzF+1w<#XwNAQagLEfLe#V~HDHcB%{5?`lN)=!Rc~Eg z7eu_)`g}q{f*%Z$py1HCFcI!+M9Dhyl#C1{I5;Y5>W>Ux_6e_ovzihJ8ZK79<0THO zX=!!exnCU;R%Oaf*}y*W?{@6Mjh-4SE60b0g&nIJhP2t_7Zixudbzo2K#glleFbAW zn=C~lxwN!YPmF|w)ci%V4N5sQEG#4nZnQlwCI*F#jcrMbh&Xe0dRnFDc49(7S9hhh zw)WrGMoL{DFA*2!<>fUrH3@~!&8c6y!7^W7U!!i+cmL)ljH(XH_-QF785|laIIQW$ zEm=}g5oAzjwKQJ?^*kNsbQAskF`b=)u>)xD-}AAul0KG5xO?BM1#PO^+S&?}8lnuR zB_|V}1_TAs)6&i#+5vB_AH;?2O#n75fBQfkE|B}0ZxTU%R~S670?^Ya>XVzec>$4)-t zU=Y{V)@CYn-{ayQ?(Ip)$&u^IGy7B#HWy>y!^vBN^ltYl7W*~_!@I?auP-0 zd@!Cm^jzNptcA}rC?tvDFD|v_trii^Sy@?+R@zp2&)CgJ2|8cCd^t2UInsIX8zEh8m`)AhHZfx$*OsW=@LM9RfvnPy#DdOEr` zf-r?7|LJZmF^RCL(5s<3iYybb9B^X|nj#@(v>KgHbcBTb5))M&9WM_L4};!&%}z|b zMMbs0I#kDLf~KG)A|m4D{YGNt=!pJSM_%41n5gWdeZ%uW)_B8?PR-01Y`ug*JY1+d z=?+0%hqhZVX9CBkr0nnSOID)cQZFfe#{cmRuHeG4`Is}>XFrc2tt2)Y|)+rJSK0_(t(oEX8pK_gI~mXT4QX2&L@ zuk$=0gQUZrNAopZK}c;^^XBf?$0Fol&Rv5p;glc+1!Zqe2dOKXZ?{wF+wSd5Oel&a z%&cL2$>`Ri%XM1Jn36d;IaO3tGUc6}o%#9s6%|pc<`T@LWn^w|Z}SQY#zsb#T0PxA zeOjB$lTw1mE8n(z{t&2%-|JITaqcU*LzX-}Jg~8`9TsdoJ$X1dI7mr*V!n>&)Yr4K zv#T-I*h~GLi{6dFp&@N8t>dL8V^@6R0HluH#(-EG$!P=9Zg!7M-$qSA@LA-?NGal? zqu=7=Tk%-e<>znjPUJN9ZM=H*s=2xOMky`h+qZ-bTSO=sucF%8tDCcp&`}ABxReyL z5yndb^BhERw;tE-$pqXVe;2s~{n_UWMNiL`tpR{R&d%2f3GbGi{9)(j=D-q9sA%a> z6Ev2V9!}?So{9r_SSe(V@4V5Az#^@pGQGM=z_|mK=Y4WUhV{m>Wv+FBTm~X6tf`q< zN?O|C{(fjg1drqX)bMclGp>ifz_W(|TLF~FWsma_Rb9_`^UBsEAv^t0&NK%*ySpLX zN4wSbFT=yq@xt?9f?qjYxEs*-3l+CkRSCYc?pq?EGy@x4Tx_jsWnqn=Zvz+a;NYN+ zt>a}sv(+5rws-Q&Ahltcw9R%m6uPMI*~pjUBYA&P$IrsJ{F*Y=%C3Ji*zXM|Gt)Pp zcG{2eTo>>?z#a*pkZ`_GcnxmtMc;a}4vMtq`}Y}Oe6fSr*N=`KQdjN+Ply%j6VA7x z(u{;*&jSD$hXed~4Z9G7svjpFJ6s-$LgIN0q{=AiFZ}%c ze7WTh)_cNizU3|_yu!lA&&NiiTPF*MrTr~LRX`$6m;B^U%N>$r=jMhjdGqJbIk`oL zAxosM6i(`rAJ}>U?!nZ8gkMCZ->kRa)qNj&IQo*~2dk{Cyq@H7kD77QP0n{a(D&Z^ z-urRKTgPoLuduN2_}D7CLf|(j+3Nu`LqXPzjEte^kgQ*tR*NKLWNL<&D9UPTe$C9N zj+l+bNNw|Byn7eF z@wNH-LIyAuSpK=YlP3^aAmHJ7xSY`?mkiw~$nd&DeRnf#%zNIAD(7*Yx+2^eqP)1` ze!>$F-mx1WAAh5~r0Bsl(o1`;rXE$MCS*5oPafy8lkWTu1EZ2m(8M72CHIL30)$X3 z7*22Sg0~2!{Qf`B$M~LhWb~}`W7p%|;nNcngHD(%U$Wm1Gmjn4{Sd?Te)_ZW)cu6y zf#z`6f#l^w4*&W!yVGIPW%JVAof~eE72Vn4Do)vqPVZbNV{oH6EE02Kc215h22N^( zj}#$yd_uw`;-(1}7M6*{p|ADz@85!UT|}~>jySJj933628SEfYSL=m2a8hI0zjk*+ z%N!MrOA+c7_)Q@ogIY(t2dDA7Gc?UG}!rHxB!Xha5Go zCl-m@;hXE|wD%&Ykt7_7i=3SNckuqc8LKt8G$rjbU`F=+W%o~>nQzBuL%oc0(bit> zP1eD7qx_zwF_8ZV$n?4{sBOESaQfs~zU2DA%`Q2K3*enp?yjQn<*LD8K2XkoCE(@e zmM$Se99b&B{fcE9V>o?v>w|>G;GZjf0UylVFRS?B7z6h1K=lCIXE;SX9+qp0d`VVYuCA!J# zFo`ZyLPA0#^Y~`bGD=EHHe4(>&uBA!cyu&^pvYJn8ymZEd>o0s?7D+jB?T^X&UWh! zT}23Q_%5xdr$<~%aRcnA-^UR$tzfN1bInXo+YMt#N=iQdfJG6adGmAXKp8@cF8a|x z(kl+erfD>LU_hdh*+^O%ew#RC!|t03PM;H@ke65M3K>{Qf`WoKu$VPVuZdSqPEN)K z2eT==XY*9(6zoJr16>(8E61b^42UU7aC5L4i0cv3$;ilT+9{+hiv9~3=-}A91=3%;(pU5@l8v- zht^(ni^$+Th6zk3ZamsSrLmC_%4%tYuzTypq}07s2Wh#alvI}jwHB1&jg7k=_OODA zN-yK_7Dn4m04CdQCr0b(uL`frKE6jr%h#GVV)s^JVlYt!`df5FZ{KyaHfp1u5z){b zKJ&MU}M^(v9Xb}5*lB3!^^ex@uGlFz}gp@O}xhhm-}hC#XUVeoiTRn_e3vL zLV%#Yloo*snu1M;lRLmtQ*U#+wY=M^&tbMGmO@kPO$eP`T^ZhwuBxi4k*19&Ue`^b z9qgr7dGwxppeR$WBaGhM-D!-~?(XTq<8;{7T)CSzh~l}L z8}mw_N-nwXCyu&5c5aiBmi8B^XuYG7-f(H+3V>z*G}N25&4OVYL6$cc@_Ahh`s}ot zTVLtLbjtki*e*2=~U7d40% z@{14oL%r@93Yo`-?ocYfc01g$TM%t>t-m1s!@g=;r)UP4st{W_-dhs7H*{Al@9`4~M@_ZkJs)P4<3590Mu8Ct z-w5YzA>kjOu*ohs4Mht`6?DqhuvNLIz>iXj)O@FX1}=<1M~yPE>ID>cGLZ~FL@1vE zmvFgphNnt1u574)Ecqr;I1%5&5*Y*Iu~Z*+Zh}@P(*0SKx3K%UJ?3?91kU}8-7!x0 zI{_$}Nc0dA`S$IbNyD?Z zqV@SrBEPaSI^9s(6Uu7lU^KCqxR5wM`!+n^mxYV#>w==UnD+^tPdM+m_Y#f+HsYV4 z-?22QAo?FdCGnStp~zpro*o*gVCpBgQnp56tfOR$N=m{(yf&X-{!S#n9`Kc@{xk2V zH%^Oo328~0Fc}lfE*74ikVfsw2ft3%qSW*T?RGE@iBj$a93~$z_{DTdJ;<6mxJ1On zHsD!lU7B8gM-)g1_T!S2kwHHpFKJeZ%)*4Q;|hu{xg?sih+Wnu(eeu<52a5#%~)1EbQ*SK5`x&?TOp(FY%4&))asL z{@tzfRsR+4fRu#=&E89OhFf-6>>W_@fG7jxiJ?;5#zcq4N~O((nad6q9S;@tWs5}Y zZ5FNWcaqPYRpRu?K$k_c?9Xp%^2d)**nIbb4!VZ_izv@NttuAZZ~|YyGBXM#ufIvhSPQeo+Q6 z}`BYiVd} zM?^(o7}(4Pd|3QpEXU99vpa{6hexVj`%SBYthdAe_6YF%gS9o=+uxsU@7KfVQ^eNs zT{okF6F4-+`-kQWJUx^#5Qxie2edurwChXwTA{~`aq;o!jB_75pcs$Cua|)-Ln=l0 zR`)fo2~s8epI#%jNEgk${a+;;8ylEXX&63wBO@dA`RNTL@80t-95T%O-UG~YBZ95F z^3o*@GsgY*0Qs}i2<~3Y#lfLIRzu?Ka=qm2XfIJZVDa!SXpvYM0V**wqTV^hHv!F^ z-LAE~Ty|FE@ByaJ271@Bm45VmZfZ){!uJc8eaJZw(T3hLwGfo;Tqn(_7#QS{JzV5Q zaBC^}-uGwkA9lrV=pMt82zBKtEGv=dZPnQlzlJSJ$;d>kbFZOW=Bt@GN^x&$QPI!{ zyCAEKi_bz5O_-$hVMG^w@_ZCI7ZnjX3u(Eb-?N9~ zf{5I10ju%6RGxZkht=j?}3-yp5ATiP$H* zDKIFg=#?B)7DA|9s+rZ!r|lTqOtqmm#=+{&!73gwoNIK=EiFAU7$hfVwW`bL-Ru4R z;tD|eI0xHmvd%~XM}_mQ#TM-bK?}9EH+{ZdC>Bef>FsYMUH_z+YQt>ch5*${atJ*@ z3hOTLJ`q`|zZg)Tc=Om|C}}kf4Qw17=8COslo%;d9~HU~1sovAuUEXERy+KHklxeL z&{U@@*t~#v|NcGjr5}j__hh&6SyXul*ZaqC=&scTkO)``;FRoNx3`V#Zr@pow?S z<%FBEhaENi{26R?wXuZ&gXx2V;=b{5OWPai( zvD@u(oAQfMW{Nb~&xALt)CA9_*e|K_@^V^Q+Jx#gl6QUXv%IzDlo5IsIyyRrhPjI$ zmlc3Zw~mLou3%+FCu?A1^S!wlH!3bR)?kBrh0S_7JUBQwEKH~?maw+2uCK2TRSx~n zXA79;!7_hBInHiqz{=s`;>wAxuPrULu(TYxN|Bb9)~dJZ=o6KPF7a`;r7<`vZ?tfl*{=zGd=UGT9n{J!1J5|hw?iHNg|kFJT0QarAEh+ zni}*xf5^Ha;h~79C6y#dV0ZRtP}^C;_4%sM5gGt{$!)Vg8u(JN<1K%Vn}B~ZUHPsW z(uARMcJJ}w4-XGddRp4omCbOf0-NXMl~V8U^!Nb$W5qJy7kKo8Zg=4F+S&aEDS(9* z2Mp;6@ahv2>#D1vv;n#5xw8Pm`nRXG*cURov#pK3DC$@JdT~jVMNKO>JF(5MLyIyN zLKaNPQ>9llV89D^V$@(yA&#OOw{!{@((C?uM5f$UQlr5k7AI{_txG#cqhn(*P*D9m zig?f|`ip=E0q%!RT`CB?|Ecfa8I~B2uZ|Wd%E4(tqjqzVPn)x90#*FH%qoM0Wo08j zC!t!0t5sZ-<3G(UMqpzYa*QEjB_t0I;(@I-HdI%ys zCY-isl(~`U|H!wb_K3(SY{2RVBJsGKes4-taUk~B1_lONtPt1a=f4^_Iz9$wC$MOGY}M712Wg$3jWHQ5L6n00 z{NC>FBxkzbX%P{T$Hzxd>S~oX?k*3!yu5(HjhwdmqpofSEMq(71H8Z613Rj#H3$)p z=_H-@MTed$7+k9vU^U^QqbtkH>ruv1Ao$+i-3?Z1i+#y&NC0l7eud%C`MvAqzA^|U zaIVGC-P1Mo?d(wKSlQT4G(aNtY4cD12A{W19bSknh<$+S3q{e;)CBAGbGSX4$>cH!%tWzC$;brBC))hgii?Z0 zq1L01IfL_DeEs|YSKz(dC6?T_H!}-e85|i=-Z*}Cwq>+B6`7ftHxJ2TDVdp3TSjU~ zY|ZlTPr{p=Pu3F`7Z)>yKYjWH{MSBUY*1mS#Di`5>=CXE4i0`SkbRp}uGL_7FiqP8 zKd=^g_va7b7dtyU&OqFjm(#_kOX0*w_5Q~u_0;Ng+O??^EA|`_d!enN5d*M`$RYg5 zP67aog9D2jB`qz&CxgT-%2lC{A5kSKfr`4=`$@rgE*_C$PMXN;WU@6mJBwM&#l*zK z#f4*U;E>CdxWJB@HY5Qr0XwkTzrh|@cq+LiOZ~hq$moD^0)`|%H#h0yC1BvrPEKy_ z?y?=SuzBy`Z1~sK|My0!kvH zPB*chtSmxM3^)n~6f#ZAKfAg%08q^));NrH*&Qcb+OP#eom}qQqFp(uV@YxGzuOBn z5!9J74&v=|jgzht{9vLuMN7*v$E8hN8yMewOg3g_d*H%$bO;Q^nvgp%DJ~{>!el}e zFDPP%&}PYy{hXSLO94Ry`1I>2WJ6jU=~hJGXvIDeof8Pj0{~H8-@m)&p?8r zy?ZxU(~SH2ZhE4JertPMkV>g8qUl2_;fE-p<$~PYcA^`~8B7P7{xfz^N$n&$b;kQh zH_t%$_h}cvj}y!L3414}1byIiQqG{-u$ye5sG}2ckdQ##9_{T709sw+q@Dm)ItQ3y z#*>zi?r!1z{NEaX;pa{Y1UuJfX975NwY5&*&m<(o4CyvHNQ@NDAtq&HL`6oDG5ROt z;o$)uQdCqF9UTo;tr=b7b9J>y**RlU*_lMKL>~4-6M`b7+T-dM9oA~>1+?!EUi0R2Dj^E z3iEn8>cMUE^xvcQ^3Kj|jg5D{eZ&7Tz|JrH$+k1YiYq(#u@!b`&SpHPurSzP;W&Dq zVw|&9YW*E1rkbiM8{oJc91Jypi=q=2BHWNQuQDrB7$VrCcmyuwLE!) zVtl^MABk}CZH#;mS?s{f49GeY(}u26_+@W=!cZTif?ZjQHUCl%wpuP`=AX;UULaD) zjl{baC+%Ge1_}3#66*Z$^Qrzv#IrF8mQrz3ZSA`ei#|+ePzC{sDK4ghEX-H<3*A9i z$qAD6=M0}55a<{g8{1-lO`M>;2nsoP z`_G?0Hy(+{fhb5uQnKn$bCn!KhFP&Gcus%e%%BP2_Ol~Yy3-e*nAp_Rbbue_M+*S~ zF>O!vY{EBpu^#<1!H&zv3GTTcSXcn1Yfo@(0%8qW*%lx_ew3<$LZvzEGZ0MItY053 z6zq!l8-ReOHvGt{E|P8@HuA0FzrkN_BJwPIC@|lK679sq#9Er0!C+db??4d=ko%@I zAfxmyE@~;Y;4V0#nP`hS1GF(@O6T+bRzE#A=ejpZN=HvcRaKb?+et!Bo>MI)CAF}y zAhE8mudkOlsGjzG3Xu&j$M+T+>;aDi{`qSpq&BCcln8qFH>(%VWCo}?MUmZ6gVvV;5+<(;4z+-ARYn&!WO{n%}oafhr&f8 zeO2UD&{ZM71kwpG*qaXfIR(#EDqiX}iBe^3>_>TddC&s^qFnKMWZ4hc(JbGKiUxlE z{JFHm!^t@hPXE`hn&M)~yyAa0=N<^x*bx-Vz`m(w4AjxmLPJeQOVu`mgxWnk1V%xS zY@u6di~WwQyZfJkSn{mce~0QCQ1DRG$FFejm9?}ihBzDb-RXy9sU*!!Ois_v6wU?1 zp3lmZyZrn2ue&*`@WuJn)l)-5QqDW=pg^RVnwx)214x}{Kmn4ub011r4{_iXo$SoTi8x@s=hL6~fQ@?(#@l2_Ca0Sn8QCRw>B*Xvs@uRY` z@;)IYn)ffx&C+d?Mfq`)H~<_3b+sN*QwIf^z_!Wbwkjw{%)`UO%j>bheh1WDMMXuW z(e-Ec?{Ii4a;FPQFdm+ao}fSn-E*xy;N;?369O4jGP7|?YU;0R-)F9R*DFsjw$$WY z$tzl&b4w8lNtw{OrQ?qX!+}r!iGKG=Dtl!i5|x(i!$XXXvxk{}!1E9a(bLk?1H+h% zgygF+0DcgW&x+7@fK(H|Qj4(E#KJ`#e^W>-c_4J6aCQAKf&cfSXkN2+JF&xTbgaFt(A!HU3)pD}3I#yQNDk|jA zI>9H!ZC>A*vZx?_79Z=Ar>CbtBIme}zKHUVl9ZO7S~`)T2?OY_MWw&Bx|%s)=&SeK zCISw|UI5K79Cn-iU>Dp_pf^r=ach;1>Zw_U}>aDBHEm#LA_AEI}HJeBiEm z5>1tmAJqQuuS1^;c6U7h=OOUF@$vEMY8K7ui2zt&EXyC3OioO!ZEfYL-7+9YK zQ4q+b!TKK_hKJ%uuP7@6xz9S!)QR5@Qot-Ip`Jv)WQUm=8j^r?9%z@pkPe(fGJ8kI zo^jk&Hb_WFv?h*&7yhPJR-&dKHNZRrVHFnk#!PGLYufXC@1Vl~RVixsN)XRZ{aU;g;SlW*BrFBzUgZq8{A z!Y&kITY#M*At5G4mz_p1G_8r*xGmJY6(!iagBg=-j zNh+%czt7JJqmnt$M3Ve)7=%Z9$DiLJpF;-36SA_hq4Ck(p@Yxbi>Fo!FO%X}yu*Ka zSx2on|6KC9P_WD}k(0yZXpYsO{W;yokHW#mp0_K{n?XF9(My(8JWy;`#4!j8+yFhyBsSz%c_Wg~&pF12lF3B>%?a{sAPE zitR#3)jVF$SohBh%D_P}u=Y2h-d4V+arLl(lH}rowyEswY>+WmqU6tp*W}~~{a4vN zC9`w}0_bj)1ysC`ii#HM9uoXC!bs`CS?kQepN1yAHnk(zJ-F_5WC86J)6*!IhfQK; z#>V8Z+M3|nGV>{SZ=MCo-!1yLFYT_r`ulgEX2LK4U#dUp%TWA>CMG68eg~X}U%!+a z#EK0Bs1v^fBMq-})*Ur?6SQ^7{Asfe0&BzHA8JteSE_V=W+p*(tfbZR3ef0t!ok5| zbPy5gX>V@_gpKGHB%a2jX_b|7dO*elnn6ifl#}yPS8NUFAVNaI>C{0>*k`~39Tn`d zFWU^0i&0Oy&7W!yqf?TTVF_uCJ%pkrClzrCw*J*HMJr8td0R(yD=N)8zCWlaDG5L9 zfx?@u|0D^GYGh;tR_aTEpISpzRZM`J95f^(xdS=@{vMoh?IJOH8_BgeD3HIUa#cZI zt$YI-E)o-W4h~A(Eu2kFDM2^WPCk2-4N6$yzwGQ%oBcu&uXiM7&J*2EX z|M6oUMKO?z1qKrM06rCfvS|e(dsI|ZyC|g~zyCBS1ep+!kP;ITwt+%&a+?2~o!1W3 z_VYBh78k>@()Bsvz2Tf5CNu|Nf$;kE>o;%got?#kR+?RZ_L>nicGJlEI|~a#DgQn_ z1&xSbPn0>4t6NVz0gIsU-v`ZvYCzt8`$l#Pnn08rTz)5jOJV_0`v`gyK%bg#xj3*c z+^D9frbzxk;X-T7Oz^l}6mE@b;iPh@51(e5xK@09oI%-EuhqQ(E_25WZYqTu_XL zG3o;Ei2`^}R*UtvoBeNluw#IZl@b?kk8A963eg9K!}Lj7X0Hqxs`SFYPQ)x7+^ruH zZ@v9aB)cEIubwcGW&&Tp3*j+P8j6Z2nXztY8Ix82rOIK61oj{-4^V)Hh6V!XdkJV= ztow+frl~nJJnSF)wE)05D{K5NXbmXX&KpD+AT{9c`=;xHRH-!y{F}+2KmYvsbHub{ z=jd1iXs)iX%ImP#uKO#5&)nrAB1^9c3?2a9=T6SZ?XCvG-cylNN$}=2UpH+3Z72l= zw5+0{uBHZ9>xeBN#mlvGoz(ua3wFxD&=3d(Ku87})}sF)vcIdggJq2U`V|l?r|scn zux}arYC*?aFE=8QvZ^XT-_EhpQW`iuuY307^g*nS=aC0ZQ536khK8GmhctcHCo5v^ zs>;g1WRR7UJ1Bqo%%ae`NZEN@pxOH0fj(K#he|Os0XEYT-!W*p>l#cTuQUM6LPI0P zYDV}Nj6`C3dRb8FDlIdgM!%efiF(nNERr%BQP=xVF`hXj++ zX*W3?0e5a%Yu!$>-hXr1u1%YW(osn4Un))63uIXf=;jKgr4b1iqCJSTbv|AM<%Y1A z@@`CG3;7B(%l&sj7RAtp*uMVx-AhCS`kk2MoMOb)l~Y#B$S6Vq8V*kCw{OoI0b1h^ z6gby0V0o-}!&Wr_V3U)R%SDv_J`s%kY9e5gY*t_fED2z+0dZQWzUU4tFU;|Y6Pfvd zmxQ)bl9HX2Sx$#cODLQ&($Z*XXzigu5=KTMo~P0_J$H2hOUieEp=DRUs^XU7tNvPG zzW#s1FlYm~>U2cj1DJbQAAH?!aHZE>;m<~W< zumYljgEQ3>dw}t>we>mvuQjc)GJ%JQNnjfm5<*Ey*|CUwN=}&+fe1$=AQd~{aJDWI z3Q~xG5+G=Q<7q#)zX9Vlx-8Z#`Hx4iB(S}J8w$K$fRY-Z-*zC^KcNjBOkYe4(enVM zOqVo!J=_5zUb@2qOb&gqrH5qzfE^tjV9_Q>uL4mD2${Q~q2a%k(4ID0;u+oTX+tDO zM@Ji#QEgF2FD@@BM~R0wwWOX;0Q~(wH#cfgM*uzrUoCl=f|WDGu?m_c0Gj>vDnL_2 zcxqD8cW$|UKiEWi-D%4{V9KWPdSVwCQ$}d!?hR+qVvAhf6pTTgR4! zgalCSR4}8pFCh@;folZJlVpACmDZ)HscxjVtD7ktk&tB%QeYX5?}2g+458d3&{Mz- zRubr#v?zULi<%eVZEtIPc75#(dLl0_EC4m-2>YunCr%=kfc&DSqOu_t=1Gg!rV%Hs zC&qo-1N0pY9bGYo-t$)a|H0VPpb07m%p=;({e3guH3YdEQOoO0pkh)2|Js9i?zvYN z7kcc~jGVVqMIZZdnhv8mfv5&;L#MnTYF6VeXlv62K)??~?E+wlYirTz=}XhoA3%R0 zh!wE0LECllBVIpkWplH~-NjyT@M|;QZ`M{;WIXV2a8<|fD}OVG>B^<{cK#a!tQpXM zZpxIbME9+k^tEL`KmZu51SwRHiwQA+ZD7{S+{*tk?Dh{27wfDuI-xuKk$7)jyw7&$ zj=Xq33i{b7k{B2n*A5OACMT=@*}3^=?A$Rx1Owa#G7kn37qsUAt_$SOddm8JXD6g% z>@$F~MD%4vFMIv5Jg8h+U)MK}EP_d!v(wd9?4jK`*ZAutHx}H7ppt{u(P6TU7i6o- zXx8sdAxWBD&h(|F!?&aw#&a4QQ>ta3Ehk{e&UK$;o$)S3q+&tKn4IFCCmg3 z0|UZKBzXAMNKrAd3ay4tEbgpl#kZTp<)aJYPGBbcDFKEE?6?oDBm_P`!=j=yT2AD{=?Tb&>7ED|w@Sz#OJ1Qbb$fabN$Jmrq+W-x04?`P%r-HkB^J@ zW+6k%|Mpc9j{o>7$^Y#O9t^;hM1Zq*u>1VU5&_O7twnvoYJnZulWo;|HD@ZIp;_05 zh`-6yk4`>Q1o9$lSBS3a6G3!c<&UMY73R2D_UI%szFyp6?mLxr zdfcSCCHdTyD42kB!}x8{mibo;Ms)RXJGYM$9)mcaCpgs&5HJ!bduqamAvBmtU1#4O zzLJQ&SYN}~iL2(*PN%~@nq3R^By}0`nuz)lqm<_IWem5~E?Qu5{o`kA7`lSkja*o* z;^<7DFUjF+R18=gRp%{aW3huxjQMDVqyv1m`X;~ln0i-5`{HqWk7!qhHbfYUUa7}d zI!InhASimc*)UVdy4QdG;JRp=nhit7s-FCktK7KwE|A5!pAOqBV~J+=7u->{B!y-D zb%kN&RHUUFf@j8#=L#JtIpkwN|YBqnD}JS zsj^~PXsX~G;mz3cy}1|W$)&mWtZ&n!skCNN>sp<6q-oLqm@%Rne0?w_eA8rk<2OT0 zf?Yi(eieux2HiNqs)>?g%{Fr6Z2vlJpG9Wn`=7nZ)A~$o{Z;&(fDtS%y3$u`kVs50 z*U-KA3b=%|r-#xyF*q}5Ml`MrtRH7d+i2bf7_)eF^hZxwel(Yr`XPSYYIP+qsTX93 z5Dir#VkfE=F+H4yAy60nAp+kvsKoyG7kePcL8l zkS{nUg%=+v6uCWeyIZvu9fGLyGNMD8y<13#kj!2*c875I7w8?lz%}mQFf@`PV}tUN z-Go*rk6_p|4Y_E(jkn|3O0)u3lZ1oEmM*DS<4UhusehAA$WPr6{L*LRIPg{U*MmRe zq!j^y@=byb)+_ZmS%f6=E?UlIqgZP5~8eMx=O1#R9+DU=J^rp#!PJ0Uds_YAmyHr zpZ+f}$ina+FsS5e^O;^vPT%aafdlJQn-NPVoGlJVjn!aG;d~cuJI!m4K0fh2{BKdQmn4=4YSO<{YM|h8wr0%{g?stTaru- z1H+$pFs90!3Z>pe)@-b^muM&HqbIKMXJ7&_u z9`Y$FD{5(9V_DPHu9igOoQ^lFC^T$lj6OKkAf#2Rs1aMjH5*hJbZ{1zzHfvcJh1s@ zOOXSYJNi;ksv^Mg^X%*}_D{n%w(M`@zD|?-8&qI@;CUq9GO(q31;HzB(T>uXT0^nJ z8-aSUxBohJ)*JOgjEQ=WzfxEB=QXt%t<#6?{>og|_Hs^lru9+!{D&QnnOqjHn`s;?7zw`YA~N-Q0SYp2=uJ8FT+72 zjE~o%uV!Vl3(rI>om0^uS7@T^zUVkQ-XtnW-9q}pVoyt7I?7VHQWH@8&2DCc0Xy@Z z=rMa?W^X$Z3dCxz#1@gIa6-^{(TwsZyA8}C;%GzS&}HAPa9XohC2rOY`Pe_pD3l=B zh!+?+l!P1HUq3o}wQ1)(1?>sMc+29GtPZ*({w4_}k77akY9jX0oGXPb_Tq$`p0L?= z%SbCOZmTMT8eyz06RBkzv6l1rC5@&+e4T8VFilQ2OtB)3_CtMdeV9tInGt1SIBlrk zSM;Vr3{W>kW+6qT!IJ!?=`NO2_7PoqY zT)S;rn*c$FC_fHwnhyCZlpIBeX&f6uTFDZKcsK0`nT=|VWzq%%Db$A)?)S-b5gW;E zc`cUbbq-#KGpuGeQhjssC^W*#QaUVd>3ZVu`iGAR-|7tc^ZIo;Ez4OXjxjR=W;Pjh zJxp=qMBk_L@dV3~8@Zb!RU>)y=<>(kZlQY{vc}na3bei6Less%8FX{2=0R32t^0XU z&WI3>Govta_606QeZ@Db`CjCrkjg_GdSRv8bzAgj?0lhuvP0U?7pON1zZA>B`>%>q za2_BKq~}#xp(&%MJE<0vI9qt?>jdo_<{^;r&=_xQ z5%20FNssHZB^aVV{s^bb~nb5+12rj4TmsN-X8r*HD!-IK18IH#*U}JEMw)5 z5~K$Ss(yMk>%W$Mt#x=m6g6^ivp2AF-#khy6{~1Fo*kdQ2uTf92KQ8^EA|>E&G0!4 zn(@4Rd^4rhQef?Od)2kpvGQT8R{v+!(Mm}e-+TOKAB`tBm(#vctru=HGW7&Dez!uV z?7wD+pjBB}&0QRAZ|e{?^1i4uPvObcoIizjRleIy@hx%kjb*Gae^)fkW0~S)f<1@# z-tkm@)p(ADJl(5zP5o!*&i0K-yB$QvT%}Kx9Xqe$b~HN|9c@ll(ZVM_rqFiV@fO*~ zg;oE}%db;D=Oxw)#FY)pgCmJY(YZ)<3&b@IMZ6YKca^J;SI4?}>aoo-=0j!@XrD$f zMPOAV;xu$|}Dg=BUs#eec1|?ILq|-3+PD=rRRSV%ek4 z;U=Qg*3JCgeu>Ruo)ZUZ5~%`bi4Pj z&XTUhdi*b?YJ6W^EnRVf?J(3#Jn6H)3l#9^NV)W6stP+CqtQab_?f#;S?T#uVv3&b zh2d-I+xx9h0WXYNdV&XeX}y5!{>OrN7-Q%MSp-T^<0=Tntnc*)nbeuCxOZ9m5U8Af zzZ8X9|Df6?&CaXh86s?5oLm(+63r>jIdby*r@#d zJf#BuO#P^)kx7|rjDvQTA_Msx{w6=YpyOL0Nssu`t*s%Gj{~`bH4uja2C;4w2YqTH ziebnaz>dTEz$xc&gM_mzNciPW0m)hLzWymw=Y(5=2p=RD~gurI4pf%WL><_j60s z1{H1qW|BJt;mibu8e#uERWenyWhphde1?R@M@3~dx@Ib(jg?S5jpEYJ<~F@a(x-0< z7nN{o>U`edSV+wTuq2~y~t97FM78d$6KT~zZpCi*Ja2bNca;THFt?0 z4-NeadLomY5g%Ry^HTLt&4$55r|X-xV1iyB=95o4!|DnIlpqQ^I>S$|Pk2r{h$eX9 zv1+mV*#v3#B`=5@;`VD{Z29hBi?%BwCMaE$ePvYu=<>F{xxazl2{Cf!mjf zSML)@G{z08^v&H^-52LXq@?2xI)LcwFeY9@|H^$CcbvOd*Bu|L%0O7@kzf2>%SAaD zYk*zMado8%ro|Umd70WoZAsegX{^vZ*9q-rTC=xZw7mf0sT~*5o1;+Q@4d8uzLzJr zYWN!uVm&IhmPm@ZJyxlN44s!wg>!qR)(COQSCEC9)pc;fa_-SraZp*N*6J83ge9Nw z)2^xvZ%yg-cIGq>h3-B++`n4-Ib_*(t4-ZD-&|mb9N*e`l0h-pwRsRhTQ=IZ&iDT8 zQ&PFwnBAN6)D(&D9gPeVUByk;KVWu{FfH5PapaT@F^jsF5|~zN<4NSCuXm=l2``)c zvFMJdedjc9614@MEbX*eQ;lYvkH}?(tMVu=Q|~m}>8~r*Edh3Z-Wb8)P*!+6Y`4l@u6=9|CdJz7 zBG~a9zIbg;j0?iE1L=L?YR`z%I5RrJ?9B0eOeMlf zVxqHR;RBuCs#ffKohpo0=w0Dm_ztlp<<``D31dCF=~U91e{c+1=@)vq{6o9ZD|B#X z%ID3=kC&q4{)2CFCovxHo`02!dX9f$6*G**hF<>=jWZ5^P>wUWS_jE&sL4A^uS6A7 zV_El8iNujOddP1)*sY5k_tmuAeexx8QS<@UMrT9knarvjU)2I7FK5{KTStJFXo&<(U_Ss;fFrV+U`vu-z&@3$o>NJ`AZ?>1d}tLGM3Q<|32E?otK`E=s9N zoKpHn{T?bQn{93$KU0<9XLzuD53wlqqx;2A%eTn?(j+_u~C^U zb)$lh$Hg884r8}B&bf{zAE*bb7TGmD&QSPJe$tOB{Bm2O$2cv!)RWS9{cBCqgP@_s zL5^#+q;=ZUD{N$vl6*gWA4@|f)Mi&so!8-!a`R;;Bg0<)f!i33Nf*+qE{An;uBWA4 ztSCX2c)7T;lt;bU!ldr2NqS@xmZh$9T?G?{*Tqr%ZI7i}rPk!d~)OI{KS#mi8Yb_urFylO>v# zP!DUuc#ji#nQhrT^<7zsqmHG&L)_*CYIH+pvwH4q`D6w+CV~4Sc_;sYA#wgDwh{bRh($L|B#|+f) z0v9gL+H$$}xciaU?Jk$$6{kpQY-}>m$8V#DuIRZ;?gn^8!#Xi<5+U+_OB-2tiW2=O z$deHvFsJj)4~LqKqm0;MQ4jgtT_HSd(u@1mLXB3Vu6#m27+LCMYp?2-2R1Tux1jb9 zQ+%w3pFx#7p)6BN-K(C>>un0J@*?VxAS~Y9zVFITbD>w?3j5vq=rY~p#9tQ=X3M{0 z6duTX%R`{i2CM9d_31-&(!r7;nJr$XENLLqctiIl)ifuABpF>?U8Uoze%fpQ-#Vg6 z=^um=?rUfyX;m^T7dL6mqK!W_2k+}xYaO%ocE}CemE2f-fp7lSPeB9!vcpaqS0d`u z+i-ZjSBtPH?cA0ru^-qMt3LYK80$fqp2IWilxbQ&L8OTpC9dtAacq-1nLD*hliQ#( z8E$*C1=!xP%LXB!(((OKHMy7@6Ul;ZCNUTn3-~0A8@Tt5>Q7egG#uSN9j>2AYDXK) zLU>=DtUv&Cy;Nx-c35o>rvA#tq()a(Npf1GR3yyU-A2yU-VJ@avO%913R0o%gY;Ej z_{7|Y^QAOL^U(`?j~%E-Yc3hT6F8xbWgZ@06tDC{wPe-g@d_5>8*L2x(*5pcs$uG~ z&p~>31$VjWy3hs&=(K#jVr9Pb?Q)i+UcC8(aw9p$`6ImFiFEcTGAI*j=j_lRzM@(W zp9Z#xlPfv&^6t{k-B%?9RGvD-E4kNmo1+i9 zPv{hFIn1+$VSe{zHIt=HUS};lYUwvD!#X$rN$Ap$A)wdAwUOPcGZ%KOI+Z3F=sn%; zY%SwfabtfKBnFS``)tdKMoyoSLeHi3+vMUlKzX?99*biK z_VbqwpTDwySC3UsMCbcvwEP;j;QH11t6mD?JWDJjWLVg=X|=_7Yc=;i_fC1s=xD27ow}#zHQ3}K zeV4g-iuLh`j!O%Ig(t2ggBViZXz{fGvyKmr-spMg*czX^-S@S!=%4>$(ZuY4$jA48 zJlma>R^Mz!_MON&{;w9h_cEuhf0Eb@8NQ2fgnPv)wFlR5f;3JoDt5@Ji2ZURT+@D?O?Bcg5Iqn_N3K zlx5Vv|Hi@ofudH2z)MGh%}azCn-8ZnP`=qd#r(+Ekz-z%1mtz9d9&Kb%Hul(T8IDe zmW$VWpPtibvE6?6>djoPUEX1?dKfu6WpHqC$KI3u((Sw7pKze-k}SXayT?tmQKqk4 z+2!Q2DF;4OAN%yY^N3;MSjpb~O)R`SIfs57eIw^ox9_8cg||>3$4$MDr$4Tn#G;o0~@ZLnBKo) zhkf2RI(^t&@ML?n!;=DTHt907+JXa*w(Pi-e_mLxiTnA5t*p+U^PT-Eeb)K!lOKoA zHSwEQG+5QMx|!!qrD}4@$^7AxuQqdr#Qm&#-eG)T^41oghRQxqx3Ub8tx9~Aqw191 z=!N{@)j?andR8(9Y_h7LZs7`N>&t7iK*=7iRT!Sp1fy;E-NF(<5W=cy&Bs-8{3|)9#HPl{}fz@%;CG#(lOl zX*sb+MeEk08DSfQn_M>3OUUfG)MwS6urFyX+>9!o*mXv6db{=0W0!7>5Y2vU)VSTQ z;LQfU<91z`c=+_@=%|0*PjIVw?9iB1&6|gmw>x09)TrKv%(%q!b~pFj4V2ZX+|VYo zFGxtNZ;8&kzY85WG23GM#PXLs?T60%VA_Ajte91sX0{huo@nS0dSHsFwYd8653}>@ z?@l(H_9fD8{vN-9^_yHgv!SYJ(dt+CO#6>5nPxQXbL)lA_m4H}y(%es%jD--jZQ~S z^YIn$FI3pBJGi#h?bvnxE*0iZci8AL>~>MZ%&Vc<00=7rh8lRcfcH+e2b)SR{_w5}TlVzAYw&S5&(fwXmuBRGwt96Xa^~0W7*Smds zJ)_$9kF7sujr`v4#gDwcQ_4Xs4{10+HUtJ%>!qxse67$VV^~p9GeU``Be3Nai^Jbt3gvAD!is< z6bw!C&yFisvTFa)?V+iK%^XKsADGnPWxE`MCYgfe-e11w2=0za7F}QaWNL;~aC5Y9 z_7nd(hJp=iye(RvntSu%hqz}JBGIq;Q(O2R7TQ!EcU>`lay{eWP65kJTXkQ3XGOJ^ z*B>l;(0ZoPUa8V3FU{?^Ny9zMVkMWx`|W%B^5c~u5hEiy{4T1!F6i~fTURSYP1!hf z_KvHwUrzX3|54|>wCgwWWyTk?x7al~b+4+&DYIYUw;I(ldHc1-w#)S{cW!vwut8?V z3f16eBX;)aHwYN4*3^uJjTQu-T|9Kwy}~f}+%5T!YNoh3Y`yg9=Y!^+0eidFxcg=2 zjP+**mRL7W^grS^TzP4M^X~<3JmV(&&zKn+HoV2OX%@1Vi8b;(rYV-i+RaVc2ky6V z``X|8#LEjqLLIW4KDvFKux7`V&1;q({94eqPvFmtoMRs!`OQ7}!!vH$q8+{4Ha>SX zx790u`}CCk6W3j{mj>P&b@U&}oAo&c;_%(i2TwN*4;UYQA$Ic2CClzz_%r5k=g^Tm zy4hbCHotA%4TD!_rPq5l^30g_BgYTQ*ng{08uGk1S!xxTRX-o5s|kD4A? zAMwJlZ{oBYe)-+9!TxtoK4&c1-M9197IE7by*V;^q17*5ICRvxRdKtz{n`)TTWFke;K9t_wJgTE#l4$<>fWXa$I@F0YhSa@PWTa- zYu)_zJLB=!qwhFd509$YX6WSgc^-oOi^k5sJ5YM(jI}gz)70i4U&WjrdhGM{<-?D3 z>24b%Gy3x@v*RI?$5Ov~qf(mPZ(Z|Vk4E|P7mPaFth;gJ{GZPnUOVL(^k!p+7V&`t zb`6!7oN$%j8kcgZxFp?VLe;L^fy$cA&$kM5Y>ZTNzg6~=dN+xV9G=n1FInk!y@wEaC}m7+%P zsQ2gcJq2UlzgjiO!$sEmA1AZEn|l?Uo}gH!oVl~|u%Vuvozqurt}qFFcsA}&Ip-7a zRQHZlpP@W`WcmHm8&&5eQo( zjqkj(w)+>yTfL~+`@*)?bF0K(>f!vd`HqG0?M4>WlPJrX2)6ldN$oSKZ5R2jJ6Xz> z3v=zxp0>IbKTB|}t9$PyRjl(>H@cU5S0H(LVSr<$kmtgl4{DD${?Oog=F3<2m)9Th z+2PRfn-P-_hW#47d}dCeZPPz>cAUAnPFF2bRHj;_L}Eej_}fIyd%SB-VRq#23SC-x z1z!lBVr09|%lpHo+~LEwJ-&JWQ@=BN_g%gaak8&u@%ir8zx>K7NxyN=;9K2tbLzKV z+a{_{xfdx}tps0#(v4CVKK^sYE4Q7y&l=H@d0yK$Jv#mP$Hzy9Mx_^-n&lJ@3jFzd zr^|*-o#&>sGBt~fTfWu)Q`hIBf;Hctww!d}d!TWO`_}mQ-hOKi3`>?|I}$VPN1-aM##^bN%lhnS4p`qNi!gD{H`s8Rvy&zWc!m zmwAc)CdqZa8Q;6NI&#Q7(`&)Yj-8lsT6CrLu}r(i3ocZbHnUuGbaP~nI2Xm~CY(Am@`?4r zwtI>^MU_m?`k&})cDLn~nv(VQzMUors=r^Jn(yzs>z|iP7p~oZZ=1!QD+dn*-P`Oi zXT%6ZM%dnJSqEF;X%ds@v;c7Mom`KYhI=8y08kyg9C+aEzO4rR|HqD zCQn~nr}?*THY+y7oodl;dd=FSP7OBuIQEJ`&X&$WtB*fw+N1Di-4^DnD{ik^{8cV-oMmiMc&WVFGWT&JeH0Kc8YY%uqQSo{9)dxQA_wN3x_-NRq!j}sjCfzza{JrDx zM=N3#0h3-FR`@PlXuZE(xgRqcZD<-u1-mDUwkMz!_oPE+j)^mf0{HL`KjpY*CX3E1cryJWLH#6 zqql#aZ4mp$KBVHX(Nb%}^Pv?ko9?f=d4TLuM`7bl1&^Ao-Sp$c-N%oAEUjLv+QO?;+G zt-`I5xmjLczC4vlFXwIci|d|HJ2Lm|R!LfJM1#a9{Z~}E;(MWBuX3mT@-K!_!KlsOV zzW1v|Y+mA{tmTG@ErxfQQsZsTFn7fxhlZUuU3Dq0;WK+?T_J{$KoEo@S!%eBm`pL{jna@#qe+5G+9tCLf#y#(V93dT4XjTx)*fBH}Vwew@% zOVe)Tl(e~H&@BX9UYkEV=xBpwo|C#y-~RfQWa);cqeQ-K`xNG1Dp)sNS+U;HnFER* z9{=)9zW2-G4*R|*7wtU0a{Q)}ZCwgiuHKZ>|K;;Ni|sDG=;^V!TjPwR&&L9NM~7E5 z8`LN;_r&j--dC5UTHa~7$mrn5VGUfZEe_pE%AEVA(Xa3O-c$~X&fn#F*YQF3XD6@w zPMH&z^6Bv1dAT-z`_gON-TP*HgQ5&`(=WSDuZwIk*d@^_Zf$Pg$%hZ9LOs4;Nvm*V zW35+%F9$B(p4vBN^}#_g9j#wQ%N=(mmsC0U=kmhr8cugQO}$h6YIfcQRVUw@n^c41 zB(q<4PnD^5zwmC*vEH=0)+OFujrJ@%8`{5LsG{e}$c)Ez#_!s^vO<%?F@`bwZDY4| z>^Zw($8w5gD|3(6^-Xg5*veFT%e{fMw`!`ge|P_TJKNnnZ)_e^|9a+t?@x{%d9Z%L zBLAoD6yuu>3q2K@yV7``Y`d>PhUJRwxuVfShCRx+Yt!7YXmpDKwTl-dbz42|SYe#a zsq||p;}1C+WraJ`969=*RTtJCTJzrnFdduD46r@gN_V!dnrW{)KsH}>DwXwZu@DN5^;n`gds z8Mg7P%a`4!cBjQ0_)({&Ji2zDLh@6!kEOJDWKC2LR}vwym^$^CWh!%Dj`s?m-q(J5J9!o1(ex1N~hb>Ps5 z$S7HPNr38|t=ygqnlkJskj=lnl+PIWUbDxYEh?q#((;rWU6Z5}@P zv&PwU)4+%Sq||QpHRf0JmAD%@DaWIY7Vo=yb5-RJt3yZRnFcjm#{?B~Xb*PngqCJnE0s(jBi;eDr@ z`#yB2dT)JLgKm$k1~i^n@zdPI<sbl=q-jl!1`6I6EKI`>WzmLl*?wY&k!uY`l!cAM`ZO?D}WN7DBiwS9qdG=6{&KP5$na*OlxEY4k~enPT~fJZZ>x^ycIRZbdfj5|;)^!p4^8+`?&_<+ zA@`1Nxzlai2aoCl+m`E|xBcm$)B3HaPQL{#?ZCmG!j41dZ2cyT13iw&F+h)>? zDn&JpRH{?{_qKjd{;Z8HpIE2uF^9DtL$|+g{IKfHcMF=zHhDg79-O!P&YfF^R@1V& z2Kp_^8DLPM^5}@09-r6ro#Y<#t?1Y82=}3(>)yT|5p%_^S>GPst#V^G{Mywc(SBi{ zYcot%_i5d>d$pLm(e_nekMD4E%$1gbX^jniZL)V~`B(e%z0u5ZUe6B8jrM$~{b=81 z`@*DsKh6w$l?%`$>hS)?qhSwzfTS(Q&{YZ|S1P^E?_YUne^8 zYhhyC-IbjlW;GsJ!|aY?_9zcYt;F>&CPW*2er<9cba)TyvdEz81Xtt8qrV3Zf1PN2 zJl6WgkPj!;xi@N^m%eS4Vd{yWha<)}9O_$FcIwWxA0Hm(-aPD_*2#Iy)tl8HhCNO_ zdvH#k|E+PC25k%*-fGE%fXDt94XPI?rXR3sIW9Te?#PLE!!qqp&C9AWXhVhm%bZ{I z4OJA%v(^~Bm%lIga^?Nwi>GFNDOePjpU|euVB_*7)dd!F4BLEeE^x7y{S#pjS=dq- zc60EQ2Px$j6rY~yGi=hnRda{^Y4T9n-_XIga`UWW`oE9=6+2 z@yD#l4lS#m=(PP~1@KYY&)YmF?y0|Z-Y>Um6`cL9$4)NSGyARih|r|Et80g(Og%kq zx!~J=db68vqb7B>W`U;uUgwmvKUnP*EDE2yZ~mgU`^}?$=F6@>kiE6+d_5!L z+0$}&*9tS!)2`2J(!#*HgLBKiy?f7Wc5dFzmaA&t%a~rR^XX<|0>a0RD_JEUZ@Z!H z!ISF?H}76?W3tij%@v;)jCMZN?)|r%3iZadbnELjq5W3y`JYD1wu%}&4VW)i}E zlaUMh9jzLA-h29--4%zuz9IM-XH{%}T)go7iDNrzIhtgYZ&*F}YMZeUqKgTR9zB1? z_N*Ga^~&-~s$=Vp%$IlkSYzUI<0JOUmvzq_c9d0Hc}ARUKgOz7qio4&^KNrSnpswh zJ@UTA%uko5WKY~MWKLMX;n^1Qg29d2oLw4OdAxn+pbV>O@s)Ou^KsneV4dPM+v-}N zNBW7%ovsXa8j+b|={PlZ$+*bxxtIDk^x5BH^&D}FTe2?kBXaBd$8XqBtA3~XFAgmn zQayCe^D0-1yYFgZb#-Z&?P5u)*J>-*v+WK_6n()DJ~Zrf|HIg4J8F%+GAS-}>8t0Os~mNb!sI)uMK-?U`h>Qn899SyMzH%#eM<7CYmSx-9*FUVZjrNyPf z&Gox~PszOC+N)lxMOJA;+>R$LU*9=TIHQTo$8(-xk?Xw0?%884&Sx8Z9z5r>PyeeU zsvAsqYb;$6mU&LHBJZ%H#R|8IF^k>B1#65d)dl~r4E=yKSTHpQZh;G+9um9d~ z4YK3`+#$UNJ?wwz=VcQ=h{AqpM>A}WvzfES;{xX`Gh?~uuN_`qG zu502tF1A~ztgp9~Ti4AKb?d*lvUB*-^A3K7^B=^mlT_QTTyf5BjdI0|YV*%LDENLw zu;k0>7nYqSN!_Z?TidkSmJ_PnD}y~tLKEjpuJxI)d}_|PGaIvy_qkH!sc3WR(kc7M zVea>*w!SPG?o%cDN%t>9hmDa`+b8+hUD_l6@s~S2bMD{C?$)DV;H;Aq9lS5C9o^LU z)Q-5}M?7C=wy8Gc){)=&9!-?zwwfE}h-4!3SK-gE96p-k-LhU2*YX__hW#8qG~rrb zheNmEmfF%1(Sx2`iEzFzgYRU$Ou$a8x$_7zb^dy(u9T$Y}yQ% z7QIGvahs~=u#?NGx(K`{@4hSUuN?a)@3Bj0{+CtdyW8wv5ILfMLWgb+hF6!3?DD2n zw?z?a>gLUywKB8u%a^UM9vkWNXvqk-v{fDZ=Z6~^U(Hy1x_a2E-ZrAe8(zu^8ZHm7 z?ckkL(YMcS>A8Uy8a7&!=epPKXuwAE#IC&>b>5gZeAV>f6Ytg?FnLm~tOc{I#~S?k zGkWNrL6vkBbKqfM?qC^rRM|xt8)fDN4lfTB$gE5)qyni>Y$~)A2*sAB7D9nkBr+9S zf&;|8yumqTKLPk~hnb^5VrC0|hK@elh9oLgv1Z<8{k)vuza1kI6XUv>nSnddP36I= zWYZ9pX;i#fCjmUcjDR5oA|X20tOt!qXlbfOBeJwGmB{{oqLJ$7#!6xeF53}EEX+-1 zVu8>?Y$_FjpIMn&ivNb37`ZY!QPnL$9<4|Sii-cIlpzK|GX0Q55|OEul!GJ|2?3V> zE2Qvf{g5=jbI0%?v6ax&fu5|3{8OV)X;k{J4#6QeuQEzT@vdC)*vFak5<} zftej>KuSc93|tMA1|bIV1}cLD@NbwwqCt*{7C!P1qNaRnSu1gdhRa* zer^wr@OQNDCNdX^gytd(p{2RG*rJQMm9e?GG5Bq+s?dM=9Uk$j(4-IrM9CQPFCbBq zNwYHx%}e*B#As!#A_1KIk55Pxh=fwuH{fOFB8NYdnv)I$%^8V)2R9>ql!?)bj$Vo| zMZ6+5L?H-Og(Sr&ViUnzddR^e_*Y7Bn}WR}0jLKQ7caB^{sVxH2t*R__k)SyrWlIG zhDTaKzXNYH&10^3G@$_eRUz@pI4CKMfz+=8tJ2)72rcl7*{PBMC=;<6h9l+Sv5Ct+Afb4 zgtE;-URiAx{LybF&U z+(<(lfj=PVw9#}-sIjzTYU*z3fFgk#@N-3|AQ=QpRlJEZHc=5DpA?rU2mwtz@v7)( zMZ6%OqnodjT|lQYqLIq*G~r$!6UdngS(P%CrT~{>6ANS#=U!%Fk#jEr!W9dQ7NQDO z2*RS}2@!%AMT{ywwTz%7APLZ%D~KZXOlIi@e5U0;rV@cn6OOl+t!r5ki1-MwdvmlP zds4K(XW9s)f?g_Bq99rot_&&T(4ZNL_wwj1dWjqa*F*F!G z(>9&ZT+q+k*2@QYPCxh`$d9*&lOCh-amb{6qaiBP#DHI;#ZLyGF>nY4=o5b?@c%(9 zf;woYj1NfyUWEiFOb)_gKu0H$KJnvYlUjj!tzk4Q+MIhcgjvQGW}yg9E)bDHRxPki zNR17Nh*!m`k`e@9S)+izVCEAqk4=bCCIZDKOqQ8JDOh%BqC}jj8DvPbj4jbZv7omi zRuK;{dbk5iI+?jA04%*doZQQdMPkLrf;duRVJI^UFDz?Hz(*L-B(nv9LKSY3s4}rv zhAR^RIG|U6F-(-Lec7!kw&YtAF{8%D&}`%k3{R5^T^XR8J0?js-2f{X3kHeTitxT-2MRefcv5g^9sI(OtX2UoW4(J%s0>)=pkTR-$k6fHJXy71$SQh9V-SOB8Lk9* zRy=PCkhfJ&0qovPqj1X-p*a>)9q?GBXltw36k5W`&BrdtBi6^usdqO)99WqO+peeZl*A_)dD`#A`6-fW3%&OAR}5^ z7CicL9U>+Koh2h>M$fd-i8*wRF19S@z)~jsufa)3A%d*15HVhy$XGIRX!J}QoCJe& z00hR`xw|MxC z>h0W3gKT`eh9E|s5LE`Z5bB%Xh&HMWfV7v7MWSBK;RUro6(25-RSrYO)||dnRDcr} z7!?bU2$$Zts31{WUhkyROpZn7j46-Cpx{C=f>jFWr1bKeL_?x5A{=%o_2cF+L;YY9 zLphoR=nGnJ$AH3vCL3fZPL5%ssAfZGiN%@9Nbn^lx=LDvPYxc74LAnP224uS00kh2 z;sp)#MqZpX&?PFRz~quN*%k!`n(seGPNMVKfr(lKsmbF1=-qz_^4Sq6UakD;}r=B zOr0Sd5(DzFNa4gP&1!MxMbV=z*%FvX0M~(7ob0_otl@!ChJy0DGE5ml2N_MbqEPYN zimJL2j)`+yF?1O{WAOzX4PyiWrA!z_WyL6kMVwOCCpjyJ5y>INqjt8Ad6XcGFy-MP z)R*p1L2NR*nW0GoGUc)xgMqN0?!vJQ{-bTrI_sl#AI z=GvJ)ex~h4;79=pP{_B-P6jU!fo~D8AyByN1!o$C24qkn z(Mh4o*l?Kqk$LHkb|?k5V0xPBA&&=F3=RyG`Z${|RwzJ8CmC0T$Az+>IV?Y@i_Edu zb1schkf1J9I~JykEf9E)@LU@Itu%Y!RD_xq9E}LXIN)X)5J_Udg>{iRRv;!sDI20h zj206VD3<60P!JYar3HC;*tt2vbvS1`3=%4ZmqH#2r$C}sO2!dLBD#bbJ2|h{mMU;7 zA7ctaP$CQp?7HYgg|=u+?7841gJDfDGZAqvzFs;p zx~NhFzN+X%s3hQ$Lxtv<0Gd0V%=7aO%oDd57nvj7qs+?^eaIx36wtf~qMwfk$dJ&q zHB$>4u_}rQob43?GH;Ov3e7}jVmqCtt$(7!@ zr3vQ2l<{q%#*QVwRKZ`?f)g-MW4UE5ls86hF2UhUht6mwA|iZb zgU=%xgU_QBOl}A`SjX82vOMNggbzRlqqRCA7l8{l>3j`8qlW}j7Y&zyc6E7fgN2kV zSVW|NLzE4kHYg=UollUnI80JFTFKix zVi5~G{wo1OxDTUFZSc#gCpfku1wmWnAp{^yh<=c%Yt#YbxExi}aj@^H?V%?ggOgSa zH-$5wc2igorv;hvPdpzv|HQvY?NA8Gezgw&M018qv_y=wPpB>1QdAnZQ$>e@H8h2g zl;%iauM7L9Mex8AM>xH@dFfZ9U?;SQR)SVE6NMtGc6+kM+EWn zUx(%?qX^#ltKoo*7e!RlgGoS8Q;>2qRe0lskdr1-{zMrM2#=adgX_g=o_Q$) zm6H*Za27_hgJPW}d@`Ur+8c3N4v0r44#-a!L>6j%$o09Cq%=O3&#{zHhO>kqUk5jP zcP}UVZs6QrY$(Y4o$OgvJP`~*z~n@P$W{e43piHL#HCnaNuCUd5HLlMT^}b8h#(|F z8TVhtm%^!`;T-%~$WjXUjP_0$kR^!5%fZ(T6vMztSY-m-q>4?72?iUIjBQB0q=?~W zjRq*JW*kKftrW4eQU)R>1m)rC<=|@T0k$RMRq(7UIkE^)#mM8zf{m}^{5%*=?$YTD z*O62~ScINw6NWP5pk6*6-mpj)3=b2+qZbL_CU=nPz{6M|&cm~xe~nNA*X$bXA-*`l z!8K&QgP~{I2*Ei+Xi{$ulcc7TN#w5MM`A85@Kd6dE=DUKW@E&C+kV*{V#i>wh07FFPME*KUFcCAiZtEM74r3G`H? z;=OFRIqPL70OvwrvWkPKX7J_*CM&&j6~6?B6a7|-K=@31K7h3yGOoQ30h+=;DO_fJ zq^zkUcq5idrv@WNpbuX#@ZligZ3lgi%nZEHEVJuz6-XTx#J3-pXZ8;%a%cJ@xba2JusT&OY`Y$U2( zP9W6jbX>WMjSiE=%~}Z~K%i#~bT~p_bfSMjr@3#)4-wpX3LWTpnLIA#@}~rP#z2Q- z1Td^SbUJKTZ~!wdN!{?F=1w(r z0oH(Oh%mX+La6r$AvH-*k)-rI3qLRI58y+4YY2llhpGxTy7qa5i zF-3T*3S57t$YZZ6Ky3n(2axftOCi%^R_Y88#ThSR;DRn68Ka#NPF@htA+r8HjxNxu z{Y~Jh`!LWJ{BMUsDT~6a6D(?U@Wu-nxKEEl2Zd2v_Q2l?(Yb&wHUKUf?DQm)ogQUu zC_HfjR?uaa7qakTVu?J+KjxIu2osaTH&K#-Q+lLWm|p z{=SLk5je5|Luw!t6e;Ws0;?R(T#RS{vw6y;U-HGfcor9}7z%=*(zTwv7oyTY(&kb}U$zCxgRBq+6PSRRI1B&)u+t5qIbBPg3PhOdvPu7(JgN zM$ae2D-n3~qVV?m-{94|cggDt1sAPAjpMr?dZulDP{#)6E_wXg{g3csp=D2JUZ-ayytJfcRB`DNzO8WyVyhuRt4#<~BKaW!V^hk=11|>4HP*n=- z@ksz}N+5UApR@Co3=gkE$q;X9p+`-pG*V_DG6hRg0M*G2mde!KNpMxGvr?uHl>{E! zDJ^~y$eg{A+EVjhNBBYU#~oMj_z~bg;SyQ^J89s;lFQ*@ge68hD)d9JKn85}LhCPH zT!Q`du-MKj+3^YE?`uk7uZadQUO>XXl}{LYrY%6AWCwx4446+B1Qrslkh6DmC}=pv z&@p#;YY4_ADd7GarZ$2VJAejli8^-p@Q^ii_3Tigob;{|c+{2&p_Q1F(Z4BT^ra$` zf>;w9WUonGs3I8L9s@eq^(`GJ>hz@Nu}y{{QvL%ajqg7e z0&oOTBO{?#D)0gjur?uiDCxGL4ho1!Gnx({Jl)4VA`~n*1_cWwp#T_vfuaFZMEW{O z;=~6aB|^mc1B#;5klNC10rE~}W=@9UGIPQ@#|SSo=h{$2q}`Xz2;r2#!@re+r>)lj z9_Azn&U30Lug_PZ`T+}aOfh|xV~QctsE3m_4m|4x%o7B)kbQJdwt& zniNG)lC*J&5iY#QhGM`1qvocSOp?r;@=ICf0I4%xL|JqlDak50TfIp&)9C+ho38AD$WC=BHyiu4Wgn*k21&Oej zuPM|-37JDmU_oEQNl?a34XG{EmI6OpAGC6sABk&D+{DndOv>I#<>Iu0O&vjIboQIjlxo>=ONTx2LNa*=i? z;)Z-exV!Si`tD}HuS=~h(BG;B2$6t772rZ&cp^=|*gwRi8H6$ip#v)n-#^eZ?a@Ja z@(F@b0F16vzo?;coTP>($4dxx91uo17}2Q>1jHjB(3=2+AMv1D?Dc_>^6s<}F?oP_ z2!D7h+&2Q)$D<0(&4fZTp~y@qHWNzBgiH8WcqNY*Ttj^t%Eeo zC?-wJYU)5^P^f|D;48QE;ntlPlI~FAPvl@Vg^x2MLvLW0HO(U|NHR`VCZdbM^r6&X zS482`7m5h;97=`|Bju)fW8Z+n4a|uE>6_-viaeRydf?JmG3iyHXd`n0QN)!Sh+a^W zQd_jGaAbm#9gAcEv1i7yhi=Qzd3ufnD$b_N#F1kQ{UQZNTcjZz5so0SxW}8uW2;*? z_;B=YS`xN7a11?1r+BnX39<|n-oUaRsu&Hf*M9>ih376y<1KE7=YkE6L=t~jB0 z_ZPni&-3u#O0xyGrhNWJb-8SYCD3^}=`BjDj0 z58;K;kAcUaL25ZGh!nc^y-9XNsx)GJbdEL?XBf0(p$wxf)IxI|d5a$IXc&6Eg(uAT zbQ>QOdZxt+J5Aw6=2V3wZp3QjR28wBI!z&mm6%rJQd2@2?%$&DY1ga)Y4F}Q6njPH zj6?<4P|s>cjA>At5mQ9r;}9XQq7Z3oGD1XB6@UocN0SIOP%S$ElI~=MLPW0VrVx?o zM>yy3p{PvZ(=Lc0d?Z@|_)xlnWf55mgjayCm%tvLz2?fR8naa|J}KOVRp%>Wazc^A zhn$nbr>)lrA4yoCMRCTWg^-ia|AbQvHy|`{;(Ug~$q;jtUu(|{@R1A7^GMR7g%g;H zWG!VysxL1f2P!$F48=z?kAak=Es#;TxYfeR8NUoB=K-Wm&LU4cod-rPL*}BTF?f3F zj|}A4g^n4c-9IE|^q@j`jA+1{1~@K~M1>lZpQs2K`j4JznF(ephK11B;l$!p$}PDDVjkE(QvDm-&h@2W062zIVbv!4!9x zs}M$5xeA{Lypzr$pfWb*n=|B_&R9j7hwJHFg&Z>_9!W0zAyH4AtB~DJwnySB3r7s@ zvfyhZqg_=9FG)cjqAnHzN_FNcI0NE>9Diq)V~I!#qc2#Do>Be*FGOM0SZGk1Q-*?} zFIOSFa-bNZf?8{|K>TAD=!->2xm*P> z%E(pVtyQ={&dOEv0i;aMB##vl%9N{68iPAp$vF&kvwi7_adl)MdkEAV=4K2-^%y;| zfH6j{q8YTr3ca}sg@Bhub5WR>9v`FU%Oj&r6|ArL@m8#_t|I1T z&*TguovZM-Hz`=e#fftN``YS6CqhIu0L>t`vbBWsLNR(RYdvkz@rRVr*mpNFJbD zQ5C8?W8uRh)gKBLnS_LS4kU5`iZ=L6%iF=11aL+LKr*U70HmIbN*_Kde36;{!O$kn!n5O68`!UQ{vaJEb-U7`>=yT3f1-GBQ5Mr=E_!OFefVEdd%TvobnMm{}%*vqrl;%vBOD<<(G_8u!GX}Guh|S@J zUpQe=i#~*aKGN|Q?CP8Py!KUexi8h~grBj{mIfez7T=_;c@p!KLu(2vuNXfb(8}ml>@z@#4?O z!Db?zwE(_n@L}`sp%&wYS_&vLW|gJbP9>hCWr8H0{N)07_)tO?Y;0EXn^5qe0&C4H z?FB498z-HyB$=cJmOCE4p#M)aS*#?jGdf}k0I-Auaf>t-hr+m3=3!wX zT52X(*24?VB-yi-*Pbe7w5Q@{Ohlj+%H7M(L11gbeQ)(&sL>bi z$UYM1B@8iU^qmqfkqKmFg`h8fG2fmctl%&Y`4*>{J&c|B>zf(|p!bW&4i%ZUr0amH z!ASIVX>)}M!Vd0C;;S9&Bc^aE&p`#@Q|C?*=>a9vmxOW3UpT`sgqHQqQq*q1IE`Bh zM}sdh1wdaCN4VtRF!Ywu7b=94n9I#IFVNsd<^+~(+HsabB?|7?tvdV>QKkqYDLf)W zU3Oty=YUpEbX>yUAp|)C+8?q7eig#`mEL*_dRMM%OhWBPL!70;%jj?vGulBRRiJVP zp}@R`4<%H`)qJQ10AddDAR=>Yf_Pn^Vn!Dzenu${L?uS3$fA}HEKa?L@t+`4Yi}l1 zjH(aZ1^U;6m|8A|y_<6}{4=h!r)E&^6ks?#5*01ZV1@+~QS40U?pP4S`FE*^$-Oq5 z?f4_(G>b1aNPeiXB;>2t8r=&T6Py-AvdfA&kdR&$S`hW_>+{;yCHQbFg_5D%kPrNI zZVjBeb&+&{a?Bvw>B!DWGNV9)T2N`8bX29aP-(Cy=Hp=R3!={x}1ol%oyCJAzPW6d~s?n24k>{+2E|811uSMBn2K{s+#yJNb>!3U^Gozk?vWJ8D6e0gAIeFsR-kC0Wo@} z%?y}q4%}CJ@#x^IH+IgkywBWVTGijCLErXvy(Xa|$4RLhyx)x1->fRws*ii=LY6&`Jziz`U~cB2AOv+gLGj%}+W}5cu2P z64=L~!3STEk@!#$;4=mUFmE7)I63$^5$MYr2=F8)7GZQviW%Lb z$RaX~ATeGZn-HT+1P%HO2wdzUjkF|o5kz#(!3QdZ2Y@wz@|2m?4#Vg!#Xw>7f@CFt zFB-t6Z=e2Xvr-c-esONT(=0woZ|e8CHV#`JtgTSi+xtgqPu?!V|2qmlcVO ze`tb`%Hd+DE~9spPy)i0hW`*zQSnTuj}z|8iX?0jC+Co$ESB%b$hJ&|xXGkU0B!Nm zJQ`e;l^E{pCmb9W6;OH@04y*O@rn=yxR;Jc01;KS4`Ws3@@8Dzrt>d-7mnkp#3>Fr zXhG4$%$vb|WSkFUoRB6jh8M$kR4Xwbii}iFIU6yw7r8x)BF>9BlHm6r!_gg{Y!}u8 zLkia$rL&sX`zgkVDMoZ?FuY_!(}hDK6d_T3`|+CpBz!uA@TVF$ctXr*^Aw|JOmegw zn4^j3y?Qti!kVoH7EMW(FuFPMGbR?Pz&1f6PLfP2M1ekpsS}SB4??f5xY?u~may+K z5P)02J)E=(>AdU&c|d82oI3ABY=*s*q*gqKz|R;%gG;`_&^S%OK^cE?M0?Fm!QrpD zN!O^)3Z~gkx}ffCkCb!dt@oil>C#Wryx%(#a0VLkT;0cbH-az6}kI6Au7S z=X#qg<4FjVvM(**%z~Vm8W=v)8kl_w49#0USJd$AI|(?WV2g2JkStSR7%~bzsm5Gp zb0ZU(M>q5V0}z?#ch!Ny1x~T0=<|L$_BJJ`4^^%6jJ7&Fh!$IDoejcrY>-zkxEz8% z1b6nJ$b`>V1t*1tv2Q|>klQDi_#|)*2^5B-3@Hpn8AE5`SLkp81MZsbqejF9XbdR= zf22<9OB^&H96hO=inFMu{7SIzQScafFocJL0JxyhK@CqGlLXYY`5IGGFE}aOmr?7n zgfzzz?ifv+5>^u@1cxI70O!O2$NsPu4Gd?!I#|<8i6wZ-@L5C87;c8;DmKUk?)GCh z1F4tZ`}`48<@|L)CTj1q}@4e(X?2IO3)O zp2HMl@Q*Wq;WQC22Cs9|U`%(3hsK2y03C!OiqyEY^JjDm6bqLn27^x(VqB#K9{A0Y z1aR;n8h)OJb@>;K5l=%M7%{P&Q5hkC)v*buffa|*QO#W`#;|yK2>j+es3z*X_=kq6 zZ&L8uH6>WSDHF4U1H9=K5g6nIzU#vo8HtGr010;A(H1zmXQT{V>buH7f|%l;)03bu zq2+mHs7}!VjC{L-1TY$XDlMl1}pm#hm%$rK(ozO-6n$fZ-VKr;QR~Lhi-Gj0R-#aj#1i0O! z8E<%9lTvc-N5@3t$vUM_HLOhFB(f8L zT+U2{#|)E)Xx?o{=fJ$~P1K44axH2RE#;qK;ja)lZzuL*oN<=0x;NqXElZK=+KiWw z1D7O;(s#uKYWbxK@%S0d5b#n~D})-2rkhaX>sy-e`ZXoE2a9qOMoJF9YRTGc;@+Ig zf_eR#+?g|CCrd5~)_1oA;fIT9j3y`aAwVsE0`US_3g3VQNYZ=l6?tzpAiQ*@<`p-! zk-t+E!Dm_qgIO;eISB6MAP9QGJg@srs_!5Peqr^x0JjxVcoY?>`K+O53@b`8G`O{dIMcPUM5EESRKe7!-CBExP{L}lghU~!1U_XCS5gR% zx4o?ZEuYZgzIg4g8R`Xw)}W;{VPe$47)dwkhGWoVK`dzJq6TK?f<9&hzo7+Md&+=J zUrI(&A#aXN`3}luZvQo+bGW1!<{|HUWyRBG)8w4qDVw6z8Md<&2*o4+l+)~I@ z5=cuJ9qY&$KuWT>S&0`7T&zPSX9_G+a?De5PWY;AfLDnlx6sdF_!B-0VlaIHP<45rFM;lrNO+y>Y;0 zOA@aIk{FD_z|>E$U?Ld)odj~?MF#*z{9t@o6D+t~?Cb@h@zky!y&xeuELkn{n|&>~ zyb_-#(NR<)IXm$Sd_I+8grS#kN3Rs1?y@}j9wu=~J`fo!Z)mtABf(|$DZ;NfuvR3c za5UAL0>JZ6YH7x6MwBp`5%DuhF5pfcb4fx*=9VO+-;J{r%DlLxBX>MlN-i|l;W}7s zIZLr-FhfrPK5Q#=Jh+r3t@Cd@*63nBoEN&Mk0^-$Yr~1J26>d$g`!u4t&KOp3*@F3lfqvjY7u|Uf-UCG$D{g zpHn6o*%V~MB5yz@8E!0~h=P`0QUEQ zBxEd3x=C*Yk#5}T!$~*SIwxaqIdh)GlWyD^NfE@5Zt&FyOc9c9NR_^gB;1~-CWxom zTxQN$h@si6PCf`g1Z@PqAlD7qN*Sx~<8cx`2EDt?gj5a@Lzr2ueGritA@Xto)h);g znDpRFuY6tBobdWE@lWYVc%6O{ys+e(2|d$xBaj_pmYfJECHOqM5-1WcTIfLq=diB* zcwXn9gwgqjpD|EL5h`zVrwF79)B)oYwW@Aqg@ragO4E6=?&b{0*!5$4ol*!5C_}Iu z)Ud$8#=il@^K2@JFxg>{Q!Upl&a9O8+ML{ zC_>?_|DZV!rf%@p&A0$VADfcsLnehg=o+qs-Jf$MhJ3U71c42K75@ciQUX{n|7V;c zc(hytCz5fBL56T!2^^w9<`EA*p&kt)upDj@gIZ()$aFP32mZbne3AHaQb1&| zPFlK}WAwqH?sqx~umY|IwqR8;F+c@C&5gJlI3WsRT__MyRjeKeq-xCOg4Zo5VRQ@P zXIfmKUO_!(qi7(vwCTWr*LlRV87-X>^h^r__^75T1#HfOlLa8YfiI8h_97aNzFjv` z6XM`7G@I27Xa)0p@R_(|Rdk{}9DXPK?+{_1)Ikt0$HpfFDTigqw-tyXnux$>?7&=u zw`7!^CoJDOP+<}|p3n^(ca{c*T$;)NPLrV8EpbRMLbHWi&X<@;4~; z?j`fjQ{t~yQYabnZUsKKtX?stz_T@>DsXHaeRSi$qC~X_9R(&{TcHFuK~X57DYUq> zLTgLT=gMqXa!HpCyYljI#z!Y5=$RH4aJUAv!Yaxl>eO`9_rZ5U2DiY(bwfTi=$SSg zp};#SE-pG%5Rw!huZaB%c_3vd9rWPTinu-Fdx;YCOdAhq`UZ;=oq>+xgxpWW#z3m4 z90o>}i`6d(A{ZzV!xPkH27!e~2MC^QQ_V7wu%lD-wa9f@rReA|Q$MPE^Hd=Z)A2 zNk+@Qmw;qDL;bK@$E&4iuv%!s-$PDKyx+)*$I`G2vWYhYFECIt~*7H9NzaLC5%Qw{EYT+us&3C^dGFIPp3)WBj?N7g=^gXr-eu+0DD@T39RuU{T@<=ha_f(YLQjdLDV+f@eB4x=`RA9|~$uK!o+n51Gb# zcPYt?=LkT)O+nC>U=W<_70KWlz&KFi0SCHNs%XuM4kdq5UYjA;Pe|lXT?5m%naOo% zawxTPA3O#{6xwQ0#l$JW_d+$)8GYp*$OcCbFJYIFQ|H`J=ocwM7)lE_RqPePN#Wso zhr$^ObE0xAaRX~-zEadsr}d6vaDlTb9Q1>L`v7&mrG>tRS}CtRkQj)1XE>p?h6V>{ z{J@D8_}(bzM-kY;#G|)s7g+1l-m2wOZ=vtA<@jy+M}DQK^NkO~O95JnV0sjR_K7ub zgyj4X8`^@np$_(0p07?{2MR=JJ0EHl_dhXc8iD_EKgYiV&SHK4a=*LuzZPIN$v`0= z{)>JLdgP>FyAu8w{)@+eKQb3sf-IYR4u6k1`cvTl!Jpxtm;TN8M_5@#64a^=D!s@oA}MOM<4okJj0RP5Gtix% zsIUhm;ZTK{iy}5WF+uey{4Q z=fuCTTl34Hyz?}lnxZF%3vU$7?cHpFpxo`^qH}5IgN^r}ck5@9TbMX6`%><9uZfd# ztX?(0*|PS6?R!EU7JT)da53+~fU~mWFE15)yz{x$Uw*16CHH3Wu)%d+XWlxRr8xAe zeBzqgMGwVJu1j0q`O+-X!zN)<$KXoyC%v$W3fkT@W2R#IAo=x;qxxqouJI@&V(gF^ zgCaYqs=v71waT*f75c9V$(b^7V1h%Vb&oFo@Y!khX^TtS0gZP&j;m7Oy?lj-(dEW+ zw_Yz4k`9B?=DhZdxAy#*b*#mNspogj9iDZ}c$Y!Y+``s_>YY2(!EpELt39&6wBLDg z#k$tF%QXq{nw=*|eV3Nkzd_fGukGf}OS0ec%xR5%#=8r{MazxFQySeoxO!5%YCp=g z-)$_;aC!FB=Jwbz7l(fwH?N8P*`U=wC(W-dSh;N0paw%u8h&au$i=vUb1!2b=QqcD zv`^0syK8uT*y%3=l+C9P8Fo>e-fE}p@uaqC2{O0-YaaYGidd4osp-2`&c+rMkYPC+ zY1kOX$uig&ObHn=xKLmvl!`@sn-`6&F~aBC&abyimWsFJb!a_nQM;o{%}-YpRZHzT zX7mS<|oa&$A@OZ(E z<}S5W#v3f1LObsJ_^R0!%V9;v=k06FwEsFI@T$k5_w%ZxNB0g|G44~NRc(S5=PG|* zF(v*{X4GO;pPbWyGd^~)zkP6Hy6L)t_J-P2N#^2D!MK+jft$i`qGwyK$FkSwx}ZJuYG3YoVaLa z$DcLoCrIljZ5j6Gecsl5GneEB)oy>WU1fJF<*lV*%9kRGU%sM2bq3|;m{q;p|H+D8 z3g2$SzZ6yPvP{)v^`&%s*Kc+^7H^vGRDZ~+#Kn~Y+MjqDv0(M?Z*s3wtGj20W{uxd zuG0Z0zxP$=<&U2sGHUnr(u;pA?wMD)x7eXj+#!Aa1i#C|rxQjuJu7P@T;bTYZq>mB zchA@gy{Cz4E)y;H-+?jDyaf#iXT*&@Bew_ z&vG`QjqN@;*qpd+zV55}t5)Ko%;9qi$9-wKYNPYm6%Of{Hiq9z7Wj`H^C4E0nKt`f z?~#(|J*qgj*n11t{!=(+wNpe;1*-<}CsrPi+59PY&-hulb-Uf3JY2D0-65k9Rzq!u z*8bV{eUrmho(G$K7u-}#s#$sR8T+=TGs>SkG~;)*K30a`UiZv2>)F@&&;IDW5lhz| zw%FHhUxmEJueVnk@hheHX4gMg{`4%eGHPpd?M|D})^t79Ikm<4^pl=z za&Gh$FUVfk*UDCUX8(>`OM5M8ZPBMd;&!W7o$2p-PkkqP`B-*2W`xzf4rlVF`6_bat@7&!lf#Bb_Klf$tECD!AA_}mU|JayOL z_iHW{8d$aX=)B{eefpW?rtL@fTJogiRB(ploR6|;v+taq@Ox>`Cry8*T(N3ZtKd-W z4}<0pf0(l4aJ>ih#uwju;1l;_uzz~b9q0deJB^y$VNm~rgH1jseOp!3G_y7OXY`cS zwrQ=teX6v{p#77f^-gzfY1lNd`qX!~_A9#@J}g>cw^K5!(Us~0oMJvp-mG#PmR5VK z%kLap@AI$BujO>Rnz%C{#z)n>-h$6(SBE?@Yd^cMlVoo7^%FW5w|u2M{k`Rg!k0(Z zo~ZNX(ukbNhpQBp45<5Uq5;x3A76^YF8uIJI$va!5GDNQS7Fb|#YRtB{peB2$=!Ng zLSaIQ!&}t|r$;e!@+@YznpW5LkJE&RHRAAy;|`B&oSfOhd-jSY^Oh}GG^a;5lWX%t zk)hkII~7RV*e2CZId<~+?HFN!=bWQ$@;A#@wLW6oDED-PN9a^>-_3EZ>%0%V?Y5;T z*>!0~hch|De69w0cs}pvDSa5+V#|Q+jq8n~A}{4VvR-_9%-ZC@dH&aWb#`&?*Ck-X z*O~F@w~vRpZ*=_qHr{XMt2b9Z%`5)d!KT%?5xvSAL{5zH-1|zA6ge>^f4jqG!=$G# za@wBqde%8=>*_ULliOFlC3uo2%sElJk@vCBHD|4FeCNpNhUK&Jw&i@RzU5v&)2{FP zIvEz%IMDCPhAw9w*RLOW+3Ucj=&?};(*xGrH=mW$OYy@z&~DLl^7GyMyqN_I`T(DuxR8v!?)8t?SI*=S?t z&D;ANi<8|q9^5LmT`iTqsL+48WK~X5`APQ;jD6kwgiR0SuDyNs?XlS#GNxu+JN&b0 zfMMp^x1Fy=B`?_5XlG!*$!n&@6kmR7(cxQg$j%C5TTa{TF)-X`fBn4ULvj}X`1mcY zPvn80C*1b0y=@lj{Ipz=-{wZUiWB?> zt<0YrY4prTFn3b<$Uz`M0L-FWuv)tFl!&f8u@z?laSGOkMak!NgPSaQ0P#$@7Ov zruH9u{E|%hxcKh#(fOMSj&^P|vv~W9Lj`xuF9llN>t_{{ymLUo0E-uQ&NMMvQ2BO^ z3%hGQ%R72z!y3yT8IOm|y+3W`(&2&r4L6KG5MO^(&0!v9pZiwrdtlu926@-_O|77u z*}nC|p2riLxEhVwS3bK^U!%hO%9}r@6$b>gO!55Q=JmLN?QeG3pZavht<9SkPQTsZ zfk9-*ZLFv}x1e2tgX`nG6E@Uf5{uYa z1S_Y~4i{THtwUpLr_ZiFV8x|k?Tl(4XS}Sgw5B5wZPf=jP=H*e~dk2U@gq+A``)19hth zv_}qVo6uqvEeDBZWyFzr9HSdI;B0G z28VNXNr?0e?FDnPB2~d{V2L#8nNDL!mevIs5vfaGv?uF8pc|R7*?N8216ivNOS^H2 z%|`WZ-%L@xyHhLA(q;Cz0hykuOP^_=*%+DSEQtjy9n2|gFgF#v5&Q)BDA)jlcGLUd z09cZCO51!BoakXYItnX^l(xgO8q#Cn09b^6gZF}uffZVc^a*ePEFrfIZQF=T+oUIbR;RVZB0Wy4z+8HS!Wbhbdf(D%)qV4D zXV|bTt;8xlNL64?UTHFQgE_jr7qEMYL%q_MmZ`h2veOcpWrhBF7`+~btjCaTNSR*N zz(Rk0=dfte{q4T6a2iN<_3eoM< zI>W44t1(_N)QhDk8inQ(DVnk%%cWR~)74shp#$Tz_(rB=Va8>WO;-t%LMR8)BpH2K zPW6za>CRuvW`f1N=Ax=)@o?QIKz%e7ObpApUI3)b4b>vl3-~Dra1#{ zal%FhFJG&7bgd5^m4r(bQanYYZHeTdD98!Ya9!?@o}>wok9E4^o!y6|`*qZPqEifC zG!R-vogtxF-6dwJ2(4b}9xT8;`rw;7-33`x;+95I2AIRgtB_lz2YoAYtyr~ItW+yj zsuf1HBKk;Lhn98FNtaeoqqLkhfuBHLgjJLe4n3e}5=UkoknEBTj;Wd6-IEkO&Z;R#T$50mX^+EZ@p#0T<40QA31Dk^X zn~*&v@%$7zo5z1gPawznA^s9&0Pr5~5?1hc`2i}1Jc;r`$ODkskOzGUuQn% z3gmM*wZ=j28ORQLGY zv8dhI=}vTp9YTMMZ|BWEhclwY!k=W->L{>md?0lo=*Gx!$0rWxD&-yK-2+Irz7fsr~{%7h&ml1?5zW$PDfM$ zQ3XU55LG&&3WzEos(`4{5mi7`0a2wRG$1q}G$1q`p#h-*p#h=k2n`4g2u(+10FePi z1`ruKA_IsFATof+&=DCxWB`$&BUB(%AXFe!9ialD0-*w->IfAG6$n*FSb?wtVFkjf zBdkDJfv^H$)e%;GeOkZ*(E~&e5IsQj=!hO5dVuHwqDM#c0MP?P4`1FP9WQwqkmG@o5%!&Ji) zhP{TP24%0I$H3K+I3A*(qc~bu9)Xw+#H;DPh*@ucb>D09Uc&) zG!Nvb;0|y*_%?7pI18KxP69jix)kVFYa<4`JPb|-CxBHk1Vu;V(Ig_=q#fWPtiAK) z5HgE#l1Bn}$d{Y}(dA2?3h}%zdA_s6%=S~VxGQ4MVdZv1?)5o)(Y$9sJmYg7g4pSE z=0Mc?l1D?#@g=|EED2#V$teryj6pda^A_@QpK}(}rum$sAUwWgx6n?(KuKsF#j2?n zGHDQ%I53jS=Ntzy!si?#v`v&O7M>X>O*c;g3n_KNpwAAlYME(4&OYb;&QAgV1dc^` zysLJ}5XX|b*euQBJe>A8luMkx5{uBs^`T=>dWC$>*`Bn{xfOk}r2Wo^oui%iq;;84 zeK+i0rw`$C-iF7`-P$ncYG;PCIjz^(+4n=B@i$zYOA+(f3xu0W6G5$`!h8mvMXWRc2x z-pWNP-kucC9?Zn)Qt}RyDtYDfQda5hUO<)eRqvP6U0uwAr#Zz{%DfSkR5_#6Ti~he zG6pX9=6fo=#;Q5h9gN*mgIX_Ni-)Bd)mS~DQP^eL|5KuFfk}A}2o|FnTtkHm;8*u0)2mw7y2)T7V zw%BqDHt9GfnVWaepoKC2u zIdv6=`#QZNC({S>rhluFG^mn$UL{u_OJd*ZI0tkhN1oG(90?uI|06Y&dRS*>%gUF; z`&4zViUx3JAf?)|Aa1kexipf*7ugOQ(%2 zn=pNLwFnijR{#BL)+9)8wo%36a{Qp&tTSF0YEskpQuFswOG`_WNLoBiM3vr@>6PBR zX|Tj-#87qRHK-eXu1=Elx(>6sqASpiMh}c&&0;u_^DqxQs9EsTW#ny!HX|303eBCd zj;y6ea0Ool#&aBB?n~DnpZW665lQ0trMWXbcR=ECRY+fKLKa5o%m-A+qyedgry;W` zX;a#!{I;aFw6=T$YWKIJ(%CL9rM~obiJCo4=Ny4-a}Asgv&48$`r;k>z-=Pq@zi*l znC`Ft)7k8t)6YA$X|UHOz5C{K3o=mOG}sANVqo-^bFh{{klxs$g9bVoD4kEfrREmV zmGCD{Y2_fr>%4>FWjCI+DA0S3{fh&=qD@HtN1W)60UjhDJwv}{BbiE_>@3C5SIo{b zX%eFJuegQVOa1g99`k3=W)^{Gw`iJ4lb8%04{cyu1Iq#*(|Ed%wgsMNw*_{geIxyx zzJdk+jw@z9O@aPQYM_s$Q&bapgiN%Cg79p2Ig6%xdIzsBV8i|N0KLF&4t#}CBk4Bu zCqB<93A`BihEiyQyh%A}eva;?L(C9Z5Lk>mr4iK1J%M)u?~|Kq=qY*zHhEaLJdqM; z3EfFsm|glgau3o^$j^d#tyHEQ#YmHA7F|orsg-uoYb=6QDJPZFftvy!AsUC#NZ7QP zK4!UWGT$x-2Z{pk(HuHJuVB97)h*AFcPMlG#ev5HKgCn{^UT5yvlo>t<(~dq15XB? zrC`{T36D&{IP>XtdXZkGKht0Ms=z9mNYgR$%gn)4=7z`L;dZ`~uar*EXw0@2*0#_S zzdy;us5Brpx`3OFhH}cJRirynlk?xgVlyl`$d5Qdn{GM`` zvffy4^ncUtzu&*d|7PI%z?+DjQ1tJn3GnExh>GoWlun@ccj zo|L>&mvmhEP`1i$d9<7>x_AF;Wt zf!)T|u>05+wv}yT&te9c12@8U4=>@k~~tT zG)bB*&5^Ff9Gaz-(jD;Zz0xk}m~=w=Ncw}+hgFM_hs!PU3i)AqhrCaIQ@KpJ2Cr?( zQKegXQ~5^u#=s4+hIm7|;VQ#U!|#m-W1g|fxX$>N@pDrni)SgYT)ptaM{dU+9L{&~ zNO=_#e|O)3r;jkq+=F$Pj$QFN6-$1sOQ>jvZBg7V4--g(CVRzu7wiz_vX^OUawAegi$g zmarz;&HC6_b_>gAtLQBrElp>4P+?#jmzkMOVy6if(5>=9`tjfXP?e3L-_pna$K??D zW}Hu5v>7Y<486~urn5{5e2UXe!f8~GQ)UC={Z106UM=?7D(pu)PLQh&$7rAUl-`(c zD3VvuY5EWPSUHG@D#c0qk$508iirL_qS=BOETA{(kMvh&!rIs= zm2zNj0c@|Pt9gxdgvwYfHDb?>#5rC%IFlyyavQw&DE9La?2XenC+5-%bdqrvgBdNr z_$K|c_?a{h+U;1u+gT@S7UI-Sp-(XHP*#8k^HkE%(`KAn-LUDm^dY<*(Ctdaxlqn# zp|8Kvk7yxA$fGLOffd+KV{o#TOTUD_6PcAt*$DO%;OekLL&-s7ln)rERR5Gf0beW~ z!8Im;`Zip>;%Gd(4pxL=9{m)>rc$o|a@cT!NwSyyn{LI!ydkhgTJFD^UZ~2Tj8wXhGrz&u#f!CJq{x1WEJoSS$Zj$yel8tmZZhNGw2{qY z{j#vXaEkRz;pF~8Dn{P=4U)`^gz$v$BqVs^qHk2G`x{N6uSu1=#czdlA(sDuYqmmW zy6GU#rXZfBc`S-%cjkmCPK7J8O$7#tli6U|7{r3?F|m@_Z7{iwvYSb6ZaByvz|GYI zS}+%vf{jdKc1utfGj%3>xEoJQQ_j>DPVVis_6@wISSuRJKdgm$#f6it{U6qPGBenO z@(JZkf|Zg;81`Yt#NT3SCL1_qDrYs9GkRmZdki}$FFk>m`jL9UCNwr$iEyWhe(#3AlERyQfuUr!A;+s2z zx0ea;)%Nw*_QNu3UwF(I79KHXj9}Pz{dL2n+=M78TTi#=vaHc(L?U&t@UOn|e>RYc zMXL$CY&fEvfOT3Jg#xIR$@ozhZM>-tC9l`O2$lBRQY!DiXuvdb~9Bq_z=PAJHDz||*x7#kEHAM0?0*zGo#OHEJDC@2UC$x3(GrPPA> zSV?xP4kqDtM=}(oyHrPftUbh>JF0#d%e};a9YC>T-CM2E>Crs8i`}7xSW>r!S)JBR z);6nb?P9~Vu&k|N7N;cx!Db&b`Q~%$(O=tV1y8YlNX5mI`-(-BA-=EzkTGNQ(i*F& z&zU!8znpax=e`;N_b4TT6Z@>J)J6ApV z%fwi}yFg8Uu-|>zjA<2RmuaKw>y|GpT`{rq-SJDRE_-D8*1P}L^qMCp-g)4s8*17b z{GV%Aj$Lz0O6p=Mcx;K5cUf6#&ZYiUnXMD&H02bEH+Gizr}0HtF)K~bLPv(}khsar z$ZVwu(-Ag8{39i#gj2*~{yccADrd-C7CSS1`;x((c?O3E{AMk*;zVP$%;n~}R?IG& z^Qg#(m}uVc;=^qVX5G=f?#l7GF8{QT*k3-zO%(4r;(ycsqd)z`zjNyq!jdvrqUn}Q z(rn2**>WYn(((}B!FPrl%_b}1C&DUhBAlzbP5VrrD_etwH4#_o=R{wB@5ROpE0S_^ zxRf0o5fy3VQpNQ0vGG@|JNnR$(#mK2(|j*{^?u8r*wZZi*Z$#Oz4@8{jQ?w4NxWp^ ze}*N)>2)n>-7q%OF>5%la7-A^9l=40O$iGP3bxo3hZIe5cg1_?F4o1|TBJGpRFwIY z7Ul6;m}PBPC`A=Tizm_K@L@U8MRC`w@mg$r&eZsM@jSjL?35O&7enWT^3Wo>US&cz z3c9SwQWR!p#paD>ZnitO4aVtp_Q}1rGoDy`|Cw4(tgYWJL_ccmy<{scJX6~n`>D0h zZvFC%?To!w#BTUt42w{#tsRz}myZxkb|>dY$GCH|BaNaQV=%@<#~70hUpzE%iqm9Y zHZyMA?N{1-agn97FUwte-wlaT53d<7*;=MuI@}~XqAcEA?Wrp#y<~EZay)0Ztr->D z@cz~C*-Sbi&ywH5`8rXvn%C?^Jj|jn%Ek?mAB!%%hD1un18b6I~NK%Xww^qUc4jS2|5&_!vW;IWJ@qpJb>oPYBsz zex1K+_?h`sWxsCL7o&5onvb^g*d~~!+4m6Qdm1Fj+0aIG#fi2 z@??-b;lxkBwf8zl}q!^Ydax7>tH+YqWlH=7n3`Zl3k_ z4I4KtfBPN(S)|!j(T<#{*#ko9-m=d>&tJEHGj3)}?pi;e1>r!b|9|M+>68TT>4W;(>G#s7)pwOWWmvQt)N zuPn=U%R#mS_x1zJS2$VR1L}@HQ`@I+$%KS(gE2QRF+W>!`#*Z*&1)IY=#^cYDgueG z-X$U<8&6fiu)x8JwRz9k_QxKGdrkg@?YQl@{dlaYEUqlR%rVQpResR6OKy)h8Ddo$ zX~>VAD3{sF>}9d0L|dXgF;_=D}j^g4>5F=99RN zO@+y^&aji#<=j5}+yb7_R}i;0;#}_cT-S@+Ur+6Ih58*%wx?FS-A0Z;w=aB*u)!Cm z3oZ12)A1#MQB>L5b#L9;tGcWAEg{|MY@{Ixp+g7+Qwp*LNRTx!4N+uu6v9&iDi9r3 zH*jNddBjmc#1SM0LI6c)#L@ZZ$taIShX>A}p#Mbq=P`(quKed#cQDMGXY6~cDn+{P zx!*bG`_8@HdIb^n3QlxD@2E&syXsPj*HENTAcR9MMD?h{B1tsgqD1xhsfU?R*EuEw zUYT9z1`ZrphZ-REAxC6@6QW%@(+ar*a?)v-C%iz^&V0T3Z|}qg&90j|Pc$zEka+a; z)e&R+n^#775oca|21#GMRW)VOjM)nw$hi3Nw{OmBx#Q-`wRv=w#)7YeV3v9_r;XCJ zo{v2Efs98p@OE}5x5K@UZRhs6Klh&rh+a2(-21o>M`Vp5$mjA#vNT-<)|+FfH5xLs z4I0)o1k?o^_AFPi3%jU!UE4z({Q zn2$-gaxLGotS6Lvubc5E+`p7=K?WM*aWm2EoUusP7LT9OxcIgK)me*|P8~IJj$$T5 zcmH|k-)Hr<>8pOZ?!A8t9a{aK zdFdh+h8LLENHB4kiFtj7uF*rGh3K$Wj-P`*ZLf{`TZD{J- z+y+SDbRwL(uuXVZV1=N)3a`W%2W;(V3>s;9_4x)MKx4tTsbg1xyh|o3Q?%W(A&^E0 zu>@kQ-EQxOZeMkXI#&IodPe1#6llS`F-UP`sK2AXC+a{BgjXbA!w>##-#+t_xf|tQ zVPEc?@q>99_d@?L6+ql{KwKHO9pZv+^cHPlwcL5Db5vlf_V2dM&d+U<)8TSP98u?L zCxj!U$zTPi!_kJD4X;ge+iaRsc2kXIAhs55gn)Mgy!Kn@3TZ&BrWslmh%+oxAj;d_ z6nu)?8xOln+=iQVx1n8z+u_L4i*;PASLro6tJ7O``d%)(-A3#>!10(589w9-X4%?M z#Bgej=+H3+8O%0jH%*egK0AO$q{Acy=Xn6BbwIBz4x89VjY5-F3jW z&vDpw#PNws^Kb(k!}R;Tt@u;@m|Hl=d;Aw-RpokfaaS`2GWJRG=lW3N*+AS;8p{!`9C&OhbJ%c&rH zIROStXG{u6Chf}rHN@pvt_xOiS}qF-lz~`?+}G{K6T5jyo;bAb0e$`pd#;&RKlv~7 zFR1Xl9bY70S}}gyyhRhoFCr6qO{{HBK4@M({-t>d)uHw1X>{ko&TrN~d;g;wR<8t< zP67Y*14jmVoiqB`9dTI+Duc*Cc_cSkd0lx&`J-}Lkt3kpSb@n>iWOX}R4FwI ztI!cE?UZDpH}+$Uh#;yWxMoYSfP^+&Z`g1Ri`bxsfYGI`P)Rcl_+!#avwDXG9n?Mc z7%(pnkFhV}be2zH-)p?`5HkOt&~cQ!gt-56pE=rey^l)p(jNhn6S}@8K9257%VA28 z)>|(go6(qAG%I*Ck1k!zQnysXY-gsbpSJ19nOqV?;*29U`3wB{I&U; zwJrWvw4MH)!R@_vW!i;a1@j1aV}d#hh9}w zQ-q7!@e`2Bju^VvA77Nwl!2QwP(}vtETAZo;I@(iqW~AQ;U^5usa0jyWaDi5ue0fu zAkSr;k{V;DYV61!EDfAu8*@*21O5JIHn8YbqAHn)=~f{57GFEGiFY#nOUD4a__^-m&{%M1ZAf; z!|b@z-gwNII@nR|n62C|t`~Q5JH_p`?XFhl0NZM7bG+^Pfce;Q#N~*)CM$K?Ovi1m zdKVwy8ogV6XY{jfZk`LJoHHv_49;n!9Fx-{VQ@@pWZiPk5((8vXQfMN=WI?nXOD~= zO8KYeKUL#I&R}lJPt_j&dHFh%{a^gE+ra4vRu1tBm&L&~se?wd^H91*K!2G)x;*jL zDfc@TZ2QeMB>nL$>Sdnz{*B{!#`4?7%?1Blh$i%!P}|)308&nzMGkX^d7pWY`NDoS zW8J0)9(!VS6Ik&hATNI*c~&j6$Oy3m5zh}Kvb3AUn9oCDu7tzfZt;)1ES*n_mX%ik zC{-OP4FiMKhDxLB;?z=9w9W&T~lMeB4ZqMPZvGj_HmQ1rEyj z5j-a4Y#VySkoa z5|J^TF18~%6d&G!TK|q(%)f$?|CScrL$wmg@7+#RDP(^BB;>vmMO*W-X z%W+D5XXnS$i4pNQC@}#s^$h1}X($n^919u#N_U#CuxgOqwwOfFwJw6#5`;lY3`4A$ zOxa>P8B4mr7IO^Uj*)}|xE8ZKwFO6Qp;23);pimKvgL$YLq_F5yYa(ej;H3ZF@$9; za(&$*32KV5dJ-(t0op&<(#&KE!Gu-E>;IJYtM3oj-+wkp%l7*}yaFbOA&M~Ac36)4q5|-L=4nyD$DGVAcpv;)nEsg`=Q9vL3 zNvoPli>W#-WYbD&F({6hC3n{@|J~XmKkWp)vU2V3ewSipZ%c7Wi!(7w$Ex=z6RP+0 z8b1wW3EO4v6^Y#5WrC$T-{Q%@foVmN(j$=w3qL60Vp;B8^PQ&TzGdc7JQ!6JetZ;- zHCwp$&PQ-Kd5%^_H-Y8v0L!~TS@mH~8C8viXr66p;n(B}AyUL6@dbS%xn5_Mr^bUz zJi9&E<8eo`bDb_R?9N3D4&^W6n|RDu7v%4TY(Yhtq{M-wJ!V8oij1P#qWYpmMNLH; zi<*nXa8U`Q4|jH$3A;)_NVMTcTl)5!&@Dxi0G>p{l~_s&SSu3rWd(mrka@bA_GVUi zs2~f{uIVio)nIjSQ;&F+vXz}~_mIP27b+AYrM+->V&FkuaS@PtrR4)?F38V|vW`gl zBrm!NkA8F4+Gz`CuGyG)X~}5wD^o)S@BgN7%#`ZUeg5=1ayG|qnP4pYm}}4c#nzc~ z--zWOT6yQ;22I4|sQDXCnlfV8B#BENFqcYdV%#miD5U;&d)EbS2KN~gWKJ04)=2B! z>%H5UE&PYlarU_K4_3;R3e*Cvx4XCZKJGqg4JQgN!RK@Ne7$iYo689W+*WS0^bz}k z!d0Of;KsM<3_8b9=bhoVj*g4CL>ov@C^5Ix54}6hYxg38Ybn6Hus^ z=vb#H4F5|MUWXtZWdoux@_DVIEl+=g6#Mc(f$vpFY!@cQff<~VH>BhLaey#ymw zn2p8|kppU(%R93;RKo3sl*dUd$;AlEQm(>?0$5sMJ6=_37)@-uYss>8w(N_ z2g6ETO0=up*0cV~mhDqc1Nxslz#|Yh1`hz0F0vt6p3Wr%3a&`%@;y`}0jJnr;sMkeSY`ekh=-~Cs9Sv5#NO>PPA1#wqCL3avR=~3y z5S2w7;DOmW07hr|fc{~U_Cb&Cq`a++t->o3=C;??HV%u!!`T>i`DSN?8ZGp~(`x5GGTt|+wd`LdBK5l&{Y zBNzCntvaPb@+7sF7`GwOYN#F4CGbOrhGW9npw}mDFS(p_M!f zuJ_&OfQzpr((BSz%7li_xrJBO!Yi9f1GM`mymmw4`p+g*fQO+1^HlN>*PeVA-~7{X z{7{mr!N&j|Z^M{aW})Q=Ev0eFe~z?7bFJQ}a{J;8XK=M#6L*f|vbcI~5qF6rO&qPr zvW$q?(}-dAFy|Qd2#v>dP{-hmF!zvt-IKXEJ(MbI`KMtqJS}~`$4~*+{?l-Hj;&zZ z-%{J6Cyk)UBd1fAITo`7l9eP&qRdI6B!kl2Z^Q)NEeJeINsJ66MwTTYEix;yq5^jV zXMqP}6h#z7(uVKc%Z(JFGn|4IDDZX5cZZ2tKifS(A?Hs8`s-#7fZeV28U|iKSNvA( z$T|?|fv8uCzhh~eUV&_t!ipA$dHHy+m`|4->7wJ%sYW1=&zClmEqpWCLyiz(75^H! zNUm_ex4O=?l;0MYsKImKAeSE^-^;FHx3XKM=j7MfcJ?DyevduIcFOD!`4$#02Cjo* z4T(An4}90f7N=6hw{=}KTy~|3lxSXPRCg5#E6yr-l4C9QK&rR-Qa#*Z^>CBbr|;Wq zb5$`t_fGurwTLDRv(G$s2c)0nHc{haXU42-${|F(@vF2Mvx})=c-tD;Y&_6&fBe^jGO`GO#*XcHs=7 zOIdOh_aR}aSe}dLk=fD$xe+fVuSl=Utx~&uMfyqhZX+9|ZSqm+Bl#pgMNUYk)_TV7#NkX~^nFWTDB~BHDQr@PPT1!`0tQEDmLK|z8Hb9nW*z)5F zZQ#-ihE5L@k)@Iu3o;{8>{eA$#HJ=Pfr>ly;~n%usI6X0hE)m1DmaxSh*>sdYeQNK{rZY_JkSad(-Wz{;F~Zp&Xo#=uu??g;gv88hn29R z;x;_ca6&{d;6}!Po0!rpN{r#pXf(#@mqB93^vdsakV$&7A=ywF^y?r6;DUa>fz}o+ z&r3O54{eav0j>#vc(Lo;TS}Oc{)F|P6;Wagcm%)(keWiQ<4JT7$w)wl%ntJm$j|=* z>hEVS{xqCCd~F4N0j9P<H!DXJoXYj0l>VcZ&)X(U zh)bn65nv7kIKDxp7Dsi*FU46LtxIz^Cy=d_njTEBUxU7%eOn z)(LN5o@SH9KX04whC&@pEaY7l0Yr znGo}9W71}Bv$#dwVk06FY@%K8=lhpRjZUG_vDCAMtQXg-Yiz5X>)q=->wN3{Yl5oa z1SAAK&Y(N!_XGu3Urh@16 zlor>OMViL`tS(@}Ksu!bYBUx=HVxptl=Tl0E1-wWo|!xVt@TfQc9ZR9!TIrj_R7kvCueYvkO zyhdJQTc@vcuMdfQrj#2Dxij67K<-_>`x)_G2Gt4k0AkC6%X%#fKO(GmtPidUZxx=T z$?hv*YB4)4wxJ1zojoo|d3jlZd|bV|5INJYD5I33R^+->p{} z2MD^{0p0tZsAw&x+flfFcQ@TV)UfT}xZ8Zwd_EGjJ!UAQ1N_R0dNB7xINir|;vQPBN18l=>!|j5oOAfcqZFlPfYy<2g?DyH0 z>7U8UQfX;mN#;6fU0_WnFL~XPYPU_W-Dg{6d&c&%jkAR{)val&UG-=_Z>~#sqk4C< z8@t_1I6{F8koGX5jTXD|8BGUjcs!Kf%E0RMc5)7jXE4Z<2||wFZ{p%+4TN<^~)Eu znE!ra@x&Q(D!=&81(h`;bKbtdwby+7@T(^?2Cms<{smR-s*5CFV8`W5y=C-tm7_dq zbl2D9?|}Y3=$J9&fTJyQU%}BnL~scnpUda*$7XZ03-0BYYWEhLR!>CLI(d?9Qg&T* zo;t@lH!{CqZlA`?HJO_ts?*v?*DEVddt-JW7$2WKKKfqvdr{Jm-4J~!`=RKU*eXxXi$*45(Bl5lN|2tRyd^o6)~P0veS3Z?65?Z^@9KhEu!>3?n>BbtCFB zoG~`Whn4;c0YfSV7!GuEQw#KLkA82U44C-^L!zr90ch0jGlrg7OGI_v%s2@{BY>3S z)ga@MdNa9_@Qr*y{XzOs{z2tFK*P z6rN>}K&%R*CD1{0={nNd$=cq_+_aY4W`MA^Au?Mg$p4 zydtn7uMk$`7?@d(kwBz}F{mRr;T0v!aBg@ym^PS1Q1uD}@%GSu-f(&1d^KImYY~GQ zIO8Gu(cMk4_#{y=;!@< zGSxv&N~812`SfQ(ELb|ybqld)jSv`YO~N;x~pjp>`h)k(@`C|4NXdZh3B*5 zlZWuI&fg@r0^+=oV-H((i8*vd_)@FALcqwGrU@#BM2mP4+)<|Ns3n{qM>HsfAV?xs z;0?IIhv5VwI-5%%$I+0`QI&*ejm50S5(idPFg%#LI>HbDFki@FHkTt9wc$Ji)Si$F z9?f$j#YGab$`!ei8kd6U%7#MdhVojr9^U6ROU?qML0c;kZThqI7|~$x&vYk$f$>6D{Nyp_P0S5^*?E5El%%546n|@ zv;eU{5s#O}$w{PN=Na}Eq_F$1e%%?RD?Cq`v+yd5_0$@rd{DS;8yk0%S*0r4M`@_ z?*#8kcex|rPW>IxGVC{P7eGf`-Fb%F_669!!@P?u?}{)i6EswGkikKY36LT2?p+qe zbdNjzG?$BPH1FECk1DxQT^C6axrvD~rKrJ}Cj`X|F4G$v9U7T2D)$ThtV0?Q7#^6C zHzzPRZ%y7)fv1Dpg9kz%27ez?d0z8)`G7Z{@9n7zG~zXQJKxG5<<)oNr*)i}Q`*nb zN6Rr{Me!UXy8xO%W_)2zXAaI8ZtX)ZvDxE8G7;S`y(ja>Op=+|2lZzRxS9gsq==E> zsEQaN9U6Zy9%;k(wh}?r-U>&kF6e)Q63P758u0P+T+z3 zx#5iQw+=Qxb(Z?`D+f<5%`4BD4JKa;Xn4k|AZ0Kw9$=hZSB-v3MR{mM2sG9|XRP4Aus_%0jo2YOUIJYv>tat0b#7pae{iesKgRxanND6vfWSzK9rH)C={} zdt)}wPQO@5MT;1^Pb!dEm9lq3<=Bp7<=5l%hV(}$Iw=3P>K!OCbgH4u;pfP6ymS2X zGq^+@ldx8v=swHT2CjUM%lb%Oy85ve1s>k}zG)^8On=L8#z!qn{A$(Q*=xA=%)4iLW-^(~OeQl)hGde=$cr)0bdMYQ?e6TF;{#Cu!^=5Ux?o0&BM5uHfZ7vnc`zr&uh&yNU2l(CEpWUVX8JZT&jO zXd1G`&x0eXW8u}x(hAX-Gtm>fdxSrcj`A2xMHV}*1inIB62%wUQ=*!r zUFl2DrWAYa27pi4D@mohWRmRL&+)^x;)r04rusMa5((O@{k&Ph0CYf$zf>}lA2pMo zH!GM}x~{50(%NG&Y;Ghp>m5rAXh=DV5*`OsP_yM7`f7`eet_<>FJDmqaaSvp{@e%c z%z#4uV)j)tqEyG!Ypbgvye7VF*Gmt4f_WjPzOBA~`@Qw>hLvkZj=YJ;4L2SDe>eOY z>w4WvNf{0E>3}t0Yoa^d1|$838#!GzB-vdyv%>ZJ0A~q<0uo3^@C>wB zTyW3@U8Lx@;^&?r5yKo3&n0S%6O4^U%9v}7+h*C2tsByc$?S+AX%^V&I!rurF;8H1 z&gGFi4xv^Z?jOS%NR83PzB-X^(KLuS9Iwo| zHS_LFWEV}#ir1Msi|GK&M%h#mOrQj%z>#PqHPg~0HRVjrn~J+?vE{t&yu&`!lyD8r zO$=!;)w&vTYloZ>2V{ODp0fqfB;<>xsM#eu3rwQyqTOLK0$$QafX3)%o5m#j1yN6P z^YsCcoujA4Nqr!2&J1nhpJgBdC(9BgiJ31T1Q(pF+r#AN2@$WG2p!Jj@p?BE!(uFg zx)mNI!a=*I=%VyFo031)KI=elda@cgo7%xj&;LNHoc7~gxN|i2y|k21KE$F(O>u3S z+_JPvtvL(w=Eqv&44LI*gG)}&`=yKq1t$zFmj-Q;8D$5sqHsAdd<7g~%*>s-q&&xA zT7CF0x6gs_jkh`>JAB!zo78Xr>%yHa3pTD_IPcE7Xob@sbQNb`_t>vr+SCID=>5&+ z3!`6qWl{B^jb?P`Gmr0j;_01_6KxsWFHKmkyFix`v%oA^NjlPc6}-y!Df}LCtifdn zqv^JVHUl;~9FooMpdgs7Pm%kjMAz65U=K|=n93C_q%tx z(K7cLH~QKQ-9U=CoSN9e*Y0$}GfwFA$Td3Q+uCC(7!}U-r%L(?3AS(hDv2{WQLl{E zDR&H_Ao!6JvvN|K?iiAV&#ixb_Vx*x>gmA5VRcIqD%PL}KHpKlZ2hKz2T<{z8Kt!w z)(!jG1H8{8J`xUc?-7UVvyd>_+JDztc&kXY7d5!qQ z8+o7QF?qzXy#|*%wUY)mNVDEdGHrD6Y*3p$Xx3`8MKgT9NR-E{XR$`?Hf2U>iw!Qt zjMRN&VPpuf8;N zwM9aGpEHeqZjX^^3C0{jC$W!Y%}SD8+E9*O9LkqQ^=e4ndwBjMMRiYJyZp&qJhn5V z6NfG=R8RYB%0?_KP*2m52cDTcWy<7P*Vb+wXhO4|D6Fo(_YoDLy2oY=sayN#zy%$d zL^t6+bAcU-n{~*J8ODW1x|@c$x2(0sTFaMK1EYyH8*4T(q99;Z6~TxLXrc{U;KWP! zUx+qdh=^uFUz16^DB<)lCO?&M8l`?FVL@TI z_s`ASCS)Q1ujf@XuI*B@=*ad1BNwh+MdaEwSbyDyTWZ4A&K9Nq3wYXi&T-C3zm2{y zAiKxl;ZT!xs$;6F$-M<_Wwsi(h}~Qd`cK12u17p=IL&-vvhFdyi~h*GY5cutSZ>_F ztTj?LjSB^tkVv9gsgm`!WGu@-8Rj7PiFu+MdAib`p8dF1>wK)Ux4LOarasv0NZNJx zLgM=x$+>KvyXKyO?cczp`p!QeRL|W51CK0OvU&58C6A!ceUQ0Fef#Tg)Hl}-?tNnK z-ksa`?j`NLSG|GWg4=7w_Q^J-u)3(stc)8QWPW=dwoD^7n`YE=o2=04 z&C=DR{>$2b_KN1m+EN5)HMH{c9j$V!XMQFo0JJfhlA~LqM9aC{F^d{g{;OFpEx!j+ zhZj9o4Jq}^U32GeSUY?6gX#^)HG0ze9ncE#95Z9)<3H3<2cFvT55Fwi)m;(^%ggeQuh^^)j^nvuk#>D~M-|QKu zE8>_Kczjou=*-Xt8urPPNcd6W?NL(Eq{T1Lc|ljn85`V5#`MU=wJlB4Mh_c$O`MKw zSzKHCUEzpl)o*Yci|~lH;x^`^-zkR~8 zFD0w)&;=u*vS3|sGHjCP!dAH-@=!*!HyA>>4wHy-y|ZXY*X0V0Ug)iJu-IzC|Mlf| z{nOl*LBIs>5}PB~t*0RkY!N2qQ~R^RdfDUE%1Q>#EH=TiP+e%N>EY0k&<7zV7!pl1 z?FA{T1|+nd0J)$Brc7Y19Lmub)id$z51@{qpj%)kJOe3!`JUh@Xzfae3ttJLG7ivi z7JY_BqzNtsKiZX$75rRT!4H*{((fnfG-07Pt)|XUw{M zc1SNsREyLp-7g)N&PWCzStSw*fR;Soj-6?Bs$pL_mJ>rY4?1b^Fo9TH&=D5avzm?H zr|rt%OM8jqej;V#H$!aDOBzjOCIwxvWtS#Ob8KkkVIk^^j&;wu?dp}40(Zw9&`U>7 zsgr*Z^JRRTpO`qRcr$$e)SrK)Zou^UC6+Ri=m^%3wkz^9+XCAo28v@mOf{;uHJ}FD zX~b%_pN$q=fOkp~&oK@u;&cKcAk8jKPtq4U{s%pYGhS4XjPQ&R8vnHks82Fi=ts@^ zf?p&;z#7se+y*ti>h;#e&t45ZS=ZFmx93C8jwy4ld-f5uQ+1!3H*~`CUU(QARk)o3 z)&ytZb_y^H@gz$Q3^^Fd0h?GS_TyAD=K|iU1bAo?wPy*OoTMS(AOm=^J4rVA^j&|& zE&!euc#cB|GC0pwlC@*S?aNIHrYy~WiZ;oz*UH!M6Ew(bks{EjQlQE17Dc~;im-~> zsaV8P00WvMu17qwGqr6TbC2uOVzqhnn0chE`}%8MD-c&f8`?1vQ$u$vAp7t#*{E<} z6YQWm8Agv*$&A_h2N+Z_^#=ayrBSYe0_4|e%3+JB0@GB4pD4wcvOHiA&lHP(l7p1em<@&_y>=O9 z>~mVJ#>k`Q{83)|8$w1S=>j$Ff_6 z^UQgc%b_D|KA)tk_>uH@{!Q9=H9whd;^)#g@*VJY{$ctN_7%Q|KFMF;O%%38^knqzTm_uJiMl%Lp-HDrR2P@JMI32C0CNzdWL zs9`zqnV0hll7pHf%PGa;3MZ+^C45Kf^cew?tRmynLgX^c2b02lpc}iG1V>g+1mnC3 zrX8kJCdx#SuTerEU)#^LMFSh#m%`O;4E^sI4QD3sA))%q_%Qs_xY1ff?2Gj6t^e2 zMnfz`6%J`71Q99OVI@#}WyutHMmmz3VPiV1jYvb?*&S1>eVp}dFSb^j zC}Pdp8g5D=HaG0E;t9G6PtaYo6}&Qtdu#B_iw51Os)=XiWD5`DLnPE&l53|(`BXdShThlmkyyvRYIoEmcfHI?RFQ7xs zbB2X%Crvjs5$cDq)$yv1q-{3dz_RxksRLd-cZ zc7Wp(R!d4jw`d>}qM=)?mVl+mqFB~g7>gIv{xG(ikexLqK&N;=F|~-R3w{PG%A^Ei zT@d~YJzvCnzO7?bTF)oORdcM}dKRk$1i4ARIa}QqYuBP1eb-AO)sPgnrPmSR;Bm#w zxs%WW_#&M0tdaDk2Bv9BnPXUN>psN@&)d9EDOg4PF==wH2_#BkSvkWR2+V{nO9Y)E zxN%OrxKZ6eEm0S3TJD4U{}aBmEKWi6<=g6z$5_qlZ6sppR%$ztz#U2zjRZ~*jW$}6 zPzIMFN>ZM!QY@3iGE~W-d@(GcFv{mpD$1jyh*x;Tmpfuu1Z-7;MXbUiw%i;`$43;1 z_buYRE(ko%MT^q`dzz~WmQvvgF0`fbr3_ z?Xi4Rz^q6vFWZo$=9TTP`Y=RQ_**kknYYz3-$_+c74|Y0l^e<}C?7&CNbII|8}gHhWv&G>DzjI3EB#b%PHtYgnF@BJhxQ*2z(C5OB0$y1JozChtV zi^DiJEs}9^I=5uhGCT~Qx2^{;{&`_x;PaZ`j^JlOD)^yqeJ2TjSY7)0@hFUT!^lBt zz33VGP*Pu!8t8A<_smJAS_`Jv->i8lU(BR&h(LE$gC(@xDWSBha##-0Bp@p$Y1m$x zL}7<~5ywFc7xuEUF2yy5kRXm#>bDxoX3M58g9@@ZM6jl`h4SzylU2?iIEbu4in|xM-u`w#a59 zWX!w(8EsuN=ny<+b6kj+fM~@-(VGF?5sydTJob41)}6+KX$u+}P?|vKu+dSY%R`uQ zkI(_rX}vpGN&wSQvY@pT%K4=WE9MqKpZbHpY-VJ1c{HwmNk`PI;|;UxJ70voKYrX< zQIQ|boI3C&9<3MPv-DDQ8=ye&C7s>7(d`PSW1Fdvkq;d>6_rQfBF-y?^+&*aQ_Kv{+FcHd2*nEdD+dW;%Gn9lphEac3u&6o*vSi1!hml_PyjFjo;Nwd zqO09(l1&k@#k1f)v@p1M2I#Z)TKfmcTrjRr!w^~r`tkT82Y4}FT2KS=kTArFpX47` zPseVaQ9gMLS`T}0etDCYrxvEdpw6A7o_=T5eR`IxUA9t9RGYTLBZJinpK1pK8LbWM zS3(7_*rA=2Vveum3am?sm$jqf@^(}N#3J!)aZseiZZvei`)M6z_U||9QV7?}^JqHs z=na8)tGSnAyBc2k!^->G0?Tnrpyy(i_-j%N;Zdz`Y7~KiEU2(x%}DPEiP5Ugh6NaT zfh?$-2<3H5OLZ@}Y_ipq+I6B@aDuY5O(zN~{{QZllkR~; zww$!BK>Q2G=y%{>wRp8L#X|wqiOoE4KW3r-3ju{bg5U*Ok2s}mzVwmUe>!Z@b_`1R zUS91d5fIUb@M}yB9yA{0aNB7EfFyi;Hizw_&v_1_76@Sv->A8Pctt}Cy%Q?|9%0;b zDe<671})6RS+e$-inxv|ovlS>#TH`%e*N3)I%bV4b8kZ*A~+)WSVnbz71vM9Wmw5$_* zCD_72u1A)ErNrjqaRQHaqE^THq! z8EUkDnt#5Z%Fbf5&3J5M`p6JUOJyj_65X38_4>^35;X0Bs!~D7BQz=SK{0GHxtC_a ztR7pqg@tU-(#ZP})ET)yf*K?HA}A0kilE3{1#*wO8$GO;U=L87{v^0-$RZ8!8YA1Y z{bcqVAi9LTGEUUt%6LqBw}GuP-i|*P;pOJ@6g)+i3Wp1~zDqdV& zS?C`oCx?3S^YTiJU7q5r*WUJk(^oWd)ZPu9+o&$7ytKL~R$+M%%Gs{WoPtvCf+4lH zHAVsjneOJ{vmRPaXx0Tb(Am@vz=R7Wso1H22nn=!$p^Qn>dOaJ^_CB)AKE`?$2o`& z-t^~of>3`2=D!Rj-MKkY9XVBC5ZX#Tsz6ZsJ}j4jg~Xw~nb|Ng(< zL;wAU4-7*t-2=hD@jF0$1=d}<$M|pDV+7}3F{bZ%73Ns~jeD%%>sPI#w0o>zKA1tz zq{jmyCuOYRML`^tgBmazOaRlsEU*A91-IgHe^j}C;SG(GCto|g#-f$f{19)>FD#6N=7adB$13B;jvpgtYCImd9zSM1W~;oJnO z{G(k5<9cd+Prv?(i+^@Krht?kDorLzqN&u8O663VKF?lJzB0Y?{FUXLE1sYJJ?ev^ zWU}aCayXYLP85epPAxCNf4@l-7bnm)~&yBHoN=hSP-@f^AM zVUnXB!G|JTQa?;2ia)~zxCLjXl83Fr2l#qPymX)*=QbA=B~c)Ck;>xC7v#2&l10fv zoB_JuO!}`FYb5F^um}dI#Tu7*6_M@{L64E|Wz4+@#QRS6!Fb=NguAT4fDM1BWOm3Y zVOj*#Q|c**wfZ+T9r_>Y8rTW%P&>c*_8a`v!{8P;3O|*^B(7B=b4@U6DmNjML5Q$r z*xnKF)YC%?tppZkq&P_2aeIoW>wphjt0W^CWf{okl6xF>yRnBe zIh~-#WaR8N4*wJ0us}<%tautd`#hA#Be;4UxE@-oNhqpBU$e$?HvF5I3MFytr}&WQ zE5RbM8ES!YwRZ$0N=lP@5M8GhL|1mfqUPzhcTAXW`K@X0(&cq!+h)Q)s&Cnf3YU+c z2v@ASy1ul!&AOnoqs##xeqx%XwjNXL1g>`oQ_KM}!DOYx&Gc9$p-05MAqk?Z*KW1H zA-*quCQ`r-@3-%?pR%8^)1qCpn|-~sxz~v6eNvO)xW>(G&9(&2lWHg8eb$qG1UyM< zO!`o>VQ__URavb!iELIl%M)!2q~ke84rSgz-PVhiij>mmuDq+4l1C zU}+FWS4^JTZFn!BK8hxwzt4O2`E4>RJ{x%)P#OjDFa?OL$_2BP>ZAM#9v$N0R2Pi8 z%3Y&f6z`J69-q(H6Anl{Za3%&@GgIEX9(^K9S$82QK67G+Dm(Ttrw|5qIF|aMyJE4 z6kO4VeyhN(`T(ZK6B=bYaKV< zFuZsXoZ3F3vO>A(mJ!7Z=9U!{lr5N9RrS``F=M}-Kf8D+xT0srD{(^)!AvUCkBS#wTfT@Tk zu6}Lx@T)psU2*$sx7S>?=G7H9wJp7+t-XyN*0AxtwRgV1v7uq(`**H=Z)3xSO}lqJ zxqJ7MyLJPq14WVSg5qQ{HoQRca5iJCz(5hMt4R=cOAM5} zS3(9J5oe^_SCSz3c*k%`2gyo)BnKmrC|PdS$0CVRQ;17u#1bDQGv&nBBua*((lyTM z4}I{^9q&Cb4p{f2_kF+9C}^Xz%g-ZrXo?TH;L_SHaX=nXS!=QWht(Zq3w7fhT} zX3HKnxn$hN8%EGsi=SFjQF?RtTD5(}{`=a>3$Knu>&gOEH*TLj=kZ%6c^m=T#GA)v z%VpP&QvWI!+Ra77>m!Br#oo}k)y=7RKX+hy_&@|)rwn6r_k?fhq% zGiQi&piz>h=^&qz!GjchiVcUiB$eVO%4TF3GxlNXCP58SZ`1Kl(i?ce#gF^qWCG4Ug+C%(C3Op#x_+lR|gOF za_jfpw)O1Ol9Mkxhc_7YcHfnspXI5Me*&nfrCQx3KuumPhs<0#!t|(tGE{BN<2Zq) za{P7p<|RUvqZ)TQqp#s15bjlLE=e1mC6K6HNtIN^PgQjJT_aa)?kzyR759<7|YjDEv{_}?SNm~#0`e6JB-Ri0i&|` z?~(*8dFt!|kwB*HFWw&}i5RHC-sXRk^}SMeI+81;G|MTKl2W-`#g<|kmY3i^s$PD5 zN%K1XP+cAWnkjw>bRB*F(@!t&dKw>}aklQg_n7A?;T*>M0Hc!nh}(0o98JrSQc1~W za!#StD-_BQLP`qJN*ZyLQV-cEyW&~}tx%-MKnCP9WHOq8o&axv=2g11gjaW~N0*nh z4jATEAF9+)-#8?}hvJML%GOjKlJ5g(6!)V@E1cXWxi5ZT`M&s%k(u_PtCwT+3(F44 z$>mA<&gE{>ig!vY$mvd{)OGG|y%A;PBUwJm%|lu0w9K^0Oq9u$K*K97EzHqyX=zHc zEyta66vo|!W*a5ErJR{rT3V7-WYwjmX*623PGPXhh}&~u8>|M)tAPP{HEG+Vr4aA8 z@6gf`%04ry!GN%~pL{HrOe#Z0a<)=wR$@Ssj$3`w0bSpaD^N!Ku8%L?j*sbLW{+Hk z59#{Vqt=S}xz$H?eMc^jKl4}x`q<|l+W(uge|mrU&+&6|RGWBnj%|XT$4qT>=XvwM z)v~4nhT#fq1?~c-prBlDw85++=Se#DgiNWDC>d!n-i=Aa{HwVJ{Cl$_F`~i@V=KiU zajL_{i62Ao-kcGQR%m3j9>4Auf4}96+qXZs*zUdjpIS=XTO78b=F*bpEj2Ym?h>}? z_N(6i$vxXgxnu%huu`w+4FJNp^NMMw_14*_vu(uU!yOgc5Q}Iv6kHz0Y zd8iu=$H&o2ahv4i*=Jy+fxqf<;M}k11#(_hrCX~~QYO0|@jI*-y%KNEdR&#Ng6S=m zCFuv2U&MPewfao{*cY;G%3Q_NY0=%RL~gQb%d*W=-LW3CJzoX&q_hmDW*4xM|H!S* zUN?I4B+K$|Ms-T?zEcy`2=g;TQ87L|)GW=);-ogITgphK>+MFH8mWs4@vF_nrD<7G z{0j49I++t+qzV-U1o3@%&5DjeD4ON(VFH1oBozyrW>*o-h(1+NKl3am+4ad?;}1le z+OK%%GP0L8nWv?a<{^8{R(EO1hC!QcxUDR{knJ5mv~72%Z|L|-S6=(wk9KX_e(za2 z!7_7d^J0IQ*>}yz)_wh@mBZI!t4IR@xFuEK)vRs}jZ`MN41e|DpCyb$LSt@KO1YH9 zTby24!rpBZE@TYJzj{`Om$qs5N8`Ube3)!VJA0C8|0$Bk{{*;ij&CNr&}w0BWT@l3 z9Bnd{C=?|n8O~bDXt!sZ@ZJDAgTYP4l2)1}rE2rBKox2w7U+vL;MdOxgCPeQ4MDqs zRwKc3gC@fJblS{sAj{%oUF2C-Vy;r_LZ4L(Z)+ZD$j$HF5v;BA4>apcC?_p#pt+>Q zS*FTBIn^Ke`jP!#o^zr}k0ZZny#2O{Hd{`1RZHdY?eul=x}Q26jTxmi`HgR(PoC{K z{^I`nn&HdaWm=^$tI7w9Er8KwkGqOGRiHjqQdWSt5?cvfP*PA*n<+&yDUw#5;<9bo zbawftqzVO9_$gf~ly2td8iYp}ou~72LA+cG5{8kS%qdPd5;HHCi%Ieh*j$*quIjsd zM_X?7?7FE>>~?ytcz9<1iK|;`C+-;AA+>ID78bfTS?qlcg~rA{$;qoXUmqQ>E-dQ0 z=8?d}qt|ZSbj$PC1YbFIYGUX6sV47ull!u!0%Oy*2G2x?2`C%`uTczRT+H7lx5ZU} z3Qkekr>e>@OXP@ss*0+rEjh&xdlK9+_ymk3?s(SlqR$!ffZOP5VqD2hg6C2uW0D#H z<`Sh&_0A18CAeFBgm70r_Tc5lqVu@hv-#jhc-|fk65c-g+`ipEdhPW7V)iX_XK;ha zn|o@bS(raSk4{TduOQ=j!TZ4dHRN7+4++Nm-4w-tIf8wOje!JC#ggt-739NN;gV3O6hVCkc>{#;3*ckRGA(hj5I7mvJ7nslO~g@@$QVrX@+5? zFvWQse+`&8RXkh63uKxl{GH$G(HiK(u|riaNtTwh$N@c|){&hYNzUmqnvF8NlM8G{ zW&{stW?zaw*A*{okAEM%fn+yIRBBz?CREs$rcQI`AbJ!fT!;JmIm(tSIu^!2}LTpcYE@0j>Map!{4Q8sncwB zNN76V_eZIGy~Srx)5o)mOZIk|H+gC`g}073MTT86hDG3`cAWbido6pAGE&>z7L8G> zrHub}X2w?tS&30Yy0QZO)4*&h%uNgE*rSX}n4Tn-6!|V- zbW~W&!H1=bhAtc*CtA7F#a`PGKeu$ncaLq}{PCCeKZR&}{Egg5U;R$6$<#LL?49S( z{Qr7p^YK@%Km5jBn;(6mYDaf*|Mk0qHw}~oX0Tmiz1;?SOQ%XGmpe;g{dA=cD~S@6l(fL3!_qHc@Ut**s^nV(#Ff@!_i8FWftEUBX5aoT z*6rPSojVsc#;Xx`tZTG^OUcZ#dMdKEd)cT`l{NOUug)BQtuwcxP|Mu3yh~f`ENR`p zb$+8sA(8Os|FVrhsS5W9GMSQL#B*DfR4Vjsl<$s|Mu+h`coPO^#Uo-Pds98aEx(lI+Fm{F}XFdZ7DyZ2m zZr5Y*?!MULc-tX+Qm7rOyu&Zx_U6oVgZZn>Y zmLpU^o9-`1o6B!4r@3-lxx1VxFRv^x@+YNdWRm6t6S%H3^{-UFMsBB>W@aRn=meg!cH!0}x3Zh51 zJ4tEI@Xg``tgC}_D#2?Gf%#3ejj zX%Kzk{Uhl0crnG-h@ONR@tHXany-n%c!^mwBVxo39tRtJ|AI}mQZF5nz(82ef4F?*6<8x?^jm0qycYd3 z{;Bwrcf@}R{MMo80tzbzfPzvMi4Nyx&kJP$!29rwdzT9EdCev9QBL%IX3T7U&KjRREiilu=;1fuLzRDm?{I~HQ2FU25 zpLqWW{e|U0ii`_?$Nmo5Kb|iRxUKc2ou#zP*kPpW(>v4YE(SF+NNL;*1I48Iqp-~t zd+Ib*G4?)^^OoYVm5=hX;FUoWZuh0y-m)r zyws0KIFNcC<)rR&cedM*s}Qy8kynR0l?W=Z8i3yR9OTMDrW};RW*RHD7o)CXR1YTD zZ#`0G_k+XMLdiOM0OA9wv?OGqOQsm!uw z+@7_exN>fzsBm82XF%T^RZY#ftFtmPO!{=aK3$VusMjlv$gDNfW;124F62EBqO?#d zD_vixNlPO_DJ-ngXK>1@Br8}Vjvod_TA^8q_E1xek8S36VqwcDpv4hj205!4X+8G)YoTYu^u(a04NI^IBknqg&4WtMs=P5+#n<$Q)UgZ;Ruo7`Pd zGcp%hjq*IB!Elcn?N*}^HBwV@jhvIa<*Zz;YoLrSSCNtbzL*P(0w3x|sTp-`VO|yf zPNlifoQ-?J$7)rDSRmPnCrte4h2M(=+65h#waScH{9EwOy z6dc(ni~`v+Tgy6~T!l@)t#kWPQ>-!i>FFQ+P8)wyJJ{DhoKZX6vgMkJEt7?fL(b6M zZ@yzhw_LVUU1%>d+R|j1+RSy_*x|OzM;xE}Vv5IYtI*}==A`M%Gb|@&>^q0rGAq9E zZID(u^iY2!^C6Qv?fWcZrKSk}9E?mUMVMIzYkzqOdZiafmtVk>KJhf+tK*+%z7zif zbJOY5XBPm|QSg>K08=StbL(^_jm8XJe5tLJMy1+Px>SxwM)@kO(EF11#V_@eZdF)F zAx}btxxY+Y88FoyMI6JbrhL|x%4}95L7Y6ZG(W#%N3`RL&xALmsZzjo-_^2R-_Vg> zSeBK$-AzZAzYsXMv#qGWR+Mhtn9i-No8bu_ zncayIVmFEK>pIXq(wilU)O7W8$R2NtzaMW$uJ5bU)gbUNna$C4?;invz9r1*5cq9M zx}He(9{2c`oQa$}a+s0KQ0ASP%%tMDg6@!`PJ})~p*txwMWK!YR9ApZFv~ABQdCxn zkw26@yHkT2HK<&JG)kjFp<=U*St?_7N;7yz{1}>ylYjvY?&;u_0-H7$){8C~j^%h85We*7i+NL_Gp{tjF zplNJgr!`$SK+E|tVmfH`7BXVk?QT*^RkT7TRpH)5fXP2kNk=E*x1f$!UP9jZ zVSpcf`)#_PE{Z>fdY4~aegcK!$1$C9p!05^Qx5a;GIHvw37@(ulj5^#7#gvNG2tUw z5sjaqBN-)7#1D^`#Nq1eNe=|FHOp|z4^9)uq@riyov0nj5sg~Gy568~kB^{FFYk_* zU@8is#h)d!s3Es$uM9Q7xEQK5@dv=l<#s8eq#7xQ*G!QLzYv5MZNH>YN@;#HgS!Km z$qXd%5mE@!S?{u~|t4gJ9B=a*YfpF79T0$|i`O2rT1q|{Gd zwo{pIC8AgvqTL9wUuC{XwhLF*LGHS9zo+NvKOk9ZJsLW919>)0tHh_t+X2Jd^gE1< zdYht_%PHAo6qXf9gTW+yIZ6Aym`00fWq|cs`VWxy2S_W$Y2_**4XlYiPQL>+u1CIe zHw_X#2SvaY?C2 zkLBFk^gjCckmg2%=MGY;$7!)BNv{UXiv+U=4PPLII{JBfzvO#-y|kaC${8`Wn*JWW zD0zV|OOKJ%bSAm%JShwE70OEaROkk~&i#`861xuu2~E^S>IwJO_9Emgo&axBWM@q4 zGP$~L9V&zW&1hq@j>$Bcw^3q=OM2FBGOY~OWLbDeyPA;|Fv#Ux*$|Tj)=zd9 zL4Nj2pLY1G%o}~YU3DTdf7P zE@w?z?uJ&^pqHzuG-rJJn^_GNhKhW3_0Zmq4Z*=q&b#PZf0@Tv(z>PUkz&Zpp*wPOU0g*?Rgu0bKmNSY-_YD4OUu>}+oZomAD5iuZIkXJ+LF-;+oZou zA0Vko}mJ zbO^#D^nWn7Fwe6LTfuh0ob6qSORAK9Mb;`iE5AejpNelPCzQ8AIHk%_?ZP1?KjjCh z;nZKKThvF>9BKEa{VeTgns00VGrd5UuX{kR(7%##W9E}tOx9esCdZ!hcJA&xN8SvC z2OvC^_mtt;e0TmE1zQSt6u!oN*SOJmxAE>Gd(mXEr}!%+RV802wU*vzZZW@H)?W7e z@_5DR%FkFLLipi-f3QA$@ld7u5TOjh;i`A5tEx97!t93#4?LKV}EuntcA6(7S_UASPN@mEv$vLuol+BUlVFxSPOr* z;a}InTKGo}4oV0c9CteYSesjWL+xw-ccHGd&R6$v-P3h{cFLWZ&Qhn(6YM-0+>If~M_Fw>8tv>zaokJl_0ls6+)fKz33h7T|!mVvs|hFt>8 zQn`jf0!tuXX}CgwSt`>ICa@IZrG}#d%u?xw>j^Bwax*+Az#uEb9R!v`e2U>K0?bm` zhR+dL0ZbOq|1QA1{CSv{KM(Wr=V4y{Jj~0Vhk5z)u#6frJS)Jw{CSv{KM$v>_4y?P z#%~y_E87GZc(1G{unOYSD=!mZmMW;^WtD49V9=?>M&Nv+(+33@>y+1BA<6F(V4T05z$I9xmMH-SomzGf zxB|<^a*Y6kd@L~nTM3@q1sLPG5ySE%`OA~!FHho2p2Sy5QvQ^r{3%I#NfGt(S&E}- zC>v#`TmTJHQ&bSHJye7W!(WVAq-IG>E8Il^#=m}u50M;Ikm8}HA#jur;wGVdjG7~N zLAVAX_g?r7kesOyI^k{%VuREI#PkvDVW?NEryH;@0`7Uh!vWq1;0#gY0FMJa3u)0r zJzRo%8%(u0%9OZsQ5HgjA27^9UJmN@Lp>PBIJHN}yAkfDAQq?21C4VDp0FH3gpcV< z(lbG1!BH)6KL#l{)=wm{nnxZ_MBtPowai26IN=xHO#to%C>td)^N=e*B*#I#sE;n7 z2}>tL%7uw+*OBr;k|RjXK%H390rJZU6p48`5z|jNL{mvxI{g z$Q2`LxYQV-L)7Q_x`_!TcuR?15HpeB!IHRNv5gC*GfVCRP-YzNErOlk_Q=<3Nz`*b z2fW4>h@8er%ek1$76eX1q)kne)+4s`^JS0AOcSUK@|Ul&)r({2Y51_RO>POIeMX7x zi}ohk{>3;DYrl}LbxAgWIpKMUk@`g67$vP|k=PGD>p4t3hX0bB^0wz+WmSC3j|jg! zS3Hb;(5&EzFtwsKMLaks_IDp-qrBG+3z}KMF1C=6Amu3WjUm#8V}fq+ctz|bCP-_Z zCR~bAUTxJDq8UGd1A-k~=+Vw^31!5yV?GNgv3N@{!jX$ztZn>hVyWAi#WLagf;JmI9^Yp)dWeOIW6=TE7tu% zeVJ&Lm+sH7=K2#YXD(@s!0Vg0f}l{>B(deNpmz)5IV$usyzjxD!B6DR>shp8-mYc^ z56;(s`vJaR2`4PYPp$Ol=liq2fi4mf@)O=8LZ2i0j{u382ifp#WMz!QkzQ?Du$eNE z#!Ks#!u{B)9v!q@o+P6H>1C!@`I!rO`~ZB!`-Vt4G55v2o8>(3Cd&T&(pYM|KTYOa zq-$lgwbE)w+ zoO7Z(yRxdXvNH4cbVqbNU6o5^HYZg{mX2^!PAThi<&7sO64dYXsoHj7A-(p#;a&2Dh!jOypF*I5K|23Qwd=LHkNeT5>9^eFqNp3YD%Q}q zL4Tjfi#P}3;J$tu5Km*j1X^PQZxn9l<~(d3)KXf-JaG-U?=Am*i~gJfuIv23E5uvclHK0?k#PZ3fJ;aefw5-|64p9` z6NU53if~#8ZKdgtl&i0 zyXMX+T)fdc&L2*3&k$bn6;XB^{MkE5#=dpexHgnZcbNu6+iK++=v9DA|E{RO^Pl@k zMFUBJHVx-N60ef9nS9aLYm&rEVwdhV^|5UNxm4+v2+k)&KhyQj=xc=OMh*zD;fSg8 zGt}wiI?OYE;&@BMUq2XZkj@cmvOEFW1P&1v!a<~V%G0W!;u0cBFY)IdN*PqXQmYx& zwKeyY;4dLTh#7|02~@HmW<&~q)W{QS4|o(7ap;*lQnC)c{Br6!-qgZoJz{H$o=YFY@PrJ zZDD5{g)c#J?vV!>X#nr^ggcQzL4!&*W?qz($0?0wA75%rOt_a8K)xNvs5-X!CF0VZ z!$UK(BBAarVPfpET~Wfj^$6dXG%#5s^+NX4aFOO4^JzRN&1QacThx8)o%bC8HY^x{ zQ+8vB-UYs)3D7*I9ChgFNE$?sFvV~IAaFiPAf#qcs7}NZvf-3SQJ}j$2B_c)kWo#0 zj2a+)YXeAjsa$J7N|@h6MMuEP^}?q_J0~KQ)XI}qbQE0r&-j)eCPp5j0Z!_+>IzgS z+q)3jXCXgE1?8ov*#jCdVFW7thXvg^cj3wtGqcc8&@rl!{7bjpcv+zaF~JR49WqO{ z@6Rnm1%V;yAXjPx0SJc8D49`#Qp*&TYAj@g3yX;QfBaC;YG(Mtp2mbAgVt0QTv4WG zT~pV=fmWjld<--V@c+|AiI`D7=(h03sYw6zAp3i}b*E(dh{Ii*2Sb~469O@|$r~Z+ z!wrOOh;Y(oHg=ec=nyX#tHE6Dg-j)-w;IPoaU_6Ffgb|oEEo>~#z3gHgpf8!=w5B971OUnM~JXT?L`+%}9^ou7v_8tV!>3c36TdpYRf(XZ`1K;Xp&{DSb%5R_GQ-jP*<>| zPtm%+c1VSedm^n}2NVPITPXPKSx~hX96ux?L>(TS80bmQJ#%huVLk$W_^oLbC1D*~ z;bA{Mw`(r}EM1+g%`P0;?2TsvHnV9p?^O0ocIF7cIzDrE1Q2QKaUGrD?6A5#G-|M4 zE&61+>HD4CIq2|wZ0P8QoSoWS;98!YUTkZAe}91I%%?Mr2za887fgjL@8SVQUyEB+ z)qrHnL!+~y6F`Jo*OOqh#&ek8xHhXSvL#Ff=8t{QvP|r&5E2OF{&*{(E`I+sVpm8`F`CYxU*Gs8i z$)c^CTJ&$LyL)%e_IzbL{hJtTAeX)jT||%vk8R&GeKkEg)4YZ%w`s5@)a_ZeWOB}R zmhA?6T)ia#RPnYdxM?E?!BE~`KSm!sJ*d^^*C`|UQGfC=Z|md~Oq`v7Y6CahJ032` zMR^(&GDsX^xs%4FBjz0{P>vhOR)uBYGv)6myDG9KVh^nk|BTcy`~>mA(@A);dx*ER z;qm$B{QUax~N|Uu*lH46F zP<-@H35QPY^33g$l<0{7Y7Mj2oGq&^jx5&Mx&pU_+Ni5IUgt7B%RGmj!*_9_zBOoU zo2lT4OMRqMfL3*AS|S((PF%NrO{J8mm4tr@^)^6v!N4cO%0b#6V#BfZ=*5t6A~o7q zgwI$&Eg`^M*4S@+sxKowL~Ard&0TYnWCd&=ZK3&fy~}m0OsOUL24C=hGL+=*22EmZ zN{KMOegeNN3C#Oo610sL{*k0yfX<-GvGyscRSZ*i?iL|>`~+MxO(UTgyw>kw0k!0T z@Xl8X{bPWO8_2w)&qPXK^w3dfGK6PQ`Q^T-bVZ)LdEPX7S)=Fr<$o@)2KcD426*W7 z#KfFykpV3J{>oR-ahDN1&sT@=tIJkOMn0UFe+h^n4#Tj3)6=}Wvd-crQo9KIaZ2WQ zZ(NP|a%D}4L%uZG9SAT}jD5GRKGQaO0j<$NkKk+^THB0j$Q*{wqvWf;IjK2L$cve2 z%cp8|1wPfnWj)KXwyTOgYgym-*v2)RhDSv$hN(Y0xzI4zQ;ODL@(Q(nF@c7#+02l;3HN}3 z#adXO^p_hoH?)r{Lwa35x0!sO-yh8=@xBJdo~}0Q%bd26hPqCuiodFME1615OrVeu zIl(bgkxxV<*&*<=fq)bVJH!$GY?e98GY8V*XY-R2{s0lqFLMXU4~!y|>6b*a_=S9O zizvUpaYS=9q~m5%VSK^F!Q^Dx`Qcq>mgN%FDRZ3nBKQ4&(a7wdyap*J1!MmSeBYpQ za8l0nQgay}(@4o+#`zD5)J)g&5zd4Lp?3mBNoDPkyi%_Ywh;VBaBX-0%ieM{9c^Ty zJSdl(t81)vC-;fkYB3V@d>X|U{{$_V9b5!Tm6lkXHJ5!KjVM;6SiZ=o$=;2oB0Oxq zn5hr#Aii?N}S40p!<2 zf`&z0mZz#~#G0dS@l>ij$eE z*K5V_v;z{V(?FnseD?gzfGz+j6{!{2IrlsthIy$Ur~D|B`> z9TvpnyOYGb0uX&)AoQdpLvJJy5E$S;W&kDuEcvYc*mw7zK;J(;d>8yOma^v0f%zdm z4g%oupF1S!U#{K}r1?YJ4nUS9oe9niUPVkvU#fI=>-Egve^yd^J@$lQv9K$%w_zO_ z^jJz~PFy6TuBDYWG0b$@)X#u*L;v0vs8_flR()9J$? z6A&xcQO7yZBp9mhLX*Z3vWtdb`baNvE~S5B<(OLXn{sqn8q`BG>)`0^xDI8k*AE1< z7c;vwiAJi?;l#R%5`dWke9AEHO}*TK(UXN-*v!!@cmVS$8WSaRfcA?>n*jX!ij!yP z(@~2{)|)IiV;#-M5CX#U88$@%aMqq3sN0v=b>zGV;`yEE<4qU#GvKz%IIp-Y+QY&4 z=A@WENXBPOriwh~p4-;Ewo%!mdG{Z*gaBatt8tWGrgE}n3l`Pza+xDMK=9YvvX*6Ty~1il43I2S%kOh&ScD9!Rze?^%ueIjHZVcLsX z7(9R5$3Tq$?E0gUZExp=89SWEUPV*OwVa;vqK49HL@*hUd+-4;0(aWhJV^3B3xHA` z3x9LVv*R8TfFC`?{*XldfB;eOjWM9a7~jkB@#UQ|B> z6?-=iyU67yHe9uX^U=JcB_zY%a@ICn^>+993cK|fpCKjLHu@Mr*g}_zJxNC&Zm$2SEv?xmtBCn*i8 z!$QEQ3sxm9wl0@I6J8UY{$9LA69Y@Qs^F|=tLiXE=Ba82tS?*Tk_SfYw!7yqYD0%v9Y=2xq-5W)%`Ji zO-SEF1r)Cu5rxRLKK1y9Qa%8ip{S^R6x~^aZwSRX4nCNN;DfIJS`~oor9aRo@1Dfw z;d@({84Q-meKYM+>sDKHTeGKp{orta?RX18{D%u4L>yq(J}{r1U%iBIo2!tWpZ=?Z zb^b}qC6RkR&Gv_jH{FecMCqZBR4DL7l3rIq7hw8VkZ1J zb(AW!qEx_lyAW+&o${1W(mWjw0EvufpTQY|NvxEX>iurgS`P=pFmFr2GuFmTu`V84 zofi<1rI*-BMG^5y&CD~HV6|UY%J))4t%D#c`an-a^;4$`(f%T==}3qGPs1We_)Z^= ze1*G6Xot6!aLzI9id!;Q{*Ho)m@^nEZvjAJ7gvUZo)SWn`{ln6@RSRR_|1M#WWqdy zN}4SZz>(zwE1OdBT^B2}gkc&dU_;3Jz448qb0c^HTZz2?$tbjTBFV#^AE~gd?hyTD zwVnUkbM^ZfVFb+l2|f4+z@G2p%?q7c(vMfuqTleFW=Me3F^WHzegtK(t-}Knl(em5 z#{vZQ=N!YWi)m#tB6mmJ39$VRqIigt8NAfDxm5qyyB3}UL1i$UGCo4JaL1yH5ioG| zo2(?q2W*Emp)#Qo9{&1pxzVjb>l6V>xB~yx!z&;Utn4@U_Cw(HO9Kh1Qplodv8x4l zFq#GDka80G=j-y@2$;yH9T%_*i+~Az7F40_{C7fuBD6iczE{|T{#Fe>R>I5a-N4iQ z4meI0LuD1i^hwrn*sx|jlxp}n7AK&4k9`Yn5(%sH)!}yDKCg@3Gb1F2NjilGyf{?x zf!?0DP{H=~Oe{UNA)r}(W-4Q%%!P5ylqGHQj#xY+6I;#@))U<1TGlu->ByaUJOU$u za-M*!n}9J$FNFqKk5SuTB|$JO@`}odF3)l13KXXT3B~94i`A1;eaeAw?^j*zEdR$+ z04^pacqEb!|4g>~k})#hI<`u9lr(jyX!k;^XNozdk%!I*(XFNKRj+Y@MX@7C#_w(Q zU+dJHMsOYW_@|T_LXD03<1vhC~!HaWgs|0Dua5=<)}x9BfDJX|IGdP2U`% zQ{e&eW&^T5nk|{8AAmrkN7GI6YJ61T)@!EQSG`K_g1H+j3AM+%U``tcZ|hfCY#I7>9G|S`)H_$t zTdG|vKTn8OZBDXcgsAYjqRmUURf`s*FO^=BWuIp*fMp6zfm6$u{atFbZma7eXlS2R^)j=D&; z_tXD8Lx4(s_H77A&c^9?zvzC`-g$3D!@(*y@BY9|l~vju=_N9%q!v*nNE&hCe8P>B ztmFS9SGipr-JaxV!OP6gLbvfpRCAT`4pA6R?L4v&qCT=c-FW0o(-F$UY4+yllzxy8 zM*(={zKg%T1H8yc8FHMf6l$!5SCgX8>7rF1zbGIQ-Xh`QF z$}?f%qVi6q8X8n~g_K9LxyYbAU;UV&lJ%!&R`wRZECnyCGoM>E`VJEFHgy}PTt&hv z)k>eq6AP0qFZ9PPR29r*vT1^KCtU+x$xxtn`bCyX7C-JpFy!F@7*{s0ZUbyJIU1Cg ziSu0fqMrQqSUHv~y)N-*e7x(2{qxDOC}d<|r#1;g);`M90)VU$LUD_UV{3Y&`*PYW zc_Ca=eWIddnM$pWl2_1cp5ary6KYjPT-TqjtlgKf=KbqUi2Hu{Q=)j`S1zn6NnyyZ zin1@F(KjMox|zNH>mLPM#}f5HMYmep8{9L9-7Pu}MCaL-W>%(UV)$QGGI;GyPNnu-TdO@ z_+NP(hVXgMdcQRqaalK?shk|^ZXxS$?t?g9n*oa+De*yxqwv{RLYZA%A0XaNP`Nxt zHn?5!H*Y^P4Vu>4rT_*tl$L6IAA`;sz;R z%w&qQgkvzWB6urEJ1C9?3rY6mR6=2tBjs`vPT7mcD(Ac)KG|}I5}gD-?%JWpEgwr7 zBC)`YFV(=0yD{Z2L?1oQ7V4V1>FF1rV_j%%PD_bP=lhXJAgQ*Zj_DxacObbE+kFZa zvzIPgazHv0b&30uz}u`uobQ28Aiz=2tvr`mS}Ew{!Tt%E1}0SP*tzvgLD06w?!rFAl<*6Hn0F9YTep>eWxwGWafnRTS2KdEygkbGk+uBq!asIuA&@wvdhZ!H#d&5 zIS2mpOF+gl^dZ$EwTWLbUYVj%ZOBN0yjq@=kb^S z5YvUF<`#~o6AvMaU(T6*Gk+8TU|Qs$fdC0cz8dX6Jh`sTY>Bz@WB7Tu1f&6jl&<93 zx4mxWa)03gJSH&$aP!FpcHhji)-U%&lym0iEO(eIKf0>N_yvjp64Un<`Ot()gYygf zVAH0wBxWKzazvSzN_~95j0+j__fVF1pEB4|{D5|X3J1!-M{#}Ij?7&R$J=l*5?ee8 z8F%dK#4M~&2jb;JA@t(q&w-_ukgmlg<^wHz!RD|BjgQEmuyss9j*2l8FtTdqaOEWW3!mk_H7^ZBUONe=Rv4 z)><M|5TZ0~sT(GHQU0JqbL6`{ zLdnEC$@J!$OksuFe)^j5xIy(AEh3&2R~t!2#F8DJBur2qu#d9bDMuty0<~aO!x(eD zy@mn#S$Rh5k)&HCTG*742>-D-@sbjPIl7#?c2FVc>c8Uij$pLxe6o7DGO^jm49Jf9 zc6gIAQ~F?3Ky;%9wT?-#)tv&#ZHI{P0mi_v5CH>eVr%T=>}XPU zcPk4!^I!RY>VM0>#KOY*-=c3le<%Fb_*cun>2C|`w=s;2|Akmt+3;Bz81UJ?_5bbr zmyOK-mi*hp{%zGiqrN5nO8-ale~kPd^_S;hX8x!APy4^DU}gTtukYDezjc0l@R$4c z{I4&6wg1oMU;qEM{iT1|!S-*-zuy0i)qi^bQ!@RH)VHSpjQq?0weN5F57GY(JqO!A zk@)5qm^i*ez{K{KW%(N)w!ii;u>BSI$211EZvhs1`hV!}tpAP&{kI#e^xvrI;Qtq- znf_m-|4P&Uh4%YO{~u((xxcpkL;vTferx-m5dCMK{|27la(|`%#_az9%YS?FZNYbJ z|8@PV@o()v<$qB4TmM%y{`UUm{x`Av$HRa5{5Pimw-08Hzc=dt>iF*Y{{a0L)qiej zZf-hJ3u|W+M>S zIl~1RfO-%Q_9Mt}pA(&3g5lTGjxhcKg!}xMbl&5l3XLtTtfbXn)hn-SsPsJaO)gyK zpK?v0g>jzfLGEs>uBsoO#m(x1;bTZEKSh3z2 zxZ9F}D4g|Tebu$5-o##gM6;ac+1s=plw8)xTWa?nv(o2nj9e+{jOQIwA60bPw%-08xmi4W&WU4mql#DnKp?rx8ZpgoeHXD`bdF z-VT}fMnGtWGn>(#;TNRjM;<=A*)?d#WDL&Nm8a_vLP1F3p2{bmqjc@~MFu<4LL84E zi7=D$=k)ML%iek8WjobC4|*GEb80i5EfXco(S=RgqD#vb-c=li>;K;aoaMiTHVZ2= z1M|P3XZU`Cv$8R>|5y0Wy&?3K7apEko;oxbS4iI&B@ShRpvV3MQo|zxrIb@Mz~k$a zB8r0x@F()~_p=})V51bP2$%lSq}lBq1HwuC?AcXJ3Q@7rLgO#6)IwOD(iwU8eVQQV zx6*p^{`mU%++sVLXgOMUn(BD^d%5ZylExPmMi4h%Wi;`0wLR~5aS^r#%$K2&N?kMW zYr_g1tt5$cZPIR0^mxAxl@YFs6n-tZTcg!ue9dtuQ&@n{m4CP$usYoOUQ`Y-(_R+x zx%395nRfJ1;`zNz!Wq$aF$lcy5y^VkBNzdnc~5MD+C*F3v(vt>#Qv#9dwIdiV_pzg z$O0R}iWs#;XDLk%!Ftp!#I#yseWi7x>uG8z&+YGOJBz#6MWVgK3w+lJ)ZFsYMw1IF z?>=*?QD3V2HP!mYjje|rywE~IyhItpa?o6ao2jDlQ0mWl^B#HtxOO)8~_tU(p z$Mm*!>A{lWAoEusewe*iQl*xZ&egkx1yf9N2>yNrg3#7*&S7Z|VgxXk85!Z$ANOMl zloD7gsIxH&O*%=zpwvE;A7O`g=6O-UrzW)gyb>CnM9vE)sUUu>sg58v6c}`;x)*7q zx6pg)N#V&;M}7Gm&R_%L6tZ~qp=hw#qrO6QZ#2yLvz8murDj;g{#S)oAd)5fv3PkX z(;JaLDUJaZ)NG3|x{E2j|&%IR`BA$Eu&uvypP~!xy?qA6U}t7YP0V0W2NBrj*oY z!s{(}Ds(wQ@xfJ2#+SHWmH^v3)rHYQ#>=A5n)ensWS1OR)KI%K!1qfr?Yt4w8{}8Q z=cgOs-RJn$u7`hj8bF+1qp#VT0JtFhFMR$_sEwN?#*L-$=h2I7A=Z;{d8;?M(4Ag{9p!2i?okBa{_dwm7`B9Z!K z<9Nff{AH{43qJ6RqPx*|3-zyl@ZPVf>7_Tdmm+^UU|*1T^k>fNimQQofZ+>fsjx!C zmPFEF6=u{I>}#WgGxJJrbjKU5QWj-0A1)G-nPey*y@axl&Qs506Qzd7w^A$fpi2wQ ze6IveXT9k@g1BRYX)j_~BjzQ-^ zPI9C!x7ehMmBMLKobHlu>jsk zwIs|EtU^~duQ~005uR)XIW&3g=;#8AS5B~>Z2+CC*WPQ_dN78cT{5(0)46sFQ#lr2 z4XEB<-EK;+zq^)zNPie>bcc2ubhq>CPTyax9e$|^Y)T~QZu?F=Z8gdKJET`X6akdp zeLMYF2*9GI$Wm1J>HW2L?MSzAHHD47muL$uO+VDf;TGAhO1+)1-E)lXfV{iS2Fw!8 z5_CvGC>X{Qlc-3jVM{hD=G0SISx4PB-!Dd>4d_YZ|7I*kuV7VV(kW`l{|rb(Oo)fl z)GTRWmaE_Qy2>pZSQ6a#N)lE0bro_&Hn{az)4AB`;XScc?CW{5?@e~a)fkOC}4L!6?AQa3IY-VZ_ zJv=fohR0E=?`$xWyj!z3tfDE3lAy9jCY`SAEitiA(V$c$Q?!{oCvWZ^MCX17+Jy8{b!XR-DY`>3rjf$sX*>C#?&01j~mPUE&M-(}K8#R;#Pl zd*HUwL@J58({QF2Bx%YnV@=)nWE{gqi8QHIaxjtxS4o+@Ro~c0*LE`GynK9T(m*H} z+SM4kwrCC6O4BlogoA>CfR~n)lyejt0|6J+5|RP)o>OBtB1mnuEERcSCVv$6Ef6Aw z(9SL>`vpiKu9RQdr6#JB*}`&kAeGj9@{39)NpFrNdt_Xa0mTefjq)OFRm(uFz1+Z( z=Xs6t+*X9A;)VsYOJuLFXU)1eTbY!$SYCNCU%6C8jrLlZRVBM+QeLU0qkSQsBb`$w zM_;I?1mdw#HjzHc0$Q$^`gGqII#|K7wj)D*RZaIDY&e> zeSlYu#482!WL~*g`%H8psSLCN%OnRKW+T@bmT$LZ!K$$3do8EOqsK(usY=NT&1;Bb z?iM7=&Z$!9QJpz=Yr9Y1?*h~jrIcoQ1dV93fZWS;O<#i&nS5KeReqgJg@yeR!KJ3& z(1kga`@yBQGnGbM9ZExDL*>t#hE=NH`4%y`LCa(3P~73E^eiK|3569kE+k}ak8iSy zjs?3VWld}Ih~XO>+A*^jQkfQ3t#R6tvjZe4eOwa?K0~ge!l233*H9^o{)~}x^B`(G zHr;j7>c&|ie)0*ZAc^y9423g<7C17Qi8J!R%@~#?cl1P13x94&qg%v>MZ8s#3>v92 zzy39dZlRq z`o9&UcG|e2ne=_IrX_D{wbQ1CN31e5Y-XvMR~Ce8ugEryrU{S4e8x6oJ{yz`OUJ|wBhHL1zj6suA4$N+AsF6qSIB}L;Sn+EZpY|zp0ONI_&fm3z66Gq!(HBUvu*qB(*~U#g;!t^bn~Bgw zrdOfTE73UWrsFv&d=lREaw9?~;kxv?|w!`~>Y*(r^xr1~eM_(w0f z8Q1eSiMaHb90&s59HD{KqETo9wPWGuGC8@UuyRHhjaDjqq;gN_>J4eP88&)7-sxTI zxvx^Dy#f!NaoLB9RJ1O@=+Wqlr1V|n_V1-ENhpH0I%sgF3?j#G?Wz{BZ@9Wt&e_&2 zH5pQ8h#;GmSy6%wm6Xp-vdUgK*F?@?oj#>GfUT>mZ4F@1*-<*V!3t`8_<%G)%8dS2 z1{V=Uz$i7fmqBB*d`fY*ez%t;-$t5nlFnr@*g@{WSvZ@VIkGXCmRwa>Bw=NNrbS(gB4A8tW7G3 zbQ?#*wluw7If;n1<1*<*9OsL1k!Xr2PuzLJT{Zzc+eS<>bsFOoP5rsyOi1@vo8&nV zi38CL=2P;}{gFEUkzOb6!)+%J*yalS!qrMGV zD2Q&p3NBqWL{r9%rI-|xbpMB`VfgnqKJerZP9M0)Y?jgN91YBQsn?F#WG*Flxui8@ z^wtXI;?QCj#VV=ml1Pv6tSFO>d0Qdo@Hs`i7z?@iXm03tXg-zvR;9HrFX-UGD~ZDi?hB z{aRApgsl=K+a_R8GIL%KqxuBXsA5s0+1XfGId($HXrOstlRnXaP+^OPIP;R)FOYXuXzWl@j*zI5n zuqp4bCrd97Rg-HQ2O2VUU96ykNeBuLFG9-PCoW=!j;2zzP*37rI86w0=0dEX!;1}? zmB3F5a^+B}cq`~pv|K_j8>3oj%iD7#l42qO(Xww$q!dpAd;)+2Hc2Ha5U>^X1g-{d z26IP$W`u{!5*H}}(gs}zJ+fRe^>tOvOT6fuzbIl+`lvU| z)vFk;N{qWgGfQ|m+1~NN%!pYdD5l_k61Qkajusf~yJpRg;1h|7h)HmjbjSy4$%hly zR&;*C7rTt=ibtWL`UnJea zLr0!3-|P2bMT9x%=R%4D6geaFxG6fQh`@G|mQ~uPPY zSQPylx=Ho1Ey?LzW!PZ!0ciEYXJ%-)39VJ~HTdfL&3~^|eswcFc!4BcO8S~H&Dbz?3 zhn-m0XKM-+rV&-T(!{OTy?#T`Q&uod&>+<+EO+ELV2M2(@l@;!&e znMqFUa-skiXhR%GDtnKX3M<1*QA(Cs9ZFQ3<7J0sZ^9NB+y;U}o9|s&iFiQoEjZBP ztWJmKf80AiHKq3wNwAxI@;0ui7QJ`K=2c3i@MRalZ2nm%{#Or&F0Jz zyFPKSrj1-ibiYQ>u^M`MX1?-3OfgJh`lsYh^shtLf^p%7VcH@4GeA@V%Zoan6vxrm zU}QS5H-k}uGdtv}@z(OLp#2%|#U(}CM&6_g7D3119TR*oWEmIVQS-CCDXUT|h3bwm z&kp>z@}+`^Cf%4^l=@dF(phm%k<{7JV_$M_{=?r<@W2ntRSdEycFiOyQ&@DkbplLmp;wkF45zF|`d*C9{?JAAUS1in( zwTWJCW@sL&IP?{*t#_&P0Zhm z8~6s{3LZ_RW+J#*(R3-VpPGb>+-wO(o3(bvzL(JS8liqW#fvKoE!(!&2 z5R=bWp45|(qn&bR0cJ!%%aC;jWG*>pMqj~mp0dl3kbqEHP^3ai6(Y-!{3fxYWSS~7 z22-}hm2c5FlQ2ATQGDmzA$xP_l@lC#(aC8TKQZ1gTBoV&w<;&~GNG!L=r|Y!3EdU0 zbZ%@0zG}jjaneEs4`V7>+tkcyT&9YjWT@*ba8D%1HL5z!*GO=A$f(nD<-|gA%@RpHcHaF5|yv*<{f@3$K^2s<>iS zOlkX>nB{sWkJQ@;ar9j4?zF~E6Ew7pSk;8xJ!69Qn{&f5xkDNTEG&0?c3e>*uz)0t zu)l4TUt#yb$T|_&S}l7R+9AFpBArUDp(?k3^f$_<92Y=tLRnznM@iks72qhPikg$i zn`Ew~%ksTt!wF8AEYPg)VC5`q?wCT{Kexb>hHS=4^7~0YOwmMEUo%7d@2h z149(&>wt~%gh5rsHZ8@u(ld6~z}9Z59AI|!P%n`-EMRLh*~QH*(QfOaxOWdkqsyU$ zG9c}4G%DbEJtURYHyuWRUX4k>=!*H&h4qtk6+KLX$k^HvWV0iL?3C}LCA&qjk!sZ- z!QG#wUtJ%DMMWLv71GML%$Do~RvwXF<4H*}7AIbO{|(?dC)*p!8!yaJ;03S7$`e?; zJ{;E!>coLlx=Y_o!3yr82p6%a6tXqAvVhAR_%a^m&${%S{Rw_o0LhCddD`Vr$F0xl z@5=&b9-M6V#N#*M4gRwNH3ci4-%6aIN>XFTtgfMUC=EJZ6`n4c#P>;M57*R4K)%;9ixtl%^$fL^b)>P5`h*2A$Gu6RQT7q;8J) zDC<4hTxNK+bzeC;dU~DBy!mc23Wzafkr*;@JwtI~UMDLqsQibVuV>{cg+~});XD&{ zOoX+(&$`R?Y)|J_znzyJzm`i`8IF z>ovX2`H9gbrzs$MpB!L?OB2}Dl=H9$KIqLIfft7xkC)K3SoD~2FQPAseQ(s7lxe(! zUedd|0=Im2&Z9edbd7iCR=~9{wyy>~f&O5vDcCfVch3=Snzy3tilNnAX@a#3x3W>5 z)G^MxU(g<<*E1y!m47fhb-<`Sci`%gaY?#C^9uKN`j&b6rm}U^sl6q`jB@k6Hh)Xv z$-wyS1pNbc3Boh!M4ddmyMP`mt*5fbg{Li&r`xqgOdZbCBc)^P(+_K{=-eu5jdjTh zYEp4m*yM=H-n=7Vt1o)TGsU;RD;_c5nfp8{K8&QP9$MArPviC~RR<8&D{bf=VQ-!i)^1UFMKjp5je%JqJvHJ6uo-3Np#xb7b?~GhH26e9{rJap(NNS$rgErSy?jI~d!?{p8}!uRv^{2K@>m_4KV|YE-(uyD7PF zn!s~7JI^!#$*H_njegUrI6>~NB3|r|@h*g49-yXC2rH>%l0RwPC{3r8R0b-6@NNcZaMpH0b4;FKSgu!Hm# zfTWm>OboYRzRx$DL>XL$B2_xpT>DtFmk(Bn9AG(|J5(~?jejwsq$pv?^1=(gx5a^# zAJ?{~b!~GJRi~E$O~ReRiC0&QT~ABnAsoUX5;+i&m>q6!hJ%#d=W~ysNfcB!+3K<5 zy=u#ALNiLHak*PqMHf5~GlaI!y9&R&kNBCf^z@r*gMpb(*{=6~n?Sy3F4za#kXaIu zETCo?8DkcqSIBc6*pxT$#0r3i(L8*3T{i@BWvnKY^n$zwTM6w z5j0fDag3gH*>I|e5m2O)d-w5MxbAml!5mhAW!eLalf?DS@g^rvG4T!Ro%5AWzGo$d zoigvKGq+>i0d&M+!GIb?vGAH^X|32s;yaK*<8YC7*AQvxFd1oS$@+c~Qj#O~Jh&U2 z5IwMk)y+6^yaRj?K zho$dQSi;aOnVT1c6n$d}x4N8Qrhi!xyf7&M|h%UznSJP94FA*>Vi)v9U`USqq z>6K?`jA7Db2wmrIt|lPh0R4&~B{ek(B(0$j?V0l+A@T57IaF$S1`j@p9vzE}4qsTC z!=cu}L&M5S;<7aE6f*RP_{gYTc(Qpo|5%YZJl+ zDiRCrRY;PAf+$=b=f_EV)J`U|MnnA|5sDJ?TW5ThIJwEWR?56!gPWT<0XRf=Vkqpwr68eEk(A=oSi4Bj zu&k28p*rEoKL+pphhsc9s+P$ zSl2Gf3+=Wp?$|!`Pxqpm>aE)zFC*<_^=eAa2lF-?x?1z9>U<9V5G`#wuN+cZcpv{sQnk@^?z#6&iyq^rcB-%Z*ocDtr@G`hja<4K06zf&Ipugl@`ENLUN0?c>Q>_X|7FuZb}8WO8F zIN2ivLWyG0SGUO`xS!w4JeZlQ_l#@Ji1iLbzwc$bNgs2qWN zx8~Sy!BFi5YfQsfyz79$HEa~`ygLCc3m(tx@MzJHO%jjm`LrPz(=zHo#)NEzEBMjw zfw}$mp@oh2@=YpBVfi9f^SR2vjgY5kg@+>kcPjO`VXO>+!LC#ws5kju=>Xe^15 zpmTFi_EPATuZ7+hBpg&^0!(a7%6+RmVIAU_A>5gRo|pKQiCb&03tS8pC23Y{?5gd< zR;nd0!qfh)Q{=$!m4O+E-_QEDER^Dt;JuC#p{zl{VyF3mf$Tck*5w#(+DiEvX0R?P z&W8Of&2y<{ndDqHAz)dtvjbR zW0jPtj28C^R8C8y(RP?T&UPYW883ZoFyZJe4ukQLO){x998?gvn*MhRG3{_}98HG= zR5UO$zegL2rr-%+8P2mi(eutw zajb!5pPVwoGMoxdYd1-=|1K$3o*cAN0XsYdhrlwETgv%4ID z+eI$SA|_GH)<|1iDIdzbd-tNk0St5l#wbyN)@mXbLn`(UWRLir2&0|y{r*i_+c{u* z_kiI6vk&y*m?ho_`##`>h;GU6vHc|Zj(5ctahr+!s322{zfx^E_JNqhDd5kDAuqw_RU!8R_}*nlfo<8o?LdAaJUt?$t*N#+!6 z*vYd__iW+}Gi(iGD1u@T5F#)z zKnD#9$PyV)6A=ZGMGyt`i96yJK_wzHz%UR+LR>>M!F?rpNYwYn5GBMXOwa$^TU||$ zn(s5;e`dPsbaizt=bm$ZzjMy58AN^%yjogXBF|`E!0+~Xn#P4GkaN5R9sLxChw(gYe$R=5R0><{6q5ua)wc6h>Ff33TcyY@#*%6@bH zE7Rc~n!vk7&|Dl>p2;Ik*{y3qk4KoO5r=ZSsqlp<>pDnrFCQn48X!Bw%4!jqx$q@)7%5*m*m1Tsry+JOh?hsQ)46Jr2SsrX4E{uyOR1E{u6PmKijqURs zF%`puC&s;G8#(q4I5Y_uih?Hw2veZi{=TyjuO{asXQL-G&mY1M5%%)W346sCrI(}P zNVP?s;GC;o>RfCr_N+1X8J~ndi5w5B&nde-IPTCTL3kw^_C}*&DH?`IDTSk~7S}uQ zqiti|$lZa0JIQr2yw!aQ)VR9(95WJQQtrihQ4aWhR+vj1`t5NUu7QB~`Zcr(~XxS6gO^cRe* zez3NIyoi0&^O|2fPg|vN=eZ}jua2-6`RD0#yqEf~(ysBY($;#{L>|-Vqa~b(;CzS} z0-4mg7m+f!hWfXws*ekH;zyYfo^8r-bv#_2X7sWPqr@(Z5*RQRO;22rz=M1z0^>^GGnGHf`j1KnOQ zu3B0x{ne!0)9kn%`&y3dF|j6EO;lFQ40x2sFfHIP*ko$)m#p+ot^Vs zu4pv}((ZZH8f1J?AGN3`wWx-=Mq*Ti|7BrSpsabv1-oc>%8sB&wul)SLV*Vboqw-r*A#qgKiAovwHjTB@cNw;a^=h zWBG~|iJdRb+HvXh`_GR5_SXIRe;y;Xv@k?K9da}Wqv0sAynT$?^NpqU_GV2e00?g* ziC_zI5nF75x3NXA1-XbewjfG{oh4fkZFH8T;ywjvl5Byu1;G|%TPWa|Ik4H7tj<>N zRkx}ytNdtowDw;dYe2|iRDl(Fhr)`0hMM*Y%XwLrV>Je=8l)|I@m?T~7;QEk49CGP z%qtGA1JB&e^A0ms*3V(XoWtT&RQQ6DD@O+!XlkNaUeQlnmh3NXaAD%3istRdU>zqg z5PkSE`3?w2caiVloz4!lj+);867E4z?gYVvCjFRBK~6u>bh62v&7wlpii61Ja=8HZ zXzNk~`f6kWC*ClX#w=UjC(ClNSQBmhfg!>^rdKtUth#JmRy8wOwLA*zK3S_ZSgcN! z{4lkVT`DIFtOj{W!)`)d7?rc3w6?La!NO8VP*9`0(O2PS-3V{&UV$IDRL4+lXNc9>-YB1;ITG z0Av!qvvtY@6@-{_al<*2|8=StjFOqJf2saF0a$$b-FZ&bEb!!+H`J)jNIum zeW-95yImYQOkyB_q3I?Uzw|BV_1;Jupnd+dJqTg7#7@+F!rJTj0@cf zdk)&+-1&X6tgLq|>lMv%B+G;NMRkyaNRuP=?A6lQwLF*=Qwo^vay?(KbOKJYG_H}z z)|w;jWSeL)OjPqYfp2g=E5iAFt|&+8Zd7v_fSfz#xi}jz>&UCoDq4Ljyg^L!K%UD& zTw{D*kyJG&{?>QTyyflN)=gShwe?o~Zujo7D{kA5q$_VZ@lrQhrmwyE;KTRs7(3LD z|M67*>S_6te|qt@9Y^5y$AG2z05@Yy4f?DEIm=wA3{6KYid4l-4QU$CsR&;l_iBzf zV$wPxS~SsvkcW&t1#1vyf zVlI2Bc&RkUxHNI4bX9bvv?}_R^oHLpCde38S|LD~SV72Kgh)yH0lBPk8iostpu>cV zb`Zq0FA@>#Vs@r`*-yH}e$plOlU}4#`_U1jL#Tp#JHDH6Zhga95TgdQ#cijH+hI5k zQFAXnP8?-TZD?S6;EKS~02j~;JAgK5SamiB{NzvpKe^^W2Trxs7E>n+D@*OI%K}ac zn4qwtaqS@lti3W(kw|vf)$lOPgwGzk5wC}-UIK!TOXTViYMskY!*Bj+{`oA`4)Y~QT*?q9yX;xGB553Kog z+lSo)$KHD3!bcyzcJUM3c;}q@G4((C;)59%=l}KRwO!YvQD_O;`uvsyr$5X+(bBQ$ z?x&xIQMv^1($7B*y1$uLC!7a0#K9kyI2k~N1g3h7I9b&eu`DLTGM2Jh7KdHZBKd!q zv0#Yk+l8D32PRdc)}}Ehx-QgDlAMQB8wlG4hG0=!@W)8IOu7fu*AVr! z#-W7@iomdfSTVpb242GMT$k?}HNdrpUH;V??wf7v?#vtcQyuSbL!YA;?`K$MJa|nA zye7a@F!lI_Gk(*qGLiV%1jZo0!4uCu+epR*zAA2LaRT_XNO58ptx?pvNDY&?j>}F+ zh(JGd1zEem$=Z9dMVDh!K9%h5qet{nm-C&eMf5Io5De;~g<&f@+f6S-urEZgF61b! zd$??vEu5ch1L3q;PBxO$l5hHG?Dx?-J#&Bd$>3b5u5bbNi+KH*{(e;LAL$>NIi`MA z&&&1bI_5gGgu7B|RTipOX^R6lGi%X0Zk2R{vO-;@-4ghN`vs4oGVvgz!kIRojY^c+ z%dg`lejT^{dRKFN(Q`7AhZ%SlQ(LlkZOPuXB~hSuk;_a#6mTKNrMs}J1Krl%5VT!C zXuE#UE`kIXZD$eNfoHX)?445fPAR*nl3L`mQ}u+;^kLtIzAxHXLji^sPfir^rbwQR zY>ukZD(V-*KzYxR9nnM>g20YMqK>TkB!IIYd8Rr+qk@GyxrMDvE97Nupt-YYScpUf zql$(FLlcrTEC?lGf%XZ|nI1@yDl@Rf<1L8>rJ(`MSupR|bNfG^JAd^p`IGOyn?HHm z&sWWzy>iXWSvL(HxncYbTedA<`Zyb@zI)E*_deb{^RDW%4&Jn{2WbBO_0OXTvsc_W zea7k)r+db182jsG%b(c76zYA%v*Jt*o^qz9uv;kubWghh+fP!nBp^?5AxH`(Rm3iX zZfclrS}$=2-DlM*RdLb-80%!6PA@YKA({kgx?7lt2-PSj$v4sV!P;B{jkpa|;b61G ziFATrAO5~rXDGRdGjz$Up?t|reevI%wfDKcb*j436Pf1^4v+Sm6;u6_DrU0t{PV-J zDi(*Yi?0jc9KYAUHM}qUx&K(=WWsZff0KWkpB-F%ser5EW1Z6puZohBpu^)VG;AlQ zEgM!@V*6z!wqIu3K9NzDQA+kxdQKMiQ%d$z&>+*@n`~`3i(u_ez*^f2(zX|*Z7)bK zau>b8ZMw00Lv1fF00=C2K*9ctl0TUGQNX-g)Agk67VMY$FVfSlsA01$Lu zBvlj%OREq?0JP}Tk8uBfl?3@<&4A$>ZdzH)AiL=YroLdCf5|1|uNyZ24cI$>*J&ia zu)gcs#s7HtsrT@!k6rnz9b1=N_YfMdFaE{orSB|IgUxf1^v=gfzc>Hi`7iT-%eVdJ zIkw+@yAIyJ4&V>$dk@2)Ra}P7^RPN^3CI-$QN}_O$2K8>bKoYR-3%r*!iS_n&!LrI zS(k1#`3ikLo|Eh*z)-6V(C8zrzCDKy9b#J!9XkE^p+j(t1zr^aRt!J0< znB@gl!u(!51wLT$lpWX6apjw$tud`a5MnFfT8`DHD zDGQ))VIEH_Qi6aH?HXa)HL4@^WJEef@Ztua9>l&xUhL1dblEnLHq>Rn3RK30c zh-)X>%0%#{_MwAnQn0_wRNzgfoam4GhbB*2SLmyCb(1V7*L9-%sNS9HV(PlOauAn} zg5HMaj)K<}*b*u3Ma)P|P2FHuWu43E8b4l9{DVia665y|m&yiPlQ1Af8ESRbjcmiv z#=1hjLR;$uP-x9QFtCxe{Au6-qklh~swn5M&uegviPXSGLEtLNQz_DjA80Vi299)J zXb<(a_CPbN6*$vd$H$JZBuz)<2HQfAfBR*607sZ`!*3`pe&2y6}@bfBXK4 z50MKcUVVAntND-q@=8r*2#sEI_wW_3&b(>kunm6%Ywqc07`}z?1Y36EwZwXc#UKa& zx}>msx+u#f+xZfiv*nUfkH8gcKC1d`;Va)*ff{xb6y@2%cTd zCC!#E)^B1r=r8jx2>bQpx+3u{s2PvbXDi$Fuhg%!ubeWcavJAk6^G1ooT@n`K@?Sx zB|#Mt!;pzyF4{6lh^iMp!YoU+`N%dl!Kq&OmK^7KDK4-=2VP*xjHG^MVuW!gQXp0- zrlBU7%S85~aolU%#~izXLtFDro0mCdf!4<2+LJh+;-){vKs+OCWr zwLSjRDHhgEncU8~SV`>cIZh_ES)6WRYwmlBPsN|eGm_B3_BGXclEffD21!NOnk7Y> z8Q_u?s1a4LN!F8ONjsm#jeo+EKYX(LzK7mL|F~yFd9;!5{AL8&mp>OzK^yn{@|K$+ z9&dzv?K7}tH?0tSWc7f-z|3mW0p++670nehD;CKsWa0AgRr~^Zk#Zw{qasxLWj0t@ z6Zgkt;Od{2*!!p7ZB3fNW?A+a@tT_IY9<cQOAr`}O26x9 z4rTx+@8xQTObZr! zce$o+Qrf(bz8_=N*R7v3tsHp4_%`W~=Mv89CbRVrMP%CNi|mk%8bH3C^9%zqR(pn# zP|V7JaY+h58vpKONu}J0D^Rk*>eOT^04f^>(m)LIMx5F5>Y|ymR<574?D=*1JJ2~d z47y;{h~=B|@1yxY%M70~c*33Q^4s{%mOYpK?AMKz`b?pPJK&lwcaP8Ng<)j4fkU#5OSZ z@=vmlX?xgq?M3Z%=4kAznA>T@+_4y2BUHI-qKUHewPx=mUvp?SKR0%*akFtRdyn(p z=oa)S-r|1K>0!J~Soi8-j!b6QQI)01L82dPc;%{Xt-o~r(2J^7%9-pi+ayau)U~^C`LwQv<)Xy zmyjKFwnmU{p-x2+B3u^JZMEu-LM>ajk_vDt{RcbMzig-a+IFh=_c&GIZLXIm^{pR% zjX8jgh>{@j5+`v&C>Rc6L2*C~Z~%qzd;K0iD@52p5*bcd1f^&a`5o>gL+7s6zz?0d z%IM$kY6UL~_yc~!=f&V->10Ep9a;&VwF&*-lT)s5xpL8ki*Gx$GXD(9-u77E^TymY z@4{{QKk%Kt*yx||xbqT?T0D;!*;Xa@ov3B0)0Zr~9_CV~H?g&+-(*+to!jz5pUP|7 zh;FukZdQVBWaco{O*z%gdZ5v^dO$VzCvZZ+IIR4C>cdp5Sx1HNmH&=597Cr4TWuV* zYL+DT?WKv`R1@D)f$?n(Y+*k<{R!UQJ&xS{;BDPA;Y#O2eBA@_HH{vp_a2UTBR+g_ zCHk4ZURVAT3#p)-hlT2)+E$A%CY{19Q7W)% zGJcmQ2|If|2@&3v^XVjtD&Xv1H!R|EGRdS^SkOu9;Phm|e36I|_lI0~H*2O+Nhd8e z@0^E_lT_UrcFz-J!{c!VXh?Umy?WVhI`f9a_5NvhugLBXh-YI6?PNkNNtz&FxY^No z{`&muo8QSl*xrW5z5gIWw`ZPC{(RRJD-ZlKIcPP)w_ShyM|kK{sQbvmMSIZC-gyfx zYM<5dU-b)?jrr+{u{S+6_J{=1$?;KAhA1h^Ez^*O726IJ z+YS`V4t6&yiNcJj{iXvh>IXQVRB*l$EbtdXQ9?rrZJc(owm{>Cv;=E&t;MNQMQpIl zskX_oCOT;`o2#P$fq-hW4j5Hao5!*Y0=g~nm^$RpGhCZA?#Oi13RpgR0Dp7fK)1km zcK;er`DO%e>mCDl^Be@G8$jnQ8b^D)*uH<(7O+@Q1Pj72t&qn3Us2(VEyJ$7Y5^t- zLUGqq)_Ble3-YdJ66-LTK-M5AcPy zW+|hPdNH|u#cr=F7A#P~;<;ptNn3?VF5y85kLelbx!A*bP==v1kt~e;+_vWr3SctI z*k!A$OV72QEM^CgfLgWGuG*orI=2(FD7!d{rErf_SGBz-&kX1fileQ-%#f$W1v5s@ zsXEZ|{PO1yq0PZ9ONKAHp8fK4sNNU=m56nvP;7Uh-VSwX& z$0T+Y`!*|H?Rb}c7pN17`jqlj@$0y?{1e>g67S$pf9@@gJd1V2l#OIRHbE9Z$J^Ab zLAJKRd#SMI$T~*X``ZjZ+5V9^JOt-Vr_Yh(Q0N@4CK&uNqVs%f*o8xV&o2d4<82~}ho$h{;?$rCqL~`ih(uHTR zT58WY7MTv?mdioMXN9sHd6h@9;M5=O@`LEl3SCG?G9ZTf1toQ)1XxT`-yjQWZ))Vmo*aKRnutc3jyWx@75+qf4GHC1ULrM8cF61L-34 z&v~XY=%7o{E*wfk!DVzFB9GLB!|3Ot3m&pyMJ3&)Ea4G9%awSM&IYGo+%3zn|#Sw|ST3w~S1gca=sv})&HJ8@T za!zKaa#w4=a<0}S1@lr?8{iy^N3rLMrZh(TvD0xkzK7i?Zj`pLkBfqVT~22`k0B6Y zN!7G^UII~4FLGUkOoXvS{@*x&q|>RBak|)8W?-WeZvpt|yMs?i9jNb4Rd(1#+d^g5 zl$#w1Q(dYcr4w%3i4@oucfiVpm|>2R1|CDPNF_vyNw^m1NbkVSyA%Ay{4yR88gFTH z6CM;IkGABRg54B5NIetYg-hNZ%@O-;qR+zGzhS+L);U*SN6VbB0<0;PGbjI+QGs|! zJ#R6%=Pg>s9JO7A&#FoTmDcn1GfoHjurNdKja|vC^QbsBd172xF0aCjO2|NQ?F!$cHv#JYnnTn4aFv= zQc(5Ni5h^{{=$rn3b*^m6D5@{G4Lf(0vM98$g+~mVJwRh#{!KwRiqJY35{Uw?K>ex z2?B4^C%#CjdCS*<>6&5caJhtn63RH`Vr7A{OyL!&G>0u@wF!$$YjDXwESKfJOQ(yu zYzbSd&DAzhd&#w)_%?s0eWh%6HAjub#wE7r$ZmimDFF+HPL}IiPjV`-gm%dskp&g* z-!&pDnGKfMkQD)qNWpGb2*d_UBwH)2XOfhPtmyQ@%R}Cu*yRB+W{EKneMJ2FnIb%) z60f%mNo6GliQk4Lt2)|<2&G5v`(I>n=ZmNFU^O>zOTl86omxiz?<8c}AM$T7P9}mZ zPBbd)LSEhLjRYbQj?+1>5>O)C*1#_33r;o=2u5%sX1d3E#s>-0H z5;jT3RkWv4h-AQNP!_|>VkqXaA=71>kgJ%PyE4Rbicba=Qglx)k&nN~hd@3>1Aph70ALlpkd!xB&DOYPYg{Xm6zxAPJbkwe7b@i{XSxfm z){qp2!>+^8WS5jOo^h(Q0OfR&0#xw(3s4P#IEHaaCfy(xiR9(S29s*YKTAnx#w2?3-BKwQ-xVN0(VZ&Jea|u z;6NW%qFfm%+IY*n6+#W)Cs3Oi!Z!s5XGZg*10ypzeqzPs%oY5#>|%Z$yN7U^vRxGBx}Vp3CvK2RCNk%y4OcO{Sken;97#89lG;yoynoW@)l} zqHjucO02nTQesm1<@`+F+|0F^TcfvT-Vc6|2`NG311#DR$ueXPd3_|y1--!i%m(hevj3!*t&M!dr=L(GsW+4IP)`bz%$1{xR3T85?c$MFua$%EY#T4~zQFg_t zZ@UV<-Do{>R#)<{KAA&cJ$PJF2qUf!TL%<;-c16)z)U6g&(#aFn;v-N_b=x6J-r>B z_cDnCzvw=;W&V@k{_p1hiXtD(o;LNe2XeKmv)4}DkEXr%F1oby`TS$=?aY6COI_}M zl-+?GcjVvB!_N6XRt^afdwdAce;Y)EAXAQ)QhQ7qiW3hYgb_npTE-<%UGYo{(50e zJ~u~#kak`Wy&y4OnHHTNT`2#`d5vqO<0jW#+E!PG>r?07Tsp+^gxlqHyIpRVDjN}; z4Er5|L7tZ5gR<-oghTPbvpxGu`ZoKm%3L78B+F@>3xXv&rFbv9?LFbLSQ3e6oc9a% z)3|~inP|B$L<@fc?Y`y`sRgNJDK=Fe#NU}+Sqyi<9~$n2f6GlONMilnI(q?uLPvvz z-jDSUPiWMG+#B9x>*%v_)}wKJ@k!;9A3_-D!y*pJbY)%oV7D=tPzcmYIjj?yNH~;r z16MKNN>p2;JQeKO z7$R&1jka_;X7VAt_TV2DzjAm?)x^;~Ck{;f#iTyTQGY=Xt=xFwU617J`OdK~U333i zv2^OftMaX=?}~MU6tVj%wsGJ!=g%fj!A|S>8}~o_VWyrPM(3=!naYxxf0+`wICmb# zOD~LI$QHsN3@fAiX(hdWwM14*i zohpZI0w0g`37f=+)&uwG@-uyC1BfORI!d5%=wh@0Z9oF*K-*2VPdwrA{CFZcF;84t zBk#ziz#Uv5_nMI1yJT9NkS%AJYE(^Vr_+fiR+IaoE?gaMNUGmKEPI|c=m=#DlKGHt z8FmCPinD)=2_#U2`WBi(xiLp+F|^Qaw~>st+{weddUx*s5%wj3RaE!hbIzRE_q%V& zy-9A?8wey}2}Cm}nF`DoEs)jxwjY4?JY$szA3?*5)X^+xc< z%)!H*M@)*&(czYBieJfoM&v1Y2o5T!er@h>2lFUuNU%_b?kXfX?dhja{hi#qhjBbd zs$wB9(ZR^6F&3Z&;)^1R>~-s7t!Sb+6`e1xHMVg_4MAm~MTX3IDKyMrhI|4MDwPI8 zHPDObVKJhIrduU_*NNz1XC?wNkvS3A6AH8Pq{NpBKwl{bTZR3%}%$-Pz>H^n2;lJ0&n4ayL$yMF;HaT99EAxRiv3=A+f(s^J&rKcsCR3MLCd$J}g>2 z(WCqGa!AvD>Avp~?;yy!JuA>7H4fg&^c4{81ldWJZ*hieM=eY0<8(ZH@@miBf}T zSEb{(@OaYj@u%T4!0OOz_+vD;McAe7mV7-^W7P+0Vr|S=Y^%n`&SR!o=LM$47BClE z3j!C%%!kB>3~0qj8O@|y*HdXRK_Z?Dw{orOWNxxLBYY8ek$OpZ3AaRD9$w9@R&NR$ zT$p6%1K5c*Aid`uPVy{Dof5)*A(WY2WWr{taex z1GBm16N-RL2A>=esK!5Q@aNB|B)Ca;z4U`V)#hx1ssm`bW>qKSLsu-?L|an>SQp?& z24GlpJBCT>+>w=_BP+oG-UVAg6(F6%0Q|Uc({B!C6XRtN{qXm*t5PG5{Hk!>GliFG zg=nboBG%;{4?gfwmVIY1QTX`pclWbDJ2e$`-ji86?TbfTjeZ`MtRTC9Mj8rZ`pOlC zNB8HgV)wdUlAA#Bpjc{t=lG)Nct6!j(L^xj9hANlV%QCN>|n-0M1l2z`rvpljvX(K zm&R)o^f7^PK{*g4HR%A^=x!lebNBuNwY`E=s54#-`$ll10&7scP^UELHG#2cf;d4T z?>Jq&2z82!l|}kRfvdq%v`k#Cbn8n4YtYw45;oizxG}g9-6h;5KY;d%djc<@7sWrI z{}JER-w3>qj*3V1BY{SqLI$Z8_R}L|tB7Qa73ODsgm7h6QJHYajL8;HV(_E3PAHRS zpoUEhgfuHp_!YB-Bc7dDG9?L+bx2w4j|B}~(|~DeRv;Ku@MD9T!m2@80lW!=k{k?X z7zvwbiG`Y$QQ45Hve>+^EQCQ#Q&mQ63WG3~w2W%25bLqa_GIME@_@|Bd%@mam${Z? zuPyWawmHW@H=tP@23I%Ds}k98jF!~_+=&S5gRi5n(vWGo+l z83vKCc5%Od=SbN8h15Ja`OTtC(8ygOHH3H@AW?fP*%lzJ!O6CuvqNM)H`yjuCff*n zY;TIxr3UPDsx62kd=`IedL-H&3`C-5i4vw*geis8B}NADdj{GRwXEVSz?49)V zq10d$9}7nDF+xF14VO~C*e(P;X9gz8J(@@=htRE8kaPhk**Q;YB{;62z7OPiRin=Z zwXY5i!rbw~ed&tP;lgHk8ve3y$C{2g7lYdeXP*8XDkH|sDK7xBR*36=sj!RsUkc~C zH!3E4L4f890$i7-Z;(p~Zj1qTQvj-@y$ zG!!dwtpz6zzVtDum^0;^OF`-{gL~k$?99T{n{U2hGuVE*Z}7V$HmJwZ?GD15V4mZn z`TY4a!E<`^0i8&zp;@id!8CEY#LA)~xxTWktBkGzrCi0%NjZqPk( zKu{VHezZwO7$w@+!9HK@P=J!q0mJcgnYc#cTI_nvJb{%P=?ZS2d7`J?JZzpWHcGc! zZS?+9D8(M4^>owq9#$=+NC&icM}n0VZ6H=b)YUt?;%%^!KBOGgfB11QGHp-AJ~Ew? z>|MdTadEDh;y{A2<4AA}govR()VbTG^ru3B^v6rhK4q6 zYKU23qexUC6 z;kWC=$q`Ua5`-2>O9TR?>A^9?*_utLbTl2yH8!-ip|-~J(DcT*qvLeZc<-V zKi59bS>swU>zGa1)@XA@D0W%>_4Tkm)ueam_vu^oA)VWzZ`VK3S^XK$%9sK=;<+m+Y#6dT>YZ;A)fJK z%)Wfh=r-CbQeM?Ms%@YRZfyf?QG&2U-aIOLy-3mOSY?w3D%RwMtxb;VwXJ+p_Bs9l z57T@H5BZRn!3h;{$(;8Yndfy{JfaqkkI||T#X8|>Rh!po1&NLU@T13<8n+3|rIY2& z4O*t$aqHL0cEqkbc;_8Y%rT{C<+G{i z75lGW+$@a!mT0Or{J5s2tw}IMv8S(c8zge1V4^`9RF+CyXm2H zJi|2^O`%a-hhcLU7<0I*xVOsw7o9RyGpr+QZjveNn#$pnu8?8RQjsa~l*oF~hp}dGS134dDO)o55DF0~`k^4LZPVzygx8CPE7c zkWLv9FF?5)&^#{)5bg$)yGa1oPsECz8X=bqVsspbj;(@t`LuDvQnG|`S2;5;^w?p{ z{DZ>v;giA}KLY>$ z-2A&=dFkK_1dC$M&{6gnwi^j}x#O=zhmLy@fb5OAOCDi(gy|9F5&3hbt@^D7Fo^n` z!>q*i3(@F8`Zx;RS_#Tp=cU4) z@(z2f9d?y>MLwK8Ab_;cAwWT=9b+LahPEj7Qu?ibZh`yhyaRFj>SP^fT~_aD9!}> zrei6lnTtT-72fCq4(&V3KKa>Og@3I2;LfN2l-?e{bF=_k!D_dk%myAU_4* zhV2h0m#_HMt8Y9{Jf5kTa}POl@GwX<<;^lgTD8`yP1d+Ep)sk8;YIR=p?RsR;Sz4C zbY-Y3HIROddp-De{Jr3Np--Y8#owbEF_KQ_5=4#8ND!SWjD%TjWMl#yqs@R*w5g%< zQWwj4?P~2k{{6@)a6&ghnAH{2z?xDKEC#DeY;z{b!&GGvDTi_x!MZA)(4r6gx5SCU+`yGJ!@1Cd5alCIx0DCZ*;E z7RN74T^_hLad~PTzbt1Gpo7y#<=)$`yl3z&I9DB?zw_qDK|cCOKS*OAMFn+* zcM6}IneF?o0S|0E_nK`ast;l|T#w_}D7e(=hYd-j)X>Q~WG z7mBIBcjy#__+%c5#h(THm|#4w)7& z3A~7-8dC}+8H+cPAO~^{8#aI(Rw1jByBIkVBy9&H`d6^LY|#EL*eBc24{d;@LssHzb+LNsHbCQm{HWvSx*@nhq~|<+vHLyL!MHZQsqdvrMiV6+xyY%KlOnj zc@T`*yj&fN9gG4qYDc@G>!YX zf{HO@4o%)*S9VGgFYj#_hNpw7>lj0QWq!{`9iX4y=2UZTQ{5b1(nugQeS z&!|4GQa#_suFb2}lHD@4bw~mO5{OV|CSp_Ykvh5!5$2Id8pWb8Bs92_668FI=Gp0* zFEsBXk)9YDEE3=n`ugN9FM@2k*$#(k)a5Rz>vp8A&*f)9)E?Z zo#7i3U*2)Dz)l7W&ASYu4f}4M|CAl2+6;NK?7k74Q%+#WK&rkV4M%5%r_G7^ee9l` zH^|gI*7piq$O#)5C8jK<7`wdKvEEJO5t;meba#(YX>R-VL zzzY(+QELZZ->R}GyYOLaQ~x&x*8Xfp|C;4!fl>9QWddxU@q-<6hXVkMgM(Wsc_8<&^HlIJ{I+AM9Ac1Q!#A?dgz zFj87tDXo{bxQE`Ah9o&H;eby-kd=7$nV|vq9UAiNHvq$PJd$~#nq$xwv=!|@1L$4E z51``+GAM%%;#UYcc?LKi6W=>Ye)Q3S17Czu%@=PmaOfw6xnKh2 zmjKx4@Jn%y*CW(oJDf-8{{ETa9W|;)XvK3pp=CWn^$5*%FRSy2tL7%67H%WQMMaJi z5Q2ycGC)%x8&Z+QDT42rRMBUm@IuNmHe(+s8pU=%tCr=>3P>v*%4~%tleqRc@(kR` zT$&bAXwp+Dr}-Ne`ZfKKR}5KELUE`g!-G#b0dQKXVCIcUbP(&j@M&8*nBn^ zDkQ~tw&>0mm(g$+*057~-U$KuJika{4ejsTNuHHFTsUX)LiPyBBgm|#O(!?zvp32x zz-NNA0DQbJP)iEJ$NTX#WsGt)S=DR7NUjx0%4(a3;G)OJ?RDh_vj7&F#mz@5B_s89FS#>Wf3Hh1(Jwg zNTOKn02QzZRXgAXG8S`0y7&-BTBj6+kyBd6n~hK#i~VvvYUD&iee>NLrDmO3wVa5^S$er?Ao3@N6i<- zi5WNE!Ha1fF|VNNIZ6Wzei>l+^$@;b>~W5&!v!;KlSX0#yf zV9d~0U$R|&jO;u-_p#m9PvK@9FPE>u@mLjmJ?(D0p9%VunK^7uv_xAzy3BWnQ$9NB zqZ2;5%!enN^3h2jo$%4BuSr_-(YlW|e00zku9-eM;G-=c9rQWqerG-4qb(n;xucAt zH`7QuaqO9j)>@4Yqr=jlqwi(7*SV7!7!@;BQY@K~ShlJ>#fM3RB>=uE5jW+7)nIe= z)@oRdjjUe1*#Z`#c}qG;Y0-))&0B^jP&-|MjJxIIqdR945H63)>cQPgFLtN9)cEFXlAnS0H@vhN3vvnPW*BK|=o=G(8C;34y1 z?A_Q<3}wWS9*P8T^a}WhCTqH?4+~*+pXBSs5H^+9voRX6#%T1a(708hajQ~{TNSGB zluCLAEoxGSk;bj~_2+JpQ+BJLC!IlIg@(oo!2gw5F`{1+B!-P0kHM9(t+5@kff$Oh zuq7PvcrW5{W5n~jB2+`4?6)izAm=MXSNV+4)$)a|$koRKcHqoVcvh4y2vGcTg4q)^ zoE`RqoQHKqF(x{WmEze5Z%MK!3o^@_H5RWYfguN6wj&UIJ;ZrP+L9(mFmth7fU*?l z6Wfb}e_Uw8XqNaBXBs>_!llcuu>7y@NYF6EZW zD^xj}LV|@gWN29KE##{Jg^Ia1Gat$(X`U@f*-a=eN-EGgk6SwRO6rtwAtRh+Vq91W zN(|U~wz_qc02sj(G6E|U+et`q@DSFNbi#zB_A#w{OjZombhq$03CjSZ>Lj~M@thf2 z#br{Isc6pLRbEtiGZa0IvGGR}tq2*fFj-p2R&`5F%;*G3@4M^Q`Z>w$$#r7)v~xIr zc^DO>Bt+Zl=5h0+E4V8pMBF^8sCFurQyEfF)LFPz@WED$bC&9&*?NC!ZStdc{`!v~ za??k5A1WOCdGDr;y?wWD>V-j2d+*x9p9f$0=$oJ%XfMC?^6!5A(r@vfw5iaIDlk_C zm~!ws)k3RPbA)-8Im1L9nH?FJ&eW?_WzFH{vUAE-W;SQU3DF73^P}e{7mAmti=&H^ z%f#hsw|Q-Jd2%50YUu6Q+lg1p4~GtyzndA#M5<8E%!S9G3FcIEzPZSJPx+{KjGRjc4%GmDs*%7CcRgQV%XkL6) zgmiLRHe@0t1|3aX4T3GOrBp!lRFYdswBz|eHN$*$2Zq;pVfq>`%wdfeC(mrWpv`93 zR?)_b^t5p?Fs$>U*m#jUarnzU7Y<57+whhPMz{0`P0#8IRyllXFFT@W#b`o}_BFaT zED{dUwMc3$*2gfL9-VOiHFq3bw&u`Hi|!j~ZCktU$)B#iVP~P6`^8;z=iW2)&?AK} z?!I8c;1}$puk3&6^_PD82h26o3*GFym}^WX1%64nW`zQCupu@P&VXN2`HpZ$d`5h8 z`POo-HQ1W$D4!ghoSYY&m%K7~WwNV$efewr>wzQu2kM70vmREexo{gCqn-z+s*7MZ zd{g~n?5~jz;zyFFp#hK>9O8qmW0Br!%u#GNby@ASU z>c5=wi$PQWMltno(A2*{Q~w5aq-fGTLY|=EAZ75*>2#FQSZ#SsbLR5bb~JBgzf@yB zqocvD%L`em{%CSvpviq9;sn}GwXm%G%(T9n)%T_Jy@dXW_Am2?!yW*ID0?79=QSlk z*+Uu59>8*&8pgWm`e9Y(#)b#y|Dy28^{;;8*F8TOtaxhO4coS_UGqqx8;TQWfssJi zTDWc7y{FD)pMK?)U;XB_H-1C>n%fx$zJR&I0(7lOdty@%mH-EqmKXP>_!gvZQ87-Ri2<+4b4)%0E=^uY0iZfl-gjkE@T=K3dmT^Xr;O zofphidPJ2+WIdwJNyEA45TeQ>vK~=Jre^}>ZHvU(YE?#wOidUmBg+z`^jH~hq-`qk zj`-~OW%2Ft199Gnr{mYh55-YBeqS8Me}UO3j5(ZkFxVk-x=B#?OmGlD2AF{2zxIV9 zt+ca&fMEhKa&g&;GFX-h3&?4xrK$2GUON1U9VEPnQX`dg0wl6=I~Z$iCcoZHi_LfAQ;A-@^Vkl%{a3|*YI8IYe&k{rtkFDVTs_hE(8fZuOds_kF{XdoX=-loCB zR%!5*T!RBBi-~8vhu)WONYHDksBLKNY945Y9nI^TVKZsh$TBe}I;7&0aUKJ<%7h?S zNQgb;A~UXfjN~&}gBnVM{vk%j)w!og2#G0*7Uq^Xonar_${Ur3yyRhgwA)94^`U?5 z3hZa(j;)&Iwd&?_J*1n$7g8Q0?O-{w`g#xT(S`sK&!HrfHp@s<;9z*u4Gl&c zavL^mV0^lpR5ChC2Y?ftG60k*H8o`;orS7LD)B@jTt@xBaH$!XtbTsxD%G_$wIkt} z*0JNhgbRWH5hyKMhVAGz?!4*dbz`c(`@;V*_9gI9RcGJloV(wdxy#IC)-01{GMNOK zkjcV?A-O0!g#j%WQESzNth;L5ZFUjMSaSNquq)pN`iASJ5?2`6L zN2Ftt$RO;pSav7bb0ATq2o~jOTWYd}EV|tp#?w?Wp~5D04P^BbTLF^}lVvD?>-R4? z&-e!dU2oQXdV)^Yy>u-Iovh7d(s*MC6i|3S=VN$QoP*-L*H}tB28qMeRE5pms>l+I z*3=}jia^wF2Z_;e53_;gf@XLK#0dQ;{p0pwx7^Zs@SvxvqVN|xjixJhlf`#qsXcSg z-F-iuIxIxznawcI9OuiS+J|fpdWZ&2<@sw##N(sDP~TWVx3{*+gUe)(&xt)g2Tao@ z&>tmz=U5$lLu4}F5UYbP8t_x|K#18M{LJd$ce7B7fkg*?Hb?sh>=iT$JSfetg7_{nJ7WHG`TOLf?eQHKARheWCsk9~yA84LIPnjx{Iz~r`bU`(cqn7c~)6@lf9S}L^)u7lbNeHhfic|(3}gYw&Mh2<;-X1 zAB5fJnm7FMvd3EtN0-A~F@5^Iqq=_9HSy|}x>aO*U*|m|CQP5P^$wEy?nB^$5RIb% zE>Owh@2z?p0$zcB7`%e`22A-wg>iW>5}P8B42`n(3o=q=5sL%B6lDyAG*LAapMt?t z9I6vADltHttU8 z4tb067lokwokD0E4D(ol`1gC>8E%~?#Xanz_s-7y8 z7=Z6+P$gN8DymmeRKyVywP6heRYj_eQ6NgH!XYdqoY+|+Tb8A4Qi#%x!v`&4lRyM0 zSxSUhxWw^a|C_RNZz$N;*4Gva^qy$TriUExteL5E(Ru0O&Fr$4!Piju42qb+`g$m0 z215bxBr$#_)Bd{?(V{@rm(OKZ@a28CT)A@Q_2dr5m2{o(A>c~4@a1oTvj#YlT62Ck zBtXZN^)+S_{Ny}L!aytq8Q@Ru99Po-2OGI%#p2+yVr58pmo~VpSRXvYJ>(2|@C-UD z$!^nD8oZs#&*+JrA2S?Q@Uuevk1U!w%2- zI2rd1%1=Jsi!1rKN+z3^n)jNV$uhgExs>mGu3*xMO(`m_HS!B=x4dTg+yv2*rpi+s7wHqs$?i+_ zi`~nm%jGNGYsGcab@FrKVf|V6x8iq7g~O~s6|R_8p~u__??}|(zCpfCeu#U}`2^lW z_Bej!JcynZ4{NXU?~8v@KIcEz|LQ&|o>B504CK(swuWdg8MMV?X64NeD`8dBc{eg; zNsdZ-RHLRVP2ybG8Fh8{zi&0rY0L$qLnX6Mxv1hc>rP5p-4WDZ_|qVJ)%ddQORJ|r6so_&`}wK~W%e}|dF zAXT|o zAq!S#QP&s4X25OKwA>H(LLLtLRHoguEMDiObrxUxhPIU93D zR2b@tt{W6|Mb}-%0OE?FiaOC%<5l#kLX7AD=E|!s?1~PBT@eiiyP~$Zv3rthqq9WS z7m3P7O9hePkR`T?n^+pui!3d|Z6qZvK>A7M24+HQJ84T1AVe6S87F(chp;ldsxAAN zwEe`eAy#z#c802Q)ZW5QVn{3LEUR^Cfr1nRKXDYKoF)Dg2XFfMKyk`o5OsMeTvD7; zto(s&A#<5yj)l)KX~c3e@=@UkI`>>Sc8rsLDws6Th#4-1b$mLkyn_-4tgyYu{*F1F6aEr z6?8ra`{o{;vbEf|u3W)6aQ=Wp>wB?=RKdyp)BsyM&tvNX)vI$3E*Spr5>%8moZgp)j?{?qq zxzqcQy2tTb<9YM2_rKJ?dcSq`8K=Gd`GsyzK+^^Q!+ah`ewf$C>bK~e9voH!1!Uo%*W-!0RWE$doiKew9je#iP(5__}3PM!!FC)zrCLjj|gm4Nel2OkA_XqXy(7P1gSQ}$^v1_uVm z;OA}J+y2k#0M8QZup!vn|H-orDYc{_rNJ<9FfV0hL4oH|J(MQN$@2dY8NDjJKS(pl zSZaGv*Hx8Klga3|k1=LP^EWWKuB^NcA^~WdH+n}6OHcHh<$@z~_3uBfDk-Y^b62K) zOj-4YS+$ufpEN4U!prpnzM}8p)i-Upo-F_F^}QF)ok5?H3K*H+gQrBpU$LhIe?R|) zrOk48lQ(4I#x*vs&O4R@1>97~A}N1wO@>08R454}g;VMzJf4h~Cn+t)d_0rPl;b`6RlZX>jZczrP%g)Dxk^dNzmz|~5*;-T8F{q?CNG7;ua~-0 zm^3OXkySN{3CJG=(=^d!5k>tF)kW}-QXNfU;#8HUl5VVbfixnB&y!0LLK1Z>FpqYL zYnO(RW@!txP1-k_zUW8lFO4N@y#4yuQP&ckCro$)o`q)8^MjtJl zsA8*zj6Q0@OdFpyr~5u*>k6~Fn4ulbCeX>66oDxY#&Nk!ZP7OMWJ*i;^!r1Uij6-x;MhZBz+Nc{gzL*ciD=VEv(TE3TE)zz>qR2C0 zw;2_Jjp1lH*C;$w8EY(mrm}JY+KLETT6K+$50i!`lK#__^>j%V3;3{C3-{U~A2xMh zzVKBdBPNb4pEa`Rs<96D?6wt)d3nr&qLDuyX3Y$e$fBv_a?-K|Ppg}`WVE3^Hs$8b znQ_anyI}YWAG{nLTmP8hzw+n!sx7UAK1B(j&$Wy`kK0x|uK%k}piI2m-j_r7bpm~4 z8Y-58g1^F#;uyOk(PC4Jx;({^h~|369cs*v#=MR0s2FRkcn0&0;xnifZvviQI_%MV zSW5ErAe~Npk4|QmPCz9;2qAG}En(ZVT0y0+N~B}-vg zNP)-JGG6cPYwKl;N+|$Om#*Y-4A^SkNsYp>h=_^N9kzk2cb zaf=s^8^4(U-KyQYSFL({_jThJFCGtXnh6#kBUf>6LCvbOe28aQnj*eUSMU+E+By3P zy9Ovh?|p!?6h@%)ZhZCqS1rB&{-sylPu^L&W5-e`!^P7O;(dG%{I-tPvmP9-5Sc?O z$Z2kcE?_~|PCH$KOLfXCMD?@)D(#A9vW@H_9J$1x{RTHa0sKlqm{477lBe~Zx zkAM0E{rE)Y>de_aw8y5RWqd$LBN3_SSFG;7d$~;lQ59L@ktlGSlgLt3QC}cWgQhzV zPUOhE1H=*!<5tjjTdfd!3O^O$$pR!RjeHtc)7VTDbY%IlEj_gtn6eiI6QN#s1wu4F z@)R_YIkB>UGhs^+L>^(0Q|yetn1EPs5!MIEggaHm9?>k5;yjG=BxvDO?ya*Kju6^7 za%K;Hq$~4E=B3WRKXG2m4zrd8Hj%A-wq-oQoI_9*Nsd}dH+lLHMo)=PaS`%7w9!Hs zw;tdky!}CdRw@xrQX9E6%ow=DauhMH2|4XX(zNDjXeDi9Um(;Q3>n!SwAVNlqInh0 zYc1R!iI~r}RumM4w}c;REyi{9pM%!&fwOfSKHJZ|m2GflQ73N3qq;In&c6Nx9c_1I zmU3r6W(ndAti_`%_zI!IHesJ84vOXzh2$KZ&6LRFX-ePFscQ0 zk*MB$$>GvSG8mIAT6Tp(>N^{>c;i^ZG42b!9cg{+95bHbOm&JYTpSvS|vRg zNWpsV5D-XpaFVy1t9iCvas)*!>*h$=3j?uPS|`#qL9z0fSvr9HU0-K%XMRze9N#v> zs%|`Rpmz0-S~u3xMP3_Q3**JX$$c5&g-p}8_syJh*Gc>e^yiHT2`>wuqDp)x>(6LP zPld*Dll94=@zJ?>mbnx!F*o3Kq3fbsg140GqX-@@N4m*GE3R-=zEM7M+J}9Oo($We03m_*ndE!q?&>zHYL{ za(VL0U6Z|@ifnS8Gr8nE-{g|>9JiRa-0)z}vSE=5tAbR(g$Lth<-+7RHD}T;WhoHo zPsDTied5{JTyn|6I7abEoW!55ddwb;nP&>7vEDWYln0%1suxs}Dx)ghYov3FXyEZW z@mX63+t66Wi`H6^f>P005|zpw(Zcd#x$FT{q~OU1|oxWEaU0mIHsH{p)9@cuZ> zyme?fNNHSNypnk~?oeJFLO9^W>2QCt+84B)&7d|(R+S(7fL^FE*4ETq7UIbnS z#YWBwL(M%*2u?x^?Dy9__>&&|@jE^2MN#z5;y3w~phR%!0#*YZ*HIKbmWzV#T*rX) z+WrNS9?!4BZ{Bww44*T&|0eUY(!v?`JM(u2_Xf$=($^mHsr0FbyeqxyAumcVddOaB zuZQfEc6!KGX{(29ls00#ObFs?ljR{1Uu6% zly>267{(KxmC}vUR*93aXQVf+xtwVo#ASb|R$Gmwk#d?~l;*gt1e0K(Iy!Ji_Jv`*cM^v~^Lss5wxpcUndq^F zE}U0N<;(^@NaV#s%Gmo-r1H{X4YeHJkt^{>|M1J((yj6F{!3>MmVlNMxG%^w;SEO1 zK1R!FjF#WX-*^d@v6mc|j(f;4>6nKck&bxCK53ta?3Q+W$aZPFhukdP>><}k*LcVk z@)ce(L!ObPrS5ca$orIsQqSoEqG~`+EI%dD1JxKvOi&tQO;0<4qA{1h2}Dv#P}gcg zfcJo?F+_pImoa)$h2|vFWGFFhft||fl!|@5x&6819H3-J2T+!g6FA-Lak|jpvdjT; z(xsVRcqn5axhIE|XTMZbb?LDBI_^Jn1^$14grla%C-@f37%b6wbQAaAcsk?E9gLRs z>*c#;;*xQngg=qKmdFdzyApX+dPXAiq;?4;Bw#q81a<%|dWSw|U<+FWf zS3BElD98Sk|HEA}k~YzYKlC=UTMq4;4L{TkFrrMu%^*!*nH}M_)4Cs&(^Q4%CQn+5 z1@iQ15S>K&DT&hiFs{biFgb#cW73MR0gX^vLDFc2$ggmu6;WAX39Z65VVA%Q9nPFk zI`ss5ph3n)iMFltrv4l*4jjSr5zjhw0j1Dqhq5TRnIq z6~_7w_Hd8%^khEUlL@dfGL!0mjVlEn;?R^V(kMdB90BEvAg&w##8P3bfk6`o`FEbf zPoqFp(1srkG=s>T$|j3o;(w0G;pPHP!cX&4AN#H|B|1-^q}=){Y}SF)$JyG)K|)>j z0tG}eSggY1Y)v7Gum!CH0^FBfE<54OH-qW9bCIVO3)DjeOh|j2w|(vQd`Snszpzw^ ztZJ(5Ezhn5e6@=$S%*4(#{U{06}Ak_5E>PD)0j?1ygeC#rqyTbHwTc-Be|#dvrqY7 zA3x5r(BKHK@OK~wp3hnq<8c{}vu0M)p>ify%1z=f;g)c>auNr9nXC@)+c0Iu9Lry5 zs-lA^lIY-Mo|8d}2mM76o+mdj9p;8kB4LGol97YlUQK!s(&Ucf?EgfowWu*=S3vjE|+0WqwBUSnoTW^}C_KLo=9o=Yq z%frvXO^PfVxHxARluQOfRkK-pgVM!EJFFX=vmVhYu2!Km~wHP(1Z`V zTp=|?>2g3dS$j^@Pzwz0h5Cm2vWBcxgAS3*>Hwo96$^r5yctKr(eYz19FzB8!PeUz zyl2z}yJnQ)o5{zi)T}=g#;dBE5*HaOmtKF#%qb&RjV@bDJw4H%n#1 zQ)*1rb)SG^)o{jdp_u}-JPver76fApLMub0IfO&B{XS@aumJ13Jn=|Oj+cLmg7Huc z$5C)tjtIRr75YvxGF(gP0Mwu@I!ld{CJX#=VJwsyx&zcgL5M@!4;6%hL7%T+phJxl zHEzn4NxEjz&LZX;#M=Z_s=;?YVQljyHOdrk_eQElO_()twlhzYebc9;M~!UuZ;k(C z&9==GE}A>@=iBez!Tmx{`wFKu)PRJy0;j8@wZj_y%VsWbZJ6cpT`{(8%Sy^GI}qY` zQ-lVTVf0FWtKfRBULkq>{roTa_u54uMw+1g}yl2+lm>9~I(!g%YQ^5WXNBHZO1$>PA6+z9G9nTkSLq z6H|3HjPi5pYQAZ!t7Z!eoB(xI^OQR#>za|ZBV^MDXlmAq@Ffl3gp!dp9h~WMx>(_# zmWR%Ipv7v-78K#4NWR59p zC(LH<6E9f^%wG^GAO%ks4qfnRE4yu{a>j`?JCId)jRB4Kqz%rNU6}_jnuDw$+|7+R z&|YA|u4}o=C_pS#Ux6(781R~u%Q#z8Mdg@=;xaRrTGkL~XTxVwT0-DcUhyJqWsdE_ zWj$|UeQ)L%w)$Shmw)%hyZ?iK-1o|FGI+&nIDKeO=GFhqY|s2=_pfm0w|I2sr7toQ ze!Uy@_vb88L_`lFsxcnMQM?CJX(;dl%%Wc-O#TM*=r{WXoG@s-DKv!2RC_XR{1uKq zlf6a=|NpNM!e@30iw3X3=wDs)+2DOp<}e;Vc#ZsTTqC1@9+rn(WBeP}80hQ6hJB5J zmY{k3rTjFIYjx1lickzCPy=d46Hp79jTWFQ(Mog!x)Hr@ExxL~b>__ZbJlDanZD+_ zieU>cFZoDJ*I#?>kC%+UaO1lAnib33 zzKdrOv9W0u{FPibuP`)k-LiS}maXHKl&D(e@ZsepOHkqyYGORr!;)qu62`F}qX*_N zDD}|d&^J2|C+xlPj(z@lCx7pJpn$iuq^>qu6U**B*}Xrz&wVeQ_xb$&`QM-aIeOmj z=kCY7S6y3My@PK4nH-TEQASIdh8lSNCOKk6l3Yx;eIa^)Y#unb@0se_ni_U8ew}`o znNPR>q$ls7CGLS5>M0zN%zThcj`$Ql;0K{Fi~iVp*x(mx5_NqOp>%(BbuEcxPs&J8 z_?%w$r`qb;;ZUHQ#L%5Ude_lX+cQD>KevX<&RR`W@_8Lp9Tz9MqR2v4?Z{eW?$f`Y8qi2==By=UW!RghK&iYTwZXm$lK!fI3>k`+0?dm+`u7rKFF(zz~aK6u_u~ zMquN{WILR%CSu%`@o<(t| z821{#1tCI30od{=(fd&^PV|049|C%(hzZ(iGQu(FcZsd^pf|?JNiC0NdSHW-Kl7F> zT2$h-xA<@SFK@@2V3XN&UBiL}Bd=pydhb`z2Aqa_tH_1MScQ_P#BX)sC9dmT#6`;a zdYCz}!zqZfIm|h_$)ZlI2nZ#5K0ex4({>bO%f!)STTiO3t?wu>XhR*$aCFIAX&$H& zV>375``2A{)waKEcw{~PFyn831dCY8oCa;~7_@l=Hy0J6xmHnmUVR=h41ZiQo$k2i za)I~+YQD>f;g3_{wq0(omoq6%G|)8`0ih^OsNmhpo*Iz7lQ{87FG%FQH8lq8M^v}r zY=1D3C+2|>S<1 zHchvJcBY|RnfSM%)SHCPrHce9PJrL8WW+Rzp^Uvu za4Rjr1v}k~e>VKaHB&C0J>$mATexZEl%~w;JDR3%y@TwProKPEY3!QS6UXAK@s6fs z=IYzWkJ${g{tEio1GJVA$o>Sht~f7?1ZujUN3=rFiEsD5O-0L?+e01ognKa1o7qI7 zCrdWqF}QSXYvx2|E8;TmL))vM?Rlsa#@dNi9#Ulz_xZf>vPwCgpYM!U0?$%589qEx zP8)8Z2)&PyYj~m;qc&Sj|;)B30p<@= zCN!ChpO1Oy;AVVnJE*!QpGKA3tUKw2koXq&Q&*b-jHrydtlX1w{Ls!zU}w%qo>^a&MlkY zy?4r#d*9uB%RBc@IoovXwbzfm`^Ia>*jiSo?tH!hMwjRz^-V>~d#CnFv3usfB4 zu5P1D++$PEO%K8z1|IqxwGF(Ai-DF6O>+d#64vZt z$wC$b1V{)WKmx%S%>p5dAucf{8I4}!HX0p8jW{|kTph=49Ir1rV_dG|@|=mI-dvwg z>62S^x|`rK@6GSs-<>!2_oKfKsnd0qs_*;i`~F{5ohrVO;R$c16U5WMw+Qy;!Jb?g z3cye{tV{1o$F=EDmJY3{eW|$K1#L#?(m|sRYIHDH2SZ92Qb02Uji{R_nZ2OP*pG0HaIQgU`gA4EOc7ppq=Q0~lOl26r1S(^ zMPmHtP;;5PYVRYhYj5wXmOm0d+#A}sFe9z1H54Cm8)j}>p6e=En%%WuKcZXMbF^;7 z%^k&!jc`;MTGczZ>8|aw3pXBHWx4*^w1u0?id(CFGU+Xrf`&P{HAPALb~#pGyE-dp z&EVp$8#8E2%;x*qA~s0$TZPI(2}-e?1tk4Vk7}<9H>*G;V%ro@PMny8sQW{5k(4O^ z2(SuFcvC_==vYaR)G_mw0P&x%jA_OK0ig{zwGlV!&^m!FdVVCl89#W#^ZWqEyZL_D zdna>fybEs%Unj`CnU%0^WJUWzRlSyd7VOpJYjBy_aO8B|J_OWUN(TrtdH zU^zfS0@tO}$!!+1S#CuplcGzGu+40eD~u+E!enIB)=~Tfif7UuR@)>|ISL?EkYj+D zIpSy|eP2|Fg15aav&aG^$eHX)iM^sa;eo+7IQ%GR_&>k@D1XuTWZcnB`|mxo|GriC z4&!s-v+%`Ao`3so{?*rBQXLq2?WW@o9Wvmr&Ja#tL2O z$OOU-w*#5FXosU#XS0#$!)&mrII~Tnj5YY+g;xXAWCj9)(G(2)kCj!Zxz1?7k#*D^ z!cGw+{?o!FTMFj>k4MJ8efkej*rbF*)I&e0CRWTeDm&Y_uY#xKFfdo+Uxuu zNEr{qARK&rMDsuS&-u5*gD)OB^uz;)j|lVJOZc74MIv_@DhVYX62nIEm10~aULnR_ z0y>CaS1?_yM8YC5m86UqTZZZ!m0d7X6ER}6$iz{J5aZM#>iC(9=lEyi9~VKwd*LAS z2y^`Na5;YfeE226B;sOFEs;V3kwP3wMt*d6XiK9XYREUODGhJ%!g4QcaKUmHtV@O_ z8*H#bn;G^Rq1y-pdf29ie$4?5-mcuQ#C@_M86E`KN`Mnm8M@-*ahJw3?7<$7B+K5V zQl)n#NkF2AlOxt-OHFkq+O(Y0#!ZOif~f#9C+tYW1eW*-u`~dyHLye|^d0FOx_43p z1*S|A%Vc-QNI=hj`Nlc^;<26YeEQ2@{ps9mO+yEongD`P{p@v-h zTsy9`L4gg162TV-@o^xHgKh&f8(^6hR!|o0Qo&pmOjALn4B8~Hf_&KxEVe4T(6AZI zW|2ovv^Tj+q|;k$h+&e9Hnl3rCXKoG36-7TPmtovpWuurvSPQe=s(?;$0GzWIxRPu zA8T^XwvX_DUwQ>n`M->xe%E|o>Px48!N0uwP~(L% z8A?E6|27`?Of+1AIL)x(58M*d%)nReNXNx zE!gwct&g8evn@K*vHIW@Me3&w%dUU@;4N=nlgmkU373$ob?4!Vbyt;JJ&UfYU-9$3 zi;^4iJ@ZRairP=CT|V5gz><)uX}G>6&so*8*xYgNmcqH$p1Y3!P0P`a1+$9rI|_@% zB3BnwFV8AiRqCGBblob#!F88D;W`KhZ72oZ8fqW#K%*PPZj&3gB}1(R#1^PELzx*o z+O66FEwf(<{R(K6K${qH7)W6t7sFv2Tt&=>4OZHq!UhDU8$HObv17YEH7U+xmw{TA zB*QXfvlAvKIBjYs!Ny4^OoL#oiM19C1MyT15li2$N4DD<|lTMu&}|b2Y(1 zCu*uN*J1BG`P`Pt}v1w2dxQx{u+NdVbb>xD^U`!p@mv)9%E8CG0(D1zwSN3PZuDHRZl*hQ!@rM=-y1EBDvca34pN?ysFxLrX@i5m?Yr%7f375h)DR|j@7AFMl$Yb{+T2kb%%f8Kn(c>) z6owaaqvMb58{I;m-@@3xo%?2>E=1(;ZzD`Sl>@bdF9@R~zK}^SCSej|w@ZhmSjtLm zVu_5g0o_A(jP4Bou!X#S}JTDT7(b&@sHu|k3Z%{&wO|LnKSGvdfz*U zwyh!em7%?%h2KfwV;MXqJ4f1$3`xWiJAH9nCXswC1+g?mx=lJLeN4(pr8-E405CE{ zf;9|wBr}j3AcYv)S*Zw%#UR2Af{3gMM+!8gP~kCx1qXc^^voc)gZ$7LG?NAxq=&vi z$&N7)nxDe~!t6b80Jny}7}h=eEPfAASc@-)72IgJ8jpml3B%W1S|c(My)>gtbS`vg zcP7;O!RUv93@FQ}%fMx+Q0oPw7eroI=7M+^NL^6wguWzbOM-$VIADV|8?+=qy&2}j zfyr2A#La4GCC-$E0R*-h5Yjkj^@ z+&YzY>L`DaQMW4ZNqt*>+!%;-Y7Gzb)zxW?oX#k?9IX|4$Y;Sj0}|&&6XzPR1(RKx zW)`oz)hUJvyN6x^D-0Z*%Ie<^%r?8pIss<~1iD`j!56`P9@-o9{W&%VIl+;ZqMo&9 zPhhY)Jj^|}ze#x3UpfjT0=q`J^|lC321DiNhGmViTP7p!;wR$mx~n#V@1_ooCH>Fb zl_DTlB-9C6@%m0c1MuqC5S}-xN9XS<4l6fE>ouIv?J|=P#>l9PuA)5+v|xTZgVKOO z!p@tMK8L!t>h}Jegyd<~VChQ!TqtxoH-CmOKWv!-> z#^9iLzSAERQSH;_b6hcS7jqxAVqDeby?K`7^iyWr)zR&4N{a7nDdZW2H2MP0FB1sK!YO=7aeGrqTml^K(#?uZh;n%DNEcbRa4ByI@3vm z!pm7>{(v4+BnZH}DYq?ddO(@%eJET0X99ge_Z z560W?s{RQ7#tlB_FywPUl%gbRS!i9-&-xuHXvdW372<*Y_6J$;i_ zHGry4dsHw#fZ0E}gMW!>758MY{-^4duQCCnxn38b`+>({s~qgQU;sYltxd~i%dQdd zyc1sG8M?FI1k=>E5ec1Cxs;%+eY}(mAh#kSj2`iK8FaUzEXxEw%vspRjg1|+SE{?) zR2*}WvV31w~tG%7%e6WmkF9`O*Og#CvErF_vO9S43y`0sP(qF z0jrUgDwt=JsWoR6gFBk)vP$;*`6a2DZqLs%rfu#7wT>=xz9&u>^M|9hLk?xh0!>^5 zVf-5TFx-0p3Lod0RzSdz(wto{OhE{EfpXjMzxHbM)Ls~X9MufLoz$PjT$q@+S}W?!Pgb{zx8Em3I8^M2(wGpaT`M@oCu#^apKAnYeMC4!^7~G>`3Er+ zq2_R3YO^d!ZqS7ti5tbM<|m+qgO?g!@(l#j#QLYgpfgMT13X~|F>N!u)!@ip*}0Yt z!W4-?(OTnv^IrKjPnqn|4iiTj= ziRz9O))U8?)4mRqi130!m^T8Bo5Ontfx`GLrf0$W>mh+NzjIkVw~ zJrJSbR~xOdBeJEg;R1+N*&l+~0t@X$+SrPLNC&rT0z8TloFg@3z z^8Dfbu@_N1*|y80liF-AEk0gp)EAMPv7%`ymb%n^g4l1t_>$E0*^ULpWqG_)+u*6} zr*oJdm!zbd5ZRL)i*{Q$c@K4-Th)2b))7GJ3-u5@ULKD}193|!TNY>!L5U<#gldjj zO3=M&#E8FAd!BgC_bcHN@L<06f_nJyR#i)k9=F_2Q zEj#p*Xl7ma+o3E;zR}A6Xt%04{uYZ#y0Ui%1Mj3La$`(M;VdYmsBQ7JtiSEh1rf2_&af*JT%@Ad! zL%kZPX~-&hPLaNG5zLw96!~7{$qvU(-x)2Y!gs_Fj(< zQuh?|=PrUES=w=bh@JlPfZXs%=X8&4cuMS>aN{ZE95L8jaX0`}D;2FNXpA>+%#&HJ z7=%P(EDc4Is0Tc({aO+oE|$#gn0&$1-g`H$6B3715tqIQ|4~FNp;>R8m4)nm>T8GK)SHD6A)VG5hC^|aDf6)x!T|E<>!L?q&C?<#d~14rnHsRE|OsdH765HDEK`1 ze(G%IrBl9JRc?6%x!b@Yhacjm&=r~v{y~GsFP0gG_lS;_#7}BBisT!HrzB`tkj=0M zvvY=Zfc~!N&T4!hG294}k|Y5Rc9Jaw{FcGs1VR|77*%Hwkg0|g1>-v?i5ndRcCiKJ zd6(8;VmGYfi7CxG{)9yENuNEup6@Qb&JiLnur|8DMu%ag94Q-W>XA=bH1kUx9s!2o zr*h05XR`w*gFOy)!p2CbHB=R+u`f5!B1Ufi574aXDSFW4xw?Scj04vS%;kwZWmpGr zi^TCz9Yy;$wiAMBIj!npu0XHUrBXKKNz_f$3_*PstpEfGG$1WjwkTJgSivqGTT1#3 z{2h(#Y-P?QS?oBBraZ;B#jUGqc6|Yd&3I3WV((wywiMy0gjTNn<31n&W2OYNgx9 z^M;k9YhO{}?h;F=4eQCVhI3gC^FbQ6-vPNkS1m75d!L(Nt9r9$2>;i-1$gp&1>#S0 z7O(T46^q<$nq&=ZejT-1y_u7Khubb&Kkx1aBu$1LR*Jcv!YVX z92mF7OX{NA_A$pBIX#bCJuq7~XjHMc$>jYm308K>L1!t=6Nd>h7bR*DPw>^`zgOj# zPJ_qy3y8C})>Gzx-t-4$FWjP?d*MIf&-EkK#ici1hcB#QeOKLZL}At9Wj(Z4%!50a zlf!F=os22eX~CWSIa-FAs%?LnpNc|BR+Ii6?X{J@cOm54mbUK9;uUj}@hVn|68>Dx zR>g$O*8Q5y$xwtKjU?fBK^G&`y%YBv>L^;F8KGZ)>NLDRDHDr`~@g+RHo2M^^fD7LYh8g6p#E(YLd-$gG{B2^KO|5ClFlSs;0J`7h?qrDG#WHJ z?5G+CdrB&lK%d!PSZMhDQn^w&49aM|!Ol63)(ruVs9;j6IbTo;Us_U9Mw*3gSrbwq zp{f`aI%<^b7Es6#dw396kx$Tu+SG^BA2J()(L9|KBLFs0kXJW6C(XB7GR*Ph?0v~W zq0*tHM%$@8WN^-fS^H!1O8ya#+2>_hEiYNzrr&=+YigzHUTWL(ynVRC{kUFozCCJq z5x<3C$LSPC?at$jqDB*C)TX^zS#F+o040ZsgQ{poFd5yLtn-SA(gjW6cU$N3&}ArxT^BKik2q7n*JfDym0TN{TCFJS^4R3JBady8(Y zpf!TbMD=b%&T)iJAZ8dp@ow#4Zm@jwUB|$n8<&lwGb{A8Je-0ko3((bqTu*d@W!lY zI4C1|5r2VfTM}e|yj>S!qWDlOp!(0){2xJ{i@@4QgBiTm{uo8PBILDW_(>TD@-0J# zwW)>4NPzdGDFW%3lfb^J9r02V>}l&)&E!wtX1U4Pmz4Qi}DCjhD} zD|mB;>{13}&{mGrLJMGn(tvhyduzPwawcHtV8rZi-jEa3%QoVVVO7#F}dr_=4F$QZb_wismJq#qpQKofVm<$BRAK z!(#}7hGDY4iqO!BZpe4>kuPO{TQZU=iSp?TBv9~5?=#PR7T=F)%>)4wvd9(Nc5iMN+x|UqyE8e1hE*xldh?QoQ_=|wt-1uz<1bO z02VeBWXi*%M=($dTrdFc)yJ-9EdJ~X#8j1j=SWR@xfp{I0v=*@pdkFx$)hPbIZy7D zZ38jGawql&0Q)HBxjZY(=w((qCtI*`9qiy#y^-`_K2$9^$VZP)Ec-N>0tOh=tf}9% zNSmLRN+RC~{f@M5Sfm4v1{m;OC(&=PePqYDgjv)ijm_S-mrYNN8X1Kn6>ivM=hZbv z=GWF_WAOJJrA_zu!>ngmjdC5AGeuW#&EOT=Q$#EvKjSN_3ps2&GKANUODI`QQP5Ci z17qSJZ>$N@ll5?(YzG&n@4iCg7^fN(rBRC~IUtUkzsSQ(=287W{eF{$DdGnrQQpN} zY#Tj;>Q9D#ghN-;Qi80hQlk*4s}W7;lTqB*DXQ5vYWONM-PtRFq@bXA{`~Q%%NUFp zjEB`Pp$fYjM0u1mA~WFZ?Mnu|4cPIl&3W(83iNv2p0wSk;2`$BR2+5(m{ES8tdbgJ zqI)hm+Bg<%DPRk^ND!1!EIAO5p>c8hVAsuCXqf0(Zl8bJASB1WZxqAeZYyh*YG#!a zks9SAp{Ts7)*u~E@Q2+EH?4ve9M~`*Aasd=VZWlhzJxY1dnXf5s+_nuPKG4JBgki_6PQKHg-%LJo$fw#wk=H~yP=NbK1N5i+kWxTW158kE{~N~ zy_xOYIL7kvaZQijVZYyFQS-u+N!9AC&MJemYhV6=f!5(Vi)NgZVVdVDR41GdguW5? zq9!`;d`Q@A*u=zGo>vJ*sOxs0&-XI%LQ%CyVL1GXP(hsRnzb^cZ}`b3QHN}!T3~-t z2(KmLkBi;PZcVepG+n4Zq1^TyRXxpO{s`_C^IOx0y}Rt+5w@s~5~&amR^KOd2J|N} z+}X z41=|);Li-HfVa65Cu0z{aRh1FTQRC|#AQg@KS8tw_RMLj5&ohpy_$D>1n!GRD@9ex zD-pl766lR&zLe4U?}@=Z_M+27#2Ao7iYmFA5>LK zZ^oTN>nmb%0olrqm@Yzaj|;H)^W0E7v=ab%lT_1n8;_S}PO$g{Z%qUlhQ|Jm_`F(F z5{6JK*pHPSG3dwA!zd*q#rj z&x%(07H@TLK2Mot;m_j8cS1EGYwe`P_#1>TBR-+>VYyn|0{t1LyJN$p2PMl+qvRe> zcj^AdU^eaJN#vJ^YN(H)HtGRwF3efCF6)QBK_OQee;%&Qcnw-A5hDp2SRa^Gu@^VD zu(BdGh#>!L#4)W2E`&LSPTG0;KZb2rDE495h;4{g3cIDvN;@t$#CS2L`h+o2hecAR zP;-LuB&PTif{b#{2g0YQuhEE}GH>80AqMd*f2D3qVKE5@ClvBilK(lkOJy^<9bgHIF8k9q~T< z>8D~(@5G0(PeYAWr+4(y&nj=%xc7F(*2Y@j#rx!PDW2Cc&8&^I^*@vSxB7SUuk;;m zM2KoaxU-6KE@`N!y=o|1G<6VE+MKtP?q;Yf)f>&{jw85rJRkOAKJOX{;ft7jg0j`m zG~C@!PtygqOV$c}g0`{+_nY>eT2@pRzdX}iSA$8jUVUN>aeg$=>=lgi77d_M9&HR*_jCNubU54)fQWrH;2%d?EUh$ zsE>&{(yyW+3v5*iwT!yQ;wGK<;NuTwexCyBt$x{c2vcY3r(}v#9JSc`LrEaYwP9 z`t9z1j??n;#WS1P!!ea1SVE&vbA2rx)OFa^)D_jG)wOaD`?NLhQT#NvmE!R=`?l&s za>lX##J`>4h4c8a{vF-l?V|vRzZ9M)=tPtJ)!wF)HXsLm3lU2n3`N z$YiR)oDzCjG=*&O%c?36W^sSsi1DaUytk3fQ281;U4~Zv_Rv^Sx`64hSaF$7 zBLo(@-g@(TyYkpBQKK*UoVeaTnyiHcwz9F8Ss|t)Tqg`R%ovQdT!>nZMUA&Y6sWh7 z7PF(CYZ8MVV-CYxZEkXK{PbN@7QWRGSna%&TOT&vfNk!gTWk)gciS(${IN#WDIm8# zXWE4{A7|OZh;9?`I9%Y&F&I&Js^2)gRJw^!MRIfDzed0K$Y&K;5)}82BHU>iIc#;` zURj{~lLt~-PY#ezLR72-eg|DbPX6bPh{RZ4>8vR0Nf{r8cSLXN&njJiG-e@CZqy*S z;rU)~Dxm;(;8sN42hl%Nd=yrFByFM~hM*nFLda{~a{#d#IP z5dxY~8~ z8O%cL;R?Brc9Y4F_X8FL`gud9`W4^@3L0REv5RLFqwd8+7!Qf+{FVS|Z0OZyLLURJ zAOvTFjy%8Y1EJ@0EHupl)LRF-B$brc>aat~rzA`Vm> z$XYv5FfeI2R|Yp1$}87To-+2rXJw{pb_P!8>uc{^=Z!BQ2|t#^(60&77p&2M{8`kA z{RQ_Ti5Ht}4?e_rzVG`6HNX-nhEpucxR5j$c&CHUB@`_JQ4#_WMRZ;rIBJoSeChHy3#pNW&WbaQ1J|r%7vC+)k{2#QVJe$nU3ZcKq znup_ZpJbSBy}Ei%y?V%C?l*dpD?;Mnc%?+O8bfoqS<2<^4{*QyzOcgqjiBdPn25Y& z7Dp>aM^((`$RQf%ixNwLXn)yxAK+j&mHjnU@iW9$uTjBzJIWi|5e0%{o6QsQ>P=s6Y}IEH?Cf+xn|#Xu*vfEy|y zpay^!`60iu>0nJA(9{>EP`hK)2MznCYNz{@zU@*M$OXCat zLvmU9WH4W&*bXgi@9X4SNdXy95=sj5@IK-B2=}|+Hx~S~dT|NU>oYbtPSO_!jR59} z6}8-)K5NGH%;>$@imW!8sr;mOO3QV81?1{1#r6?oM9QEVn}{pJFw!uD7xn#EU;G~+31Ku-BLIY zufA7SUZ|@>*;fK=OkOEp1Hnm1rYjXrm<^{Il<=$ZbCOAB+`I-qBrUjKY4!0EBemvpU?)Z4d-eHC>Iv>$1%ezh4KNLj`-)00y%r$OO5xl z_aEg4L3_nGp7TfE?c9FOYfyeM&yq&%fr^hLm@y$ zf`pj^T7U-@9#sGj3yjsJxBr;{k6x!~ZceLg8=K#~k z-X1>PeX>y(khf-;MIH|fv=e_4X0LQnT&!4iqBpn3w+p&eWabBHauO^fLp&uEZ4&ul zy(EMqW!JrU5GB}MqI+C3gE}m!r;PgiBD@S!o?j~;0~4+9I!vQGE-+`2Z$xseKt_3y zZ-ro5Q7oBI{P0PbXsK@mfK#F`^7Hg!xo?Fycqy@Fg7m0j6avU@!RQ=S2q*wB0Qc$q zPqNrFGYcpz3MH}xvN))dTw`4_e3)3JGTPRLE%5A9^{VoY8k#&^V=;z8a(;Ydx}-Bk zo!@sL6bVkz*C3M-8~i{Z0fy<(i^cdCk=8=cnszAtoSG1h{3Shme_D)M95l4U&?9g$IN5*^ugOUk^UI0v#G_(LlLY|#DJUxdN~|JYSoTL8msc^37f*^EQk9pT z2nnO=AE1_P4V zgDh}^;D@fhnPI2;zDrIr42W}$|J z0(#qX&3(4zBLvLn#|A|^6SZRl6s1fafQtduazRE)`$BA96x3HFQh(z06k1xG!DvOfqFpkppn|PI%rCNtcmEoTrE!CpqS*-LW%IBT)f^G zm`|u*_HK5vQMTHdv2g9sxkNgqztabiZBbD(W{`P#@n1N3vHKyM|G*>E(nJf$`+Zkm zr36gw_4fB&z?A?F!2&Xc*fHK8lC;$+5Hull)t1X`5QQWPF^7`I9N_!nW~Vwm25G;G z0?ra6Y(!KivVyb1d45T1PH4`X%gFUPyIhDdx#Y=i`f^?V-1b?P?xy*;>HPRQzH^>; z=gDsSay|OoPkW0oxzaJd!r*z+(>Od*|>Pi znK7Zc_@}0!@u8J)k-{C2RO!7uz!sbdY7{GtP0qRGX&5(WqG=MiplYhXE|?1gzOYLt z>qK6YSH!Xz*tGo0ilXKgyQ|z>`~3SdAZLzt(DA}@(!69TF){2X?;uc7GLs*{^_LSS zgy)YICQ%6{5PKs$Ix`4I2CxKRfC&H_SPm3eWifoIcEz}2C34lrRxC_L7usVa#5Q?6 zy{3_@-O!`}g@FRc0u~rbmJQ_T1x$68NvI} zO21qT!^NRH@-KujOIr$7n@e7UyMDE-n`pxsp;T&rIJF=^fMJ9|C%7lL0k1!!)|NTd zlz&Q)uB6ZQHww7{Zw~>oWiE9iX_gZCCrX$LeC;R_Jjs*lpVdWAXtm>E|DzF9|G=F#caHa6^QX&dneBic}iGoTr=Bu#$4~d zEn&j}Mvf7|#K^I==2*Bo9NeIN)8AtZpuf>lRD93)(NJVhdy|Y_pLq z3w%8AHk~D_C68WLe77q!FtS!M0{#2WdvI6-PDcDf1o}#j#Vf|B&q!MEa?Y%&!sanu zo!{tDyy_LRIkVoWU?{)5F`$Eo(#EafSpkL0OWU9nG!hWA#~|2YQOolmGe@)^~XRD_^7Y$mt7|`MV_i{sP71r zlpngbV?29?I`Y|dB^Wfpf3?mV+;p?HFqS7Oz*l>0<0?<2+IMB8>KAROB;f@Wbhoc? zq3Z;oGUD?o!kxR6@dfsxvf zu;24yiKP$y+7%7Z-dj~lm7BXrcpta6oQp%Q>Wq1;x-?op9Axf^)RNG~W_vF)tn~8Y zo$ZY{Cr@zcTx)NXxOv3-V99E=kMAyT#dohr5gHR=h^Tt2#&%CjeIF^~39J}l`_tjk zy}mQ&Fd6{fuVbL}#&-8OQR?9=##K@hg~{UaT->WhP(xk3nkg}v4{lYV)X9CZc;V;?ox0}?eK%HJT;pDEVy(m@Wks?_)1@};{^=&5V@t~O z&nKM>!PEz;o9p7M4EC|mF0;e>5lo$a5GGxZy3QK@y=wRvpYssgo@h_%Da#X$v|>j{ z&xS3%4?NB1u4DIyJ%!uJMg()7OcvCQCj+^t{!>)^@4mMn8{xyD?XSrA-+Ts(m3)YA zr#NEB*{&w^Ct6X*M8L$c#d#@Qy|sV57@-d9c{xLeZU_2GDAFd-+gl%T;$&E4-iF_M zCWRA9E>2XfxElyrMotBe^>7vw(5|(sRcYHu?cc%+#)7&xKhiEuOnNXHrkQfutrq8+ zJIqAzah8Afc+0rBanGGOfC;VMHO8XHEVpoJ>C7G14aHbrIi@RL%Od_Ui4`xsxG^Od zK|EHz%nJLpj)~>=++^%MQMsVtGR0v?hfrbD!@RQ^3bfLc*sU=APWQGObRn~_ZH39q zW;jz*ogt5MQIRIgX0Z@tf8Egk1ki(nLw79wHWMRkh>2xFC-1S@t#jXIlDKw~ihKmn z0`}V*v!V5Ix;Fz!M~l?#h~40!3Zkl<8dL3vpX;8^J!$~+3&eWP+XmKAQ+l;i#n!O1 z-$HgP0axfMLMsNd66dTzj+)mHI?bBB&(WZCf(}Jdpm08NerXPh3`rUm%KH!7W$z9{ zQ^&GRjk9X#-L9hp`=}5_fi3I=N((Us6j$=E855_W58ai;zV#WL5jF3vJ={(U@gI1% zr|6mUOC=RKKCv>fJ}f*q5TxE^_&hzn)n$9aWVGsdZCEz$%wNaKetuZZV=LHWjA>t& zKYtqr%a=2fLIJt}ctdxhveMpf8$KTffW7YUk%ELr%IgBcUMWS&;~wkK&zkgI*Us)S z%i4mGKQL?pmv|yfH+mwG4^+}_q@1z&b)lgY&A<%{#q0-ketEc zd_5Ts+2gxQ$N+P@VmV~hq!~-=KP15+MhOL1Y<_j#A^{%H3t$zWfczG!8)Ib(u5)+nP6%A1@K zYy3?<^eo>KqS5j^Oae<+@ePx6{H^M`Ha9)RV`HswR*U!H5R@QdyM_%Y9;G#$bo=%G zGM%U=-sRk#T_Fn<>1oniMQ)DP(>`qJ3Ij4bxAj1)0%J-|qV1YL&zDgl7FJx%)9tsb z5a;o3EWtE!(KP4Ia?xJtuAdGM(IA_YJVC6=RF0Z0Jv+Y>-pd~#um&`2BC=DpY|k#! zh`QD^ohdsxywu!B#!k7GvBsm$Jy-gvgx~FMG)q*!tilFdf4#k)VLP`SYLswcHOEd3PG5G=~H^SI4B z=1YFC*p?kD=RK@_)?Oztz7ek~x%w#k(g~R-4y&fra;^gil*9q%ExeJR-8 zXnKkeUcRbheJ&fRkV@xmmT{C>5x=`q0qHcxS!D zb&0yh$tn(p=6Wc#7_>~;Y_JkO3gKpR9V>~nrYCs4OsF6xF`M8+pam1!flvdk6r@_{ z$lthrKZLQQ7cWsxc3}TC?KK@MyWUs`U}M`=#WqqfA`U=}hD< zokE8;$?W7|y-`Vab&TC}Gq|*Dhn2y_-F4(RDNGg3(iOrpLxXYnZMVUAH(ZPcbVp+A!%?p<*~1#j z+udP!H)zP&FS93!!9tC(NJi1z0j_4T3CcQ)Y2v)${W6egssNMfE=8(TX7p2#$}X%W zRkUt|;JoGQ6ua#_H^x@Y?ah*z;{12M1=sKl6;hg|LtWMTANM)qvoKh%pq%Y=Wt`s1 zhZip{UPG9z$yhr{JM>o6!#m<@YQ0xyGvN}TFN)sFDM}}%zA#7;Qr9hhdY0p<{=4F1 z_BE?foMnzVgT6~?Se*7nHHag))~0&NPWk(o4wG)L>EUD}FL4#C$hmtTv#VahKd$wp zwsx{1;sX+^AYGrIUUhovFs%KG(UAVLrMQCoajt=KeBiGxS7CYByM#+UZam))_hLa5 z%E1=!+qJrSoD7zekk~%-%aSB7L;376LLNvSqqExr+^UCe&2AI1#E3=qX+xg(Dhk}U zMc0(JdIsC3gVbyFR37ijO0XYtxx4FJij&MP?@usfK%`aN&W|_RIlP`w<#;J|hx|w_O);8I#$0DIA9^SFOCz39Bn!m1ciK1;(9QK!~)?gf2 zeQaSHJfMw1-Y9FVaLWdHW}6<`Uiv1P4lhJ-sB=YTuvZiJdYpuN!MVgV!D4tx=Q?{t z;;be~L1PPA86BFs9J!1H)wqAAulYp8TyM4@K}A1EbhZdG$u#4!9&PBby?I-6kq@1Z z-3n=IP1UazW5SxzxJ>z&dxh4W8$Ktm+u%fPIy)SyTlGe_rXyTYvBxxiFEGQbuWQ)4 ze?_H1uD@WO667^KWjCR97Feh+@>-#c2{R zU<{_y(}WT}&$qySN#RAm8@e78a_JT#f$dP!Q;ayye_q!#zs3;`W`UBa>*m#5fyVeO zZ`n~VaqXG%s88HD$$C+{N04p94LQRiHJ1X|p=L7rrSLUjz`J$eLx}vg%Ze7O?L&f# zAq`9^%k2OD$5*%B)KNeS1-9es0ZF2JY^7-cco`N+mVUwg+RqV#0+skq# zYsTmFx|y<4D<$#`Xy0c`4`nfVdXuest@vZ9H*#BDv)&usG52?6KJzo@QXH~#^DMIn z{b`BY0Q>lOiv6!`865YcHT*tf3Sp<0L#M3_VYOr(N_1O8RgHzWGJ@-u8;+JgT^(Ea zp9jgImjcvShV%3*B|WUs}&MMQ=Ze0*>6WjIY-UMV8@kv z?wd`U8fURhF506BPb^(vYaPSH?$kI%-COx@&R4S%4-dNyBRbe!ZjO8%6M0Ds!5b6@ zQl@X6lP#5l3kvUE3rA9GUE5OYWNl5yG$Wjx0qj)JR|}U1spD)JnVTz>5?+M% zSlY*9TJfAO!X(wYuoimabN6W$Lf-m;o6 z%v`ARt~Yn;jh{B#IyA0BQ3u+Tl&Y|uw7gpOo4n$Nm}FpCNwm;-KIABW=`?r`H;Du! zC;7Ch$GI1n^KG5HLOpn0+jlLqx>jp5FjGBECnjDTOm!ns6H{S#(o8JesdaU(IS?Bd zU-N1!Z4QR^2PD%m9V-XDnIHEkca9CeGGGQnXXqe~-{t)A7&=h)p^oxUQ>^2tS8V<> z`2dwrf@W^QRhspbLFxlD)G+2ChA{vtg48bMK@t9=iwCl#7Gq_pCcOS8OyqK5Gmwem zT}5P}wH?d?woba^m-7%<{16N`?_tt#@*~yGrv{uC?}mRVNS`@tBH{k$n74Q7Bn%U| zgC{3;3N?^GzFV8E~RAJ4qpXkFz5I+sVj*}_lrX|$d0Ci>J; zScCJ~zbe&TwNe+7-5%qas|N7D>n}R(u?^`78O$8~o-D_YPm7#oSvp&|y~%leY&UT# z9O*7~qRhT^4r(kz#oi$+pm3a~F}Vr|?oj&TYH_&1B+E)s8f8 zz0_t!t#;>cvyp-s4L*i__}Q;_ai+F*v!H{0X^FUc*!%NMi((~hjj~+ECuKTQXRSyj zCGu0VuA)eh&Q@=(>W1cN#uMT6<2VGn<9Z3NPu~w@a zuUx%sb|*>`Q&y0YcBmcj1w47O>tQ^w>5)D?-8XT`%78e4} z*)d;)o;Xy`6_&PhMB_pAqg+7Rl&VInENk7YD-S=1DvIsr%C$2q9|3x@)Ve)aOXaY( zgl1f{zPQJ^o|fiW-sqd3v~2hFjGfm--~b~W)So^)^&&jnkFsuEaEiGbr@e453CQk#+KKQMZ^me4huOvhG1zk z+Q%wcI}VRz8Yy`k-0p_Fpo6W;-HfQc+(UBaboT{{58WMowLqyX!~kJvM`BaKm+r#n9tn;_SNI|u# z(<9pJpQmn<`nbe+?IGbSd&|Op<`85v%5vcFW~dKhBR5J{FBG5Y>n@$T_aq-$d0Zwd zd8Rw3n1Jope`LscT}}3~GM_u+o53#rJ=wY6Jybs=Uj9DDo!(%RJ~ekqEg~fogSdY0 zutls?Q~pLo=VAP@S5f6Zq!bdteEpGWF~!|h`bp}P@3FO7l2twVX7(l0;>Cp}HG>tS z{YT>5q#y+NJ=3w^tDWpY#%6;JF6q+?LVFc>ItBZ{+1# zCzT^;>Rj`&ab@cv+c_km%Z%qKjn83i({BpLr(Q5)C=r${F51M(VZ+QRXjgKolMsvB zdD-iZ!h+xVInu4S6r-Qzp@E9&oVn1^tj);8Bw+!mdRe0q2i;@X{PI+0LF2yhv89Kh zW&N(Vs3-9-!_|L1AsU96-rz8U)i3X8l*#0M1$IxW$AsZ_4A0fVAf?gTRYw$L5{99; z()gs0E&_QJ@==7Quls&Vtyzym^_$?tao)gQnWcmGm@S%Bk4Cle3e0)Zyj~rm-1& z(_*#jq~^tGi3od{qD8h~KVKv|N0x9Kht6s%+iu@IgI+%*b(#YkQ-X)PDwf4%;*9St zKENE? zeUT)bNL%#ggx>;^Y|Ts87r{-`UPoE$UWbNPNn6u)E+muRFF(av$Y#>HlmcOs$3A?- z&;g+T@SNzk`oTaNSsOYy+8gOv{lnVmo5MiTGtn{Q(&GNZYv9sq;Ic5$YT@ECXyDSb zuzoX48n_HhOy3Nv1}-Zr8!j_53oacS9WDa{!@uaejfs`|a0sw*8wJ82*ON#{8Xc2FAb1_&%chn-@mf zza2vNH!0sQr~a~xEZ=T1emnUu`rE|xH-RjFeWqh#`|d>MzkNr?@>lSmNa$F;TmRi> z|LHO#+qV=w!`~?XvByOJ4FG1kfBK#N+a3$!KP=5!$~<`TnN; z?*huV=D$$;OMk8W|Axr7$$y3Uw_E=w82;(N|3LeH6EME5{Rb$%x&Q9ZzXAC#JAaS< z-#`EFTD~>>7xeuF+&{w>Cnt@tnWdwVJ&mxXo}-bVk%5h&5sjpgwTYwYH@X?QxnUsx zJ?yz=YQ^@M570sfUwcDhbqLtS4+!G|3lHOlR27_J_tqs%*8Uc@gLQiww?5Sc#PGiN z*-J58>3NtIj@3DM@I@d#hu%G7nFlABUJk4OVc^tR@qR7@@?D_jk!f$fV=ST=!0qS= z-7I9F;)Z}5!VN#ba1%P@+FF;(67PM_pPCrU`d663b)23*(7^!6$kG z8@{}9LId>@rkc-;@X+|DRNoT+-SiTqdQ$ogNh zxWobFiZPVN&=IffJ#53lET$}X!CGheg1~bpzbAri-5Z9af5+Mxzr3t3MxktP8J8UF zauzs1WpTRdDH2Bmx1lRt#oEr}K)H$kF@w!O%c47B$uT(9ez9HIEd_?^UvWCNP=_W?(&2 z9qRh~<$1rh7r=n(BhRlF>bg1-&kzj&a0TYM;3I~Ty%8W!Q~PAp;#iT_U$<@KFKCt3Krziql{_E#DVL^Q@snEd@H6m8 zdU0_ZaGIQq(#$oDhRgprl0~u4H&%UZK4m`{r zj8O@{9^q-F5B(H&Sr@IZi!FYcV3$ZStl2o5JaIuAUdX4~9V+d`B*PM2hA|i6zd=1TEC-^F!^yFbp6f2T z>JpbBBAcHuMKVktF;^Q)s;W{hk{8w-NQ7vB@B1-klKvE23WbaQ59ZD(R+J!G(`TP; z+qP}nwr$(CZQHhO+qP}bxi^{2+)3tU9_OJ`>8|R2SV>iN*1ziiItmM2BkBV+Jrhi= zkG3}5w;eMWbKTZ?eb@7jV#>sylPq7K=7$jmPd|-L z|H3vhiKR!>-7y7q^$7`a@R`y;`DLZ8#B1GNMHmFeWu$I&2g`N24;$Cw*jQF1iN2vE zzr@_$g)BMulf%Nku8YJj^e$W&n!;(-o@$82Ilsb!Zhl24ke=e|ttC3y*u5OgTT;Vb z6rpNu4yE1qKp#rJ>rNg^j^W_&OU|;Yv@B%nXU5uAgPg8z>X)rQ5nth&$q=l1$FS^~ z$Ou(?#It0nHzBlSsWaiW)T&Y+%uuc}H;E2rCRw?w>aU^9^G3c*b^ zsF*26dQZDXdSFd(V2yiVO?x1XBI-T3-bY^wWuyjvqy}NcBe)(%Kis)qxrwGp$Ar2C zMIExreFe)hg?TZPiJgeimB5l0~ zXVig1{`BQpxV|I;tu@u85uD2r=&-l9JBX;^5bQ90^Oj&i-DJsd9B4*&Bv> zAX?1Nb(>y)9`Y~xb;vwD2ZxBr=$RQj&qlBXXR)_8Qe0{6&`M_y9%WMqMC*czlj1ly;y|bz#*iZi796_VxYBXQgtHMu58jv( z2|MRgc|oSihJ?(X;D-_llxZNv@6_h7?$7%@v8hF#n;onxqbo9>u857>F6c7kv(6g_ zM<2E!TN#W6RNnNN^dq`bBol{DC=c{4%TsP%8|T>=#Zibmt6pw^3-pxx)KO*Z9Gl^tkJf_0Gv>FOVKdea(36-#+(c!*_di=& zyQ9Z)FZ;TO;x&*W^y|21P=8+l_Fgs5OwV}jaC8Di?;ZUT2e+l%^*gTtvJ~Zfq{`zO zfIsUan7`Qb++z;gJ@P6XJlg}cqBzH2Ggi`O)f}jz19E*T9qcZrLBPSAC*@hMu0&Xe z${QXCr}nGeIA8W@_XGO|$+^IfwQCYIAvH*kBfWCTx1Unn=f3EY7*ntZzEvRSSO!Ne z=n>?}H2@Tv2XQq0O=&xDw#6oG$Z|oncLH#PK<5R|?45wJ`F8O=3mVUupLonnagYd9 z32jC=^PdFf@1);Y=0sbd0i~7)>y3Uul4y@WB^GL$X2S zq1~eO;%xfe0R~a_BI_&Jo+0K6>xz)X; z+D6(>7;8UkPwQCgA9dRH$~VHq6FPf}`f%+9nsoiO`~~Jq$vcyKH2aXK*Xp!rv52t< zv)GLHX}-w5sHrFZ&xKub`uPNfjK^`#E73jo9pLUv{IQ5Q+k$vB!BXA4eeoghIPKUp zg&bd(3=T!G9Kj;Url0l-AqQ>-+*Tu3LOr#h$gCXZ3CIi7`!L|l8>Q>BbE_GJlw!oR zAJb;<6d*;+AXXCfiyXo=%8u0O(4lT=cK*`~;({q#g!8>Je)sBRF{pJOAB$x>D))$U zPVr1$AJr%3_K@^lbhOYd@uM@yyYTb_W=A2H;YW4bb2ksTJ&&k#`E08T)8+nswh;uOHzN{l`Tq_CcP5 zLNu~}b@~okoCkvaffKqHv>-PWaNs6`^b07{C!iN%SBY_qYY=y|S7eNxJDoXvr3dgf z>+VoDVaj5sv~9CfwyY-DpfRFN!K+N?blENe45>`{U{j`gdoj0~%p4671m&QdrE z%XK0snT7cQ6AsliEk*4xdw!awfrW zs7N+ynK|<6su8?Q$Tn#|dxN`aAe}J2t_9D9&m|2?Hm_e(~t+R1Uff5x4lX4~|`_Y`Y1Yi#VNU9hw|}0+64nIr+y` zX@?~(BHOCdRR^^fl2tP~6W9+l7#f~#s<8!5!z?YSckQq?<%%5}>d=)gva*VP(`wFp z2TVvcB&Xw;pF_n1KtF6(uJ@f*lnnLF*B6S7pDFncj|Mg1sNUU!frGi|`SDxge0=ov z{q^c;L8?Rwd>sixaL@|#YEmw6Q}h~OKIp7fYG%6faldIij&Ce$>GfqM zC%5%FeE9FrvT=3hlXUHfMz+U_2iekA5%mEmom3hu|&2a zrR*dmDxMMDH_Rop^r=hVVPIrtXf9k(rc&3cwOcd~_m>)%+>zcP+r?Ko7gKk0Hd^=- zDyXNc`(|zWD`YJ>$(8PKi%yRa{CzWI9I{vs4!5V%=ppBXT}Lhas9N|45i#L1h!#O$ zbsu7r?5BDMZlh;yjTsGI(=rQ!8{ipHl3P=`^VRTZNwT{`E5-YE@x;3i zg4W|}Ywz*XrwyyZO_3p)TEijV{aP>eMgA`%-e&zlMWfC19WkuV@IBL@E0sGok}B;> zf+;FCf+-40b!s-E8C2uDl9Z^chpQbD?#WVSLKH1|hYhke4 zvAmjro_^^b(Jk7tk!PJHjk(KceC%4u|_E_Aa~K-k()^553p!-2wK+Td&KV zZBP+!+1;0G+uawYt%#9($E_stY@;pPzqrw4!0L3zAnc_U6^`NF5M|mEBcrxk-fwr& zouloJtT;Hk-JV`q7J%v@Wh@GF5~>x*HtMZ;no=fWgPGdsDcmG-z`m@mt>nP*vQn3W zB&5={itE#6)WKp?t4gURn-0NOBAP9FI}iKfSM0_ovhGrqyj&osm-5emz5MweZw1ebpwD`EHU@KBcHc$d34~Rtt~Z?xS^e z@`th$rR^^0<0Pl)F6t{H#Gn^v{z`^YlWiKT=mT8WBc0VDAFKBi4VIsj>al{9=4(tM za%B1I)^$nvRI|q0Mmb&bLqHzU+OL$7W&Kstxpd@+l9!QahjAohwC#m?EW@`I3Y8XT zGf_%%RSTsyv)?htMxmSVlnkvd zE=Q7)#h4}NI=7?gV;>$ynvonJ&$q-z(urg0396#j5!SQhH{jCVeYLM|>FD6!DsW?B zu6hw2Qc;F1&p?u2(o1fexkYUy2ka43+ad`ywobjO-ndB|&X%z2gJh<9spLzMM)XPCd!Czm}-kvc7Ag4%dQe;wu zupkbkER8M~kg$u3JdW$$eNY<06`}fX`Y!3M;nyrOIiqO^6mk-n>(duR;4s5IOaic@ z!4vXH>%zy25+pUO(E=mofl?)$)5S5rtqdsR5p9p*TQpQai}4?eEZ}3BHRz@c^Z_1r zsU!`EOGI7{@{fynWmt(JEG%h9sG<-&Gv)M z6(sRtsRsTkdkHbNqcKC=e4+FyVD3dd0`#ab4TAu{ zl#Iyj-o6Nf0vwc>leE6Qr^L_ZB>1cY^01Q(il?wwluPQ;33h8B95+nP8|4MMSY^dg zA>f&>9)LVDSj(djpvu>-OIm=Xfb!tWx{DhXeP2Z~AyIy2oc`QHCg~xJ&mIEcmG_@9 z3X2NjmSC$w*xzE1&((x()88QV1QFJ5AQ}czqLW}yl;Feo({l(lhOm}VFWUMn*yb1B zhxylLFB~q?tU?z%Z)J7gC<`xu~uRe@rR|L z#DE@U22-_!p*A3qz_5&A$FVhORso|JD&U3+zfD+n`AUfJ{vU> z_1K0RWH5j{X?SzuCc(O!hxCAbE1N_&xU0TsJe51MPyqs#K z=pyus4bE^Aut@NeKPFEHam6LD6A17nK#32WH6}8VXH*^~e_{2ySbB0{{39VVV;F;+R0{ zdL-qWyszp4O43#hfDI8+kIq5Z&@y;=3(#B_a&SRJ6w+~PIMC%wv;{q4L`w%wFtD(y zz)|NEVe+Ozn17c;jYyjt9M|@?)AJB;AcDVy@_~vau9QRZGKItifJ%@~aG#$1)}rgQ zP#z@ZOC&OS{W$N&@t7%vMESyn*2G1F9w>3x#mxGJ(#(WFKZG;r@`=^JUG}8zVB87x zgxM636O@#wdjQBR&;>Sd=NDlO?MJUbeF?*VTj?Wv?ns-4SzL8~oZ4 z*-L5&d<$d-ktJ&@K{6E6Ug*H(sSW9d{z)|7Gnggt5-Ah@BySDLPNTyAfVs>t^&)or zw=aDXSrsd6fcQq)h^9~T?&0d zGx{*)gwwr~GpBiRlwQTQOl+Q$rKTyM38OK96|98kkP!jVmqzlETy~7`A9mAYSvuPZ z^cyZ1hHp(s)d&_^q`}^lH1vwwAVw&~lgExw8mO~jHe?Z6#dP3s>c!26>wokcifOxr zR+RoY{BAG0E~Pho%gFtRF7Yfv72(3u9zc*X2(J+j36~Km8^Lzc4c1_Sf5qvO_xoJ$ zV7%gydM9LPCm&)`5N;HYJ8&#8q>aoScSnxV?;PFs9BC)_X{ z6EueFo@(Ht?A-C)kt;6#3KM7;TZ3Bw>8s^nyP!>LzW}F+?%Ex+(#>@7`K%aZz9Pvj z)5F&~0&&nT|D7U2wV7|at(}A#eA0eK)roMMNXASUvX!LEJ1iXorv94Q4|$_v1bWDl zIJUug$YW?`Pct3t3`z3V`F29bcPj$GQ6gUpZ#ta4PdW5U^sTZ#M=f(h0x13}=mLqA zMyx5|nBXIrISJY_4~ALrE-y$Ow$;>OZn^bPyF|?JRf9vOJotTOV3CX}m-%U>A74#m z%qTvq59q{F@EOcXt~tTPX~DExanI~H`%=hz+&Oe-K`7&L=8DXI?t{%gt_v-b4ahA1 z7j~MLe>)*0b!K?jrA{mCM3+!k$lOWjj}5?vOc=UC#Tm8N%LT+io6ns>_;d zKx)nqJU7a^Y6U`Xd71qssbn9Qg&HrUSL)ol-4{!`*XF}axo1O&cWe^i4+922fCuNj zPC!R|C2zV7;u4}OS&Dy@mh(P%8Wq2T)bxp^aRMxycpB9e8dIsl2buPjm40Ep(J_pI2N>+*$d7@R2G;&NsYWN%+@pCp&Ic>+w--fR3*n zU#PS`2q&1AG8+cob1wi-ozvm}MF(W~4^j7jRek)YyoyK9@E`RC%>P+C!2Yi)fSvVU zfxv&2T^Z>A=X?LX?8?OW-^s4^iB_TfU`FJ@SRK*Eo_|JF7zPb6N7;|ANjr-J!L8on zesXmWw0$qC!~1pv6#+%kqLu+vTY-b=4y>psfy@dIq91Be&e2Kl>uTXscVuFgneSjN zYUr=*%$hOp{qI}z=+QU__bV~OLZ?4*wdO?Ns?7Ngg+x%#b&mu38n4zYFEZP3#UEMk z+dYFA9PObCvqqLBgZVem)v3!c%4U_I1uK7_D=RIsmRg~hv{7RJT?G~l%|ZnhxrolC zLdT1e$=Nm;N9iiPpemYRNzA*Xp;Grz!=K>YkK)NYv=Ts02miOYGyFfrkDiHviT-~R zVCfi`*#2ukuiU}il!RM+m$f~_InJrGs}b9z6YHD=SW<)v&BX)y{XoDn@?y*r_yXdB zh^ROO81@3-MG6ki$SOHzfr|kP{P6VU?eHRI$R4p!w|1w(IAX{$o~AjKUrqS!opydR zZ@q7Svv*@FG(EF9oG+A|@si*Sg2f1`6(LJ?B?`ca1G*q3s8y%LCb{n1Go-+@A>Jb< zfc-=L!E3_D4%ja4_2i`Eqz%1=q2Hy3p?+w@{RHHO?iRubIrwCVpwMLxxB#^$h4Rh?+5s3$#%UIQ@<1Yc?;G*;#@f9gJ|J_TeFqhm8Dpf2(GU+CakM8W@lSR*YAoz9cH@4rEeGGO^P=|~zpLNL zc6z9|pFfo=M$l$zIh_}Mg{Qtf()5tM4nR6IkVfIMvQRP-a$8GTMA$x+#SV9*4Gd0^6!NDC`6nP@U`9>TQf8q>P1b^GbOGyRA~b3J z3@?({eO^xH%hK`mP955V1{1xpqF3zg8Uqwlm{IyW#A;HeV-XP>Q(awn z^I}4Xx0GTXoE!J!d#^t{Jc74p#_i19hP$&{H#(~wJU|8zRRpT-z#^bpz6i6V3E0>b z!2;FuN5qOO1TbAp;yHtqTT+C1-5^zMmR~)d<<(i_wANLiFli{$=PlAQMSCQ%6kB_w zb3-O*ogM7Wn;T>A>%Z@OUC@!?b@@331q+I^QTpLyaFiVzOdtjn-vz+IE6-qD%KTLwM^gU(<>*s#;mCrTUtMxD9*= zTj^4t9*v(WY)9oRcwMhnU1Ae^*{i(reW6b$dB7c*sxC(F+lb^TM_EPfkQyru z4h06B{`}LMJ4Y`o*4fIE(ue@0g3m+Rs0=MGHygY)Hh}A$-zwt_JlS z+N9p5s-z&VYpd{@lL;Cl(I#=!Et!R-fSw%fxX~R(P}|f(;{K^&;4iDLx7-+Q=b2O0 zCsR?RWm!II9|@ypCQ~=tS(Xd) zW{$XoG@m1iyN+zjrXlUErQ(C(@&`L$BxtAUY1Q-#`Iren?2!ry{c8hcR{*Ekq{%1} zQMx+FXd&3M>J`Y zKyiq*i(EO`o=x5scbl8)ZF$7slNUatm7(fP=AjIW+2-b4+x=?2{ay`i9l#arr`Ql= zvO_%$9}S5~Cu-x)XT_nDjHwg{8}D9}&y6p+&f((IBarCH%Z5)_A9HoPgj!oHYTxo% zZZ^%Q?u&8nP;Fs{9XeC_ffkt z+Rf^|$ByQ zx700bmJ{E=W1f>j9tmJ!Y2Q~RC#eBk-O#gCR^d)xcg3yBT7HjvgfJ8)KBiurg3HT- z?bX$0rV&=!2nXV+Y|!~Ejj#&Kf~{rdR;s92%ZMI&-Wf+gpz{O;xD?0jj>NJuilUe%=MV&)TsOX0hIPmNaLC#II48x|_C(&3p%`oT~ zL0Ar>6UATWIbwS@D!Z7iyg6g0Le83ozz5<#A*1+^Jfx@%$Tpoad{NM0v{N^C| zkBqHQFo(|JKJl9b;KnBc3}6B!3fO#-(u?@Vfe%bCLaz1iW zaPA}Iyv5oYGj-;@wOzei*_uqv#Ohv(p0!p9ch(zwPq%UEdrUQDZDZ3`1tneN*KuVZ z1vUk`@#C9O7djq0YR^zG1+ww?mwYG!`JmxygXh4b5r;vVm60_%cyCcOdOp86`Q;at zdo>CqB*G}H+RT^mRNkxZE~#LBI-BFHj~orA8e24gE5){%{=t3FaQ7RAh1iP9!jiV; z+X)>L9YarHCY2pRk2)L;9kttIv@C1bSK}y861Ebm6fm5S5)T%_`ALIsqr&^Cbnj{8 z({ZMrkU^)3Ra2eiJ}w=|pdl*zkdr}*mG`_Q_OUrHFBs^=RJH{+z<_x)9y39)pmE6RwVB!|Bc(I^wnGAFbX2ULvMohAju}ES`Q9@seN>I_C9C zwpF~^8bI6gclKs;rpRMuFx>4;GLj>A5z`u%d?gx0Cc z+WOb&$l4z0EBbbJKHpk@?{1FG4r?A!V+hYK9G;eI0uUVm?>Q(4{Now;QI28k3B2vN z%&>8x7>p^r>4@4Ky=%I0VLoI?Nj|Mm8};O9NT`5~YlVW20~%qsr#2;0EC~HQP_s{P z0&EY0b8r60J#tr|O7TCj(5ct;yAMr zjQOAKZ7G*T!J^14qT6`JqEsz$iZQMQsL#5HEnys@Uc%Z$*Ku7ju#>j!xaH2))?qtZ zec$%Knei=SuzrKg32we^LRcac6AkJF=Grk?O*C&u&c;Qi>)bCyvD=SrZY`#XcM4_! zUwy92ui~qNV4fblg_nCpVM7uL-}1VrlZ&g!fs!9EvROvL%Rdz!I{j;-}+2^U8oxfDX-mjZXze7v%?>;2Q-3RFV zHawy6Q4gZ%Q2}O1!tx9g#2|s_C*<&JKrub$R@yBz))=rr8gxziWYEy%sz)-;Sls{U zvAe(M?dlK`!9-a_Z-qjMW(E2Wk05QgM|5d?QFUwSAPo$S42uh3nCZlNYO&jvuThU{ z{NxI9)oSG+XCui@iF8ug(yUtotYwYsXRl!U1N!;UMmR55;2se^{K6fTt&6rUBPj9! zQ%NQLcX_(I0xTzNv36`u0%E8Gnt86bqTML>0zC(2z34&k>Y(`5lR3bi38O`MIutr+ z9d9`Ly<6|sNP~+~vd7)IVlMBpcRBWP`97LM-z99H-v#nL+ZNFfz1S;#OhOMbr`a=N zt{YhD5!)ngq2Mx^pb9v}THjeXm)zbLi_~`zlk&Rqui&8P)lSxA3fk2)1=LLxPjzzC zRDsLcLFYcSxdG`S@7X34lSeQT`}_oH{ccfqdk+r{dfx0VpvIXACbJV_uWr{Mf%aQ( z_lONayS7-42NNAR<38t^^sAjXxE&q@pO_c;bs}rNbwy|lNm^&P77^C1o7YS=*=$zV zN=;OfQc_HllUg*Xqd2R7Aq_lX4jaR2CubDcxAfYb(Y5FA;=7h|lG%N>)MCeaS!VG+ z`WkPy8=SUXo+l40Iu3KFFF;3mdu=NrQo25SFkhqNtUzzJKULk6GTKf`SOqHuaJRPw zrt5Cj^Y%sg2WcGcZ4L^;H^bq2LP-+n*4E8zP2|(Y*J;=YH>CHz2L}fYttO3Xo|~$e zXmYQuae?G~6Z$LRI-}hf47J8J7$_YBp3VeyD`?AG7pR{aRBd&4%Y7_RSJ6&Z`TNW(9WeRTw95u>)B9Mp?Vo zHmqntbuJfio51o6;&!X(0~%z-8~*;>;}Vk-5|WpjuxZPHHG{>V_hz!Kclu_zaEKp~ za>TARiqx+`KQc_)6C!igSn0>H;pVZ?&KWJix3H);P`hX}L7#{T5d(v3;1YKvunUe- zQIjY*G8%m(Ti+WXyn{yPiteem8zL#E9dVq4V(I zFfw)jLXWY3YFxB@0mj&b#*cL(x0icz(WYiamccbJDLjF}3*e(JKI?_FQHy4bwv9nq z)QhBn&y{2ZUhL6dw&=X{astFVwvp-oksjG3UW?JUjAW=|Yy!gR8ceoA@ zVhO|TF67mFUOy4PZCP~b&5XEnYd=W*6nlJLxIwh&`qP7LQY%5)K6dLIM($8UHKt#% zz8^gmfkdEBszFe`eZvqsnP6l=|2hdK3NUo3kv1xjYXN#BfdlI4GhGiC9-R`cxdZpZ zvO*TjOU0eVgl}s7Dv3AzW!^G=ePLOW zTCmwJkTum@$CUPI)sdwG(i@$*tbC#K{CZIxyZF}YyvX)^Yx-N$L)j%|x+uCV;hfqb zpDoV1P`Si-QP>nmA_q&YfII&kJ!C`TG8*;JDb_h`01qtc)=7qux^5+pvO#cWGGnw~ z3SUF%Vrn8$L&i`r3%pn{?KJHxFVB7d1KH_%quL5)p09d56Dymg)XMe;Q8W|k@)vWYL!i;>X1a0%MmZs7i|ooPN=KfBD0DoY7t{r*|;>$XSJv4654 zm$~)6!FWNbDtYsOIs7pPim92pGeKqOeoK0gT1Tm)0L!Z1#hEh_>B@#<2L^LekL7Yw zPtb*mv|c@ZC({WkLq{pUH5kdUZ_2rTQmj+2Z7SPTk24yLom1@26i7+IA=3F2LL956b0_bolfe5M zp31sdlxX`?&}`{E+JMTlQ{?lpywn?Y+g*1?`|cPqadO>ueZD%vquS%7GYpL^J(_yO zn?j#eJq?x(|BJc3=7fb6zt>b}-eDBC%cHvh3srH`b zx#QbHHHip4`=b7IX|i>(i)98bQuR7VN7SwuVq&3RD%BR#`0$b@9QNZwQoBaziW zAwds}xN%Vxnl@+uu5v>JLA-)jB}|u8R8duEslzTanMfoqISD3O-F%@7mea<)v{6mZ zA?k79Q3q}GOBQO2_&{x&XWc(Bq&5}B`}@G$;$zWQMe=f$xA~wyTXw7aV?CQz@s)S4 z+G}4$-+4A`rS#Xq=rohIc9j^uH>siTb|{|G#fI^U6%52BMFdKcf+5KdmTn^|U|wo< zcz9U7*!kq_%vmLKUQC4}`-ZJ!p@1_atI0pL;QHDUb->@C1u~S}F(7i>5*PA;LyNGj zN#uS~E~@LZzw}n!@gmy>!};sY6Sh{p$EY&W=WEKJ_;uP?;s*<7(v%0=_w_dCjd^pl zx-QmaE)7@*&?eCg{+R9}L0ex1@RRnvZhe109wo6Ufg5D)*)xOp{4p&tVxK1BLX~!t z=^s`I%=}O!9LG)Cd9JZyeD6xUHz%WSfcGv_BZ6b2#)!>r+gf=*o*engx`=nnAlz3>10LRLU^TvlZcyUI%3oZ!uKTRf zwBC9Ch`%zxcoxYV6@lj7I6^R;dfBf^`^#ng(X(oa5J9vP8|UplVf)fQa~owJyCbSih<+TWeyUg- zBL>TDrGJpH`W8M1IT1XQH)d@##y{6HK44NrJIDUP?o$m^F%{|Buaa(@_@}mQL)dL;MhwZPR7LCF55f z|C7nrxB(R(slIry2P)7~{+BXv?bdaKWD)o0DIoVxlhgi3^fM$&O)V|d6b)G#cAP5E zzrP^G%QGB~@4b)D*FoEwQF9*VAM?gGS3|+UKv)(gt0GRy$45%Q;9&n-7}aT+SAHuGMyEnX^L9-?dQpcUO zSwyj;UruSBquG-e{;fbEf_O0^gz*2>jQK+D3jd!E|Lc(df9`%}#lYmxs)G-w&12tm|rmBriQj4 zOjIium&L^M4$WanKwc2^*bov)gnVvFk4jB^a!PBf^v$wMpwX=^rH=DakQEK9JZgP* zB1*4mwfD=?Ke6EE#w!Ipc&oGdk8d&Jl^Vun#qc+<^RZ~QNy>)KdwzbxjGfFGWn!f9 zy2@uen0q@)TNg8Qd)ySf`;SmoVrE)gUMAfej+k2hGnRfplNTcqCq*~nDk@3PP!Puu z=QaFHRyt$F z)u0OSfoMniU=_4vN&HKHiRdRcWm*=(WXT*lJ8)7Pz`(NLMP|N7NMXd%4sc*%=E)r; z2Wr?zUy-Nn_X@!$&YV=wT!%b$ddQNXaJJfKnSoyeM1GM6jp6+Vt#!NH z#l3iKq@B6!l>_{tl~IH7?w0(~HT}&2Zr81PPDr6OxuMalJnN5=9QdR#WP!I z>iAxn@6^xUVHN=2)Q;lERMpHfiBMCFAx7=jqwF>8?YRnO?EOf`4chjsUaO@0>4$Be(vmhi+r*O_s|e|l z`OkL9t&${;Gf#2}sG0TRlc#P)!y6Z5rD{C?>_vT4RcqFHvvuQEGo__5@_D8Cn_6+U zkdj0ca28grgJr>>r$kswC-~M%+c&qzTj9+kMZm_tCj+4Kta0 z@70zJZWCnmk%V`4bH{OXsQgIcm7@sS*6*o%kV#D_h0U*3%H=0@g)Q*uqpG8O&icIH z_wpbZw3cmiM=;W$L^F2=q=uPsQ0Rh(iHQURRg+6hhGsus$I-} z2DfSi{YRGh$9mlP8;r$izO9!F z!o)iVGiRHBZe58F<(w0@ok#}0bB(F^K2BT8=AIYNfS%^cOvOs80&ejvrNycGV<2Rk zHMCm=Cdl=^S5JY(4d5piT+(Y9P|Kq;$TxfO~QVs33%fgBDdG6^a zW!W)juTbk$2JZYif_HKA*5Yiad&QIIZD@z7H&ZSz!ba?Yjvm07;Ns-FNbU72=`Z3o zOcakDC-Vd1+>~og!!Hl-F2sk)Z@v~POanj*f;IKDS5Hr>0O2b+tKDZgDE0EaC(B(S z<+2s6U5v7MPnAw=v$FZQ@YfO*#*;wV@-2&X7KV>5)0ZOV@(!Kz#|%`|j;nx$Gd1I9 z`97;~bBNd6r}mX+59)~GIcPE=un@bB-NE(hq|O_X2I-Zw+c!o*m(R4~ zo!rkLYV9@0LRYE(`mSZCYz@Igf2i!#E;fBENqgTT-($P&|O3k)rZpiws+MvnR*2jTi#*?iW z=a{^Q5qVRyb#axA?j>Yy1HH4mo(jvSKeOhnRkGSQq@qi2qcihUR{JZZbrj*X)C%Hb zu7oM&mOWaN-leAIs5an&HFvWod7^dYkP+wGii$*1>J=z2cb-`#`rKIJ?hT%8(u+8K z9p!FsehicCb}MW4`nBQ@_R;wAl`B~2#-9>*pFT<)gUFl}x`gkH@(f*8RoTsqCMT9j zRN#w~5;C~e60+gv=;gpi;pS+6q~nGVKI|pni@zF?NX8O*?J=>k?^tjMtAnf(nKbs= z9}zMj0>g3 zrBD>&YYauq{zncv04`fYwkZ}i)2NIko*>X9!r5<0_ zva&3(f@JKQN`;VmlU1T-*8@HmH$zq^nlgc+Fp&|zrxwA6hmWD<<|Ojq8xFKx)w4I^ zWpa~c{#0K7qKs3W>sRq?k?S}J`;3G=5mB=i(e$?DmvqRJr^%Ng&9r?5*dsnPDl$Sc zj1-$3naWOyNxWJ>O0eAqm?*K*)Kpi==<`sfN*)7(cyRY|teN!WU z-f*}%PL)HPrxyRzvUtBdJT9|dzkkHv?)d(=mTGpGly)6zrtH!aaHZXkAJY0PxZh^9 zBhPZV>gMf(ANHvJbgD7NhB|y6wxJthcH&FEc)w1qB@%1CTqRMD^o)+B&>2w@s|!X6 z81Et{RL4=~6A*kRP)i~mvkq2o+4Syq_wwFc_H^kSI_EIXvYXDld+p{vdd~nlz$1KK zB&uq7P!6y=t6fbQN8YV&-Saz0w@LPBSNWpNjW6ZFr30rUlA zE1*D(!Gm2VaVAnNE@GZ2Unsx`S-Um+Kcz2yA{guk(ESk|Fl#4j358nj&X<(nJawfA zp3oqsBnL3uLS4h_@MFnKcVrNymKa74e&8V?33;+deST|^DG;NDrMG28-BPBv#ijM+ zC7MvZ`eZmzvG;|qFF+dh0j47*8W|x50DuPrng?k56K!k!2g~{E73S;v+jrSNaQ4>> z9k|c#@YsKk=*=_nZHRZ6kVl4CRn+nzR|^Zmak^|F0y9w~iEbxtY#KSbM1k1@-a*Og zuQ{G-XB8=2BQW;(4%@5v(bSQVy^%PnZQ>E&PLgsA;)>II>ck<#_w2S)p6`4!e2?}g z+V7RUVNKM<%gDff*%%Aw{o-oHrNFGGxKleKEicY_XyRv&%8XOz)5n`KK}Ql7Cdfiu zN6MuN_SfKFkr8YHl{fAVf-xsu0@Q)g0yz*+}`UT2vzXZvy)scUL5 zQQlVZU=**ZD9?7v_l|#1%7Isgb8lSTq)c_L^zEv>GI0Uy5Dua|r8OfA<)jKNWmGoi z(hmE|`24X~p|I1fsm_K`W-f7~140ddP`+mf+`mRLb`MwDcKf7^$dzXHR zqc5g)CcDT;p_Y-_Pvyg>U_8_uqXr8os)>B|WZYyrbvy4MG{oyzw#Iz1!2?*H#6%A$ z%hU3kJyX^!dV<`Y41fjhoQ9s5cG%WE8|P_pFA&}`zM5RVDZHjEH<%fQuEBJONROGv z9Z*IW&nh;%`RQJ^PMesP$zByMoj7bwfrqwG4sA^rQL!R0ObSlGZP(YF5VE0-*0c0p zHs>yVIyg6lFkBS$Zr^Qbj*W^;cR8npaHi+J59}Y-7V}LsH=B(xpxEWX6AbMLv)yU? zh`iYUZNb>qfZmGM|AVo&0FI;C(zM00n3KJJ5HVF9W;k!CW-68-*Vgua!_7r-(Xx-3t0A4i71tO zAY zQFVC3%dgR8h({t2@hBkXSFq+U76l4Wry8fQKuho0HV)XQ7zU+3EW17Cw`NFSP8n)GVM0>*~>+D$wAkTPUDf$9(B;nFQ{h`jr$l_C==l0>*1 zkbV8^B2>Il+523KHU`oy2?43Ck+|b!Fm?>IJlzgmt?h8JgsK4mBeRtV*u`#|eSA_3 zr7U{aY6?KiJNmgwus+c=@j}H2|JeCi>G+28gaT_xF$;XGk{fQ;WIv89%)Q9wAzSrH zLCXOsm}{y+OY5eZX4u+_M{L@kxtZsZukIv zX#YgH7ag4|Z`OqT>}OdYn+lS%#nXI@pm?> zpOfEBqCkT}32ff_RpbZ~b^w*jdTo5{t@o??U>}K4R8;AnOlu0OhaC}5k|JtBjLSYM zO9yxqF_oMLs$(3Wx7sfCbeC8|Ah7^}s%5>s=<1k2i}1rJx$a?2}mC ze~frR$X6yk9!goL%_RAiDZd{t-b0S8*Ug90y2*I&` z$aDHk*bB?^D35>q7{~{8nXI?6N$K3Noj-6ATMhQ|wmY2ALSnC~IDitS3*5$EDyJw_ z*Di#LsK>8&+y<6kBbh>eJvRIB0JUn8Q=*h0wmXYqHSj{*L-MZ1-iZc!C!kOC#-LFR z6@*;b{|Jx~Vql=9RFK{6tt}tG(F!`}4@L+tCLRge9zzY%qlXG< z|I5z~@?Fh+dZ;}u4$g`cTGt-M1lKM*-CB-)dye74V?2LYrsJ(}J4BWVTEEO!?rx4F zLl$sS?r12HN!IrYF>OQN?!c59?idA<*owV2`5>Lm&1GdX5TW7D?#>o!VxW4-R+=$h zPV>>U>XfK^I=9JeB7RZk{fHx5_bj#$GgjzX_Pi+a99bxvfte_?19RCsS}#zQUOQ{kE{)!idA$kCb1x(&A8%WWi0zY8&hu01KJ+tZn%0Q_fXfo=0u zcxJU<_66=TWCe=~h_V+Y45)ATgFEPcsJ`$2am!Ekf=EQX2+XI7w<{-aQ#Af z|BnDFa}WyP7TlC<6op7XKdra!Rme3G_=DF_eeV%O7NF`*JZ7+rPcy0LS3GLSnvcnI zM%UU3#XU{BYn77CDK)fLghw#!6+O-Rt@)04V>wQP@dY zFPvf~V-*8y?3IENMlq{k zgVfXQGI$4Pw;SJuEO>ELq8*ZqJ3HgCiH=Lq3+b|fF(5~H6^;`9j211fSjSW?o6u0u z`%kDS2eyf_A-tuhkawqO8J(aOf=ZTNdw+hEA{{)0#<{1@& zZG8*i!mv5TyY2#+HJ*>Y*)Bz4>xcQ0a$C^(4%$o7M`DeffVcpeN36gzFjYqY80ok7 zj5DqS4c?WRs$#j2)4VEWSwBtKirg+I3^I+fW#8DS&_|vVhXIy3BcfX38)-OuhSO7;kHLpX=(bW0< zH6=oi!IFXEl6qy?7hL1QjF;}d@CGANBy3vZ)t_C8ElZa5GN@a1Gam05!!Jxy6A5tl zVVA~dQ9S2lpf^tO$;9uH?5z}H5hHq<5Qi4f6N&s|0nhxBiF&^?P`QMDm0FGE;q2eW z9;(-r-fzz(j@^eBY)5XF7YRWhs8t^t%84-77!1nFk&Q$ohiA1dQ+tctsqK!ZsU?$- zX`hWlqiik!x~GUn=c!LE2rTaylN!?#Xl{NF3B8p+(Pb^_4wTYJ%)-TS%JZFPc%kkY&ZNlno(u6u3}T#AJX$dGA4RRw3=Is zoD!>91Eb)7bvDZWk=lSBxh(jeeZ`R@U*)c*ja%6fc>?L__WHeyk zkLkK&k{coJaUYv|-0BQ#y&tE@s@P<#|YW_<6W_(7I6k z?iTqoZg!%F#r82VbMtTfQw8k23%04=z}2e3><|0a?GfbV4vr7a#VkJbroHPOqGB|y z>wV=Ut$`eHzznwzs}X)@6Xhcu<27;~^qM(+7Wtqe=EmUgaFg<&eUk&}uk*_zRq!U{ z0g-=O!ozeHeyf|K^b z+NNbd)VkU5g8_9p-T+r{P1Peal(kauu(*r{%@BTtRFKWaDyCZ48yR#yNRICjXbd)m z#8EH^IakfYz(>*}pql#Lxt}S!+1Y);9BsXuJR#NM7%u&-qq^3PSv=LfAWkC7RhK)FgLxKa4cGt@Q%X!yZXhj=YVS>4pd`Vct{Vr58<#UrH-^uvoBs}!) zTXn*OYP(Y+jrHx4Ym!!t>z_fdUZB!n;3szi5a-=$DcV!MfRa2nhF%IdE&BwT4e(S# zF16vIH=g+A13lx6KRK4rfW1L5c@mC5Rat>?G`9=atp>}XLF4osz~a2TSM_>^!zKuJ z>COWgV`sl2gmyZESFO~&)S9buS|88Jogz0`hLgg%fxX0%Q`$nhdxFa7)>_RhyJnUoQBn$yVVLc&yLpz-n zYSDn+AG}+HMH1_&D9=~vME7rM55{bV5t`?-FUEh6B$on*m2H!w1N=|NO==DSR^A_p z(b_-1=&OA2{ilVCq$2o4g0o=QHLWNZ}p~|6~i=eGb z!A#=EM{u%H3KeYO)-&Eh$PgPOEEbSzKrLnw`Nd@zY}NZQUeY;|Y>*zmZGy6Pt?Dqb z1|=m0MJi9bl3j2r>@WG@#;W=zqOT_xB#|87Ki`X{PeCTGo7P>SH>F$I5GTzQ3vkQME*uz7mcWD{PGt^xII;bNSeGf?+$MQ+R`Xsrv_(>{m`DVyWNx{ zNUBO@8PoMp+VzUCa44Uj^D(AFVhHN=Q!w?XG9N$~>Ohn-LeA*d!Kx~M5r zE$G*lvzNUZkezHdJh}0g#gLQU1vpGo^tx=(yfiaI%I%usW!Kb}%p8m;V}M#ersF`iJ)XRfh@S{0q}&`uqAj{4dp+13<_IU?pT{Vkcx}V*l!8 zVg5V!FDIMz3sd}eCd=0vtelL5EF6r4oL}SE*}lfJf03x!|E|LN^{#A8Ul?PSubzL{ z)2v_XvVF0qIa$Bx*#Ddb``4PRfWP!__P>m1#=m@Nj(=d#Ux07Uzwl^|f2h>|kgNZ) z!vTLsumZlOasGp(C%L(_J6>t z|F-{;=bsznpG#+E{raf>M+{>Yw*OlDsT03pIY@{Ya_JMFV@x`-k#m8ezR@x#&X5@Ivvs5b})T_zGC1{ zBAo8>t4eY(*+w5L$E{MAJXSxHJS&0nh$_11hp#Fd7SRJy>A*JRw6NQL$M9{<6V)6ii3=zizk2uyWq#_u){mxww}m5lx_^k%vQr0&XYSx4h#%y#YtK zK;M9h^~nS#Q~8`Ww%I>)5CpVGHf#x+o^?I$@hRXv0T9AGQYT7_niAUK^PJ}Zv=)&KT=5`O3Jc%=+_@@WOa<< zki1B-A{_B<>ICo0zPa)%=4j?!xof^h7H!mN|8q^cW!*qf$xlFroM!?3cv(&r?bGeE z!}%}0z$rNZ!k)uthtG3+R9#rYXWj$fAH}=C_uk)N6qni3+ZIAMSE_wCF%Zk=bgLMH zzjVj$C0%Gbzu@}a4kC7I6t|BgwQHYB5n-QJ(BOSaNORW<+W}gXx{UPIdMjPq>Q@ZG z=)Txdz_iD;2PHk-)!yv)oy$8z^i?grChumnlQJ=<*h|&5byYP?S(R1MDQE{Kp>xPS z4YJhKwCX8&X;qV>Q)3h2a`VNdq!eV8D9Vx{lvlU`m9p=lL{!F@&`=QXGVChzJzs|O zYs^FP;(|+|Da=F`;SmxvWHpqWjxoio^cFgaq}Zb%seh;|lo&#EzZ{bc8jVhY<`7(u zAxma>ir4{~jPRRXo*G^v! zu$NZ(%7h33ZUBm6b6E03^nU41ALyL+Vn{}j0URs>RuZmfn9Z_4cpID|j)iFR8q(Nv zRMcvfj&klMpZ(U&thaBe(rK!>o9Q7Rm9zJ0-;DxZ4J4!jpvlVr^rACnd zBz%}ogvT4F%_ITy4mHQBPPs4|>4h1(!y#v*Ic`U`3qhR=0W!(Q%5H@WD?}M4yAaZW zU!J#W_g9Q#3zcvAE2%i1C=Qg;G*jM3T?jOON+Fm-Li$Sg{T@@A+ba;rbzY z!)t8nN29M!ot*;+zG)d?aua-r>WbX`l4z!6dx#c7;s9r*E_~%v=RA8wj#dG)hQmKB zzbECB987vWMEpX$Bmg{Iw3zL+A9w)3A2g%(pKFJA`y)%7G@Ns7M%&pCTc~k&2-&;e zmiZRgIMf1-K#xdyxUkZ+cIR@1y=Fuh4dfiC*^>RHpzZx*pre1jJTL+eDbd}0TN%*K zE;Hcisx+wO?`BP+88F<2-6s1@*%r2_rM}T`38>+`rE*eGNC)I_$!1k5fupS?tD|g9 z&{Wb%F*GL6`o^~WR#Lbdn(q*?+x2Ozu00MfsXa#AH0`2jkUJR5t);w4^%(BTyWON< z5pZSmc`2@_Hn@2JtTCf7qhOm3?02;&aK3gqJ~TVJDs!I7Pi`!)2tVj&%yhirFbRmYW0W z6pV`_Y#YuU82*S5ED_xZe>5+2_mv>$e$($+hldw@Fpg>^^YPK#3ysB57IL)d^0bH< zudB^HIm!>0_D4S5wlJ9;kQ_S~x}e>gQvBLk!U)DW-@^sx(qqCdDiEtOip#d;wp^4Q zUBvgyM?A_O2S}u`IqRz@9#)$jfc|D=v38qXI)u$xW?cu!9f(a? zXVB*HXvYfpMPJ2TA=h3$(%^e6GZ z=U6?qH_Z+>YDR-zNSG^-ieUV9L@)5IEXa1Ma?uZ=D_UR34`{9{?&KgIM+)%s) zHGaT7-x&HnL;V7@7t90IA`;(-9`MY=`0fn&vxD>^Y<5B8f&HNTKq@RII2J_4d~8-4QTVi>hjmO`aXB>-qegd8r~b~hJ9hHW?qvp@7;DF%}+sz z6V3;xcz?->eWK#T@&Y0cdOVNnV!+n3kmh6E}lK@E#a+htje=ygi4ZA>%?+gF1 z31Vu;iR}x*{AF~-;SIA%LN_R)OWwPe*a5ok(IED_=1i7@;Mv34=nS+uA-L`V&7S5g zh}-_~=(^+uDf=0%`JOlxJUqUoIHQ_^JxTWl@fr6S`{}gQzO}n`CW>-+!_2JavaHE6RN_gzwZh--?u*0btuT0P9t?wr0yhj!SEvw+O06X z{x$W@fXD5&ryP32XiLS25(p_kL!#CQTVq&sB9KQik5KbXyuSh+Vt%2ZEAR@9aJ?*!& z;PP*U_)!wg5yK>M!kvZOMxp9X)*KJ|*68D2;SNNiM*hsvWsqu%v0F(+m?;SS%C=z^ zgBOgVci+6R8j6m2K@HsDo9?{Yd-#LZwlOfb7 zyfOS}dXsu%5N_hG{C<7PeT={Dd8haGeadeKlNX3x!Gr~C>_F{k^~VMKA?jjS4VpSY zNQv{%@Gm2zVT8Gpw%NH#{J}Y!{?(4m)wnAQI=1o@(oV?wcXB#2g;)=!y{@g)Mfl~iq=f$w@tG;+MWfLh6vH1X`^7L zc&X^M;8uy2uZUt2s97M5`2E+lgdnjm7!0_jNU3HGA?`FrIaDH8GI(V$SV*{k#enuz zw959P#8JoEwasCc`)Y)G)o5553YC3FrWD5Q;ZaMt`lff(FGC9!66;+b@;x87ZudM1 zDV)SjahP`nP1+|VEzr(U+e8JqyJ9|}1*DI2l-QBm+7UNxijFekJTkgBkDKkhsqm~q zV6S4vk8_57gF`Yw-kuBl06^Cd0r786z*V9ZhmhfgK*^v`9TJM1TH}*xGf;yWtSziy z7)(-WrE>E%vk7|eNy~*L0}8y)CGi+^ts+vRR%|bgrr&W=%!Pm-HRrJhV|JCGb4j|w z8Qge=Lpng0gcU}Fm4yQaUr(UDf%o0P?eE&4g4F`Aa502WOz)V?Rf3>DC=V-~Cn^xw z#E$!?_kJA7Q(h=#MEC1tVl*r=gP03$%gk2j35{SUn(Wwh+`xWzI^1x080xW<@Wlr2 zqG?+J-kMrGi=I_)i=UlLiB$B`<+Zho87AoHYnL!m(J-7|1XUVzix?)Tm!w_P+}?NtQ8%sEet1`)4QF<>}$g9e#f$ZoL#$uyiV})H4GYN zxXKd9eX!vY*=Q1tuXRw>tlDCWO%9Ehm(5O=7M5A7b&^_F^OyJLkaSXe)GJ1Qm?mG5 zB}hG&@UqU{WS*1#-r#O_Py9^PCWV}6OEs>eK4%i6Us$i4j_qOqt6g_cQ!ueOu^gS2 zgYc4K=q0b8O35I5m>qu{etgJ!)8&z($p8Znibty4zK>hdxu3PwdGojkonkg&plL~HDoAZgm_SoOBl*5^hTTC+tnWg+-U;g(?CoE;HJpBA@o(Ro>Y+6 z^}+KDMJCm@^>lwF(ev@O)3UK})x7vXZgR1o-Za}hKacr%39);7X+8Sbk@9;lNq9#{ zkGg&0HF;TktUJl=s_^u2h~c_-I^oQcQ86-ll#|8x;e26$A$pX9r@ra=JZn1gdsE*! zvXaDPo`rjYh1$tbgWsx8#n6OlT&g1M%{Mk{Y|N*pQ4p_~NQf==iSL_6PSm2GNYzq? z>OeC{WOjp%6PQSNj>4=wBp!+@Xj+*+R#@S)siCG-GQ*Zsl8S=E3Y{yyQ507`RVr3g zOpj2}FqEL0wWz0-WS|6}KsRKuq@}gH=*FDO!ADm$IpT}fMNZCd zd}P~(s?r<3srW9cGOB+7XfnBjrS1KwJvL4i^tswb*vec#@p+o8u~D(ILU`D?Z+p#M zMJuPVOn(|Mu<{tDbi3U0dze9S>#z!1a$yzUx2B{uW6 zlw?UVxDvEBR%N?+_U2X=NfD7rlhBDqW+4TQ5My>>V(?i$aS%e_$2`U0CIazPlsS#Z>t!>Md)|YOAHiUOiE=*_`(r^cAyaUi&u) zUs3+5mat>Fj1@j)y+zNIL!b11GdGb__3STAkEV)(q%qy(nbppRoIuVOg)UD3u`Kq- zruxrj8fYbO4wyytVcM*)7{MmA3B*RKhG$_J4ys&P%h^8lc)Sygk`xbii-=!uTLvcKD;QqL%Z>;1L|YGe)SS66HN1Z^e_O zqP+uf>kADeIeg-O_L-qBb+yDVD5!JeXr6NJ^r*Jpj{CLvO`gX-y^#1mw^UYO-Ysn% zPwa3y^{+35?r^54=5c$L@lq}D^TaxhHPy*UcDaugGKL;`o@g>uciL_oGGzNMC+C@K z$dTWqOHm49wG&TB%a350bEI|mAxyZyr3HcCt5W@^dBl-_VA9R?g28ZO)#$(ZM5{7? zpcbh__r%RYl~YA;;W%UpB$_8#z$*^cjj$ke@1kd*r;di_qxF)_L(hcsCt9Fk4*DgZ zfSIF^FxMPbN1|uQf`$FT&yWp7T3ln!nIMp8*C?)EvzGsgFuFyS4zys-GwCh?4%`I^v{HR`2H&9QX)_a6a2GG`x^ zG+QRj7Mb5A#-Ah_Ki}v1j>0D|l4Zr;>C2jbb6>y_OIbd?_~`c19Y4fM@x^VBx~v4Y z#-Ui=yBF&|meXLyd*%R_`OTfECx6ik@o^qJi%TWS_ytU?WZ+m8pH17o0FmxufoR=R zvruu9y*l{1MwJyYa=+JmjbZTaV_$6emhT)>mHu3$p@i4`|u!yOp2Y4a%d z_j2Z`HC^DmxFZ>64~PfPR*chX?6mgH;tl_vMQVX_BiiLlwG9hl!9lYi4-VY9#)zWrEAvIvej)?;&jBm*d>BCp`vXy zGyB4Wq-a8F*WP8d5NF-mLHXirenf^G-*z6j6ayRf@s;^a;K3ID*s(ybflTws{?i}e zAB;FQ_^m}=M2p&G5if7+G`$|OiZrvBqb+hugT-H8reoc8nR&W&rrc}&BEy~oPp$hg z)~mF{(Jf>j7e`dDHnJ!*?lv`o`xx3N5d7UXm0b;P=0xg8CKkwnZ8NfS>}<}VTaikb zo8|gm3#V$KS(H$SMZ}J~hOZ|@U{6H;zWp3yMfCW|b&c=>X-ll!_^$JJd7|AtM}*GV zqc}O#RlxXm6Iz((8J3L(|vO zw@24}!+u$x5O;gt1XH*_9k^SOv->&;w4*npGs|1^Y018G&z~E$z&+cN6A=vu-O7l& zF!r1)Sy_t6;9Gko03w@$5cPQ}T4j@S23H+&ddQ=lv5oLW@pCo?_un|o6zu3cmw{Nt zQ}(RcJx_-dfHq0WO9C}8L!h!{5>|aD&saG+AzWzN8nKEx`D!@|br%lIdeNN>K8uR8 zVHv2|LsEwvV!^a#@{kVmk~}uPonDo4{J=sckyb;b>XiPyvJN!=NAxl~`eMj6lIX~! zL!f9Syfs_>q2c!eH+$Bl0btjI*kC#eVDY9LTB!T$><1Q~uf}KL*K;NXA9J+n0=0N9 zveoMIgaZQ+HBl!i>Rrtg4blw&9$pSpJ+`RY=t1weX`CdN)r?`}rZ2Oao@2Nc<|*qz z6YmKl0xgS3GlZ#v2W{&Vn+aX-5{nDTdYM!l0}rYO-FS_dx+yWQrsMBGoVJJHcz6j3 z8HO(pbV*I4zQaWXM%d=@N}}mG_pLbf!Opt4AkipsUwU#+gHg4hjj;+6B?XdIGQt)0d03nVxF=*~MlK;c2XK#7wh%se}Cr_PQ4w zM%2sW`lB)kw(4nB#)zA!&fyBei#qm4j!8$%0mP&aWYTRzlR>5fy4q}5;)T`2-Y)cz z+RE^aRrGpRcK|2ul-4OH$EQ0DYrfR>P4ZT!4jVus`kil&gl5&%Rk(!;av-3o01kE z5)y`-rdx@tcFRiCYU^_IP!|cgHv*-5oh(=}?%P*Bu6?XyGgoe1wRJP9Rx#YdwL~)x z5$@g6iSYE+(~@glkJtI^2b&dy+mJ}WZfK8XoSi$0Hh2BJo6INvAz2LOE3^MZu3Y6_l^J;U>_ zpCAe`hQ|roVrke%U#{lDYpa zefmH2K4t!IuAMsZGM0mkupy6pA*u7fwn?3_K+a)9XkUcp|5~3e%#4USpm+F~uuB(! z;Osy0UDNuU)bT!py~G6JG5*{iWvVqWielV7lUPLKu$!MvS)(VXrn{=IgG$RS&0j^o z>kPNr#gj##k9IG+qv1A|17!0t1lw{(K9k(@0cR96z=|DZZ7#Z~s)*Mtrgz^>w>w?6E3c=Kpm za5hBiF$OOgkNXp4a|u;wLYa>rYTu6T-wFReb3A1QaB}=-hLDYgjq$%`2+z6U+>;hN zE}wmGmRb01C|6K#LV)JkSZ112EDQ83VA}l!EWFkvODs?_>svcJ&)M?`@S1?|y99jFl>}D-5}4hmmz$ay~2eAG>Z`bWd+Q+~H1f zUcTl&cJ&@{bLt5O0Qm!R1Gidl9#TiJtZP9CfQZ{%o?VA7cX@F4ryeCN&315;w`lhc zfaqsIY+tNlUHlnczWm@7(4~TYvQT^Mo0`(|yC(Dh^$1i>0R7b6!~UY(R#e^AkOCR6 z&r{1E*_cY$LpprUxHZ*syOZ;Gx2x^kF|pu-W}ydkS1l-h643 z-xCR!m+gD?D*I3n~iF>gf2M?HnW^ipJ3 zg@pF~MDk=N@wEf2-x9E6?{-~KepmY4#~ouUrM@|3P3-uge=;G9fqCsI0? z)egtBGid%JxLH;o%>4YoQ}KRR#NTP?a9iuRans;9sA7bP>% zIW8vjb;qNjFQ_irc!?5^A<*5_QxyG__60s}D~FiLf23B2&C-W_A|%#Glqg;UT<Sqz^$>_v^RDQs&uV)SXWFYW*JQ_MS&b8@4n4(iRBF7V5a^7 znX4~q?RM>$SK886vuat>O64XaU6yp1qhI{Bd=5{GSz82qon6S$)*RcaGagKmbKS~;SvLcjbd(I$~L=% zAHNGyYnp>b6&c}7KNH(sgswIzDdwmvb4&MNwB!yS>*C;~;LYn;{W)l*>f3JnUB2-6 z>WWVBZD#3kLWBEUOH&hF8#UA=*5!O);1qZ6$IgSnrLklE08s|+015uIeS57=ww6Jeb6`ibAJXsYYFpYSEm;bY8?x`+E{dV>!n;9QSxW6-cuNZLIwEuq zwK-F`QqvPw#ekrbjEsYGc=Xdu)6KC0{b{x^Gb^hmW%l)2%xZbn$Id zkUWF)_2?*lKUa|;u~xZ=lL~BVCY8v6EXoT!l1a2>URP5S$T*gH$aZ=Rm17mD5{QvS z%8NaPS)#YN$UtEmH3E<@H#sHzXIU(;1EwVCMF1tJbViZJ>mNBuf|jDPPB7y0#pXd& z7vpHQa8v2W69(H?3i?SE%peP98WdyL8Z@UJ)>B-9loqH|VF_wd`qFZZ5iVdROMn|v zEZo_#CDdU;#}TU6Fl+|QrIl5lQb_F~Pvz!Rbh5z=g|ddypS4_B64_*vF`eSV=d+!{{0VA&{=2L`^B^ ziKYQsWv?wnU4S^e$l+;Uja##-pGDd_>vmjkeus*O9-Ej{-mI@IP8_O5X;Ia>Tc$qg`;+`0c04BqgFAxBa!2v>!9^hn8{3G$WB*o)%Q(t?t$4; zJx6G46goXl+hnX#(IiC+OUmBm!g1|1q>l9+hwBzOt+{)I!banGk?T%JN|lfVZCeox zl?Ema<;XnbJk}C)_tD4;3k`-dd@{Wx65%O-FbX|P9 zznS!y$K!dEl2o})kU+rJ4J5IK05wG9A+5{eDI(Efp^Tj())pNq1}TORGbENr;Nzm~ zW_@=@DW=gMH>D?UTSYcRu7%Rp;UGqWVM+MN&NJ6%v%#iUzLiR0U6n`SeV6HJu)(SZ zeOgC_#HQa_S}j;!O^u|gR)fBz{5vGGGNgaC(z5_NE4rq#TA0$|70gYeLSA*1FgcYD zIhVnw<<6OkKf~M1+WRE*W^}*GXpbB+_HyL+o~F^W`v-)<+#dwEm49-zoPk8c5Es^b9>s+U0mt>UdsW+|0&p%>n!6cncQ4&AQpTUDtqn z--E@P?;2k1d(bOH*hTwoUk_*-E++FByM@fbP&i%xD8cfd@fcoV&rIJ1%PKhOTSh?5iTb0C)1ilDKSi%iF?yg4Y^DBnfHN#5Ox^Dqw^9ou#IFgedo z!?hAVj@yb62?{kVtLj4nMNdT@ z*IT>qZi7Vk$;dX6Y%X^8ZZwJtN*2X~?6)B(j6fo=I9VR{gzTB)W;N&+Yj_4io-jz( zHjzO{R*79s{J!Y|cY401TpKH~8_7r>2fg=IpSG5-6S z(hAF&GZY88j`}L=p@9;yib^Y0ZL)h`!Rk{kw7~ev2PmJlj{_uePxG#*_iMir@V)jPx z1-YD>xd;flatYTPbn{mr2(J4%zz7kx!!Z}SEnDmh)syfOBT#D_{)rej5Mx9z#5KDq zJ{jCDAeZ}lp)vn{5Q?P%xA2aVJz({o<#%}VqWD_i!neBt9r2vZdyKWiN4J2l@YcQ7LDzGxzO})ynMN9&5?gN=TnD?q7@A1W zDN8Dv$^p{n*v{oXIIeYfk@mHdF(s{Yf9)bDumI$5=#p6oN|Cvd=_Kf95!n0a=IKV; zkf-y~_%S8XDKHG!2>K>s4cP2L<%r)9O+WD`_TJkOE`H(7#VK^**al@GzHoK<4}S>W z8DN-FK(`f!5|Ff}ZL|o)Fi<}c6+ny|QOg3YYHxl1e$;4l4B4<|XZRIMxZLp_i7%f7 zc5ay?mZN@;3B{T~*ama>=4ayGWrqBKz3VXnNY}C`#8Vs8Y#RZE*}O*zKw#mYIF{h+p|??y-j= z_h}nzXUwS<<4W;LRMA_S@>Ld;u!yDdC}&&@YgdI^ zDkzfXX|=w+2~QP5&l=MWY+;qXeS6C!Qw3JGwhV+;a5KxADxDrtswg-&jP&pYCoijH zE)$gC*Znmt6`}*Oyd-G%#uw1svOiJRx85R>PiN?s9ubs|*UlZG!}F?KXyn$ad~|0}r?;3Hj2!=m9-rsT1E6>E-RRRo#Nlub+HzM}S`d5a|rbdYEr z?d&n;kx@-1_yW+RF*6Z{0tw5+H@GzuY;s`|c_kKWKZWlrmrZ)&r_!}+%oayVLK0AX zt12pGj?~mw*S1aEW6IQD1!3Bnz9t?Wouqa>zbCWO=$vR(C!6*=q{c!rNwoHKJvvL+ysQM}XVW|!EZt;5}5C;7>a;v?k3s>wP=kx_h zTo}zoT3k!?Z!MBlDomKK-si?QM`sg4+lvcDg(ef>c_tpqb7xE{t7I%;ns_>K3y;GU z-@ttNzYkR4jZJ>bLGCC_WLxiW52&cD#>`!B>cBcm`3cxY+g0^rXh7_n}_U>19xO_pjm!mIEJB z;D~it*ybI2E;nb~KhD<-!vF^KByE$3R_6~OU70|kctxiBsuk5g)#Ny{<4l6JLv#OldI__QXuo;>B*;oK-)<3MaN7+TsNs17A zMK(g{72?@nZF?@bg>1ia?wxV{P+FIX%p;MuqPI?#$=0nyaW)0`YEA*Z1&03-qw@s4 z2w=*^Xv*uukVb0~kk;_WS#_xtRJe5QsNm&9Gc^Twn7OSawaXQenXs*>UZ9W8mR*0E zU}C;o$TCA_$0hZMcpNo044Qe^K9XHbVn_K)BSz1YE}cJxO(r^-*nWt{AODdT1LZy<+u`Gcn21<)IjCh)?KzKut~bP#8cxLMW5 zqYc3eO>2A=?jF>u5uk$Q%&N@`YNd}~j;0O+(JmFxG}^Wk4}y~)e>?kmLU>iKHhpeZ zFa8MVJ{&ss!aS|9pznpr(6(R*DEHQhfzuc!~VpMi!Ef$Xgv1O|(Tn0DK* zq^}b82e#{UA9nMt4ET~DaGw0OwXgVynm#B?Ie~sX{XiP6W!VdD&^?wjXb3AcL{@oD zCJ)3BadweLzrGa2C(ofB^wfC1-q2DiU`5gcH3J6VZjE(?Jft_ieE?fqR!=@)DiiAM z1EEv5^2u6Z{A^Sx)6 z+;8yc{9f{B`F@HaQr+~{UW~Ui^m(!&uwQ6?t#~Xq}&{g{G$Ud0%8V$h`M3iv99xe4z@-pes# z3XG}H6S+uy?Itdhy?>gwuW@fDcXjho;X>_NQ#3v~+=-Ud^Ut9~|B}}p1_E?(ubf<# zG};qWhY(xnQ!H+GEp*$H?w_7UbCO}BYo8Wd%|Qot&*ci#1L6&S<11sIou6IY8o-)W zBiy?ph^Il;#lP|5nU90JCSR3Ro1FFN+ohpiiy0;8Q%o*{gF8P*S669((IXV5ruT-C zvm*=F^vvXLUc@iEIox$s$Ul=T0A7kwkKrxCt1PL46Ov z%oC_531`EAG;Fw1p%XvrlJ@aoF}9c39VY{*icccxycFO72RmLFPK_*{*L{p#RniygJx1nC&|v zR{{f=f;G284H&DmX8OHuF&NUH5X0;W(dP}0C6XE#5DpBJbOJGc$IGG3uxuIFTPE(V z5hP=8)JUcbzU)hb2On4qWriFmLKoMlADEkAY$YAs4rkx9Ex$3p|7xo4`hzT0UZX=< zk!2w_DT;A1;4^xtBZHL)kXR7*0s1`jr ztAaNX>pa+*A?B>ITDuTQ8Rfc2D{{E%k1v@*}S0s8~@xvnqaVi2B;zDYvv_f^`^L!dK3(n3h{ zx3xN^)Q+i)BP%w9xmAXi(?8L?0=yE>$VM-7a`Y*AH;ZA(yc4&GUN0XDo430Ei@Uds z%_NAD1l!Ea%*^aIwV9cj+5T*|nVFfHnVH#bW@ct)=Jkw5bJ~&ibpKX5DOFi|FI6g4 zR#i%oFCrQ%K4=+;isamq{NE8_8gvbpiW}0Bg?@LeqP!13o1aOasxUhUxW7R`Q7aU` zhpesl5oe77dB;P>t_FBFM1(e{)M?{-0p2j>7m4 zK?Zg69nIyp4y>@{=p?cm1ma^%)fHaz<$bt) zTLB|R=fF+1O=KI4Yacs7oIHIMFl-3Phq>2f8^N8`W?R-<@@kK}4=O&j7(y0wEtWBh zOs^6~M`vMGbX2s&X;d_!iW=9pwl@JU7r%viSxb#jp`_W(;OJEk#ju8BZNa5y(t_jG zvhxJ@{Xvzm;q^>q)R1opB`b@7l(t1=;Hb>yOfwlJ?1+?{n<)x4t{xKp@W%hs)ciO9 z?EjT6!}Ob(mF>ULaArm}M$Z3fKbHZOswI|mU2!Jau6ecCeJv$rDY2KKG zn^RmAxggaa_zfD-43+4}9cYJ(PJWgQAVL=wO4Uq$^dFe0bp*>e}3!Jo* z$n&+mpTxcRMMU%QpOACrG)oh#IS{_ImGnrRxc?ynUT^qLKg~va_70z!L?*f%f6}c# z-{bh+?eFn($dh(|`Uf*aQ&o}M2{}gRfq>igc8>$BCh#g2SnYQF70}^=$Jchx9k^AW zHUre}r=v#To-5P}wzUm&JdB`iZ@j#joDdR*Si$f1cBcbDzv@uw^4mb}l60W;d8Ush zW`b9ED35RY<{kmB`ylqCdfF5H0#4|)BCsV$_v3`abjiyz1aQJ3ynr-C!I1sI^vBz! z*9(KlVV;Zl<_$aX{W(?Gyk99G*6vDT>Ik?o-)0**Hp=+JCx4l}ww_}Wyl&M0y$QV(1ASukMB2;nB^c}X_j|&p}!y~%G1QcWQm6`Lc%3kY&g7FTzI;!hu^+|&p z)4xw#uVg3m>QtZ5KI(ng$vpzU1YWbe^J$j!9I~oo_mF^{;kRr<;bjeSTbr`;%K8`j znpn406$~HAb)auFTeVt9Su54~8ym~;eH2woG`Y8K2tC1|J?o+OUVvSqc*J(}Z0J?# z0FIdqH`rmhFCYgd$EtB373SZus6;wl&@rP1E8qS*f(x=gA*4UyNDdSUjKg0tz178s zFK^ciO;`B;jQ^zmXS>tGz$3c;o^A#bKm`5&V>|f%ONq225Gw3=pvy@vft$_5c_i)! z8!KTC)E0X|m=Z5^K-tE16%Mf%7s$u~aXchJlQ}OdI%l@EfC;;TstBZFtsd~y@tZZdVb>vvHAWIxTcKKhBO4^X9!1zh(Ti zcD=IRm?s}AasTA$p_SC5rm|gDZl>DA zQn4l>7kfMDvn+)!W~7zNpU0SwhKU;79jvTK*&j8;l$EtubmNjmC%E}qO{h)vHl?pckc{1;j1keR*7C_#b*DXQ z78yiASn)YTZCG<$LiH@9EJAZEg(ks;OR)#o@u@_&SjZVfvslP!M7vnX=|p{4$f-nM zSbG^nTUdK(L|0gQ=|odld#OZEScVxyOIXCyh!(->rlD@ZsivWSgF{&cYJ*Rf5jU}k zD~YV(^0ERQ<9kXR0S-Qc ze4H*(wF$7$DsML%5EA_AVtmw%knrt7E9}pijNmLAL4trQCBd+dzgM?yC4oo=VYT5O z>Xh-vqf&zE=4g?E1g}_bGML1AW_l#UqwAx(c`~8#1dxC4gzlyVDPDgBnl}zuU&Ct? zJu^CGv(e%YSpE^;N!__AmZZch9bml?PV_t@B`{9v`mSn_W@ArGC1O#cmF1SqLzAdyTk)}~P#|`?CSN8nDO+fJq^08?Y zwem>|tsmzRz~0r;cH>cmT}9RM{C+brMst89{l2awpvS6e&LDSfYrE@YWp(Y#jhFF` z^~(1SR~LuL#7Cu2#$S#{A2YtbxO#q8ZOl?uXl~cnzyEZ*?EYePZ707fxrv@&&6c+B zT|uhx$yY(EwHooKrswE+{$#pJRR76$^T=ua!Z~Bzs-b_g_!Jio8p@EEKEAXKPuXAA zSUEmxff$ntEwvIhlKPiZWnnzh+11&_RhG{aJ%Js~Hwh+)pPpM+kK&gg!F7fQ$0bJc zjl1UrnS87Ks)_vNk$?2bsA+xLRrr%M>qNCQ+5Tw~dWAK+l4W+J7)o7{sGr6}Jne&y z_en2Y3tp#9gml>`>3U(+e20za`rtZ4Kq|HW^R2Z3)W-aLYYAE5mQq{2`Ofo0Ym?bW zw&nV!aXeW=5L9uPIy1T+G_NK5xT=K+SDYl27jhB!Eb(Xxpyvg^ah1LWtz*lqKyBN0 zwFBV54vJ}GH;%sL^ZOS*3aD4%y5Ku4)l z$-C#RlJ+&_z<_24tVxjHH~957SSQc|-z^L7+Ez?=|)f_PxOEQtBD zYczv_uvaWL_ZvKWT*{Vgp0{wjX00FN=W#&u+W8pf&*XNYy2n!e7QUkS3L zEWf>5r4W?D!7jj8(jVRzEm}piX~$*pHGliPKkIote!UZ{_*B}uuV1#_7vyg+EMgaB zN5e+IC>E@JECmUwRB^%5PIBbd>tv;G`yZ2I)5S~rKC4>v2U(my$a7#)WK5)e%oPPm zdz|e_jq@gY3mz5dR&UdhH>9*NgeQ3fubx_Nx99d-GpAX{+CMh-tnxSD#lvk|U2Cz3qu}CXbSX`*#=Cq6PDe zF4v+vxm>|5A)aI4(U1YR^6h4!S@>5vRxCJCrtADxc{5xyDU%`p4xdB{1|^F)&zi7A zX3g)du{1hc+ubzuwoCx0rB$am;I@;3RULgwm=dv$leQ**&+-jJ6|)Wk#4+;VQOL$t zmNJ96km>o5+mRu`psE9BZ z+Nvwc${J)?@=b|t-RjgF7~Y!RFsQ+MwlAa2q|V0xMb(e{uc{5Q{%P{4PD^=tj~vlY zk1-ck(~W`53&2s<%ynvK1fd!eajEkx3mwi*+w!E^jX1FW`gJR!S`8IL4C@`nNhv6%htKt}=Oa3C%1Eqt*1J)Q${8hPKTGo;6sXW(;j4~=y)f4~ZOO*>140N4z zSI@_@XqH+`BnJ;^xVXCQ?p?p{wzAYB7sx}@WL59HndX}u7_peJ^O^cKwqN%blO%23 z7L_@AowuAH#(jF)eXKv$Xhb_mzLs#7^|B#T3fzTUWvap4O$@K#&;xX#V)-X64S^&# z7bsu*G#Xz6%>;uR3g9`YlYD_{Gp@E;{>~AlN~>0gAp3i$Ry(Fm=Dj5uJZ;;4O_gk2 zB@$w%(N^2~e12N-;LB0MzN&IGYW!NG6_t!tb6$uq0!{GHSQ;Flrh~D2PKWmigK#Hz{0)I(6EwQ%|HBkSJvXmnyQR=b%3AL zT@}g{spvGd%V%!2i+@z7r%OCMSlYn8W_2UbETnTcI8sMpyn$5!=jN`Vn3l>)4j<$R zZ4{6)TX`yN%ein6O%5kNMAZ~qb195igx}JnDdl9vwnnq78xo7?93zC$}&&LwgrzkouZ^u%Sv+0k^gCXNql41^F)#Ew& zgo+XGJ8JGq;T~%`*f@j<+kqX#rq%!fIpvQG7IO9 z=&M6~2MM(3VZEO&f{C5*qH?hZ;sjI+`FOvv(cjGbMj~(djZ6zH5a@mkRc-8ziU6*O z!I<{Wh2l_DTc`JRBJvx(aptHw)Zkv-`nORL;M8U6wp-`tCtKDpT^e_MY5)oH`B$~B z_%!Sk)cR9g4>D}aEH5^k@Mw5zOu7~bkSdL<@yF?&i}=bmM_U(iy`E|6-(~XDk&y>d zj088d$4ECT$P46il9$S(4w8~8a|?8J$?WEqbgR2b8kl5F%Id6{mwl5|EWF-^_e)hu z&l|1zN6T0u6|e~*E`=`laf9Q@GPv1iHC&)j%%-y=nFTZ}r&0{>CkY+cYz+(iktt z8eXx;iOn`PU&;l2a+#fWmS_Kdgrn{SjkZ0{!^6VRTCy#6#~Iqbzoh7MHestqJ!jh2 z*?*RyAs9FELAo_ybSHk?Cotp%3;&myJj@0BrlL~0VY~umY6}!?L6(Yh>H5M046|^_ z3`>zE)oY`M?#o%7yxEz@PoBHWTv8r-#(>`6Ka=OAK)`@a|ErLV;QaRXSy~hHuPDL^N zxAPF?B1;c+_HS|OCS{JQURrFp8PrxY1Nl0-xmmGK!Awb;WL|C>-eHkrGoq0A4a&02 zce&Q{uxFc&9sjE94*kY-`Ex>v?D^drnu?*PZO%H+*Et+#xR{lnm5T@ud85Fp&xFD2 z!^q>QP$|Nhy?kLmzH0ZTYg}~6#`6V4>Aay$gxjCy%LMGm86;;BGbNLnMuFNv|hW$9w&7=iLZEg5! ziJE%x&my>d#ITTc8@gOasHnlJofhC>i^$d}GY&K$8#z0BqqV|*-rV-=VR^RD&eC&$ zFec#OPfjO`OYbjV_$^&j+#V0chF9FBe2$5tvaBi*XX&z$w{^=Y6JVu98<}>-;A@tu z&ud*qV1;>ixoWT&tJl-z-86tn8)K5TC1j!jELWiT$=EeD#$p9{5Y1a6$|iU%t2D|t zb`D+$w|bpz3vlX&bG|cJEp`3W;Bzyt`YU%&Y5ab?9{AoDlPj|((S{B%z*l!X)5!KG zS($YH!`9s2rQ}>{U<7>xQm4r~OtSEq-0&7SJ;rXCxAw7d6M=ED8~O?6^axhWdFdSR zo>t=u=bSN4HhNLEx)|l%;rtZsn2wj#RiyC6T|=t?e!97HVYccDmu#FUQLh=2Q~PbuW;#x_B>^1a_`v>&{C^fd+STk zTCQAmzk4cbTAN$nW>Tn7-(wuC_e)YFaLWd!D5)$tA712HATn z&jQ@oRPNK#=!8H72TCS%fv&V9mOHUL$0W0Oo2HHx${L7KyB>3nj+b&S&T5q37(S6E zDhYB%%zg?Lju*#Hdf%czn%=w;|1CfZ_>{`;B`+RzPjn+xq4=$`X*y6twVhBYC_wkl z)l{sOHlA_g+eXqu-i%@E;bm;5#Wy$F%BruPAVpX+^>U*eCKC zrk7~O6tP^}zzeJF*#SYY(duws570S$I>jT$95juv-qL4JXIOPV4x_`+X?(0HAs>pa z1a)CaBbCrzYqDI7hG5`!f2fBbSaE4mQCxp>aziiy9fD< zt?m;)2g&h=g;G^~)m&K_6V`%7UsPdx#LZ7ebqcW%P_GS^%w8S*_+fPbT<$YnTVKj= z>t?sCVlXt(pBXkauF$YxB}UCnkBW?z@zvYQeQpN)JgwA*gH?k32WSy7L=p(m#8ytD z?-rJ(v`ORT=(G}LjP14XcHkGxn+blLO`ieV8mD2dTJa<#Vd^|g1|g@Z8l=nhPei(E z2n%SM5ibVx%^Im$XCGrPP1x8TzGK0D$P?3etP|RDub^TmfDC-h;;5(3aMpq((NDiq7)}VF{|H zE_CzgivCL;`g+tB?`il;?6J`&x=US^w1D3Ce}lvx1N0ibRl@HV3eF)qtT&I5cvt&b z++?_BJ%QLl)*MWJgJlHvK4nN6`XYnJrZ4NBYA}arfjwtc0dg6{NT<6A#o`MOjfCO# z*2zI>&uMdX#m~Iu4U{+x7c>py39N(Fsa+*SL0%j5X-SUWPX_Es;uGGfmyOu4z${Xo z7}SjZ98_(74d9=nP>2i>Prt``LXzKvn>dtB9=Q+C^3X(vrS*G|!pz@_NCvNI%Kkfu zO80VahffVB5JcoH9KsT&6RW|Wqr5&7}jV`Uo zXT@8d&Vq}RI8NZH#V*UkJo%})+XqDdtgC8D^c>Pj+sqAPCcG(8A8-9K#CLtg{d_R=DnO%EIS{`)hd#l*Cgvg1{ zU#j~@F-kz-)Zh35+gUe^iWKW_Z?3GE{@G)7s)iH^g$>Ejkc!bm6lF?`i*Kovj=d#Z z#1lJyAM@RzVE`EqCdmn_aL4fa(FTrz^p@6R3@ptQnhf|TEWfFjwXuj0l3jD_*E_+n zw`e#WGzms51HoEGFV;4532LOM%Z_49D+U|93gdiP+dl{S%B+$zG$1;|4&C}QdRNfF zlcwsd%k!&A>|ajsuq{*iteBJ-7w{QXbIk0k>CeB3ncC{SnKN)5U7g5*08C&2g}_xh z2`&jMy26km5emR9sG#Y|j(}pVSX@I6TowCSiwXPYTv!unXJsp|}*VZ0#V{1l>t8Z9m zTa(f3&xXATUn6a0U`ZVFGc?RIrCz z>GC3pkyGNcdDRi%wCRx+Ch14`j#YDis*jAb=ApP(0G#+ot_s94JQGHvB?T5ql4d(; zU=Wk$ER?8RdKd^YD?qM9DEIdgXC!9Eb9h^X>y%Se%g9;dtou~@(W`p*jE`#~_qg5f z>ro62`Z1GC;_Xw^haq{r>Gb{rkh6d11vp&20qi#ZyR&`jF0;p3nocJpcTqcB0Y$D6 z!%4}hgxG|8F5tktMPv^?)Z?cs@o{wPAw$C<&9+z?|K80lui)NbP72u7;oQ7-)PGYC zgN`)%HwIy^Ppw^znML5P~8>Q-^UT&rms_9dih5 zF;i&2bUn~7;x^G4d3SS~e=G@{&?O%hFwmBAlbddt zPI{2Tw1fm()L8L}`S4ea`|yuawpO%SQl)(uj=W=IuLZSh9R!OD`;F5jZl*vW$1`1X;1g!su{MleXQi zGSL4J2~c^Xo??at$Pw8zLYnaGIh@`$JLO~Nrq!=%) zvm7rk7*+*b1yMG2Euj__AJLnUnbXj12@=EIF2VJMPZx=VHbCK<;S5jsyPof(yG^H@ zPC(Q-DB?BE^1R-S7<@%U_k)1ZExPGMHD|asZch7H8ZfWt1oD`TpB4Ok#7}SGCTzMR zW1b# z{~8es{cCr`P^<4RIBpu%rN446IVP$uFKnzf?G>b0lkj!Gq%iqh1gS|JU9Pt_b*9kh zH&J8A6Lj`RIV*`KJ~)h(w^a?Q=+bWE9LJ7hUhDyV;-t!T;gZE|&~wupFRtXr&)^?z ziPI=IO}DHWebDBdI=R)E3AmBFyNnGJbAzL>k6Y^(QAx#F<`5egn&IxrsP|_8F9kPB>ZLU=Q{fH zxHHUz+|!#l_KI^uUgeLvW>O*bCC#&8=FFbRVPT%gk0^p(8H7(P7K0tN>MB@}6?I7T zG&i^7A}S2VrF^O;B%;+ zgkz0)n_f@SwhwO!L})iO%nRwJ(})em%^XF4n67x60SISgFqN|+S#)u^J;@W~c!bLk zCu+uD6NS~|UJf<$H4T~V4zZ}NnXJr!0}K+xMO!4 z4(OtA;0-}qqNk#wCpyAn(gYzYzZ$`5XU4OIIf@(}s<(8#r|6r5J4q8mL&S6JQcHDJ zeKgLf-_fs1{gXNhr}#H4VZhzK5RGLiI^?5E*4{jwL;8=NSF;c;^+h+P=?kABh3qDQ zZx^kZEXRUz{dH5I6*NBkXnF*k`=+TBJ+mCQ)btYco2aye&eWdm*3A+z8n5qm!SYCl zHK9L0v^+DWZCzdjiJpJg_?pOTxmPHz10TTHCv)|3;wf__TCRvpYyG|LtWne|;069z z28HYY5(aU@>-6I}X2(Jrc@Gm_Ta5OeY6@Mx>)P1kg2F z{Z{GEsIW7=3TWHijHpYGg6gn#DeFG}M8=jTU_ay% zb8-2)xLzL75mJLY?&6O1Y&=UDs0MG_V>q}lmgGYwUi;=NL5@Dw989=uC-RTJW*IHI zl_$wB+eEdSg91B?24$9v#C$lJ@J9dH^+v!x9?QI8;;M6jE*JW3 zn916`{6e;$B5gA-Ilo`Lo%SPwlP0G{-|Q(@FL_?HUT8Zi;il;=|Alwd17r4L*0Ff5-ok75{)B)%L%*26(m5_yMXHwW*Oa(E`E#um0oGN6jHk&lJ2ix0K z6l`+?mpGa)-s&p#@T#=_4ld`3WH`@H@Evb(CqNY~=xuLz^yqAJfA3Dcx=uM{A7>u# zXJYjRKp^1rxZdpa{a-!_X#pS5#n?@PBso?A^2uZtC0@v67GQ2)q*E%)%h;%w7bGD@ z1K5u%N_0f3qK;#GBg6fIpnb_*62{(S7WJ-Qq(e~_ooY7;rzbA?&(ZmiWHuVq7AdoM zgY}{;55&6gNfT)PZJ?(HEj-+6kl6-q-CF39=BCHcecBC|%-D(e-V56JQMv}r6wOkb*K4U^KTAe$K;&2Y{LjxK2dAYs*4>&PGk%o=k8n(Z_SSY?7+C6VhoV5zU=O*s~wzn4%=%P*bkBCUUqZV?KavqMyYOqhmVgf zLhZ&vi<4cNo6@%Pee-~IH2HKJ}r0lC*O(jnSv4F zaRpR6u*5Y5U;tQ-;q;`uUJSiJ#jXR}nb5dAUShQfM?O1cjnKLR!@0=7J)0}`6_S~c{}`&qo5o?q%m*6RVGMj&L5PS zIgp~N;|WiYoR~$d?VcS68wVKv)&gqB)1llun{lNj#i`NO%(C6r8;Kg;{< zx!4C@)(fKaVg_CAZKBr70`z7B?A`SsHCC(23wc*Dn(yAa*Zxdd@-_SCgD+kKSuNF^ z({0!fH%UENHA)mb(>^LxLePKXuGSJJp99b>y^f;7y-&<5bCV_+$vgT6=j&p zQ!|5=tw|;_Ce)>W@4A>tNn&!hfbTk*p&@&C(@4=>#_Hk;>9#J;oKL}mOd`=`*Hy%I z@7I4A!*$=xdrq2x&~rQu5D>lg$aUm>Tt52ijwdT}kH`?B`|$fA53%5RSn3omWJ^y_ z&wHqdfx+y-FI~~izlLt-p^s4z=4YIPcL*P@>BAZBTdWWPWnRuRcZ&BGk7&(e;+WFa zT*@@V-1IlVcQ&Eqc>4IEMDnyBzDrZEXQxrBM<1ww!J|?++pR{$?#&I!8T06H#9}dy z0@>iJcN35I^lE=jJ+kU z7vje$eWC!w49JP?jFH0)D3^bCglxWE?z}m)(gjH1t>MS<3o~y2^3L;K)C+qj3c~*9 z%lymzONA@$AGjB>-ajDT(LMpwdsxIMS+t~5BZ|HDbHb_#R-+yxRlbvjITwTy^$2!3 z6+)mqHgKNaIV%SiFB4o`adL#m4+PW#V3`K=0@uGfQ4DX~AMhW5LgCjHkT(Oa#BxOR z1h<97j&}%Vr};C~Y6HjrqUi>2L#an`+W|lCa^LcKBKn~Ji3WV=d>DCR_ei2L$0!4* zUR?ju7FdcsAN?lWG^SaVa86L@6}TgGi}XZ0_}uorj?0P15RdMZ)GhKS>3CV57}&hS zP~V^wJfsZS6u?;0<2gFh)Af&-E&ApB&q{dm#PUJ6^-moxi~p67(MiO~`3dKRK9zT* zca-iBG5oINOgEOJUKGcUR_jy2OTvr72f_>H7yiZOH6#(ZhV+>@2_d*T%nH8^5$^$s z=k!;&XRs&!jmn+O9o`*CJXr})Pf6&Tc`7~lLbtBZVT-MdPmU-4C(Z2ouM`kf{?6^* zOE(Jjdy3xV9^kE4-r7wi2gV>Y+`gt4`%d&81Y4l4_ZyuTe4gNMc!Iv~z;Cqg>`+o^ zOL364^bO(W*mZ$r(l(qMrrwef4E}A3(S`%D+Htedo&+BV0Njidl@|p7sIr7(PRkr& z6JDIFz5)v!VdE~~=Pn+te6Zhe)VkR|qj0_b`oA-5Zk(AIr*K~k9pC7n$!wZGfePHC*cJp z_junI$`iRq*faOkG5gG zFR@b>^W-mCenCXLI(Z6hqDB}Fpyr9iygjw=C?{7C?#lKrI44V>#{M=#m`uti;Fucz zZZ~}Us_1W6y&$dQoljRpA5+bG9a(1nQY_~WG8$(an-iO25y(Dcb!y5b z{RbrZ)k*;AOS%=d7Tb<(2YrTtgol!c9vAIPWCV%8-I}X|X zkexuaaI>3lpz)5#4RP?%&jZG^Umw`aZ}#pW0}&8}vYmu4gk0JTu#gBa!+}01pL>J8 zlsoplPJ1B(q$3|_x7>RS16UG33*zI2R)tzcG2#RuJe0ijGU9*Yr^L;SXXCsM{{&np zlypjF8-QpZWz7L zINXqYV3(1f6RuwdoVUYi8*R{9LQ8k&0CEqH34ijuHj!Dg{1U2^!ltGoSE~=Wq zb{N3`0jX9tmZjuSejRzELt zq3GO?cy$_}nrHosLcpmcyd1Bz*e5?7USXJ6(NFN+y#~2KO{uQ2XmPc$HUC`H4^vOZ ztr*B3s8Xy|fqEtD6UVBOj4*r?xj1&#@M$@u7+?VS$c4-|Ghwnmt;n`H`N@NQR^sA* zUM#j?%eu8o+R`*4kUum%+PIZBNn%wC(qDrOI;S2p&Wxv0{tMI_^0q0vL})Gq>j7mO z#(Xl?z~B(qyUU@U5$@=Rm*#beaOOq-SY>j$Ulc2HO>nSV zu7vXVtSM_HsL}7Dp{iNZ3vFG}-Bx{GK;CVbc~CFRjv7Q zbu(;qB{|MV3!!VXu1vI&`m|&YR3heE7XRGMPyrqwjD-V8_ez%GUZg?&8tLZEUo>rG6&od zwD{Abr%8ADU+;^%C!v37KSufqwh2@Fhrql->(Y@T(eqPBEt2MZVPze2bFhM8Wt9{9 zk%#UYX$>^m`dgh2?lASn6YH&s<5NetE@QPZZn~5}_dy7vNUwhHHY3zMDtV@G$UkI9-Y zpuZ3>{FUI#iBd9Q&~0e}tXd?mMb5Hed2?sy#E4m5XN>i(udHHeIF5o+8f{>;FK|dccEyZAV{h~fRZBT-T_tIO(W3Z6UUS!?5F=DgwP%Rd^5=rq^K@pREG*A|kS9f$CMs}Kx{nhB1 zAIEmVmS7MQs>RTfr$y`1DV|PlZSG`bSTV($uEZYqs0!>F=pbIhZcHgE>t{Aem8;0> zIZD+w7VovcqTX}sWr;awuI3{A!1z=}SKK>5wnAiPI$K1T%Uv+I)kH`5%prJ{g4^dwhtahTf?$Muy+hB}Mg6&dggLmO~=Vlxc3d$Mh$BfotD%!1|| z7|cFRI9ru@OmA5>mzS3lGaWyH?_dCC>uajh*$zHu+4@-y^!ta|)+%VKELTF$GL6*1 zMTD*tU=3K~;_+s^Mj-#*OG82CnqqEZDmr*#9(kU6!iUG~@X}wuCyllT*i@vInS3C% zmB5y1QEG>DSmsp+$0yhV7BA}^R%lv_Cv+0*mX*zPP-Mj|``C74jVcmLH#nX#++m%S zrAB|ZRAZhq7Dp=fmCM0}SXr*E1>gG<+5y-4*o}}<*kLh3$Y7j#c7TChK%>3&pZn9D zBD&@w-wF!eNhE>&-@HONhP~WZ;hSy2n|E5>2FQPs{v4~*WFm$%d^IW>1 zsR6Go*HFMRtATBF)ah{1`_$YI?W3rx*P_=dt=8F-iW+{0TZ~ym{%+zbkHV`CAI*ob zI!5NT-taRlGS*hv>h0uQ0&Ro;P<|wuu}`IwnqYl-<0M|WH5X2zY`%zgVqb=kykrv~ znMZ$Op@yqCzBQk>4{>R18Za~kux%r{xN24WR!t3L;Mnj_Ey-Y_(Gx8zX1vz5O;O0F zn&`T2!25opS~*@%BBs$YP`WmD-jPE7TMr_wm~Hk>INZvSP;R;<0lNMCp4LUr)5qOs zPVG>sBwXG)^Gy7H6fA;~b3GQrb#yR}-kNP1OkW1RJ!*zm5sazkk=y)jlvlfJQ_JA% zwYDrPiz!B2krb%OW_=gd{d@0#k=$6>e?uU8tB;x|xf&?M$(<0gm%FG;m?gYyy?4a-0???4LnE$whe*VVPsExg z1}XDI9Ed^mH9!pdenxyP63P*Zhyf289~?%0hyV>tpK#Ulhf;qN3iJYZ+KLCYmBOv3 zh7|L~l`+;@NPx>4$l$#Y)4Ie^-wJw(zhmj&-`XZDc-!=E#g#gcbZJ%}CTd9cCBTk> zpZFKqlz9^?qa88_BPS_6iiv=iRQpPA%yaGdg{w~PPF0C1oIu zCSvyTBx(bdp%5da{MTCaRPdfkxRf5j)VdxL82ZApB*`d9BtfDPW>6xPFjFc#Tg>y; zA9cliFjsR*P?+Mxn#MtD`~F@48K<%OW*6sB+wX3&V>=z)xi%f6cb5LL5N2A_ z1?tQy6>K(EIIDJ*V0-%u)4K&Sy2ri=bAVwJB2yOD?k@(iXBQDs|FW09*M;ypuo>zg zLUt>v@QRi4M}q>gVY7^|C?*PwlD6xD`sKK4jHu)WN>xEzJ5`uMQvgk0NB>GRqVcd* zim^t9j}2p;B`oO{m%J0Uf2PD=dB%&Ua=|zt@q`au4Zd=k%P+{sWJ}v*D7o_lW7Kkmz%;XV zDkO%p+3Vou!X@(qeFTbeh(?tgv_cKFCv1Wnlz0xBsW>UAa~8J%^JvR= zTiHL=l3HmT$wn|$sv)z_C09SFZ|bB=aJ|E1NwYl- zI@)8GL|Z@UA-mggrDRZbc*-cA&YE8IMk5;K`l*pi+bX{b7Rt*(EQTr9ze5o$-&lV| zmzWk0KVba%9n*%fjAS#JO!uXI0Z7|byz?7^h^Bh`2XyE*#@q)&0tA?Hk(b%|zl8h^ zjH)o_ywnktL8G45{IUElAI=lcfbd<`ego+Nf$1XgWlo`L<#B=W;YEmkj3r)$sD@ue zW9Q6_RRb-{!C(%;%JQ-f9dNN^8jDVpMP!2gNKR$g=b<%kB&qD6V`dbA#kVzHUBx~~ zszHa!m-E=10##y=B+d}0K6meN=KdQ{zGhzk9Kf!i%V{230VDRdh>rTDr%=fhyB3&d z2gU})G_SG6VjO5U@wihOXsxep;h-y|fxavqG{uQDl~|Hy{zbHYyM(n2h^sd2s=R{? z3u5}VbWcL-Q^g!kj!1g{O>xYO5;O8DC^5sdWZ@lLQ^mHV3oy3)SXk4mYNVEEP_Gl3j%+4VeNU?*na_eXT*Dk_EZ=?UlM<#`C%sWJa%WzO%2T@&M8O61VCF2}4(Z`4qZRiKIzL02a1Np3lTCI_%CU5k|^y zQwg|!QLk-pFYYQZvbN?KMX4Q68n!J+S1%?Q!Up)W728Q*suigCWk(}Rq{_OD>;tn* z{|n*6Lj~>slk``&EtenmJ1zHq%umX&)xM!-%+0RS9m?OR{!AAo8kh@4w_)~tWH+8+ zp%29H{aHN|I%Pl{k|ZPIdaqLl=z3WGWPhck;%GJMktR=NkrY{U2hz}zS6)_ z8BrpFPuoCiM6Pphq~~@n*D24ficPmq^(Ep4RiNSK$_eDgx^!37y3XuPBNYk+1o0BZ zXq5FX;+YmYM#^E@T<*{l;HF9k@p?JjuWHw0HGA-}-JU zu-Lm0d(RLC3`PCC-SdZbCl6FdL8#s%iP94I$Y@bAf^Rrj!mpU=c0JxDX`%ynBT%C3>p zuN#{&IWHwvH$Vl6Q?SP#gi?O02&-esv|##o@*1yiq;C!B7Se+Dn4n%PS%zLI1QRx! z-&@2Lnbw-FvXK8kcMOMzBM_m<)sDFtiBF;PFQIP&*@Uz-n50R81-~K7q(0r)(U6FZ zqgFUX@%F4>h?5>WP$jVPz58P02n!(Vz_ck9Mb|60msaI^U#>#HD7zsY)l~2*kvJBD( zRrHrsLvMzopr16)tRUBJfbi?mC0aae1&ZeUa9g+|liqkF24Ey{;( zuv_#EnOOPH%rUAZM#{%qo~5X7$L%!X333bv3CL`_;R#GDs5YZum?ZHRO`aGZyE+m6gT5Me{>Ms5zHIB3!JVVW@Cy+AGU&K`YyP zW6%WK_CK|DMA%p3FCsec=-fL#7!y_%6RQ=<&(&U#mQJ3^8z?4*xC;vfTa6!+XTN|i zhIOn>c$e=$FP5um1#0xi{4_8=cq$ozIny(H5Ng3#{;(DD0QuSI0?2*qFfdaW3QVGT z^32-r)aZVn8V_aF@BSfrrh<|`l~3(KF>OIQtUZv6>WUpB#x*C0YH&`I%i(e2_Q%&? z;7yB9`YV}~O?%}25OUHVS>#=Fpi%MVC2iB`OX)4!u1mNqR?-l3#A}2{%y$6G1A6eJ;$^ z9uOW%SOl!mrTc-{9&9n2-d1JfhZv1eJ=wZ%@#A=lcw-QFnkhW9qgq9^6nKKt?p>{2 z51CVa{HMgc;>WARB^nuc+S5i4YOVbT)(OZSHK0PyWFcw7#*I2f5~AF8YV|mi1*l=Y zDCVW|;wKfdk2yvsl*1~tIM}LZdSM-NuLVZz$`5UBTm_&gOV5d=%TXNCL&hS zWzd8h_&rexjF>xj2Z2u5d&L!+QD#b&i>g?A4yZ*Y4!fpO)RR9$zJ7;dA3bo4Y#g;I zn0l_mJ}a0KohR6pK(&&Ma;+&e|6)k4QiAHZPFUwRBFr{6tjSrF{WU|!$ze))FCH!) zPX2r9Va6d(&GX^Z)h%EdsN>;5HddKOp;nM>!S`(Bco4TAe6=rn|6^^PEW}9%Kj92m zz81!o8&3%ZV?7evj-GEa3qvhF8}7a4u%HqdtJkZn-dq zD}&rDF5bvCE49{(jbbW(fF$FKHq#41_hL8mvt9{ROT>kTrgu1waO*5nINI$q9hDl3 zr9%=-oqw)XUKRM}_I)5K#7Nxfw*=#XUt3)P_%N1l zU4ZkAFuQ%4@r=a5x#lEqOscFCW};Rf?rbkqh05$$LJRA6zK6LVj&E_YI7r*FcUUn8 zIPD90>r^f)qLbw>OOwbI-Gn+Iq|K*7fvR>EDq)nMz-e)LG<(+xQY+Z=E5nrsdC$MT zesK(P%w+=2vOK1%2?;4RtSD!y=x1-T|N3p}iYlPwZgo4@X*KGZUz25ueK=Vlv5K7k zAu`=r6mS0NW{^8aHS$5xU0o$<5K?Z&`A4ECn+UM)prU za`RRhw^6X8_O10J{_*)J`4Q8=%MKcrumZYfv3c0*xpwWdwD6=UWh(@uusmDUjSrF& zDW#C)qiH3BSWstUb%P!9=A^gbIFPHwXU#@JxmeDEV`9IN3ez`+-=6&-?{ZT}PydoF zATQpJz{<3NHP+m|BQ@fFvlL1rU$=`;H-_|P^k$a(wWNWe!3b}=4Q=3pS7q=wcCPU- z%d{pUG&ZW?2|RYtmk4nU|N0mq|0w#vFCL-SU&^Kos#5SYg+?c!TPK8FXi2(av!ZTJ z$@8Zf0xOfoHB00xIjs&5p*VzXa_dIck$)+NObN%<(%%V#N;Wct&|w2@KQj9?5C$+cPN z#NCQbht!;Z(S@eWzOd&Ps72WpEl;qY=~b< z(T%m18n5gux;u=%?cJ8J(QVcoX$O}d(qRkuGO}kSs;m+vZ9fEb>{`yV5RK2+YyF<# zG(z8N$%B_2Y8%c5{(DBkp>a&M)vfq8sT`YxO12gDfOMS+m3BlvJH2vTQg2kxgwE(( zoCMcCZ;B{FyGlDoywgc_@t250;0iN0yMx>h+zi`+bTW$p95Sk`m4cpp$1StBu6!7A zoY^a33Jmk1)9AiFLWU8SgsWO|to**Ozi1y~LLIMZiQIQkN7YF9`)DyweMQ0cKSI|W zbbG2Ws%UwX(}K8bVKlI_sAYQ|gueDmj2y5e7B5p%8OoPFPfa}*d<(h?>radgPlezr zdDNDT_4+p8oE2UVqBvrLaKq4)#FLV;9k8m?>Tpesy`h*Ev83b9n7r3@M2c-23*dsk zcH&8oBgDI*V$;o_#l2-$T{UNw-gz*Q7JYHK+3RZ@6|Nh8vvNn1A0jPo@|YY|zP_Qz zj*Pmbn%RM__-*s5Gn*SI*LTE%fOnXSXp z&CL9w9Z-6)L{Ffuix;*>uFYJX)BNUnGanb_*rjSGte; z&EWd*u`5VT&eWw#^M-T{#H2A_FjGUs8fUt4Lb7E~Zkdk0VC~v)neN@^z5djv?C5x{ zd5I2ZCBp&bRdYUTvAHSw?ck2rd7sq_e67qC{&qg~1hSdGS3AP%j29d_N5V>$!^wHS zKCG)El2<3=tr(I{8~`&(a{n>DZCTmT^%|2`X`Gx35}KvghR>;Ne}Xol_N7F;Y`GMf zEW!HeE5T+QaWyd#3{DrpBg7RrsTDPmP$*m)%ld?K6b)ItBsudCr>P@(EzTKn@@FDd zC1qyE4ixUpG=4=`aBc}h*c~&qNc6fqS=Q&tXn3bQ81FB$OoE~aM3JF3=f%g-xdhqVMMzB}fs#Jn(R*yZD*6^o#iI=9T_pgR|f2c#{4|7JRH z`=B`>NCKB+o9-bWnxCu?}L49I|d{UoWEQBnK?1ZfBEdQ^{C;z7$MuxxLS=b2K*x3o$894~qnV9||j+K** zke!`@ko_}z8x#8{ z3(d|*$i%|n=U5mR z|1B`)N@LP zcy9O)T0i-<=@**MgFXzG9Rk`G=bD)SW-&mK>OQXu-cV81J5_x~W%q;HfkgUt$g~wuQ$SkP=4#SaUa~}@Jz*-Vg_FSkCoSj|yjI3Q3?96-4 z(5bF|JTMSO3V1c&Iud?4=)Epu_>Le1pAb&@Gd!%@k6gr|F9Asu2ADx97q;zdK8}h^ zhNLW#AeUN9{$-UHw2xYHj=&Ld_dBewELm>z73l>JsNF{(7g*JKAdeu=tVC+Cgc=Hc z>Sy4`xQI8AY>JwUFlkc=^oFvO_m5#brJ-ItrTLoBR1IlJnWK(#vWSH0ma9pZ7H1z* zy-JNpSZ@qxlwHU-rmVdwOzzZMY%|g|hIwpy1fV5%@?JZEj^feb|Foq28^+Q9*}F_^ zOw9k5U67H9ftiu%KfC+X3))jfbpEa4p_x0gfcxlF8l_n{{>xXySUSRAC;~^;5wzcUuvWoMo>J85H^ybz<-W5~mq2uWobb$M z{?Ompz2$T+J8mz1(VHtH#6%X^?FrGV;l0#N zcCtPvjzqU2W;CIHYPWcCT92?Nl<@Lh ztHy9{F{ZyQ=$b+#;tv~bMG4>KBu@4(4(z?S+9Z|VT5OA1O9*!nlk05-HllZPrdC|3 zz0taq+0KS{znV`TeAu%^@{`R5uZ^XXe;+zcyN{ZtTXmFI+i0$K^HGUdK5RQ=$BhOV zkG|k2D~)Fp@ZNJvRsX7c;nTi}++Cok$hhBmkKVtWs31{JAI^mnsV1@4g{yC-($*0%sY(0IgdjY)KKcw(r$<6# zK4l_1Bhzg191H1sp4VQ4P2ww?y5)khpL_)yLv-p+HzS*;Jb%PJs^|bjwGHRuz`o+K zc`&)2{0K<>R`Oi+K4EV8Q1dQ1agC&ZP!#aSIukd*bhpFzyxQ|n-}*knI5wa(hNN3I z(WL>r_OU5{9zgXXU3e_&j}sMsFR}EAD7ujNnQm75&O*m&rHf~Mj?~d)`lP;-B?!lV zQ^B>U@A-aGtw7*dEbsH{#moa?W5Fbl+Z`vGi>|;5~TX}cb#qr!Oe9aI=j@KX$ zad&J#7Uk3vr!&J8E%rvc&&+b2eN@&V{{hv^NAg`eeT{2d|BQpY}|j0NV}B?>R0 z*mWh4^0Uk4{#zaXkY?FR0mYn;(Wo~7pBX-}mzWZXd*;`Te4fDTKB(O|ugGdoN$`5- z*$at*n+*#GVx6T(Fa5mgRC~|!%e0r&&OzmkA87c;*^YL!>(|SR z=$GFqeE#DvZ38)@PE=zHS>!SAoOB@Y9wv;-qEjN9-*b>O9wW}andLUbDc#PPN4IBC zdzWN-gUdp(fjM{jf0SKq>98rj`Z5P*OVx3kztY#gxIWBP=IdPH!Oabum9vFGzLlK` zq1VK|wVNz^8Ts7XbIi2w@UKkLsgxv7LM)#-`IXL?&OmIdeYf;b45=}PPQD}m++_B3 zURQVk%M&-%y?1^6WKcX1_^WguX z+kYj+X5B6>c>QC8PF>k}L-k(M3wom{1&`E!NtTD~Xk7nF-L)O7Q6|6^=`n+iDk4r3 zQ8wdBoRXm~;?k0VtBXuCL$l7~E{Spp&ou|12?j<<;AFC~jh(=|a<5XI8=o^XXC1M4 z>!ap1Az6naRrr!CLCeC3l>vi7U5HtyrAV|0F|8$*FY6RT*~UYYBF&1hrbG^0F>T|# zJF@1VBouwDaMP zeNWrO_VtV3T_Og0_2QR|7=u#FjgU5QrrP;$*}ZbhA$;gl#5!#9Rsirn-Se-Wn%d<* zgg$D-$Lx8rhd*4ACdAV+P#{c29rPX(KK|q{8=TDXIBtQY#mf!Z-ZDGYYo zjvn)O-S#w6-z|M;DJT+Xd3+#Q#5xp#{88dbgNbB~y}GSGCpSFq-^Bsjl0J%9KjxUA6>r=w-qZ2x>| zIwI*Vr0&*1+E!h9%Pyv{Ismdcg2(2gHVEIfrI?m3WL@L1#O~z*%RM|-HdU-ETF9Nt zy)oh!23qr5-mva2J)fJRw4y4}cDuEM2*^%Z3aW&{BJXv zGBLH5)^hy`%F@a^d3ri}YA0ZkIwl`AgW(e$#5)5?lE-I+a^`^zUSrwbs|yre@o>YO zyG7YS!poh7lf_homKNLN&ziS4-k|sQBF|3@HQmiCv9 z?!q<|?QZKB?SlslQR`e+6M8-Tkl@QPwTxl4jIenV|3K|1!Nt%4q+R14uLe*4>LK#! zehmGkvZ`!VGJjFALiC94tdi;=ctSN#;U2fS#=-jhmW}BO1GXHR1MYh-*lNOPUefil zdq>%@X2e15y6(Bmg9lA)`!{(v-Jn)!{E&{%aJ27hjd@crj@$+H7PO}LNk5#EE#=yT z*6BD_`yMZMV?=|%|BO%$bEomo>weEDNZX?BLRY#A4e-xWWKdK)s8$AJ+(ACGs5`)Pkh@K1Ty}rCv4f16hpaGhuYV1mkBr$Pp^-0H z+FMGzp4too02xu6dE9`#2QAiA#&H#UELpltGB7u-v?Apm?3s{R(T%w8e?$E`Q$xe^ z4zZNw#5pbqu3cdyovo?rTdx&)HmT&ASlHZF>n12&wy;uDUTiUiSssBtQLv0Y z5qVuL_w&+t&oZ-U&~iX2j50MfMge>8k9#j?VTGRkc;xVPCOq*2C-Vq++T^#=YZ|SW zyh_g^9D0zRGRfag!~WXnMg>f$y# z^vl687PZhVb`8WPsarM}V-;I^2XZ7znpMWkT2lIs$p|FLW6coVcYy8o0Om{8q(4hv zucGAZ29tw}7~GJuh+5^tSL?5zw3Vxi3rmI0@e@K#(Kfp2d3FYWyY>I*MNjANR!+W% zx!sla$+|tL-XMPa0k{^;>l{l;w~fk-q4CVgya= zlO>Q7TJ;05c0W(dDlgTIMk12vD;eg?dgM`ff4(NkM4OK%U<{X-h27yATk&a}AYtK< z2K}^R$HC<(O|wka7+aBc%hlk0Bjrq1r{ zJ?lgp-q%kg2XeG3rDmM7TiKbsW;u5Xzt`;k)M=cBTy#FwEVnG$SzxhVSAZlTWL&j2 zMeLSMGdHQGr&(7qG#b}H*&@;jgF)fpjZm!VY7=Sy7@Ub}JH@~Wvx(ZZT>iSg&7qYj zQq{{|+t*QLYOknXtpOI&71`w(+^Mpw7h$>wDCz)}2U-wcgtqjZT}ECFBIMtE38P*u zs5JH)Adt`0VHsmRzlH!TB2_h(7B-6FD_WKYn8WA|`53yy#A;xGAs~Pa9X+NOwUkk> zs&b@-Pha0UQK*qD>c%*h7HPGA1KxN7)v?7BkI|n(QRJx6`*U%RO603ebT4Am(aH6ZEfOqd5`%y)|UWWOJA* zm66+fB*j8wpN4#rpi$O~O(v(hjY_6QQ!N#iD3wtz5|2!ipi$W?DMhPnSb|JJT`dv@ zNhYVT4Io3Osg?)}lA5JaEE1_eW|g8<$kPg=CflcBln-l?8dWrGKu(lWp;WBwwU-)I z>MrV)Av2*=1d5a(&m^V*dsWDQl=kJwWKuF}hQE+e5>s+Ss*y#dP^lGjMB0&e5-+La zih4Q7q7@Cxk;Q2k#lohfcBvVq!s4VN6$~4ZdlERL!g8fx6^X^ex};#040Di|q+k{D zI*{KI29=1F!(hptskapKVvvud8WZiPrnJLY$lR&6RESl>P|1EJN+=O4hJ7L1P7GBh zRtv)-CUoE$ii$dX)_OlL(LwV^0JKhb<%mWW&@G0it2ai2%tk zj6{H7*g+ydF3dC$AQo1X2#^Y+NCXIl4I~0&!o(97^Lt68c*=Wi$XuwdVv#>X8-0-< zXza6*AE>L9!(7N*DD7jAR}vS2BI(GWWLk-rH1>axU8(GgkhK#R^Lp{5UKPVu5*ua1 zv=bXe!_pHQCBtwM7t4Fe$!rw1-N`DIx3$PB6}K(ODwVdS$SM`K9mu{bZ!3{~SKLOB z(kbs1mC`Bhg^;pR+4dwGSI!d+b4=tA4}+5`SKqcGo2?cv{u!e%fS()9D}?6}$aVkK z`4s7Rk>r1AFjEoA^a4W z%a!96%5(|jI{WIpjC5Q_@@=`mY+?M{E&f4wD(56Os1sze9KtO=xW)doxy%Vzn{`gT zj#iHo^$e9v8Myh5U>TS>L{6d%1v9Jt+PCj?rWQsAl74lblT5%u848DZG8s4-iZAYH ze$l2{qjjO?M9N+{>O{rS()BW|GB7Ensii6V%ws#=j!i5f=4{Gj$`Hz&GxjpIDV{RS zsU{4GjEPLLrfK~wiRRyCs%6Mz;=#uyb0lR@z{iJjpk?|%O`(e^H+j%i230x}CY*Pg zvXiM|UZQ?-1)J0U;Yl-xbtM=}7-0!FC!P5U&z511Db5*gPC0`JpVY&W@&adwJ(w`Y z;#MStaM}$tz`}$8aLW$mH>VQ+Evyv1u<%ZQOyYM3rJB7_7>DC@BA_#4iKT3 z0nHCP+?pc6L6U-dw zJYdb}FFjGsP{{cFcuq<8>@7rSx&=*sAHC(9(W~@`Ins4$@42M_{z+ZmCbxAsf&(sq zOAh$y!H|xDwGH2X_$SG>e9_IW`uPf2xq`gTeFY&fF7wXO!bZ(U@~g;jTC6?7l=Kn1n)xpN2hzX(+O6f2Zom#B z^r8p!GrOf;r}RS@UU_2-*qK`q0>PYEW>N$qJz2z<_aF_)eZ?RQhXqN%8547mbl5Y@ zH3&@z4=HUpSrADm*hmpc7EIu049@vNe>22Vr3b(n98YvTGa54*GZ}v|G)j7Aa^o-| zh)x~vTE*?_n@xWyWV|zUU@BxP{DSK!I0QPtqoCBuNRTj?@OdYr4xo>h-`}gadH9Zru=V3T}d~ zNLIdBM^(O9jp#lst2MfSopr)LUVt{_+u$58Z^D37_!2a7JJg?44l3)oidEWMN9mj_ zBR7pZLtTn*x@@v-s%<6>3Rb3fv4gVbO_*E)2T^p`1@sZT@a*VrSwo#!4RwPw)Vp0F z>^SYP?5OOZFQIN*Ft5IcryMsk7^a>0hXz$fA^m9_k7*opZphl=lAdtRq;k+TeN6Kz zvNgZY+}A$Q{$pH0?@$q{bE2bNb3a?JJ>T9ok7F68vBTZxdYq)}S>{FKRn|~`yH-|F z5L_a8-#MZJs7 zOuhHFMPajshu)9tT1Snq_ZeKIiM8ZEl5Qw%)X+(LQOwg{;l+S{AJ;w9t~1X4&G%^~q?cwf0Jgcq4hhN{6 zzZsM4Q_@SB-DXcxxR?AmW0C-ME1N!jO(XR>rsUBUe4datSwQTuehu7P+FSogX<|F7 z&gkAP9DRVNpJ-31^atC&klEeZLu0R3I{j`o*ASZ_&lhk zA8ThxCsn6~0a_I@2bLL_8pw!WysvSm(x!+3^dv|I2rdFGBswUJpL-5`F@%LLgaE4o z#3-oj=UlycAm{zD(m{#ytXaEiX27m$p2jBtV0B8UR z02TlmfCTu*A_PDOKmxD<-vGz}FaRz97J#}4&I85+dkX0SiU5iLh5+&a{s8&_#_i`~ z0A+_zg|`S<2MU^lb_wnZ?h5J(rtL@9iPuTsx8k?rtL>-lo9>tHi{m%eNxliW>AuOe zskMo{X|YMYDYf}+(_xcoQ)v@<6QQ%OQ?xUv6QWaW({qz22Z95G18NbZ9BLf6d^HAk zqHpj9Q|{w;M_=_0G2hYojG^)IKUYSGfq3|K;uBUueLV#Q`@c&5t0MLERre6{?VZaQ z8ZTg$`UYn(d>D=01DJXv|px`wY40Q0M$_>mo zSoANrFH0m(3g5EPAgfllGxO3rU>f>&|Y5P+)-lw*;i$g?5hJ5fxFQCrl5 z^{Sdv(t>1}{$`OEe^n3b?p8&5BF8i=TZgP)X2zN+R5XpPh4ZRo4w%o`N6l~jrXgOm zHJ%}7iYdkDFYr8(jp=n<(iPiUEel$h*J5;77S zz?!m^x+XUIq`j0_;hfTvp)G9(EZgMI*N%w~a7&<@5Rs1Veh?JX^pGI`-i@n4kb#u^ zoq6A9a)p)0`*uo4ReCw{pvR_oVDnBFDUEWibt;E7MlH*L%E&tr;D5mJIN&39h0n4cl;;aNb_LpOKS z!h^^O3I%sRy}aZj=N}>NaeRHIP;Cb9v3X`Z-me`PZtY3?Z~Gk+FUg}BQqXlJHzDJR znf!++C3>U1pbj+W(`;M>{MFfjszN)(z@rIN`4z5?g#+Ig=T>7qr_KIx`k_c&$EJ5= z7tYLXGZxw05~rY#)6?shp=f0(;wYq*KNP6wT2Mi}p(vqZHW@$4Nk#nFmkX9$3xNA> zE|FDMvp>n}BLr8jyV-d;mV|@VLQ`%r z!LJmxG&EZKW=yNth-n;mtA2<_&4|HH+}?&w!){#0eZJ*;qK_+%u7;WA;*E1VZj}r9 zDthuNZg$78P0lm1@ofL3)awmZ2lSh8n2e5Na&yqhAk-e3SZZ`AK6y!Dhd;NXp~ol1 zrl4Ea_XEku3;F_`Tdkv)KiC~-V<3RR1oU%s;uAwBW%^h@=48;NJuY?=K*fvX)6nn^ z7)1Ly4UsE;R5Lbs2RU-hQ{4VybSERVE03m>0HSsu5Um+$UP7L+E1WF}t#b&$g%$Rt(zl6bR@-isN9Gwh}KF*_?OP|N@hgC(0 z#79}dr@Q<)Aey+rU;BBpXgD)$=w(8%x3|~#i4+{q>}n%3eTX@j@*~UMT^?m{`_f7eBt{Xhea=Oruq;~`*?aHMjT1$aymF-?#}s11t9 zN8rJ-L10hK&jDu^ z>n7}v5p3yQ*>yzLO9oSzXKh6aQ-!AE?IzG9PzjE$_G==hiF_mZI*4^gum zdMg0~&8)mly1R9(1p~$n5q>M!+a{3=^%0=fa3tL!gCA8>zFC0M2P5ghgkEvYX5P%C zz|9%z?47{J^)%0NV|N+0F{`MDaen9N<|6tGrk!gH`4QgsnniQg6LQM}*5hJx3fc7f zYM0s2v&QvA%~1hw;{@lM}WNr-zI$4%JI!~P9hvAD+x9xrHl&Q25nlvon+~N?8Ev)nnnR_<+P85f)O2{5&@duc`p8Jc z?H|m=e^^THMFJt2EvnWwFO0>M1F&S<5csb{Nb(y5R7z@fjT+KSmDbx^D`DHaS)2xS zOf6BT%~s|SRV|X}z~Rio>-Mm;ePtBgvqzB_d&J?T#cjHSN%XTYQ>kZyYHgm5=fU+! z?=G@QnQT6Go2SoulGm%>&*xcPS)%7F+usJXhjlc8%r!a#YqvwH5r48-pNdlK$6Brm z4ECR~M?DGG$PU)h($GBRYW!=5zl~GVaFmsAme(xTW7+}$8QkMhLxl}6Wee*WR4&Hh z7)+ujKr+#HD0~ld^y0bhn?5zRhXOGf?g0%l_^f-y>!TqSFcG%RFzMN;l`O-8EWXXcy7`pIkO*_f}cV^vCW+Nod}sr>$d(qK4|7R^f&!k6^4oW!mI zu_sHgo*;*iWxsItojUBw1T`K(c~N*Ud#6@0B`y*(ZRjkUXUN%UKq=#fE#C}&Nkz#P*G_w_Fz*;;0S zGQ$?C3e$$KPmzL%fYUx9!qYtaX@4)L8K{70wCnBB=xXG zfXfu4^Z}ZWD>l}@j>J6c7l9Dx$|7ER8@dS~@!yI18qH43vHtgB7u-;KkXky%j$;HD zYD3n|qO7xRBA?lo1tTK!d)%*jENPX$rLr!rKrMA80zCWLQrb zqifF9v)-;EH)qK=K_nQYhPa+e9&fO=VC+WJlCEQ6L__!_r}f07_xyCfdV3`pZ~xL7 zb-eD%W?mOrfE9J`rC&am#O^k;ctw0sUCWVPy9_N!K}s|^Nop(!=7nmOOKVNJwr-u< zc7Z0bjkqBsz3~8+&~SU#PCq#fMsNfMYO%boN0?iEJ`%`lg)G~?CdTy2b=GQ|NGyM`&>WtaNXw; zgOGjfQi7@F^5)12u8P}!u~wR4cwGiCkvMQL4&{4e5XJrbMo&xfwq6rQK^QUa7yySF>$6bD=E7qMd zzs4;+mttk3E|fR_SmLdRdKGmIG2h_Vo@GDGV5b!b1Vc_<9j;!9mkLFa?6-1=@oksX zSkASsz-AM*vj}G@KQiJ+7;Xq?*`?$l$|{7Cr$oy#;H7`kpTB4oUaDvfu1NRe>UaJg ze$nEPT=<&YSw$d|QwAEpRGIm~~qcCW5F31{feh<_t-(?m~gOQ;Q0DB=rff|c}_YC^xEBKfkkO7&e zWOQB%a4(6JVH12HA7%zpRn6G|W?VCw=S!i4<{RXxxA@S5bD@0W&3!va4P{e_z1r?b z=w0Jx#q(708^QVnKJLNV{c$--$$ebqofSQ&BFH%#2WMTeEV~WyWQlkvXd0|(_Gji| zOk5#gk!2wV%+b=<(OSUK+Sk$6cYYeAd{0FOgnkDC{u}J6eGLewrf&wVfE+p@6b_fJ zIl6BGg)2-Wp@w!>tNgQgc})PZ6?1$x%#1NV#1E{mrvNQqVfk=_9D-<1rGyZn4iTXd z^+w|anRs=H3B$Ws#Dz)x>0xbJfHf(0Si)4cn)x?3T<`d#WmCC&I@@*aBpQJLPv@3= zp9=++#p>@DWwL!T3n!|DOXG$2Lg(ujt~N)PU>K^Pq`gc4=Q9l=j+fz#R)Pq3w1P_e zy-KpPmEd%lXOR&F=c5=RcDI#I7n%xMxX1Kle}Fki(i2XOpKrp4+|n09A)Jt|evp(z z{`%BzgF)TrBgtaWLVN6(OuLaJ4e;svjX05P*{Y&kX?*qOEiC1{7Ap@{M@%<8r}vtl zc_NoMfe+{O6x>x5JA&6=g#wD)Fp8cJ*bnwQH2azWdWPi&S(*$J2#EJMzc_?=0tRLP zy}{z;fLZ?m1|B}_S%-7QN@8blbk68^-*Vk27vuS?oe&N_aRkuN%HPS`3{tC`xY!gQ z1%B*lunPp=^faye*dgc(fnSzM#qo{eKyBB7^q8{IV#E0Y3K<6g}BQ&iF^5%B` zQI4cLu7bsF z!Vl|jK{B7uxhze*XE9$2<|x#=g`9K!>!wjVuZfKd8|}&K(1~*@$SW7M2DkVA}er z=x~1$Bx=yQf_yw;j)mu=@hhZ`8cGf|6P0s)8i{Z>YC#SG$Zr;!l?qd zfuJQxkbSPlg#=TrgTC#FhNVoA3f186rZ@PGh>ND3AmKmn*V10)BNtzvqu}NC;M}kq zcsbgiy23Ij4i&*Jp-%C&xtDi~Q>iTwl?;`nA zS3Il9{I=3s7Gt9<>y>&I?$y)%^6A%NDbr6Vg3$s;#MLK?qjxN zsJpJ@2(7i!5XQKo#99PZpUK9!0ORnDcMoRs@TTN??lGP*rCndF*h{tCcpz~+E5RbL zfMH^S${3y-l_30@a8|U|%NAg4&a^GMS!5a6M$Ux8Mw>kK_nR-o*ppwxWx`~&Ywbqu z8C%+T^chN#d&knXyudLs}UA5HFyZS$-0Q(HR<;V7nlXK^&sXfwVPK8(+}-ptHVy z*{mJ)0=p)VGM5k2ZT@1=FaF5YXD#x@1Gcf@SE!va^akAdMrcLyIRPn~T%l4@|Eheb zny+nLAatz(wqZn04{i03Z<3>|gX6Dp62V%Z-<;`woFJ(Ndy?vxPAQlC(M16wzB`0y zs}r2a`yQxNrKZKendG{KVAx;O=g^2L=eGEv z#3cbLC3B9;)9b&q3Kz_GzY4d46&UnbkWpPuwwtYy+Tp)3T4<+62wNYLQvq%pC9Knn zwuVJDIIg}~Qo70JK25+Osi_Ylba&H_5S(mRupA`Z=A?XKtVCMzE47kzq_#-!r-wfF z{hlvb2v(2%7~TWsj6xNWX^OgND!v;H-d5s{ZkP^GFSmfPHnnLeypUd^Z>*}Qp%w*C+X_iKM|?>v>K zJ}6~cTvRgK<<750qfzU>d_clb>^dg!vu?Y^pM$;zEeA#2YR&GF5n;AdM|gxwZP{x4 zK|`1m{4@LvW|}eY1X5t(k5ID>h?Cb#QPD}}89RTQ&qoP39o`?FwvXU=sGyzqb{zGJ zXC0r(1%!qdsMo-Q$&V)2;u{$LjyE921=exvTNC~7W_c?lmo@g9`>xC}ycPmqN-#4k zs44^Y&R@dFzf|}j@}QvjJYf{DFue404Y~J5^q^7AD@a|P zG}5cKvamRUPbmKz^c-j?k$%@VM4`z^8t%a^c=flP}-zS7+Y(!p79~+y0g_>L@ z^`Rx%sbxjPInWpLn@Ta;Uq|gqZGVNz^E}@+;%NSgl_fl+R@SHFmoOBfx?eSN#BMp5 z7_89H=A!NP4r0v7w%)*YQi)US0_^s7sSkdS;9Q~Sxh!nR#pad=XD6L=Kl4#>Ho-02 z;&glMzKC|RwG6>bn|rPmI<*eT1n@5Ys!GPMHQi)?y8B!b8^4y<5pWX^6$UV5Mb3&@ z+}S*m(gLC6N>OH?8eq=J!h6*a8yhLSJd-E@h*M63PDo~ud4gpY5)xPme9?PT8ks5b zb-IXCcKFf!MAzRq8m$&V1Oph6LzB+5H5+Wry#VKTdob2g+n>;q^mHTEn8iQMAp}8o zfwIoF7Lsr*0F*V${)JnWxk_%0a%^3t3h|3FbLUoP77Vms4Mr1bcb4wI0CgA7TLn`` zhV|vCtWB~Ou9Mbb?Bd%MsdlQBmdY(vZS><>r;v1}Kizy=@N7ajGaM8Vz58N@g zbUNFYeZ8Kl$am>5Ax4h^`vj^9TQcnA%qrtXK#D%zX^lP-P}&*E@?7_qIis}Yc9>1j#xeOku#)w zh~VZK89tc$EvY{WDSKdIX4ePVhe%bdV+D<2de`kWrXU=lam|}I_f}$Li&of^rOW`< zPJbeHLMwwSG>iq~BT)x9*Okw8*Y`nmru>P1BvFRHxaB3F#d!)TIYX3(SMhVuUa zHbBY0G&S~IjRX8(dia>PjX}i^4r6?g2$PzOFuZq?uLOhkOfKWFv9Fy4f00ikEW%2_ zuyVknr64j&lZzCCcxwYcUk{J9p0Fc)Z@w09~p%)7IX*9 z8pSIH<_x9b0oIBbl-klC+Z;BXf&^(6|Gd>&I;?^<5uMG3zlQ$;RZ0LfD}CGHz}LfS ziBj({mGU45th+Qr7RaBY9Pk0MC7+?g+mY!YwQ6fE!{CFpaCM^=R#|IV1B(yTPU9a6 zdMp|>U^s)pYAn_Si+|wMCRp^-$y=?ooq}VUrU{i`;;heNwQMcX{L@+-tHo<;)9qE$ zI54wc2k=0Ea=(-AYkid&q_9kRWf@kRGUI#JkCzMhrxWYP^YSWl4Y1Mm<4!@Rwc@qb zXGM6u0J@+>!~(d50@qBt-x1S&OzW#6ysj-%vEB?Xofwx8lM_21uq&{92=Z{5^e&8QePMLRoIZmr$)-R4`*RB+NZ9-SH^Wc)URSkChts9;?(#=Jh;@7Ge7%dr< zqOlA=Xa5{;46;LqpSYpx&_t`Ts-vcKblF(TmIE*wtN}9ikuP9%*w0X|a=}WsydM3A zybgVC3NGj^(oFH*!X}DK??}xRgjUdH^tj&smRHc|ieA9ci7CF1e771_(bc*lEU_t% zsRtoiNX(#Lct&2KIxR2E2#nYMmMC3-hgUcy@_p6hyCS^ENq7-8yvlJ=Jt)BjRC!yx z9Y-mF1m%Lln~zY?_LlxtnN1H)*0t|Bx;in^S!Yu#38T&sZCTN@?`FR+-jZKgNHB1% z@4s_)PG=9hjlzKwH{ABj{$|z@u<3c5G3xcl{HGopJG?3pN(5EBTav2s_lg^_8?ZB| z`m=4r$PV~zW!Z)i%r%W)I1!C*Fg=A|z*GQXX$8lI#LTXqLep>)ksS~Qh^B$2fu6#- znu%f$n4+LrGl;p!z<_?h4&_GnAp?a$cBYV<;iPsinK3}JUi12yGiN!eHtpM$m=SmN z7@E9I1UA495DbZ~K2bO)g6pqAH(dr^0Ka06snM}pk|x<$BMIByTlUZ+&QcysJK-BkTKnTese^ zY4%l>7J7(QMHmLxcZOE2n7y-ng!;b(;jJ&m3xiob=is7VPsl6jIEZv?X6;7C9(EyX zIP&8g^EB;RlI*x{d9{Mp>UFYbDV|a6!fulK0jIEi+lNVL1jUA7*co*}(B@im!{BG1 zDY6DSjb&e9ba<4=P6!jk=)~y6hOxK$4i+~+4XLji%-Lr2twmrx)XCJ~k{MMuqKUu& zYAVeD6%D1vy&T|Q>~nyIo#v1!I1HoSQ39J_Cx8*4>mM9@O9Xeg4&6d0f_t>uW<+q8 z6ub?HZK*-kk{J=)3NcP#2Q>?=0ouZx<_NP{ZpnZg$5NqbN-jkNA`5fOr#26w!D33U zJ+y4u=SLH7!W_e~Z#HyWLoTyQp;D5x-W9EJ_G}S6`wT`(t)utZQyuYGN80YmsuhHh z(S;l5jHK+)mF^FWWZ0Y_r<|RMj$Nba^vYXTtfx5#AM%wvdp4*wYK7ir^aOM|EgkOP zyBYt;7XsLy?rRxqaJp)`s~SgY^hSH7nMk3)R2ejYnKUXP|J(>-?!$_mSOm*sPb2K% zEi~g=XC5MsJnW~)vn>1tqHG?ft~?AC7?zPDaz+ls895MVWE7H-191kXHvo{jwfU%% z(pSO6Wa|U)g*u@hR184wfs$L{vYtIDy9t`|KpPx6CEEJ*aO{+b219y+lG}nRQze1` z&O8tP=fVKeYyJ!x^7+mJ$Y&tGwfkFz z?x?+-&h`zi96Wq%^Yu?1>FHWRXvC>Kt4Ccc{>U~B0~h!tunIT2EF zzC*9IXe)s{3d-B>Pdn!Ws0{US2>~kky!cskjY#HIfG_m6cSKCj>Inm>cd6eIT~fwFU2SmOc%sY~uj)bI zb+jJof+mkf?X$-{-MdGGiQWtYh!shYw6=cb9%0v`*EO~5`Sz9_4{S_5LVj*v>$q_^LcaT5B z+OQ#P18za`Hycwu(3X2tKzuzumd6Kra)s$j=b<)&0ndo*49nMd~QJi0l_`m24_nVPUSRJ-ohfq0J>XF0A^njFveWUP~GtBV=yvJE3| z`{FkBkwA_5{;}hVZwpZf@JXqB<Nv!jgso?2b+_@0o%I@No`X6;&M6QMW-Pz zszDh}S1~dLhfay9z91Y7a#?UdVS86p$V!o77`VcG7+Y9os#~x?Mp)~v9@84c?}*h~ zmere7Bta?FN_DDtd#A9e!x!)C>4}!ZT)d~NC$2KW@W;_t1iJ9fqZ^Z2qgiKQO^gYg z!P|L9>*T;>JRdR)9)5iD-X9(A;lj=FoocDrqb_}jLSF%Z`W9mxgg$^djsnL2ZNQjn zq&~B$LM>j!%V~ix(<5HyPrS^Zcu1G59;tN~HSwbUT@(})TR;mb1#ITy%z zSZbcP!;?;m(pf-s&n2>pEfVq&KEX%;`WmpRQP|#LrJ@`tO*@aMR9WQHs-gD+WNp>+< zc<^Xhw(Lo$F}|WF9zTCdb|&Nl5@vU5ywD6LpAMk=-y z5}(ec%%9{^aFxsAX6b>i4t|15%`0`^iecbU8=*(RTEgo=(~+zr%ehu@)P z=nGEcgj~*n^#xh1nYmWj@$w&Okqw^`4SjHtjhL(Be40fz^7W>@KfG?&_pYta@BN>9 z!M5QsXWNcpkOKV9!j9qMj!qx`=WGA%_Wq8Wrmh3qKCnI5duVgMcEh2;zC)Yxwd)T- z=^ZWIPrd}C2diDj%GEA^{UZJKl1I!p+QaZ|mI_^{#|H*SQk%aB3tc%>=<1Gk?qAZjy1{|J{n@7v z_ppK5V5zO_ztr31l=!)-wzzrV$m2J3-8#|2gPd4;XxXZkiGz}d6OW>L@9iiv?ykcl z23e#AS(1jbXbrN=4N$a3`SBGF{DLyZ96;h>L7nJ}7|gz2GxS_ki@`Ie%g?7E@f}N` z6E))enKrqia#@$oV!n!mrX(IElxmgA>JFLh*}A6S<(?XDZ_2xM{*arYNSxek@o;Lj zT4hQPG|WD6rLP{Y?~EEql}4l1!_I_}OEbi;fJ}OE7I`a^=`ZvT_ut(Ac)y}u7D2l# zqjuR#+hKW-mtV8;RtvZItAaOF6RKgHF!DKJ&~w5-=!8+!3BA_&BlzFG0tByt#dAhL zj}d{F5pcZ%^Eg8=>DL-G?{Z7IjofaIY~UI=OUv)uor-v$<(y=!KzeeegPmcKQzt6g zlQWr$3@U^h(yxh}=3NovSkA|hdg+Ssmfwr$nhMLgvKhk_$8u34QK22`Q?ES!D!2a7 zP9I1_Y1BtekEp?lElZ?^KG6o9^`^+qM3m?q7qIEG} zpsHm#CTUZ#Q?U#C3ic1Fzn~F+6`RD?0hzaByYV-sLUI1UZLsdtWUw1{wokV61_R$d zNe$kL4IU_ZXKv_jT(_gU|J~80qZ>zekCN%p^ypabg~*P+v2)#nw;5*a#XF{P3e{~v zM6E14v5-XNQ7g@v(?*Fs8S_#F>UG!%AT1Qt9w^@6oe`xQjrIf0jIyJ?QJ@)guN}D; zL~yt6v2!B0pWQGc+KXy<%W{#oa9RB+!AbSW%A)V0RVLzceVIR2zZK=ks}!{qI|+(r zys?a>XF~8CFc@Ly^?rN0BOY6lbp+ii5-u(W>iQ~`{P8A|TKbtbd%|e3X4f5FK6-F@ z)tj)7+h};JzGw-%Otey^q}Fm4POCMjmEr!qLxjGv3RT@57y4X2&mz{*^zpXUw5cni zRN9J>j$I=QN?)3Bx%36B%YW&w#7^pmSQB;!il1?e3#R0lkdk9XN{$sNIkTtaxSE1t zl(FhkGr^);H)AbULo=c!GkOsQ&YWCH18nIKw`r>J8_k`fSNz&Qp%fRq0SXKnmZs@UwTqc!5t)SMr1FU|btY4-X z_@pHRb}p0ArAtsoB@N%4POara}}~mm7J?>A3jSxm;tOmx$5r=dLO~SuWJS zQ@;g4>JeEkdid?#iJ?_cDqdZO&INw3y2Ns9sMua?ZuS+kMWVP$pO~pD8lg#q2iH}Y z5{OAPr^oXdNDxkEauro@S#);kGFXu<5?ZoYw@MEM3B+iW#YnGPU}zxT)UdG52Cuom z;4YKsm7%9B(fKA*ZZV6b{$0g+;xLL7Jf-#uffFQc@Wd7uIsOc6od7UEg=*nO{af`t zAVio!h=4}xXZ0Fc>J`f1Oq@=qyP7(1y!@a@>C)nstz3pIdrGow1yQzaWjoN|4(tTd zpto%p8T5{t9r_*P<2&@Ea|nJfdq*|oZ^y&VWpG`=Ix$!rXe(A16A52qwvlKY#+)fm$2G!&ulkBZiA+3Te|^&K>i* zEJzUS)4GMfviE670;PhBeBG71^ad@>_E;`D0AL8$aJM+eN0@c)a2DY zv*H3!kN3kB3Bdo${MQRuuQm#CTomHCD0~1diV8we7A^JS7oL<5u~&}$UOD!I?RkWu z;SLP`-ZH56%1F@*EkwSF~z)o70P|E}KA+#znzZh{@7xLU% z#AQ86^$qi@5)acxx7q6El!Ffz zf%NQu4sTczUbTX_p|ZA7cj+U=5g^4byjrTF^Z;<%3KC=^EPTfs@dmgJr}2m%kNEJ2 z7ms-Gh#QZ(@EC>1NxZ2UZ*IbyQ+NyfFU`&PAS;)g;8xH8F|$5!1=b)RhFgJwLj26(FO386 zJAS%Qcrh`aKu_E!G7_4`AWO#Q_%i$^5vSm8?=`Sq7T$_tr27cyMoW`8iEd&9H;E+c zlLV2(b(C})ptG0Y(qUo)yc5V*>&K;5G9_Jpez)8$B(+w}Rbb2ALJM{kM<_+JWlEyagR3&UX?BY9|HNz6#$9q6@qx4P#b}6y+#%B8v=isQ1gC=&CSu| zw`h~$;tyb_6{XT^h`ZJ5*}dggROwz4SlCMjoW}HJPG@XY`9hS5=;4z1y{o_eW`-AFF2+J z!yAKmy%yK{U{ML`S*xwi#(UwzcfH(P;V56=jJULfgh5p$66o)^^n`uo=?faPOyvfx zMccC~nzNRu_^8(tG;68f{)W<;11@)%!_~O0^q~spqds@gq@iB?HKpOaPIuTys7n`; zdY(~`@NtRB($`@lqJrV|_$mBRJ+GrkrG_pY!-tjdDQd0BP+E@>SSRr;At;!+=6gjf&8GjBCK(cpk2xO@V|z3W_lf8l@&c#aD^>1NMn%@E^hwQA zg~~Q5LU4Ngj_`O>vcKLN>lc?S*Lic1a7)Ug(i!#56Rn-&dB^RevF3=eCYdaRh(9un zR+kOOEy+Svx+`S~I;&hdqnQi3c$3HGt{=?Y!dQHkXfzZBvJ!!;zM)JJS&iVLX^%^ikj6qVNIjsOFC~&DBPWi z{Xg2i1iX!_Tyz%ANTV5PX0(h(`)XOTEXk53@3v&e@sc=+vpRA1G)tQ(i{mtHnl@?a zPAP5Dqzi?Q?Y#$-+ost~OWEqSKyfJ$xLjx{eDLn&!e<^_?j>}I^UgUlBg;-2S}r$k zHFIXp%$)O|e>>;=OK&`!^$X<1o{_KZ$wsoy;b_F`u7cxs?dLE4^eP66M7RmW} z&XBjvzk%KQkz zz;Cu5D}iTpDM02r+)aY#0`a4zz=+HoFUk96#NM$?)A#h=xn!S#1k*d`#4eY0>WC%Y zm5COzL8H-N4ka>;f#b)k=k4h30ZQ7vy1CuqT*OM~FqgBsy+&er&g$|w%^X7=J>E02 zuqM{Cu0iaX?}+7maJRe$zesEWxZH*fV5^lVJYUBax>e)T3=uE{j(j+MX%?2C_;FuMRg z!Et>@O6V)UL|1n{YZ@bcP(w(|M6cE200*!%|n>#yAW zrfIcAY%wwOE#_dyioCzcVl+hqkE8~2o^b!}`F&eEeYMq|K-eWYLmfl)p0xcO%f8Uk z=#INhEjdrZZA#_S_lD*9-HDbkulu=EvL@tIU)E*fj1Ivf6EtCuHicqya$YH#55zis zrnD>E>X4cf>Are5P063GYZSfJwz{0)jZAI#fmxlqS_%hvIeSW9U zN6>?@_{t)WdBm8*d@?Z-+UXdjMzt-~=1TV&P$OYJSt{4j_E9UjGvLb6Ywx2HhxCHm zW(AD6u_@darxdPCYuq_CT-UNVD-pi|1gwKpj5qc5rjt|0wQ?n2u8BoDmO?A)jl`=s zLA{IiP>TxoO8Cz}=P=MkBj(3lXPm+w)URJmdo;nVP1m`Svxshi?zmoqcwxPw?Tr15FU%=X{ON7q9XA6!>F*2Jo-g+Z;M$6n3Ik zX?vSHm4;lk6@Q)$tLYC;14SF@gMgpas$NnNaeAc9n8*BV^+?1K*rN@!vfBs888L5j zwfWgnMU9HRy=#mz1WkEkk2naMXm#`YhNf_D3>2)e-Og~(ncYy|x+F7WeEZ?}9-D?8 z2mW={ry}i3njm+c3%K)VL2s;pKdZjuHvE3*u?FN3V{|^skAxpr^ok1Kao+hT5U*7D zaZQo<+goT2#AoA+_Vz8>(;uoHxN+gUUHw%L@>T7rn)a9t9v3brFV5MsBw0PMvv;w!6w>aivyNSl8~Vt%*4_Y@*DP5OURTY@61K z`jWApSfn0mMZF`75{%Vro4Q0nZ!Vglh)IZWV2Cnx=|ww`R+PxSkW49B5x_nC2S|7B zK^Dg$7sf*o%DIf=)gvL^?%QJ@o!+VX)3{XA# zF7klGN?O;P;jlxliH5D_pri+q`-h@z)>Aaa$}#WM7iVHzuRrE6=nS+OdaQI2FX5A* z7X|D-g;tyKWoHAmfm+TvhA%IAF|OvwFEfA45SfOf&St7=#CYTz;_D(IN=K=EmA+?d zXF8u1t1>l5N=kT#s)nN_^sHz+g0_Z$)+18VQFV+JvpVF0w)W~fK@C9l*NVCs8X|zm zWTdbvA81YaIhv&OI-@t%P?hYc>F6)S1I>%GzWQnxOMyESEk)A)Y$8#ZSEwOxN^~V< zmghN#-2`S$f`t!Ndx8!}teCHEOGpf7GJ-oIN0|gu+~o_)QWc7A5eD!&L46j>Vk^+7 zV_|A@` zXdpvvPJwQ04)(=0W?NQ#vxs@(1GGZ2^whU|`_oChq?JLRYKiZWFM>YJ!EQ$OFM(i2 zN~dkfG5o$F8?;$kZ3caNyR86cyQ1FKuqWMaBi*q*?orbywrAQUyzIb+oYpiCHZ39z zKl`~#-*6oC%SM-%SSnUqfcF2si!J0KFqB}j{=Z0rHRy5&?F_LCC$^A`4VG+1@^d;; zFS=|FkEkaO5X635FF5UXriCy2ecL3C3#Aevd;JY_a;dbnY4z_)PR)RX1Xf0zZ< z01yO%Zav50pH%>7pr_WdY6)U}49MB#D0b1PB9}t=8BE3^fX_228T?@mmV+s#B{t0( z$MEw&&kBUu_?|FJdH0BA@r%Itl1qlu2jtdP)_;*#TV?%;Pl-|U=3#1)Jo=3K8EXH9mExN?W8JZ!vKCJMI z2}P|r!;nQ#mJ6<5OiZt0D5hfgV$C#c>V$4WwFH|w3E!;?;Pa`wXTJL;^zO~G-o5#K z-aWszxv3`6+?1F)OI0-{;*Cw<-QyU68!!I_e}h^FgmN6KLN+c`m3x8E1IFXc*I}P$_)#wMx~2jFv6lW-1f-3wjuf*<;a*xWOLwxWjgXnQ_Ma{fZ2)WbF5GU z+Is^A8Y_%zI?p+HvFL_gu`YPMQad1X@7L(#dNA^mTcB}~wnjYekX6qxQpD?tIvB`x^gXf{20ttSI%bZ}OC@`ITFW{|~Syrz0dSgzb(HR46e*W@B{6X?Kvb)ME9>=yZ z;^29sFYFwkcz_WTg$cBc0-Fo36?udf;PO?pGjVoXAB4~h#2_?d0SL|6Wik+`g^;Wb zgp%->yc7#67XWBo9Op^`s{I&wBYuIp8O&YXNI#>cUeGY8ebYs73Y!BPGs6bDQCWbr z>G1(hjyRnW2MZJh@qUW4IxQ9ltJC!yb_Yb776WcyE|rpBGZ>XnA&^hhfG>_#e35l% zUu27p=`W%v~by`J-5!c<#$`KcA02TGur<3w)dJe4tGr0AzD`25% zO8~}V`55^_(90haG&zi|1?3u09!2HV*jmu0x%e3(MYLmpBPm`%dUjHWp{PcXs&wpR z3Hoc6S0xmpLP1AT7IA8w1^mN5XD~4o{&uy`AC3BG(FMLUm*@uXognn&ULYYK23YX` zxs~_?bz>#1xud-T06}2_Ap+$tqB5kliR=to)AaONS0p=eCoMQE7MZ6VMqAJ!2W<>K zb?=O8by4yjjo9$-YiCoLnb!mXQrr5=le)aFer8mR9uyU$2Svq@PVsBPW4LsZT7P9! z4CzdXUjq&Hq*Enu{{u(G$hRGQ{?JFx?{9?1JI3}mo{9|IymZ0nqF7|$rlkw^Es7D= zov%DTyyTHrZY;s$(N_)+J+`OVw&Uo~(4!;Z_z2X!F26(2x)_-Gwjr8xuw#VlG$wNF z7~XZ-vl_6uLgCT`id_P6jw^cLoiinL3o0WB{if|U^)#(4cr;e2L30S&Xb(7~fSrBE z>M&C1qnS8S76gm3q1V!MGXFv+r1SG}%0KOgAK82G@ z#0}@_tH2-DJVxAgh7AOo-D7xjk!cbol1{A=n#b^#lk{2)+V{W}BC$CQV6H~of*1;( zNTEmAlJ*P@A39Y6Psz|i2d?&j$EIU%sl*I=HOsk}E{6uvqp$||Q%0V-)NGQ>1|7qj zaC`4?y;aW4ukYAAua2czAOR_Z*gCYgYx!NP)2{B(mA@x42Hr^ZS=<&zFZyJAz-eWE z*S2ABZm_zTas{dbwBV7zOv@xhLUQ%M?w;JH8}|2now1@=2#cqt$O8aA!`MR#eCmn* zqG@n>Y_KafI2h|9Vcd%w&SPe4yR}_z8pGwHasKkuG|k!Q)zi9b-9FI0OMXb0qSm4ZP3yQ)e7zXd=gM_kn#?McI9gD zwEIZ%K)Ry1pEcQilGVx6!Dt}Vv7)|VWg(;& zTy`r^io=Cf4e|a4e>fhd1h>RXqS;mzcBGcw*wcQ)k|vIzvP*WsweHK4Kz}7>d99!rKbC-G-ER0 z<{8|CXE=UVNZjhe@qtc?R zpFm!*<6Jrn{;>QQao`LqrC}ia=F>H6gnR{;P?jqzSp=w918bfwfvR+V26Ir?Ew92E zqE|#6m}U&0q!_>$@@6})CyhLZ%kzda!p7^`ubZDWQ7l6lrNZ!NVb$HMYMtHth9`*} z!0Xvep|EkVC$eBT5DUUcsIH(C2|Hu+OI?jywyO*Q$F>1}a1_8`rN$33#Jr+u;nL{B zVszocXpuC#$B6BKAGC_CqSS!+LI2X)%YgvZzef7N`~W@O-=Oe=rGeVZCG-MH`XKzE z;qPPzM?3aCwWD)nWeabhNwbN`FWueUwYfW#SbAW=K>#lpJB=AZ%pkWo-?DKaYlOSD zB&9dEEE}COeBWxo42E0h>>f{dw1)<`q#&}JY?rBz_&n?~oHGpk^7rZf>7c?SX(1>_}S&55fzG_@7vlbW2{GsAv zk#95u*0}TYrF_etr}n_(GqK)HE!|t@gsOWtwZbDI-|^bR1D$uh`msA+duX6|*Y}R? z`_zV5%l1dtfMdLM`=ij!;PN~88@g(s0ko7g0PE=>(f~S7dz46jmtKbZQ&0mq#X$w2 z6DR;`)W5{b?<4=!Nu(Wr!)Oc04!_;-8^O*2CT=vm%~~C9i|iNmvO!Tm4djM9jD*SS z5FH|?ds5FR6KK%BHGrZMx-8a)?baxI*4rG(IjQ<(g#-gl z1b{>m8y0TNuefbV+|{{n^%w9rEMkx7vM@BjF1yd^F#Vu=_wYb4)LJXMLoVoLY_f?a z!RNKt&fnae+dO*z(C4ZFOjZGWt3nihr$*sTS5SDdC}|}AHX!d`L+acry{%y2wQ0NP zREhg-NZ7wdguRuL-qz4_CROAAZK|$nDScvNNEi_=WG>gL7+jd9r(a#3cdmA4Bf-siD}=WOc8E}xS$l2lFCDnQkP zm;XR60+1Zg(Yyz~ z`_a+QT$k!79UlV6WP9m2z>Nn1z0?AFPGO5Q*={-S6M|VS;(wKCqC&|pOZNKrmF%??!_t?YqgVr_V_52~UyF852W9~V z+(4NvE{p85&|lYsyPYt;s-A~&Rs}urmya+w?{|n2kb0jdbtK#Z)4w;W7o1>bxCQho z2gZUXUuWP9brYa~6rcc_`~g#*gHb`4O$?i-jFp{8gR$}x=~I}|HHI5c@k=A%Fr8Fj z{8vg$Hy++asRZEtYo-#gUpWmc9D3olThH!qYCrVV!?%IsshUOm=B>PWUNAm5I)CWq z`9b22sNf~;%6Vfcw+sEr!Ibmd-%I|v@W>+g%S0r&BG`M;KKFFs0Cp%ABV_t ztVcup&njhNTx%&R;2^hY8@kh6{+I#`C$>x*7Kt!i{|d!}aO=h7u<({(#GPKYuNTa8nprUaT2`Kap?o9>oJID&&RI^HRhpA# z5}I*V@x+1+NxZ`SQiAT6&@$Kt({9*gc=kMzgbZGB{RpV8AptcgR6sQk2FKr?1IGxo zN+FU(#t2iL6fqKI!ed}G6XPNyfsI{$9hHm%_%;klRW!mABW6t2x)qL8Rl$*7CEsamyFBChAJh-hL1qpEbJ^ zZ42s_Z*P};om={vmbb@bhWP05znt7S^refRId%~p|7qhV_AhUA4u0&br6aH2-V&L! zZtvZgHkSmPD}{4t6e1BH9`WK44<2^o5f>hDs`(h=Xml*l0<;cAz<>i%hhq@*d`M>DFDpVjVkK3`h11}jq8j_F;`%q#)FEJSz!RSl zaS@8b!s+mmxG;w6HD(2+p_*QBA~Bw**YaCH*aIwEJMC(#ips*-5_km--!aX%t|l!1 z;nQI9r^k)TG+2P_TBpNdaLIQcg41Ai7L0iIchQ)b;thDhhsV9pR=Ws+?|{HB;u53= z3FTvv0?2}wNS2wqG9t~-5r;8W0U--5vsvg3{(+f3^d+4rCznHzL^jy}^CDo@bo|6Y$t=Doq^EKj1l>w5ekWgvK3zd2IjZLD5w&J z2JU6KVGuOWQ}m=8KIvA;0SJ}kS!803BHTglVph@KtWuI04!0Eyp1Bo9ZD@t2_5?lp z0M*mlvV3>3a=ifGyXv8>jdri!j1~+$fhr)omS-DR7As`es>T>xJB*2h;M*&KG5?l!rui`gSzX4Zh^~!wW2gEj1N3UM#1W&C( zR}An9l7VsJXT$(ncPLvookrG8r=WGyi~ND`i`1=GSU0^`;tx>a7faxlCN`@6#%crc zv+5+0y$pFw~X_B_owD!dc z>pT3W)KLF+d?Cj_u^HFxL{r z)wcFUJJz;)7cPj+&3WuiE87B`DC$|>VUau*o7mRqO$P+p47j|Fqq|yD4OWL$_L?jv zhI81=o?LIDZ?iy<-b@itVAJJ45nG5yrzx;#v0*-=;KZFL_{07%9zH>R1b}M<{D-H% zdEuKX1)eDJhpF(161e$6*ZYVqp`IOm{nvN-gWWs&7wsszJ|+Yks=~RTU=8O(v3kD= z?_F?cRW>zr+hG5#!?}jlxAZp+wRk;EOPjh^=k31MrC{_km*2tfB#r_KY|<$3MA3*y z@IB9A>W&%o2LuBk!1qe%#x-~Q%G7tKL3G=#ZV^$R4yPIVc^zZ23w9?@iO^tMeJ4?~A8lX2=gjt4A+X4$)|vOZHoDwMBw0sc#o zl({ z5Yb^0;En+Lx)?j6(c$T$sc%7~uO-sg7il4(z2J|F0gTJ%<3b%?_tYGJ9FKp|&+|6F zpPF;nZ^Lb0R?*7>)ugmAIRgGeYo1rG>4CS*se7vAkAJbGy@9fQSwlhP1&PYFPqbk5 zzLK|LCh(@^E}%;x*0;4IRLE8FG{YD?HElKFjGwnuchq*lY?H{&tao0n*_(@dX&oS5 zoTQAAhB?uuC5>)txZW3Qk4s-k&dYfjvnV)SZVM0Q-Oixsh`3A?XEXCwR#%@4rv)po zJwiO%Jt=28X^cashFh8L7G}ed}uwHBtHiWImwqd)mo3O(; z$_7&0HF$k#S*hvfTib4p?b%nmFR)>AWV4}ffE&Py-MVg}E@#V?Zr!(epgWiA9@xC^ z){@?{a*gbnw|D=-{av>l>N%9%zN=xEYj~Y+on^@iX$8^JL3bEyQs&hDL%Y_k=t!kH zR;=4~Xum$XbyFycr6N62|Hr1E~|DLYT zWa^0}@b{7nULfu)*S+*iT`rrA;F(-5gTDfAPOX8z7h&yV@Qi#E{M3Qc)IZnPXWjw@ z{4sE{0>14a_`zSurt_Ejz}fM-x*QQuD^2Oa$#3Cf|B|cArN9ZmRSz*iT%i6PXsoA^ zH~TR05^;|DBUZ1*X~5(t^ehuhi!$poZ)He##+``=$1P*TJ*VU22F0jW34ns9Clx0% zE1&UaKAj;=N^MJUyael?HN?kD2GzKBhMGPz6PM(WkX3C6Wj~pAcWH!H~c#oL&!;siUxa^$jrm(x2OV*Tbv)^B>U z)Eo+%L$-iV&l=?e4BJre*ond)%&j!htT(4LggV) zK7h(Eqp}W^m!R@1XskS-`~>xHfR`YznsdnPQ;Lrfcb{V&>99`pg45GwTTc}27VX1> zL4phWS5}iNEM%t>7nJ>Ct>jhwVoBmIj^V;Vhl@Ap{`da@(`KhV5HuROAHB*M*>KSA z6pZwzK1CVKvfUr%7}KjHBRM(bD~P+N{x9I@Kpe_8d^z3^bZqD@YnXZ)XJAlP9e8Hy zIk-Zv;wcuws<3WkH5?fadtu5m*mz6Xo)t);Nk#LBjF)CrkhL0#8U4E=Atm9^ll8=F z6wdl&*(1=puBm@*BMhQPmi;VF;YNZ1N{ZwYjl{C8uMvMS3k-o%diwN9%0LsOK{OF> z>KPqD=#2CyroInauVNO;LD;3{AjCfka}eUIPBYGN6KtbvoZhR>wI(mXPMk40$4l_m zS=u#T0ynRkhp?>dDJgS^KjpSQvgM0rx7&PX>q8qdA9uH`>|VXPbEws)+qU(IEm^Bf zydYcin;%@$cwJxY(yu~uuLroK;yPBV=E-zbL+fjSK{*J=UytH24WZJ`YOS3}g~!ux zUKkfHA;K4-%Ww( z7jzt$`j>Z*eK7cW2d@R7C*c&$tXKM`2U8bb;`J{ZIN2=7X8K?Cf&=vt(J!Evl9q1| zA4d81aKPvsHK4IYCOrN+bnCkUuhyc#PM6fKeg&%ml}%h2^p^wuy&9e&CyE)KOV`f8 zM@%SArM!OXN3`I!T71ym%4e}c3)!T0kN72}ht8yW3Lls{qn#6f(axrRgeSFgrO%K@ zVRo!W!8;5e#*COi+{NpT}20 z4~fxU!_Kso;vWq?KnG=AOGr!<@*E|#Hr9`A=2pdX7tFipKY zbuZ6hD!l;Sj=7YadMEWb?52Q8pz-*)X|Iw~Z*l_l3|u^G!T~w#)pF|L6}dMnQtROk z+>Wpr;{3;Xx7KXxpD&F992Qj^|GC;c)D9$5uvTmXYDdxukvR-gY66@N=OF>694zUJ z!86~eCJnTtdXrgUQUQPdYBgv0Oq3yC_LT@%cnWF>=1|ff#gY0g=>A}JoAv*WGk_ok z$;{wy;J99p?a*h$XYFwWoC5tF@<)1$-D#O;v~mpbYtT9H4*8m94mC?~UaQE10G{MN5iZlfpc39)Fg34nbIBl>)-gVDu|9IJ3Pvfz6#HQ{! zOZmsI^w-mzZ?D*KcPEGM9X|C1`1{P4AF|aAwzdss?bf|I}&InWIhf@>TV+e(F7YRSxt;)lyKtcI2^kI#s|Lfzo(7?kR{+ zipZW+VH^{2B?jRy#XpLaC^|aE1CY`+Pf#^xMKhiTJodt*nyUETFPD!(8M_@SsCgB#r>o(Ezj1F&&rwKA%JAjaz z|FSR6r~5LpSk)A^`8~3!hoN2$RdYUP?~-69z!PsN48&-7&Qlk*PJOKmxSz`w5;uhM z-SO%|U4%2ZBXz!~B?}mf3`>$1L~k7O6ji?iI*yt%jRw#=D~q&c+&hg3FlX8&$XCwN zUdwpNTQT_AtBibEuSU{z2~ZxX|IgmHz(rN2|DSW_e&c=@<$x$C0}LP+fs_%3iy;u; zy^>*OfYD)Qni&LI%W*QpvW?2r+SV*<%dIWV?rnx)Xla*UZsu0IQElyR*@o?wl&HVw zecyBDf>_pP`~QFY`^|Y|=Dj}e^L?K0`@CnE8F@_9B%PGhbR+VO9)@aNK)!+R~E zqN&d_hbapsV&0 z%xf!tWxJ%va<`%7SGLlkRd=ttd~L(joJFfEm#=L|%~{lqd|5~rFhxQOHHC_OLKQnn zpdf|_upznGdB_s)R}0XGQSg`T$x96M-dYS(q*9!%l!t~W9(_6{Os+gEO^8p9*`iR< zhWMzc_=IStr9&B&6dNtMRwR^ z-|7LsNJ4eLzZNS=&SfrDg`ABKj|_>rKfiKD`20(!UXn9a7A*;pr59JFYg^_fMddB4 zyqUgc5^af_6rD6>YQzKii>gW{&Av1`J{s=!l#=M^(3IJ=8EJLPR+VoAE2q=ROpVY% z)lj96=aj0kkrgQk@LU#Y2~9~#$xd03VoMRFq=-sGMNxbR{|kE#|E-1Dh-%(FhJJ_V z{pIWQsr=U@1KwPj&LfNA-&|p8GMiS74^uSE)a50H zrQ}baJ})m-s*nNN8O7BzdajOF&z+W2Uoe@8D_UNbs)~$~NTU)nBjX|)v{iMH32~7z zX@w~XnJG~*@iBD0b98J`vo9Lkwr$(lv2EM7lO5Z(ZF|Soj&0l6$xFU-?z`u{JKpGC zy?(Pat7fhKqsQ!;g$P;C=x8CWEZ)gi&BL!U4v~2899HR6HnMY}8Qwk)q}J>h#gN1) z8$PQ=iE+sofdj%XzS1N?N(IBev0wcxDE4 zTJ{}qx*%1ybv#K@8b0NdOf|F-r(sMA-5OEQ) zkP#_S#X_jjmS?n({)ngM@p#o}y`ZAXQI_BEN*;NbLex>F147L)a`AJrXMFtm_2N(_ z&F13}X|Xi?zBhmjl7CTTAHnw=cVcRgc0w&F7<_?9-6!EiV+d8MduMWJ{4hb;-z&7k z_0QC>;H2_oaIBuQQUAy(K?~!%EtRBz#9S(YLIMhj7`Yl#<;(`fYX|JzXXG#QiQr(w zw=piFz!&^D_IQX=UHK=7=P(rCyNup2bR7XcA)-}}9&sajO<#|mQ4;hd8OJXxOmc8? zq#?svoIj3a@=1!d8z7E@U4kWvV~_EO$ka=yBF zP^3g3zE3=>9jHC1W{DJ7fqB$8iX0jVLQ2a2x9r#LozVZnKjcqdMbZkY%8qWXJF!vJ zMK={c4I>UY9`&pLt@1y#`Gs7;Nh!YEK>cz#5+(9yw}C<|jF5 zs>C#&tU_o=X=et(ia%_>9bQt*c&eRVLQ;=aGbx|I@nh7%f!*FDe;Wg_II1(0I`ZEd zsj|ezfVPID$cV9%2_`DqGo@O}0_@f;6czCmc1=}L!G2l@pdZtv+LiXAColZ8T0=tfvtcYiJH4{1*G^$k z!AmZ5Hw#HdFE0myaYvaL=pZlx+T?c*Q>~_z{-;82Zr!$wsegc-7 z3i70W$W!Hg5+V>S7#Susxuw#Xwd!;CxON@T2Dd#8R1m#jMO)*xUk9oHvliN7-1ktu zSVrR6{w5rde*X5z`;J zy}dr`9Zt(X^`eu_UULZ8>+OuPWja$9Zh%lo`HNc=r;K8=a3?T*LuPou>IEa`*lmxE z_O2Eq^+LSI$CZ2c^7MOY1I)buO$S0pZ43)K?lQ!tM4Bea>|j0F9=C zh^>Fzk&mXoGQFwcO*g*MqO_n>Fv^^}CQR-B(WnG#7!0Je3&{6Sl{5%Ot+bk(9(!Dj z?Qx{S9_mi!yG|0JWa^qP23-!0bg33B1lkCoHGQ9KokQF~wpWIHzY$Z9On-APubjHa zDqeCrltY%|;88dgdvqTdFtKT#T3HW2%fUSY^~GZ|;@j-_8eq=o;KG}lD8o^h#wcW{ zL){V-d-oF7-iG;`KjvO@tqbEXdNSl_x&9!Zi$f{=YOb+Pvs?BpggDyeurJfjKbH8J z4$dOet_2!*x%h0qp6fXr$A+ST7fe8y9Chyc-O{%uKfkzz?89j@^q4Hdoh+Q#J~yhE z*2=+HUln|H1_DfDZVFXWuO2Yw46&dd8> z>`z9=a#6&7SkAj@se{a9{LoP^h}Ea}6OtGPH{9IX+ljFfN9=|mAK_{^aYJL9E0)?h zCpGI4GZ3Fiw|+juxyZuDqWN95e<9B$o0gyucPi1yJN-oSZO(P(l&EWc!BbTtLx$Q_;gh(Z3j-ZwZUs=Ed z@I%?dXE_W%oHp8b4albz=Q&Z&{pW+@TtC1nRM(U7gJkXJ1VxD!pu= zunEHyoTRb=&IPu^vsUbF~l4`bif&qn~IhgU6N2lS;WU+B32{sCr2YmTiE_ zJlpQPu3=F}lw7m4ec-~F_S19C8qPJRebJEZgqEh2D5}1{plV_1kReUo*tT`cz_7DQ zG%?7wH(=0 zGkOOgbn(F6w4Rl&Wva-{g;QE~$p8q&6urv8zCy#S6z{`wc0E6;#MxCt+mySk$<-wT zhhg5r(EwC->8ehUC9XMd)3Dy95qC#>X~SX}Tf1s?yd$o*pD7Ipqq;7oI@*S{)o93= zFtvOHG;yj@T7w3hd1}n{th&1@A6iKALiDOJFcH_YZ;ydHUQFgqwq+tF1u*(@c8^65 zc0h*>pb1NGz7fG+wgHVOHedJ}U_d&iN>s@!Rpk2St|CpZ1LKy^@4G%ZIFEpuoxbmp zE_BQM>@T+}Ar428u4zlq$Yt58KJbfb<5;@Nx{3HQx0wLSDplyz(V2bw#q{2Vb>Y;` zt- z_o~!OAgFc(4FzV$-+)5rOywGds}|ruiT-Q2rYEd@2*^C=gi&N-rK^WPF4f%_sB&WV zs5iq$JJ2@fppUOB0pP=QK~m8tZCFPYb2z?$VRCMvtFyai8tgQdEm$-- zn><0*(7n5PyYE$`oe*ts4sIPdroeRp+mZiWFtJ!m!fc-{a0J;V& zGTkHLP`LAq#8!wD#SyX9wE&hLWvxr4iCd}b1YRIGzF~h zXBK5$Kk_dMZGo^JbnT1shUp0m`{TT{1T{7tkyS7fo^iZ7R44#;nk}2zUnTb8?*m3T zaW&}5CAS{^&yhlc6EM&giWk2Bp^wi;_|8Dl%@*|Tm4mXpvNPY2Ff zt`FK3jv&N3et5+8VEqTK-VKnz41B9DucH~-Mwi_3KphC85wLCHw_?+jEg9xxU`YZj zyi|hBfCm=#+@`_#2VRspwlimyeiS4%!x}-Tp_Xa}3|)>sA0F^)v}xCE1mqB5bT!*6 zZkuW*v<+s$ze;idt*k zDx1IctTNWZM*j*jpmabpOKbu?Gct6v7qoSH>(YY}qt`l)imiP}E337}bv2dL@=aZ& zu&`WYWUPINwHovCTSUQaSX~aAp{Xs;DpougYHRSOAF)=#^P1XoM4%ljES+VR?=3B@GEys6$1{$&iW-rUELXv7jGSa%|7h9}uh-Sp=l4i}PpJ8?b!2pmi>iyX z3aBl^h}q&c)pv-<8)Q~AH#fUeUJBLqAg-(FZr3%{msE75SJ%{5&kFAI;HsBot5p(9 z0hZlq+DrklYUS(XRnPBgJmwW6!tYmUX;%-()a9UkCbKX9T_(ghd~}(LmRA8DA2z-I zJH#r(#ad}oWg!GtZe0cbIGW8+_+6^#;lfn_+MWR$1_2}Z7%an;ON+{7LwjN=l=u(a ztUS;T8e|*o1+fRVhxX>ao0edNzrPjilX)A9U=F&67s1<$;N?l+<}%=RmFERba7PQI z%Pr6j8DyIbqUXDe_{s)oCG(&Y48?^mW=%lGnQ?>sj*<&ECw52f$#0t!g^W6xB`W{R zrG8(9iX{iLZRIvU-@HqIVJHS3T6jNLiq6&Bodb(rvU}w=KAu6B;w43BTOMKWR_JAH zFcxv=h=VFXaxmN)4W~o10tJXUR1=Qy-2c}iDB-RAM41+W%Qozo3;LA2++6-&U#=+6 zY}vd|ak+fHV(hZS2c|O`AJFPN8)uyEj2uxtVXKp9XUOjGnv(ZZvNyUn$u|j4((c@= zg3uG#z}JWBz@Z1%ekRXUea>#NoxEIB>ta2WtUP$Ap1d!D7w~`iJ5q1>fl3b+{S?3u z7^s7UWC+lSH^gvHxiT=JBgoDy707&8K&jF=)_Bn#;Yk;bI0!s1gufnP00n*F}P7wAv!qj<# zsLKMSFh8JZO0Wyiq|90P7X#EO1Ei4sXJ#N8HQ*Fj|3kLE2H-j#Aaz|3noz)rPX9xt zzB^KVOi&s#P;!j$9cVJm8+g--9Vs&Kx=BzPR{vtiI&H)-k*-a6DIR?ZaGDe3o@9R$ z!tdil(a8000aEk;Q^)}(2%368)%ieZi2Y5X;DBKu{SBb9;nYn5Qylx|LDV1o52^Yb zVf9xb)LQ^k-T^0U`x@ZuzMF}Cf~bGOsrR7L82t)7ln(3bAB1XQN(7v6?n{7Irvi{d z*0%tqQSEbN)n7#j6G=G>H9^uJ1E3-GKUD0S2UA!5iO2-H=>m{O3}E8j{}4nUvv4jq z61G?gP>N4K9b7#FKnhyF9yrCtzZk!616mvplw<}tWnk73r_LKl{Zr)H%-l099B~r7 zm)_JA5RFzp9sXNq;@diuI?jJG1x)h{m?8!U{g${P9H5Sp`)ZI5qaFb`Vc0hhs9pn3 zqXjS#I?SE*}cL%5c z3R3rxxHSlI>Pr^b6KQZtjtQ%u4y=v_ga)jC3PiIEn34%Nq1tz6)ptjr?*UfV1*Et@JW|B9 zDG#?*Y7PP8_sIIY=%y`;F3r{HwVlH{AX<9YI|7zSoIDPapSn92=AcC&bTvUroQpx2 zKN@uCm^p)xwHv(opAb?ZNg^6-${?vAvx2#Gu0bYAnscY;cJx0-GJuGYJ9>J+6KoH! zw9@TEEVO8Cb94J>3{A@NM1OWKgEA_ygP?^E7L6Q@27cB}kVpOn3 z6J4mC%4=rmhBCk=CPILusBn!Y14Z(~a3Bpp1*DV}0%$5I|CCk5$xMTzQmCFfpF6LC zS78FPh>~X&H57uu>C|VW!miE0nO2nI;n>vNKUN5l=a|CRbMXv?;5P^#?|@yJ9%2!; zUS9g;a{URIGEzJcby0*7*xlfxjKY*5=;%AeBf=m>K2q^!5HUv(H2}nne-rQU;)~_& z?L%DcatcwU5fgs~4=Z1c_B#=H~1?avsRi zFasx6`ymVMbAYQ6>xO{tp=Uvm75@zk7FiJ~PP#p2eFrIxwK`dmF;R@H304)r4EXy& z(k}%CRRy+%Xp$_bQij={5U`f~d^uTWM_;W#3#5z`f0Zz0TS8zopvpF&BUD-xSm@v; z?G1SJ7)r4!zJ^8))A~Yfe0gYx39bFHVgw{dNXlSy4ACh2;*>gr_NWA5eeVZNXpjNC z#CgY69NKynZg7=DBRrf3mmJ263&vkae|bZ^6lOR#_@~$1Z#?-}tHo5pTb-n9@(wEk zQUcIcc(`p%>`d%HG~kI+=NyM{rg1*!|0u*h5+)!{kCEzAmIEF0I6{)9dP<;^r3#@o z#>~e2(rN<>EJj#>8pQD1lHl0d<=X`fBM-Q14x_gf->?E&j55m!EJ5|{d6D=l?E08j zMTz@5_E%{(DzJ15WJBp@LkYxDE}%V>cY0HjKuaV_d)#w%V+2$X2jmAolKrxiIBHl2Fa>PHvJh0+Xv|TZXbposzhDs8tjn%$81Re$d6k zLTm_RUTo3&=aCIvDk|e9p&!ngWZTE z?(LBhxj6`^2h}L^lbM8=lZN4WknKnOy)fG%s1@u?7<06g10b{%K-k26q8_U-ODFaT zxtAhEBo-w7kNWuQ$UGv{=-sU~wliJnK7I|dc@I;G!ism}z zHxU@~&jrFNs=L{ljVB%vi^1n-Vqpn;koX6dr4lkbUbj2AI~YIDzBocVLK|usi^ExW zx>F)}n5S5tms~+|Mg<2(PYbLD>%S@fyfVA9vx86%TZmH_vSY%SKnRsAVbiNcS)A11 ziip|<8w<#-Ifd$blNdqU3alph(`tko2S=H)Ns@y>Ktb2ep4Jz=XZv=V zz>VML`xJ`QIIk(;pt)5q@3h4jB+&wS zY{RU!O*h!A+)l-{rL>`Ytp3mm;VCjkjnjANvsrtlLlEX6K*am2hO0xMQub2;+iHqO z4iXbFRwv*IqCatk`h({up+Djr4>-cHS78FpL=25{$VAo(YEy+Q<+H|h3IBT9#B%OO zgFu8A&NtEjP2XzHGH}r9@p1h&d(DMeIJ7pM+?Z9=1(Dng=Tn)n$i-D{+0wOapwOs7 zwodhN;x85oIewDpV%eg4-SF8qw*rQ>4#=N)9dJxxIDb%$HFK~&)R2x{!Jef!hh!RR zcIRpC$jp*mI6FF65QPAkNKnAySm3hnAqJNyz7sC z+9(f$L)nOqfE#HV?#d2f^tctRF}vhK^b`sWN(6CwuncE>hP`sU=s5a$Htu&(;e_cF z0*UEUy&kvK_6iw*$h*xa@qQn%*zv_8dMoB z)n#Qh?etu&q;xfP^)!tlTAI=Hl5|M~QrWPwaBp?>{d}blUg=1RfKa&NJe_HG^NhD_ z!?U7L-Ak!hsu%aVeW=^F2f9mGMEK2aE3^g2>uajqgi1V*ow5qW=hl%H^3Mg2L(cUT zrFEw37t{H_J$7{K@5_3$y3VHkyYF|o|9<(k@kWGKV{BsRG!rZNLO-tTVuKxF>rt*f z@0LW(fUzI&CNsv>y{|WQ4q|!Df%nGp?D!h_PPA0=ecI1HIr}**iWx+N;`*fOWoqI% zUbMoK&765X$6tNQ@xkagd0m8*vyb5Ox-ZTGZ(rETZ#Q0yHf%ohPvrnVoSF|i|LLGl z8SfHwK95%0(r%M*o#|;YusU8J;6CboKAu{y?Kz5Nv;lsYym)K6PVr&#bi6wz{9~tj zTJlSWS%2ehD|05N(%a`Sa+*XG;N845G$lanSH{@R{21XM41>S;x*ue2ZhEpOrMH=K z(;ke+orlt8Fiz%o$_80v!?>(2ODFi>tpx7q$7O5zy4j7M^*^`dWtg$zNVN0Xm6aL` zEkkXEIiFt*g9ezcgioJTO#fy~`E;$PU&0bc5Wx}EF+qozr-T*PF^Uki^`b6W9$8hq zc7&|TkJHX>Zt~6nk&Qf?yIK&%cQ5>wjLuS{jEh;10~$=QnJ9nm-O%t^(8DrqwUfoI z+ia-42wLq`pkFETH9yVLejO(^N*#e}wK&`6D($*{DZNO zaDKwnv0KbMH}ZVy`EHq^H_YFlKU1jF9$j8g{cogl`=8d(sjtuEJhk3>V*O2w7Z&|C zf1X6*$G*Ca=Ji&;(*3>4Ewt-V*T?7F@1)EH;_F<+Okyxh>y?acmmh63{IAc!6HeULp|NHk(M#C2n`_UfX2hN8BR#w>uPfl^U}ie+gC>3%NzMhg zgKk){X(236ZoMq7JJ;CXRSC;C&rTfCxHr!kK=Uij{8A0#%hKeTd}F=a=7yP*moEdG z2k4;w64mf!U%Gb|$7l3GGBP zDjdO^;8^qK`r2Hs>9|~=JeJjNKD*I8wOqDeFA2WG z@8xq5k- zg6I+oO^Cj!S3DQZZ((ZTsGx$99-mn{cW_{e5y8@^c`x%esnMuqW->iKMLkY4PCYus zf_8Y^bn4`EZ`OQg+;09~?|6=gRkBiS9HKthDnRbKKNzj|RdS5Dz#q zpf9YKdRDlkgh5H^w^H5~Jt*DZzRd_dsK5VqfV|N@a!9qYNSSyNN@gH2VOedtp?P3r z!i^HPv_2FE^2;Beb(Zd@(F@IVy>>4H61QbFA4C=iosv&3fkWlISzM3rxK)3n7sH1+ z#}BwYKK<*t+KyIP-JD5unZY}yW-IT#EBK<73xf|xxI7Wj;yJ*#IC4Rmq ze==pK=@9B7_gu&y3n|SWkB!yg<#j$n+YD-7o?2xxbC7xd8*TRd8@KBg+2X;3-i&5K zgU{vMAcV4sgYV5mKSI^cfnijU^++`2^ZE)o^BP8P(0^ z)BLb@YI#%ZMwZ;`>8*7Jmanl{!1XDi?B9BUE37rgU9s8M0JPG?eoj?SkJ&)xcF*H^ z`>kKp+RJk0^{M#fImN2WtPcCX+W7;`f%HzhSlzhigMySdw=?%ekwY%R1+zsH0DM3rhg zQa?^rPXaLqDzBK^03j`@fsIn?w{tfN+cme#1UtBv?L0rb_ZHw#Hry5!AC9=@*GS&& zizVkAb&dPk?ba&3hroxv)r4An7qkd`y$8_`{G2b(`$~2a-$PxAWqwwRY^UqFLrSN} z)Ip!w=k1{^51u3)?2)MzrX`pJANIjEGn)7~QmH2&^3~@AnKpm#0C>RJY|x{=^LIq&RwJ0hT+ z)0~veB-3Xk83IvzhEBO0F)&$q?L8b2zOoro{s5$aK#}VR{v35}5;hk6BhQ3Y|5CDq z$`XPN>F6Ul1D+b=kg5>t@mHQ(gOs_4B^d^Q*E=Y0WZ0vR;atPyFVet+UitZ~RRgPBi3RKI1dy z>QkJBeS`MQf~q`$9-wtVb-0E;0cN%|##714Cp9TAsK(sptpW0Vk!H%7S)CY@9`H{` z=Ti*1+H%FAewonKb~uLl@G)afaWa>?;V$>tZQy;Ko;X#=b|y?KqBd+ide=_W)au*) zjg}(jCf7f+-z^aLQ6kQ8P^^cI9sJctZaIWB`r%xI9+|s0l%sVXQgczx@Wj^P#lonS zc#sw{p=*Gcnz?d|j&fquevSz0#hO;eu!?wJ(yu3=#tHkRO<(}<_n125WwA12NTxxm zEif5T5EpacZa38dZpSOD$t0KGG$|W5n%S30*+Ha@@1{~ zm_M2>{BBA@PE@q6SKL1!@Jx=3At+2t9Kd<4g{=@7(AgUUSqJgx1(Dg{;y1xV;|avz zchS@b*z|44z}F@ZBTShg+D3g=mtpn-T4j=a8?$~LEGVsiUp~$v&Y^g*UTmbBEOI4J zxMB||NTQCwEXZHLW*vdJf9#Bmx4g=&wQrHP3;r zMlIXx zG9mL2`j#hiv|H8e(S|rPlUpaL&(qyeL@PEBE^{M{03kwwf0V78%2IiE0|daa3*jK8 z=&D?0E_S;2h`jl4K6b30$y+8f^A7uVK?``P)HO!4n&bZtuj$OCy!^KdPKW0HeOl%t zbUbc|sZu(*Qdh)*vnOBPnQdc87J|m)6heFfgdz8>dcZ^`cH^&2D%D4ARQ`HCbWgjB zVHv+u$?g=Tk0_ylzoF54vKr-;Oe*`g#T_*^t5kXe@?8cRll~J)P=&Z!T4?xorDqQT zrxhwwaP>KS#%VEeWhMUIi&3kFpYooj?}Xb}O?CW3TfqWb9Wc^Cbz}dS;Da%=^9FSA zaaekjK@w4~xegw!*!NFJs(-WJbM`cELm_ zi#_^-U$UqHt!ont^8me(Ml-#<9jo?1&K=Q+*F3z;+8^-sbY?x@5f3h~g>l!8ww|WV zN&wzr2=9_>hSo~tQgN4`C5WUTZO8XsF+6>c`{Y9aFz%53^8M-Ry`Vvhwo3s|PQD^h zhynH22}OK|2zyOHAb{A6%nM3%md|YBegMAQl_x*D9hrA$o(cYaW=Ldsx zcgUTwfeEVlJ8<}p*0oA?QINBs3f4+ZX}mhxgqLGiu#3fW@)}kj>nYK~m|f5$b43~k znSVzVf_C`5rEu8huO^YQ)NH}td9@3(?--`8GEPwWQS9?`cF$OrYx5HNz2`!*I^uNjETy>T`i4LB7E}Goevx_&s%gcy4q-1h54Q) z!9$C1>G`E2fgnpI6g2i>ICVz*^NfB+;g2mQyo%X|^UPc|87M!Wk`HN;cdMZWj`ebk ze-JGuS-%h63%Xu#B_dOxhUiDp-4%ly?h=ewoi@`A46h$26WohIGY`D8rm^|EMD@CI z`S-@ogy%y6&4@6J_Dbs>fUkxFlh9%v>P$a%%qTR@9xmI9WovFT`~eK zj5o#Np*H#SS5}+<^`6m|@OLh!9@N|;{aTUG@)Uj~_`n(ycR|E3;+LO^sx`P~T+B%t zn3KD=QfXAJ$mZqRnms_jTe4HsZHp^rT1aPLYbXbzg+4XR-NbjQgbo7i-Ds;5(pBPOK0fFTmKgo7VMe*L zrsth9>4y^kTNUWNdYGV1Y^kow-_)oR`sgk8q~cc3;wDYr5$fu98$0I>IPPP}i>yz` z&z}DKQjf9EY&dCsCM-IL@Y|)_brp%Eujxhnye{tjTV#F^QIZNieWGRTbV_p(=i3o+ zK-G17V%hw&B7lGe_0I~ z0sC1nvz<&I^ftbQIC9{eOr5_;kueBn=GB(Arh_YVSxhP3>76}XeNKG^9OL3W z@1d@B95y%`c?MSE!!l;px!T033RkJkaz?ZHfTL9OJJPsINq^?NeFQU!h@I>5L0yKB z;tIoCMa`P34j1`E!oC}pY7gAb1Egc@S0nuEC-W}Ax%K|Fyh zd{jNm3ZI_-oNG5_!8CVC4C}8AD!a{u^};+?7KbT}wtPLHsGl-oqm*}o1q>olNXpB> z9eB0xz6bLjaC%3xg`fe*V!yI$*;lc$!>sq5lln#Bo@=XU8+U4;VtJ+pTw-63JC@7!c9c!V48Y(IR7*GYTxe_-$fWX9>-K1 zor%kCjcq@Nc~m<2z!JMu$ukYn6!P1`)3%@i+p?>h7Y{o-WIhCgM?`;A5)eiM5d#Eh zw!9Zxn?D!sqlF_O$d)W(CRbEiBqDFM`%cI`!j9Gw1h?*;hPm&nniw;Xz$TN@5)^X6 z@1X!QRK&6infPj^0TxiUvtyQE5+D(0j$uV^B3Z_FLlBJ|h3rO;ciq_R7$xU=MLGm9 z9>a>{Aar}?t{JHWLtq6{LU|XKG8w@+i_k5QMcVodPI3<4*xlfQNMCZ!E@_Y_*)@4+ zb4K$5&KHO74}o|o+^T)7-1NH-`lu-}X(H-3={*#-pjr zP*BV1>r@Iw!sl3pbm{r#UA1oY6ZQf&d^&gicb_nDiWGF#f+sLHM_<-dKQ7CD6ShUH z?$CP1ZR&q(gQDGG_iMWYMIsq)uSvr0&=uQ^E+iGU>Wwq!;0;vUTV2Hx_|$fqr{A3_ zV{x2~%aZHG2|}qINY;*qGDqMSSG54sQl$J^a@yr+#nP&N{+Fr$1OkYTOU+ zG3a9u@*uuEVJi?Y=$rn@@75|wzgb7R&8=;^Y>?3McWISS^wVMlLA3303c(TVWp$tl ziW4OY!>`1rQFP%F;+p_i;-W?wxmZxT9!___f7BWO)r9&R?}3M7I4f!34r9p=4aryf zSAtB@qHD3Awa2ctfh5=$%6zgzifPlANmou@=%(7}cFkWucGWpkmb%;DmCx|6ffw<*Y(!mrJX{MCxUdCn zdGeJs9{tz z{^B!K4Q}DfnzuigmCP@e^{^%|uP;(C<|Lp;BYY;)jXvNnb{FM`g)*@P_m&W(P|HmrpH}^Z-Z%Kxq1^)SD z{-OPhzi0eeyZ;$H%MbOxpV03gGXEbYgHEa2NAfVOI_g0LG<++N6PZr4ID+B(^vOQI)Zpp%i~tFr`pr>*8BU{zw58&=hb_000;o}dgQ)N z-=I>a*GjD=z&HrU^}y_am*|Z(GXN-Efvu9Ar=$&+V_oRJEG~c^eW|YQd+7&dY5@gI z|0``TvFntA)179$|^xl6U5CdM;t?^Lmr+1 z?_6-}^$D(51B(IfpMlb3UBFxiqh&n#r)xz$+L^PUlKdVcumMzgBAunw@|dlCyu6_F z=ivOaD%@$($1nWR9X_d*`Enpe&NHre0Zj9G6@aiRSMF}FKi>O>GaYMrpy7aL?-pZV z{qpx`s$l(izIIM{Ib*S|1T~25^U$=-7)mo46{PGxjW}bOWcDNUi*f3)v(~WStapNC z(u(OeIfr+Hc{dOd`U&_bRP)CbT@K*?M0S}2Oj{$kDXYdu26zXo!bXf(6!l@Vq|Sy|+CuUW!weAL$SFQN_s_u#$CepSOYdBk za^JS1f8ugHz;m;xl#DB8&>z=ooIcQl;0>?mmgA7!O9xxdS!1dQF|_w6?Vf zw~A3pF`dacO=IkK*HkbN^v&5!v6`w+lMxNlC5Pe>%Zs8IYVPSj^=utDb$&xPe~5~- z2&QlTH&!mNB_b3fg*MgoJI4i**DFf5R3M;XUPVg`75>loGRml$cmU8}s4=@=Qfmco zv#M%7%IUh;mR19(E_9hyRXqE1+6EoqQze>eHY-heFkmvKzfFHpVB?ce*Al_lQ=g~8 zI_i&V$d??nqt-O#v{Xm9$zcPj;adJl|A1yDPB-!zkprZgDDXx_6j7@@N!Q`5a0iCE zhzFmDfxW=3?>}N|B|U%wwe6b(ogER}kvb=)Wr=-kY8EjT5jd=JA`q*I9R;S#h09#nKptWg&*-8x>8lmAFRlf<9G>p+bfeE3kc9@aJS|?l2$O4FixGuegt5-?JRk*P>h3g3FFXvwy{QV{i z9OJ)cn3S%CV@Vy=D;SInqIP)$SezIuKRdiDQpF+ zVjc)~`*i}ns*0nJD7uVkc$=}l_aILIG#3}wQzbR{mOvMo1cy6@e+c+PoyO!7! zXP?eYN733jPM@d9Aq1x+<{qAs{j+n4OMZrq0zaI*`l++CC5YxmW^Kqmp~1kHk_H3CtKj6JnmX;h%%FD=zXJGIcG4M`5F>3@eV&LKs z^1p@Azzf)cD7~|;$ZwyFL)X&{Bo5@-pOSR5-oIYT z5m^4ve&ESj)~d`l4#tu54Py7if9F!D03ro3Zv87j2K}wt;a>x`I1+M zM7FK6m~gqgDCd zTxp>oTxlWhT+)S;#DisrfAeI|7RNA!MVuStnHBRYCe)%&;;0GKaEOwq-KXN>eZM-9 zPTgu(NxKHS@^cMnw)EmspH_>H>v$tCF|GLrpMb5uLN4opN$0;w?gA;FhkZP!eSD!0 zBmaWuiQh}5;4gCNH+y@PJK*cx_*Y~1+Wm;0uQKU28)s-YyJsl-`GjCM>t?Jgr+JBR zZ*g{cw~6dmT+=3oO3xvQzKXBuvo393ZLZh7w>1pCq!|+Ie_qAQK!ZjdF9KcU!ERx{@D~oRzeNZKc7bXs>1pY8 z#kL-D6&L(@P0&3~DnXw~1=*rJJf2D&M?08y(>m74;eKYalCZeKNmX$@nog;TNpEzF zD4iLlq{&E0Ox24^v})9%;Z%*BGj2I~0E4CvL-WSBt3P}?cGT7Bjk&-3$CC=pT8H;0 zFbWDUbX|pkBsXyXB8`DF0jqe$6f{WBDqc58z^Z~sS_^msrgC@tz-q0YuiTD(6Je=J zwFw(hf9knSK$v?=thVdA|I|eB$F}QQ^G0X3_EBQXSRCuy&= z=X%q7&J<2h18?R=vuoRmqYhVhet+rOBfT>o64AQCxh2@Qa&^0C{;h1sD8uv&|HcbR z{6)49o{X?ZKi^xk&Fzst%Y3}cMk!v_=RbvxvO+6s9X zAwk<7WOXnNO`1o}tBq%IoJ(Sdh)X%B)=0jq@j_ZFz{m;FyNhl=PzSv9C-MMl?-2j! z_#VkE%gg=Fy3Pr~kbDR6w$1%$Ps0@sPSzD}-uH2%3w!#5@1N%zf~4fBTq*zC^W|8u zQygb_MoEAFgN%irCrdZ5Yk!TK-OLA%k8#t*M|JvL6tLT@i0K|4#0}k5zum6Ln;M06 z>%|~|1qYTfBPXnm<~z=)Y-Qzl2edkp zHf`#tG-{vE$w4d5poIh4#5NCFD3du?qz`LA^tfP3N1(Nv=EUcNP-{+A$EQ*Y5jg9H z{gK(L7Yv>0V?v{5Yw2wQFAp?J)aI_?>D%WMM?MfG9vj$~bqd8pY zi|wRxC3~YUeI1jk$j?U=J{RY7ZI?UYZePp^pTXiL(;tNQ>ZRFDH$P$TgyCkmj_cJt z`kb&9PPGqEV!M?Hd*XagL^kqe(zl`P_TUcp-+L>&?rRuznD>p?baP_M_YSi*hCJjy z{1-({x9QPVq1&==4sb>;L+m(h?6O<3v8$_oXDsAoEU^APR4sLimp#rHSgkG7)z;Bb z(O`kqX_?7B&nTp(p{S^+LfewQ{_gaC?sUvnRrBsj@G-`PBypX)zV|k1hSW-Tai-gu zp)En<*4@=(5VS7g>*5RNmOjPx|4{dq(UCMwV(w_f%+iQ8VrFJ;F*7qWGcz+YGsB2S z%*@Qp%#!tf_O*A{-o5_ouC7yA8CjJXS*NqliHdmQ`6c9va=?oxaOm|&fOEem-Udlx z-CwRQP=;}5a5E*tVv>2+L3NuPAMHbWZ^!dgixRf2xY&4J>qJd;MqG0oom+c$;+d=M1}^9aInJ6~9O(6f&hg&}eynMZ8RLdgX{mXTv<~?#)^tcc zswVr992@-HGZ`lt>e7ZGb4dmoT4n_PWq%d*cZInwDtHNy8jcY*2yBpG{c~Bd`E4GK z$@4ZB=W`35V|T>*@H~`YA2zfXR?Pw47O4ImMb6mQySA%0i1U-V&*HBV-R}=$Cqp9} z{UW!++LsO|V7L9I5Q6S;8nF}0&S+vhmXDJMRLTh%RHT_<+rj`*oW^NdAt{pfPT5AN zUjDdHH1EK54$G!+cEHF)t|0FKw0E{hh%~LzM#1Ec@1G>Mw!}7o=6tJoUM-)@xl&R@ zwQbnioYTpbm4r`nLpI^<)vQuo4wvv_VSCWFfzGR1=z5m-!Kaq%m6*B~Fzc6%B7vtb zhe4%tr_9HwVph3=Q@Qj)8HW;Y;A@5ir3$YyUS_$%!3r6Fl8;lWqvGzy(4t=ndpBLpJ8G6bQ|76c zHEl6S3Ec{PirNznkQ+LCgqVXL%;oeny0=?1bV+!{%XBnT!FFyek#}2klRjf?ZvTA$ zylXVR^OC42Q&lEo74!&EVXVc+TNs47QXz^88)zNeF5uwUJ2Y~~i;qt17_!xIV^eg~ zWI}+p{j_Hy2j5pDK!9=qLt5KkMq@{Xj8T}+4s{wL=lpdR3Eq~!WB9VXUtbxIS{IG5 zOQfEFo}{8=_70l3^-DBw5Vw^jRx}719fh=g?qC=LIt@5%O8rK zH}3e5>{Sz2tY~+PEBpI%J8AhjdgJOU-+80zL4Xi*?_T;*2wojPM}!XoblgkQ$5FTz zha8MDn=)CPo3W42!>(rxJ(~x)o+K%AD$moM;S>Hv^TqTS;CeCkd@BnCorft$DD*qD zo?cXc&ELYPR5;O>?F@K0jNsJYKC=~*qjq#Uvcvg1+itv^9(LKl#dyUnq_=QdCMMzn zM(>L>HgYYj+dNHH7`}^r%qqr46pv9+0Gl&`6tz&=$E}z$O~&>JROZRAF-`dhsbJwh zT~KEOx6p(8SZXAScpj?JrBCD}+-XB%hgR52B5Kmd(Q*>x$pGF65o7k_fx3(XnF+u2 zib|$~0o9KCNRZS4hC)Hf=+hF+QB~*m)GRh}k)|eoDGAjAU`dxuw~a7)au$G!m9e+s zQ*MB;kc!cVyb6)pCo(dd#-Kjg8{Zp-i6g`kNF0s9i~LlKd5h)vWD%m!iuFS+7wGrG zWWudpsK^mIKv4YLrJfhmcB}*K;Dj3 z-fnSheC^!Y_(66A?h&T@2}8*yjmFA^#&nA3w;c7@{kyCWYlCEk2bLat%$~wk&uX7J z`&vZAluoO&hbzKU{d!}=dB2<>t$o7{=}za>*>U01gZe%I!3qGYnD61=Phm_xk}p=* zA=%LS@+UK@z+paA@Q4= zIqt_j%IWd9`=6ZrfQp=_Q+`8*Wc7uVW8z2!(vFqU?J8fnh5hB%5BuQ=@c-o11Jgf7 z=6@M>|4ZBLx54}08*8;Wm&>oT&ze%Cso*qgE@UjSiijKD-$p&Z4w zN)$Nk%I@FW5e{HO&8<;)pXG_u(LD%FIzVwBONot zzn{NzS2s zwWw1(8Z_M7wNm)28qdSi&7hN#s=UX}n>X^uE2zQxo?bE?RH;rkue>_lzs+!5SFA@3 zv{QGU*;5Xad}P1-v5@?j4h;9B2tNanUI%DQ7bHhx!r5`Kkwqc2U>Q0tVKLRzWNdocc+nuEsFrJclk#27g1lGBa#&sCpl2Tz6AX>X{Pp=dc z*T(MWviJ0?zZ3PMPH%7SH-jw31iZ$Emj@3hOtxqGzj6j@+K<+l6d4{^ zrFh$2b>IAuK5pr8tt;>7@&1Sw;2wVal~A*)t;FN;;-M};+XcDHtE{20wOvUXk?TW_ zrK6#u{nqmdjfXS~^j2p5ThiqLsQa<31TXs_%U;XTff&XoW>SA|M57=*=j+p;Bx8+~ z#zU_XYbO*Y_2@NF0i46g`^%2i?oCL&43CY_qD-2IT!@$zfjW$Uv1fd4gZlvO)8Ufs zzOCH3R9|mImOZ3-MYFRa{Lc1(okeC@RCJ!&hV}#U@VPdO{Uu*iNT98Kz9Fk2jp!b0 zmqG#`q~dduiMWFzgln=z@Wn`VpV_rJ4lv>axr1nrm`&o!^2K_m1dlugv^Evr;kT-l zO#lv_XuzCyw{`9_myJDwY`oP$i!O-v)$aB#Tc5@&WbXZYuF%g(5FY^oWcN#@)Fff1 zd#LTb!f@-Vj^?Ky-#_*LFCM-?*<4*+-|>s&X=0UPLlCGNO>_6fz!Fp$f+GppiEcoYT{zMlEWwsf-@AZH0 z$P8OS9yEy>lf>d2Om|!X3n*~p{X@b@g0v6mg^V&mq}w#6CkTzCuktfY9<_V_(;hv2e)fL zm+q)YRPmiyabEEWQq5 zmMgBw#@LD;fxP%Krw{m{#Ileo~xj2$tEXt5ABSd~sd?RL< zy=->{-04~FD>lN*A@y>jgc$HLet~5*c|j!-mAdk}$sA?9aFl+;a=gjhfPfql$C{mW zebquA_e7%dB^<1fIeyh8?(}XCrf%4(a(Qc%IH+_2eR&dL^*22LkHi|xjQnMkSPdeCV`7H@`nNJir8Br1X|qUUQzdUXG4X)0R9e|M z&)nhm1zm2^^$rFqXmsrP`pGniMvsE#@A^II6eMR?eUYH$pH3{zzvLA-IPWu7$0$Z4 z(lVy?6*%r&i&m7e)?nc9h8eLr8v2lf-8Ns~fw$rjRmtnkCC1EW>ahARmMjd(+4%88 zH{7CI0kHRWkE{ds)RHuDCTvItCPW21qn)tRk8o``SBO+c3fg z(o@UffUStEycD00)T1lj6Agggf*!id;09Cd6)CL&!(PZvG8v(@G#aM&k0zpZHVz_cWbGMsNuh#IfzzPusq>l8C$%R6Jg|LKaDa0Cv!(Q^2?KjB( zd?Lg{W3A2TMGrPnJfsBsX`_f8JkU8JPD!QDRL|LXS(=o{H!#DrLb4<*ug@k_DQC>q zuD>|9I9HI<>z?lJ?p}hPv0eGI;qEI+=IQAOEo%fI86AI48??p%&;UAq7L4y>7Gt;G zV#2c7PDC=T$rEpA!jOj&u7c~u3ZhptcDBF| z?=p7xt6vs-Z-h(V&Hb#*;-g9u;J}Lo$?BXWG;Tzp++5J1J^+rncKDu32y?92|aXQ$9w%6gf3OTbDm*sGLfic0Pl$0E$~pa9VjLEsu33Ue%tSv#mqn zT(B+;FL;PDjM4e<16UWAm65d=TNlKa_GMad=8y=g$!l?T$x@WLnrodP?pLD2u9FCx z>?@O)>%)gBEQ`2G|A_Cw4pjy+iFNwV<1a|W$P+ZRJ~qn9yC){BHQtH{7DZKFr>VFr z#(Gq87l4{NB(0?vvOrb^mYF!IsA*MME5ja*V5pN@aDY(D^p#{_5r;6(Ct#}UDQC}n zCbBfOslncclKj$3BGzOqvN5AyiwF|Mm41xNo3Dj1P0 ztOv+T6E2q_*lC#Nc zZEbo#7c!m(J#sECI!gHtbAU>T4g$XLwv~ovsQGg$6_F{z*cWHqF*DwT!mTa7g#1M{ zo#MbCalL%Mh5V(;ese=a0sh2s!~kptC0$5yno)fOK<-u_PfFqDA3rq4UK#5+46Xc2 za?g}WPEe*Yeu%79c%&fFvoIb&;TD+LCq5Ix+-7(&#}p`wX>Uqt!XpkF(%dG9Mr9HJ zZ-t7_IGRRgqG!({Iw=4zxX5J8^gx2O;}%-K1-cnq+{*}^eQ*u2k0nZOCNFXke56#y zjV^AfNWb574Kd3l&cfH`clwueIaIUsK!Exiz8^{^3NHX%sVlY3x|!R+VdAvl$g+XZ z%b~!j&`#n6wUKq7F=^BGM}<@8Vi(SNwiv7Nu;hT(t}LsS)!6BFpG--OnJzHUrP1t5vIYQj-i1jY_&=p2Rs^D__A784R+BnBuN5vv`?Ps^v)acUB@YvNI>w zBDT+$;d7%W#n2q%OM{FXV`7z2NJmF}w_6D7QFnia&gkBRkB_p|W|J1(m9Gh>s?`-q zPX}oB#I>b=o0COps^vyGw5auJo88%E)jKKe-Qi;j=v|qQz3~~Zmsf%g7ilVQcM7XU z4lE0iM$W@xy;K!#QeYraO6B(W*<$&Gg-ZFv6BX%UdrHN`SYC%QF?~(&kv3V4?@(+h zyyW!R-UE-F`;}@ZiO3aNm5Ro_XJU5bJUq@JvuElPM1xA;ibw5;Md*l!Y--A{!;7i~ zv-NduCUbNZSlUviKzmGP9b+^|ZqcsanaM(Nit>WV$Vsd+3Y{{p4q%w47{^HGWlLbL zuMpnZh~$Lw!6h(K81W2j!jc$?`l67MGbPW3t|fnpN)?__;^VP2Vr6i6RzUm<`%(n5 zc^45aCV569+@gOuH1|=v1Xm@^zCq_L^i=shD{mt#@`+OV%Iu#oS^~@v&a}W`O}^7qX4 zZ7v=t8wj=`l}7#Mqrl>2it2%~e0NQB1M$eWh@1YAu|>{88v0HmT7TqB zJTt%Y99W+ORPxP_qHe*1+-gS)HogkCdae9g2*Vn|Y_YF)ukR1sOt&}Sp_Hqn*;4iC z=eGH*qyhH!C?NCma9Z|?jX}30*)bBKQ8!C(Wl{klvk?KjEU$@%#*-9nRoie%YckS) z?RFei=6Oz$RvaCiwElo}r7Hwh`-p|5D20j>j%bIcZLRfrb5?X31hnX=TQTXy7ui@Y z7IAcF58rL`CJ9dg0vl&<#HO0#U9$yF)?jGL31qG=y!L?3_8uq_Rp!J(wGi3U?!~?U z__^M-&%kJPr&bPW6I&FuVmrJ(a|y$m=ppl@2GrH341RCrKTYLz};iEY8=FT9l4 z*wk1AJl1a(taI}H-L59zX18rqR#F1qA9sNEN4yC<_UkboZ**FV_50}{ZFi;GeQysb zLk3BbqSc$(3HVgfmAZD4tTXQ)+?Dl@GNPO~s`}zTZRU~cRWrwU^~y!JI#`7>sbD5p zCcjzLdaO#Erm14s2n6~(0 z4dLO9I3wz^A`DuV;A906QSl4m&CjnxgT5>x3Z9j}@RlkPl#8CgCqU-Db!^GDUOiY} z>j)(A>~#8QPSLUF*xLGRynBy|I5Sg}GFTwJ>U65!A6su8%ECKXoEY| z@e&s|J3|w6ODeNwA4zxi5fmRnRdFrPs^k__TsiVrHA}cq3Gra+51ePvnYWkzL88;h z8xf_&_DScXy~&q*vaGiSzabyjt(BWT&ZpJP8VBUDl z^c!|j&sYR>mD+4S$(BKAe*WNt{;dJjO`hsJbv$@@n+qbnWLd&%0R)?cqtl3o4sA{` zMhS(29cB((E=(8(sJU~|fb%EL&lS4IVN;kqg&^Vsk) zTg4<}aoDD{$Gdg{s3tn4!#$6guLQbPqlm%HS7+;@4og#MUTu4mo)B>2sMjSo7!nD- zqp1~O3_e51&omrC4HRt;nP2f2doW@R>+r07K;pPHQ%o3|uZF-`Fzq7&jSiOcRZDT8 zECddVgNeslw9=EpyfeqgcYl7cr|%G_qr+I~Vol~E&yMxmPh)35Si>04=ZOAjZPJ4G zGG92u_@HoMa@@j({Y@_ZE+hUVL7wvV%GGJl;_4{CB!CsN>6$q|s1(Tzc0Zt{{GGdO z)21S1F(XjEzITq1N;jzD{C8a)6+!W!KR7*jG%G*V{%#Y9p?|H!9!hKKAAzd7obpxp zoUimY9apFD@K?@mZAO!uHYKNpGZ!9y!D_ke=*>y$%kjz;`}ZC2PkY@a0*OBe07oKU zLp2+@ijhtp9)2Llpb+fIOKo?&q9>9!()CMDPqG^KprYZ|v~PVtBBB2CNE0Twzue=z%X;f9|*4VPSgT?7B?i>7=y@ zNXW3&On5p#!Jc&SCuFH~i?`MlM|TFG35Ig!J>ugc00*mjb*h-o69<}dbuzoR%&Oyd zk&04)lq-hT%i#lOYILIq(?0L*VyLCLphRJ@&gHyTU{)!VL}D;5IPVGfT+flp-v_#g z_#!}73~}#NYwzqz75@F{GPE%&2Ys}?%9edM-{#(atfp&5tB%mO9-^hg21kLB)7r^{ z_6WNTjO0L>!ruKIbvD_$FUzz1+!7^C@JqYnc)+>hQP}d|^e>4CW_ePQGYhlRdT*i|xa;Q|45r0Th9Z@?MD@E%AJ6>r6Sw zOA#nP?QoH<-d{(Aj%{}<-7vRkno>Rv%`*%~{OMh!l7z>=kC4IDlhw4QLhsYQbqGou zY(*;9v;I@9S7n(yAL9WfAzLTvXbS=OLzb?Iz0pqq^9K zMp>w0orHY^DFExxcanNe`Kld4LO1xRsbzgvMzcyf*}a}Jx2Dmhq(5#DiU^^jpot*p zq<-Jab@{xxij9}$Z7iZ6Vf;hKSuTG|TdPP@Krau_$b}rzN_)%GiBeV}&lm4h(V#%S z*Vua3-^#n+BYtuXmt^V)<2;qQb%Nj)bkMD`9Fem^;!4~qpl~UVDBGWe-wU&ui=HjD@ST4~<=O>OCEbfg~n2DxE>kLXttBe+Egf8$c z`CS$mE;hti##r3$>PtUaB9n@micvWt+!y`HYGc0q@Tj~5+hTi^ZJMBm?);%sN29J2 zBg|ur{J`boSFqiIBpC2;xNlUp#zmB_I&YDg`2e ziE|Yt`Vs!Tkssx{Ik7^2O;Qp9{?1Q9qRs#zBHsgRZe&;}Urdy0sXU5phiXh|lnsMO zLS~ewqbB?_$h@eMB)?Xiygk-fuSVXPm{2ra-kA`xd`EmGi+8m>1-!2GQg#%Rj5D#G zv|GeUjNBuR%Lrz!ccV&x{AuI_xGbk#fgJi{)XV<$K4GpT$C3v>W3dlF-acp5dG<7N zNH~^kki%P2r;e>MCo88$5nYw0!_w4I-Bn+#F8M1hmgs3Tt1{+2DU>K!Rg@_#Kpw7) zsHiY{KGxmUI04L5B0wIeEJ>cTQBj}_b5e-NVAwNP^fuQDuFSW~y-XR-jXhEuf}FDx zJCzkrgsGP+E;8T+mM;B*NMQ7Ed1oZqnJk0>i&r?Y;s$r3KC1Pay?%WQ?cS|opO9G|7<&=al@*o7<{o= zr{R;m8CS!F>p=R!ERWKtc74@p;e&XR7nZw~e#*IaaV43!a{Izqvt?7a6yf+Jid;odhcTikkI8DdRrg0d=Vpmb1qv~Z zl4CjAD!FRcI8B>G%gsF*FNSY#@kFAu0@Yf8i&`({HJG#bxHo9{rI$C6$EtvtkMYCH zEJu=V%gd~2J5xFCelsP~g5XuwXzSC7dReAm&SX7y{%+1f{2+6@l}F=8tH}syyDWy* z3MYg84-I;D^CI`0k}*o^L`U<)ebl0as>`f72kHF6Dg9C<^40qn;h3$}99B~0Mwlh*JWHu;X=06}ss^E7Z$T8m`rl~^|;;7X=& zAs}=yZ1R;yV{#D+4-xW~8j<)>xFV=hz{9K2M@^+_O{RU+e#EUgA%A)s5OZ}(V^z|m zY!@Q;Swp)l*$KHvFVac$!qcmt;iYaO(<&&_8 z+o)thy`+6DuR3$FZpKVnGesKVp6umu<9UfAm8Xd0l}-+!cfcn;;D#Z!?@}?)koM(+ z=n8A5eQJuiG*Q|+ZZ{3JTfad#yf{g|G6()Gk=&+BXEl2wuHe)5mrn!=c==!4dMz;Z z&U)7Tch=?$jn1nNMbZslu#A?}#q_f>F3?UG6I_wWa4baC4 zL#8q#jV-dES6n`N#|6K1-zx+aFc%ApL6Sk`yrW~{9aNiy7==Rnu)_ASFZ_U2-6n(5Uhtvw~WYmgtGEw_kExgiK2h8}VJHn!SgE|#0G zUb;tTyNR($j*eFb=#<-I>bp!bCOroh75DwLRxU}e)?B=D{=QC0ay|BRJ16o)?PcP6$5!QEE6_MQ(`xMQwR(rLwhjv&8UKuj-`EcDt)uRT@!%nvijVm7|pG=?m5l^0>!wn#} zI6JUMsxVopI1&ntRTc?d`DPV=oT()_Jwn(CRCQv$o-a7N2?esvY|2ts$rN#KLw*qM zd*3?eX;4q(Wz1U>DjQY3ZYH$Zwr2=tu#N?3bh6$~uf(D&51&KLR0a0y^K^E`R2+r1^U zYizyQom?LN^^|C^9i1KL?aDYJ8+sY^54FM*T0gxF@rl$SfLu4eIZsM1=c^WuPkl$> zzZjDmiFs@~RvlPa@=EXQ%CC2q7L;;oT-+VN)biB29UUt-FCWVXR})Z;Xs_WZsc)<< z?lN``NL5x)(NHk4@ztT95s~_z7(}9;n4W{4 zhkTO(r(Eo#JlO;8gC^dL>audHF9na0oZ3B=ShE_oT)LvNimV2C?vAlP@_h|J9F2j6 zhmnwtft-8^o`>$Wu0n-ov;GL4ePe)e5BR7}E8_jOXsqDN zk>28i1yc>{>$8J$Yia9h<0aY0baVbg%4N`71HFNF|1#uCr*q)ESlzR2^f}0_{-xkl zqGD2U>aEgk?ZxSh#zyqL>-N&DY)5Ucd(HM@wyHqcGh6L*G;noF`7rDK9M0ru@5z$I zgsb(dqfgbLIsd+B4jX>yIL`PK3{6h$J3U;Uwtx3_iHo!=t@8yIKP7D1$L$BsO@5GJ7o3Zz=tkcp}UUIbEvJU z;j-;Y#{gi>VSl#l)4A1X)thRv$lKWB`E=McwWWmi(nVc%lLQ`5i@sVcY`Id{lJ#Nd z^YH@wbmjFCuDYCATjWr(?Y(rj?=HIEHqnDeWj~e{_w?db(q*pkvOIHW=0|&Z_4yi? z2k$=qTww4v%I}1%-0z8s^m+@vgob-s+EMR(o=-RHs&^lawU_eZltSfs@Y?qd?Vxh$ z>D-|gqoX!)`$*2d5##M{x^qF#in(;K01A)SEcJE%EO(auZmT|++Mhy6*)Up7lIclC zM}06Qr_I~dKJj#xmu$?eiSc>oEqC6yG}+zZaJ>73D38Lqv1N{nFp&H~Q>XvOsMPbM z@AWAqDdHu`p4^~um+N1OA zi}J(mO`J~^hvw^amJBcZDBIp}0QjZH-HeBGju{v7jZ}kEzrxc#?W+p86Yr<^Zf6Ix zmAbG?oe&_3Zr${f$}>*MjD9w{cNBMWYM1GgKAg^6X@>H8w@ zIeQ$J>z5Y~dm8c807&QU^LCm-gSrKSc4|Xy;c)$7TzTWxS}TX~ zwt+Zri8h2uyZz&!AuA{A+7#18;;G^ysR}b@m-fihy++SOT4mwe=DEdf)}pg#sj;v*NC3Wx?RMGv67KE`R{gV0hDgy-h z)uCQX!gHK!#BjCpMplaHTpqUicz|Hj^(`-} zO7X{ch5kd&M*mFfu%$*7)<`6x9$yGydW8;DqPne7qysYk+=6uv(zCUk-;=NB|0cgm zZHso>ur|)>khsr9M{d2+(xl<+<@uYt@jPa=+^%XzSgk?hpfRscpcH z(fukvB@$O}>KL0?JybjYiKZ>`mk?cGDL?I95*j$AxR>T*yv zX}sPC30`GsTzQshzaYc+(q*`yax0wN)PE#Eob>T}Y=3Kfqqk|AaYbYCetn_(BZgzK zNJC-$5o$@(;-_Aqld@bhZO9K=`iH+JhCVqUd3-0H;U@BA(no?33));IUNAvndQT!E zCOH^}7-dQf6y0K)?j z7Nlh-;e=(E>qxkj@(g&oSTsh265sCd8DX z+toO!*|Z{QUtR*oaMD~o^Oj;H1HNHz6_9tRfD>&N{ER`=)$aI25LaQFbf6Uv*3JC# z!&X^V=T}qKFmo7g*z8i0gVH_CwqwZ&((QKy<_#GHZ*mvb`q)7Zy@~YaH3k9vA=tD5O%S zqmozk(zSm1;b#a~cAaDOxeFtMYedgDkf#Y}!S@Ai!sK%fkSbt(>c$GJ5JJ|wG(^z$ zIS(se_H|50`b*46De`67c%IL&~Vb(5!wcEgw zIFA6Ub;pEHA0&_$&kjiK{SPipCdrPw0&4?PYDdh}3n8%-pzyz5?W%riIYE$0Ku=>J zafEk0Ib!7ayezCVo^}kcUrv--d+ohg<|xMKn^pPI`bouL1e}8|+BbmoL;Os=;5=C7 zW{*89qzyXNrc{|YrwLJ%Ip7V9SUPQd6EJnHiTl(?xU#4&mQ>MEQmVd~jVrk4ri!IJ z=i%Pwsu41nShxG4QmMJ^Z4GLpez4B-`>mRaR+G!o67`aQvl>u0eoljN6>Q7H2J~>s zTwP3M2%R%C7fxwUpK9SO(?Qn=pN^}eS#tA<34K@k&QiUF`0dtUJ!~9NYzH!yMa$T( z#W}&OptX5$4WbvwYs;@%!`9UCLryVB`pE=WI;{ACm-45F=zdUh=#iN~jj*#_rDDTAot{D=gu&Oh`l96h)PgDijKp%g=T|yG2-!tQawjwsb zOLFw#XB29qdEiRO?GSWF5CT^^jrk4l7}*tPK6WAJth2Z2U*WXnnyg10CTp>BUEol} z-*0p^JJDvDc7vW-Gtmd*Y9@3T9(u2>QZ}y&+XT7yir#A7b-SKe*H33z#{EWipn85l z7VYZmoXfRU<7#4J9vSO7%}~oHs2kmZD1|khO3~8H>ol1eF1VJ;%Q}2T7$q4M{4hNl zZg1ajYineSGFIy;+_B&pX-#woCem-oA@=B5R%+%E_0Fp5gIcXAY@`-GAJuhEmp8>R zIHI}BRW+*}ofkZHDVI#gPoQJP-4`Xk+^lxRY#J^TRc&+Vf459`%X@LSm$Ew&+eLKq z>Mkr!PFZ*z_wBkhlmzJ=WtpLoz@_}5nSKjqwLk*3{^!ibpGpYqw*rrH;;T835+@t- z!+>t#geaqz67bkxqX~91n1i1}1=)4V2W_X05*0erfNu878_e#)#puJYTaL(QnK6mKLgp+$~w)gnof{KeVI*xW3?=o4uGAB$>{@!uKv{p zq&Dybu>e%X4lA!Iwa-etP(kl}NoLdfvAiS_>Ba|Ox0ezVs_2srkk zY{2fssn*XKpO~AZo$fg*uccf^mgQ>w@e9Eq#I7EPSzIeR{SONOHfN7S8-KCQ{T>+X zeclGTH`9`rvR{)WABuqo6O;k^3BE^b(m1YIL58i+kfJE$9yFe~iERY}dgQ9{weu$O zd9jpEW|nx(@kVa5cr8?LPVMGrVv>TPyl7_n=E3j!#51q)^vaBGvH^_yWFM!Tcv2L! z;q)W}@?WLK(4tA%nzrYPae^THEM|hZL_LH^&DuBq3m`c|@(TyNy`*F6b{h_;(t^+w z5N#ReY_ zl1}&XR_a~Z_f)IN{tulIzbmbJHoosREPoI$xK=@y*28NEI+bhG9=f*tbxkyT7*#=+ zF4bI!?WX=b<~WNSXn*>tVwxUyC)>{pR5ehja-j2F+E;rA2Y>&`%d!$Xlnb4}K(DUu zxqa<|uyoB^039u@xG@-mxwnr7sNF*j^%`fW1_7*wV*)kj59RAdq@ke?Zk+goO+&1+ zW0s(_%mMsTJxzWKbWsYFM?B{vjV4`dqqJwtv(gF{N+)Bj27E{bmoLoJx!LU#x1zai z3Tn65O&eJvZFb*&O0WPvKG)SP*y@}suus#d+`cuqfukI0$w+8o&JCBI%>nob6mg); z>>_Y{Ug`b-{i3Rv+dRSa0&I%x^B&7Sf8lZqW-01z*HaXRQhMD$G?=|FGr-#M)EA1b zYu}$QD?=>MSJ(FEm6tzY_Md(euA&IhoO6DZadwtz=w>$_AT!{v-pdf21J}nFaeU>z z9rBaZRo=Kx5piS?PC`U7BI4sX8ddRW1oIH$%-LbK)D0xrB;GM`M{*xE5U@>*J8F+h zb`X@KSx-aS>@eFeu4hyuvFV|mp?l>;2oL7#gqYr((d|m3opx8Vdy$`V67&G~^5|l% z1e4XQyu7T$=DMs6HRa!LhWX7HCnjp{{+(MvY%>zcNwJ3y({6)o)UQiC`UYzyL!OFe zes01GLtSp?O_5+V{>)?S>30l=#ScgyTIf{{5B=nWL+W=E>K{-7@nBD@JmXzg@T|NE zvDu8S7Js*x+D2*6AtJ~z<#M~Y`$G8rU+PXEpJHr;ehB-Y)CtZ5s?(lZPlm>$>Ce#@??L(Q2eGKr!oH|jX{ZW$J3D?RU(UMV`Ki4W{Ov- z&-5A+e=@DIYQa%bGs{wTpe5OOngffoW&(C(Q`xRi#c@IN41>9~oZLlFg}LUrjT)aH%*Y;X>uyZ~+xpj^Pgx@Ka;Sxe@lG ziW6PxfS|)WB3h$Q?uZrwO@`5zamk|Fq6}%`{ub-Y>N?VNj|^qAq4A5w)S)iWGwsev z?-^D5m+!}quOCK^ek}i4cns737asF3kQochKb){{niu20kYx1VfG%dXZ>H6M-~SPP z!?{@Ln7&Kj1YmqthJVmx%>U58SpLCs{R=ntFILw-;4%i*f7-EqgSr^mnedtZg)#dV zyo~W5JQ(vo#4;f?tgRkZ}0!D z$A2REcdfpeWdD8p*71L9|39?-pY_cD==<;3T>td({~yA|$n+n0TUzmB)&X<~!cU$- zDJKL!*MQ_9e-{OcQoYKW@%kV+*xNU5W$kGqeP`a;_ zzt0p4w3;3rqJB6h(}>jP_D5t_#9iX-WYw!V_GnQGATIPa=nvnj7?Bzhs^|?6Ihqhk zgjm{nsI%ya_=x~lemW#z7cq_~pF%7$F*CFlPX;+Z{#eveU=>}Ie6knMtRAKPt|S%0 zVl*((|6KKI!iHvDGl3kAKp~y)T!ndHAj_=2Fds=Ofmll*{EHgxDuwj6UDe5H@(N`O zk;XyIz-0TWY^8@rZR`nHj-`3!Kl^2{{$D*L26k39w*MJ8#?H*fK=&Ww#yTN26BjU_ zzcQb^Omta{gK>iWFoH3X{q#_Q&_zH%P(k&e=21{kY|;w#)zcc&+9Q+lv^xYFCw0rN30s8f?l|fyugGMY~0?qKD(!Ea9uaA*r(hk-Tt+|b#`y*aZ(WF@q>o-2iem2S!(z7BJJ2E_;DzxtnfIm(Ll#$6XMB_C2e>* zHFFyavk$k!aECf>>SmSYXs`_f$Qpw#>^t%c=oA_qd2=^}yBuJuKVON$4jRo&n|BC* zNqvN$kkOi{u0-1@50$8F06t^A%|+C#+3nsKwS%JLIX>p!74T!)Q$T3#==evw(ZUkR zuTr&`LvsTkoMr1aH!)GkW==*5$ z(g7o6mS2mCf>8d4KQ2a!1ZhBJM-E7Ue>wyrpD4_~5li#aD%PHarDE4=XV;-?+EDO8CeYMPwoE-9T8J>+r>OUCnl4A-=7;U)6?;tm+w+n3J1z;f zN+(GvhjJ@J~lt<)DuSSN*rv_wmk%_= zsSk(CJ^<1QZfY;Nx6ApHq9+~=T+vJ(!YO$U)pO zn?JQjd0U#aAF;(zP8SvnztS_-VhRVK@MWjPsmfB08PXqg1{x$5LCSB zF?4vz60E)UH{$ae@Sp3#^F8)@uw5!{zwJtGS_D?{7Fu#a{`=_tk-qQnPjU3C^HyVv z(d|>^D&6mCe4Eo)_J7L6|5tG|hx9y{w;lW+&Hs<`JOW2PYv0SSsY!bH@Sj}KUrT*H zQ+OLW|67Ou=j+8~Mc-pSSGXa*C)N-VIGxW&EL>^`ySQIG_SaW;`o?onEVolE%tXbE6y6g}u7LF&qWw8c?sx-a92AGDaI zJI%V=3TW`|S9#uzj}!C%253N+zkp9K`1FDg#{~XP)@anh|AD$B@L3stEDPwbCFfwI z#EIf{;wj=DY@rhn4`sfzR=985{){c_eab=@Ght{iIPIVqL`l<_KFU zr!PUp(f`L@{QpJ7V;4)m?|)|sUMXC14o3I)Tq*9#*|ScXIb(WLqo<*MT3zkbDK(QP zO{}h(P+3tvzHFSkbnKYXqe_a4Mi!39c4cLzIqWI6pJ?9CmZjhvPoaz=+4s}*ZlDq`EqEne1asqj`VYwxaT zF30wIH0trT@onm?Oz2T-FsZ?mH_g`3!_vkwIU%G~l=KJ?s^h2iiuQ_@R&Q-xV@0{i zYHgBjFkWuUs~qnQk=wE?;C+UREj^jrx-aQ9z?^2Mw$;|!GPBVuwqT`hv7)>CLa)*3 zO}CYM)6du)i$iYnX4=XtyiOZ7Hfh?>37A)5H`pxQKLB>#*0<+SOH06_v>Sc^p5#Lu z3KNzOrUCngJ;d=@t-LQ6_qt&YX1rZ>jg+^*9Fq^++0G`f(9BD=4VFZCcuCh_$x!uX zo0U&hMRVYLS$nLvYmOx=6QeJG+wmLAEnd;lJZEk@XG>eRt-PG#*3js6mt(@+5*TYm zPfj-aw>0A*7Vt3CHF~pc9o|S=89AWA!slQ?eWP3@P|X`T-V4oh1GT)_73I8NmWuA? za_S&&%U0L81@iqL^%Pi459LDvH1U3TqsQZ-dzwQy{Qc@H}Ck%!nrEscUnY~r(p21_L#Y-OXdz<|>wXZehl zjkYv06AZfHSpptBbx1py5$)rvc#+7fj;}IVo2(?q=#nYWCxzV`I;<@N8ix8r=Q(QE z$eVX4-BQt3KCFX>G^z-6FwokO`zi2P1Wt@KLiu!74Hk)ZymHYdU^B9b&tj~_3$>O; zTbr%PhHJ=O+sMbpV=d3`q6z_2a7G;p|(l&-Mn#Iph2+U6@-IUI;vYPE($NeOS2MpH(OybW7*YqzbwakNS9>$Jv|rZf1d!eJ7d)KHd{iF;33kBzOV>v6L+ z_0t=-7yvA58XA296UH}}HT9%mS>qN9-Y{gVz^&ZKGZvoVEltBrsO)drf;W~fxm1!3 za(-?v1KAcjXk#$9S0I~V&?cZwBAZ*baluK7ZO0Jfc3WX-<*GO?O}pa>s8y@%Dfs|8Ml{mdnwtK+#Z4pgGDn84&Rfyn{BvH;eu)e6QiXl z@+K|4et$!wb*HJX$%;#DChGJ?ugZygzrsEd{U&g2M)L%3*W4D~5AZbdx*_)JxlOoi z2OC6}YOe}gPz72*k4m`?UyfL5F3t^3pj^KTGv2NyZrs z+SpFk5I%A(#$B{G^!o3%t*{Q0tV|ofIq-!ErY-zQsHyvKgLj5AD>L+Pt4=m|cZceZ zT!G>hsv9C2!KV_x8fO;AF@{Vh-GdDu5(rLo4c1)Lp2Om?dUF5hRJ30XoGD zUr|!ZlbF2&brY)S--bq8YULI=kzlDDH&+}DMdB#%h$YBkWD#;CvJg1}S%Az(+K|ae zE7AfUaEkm=JeeQGF;s@RQD{pMbD;rMkW&SL++I`(BE?iFN8ODoVy{!thb&7`&quu) zbrm-nL4qPu!Hat z;YB*_3*`AX!t;dZ2+tC>6P_VFO?Zm1jqoJ3`2^u{GHxY2MtGF4h0sfQgs_?LFkutn zA%c(4<4edxcnKSQ3HgWz2@eqNCu|_x=S#>%+)KEJ>fKGai*P654#Mq(+o<}jg!P1V zgj)zV6aGp~-$b~Ps^37ko^T!ETEaC{?`pzTgewVG5H2TNM!1xkUQ5+4AzV!8CR{{V zLlrJ0tR`GQIG=DH;as1o0C5hXi?E7tHen^0 zgj9lqVE4t0LZlFEz8JoslYKEI2rC&agd{>D!AwXXmbT9fX&t-iy@q3xvN>=btA$N9TLi7hQ(fP8FV^6Fp76eu^5~ zMtG9&1mSVQR>EV1N2%#8)O0U3{RlO^neZ@S6P@TGf{)Ncr}Yvx5+0Sw}9nkju?f z_E++}iE=lR=M9AGsls)HYkg6*h-;|&)r6}ES5lX*AkWLm^D@GvzNk9HTJpSva516V z7ukro$QRj!SmTSFiMY@gc@ko^FLEN{0$=0|#Q9Wq9(kTiF6X#6BEQjBBz+xLmGqHz zO48G)PoZu@eNuBmk`J{9)r-0j^+D7JQ13_GfO;S5y{Pw~-i>+}>Yb=}px%yp8|tm7 z>rvOC-lA?#x)JpT)ay~NL%kOD8q}*%uR^^N^$OI>RqaWap#AoD7Ycb(8LI+_H;Z(vx!YPE434bAsCXDhKI7$e` zgd)O7LLp%Up@5K2$n)v>I?W~I5W)#Yf`OnXgb{Q;oRnUsC1?n0f{GAI2=VFoR4d&x zkpD*QL*0Y=73!C$U*L3pi24EQ`>5}szKi+}>OXK=-bQ^3^$FC+QMaN#hWaS#%{Uc* zMeSu>6zMa3M!w`%P;izLPA4oQbP~oB$|w%w2yQ|tVJu+`4LOPsNr>RsB8sBmb0^*L zgec(4odDZWMG>ekXAIk)jse~zn8p344B*H{OHKB?yflx`PAe0l530CU7 zg^)x@B$x>a1QQ{i5J!lmVa5=m-8UioQ4gT*N8N||3r_IQs6V0pi24KSUexb#R=-32 z7WFgKf1&;pbvNp#sGp#IjI+BFbqDH8s4t?vfciJo=TVNBXlsE^=`Z$^C> zbrb4Cs5kN17y2p2D+y;27Wj<#D8$+c^C>Lz2yKK`!d$`}LJOgpFq<%oa1voAVFqD3 zp^4B)IFWDy!9!>uWD{Hz>MTMg!AZyg%Ylq5d89Rn%8dU&cUhLA^kucV-cB*Hv!S!Kb7|+*ox9Kwa!2Q;&h4FIuYa36yt8OjWmo6rokAp*3((2* z+-&XCgjFuBTI^Y}VX;SAT(DRewRbW5XfYFV7PH#L&5H%}dT4P!(PP2@F!)*+aQoTG7=XWEAQrghd)hb5_sny(dfGO$dgi+3cv@V|p4qOGJhL{O zyvJt12sj?UJV>Za<%%XG}G zbLCaKbt$QprP`_5*;;X(mbtZ#^vbW*ezo9MW5L%dze@0{7>LZm82|OPg^8g!H=9^g zQl|DxAmM#HwaUMmu#}bZC*=b4V>`rV03(&koHuKyp+2v?${7>bmBl%wl z`!i4e|F!@wWWK7G3}1+RG2l8~5<7dA{4s%$TL1>G8ukf-bMWi0umK*1N8u@W5nhMy znHrkm0(cTWg)iYd*pJt32#aC~Ed6(UKI1>gz){5t5pKdb-VC?Ex0913q{NHsPF6elXVy+h8+1g4}|$`50`4$KeT_$89*X zPs=uL8O$Hula9~8c6b(^gXiII@B*%kmtY6%gqPu!<0^S}$ij24!r$RFTx+}F4R{mY zf`7of@Bw@XAHgTM>h}Dq{B87j2YucTczujs|Aa5lvkyJVk9^*fOFx%e*oAdJg54|> zeqaLZ2S29x>|HC*&<*lD^4a6FbB7#fJ|7z~&u8fFp}BbgWBdTlC(rTpje*&C5Pf?v zf`jopa@1Z9%nrqSEBf$Q@RFT@SUew?3Es{VLv>%0%Y1UZr-xcS7*QJG8^faW-mn<{ z6aFPfhN4HM2cyS5cB2oEGH>J1*nWa_Df+xFw-2ww%ih8K7q~m@!ANs{CC|iH@YzuM zvp{(t{2RW8ALQdV@Gb5q-@{(a|A>}vF!yWg;g+B9>u2}{_Tda3fc{~*{=-W8VF2eC zA267}L>M@jI%t(uVhX0jJuQ@}n3`#sj)gHjKCXluR-zdyG5)GVdxSETYzb!(EE4z2 z7#7RonF;qzGfQMi%*v97mBkH}Sg^##QkXqZ7A@C|8>*Xx9x=mw(^(EX9gj}tV%eC^ zWd&>m8_9~%l7;y^%$HzUj?6Nsg*k8v>{EO$?7;RTaaZX%-d$U9A4I`=|Ihxif!q4G zikn#j+kp`Y13%8xsmu-Q6|>-EMTh@KmhAslF~Pq_+UMWHa{YTjEv^^m;dSt_G#Sp~ zpV=E&BEE||wg@3m3^gzX8n%Lt-Hh9M343W%d3k77$P<_qz`|aFP@KM--4T+YGnquqB5HvaO(S!|u% z1#{YCk|IJw#iT@?J>R0ARAVbli&sdYN>LFSl3G}1^PE00`DJx%YJxd6R*f_#Ap4(I zgzfuI5w`zCseJ!d;q&6gu_?+GI*p)Eh2ET&7?qNnFlLfYuTzAXV&W4*LXBbSjH;IY z8{+LTYITe~KEcl0viI}f`A+qJC218ljN3*03E9)=O91B+!t)RYv8)BG;P8LGNuy_z z9sKP!qF%yR*GER=#Bx&(fBkiS zS>egdKJm<&%6Zk$Mo+ta?`?N7cyM~S*9;zu`TOdlf+N}YV zep-~=gR1S7_aolB?CKG4Tkb z6xPLQHL;JeEO3L%|IH?YO_-e9>;J}W;i(t{KkDchlbw_6QYNL zKRCzt<>lq!Ab0iU8S@SNU@RV!ou6;a$3Z{*hn{3GQvB*M+E|##Q>n~m95NR0{VGw2 zVfhRaR7YD8tG>O@0H){&B?st{tShOrwV#&Is z^dftYDK$=)G8kRSynnaOs1_9(gGNf&|LKtZocuz)tvKUAzsNF5QuJY13uqRkUPU;J zfrn@oHmB;nY z@gLz0E)|SMadDrqxY%jLgp*>95zjVKuzml1lY`nUxzm$QH;rV3|p>J zufb%G=&XB({9R=wr5eK53X^wCvirNHMO0p|XJu@aH zRug6~%@a;4Tsf-hvdR53)CP@Gslm`*oSm&p9FaPZ>a4G?O{=`VLYS>KXcY>rL5+91 zTK^Y{IE5V|AQcu-jGu%^;c2|{CgM>IaR>L3nRwyV+vE$+CSQ1p6NdH@M)y9`mfuauKJAIXZ+>f<)u|;-HBu5tEdLAkCSQ7~QT}X(%P_ci8hV-iA-6kb%%EXRFH7I-w${ZAUQzM#4%+7|#wL`Sm%N2SynbRzsxjc<%uqV25hhGPsYA6RvwWB`+mUYvP}n0j-PgTO&cuvNjt>;#c(O!HA6RWm#_RIFlm1 zK3ZNW(fr5U2UiNes+>l;^fk zsO+h&?X6RC*!lBroL>-~lpLSyO3H}WSktUA8DkxprMb?WF`lJ$C#^ln6&9Nq85U!U zH>bsG6Rf5vdx6T3YR$gp4 z@I02iy?-ZPq{ng^jED8$8ng~xr}EwOOZjHMmETKomFWhys_9`sEnd!V|I%&d^f>MQ zzM+K_p^Zy7CuYQHG;tYx#W?-@LL%|bKN`*s++9`KYAuY;$^7S= zxmUQx9qx|Rj+~Y;Eyt!2!_Cu%?rC^SD=lSl*|EFgaaF`+7Z-;Y#~OCY>F{E@8r}M% z*5sF5;La!7#KC)@!y!LR;?|rWL67Hyspx2Bh~h(KR7P2TaYb6V;uT@LB0P2c$P&yb z2i{Q$amD%BBNNo(r)-a;ODfFDDNYKL_6nbh>V$&q%-m>EH9pp?S19!6Sh3*1ju^8+ zPD=|?(xVlkCMx29ReU=lR;Q43v5^PT#P9kI|{A)({>948TYxk6elgv58j6sR@l9H8Qs-K_iVDm^VhDGmmg)sPuTFT6#{ng4t)=(xMb%h+g+ZZy4U_mC+f=;thrfwZx;Lh56}>!5{bHe!>-%*@I1@1f@jy)1llc5Z2|kek`dPVNa=fbU(qPU@2n zfwu?TF{NR55b0d*^{TASDzYZjth-W z=QFb!Kq`?O5DrO@`XodMx8q$UURZ@s{IM*@7uIU(Ww||y`B2(d+84M{vcn&_<(pq% zJ1?ob=8ek-K1fVYPh=&R?!0v3z>n6drOhXw+_<>LAtYb(>bav*9O9LZ6!$q#UsSog zDX+gJ^TaNUEA~Xp!k%Qp82Q~})gvl=^N0Xdd@l>zY;Z97?SL75x>j5z#vUd0375xd z*s+~MFBV66A&5Hsg&>QEu>Wb^QK1Brl7xqWb67=am`ajVVW9($vGdTRXffeCCG}Yq z?MN`C#He>;!W3_hRt*fOV(dV@7xv-`kL917ju39%1R=V%$X-_5Bgy@w$A5dI@(2(3 z!rqAR{(;1FZDO9oo|mL$75IK4wT*P)NuN;ZR0;(i4s2J)rpagW?^8U3aVmnUO_@p9OQG6OvGBkvLsnmUrXjgFt$({voKa%aXQl_nDviUa zjsjPYHJpEBE;Ysn)su%6!Edmo5Q^jQ@+njMKPkN>! zgFRPy#kj=EstJh$!tlYUBFvEkGpAlzWviW5o5HpYzGmVz=kb3jT_BB?pIcM;6YJgb z6YD+viIo-m^z&?d-6$6P>Y6*o^QLscgzlHlJLlQe71hYIo>@~h@SSOFTlM65r6$wZ z*6NA#-3fwq_3Kwo9(~^5uR7<|D{97`x8ugzt{FKaXP;H!Ie$j>$k|P&l-Qh@WXKC0Wh_OPE*_X0~VB{|`Ig0VYRvrCYJPtE;=KI#uV~ zU7d5D*b_7}nxxSvjlyUolu;Z>D4_(Bz{Y?H#u#`YKHEG4wm_PZ#DGMU0DD1B%fo@S zAAa_(ZJvc~FlG&!p1rradlHfiX1^D{Z*}z5t*Ytnd+I;oo^$TK)ebDf!zV2=;8W(V z`tsT;W4b$K2zZ?AJgKDkqLQ!4?AVm74`|h5DTxyxMfkNZ&#Q_D#s%^$?{>Cr?#L`^ zO|w#KxYqkKvjuz29CK-k?`k|LsB2AQ@8AI-l@4U~(?}z>_Y|MvQwj@QN{uLDXHZyo zlLEJ{&I8idjiB@?OA{Gft%uI2B&>24^~rFrEIrYuj?e;ioA2*WU(=IUOGFqhkx6CI z)@5~`s({YdIJa+ZgRgqctv!+XEeTdk;<%Wm=s;~x%#n1f{7v%~&TI6e)gW$;vIdKa z(b_nhPcJn)O{^_scE-I9U$S*YWBc}=fI@3v6*{NE=+H=XMjdPRa?Tj<^d?%D14K+f z7nT8Cup_n;2r+^^ILt8wNDH#Vrj=4C@r#v^429-4Azjy$fNPnO9g|xUyfZhB?gm*0)$0Qr~)$M$8>&9a{Yh{*%$D6Rqtod1yNLSWOTVuRGYN64GuX|uaRdV(H zH(=LReDCPD=FH8SEZOc3Y*po6DH0S70RC!_`BP%RIa6c62MbCN0Ns|V}b21c4aJV$cYNQ}BH)ybpqhD5YG91KpD*S6MzlOvqC39&M`&g-=LB6GCM{(?u zQcV-2CLlz3tssxuf&T>h4b)q%vQj$WHWr^myk4Yg1e;M{mADRlUx(^OWGVDg3QfUv zD3nZ&cBWF1hQJ7_FPPqTqWC`NZBDG<>~Su0;*8Vg#AJliNmxe4-YzI)An3Q~S+vXY zQKTKFrUeRI)c&R*>mm?+w49*BymVk`e}Df#Ka3rt!T!OE{ewVH&gWr}P>QYp?=mCg z%y6*f`EzQcJIRQ&Gv8il)TT z4xAM0tJ)Vt)*RiCZQAwCYkXZzX^tXs4Xfl+ZHa5v8k1egR7Z}NQ!+7e-00FXI){-h z>^r&rrgI1DKuXIodY7Rp3P8K}uC`6>9vg3yngV5{$T!JBWIwX^B(iheEPMo8aNLqwApgwsq0n!K1j+Q4Nguz89wz99hagjZ*1ZoZZLuHK7YK3FTGjkOb zw3Vy_IB9;W!U3>|%R{G~0x*YOGU!rk?_aa>u7Su)uoA6NpNmv$?0S`0B$W`f(jLiM zJ2$mCSE@CzbiG3D$$MNmuU6-#NKC`B&RBDN8rqX(Q@HlX4AzOCHZ+792Is`0iw@84 zmg;<#Y_vGoKT}MJ#ag#H6jLf>Vt&@H)##~c*5cDiQ{fpQj`QYS!8$jigK{$3jFaW$ zm@LsF&+f{Jpy%oUp6hjTBf^96{qC}s)293x46Xo)Vp|JRwWEd3drgEQP$@eA_b{_S z>DyC+Vy3{=$%3Mb>?{`{;QWLTYlCsAO6RVflVF@#A4IJkpmrMg`yi4+@e*poYPBN-cl`uY zAXosnIq;A=gqcF;2b`-2yk_QSaI7cc}B@cuvAwNP^c zncWpl23&hHf|SJgX}^2)SElOzhQ^gMV+;k-APi)lRg1Pa?i}7#UAN=0YquO-9s3Ku zcp%mqHDKsRkx;(B!Kv1%#VUt^vvG_wR57t3+*5K z2;D<&L9|F+xi-bfwJ7kRv=o&iC`}+E*s@avDce#~G&E`i4pbPN`c1=?yHh4uD*U5+ zWP<)!WR=z_Eu}P4(pAwEq{IXMW1txcY??VorT-ZpOsL=ho%tW{l|Xx1Rk%d*l9*9b2EhxxHck$PJB~dn5i? zn_EE}_IGbC$s0Q(M1Gsf^5z+!Wd#{xWTZA}REo=SDYP^oI_U@sg5yH4ooBT6nOZ?$ zFmVS=4?qh(UzW7=?|yvJ0}5t!8PN*iu?RZ@tV=4<7_2I7APfXNO|Y)IT+S@F+VwO^ zV0ec+Vw8#{Vx_w#H2TITMY}oCz%#g*lFGCJ@a(#=KVY{LCy*-S&eF3#rBuqR{fH|J zcW~HA9QQ2;7T)uQUR`3j6>` z0zdF-dARPMSst!SSdkLqSI8=)e<<3ZfB*%%`(Sj$$s6TnKu9_`7wK+mXlrY$?o$Ne z)1})~@aa5VOF(l1Jfjc_T}&h{B%;uLosT9bg+Uh+(MfexrDJN`Bf26Qj;jMhN@%q! zk~P7Qrg+072td%nIwO}y3_UP`oq{H{s&nC*a)=}b*hMr7SsA+tl+0!a$H)~|0`R7}#LlkXTzafQ5`F&8N1!2y)_z@n(p-tX77=1 z$G5?{MX6M!%tyf!U#O&na0qu^F&UePt0(7T*eX64b~zT-M!KsUzF9kFrKS3S-B%m7 zNmU%%w7$@`ror}H+8MXWeNKDafW57d%NXA2(}kPE@s_aGq_t_KDy=dW&>5_pA>Et1 zRiZSgELJmo+hGoS7BiA&B!;Ai^oVB!JzY>pwGaIO&NO_J8N?q6f$-)jm9{P9drZ6? z&M^e1%rT&XE%2W#Gl@$43xgkK#7T*>FMr!z;hrr`>VVh7(WRo3L~f5;a&gcfJQvH0u_HEr8^gANC(g>xr3FwSDT zn>PkBCTlieat15UatqKg4-!EdPDF?i^!Q1WQfcBx(C-v-~4z z2dVq?+b1R`1T_;PQ=v3HHB#iXQ$#coCt|mlOvOhTSI+NmNIIl)N^0UWE#Zfc270!& zx36!upT(0Ylh3HY@DFWPOUMdBNvY1|wkUwy?!2$_dk%ZWz(aRRkP_u;dSj;>pm2$k<;^8FNuf;T+CZWYRD=MKSLQ21k z9R>J=knjn&5(?Uk(CCSR0x>I&dk5V*`xf0cp(_}iT^tYTCiTfr3(qnTnM!bm9fk8Q zW?o16g2uF!;yh-^mMa={#a3i$<|K3zoT1TN*6l1lTDiuw3h*x%i@0lh^FWq)Aj`9W zS2d8P^DLr4Ps3OY*cBx;9AySw-ypYNt?VwI5<~Pmlbd(O^ox4-xLIkR?jd%sJY zBQ9Ii%Fw2WtGWUIpk-Tc#MilHX3N&uAz$a_PFHovpbOUUe04zASw;{2rQpH%VW$yc zfOZvRM(K;*K_{cNZqY*Apltw_M$fAz!8SeaDPdNc{6v3=ky5c(Dp$(odZSfY5v3~{ zb8=pfQ>CzI#5hVkZ*+i`BqS;uSA2FVXw~2g6d{(V;QMXN*je-y@+cTxLc@s5=7n6c zVEngj_C8{uA5m`(J}xdHcR`r!IzM{;7n2-NT2S%K)DVOd2HKJ*5|)m>B9ZHzyn4;D zfms9Zxo^KWB+ z#t?EXuuwl@JBx7G2x3RH*zKoj(qrmkTL7!S_J2HNM)-IRZvwnZZAx9srq;B}Fa*bRhmaF9-&+_M-4CBoC19=z2xbl#< zAB_DT{VB0Y;LQ$s`?|o}*H6=I0C2Ys0j#m-r&|p^f!#Fjo|EeQc1J)*87Xr*7))9y znKkLA_Br?58^YQUOIt+J>lU~uuZ`?)fRt*&nJHX zI5V6D9CaZy;J157%92PFj_?Km6rx!;!7lJ2|D~K6CuLp6RbIG4N$WQHooYn)X!WnbG9!P+nMgK%7%t6qF0VmJX zV6YG~I)+?FGZY~LXYfr`#iN*+yaRC|&gT&$`Vml77X2F{LU3&Ru+~N&LFz$Aqi zb84svxF3~{)0C%bBRE90yc`?T&7HrXSHy*VW}k_{Gd*deDKjgL$@G4^JEF(QzH`Op zKYy#Z;uTiMN}$=S{pX*&HMr%ipS-b-l!$S$f&=_42mGi2KMuqV#tLlPkV;FQ0c@Xbr5IXzRDYW-NV69?YBq95b9UmSW0~&M*XwXGWgzrcC!S!-*trD&eApO98 zcjGIupOZT)zD0|PfEGJ2(P=+v;#=8P;9D*v1ePynzLh&(oG%3}*f~n;G@4u*N-r~o z?DmjJTHHWsTt<^qD?xQAyx9=PZ?9x5=<}5mLE>mK_(v52Uhm=QlAR7j2s zGvbXy%o5iK+H`^(_)Ih6i<%CId8=;4B}E051xehA=fezC@+Odlu;Cv^gJ9 zvNAU=7G_W%6VXcPsGGB^DG_5-|L=TzP^tD-`>GZ-hU8*72*t#dy1M`RnFDuR6E`+r zx99}=F2wd)3$QVXKInGE+-Bxnq_dDWS>i5@$!>y+8#ES#e;jVnDBgUyB zouG|#7`N;7dw?`v+qLV$SD;jGTzIH2f_;bj)|Xn~-+SQx6TqJc|KS)? z@t~v!(I7s^uNJ!>oZ7JafYun%xkJnx_Xs-g1Udg|TBE&;3qA7c;V-`w?HFIQHVhsRzx&44I1jB1|2 z?m>{THwsdCji3yU*w27lQp8j$f14_WUI5G7f`Xaj(Sy4lp(N*l?@k$C6D}+>fKnZR z6H>8wf6!D=fi5UJN=Z>ngJSUKO(Xx<@0R9=z^`6zPJx)DFa-W)V(`?VBTuaMCkId6 zc;v~|z{^XuwouMl-4#}G(e{+LCTvxUv0ESb==jpb-~RBw-T$%BKDOkxwQWIFe(U3d zx13rZG$cA#?yq=zs5dBEp3hPcA(RNBR253)QMxcvMzer&BiOqYwR#4s)z~{FU}^B= zbO0(=MaMpg!n%UItaSniQ1>RbW|j0W7#!C?0pxKl><=OUAGE*UR8UW04lu>w|A`#~ zg9Sa8J6rf!m4Djk^>$NlM5*=H1*#X%h{!3qgv3QsL(?@o3Q+m=owsbg7cE{@`8_t7 zIqKBebV|L)r5BWc&u!lxgvt;6Q!Vxk_CEQqn3y1e4DSJV>af?aeZn1a`A!#BKo5#G zfjg@5omOyXw{S-d?*JRB9rIxCV?DxXDAa3yE7WU#3+pvcFuPq(kb5V)G|yG*HFX&F zesICzh5d(mJ)rGddT2KPBdt4ScPH(v#+|mglMeRTfv>I0<=1|-f9bu~=JM zo-WAz88hpC=G!ex zJ&ErTd|0_Ed!f7{kQ7z|f2z=f!}iIUqEV?=h)9`+IqZrUs9407^4lnp6eLNSNJDq9 zc~!GR5nb5Ph6ZG+y#Y7LT8(;#SsMh{{?D`lT7dOOUn&^uvvg$~2)^Dt6>ep2=cYsXheulA&$L7ft}d;!I7Ij*e+ve5+lWA{lSBWnwk#XaG(Jv!3`@(`4WcGKG;hz)hy;%vlE( zB@zqwc697plFTgG)1K{$aoT7{rfybPsf%?0lwx3jX#!kjkTBFh{xsCUFjT?n0!8V* z?K>b(eH}-lK=Y=JnV&)Ln&h%#lS;3EtN#@H;l9|A+ZywlDUuR_WHeCS6{_#AwX%V> z>}*uTFfF{DP&!O1qgE^5Wb(P3Y74JWF=CZXXELx_wagT63D{~H+WZAKu=Xgn1G|Z| z0c!_IHFh(&6T@!CnuI$Xyn~=)k+EOm-ysv_+6#Ib)?U!lQ)@5TDLX&lI!J<2n|&6C zSBca3{glcgoD)*9jFA!&R?857gu4qRET=#a7FmrfA{Gw>MC#@*-L!v)m|uO3lSiT#q8F4pAUffnGRa;@T>XH;Z>T=YH=xG4oat0D z;Vrl7a;q!1mA{LpT~BYP|6R;Yz4lD|{YroM8?jU>_7!;eFd0wA-SDiKO@M#LlJR&F z>xIWrBfNnf8vpF*6R}hx;YQ=BR2+R3{;{|O9zTSi{t7(9zX}d9a8>+CG8zA$-~zoD zoXm&6c0D+t&nBYj(Kc{)Pb`+g?Bx#?#o*+3@M}Ly#ZnP)0_<%rb`Cp7zK7(I{BSTR zw~U}e1qP80v5_Gk3rKH&K0Shs4e6gJN3f#c5GJhzzjz+bOsmVYSa35WoN9z?;ww{$ zz#21|(sWSCokg&@$>q29wf9>Y4NX8JqUAPk!j^20a?6*xQ@mMDtHg|opfwER3|Dy< z-L@h{^e(<{bFD*3F`V5Hvr9x`jY{rmT$H}<2DwTj5lQSZgI&i^l!~EJtKo>d3;Q89 zNd5*BNk%{{TDoo~e+RCgFJHe-IEBBzP`Sp9?ORDd=FfotNa~%OZYt8 zo{Az>0Jm;{TNBcFI{2-m>=Bg;zJ*SsYfHkFSqetomQ*EGy4-)SHIh7lZbOlcuK@c0uMR|VRM1&vsDuwzZFy*?X}%UKGiWt2135~-?*&zwIq z9_n0?Gu5QLVnRfqVui#Osd8F*9Tjh1&>qL1sq3$`iDaylVzqV?Z`SBFVTUv5;q&tv z^7Ha$i9#h6$&>~SZ)Mf2f-}gRL6Fn^`TFlrtxAPk!w#brd&FY2MZ@;5*upxiQgp&m;SlHn z7-Dr6EA^`uiyTyD2YbzTd)o@Qk-2^Z8AuT`j23hWu1O`@^u zi~q{mOh#6tVr?|Kfd&6ymRh!8SldVVvp@iE12O2)w}&O_pUR;KOh2s{+>)DkDUkH4GS~- z_PQGv11`#b1Mwp%q+{64I;8Mb+z1kYFW+{=S!g3l&K-Z|1?Gp$+YHVy>eyK$M43d? zC6u9k^jG#DC526+lUzn=$N6NaK@bZ~M$EzON4T*4NDJq5-x6s9ZmU~E;VrsE*zB#! zd7b(DK_+XtulI+ z-)GQbgxRSU4+*Qp%YFgj5c>&)1kZGer3u+j)z5(Ekie%XZ>c#yzNMzbZ+Z3<8uVRi z_9<^Z=R2(e|FAHtCchGPk3J@Jy}%ug*`bA%{S8u$v=z*p%oTCdQY7l4qfy$0$8t_1 zICqh0iys20M98w5LOoR`(P;8~LI-RN#?-xIkZe&Grdh7KW!tuG8@FuRx@Ft;E!(zj z+qP{@-LIp&r)RpS|ICk>b0Ra=+527VtXz?CA|p2*xY4<938d(xN^F8B%n^$hsh>iXNC5GWndWe(iW$6fSSu{f)#R;PH1%OL z&l7G|(ED|Cz>o%(v(y7<=Z*A8tw_#knr1UFbJf-2PUi%&Z@9O-PNOrJf`^-%!3U zrykQYeRO7)VmgU}8Pa37?8tXa;)@68$R4zA8l$L;Zz@_3@U)!fzogaol7;063c7Vd zViy6BeFP|m=qbH9wS&|=OJ-}Nojj*$98cE-ziZP(Ir1%J1&axyFS_W|muGG|>8Wtw z>h@BPZnv41b`5mHy`wbgXCu^K>4to;1pfpVh=_W=P6IGgC?eb-r-PvY4qSyERE|tu zymkbM`a@_4*&byOp3Jz0!c^C(j&yE2ZY}5)T#J2tE822?c}icsw;%a;cHK$zuM>LT zBd&+_{KW$1%iLnE=p2My98oxvZ#tN8bUVUG468P#vA(aUXMw`+29MFUN|xzQ$#FGo z1M8VWP>k{X0~ZLDL}8=QgFt8_3Of(%50zK>_?K*qrKj6;=yArpf*@O1%t*WH^A)B& zL$wIv=$#!8`b!Yj5Uz%3)QhFr%lH@lokYC1_rM`qq3zF)K>LIf(-)eAlexvv7um28 zmV}eT@&vP;vWL4Q3GBbZ0mg5;$7H`a!m3g})vlu_;55ulnF-Oa) z1^F^0?+ot@!G=1-vq?C0Tbv9^k<9`?>MQ_#8dOV!-TZ;FSCFLfc4 zpMQ2AdX*Si0Ws4gNq^xxW5NKuy(%&4+ID^Mt-Qjv6ir=yd(@)|+5d)eMFFP_gkt|~ z{{uda-?bqj2Fz2J=~GY|*tEcLYF5!ww$yG^(QFj8m-?AJ-q3_1)~Hcwc1dMT@iBG3 zkq!_4zV`fm`u*&~_x&@yjcLkp>N)b5`#C=6x`ea<;HzK^!1Ichxi;xLMe&p1Rtr^rdd0NHEl_)vc|-^RU5B+n%Nqz1DRbLt_$XMyKtWj%AS6v(5L_6cls;MLpf3aTnfay)@XFIw8Mqh=0j`o7eHI?HCk}d! z8J{SVypJYa9AH!gpAF7(L4XRJD0gQVf?K3!sVcxhuPBV(%*p=Y4cTg&LDrM32r{g9Kf+*sJ}8r!5=4*O+NeluB1?*( z#)IAp$aTwS8^8*d{W$I&1;c82`mBgy#9yF#f?KnDPGA?yU#^{Cj%E-%C&D@^`>Nq0 zM#qIWEuh0nP-+*mmNmeWnt(y5DjGdd@++7$O~U6jLcjRj)q3%bF60exkg+Uj#Dx`- zdP0m)1gZfvRItIJR#HH%afXbBy7-?gc3DCmk%<<=tquNQ)`(C>F8DU8*<~QJQ?Anc z-!Z^>%=jN#tf-mQ%0$vpvr|#yD~}#gg{Ow$G+WLFVBn-nA2&Bc1o6rgtg6oi+H1A~r4zFb`M^2;DNMplPXp3H~Q%|h=uB;Ls zGzxY^*SZrv9J*d}iq%sk7Y!qZ*Wlf)XrEo!dOTsQNQ*u(*)!~0)f|_A4PzQtjq1Y$ zFoYb|^4lU(Z9=NhBaFESON2RsTmpCzwO=HOfr=-pb%!s~*%k>tdJM@na7E3iA`z`r z)S7zP#==7?=QH@k27JG++77ZWuyY|4g+;e8Uo4qgn!=`^lY+C2nUF$3_f+@3KKK-a zNogs+bQeLA0U)9v_`2w@IcE{>*{Ps2FjB#n>3@dm;j=u^Xq5VpdK_3yfeN)l9RG%$ zB1BFnL{|oK9vXHojg-b2@`X1tY>>V*G-64~N6k1Uwc^?bedk18oK*u?^a`&j#+X*a znQd}hw+sreJT^N9H^9T^MM_7RYFrQew9vBzBl`KJn`D)_v_qLch{||UM-S_fm@Yao zR;710{4pWk)F0e~Rt7R5kvqiOSVx9f&xg_J2g@Tvqep28_>=&7U3}!J(xXu}$R!i< zj9h=8{m7%ypTP>)vt20<#zvRfdz1aPVYK zx6-#Euwq%bYR{H8bX*I#jBw~kW9%TeN?xAou4kl+QJ(6IyG1AMrLbQwGroi>3cI(k zd-nZ-ZCuvk7bP9==N)#IbJP4_&%N0AGqS7=t11O^3G7`vWOF>Ko)cb7G;H0X&wF~Y zVTxt*7og0UKiKjqkY`Hn;H;Ruav%ELZ&yx$2xn%W<3H}b z^S*cHghaix0e4PD_aNwodq&fkN6{j~S%fgFpQ>aTJXF~}W4R-R?9S1Hr?^33Yzd&4 zDrkzeFjgDDwUZ`K54GE>^v{wURvDLW69-9Qop1)s=(n9Mi0kIl$Rx9NV{DbC-~80r zxC#dAaoY`6m8$g~%7a@zSoA8#Oo|cLGc@dM>XFkiG!65Z+4u05HnO}5Ts$o7*CA-h zV$9s9E3N6(HhwZ?>6_0os^>Qe{!L+<&RRbUKw;X8c8TkT>0@j7YIDh$JaqRH2}nPr zi~3)a&;Rr>mekXu!gwegau}RyNu{EL)gv_TXy-ZV)m)zswLa|WIPvacP-V*f9pc#B z2?M$i`}9Ei&$ZhMSy&fHi9n`Vn3#@Z>R)WF&j;d;9iQ)mz5a>vI5*qJr8p0WD5Moyf zz@ml{@f{yG-~2`w`NzY7m=D%u{`OZKG*y0Wq4|mjL&bD#Kg6v1AJ9pxzUwuhO zGauZeHEhiaxqrmjlS-7+qEHQ+lENAP%81s_m9bzRC>6+x6WoHkGvMjNmI#ULaqpc-bW7v{om02QhW z9bznCG8s=U{tgTOLn%-`hfe+XD^Vmrm-&r4N~2O?6A0*F6uVK0!v#F`bQX6f=-cnMG?OG@2=vAPrHm=#E;h7hbTkV?5I z92Ja(o$qQ6gXTeEpQN#6;u3fLkYE~%UeFRGr&2QiSe~lCuCgRj!z`j#)>IjbpSVz# zjv<(A#85b>8hOGh#4Nv=K%1;7b&f-CYody+LRm%P62E#}H6R8xAQnu{c&d!Cxnqr< zXq>X5S_Z}jGj>wk`;p`jaV@jNuDHAK?{BZ*hsa8 z1m8^ykbt{52}wwx9MeC!2l9uMlK|3&$y|tK+1g|*10{+v0L%Ex&&eUdwfh2Q9dYlK zCWRXLs(;A{HSN@JR?~oW*mCug5VZ7WEU51Sxs`qA=3odV2GgQMEvptS>K>qARj`y% zTerFtV4?ggMMw>!)y>CfAXQ8Rst8ne77j}jldbXrGhnq~#;Dy$6J()A1C9b z0Btp7UdLJ$7uuDxVZ+4N z1Zvn!t=t`eMf`%KsZYS)CU`q})O*C!cFPXE1&x9aXC2DGMAzvaB+YQYH8H#$Hq-CAF6mF5I$;m&( znuimBUta}VP?w0>W5LW`7Q_PqXhmxVeFtf%WC-#5@B6p~sMmu^pcD){`85rZXjl=9v^ zya9E_HI!+8%NG4ifnorR7b){C7qMifQa z;*CvYsb+lH5bEgR7agrx-Iw)3Qtx{3rD(Tm@HwgAtaYF;jp{+Dp~3oTFqMj;NNE(6 zv!Rk!Z*t;SnJbDJLjAS!zv!%l*YT&V0O&EP`K<#v!)v8yN-CZ}EJqB*y&F+&JG`aC zg)qjK*>T#y@=>fYigGMhttX5ixr|3eOa+sRW~Y=nF)T#SXjH^WX#z*=-#(InXS^d~ za_8a==fy;ehJJ@LQcgh?0=Xk5{A+F_82p1RFw@kpG1-8(zR}0Z}0(8C1K`%NO=?j~LikBAat1Myy@TL?=N6BIQr;L!6H#1yLHZ^S z+Cf|pIv+AJTryON7))U{F6@VYakgjsrt&=B&*v&1@Yk2U7x&Hf>n#8h&$1XuRSbj{9zqK*p_#^{ zHXai5S8C4{&axP2RScvS9?A#bvpnF1%#kfTMBY|{fg}wk`X}1^x*c(cX>Gp z9X~0NU&zK|-iM7%Xg1PU-Ulvj>h@FKM^;v7Hr99E2aSf_*FD|X^&#j7O)Cq?+c+rh z(Q$7K+$>^u8v<~cGkjLn&I5#2>QGOx2TFJmrq8(@+`7V)wB^P&9E51FGGDzmB6Jb_SL# z`vzbL+|Effk~4b2A^ILl4+DZ7GGH`^@4j}=E$_|?x*aw+wk}XKWsg0c-9l`+1a2$Z z2YuoLFt&iNA^eUwAhu)=1E3u;5bTJlgS;U&cRdm;T+c2zyFY*!^$t25J0##Rc26y~ zofQyvHefW9?>^&B3k*AM!Ygq|hG1L5{iw5VKGe?1FLp5CXyl$;AnaFPL);xTAoeA| zXl>tpJwt4uRwP)t9=|3!81`U5W8mmhUqgl+HE?!k;AqI6Tb6&}vf@Q+__D2F*g1is z^?DEhe}vKUzCuv!;ef-0J+%mSR^Zqn0K><=`{zUK!h&SjUA{uF>|lV=_P+TLcGTe5 z9st7(J@&usxJh{=fT&%_KE)yJMgWKPdg4IXhXA90`5Gebq(ZT)0RPL0edh(tZUYFr z+rg3@=%Jatq$>0!P+X7GIN&_-my3lJ>x*eQ!mZxikk%q013^4CMeDDJh69CB7m{K> zfL8H8drz0+Dcvu7AXmuQJ*u_h049q{N()LVN=hrrN@(uY%()l>G?Q9{zX;-0Rw%9B z5QX(Y2m!O z(m^qSzdH-+m^hPeF`Mvn6M&%D(+BG_Nx1vPpi6xBP(W^RvlEZ_D+T_xWTMy1-HtS< zon*$}vZgX{oJ8cKu8wg?oVqF=&{E^{QpR-6;zdhvqFz2gs!SM2ftZ>*7zBq3`Bs8V zHy_qgI7(bPykPq6FLD@`Crl=oZUWtbB?du!W{%vp01w^ec zGL)rtnAe3~yNWfv{1C#MY)SJ|4U?eD~D9cNk?D9}koc2KH zG!;B1Te3pgmk!<{ zqV7#e0@nWuD){~Jv$twni+1EP{jC^ER8%Se zVX&YIdUPwjk2Y_-NentB;8veNQa-=&53_eG+C<8JSza-#d?O}szIjqnM)WyYI@m_xIOeFw99OtDa$xZQ6 zF=ba}S0{ZI+V$+}56{EaB(hF9cd5aG1q$EdOhNLbScO%51C3E9vSRIK87d56d;mn` z0i?!8GTFowq9FEg~Yh4b`#Z;9mtS~Cs7up`>~A2W@|SW%}&j=?-vy-2`vSxOG^5zzp`Uj zh#(1!!#S`NF+zwO7jln576Zh_GW^30PyGz$;gvnqjM*#LNiOw4nXP=9dT`ZvPlIL2 z|L~6v6iCnEYGtVdo#7;^GgcPx_mDCVh zKsro43)R>IvB}krk{6NYfy|AuLz@V$3Dfgayy!H>^$q{kb746KaL9{wwI-1L^XhGVc3YgFta|CSG&<#OtWjtpD%1i7uDYwHv6fT+=DMAkHI{E#$vs}?Q&=PD#S!z9DP3qIG{f*y+A=ez{p9w4t*EusJPXSO{&@O(osvT1}L73y>h z93(EpR{b$8Iye0pNu61a%9}SRNo^?b_R147^zVBM3;~15HGB{JQ}l@u;rX|bJnD50 zRa?^e&lCM9SxaF7IN&~_EQJK33` zx|W|BMxd1#y)l}nrXJ^`dD%>RLg6ht;U-pwxWD$4xNudliB1%eW20LoLm%N4-t;3HitUO@OaII7h2ZYilQZAz7PD} zN5GePnVY!LRa7vY)2=RAOO)-Q)jw|Xv+xd+lb62hT!ubVeA4@N`*_!WONRFnd9I;p zot;lv_FFc-+!UxQ+n1yHcvl-A`Bp&{REH2J?P1?N9=pw3OKQA6SG;nvED^iWzr=j- zJw2=_Z&}8GXGWv1-6NjrH{?-QQJXj;zw^)~sV^Ul`xS+0Fk?=5Pfj6TKoEr!!V(%4 zVym6V10h&R^XiJncYwH)@=#>LKIxrBl)L#m%B|bfIc#1lP4CsVskUilkaEyn z_4`wxF&wLa+LSg}ADvM3Z)i}geA=k)W}mZCxr1-j%BHF~+fo}8r1ryWB@^YH zkJoX}il12z7fg-M^WM*{X^tP7VG&$|3)N}|tZyq@jk+`^O+h12U-zA~w$mNN+smyt zFQ)d{H!&0Ac%5}Ej3;A9*3GPMQ&`T2x_4uH61ZKK7Nt(ccslvZxN{-4DtOq=wj+4W zRlKFNSzR5PQy#)1-xPq0$KFj@A5HuC4szZ6?$$4s2*5<2(TNI%)5e?bRC2tHgo+6K z>Oc*dMWZUAKnL@u3V^?iFRBzVxSU;dCJRm}T+Zz=xQ;GZTz?l8e-RB5`d;-}y**9c zTfih0P4?>61@z?Es)g)WEQP?r{rXkt=gzoTkt?P7jo^8~{mgOy-Gn*m<>gcCu-mst zB2sDDZnX6G80_oIs6V`Q-0<0&oy%LtuA9Dfd#`UhqPdBV$}I&srtHK=5*-mxp_F$d@ybrb*|jV z^j?myk=j!6<`4@!ISY9*5BJ6T^zo!IUs=Uq$-90zz6J$nPslRbSwqhAMSU#|BiP2Um=BsQ z@xx)KE9HUAgM|_>2AS!wh>4OdI)Ot#nA4eZxl}8&GlS(`%VgW*kwa`)o83uoz009G z=?~>!o8AD828QTpbN9K20wMX7>qR2w$RZ&@kCMLAEcH(2v79WgdUvo#Xc#CsNVszx z%mUbJl=w<$n9D^b&BYRsA*=}Zci&8Y+XWS(viR?XZ-pO{xVQM1iLB8+rz@-PY!<3f+N@n7uD(%t z`^t@NW>>uz)~awH1EV+FY(3rd3f=if2n;5tWy@Za1LITGPhmyPHWrR|^DVXAPbaTK zYeD&MiDa)A7p_D1_hSmvm7QfSf4CcK<~`=OTb34&Rhq6l{O;c3HU@}-F^qHhyc)>Z zjR&awf8e&+cgmObBQ`o&3xoOZcd3)POklKl>K0YjFTz6##hQ%&gx_sp@s-;>y>8Q1U_8t%R~~Ju z*RVFvZ)|*N$|Vy!wGy4h=Dgi!HfxXtU*g22c^aRP?bYtzdsx zAG3jVxPN(1pA2?^qmAE5f%9^!U^GMzyD@z=hiuzV*)R7K;0Frr5I91JCt5pr?5$nT zKcG5u(-tG%#?#(!xnq}*>RR*%SwXvn*{qxWz7SUt@5hziyjb|nrWhqko)z1+W>qO^ zzW6)NZAmL%-I7LdF!M_2xu9QA&?9KpE4L*ic*h1ks_on3dFH#St6IBw-RsigBmQa- z>5xvF@6Z0xt#bdTVw2Bpri%huOm_FihjE`Xl}zJ{ge!%Yy5-y*~Ehf6$b1=n7-vq|qY!|}ke?xvgf zGW^!t_SSr7k|W8^`bvX#{q#3HJk|AE@5Mo+(khM34jvLJO&*M+H-=30tJm2fiih__ zje*4^Xtl2J4-2XkZ;)C8OAb0}+-9~>^C=mnnHI7LPWyX(Vv=T0BTQ;}$}{$7Xrt;$ z24Rx$XY>dBz3VxK$BvrZ>r%G6ZzR?~yt(=@`*w+w#I(A4{c5e))<@iX)?Us1)Ar*o zKXE~4yV*~Sbsjf5yuY~h=16Hey|Z2TaD6#oIN&2)#shNTB5mfcFcAok7l~qeFv_CKgyHOkI(C$Vh%E7@;Ve$ zyx7cdqT;}vqctuaH&Ze`d;R)`Z8N&Jvp-&kOrc0V6ruKPm5Lj`Xx-N1HC<4pTa+9Q zp5})Achoo9Bidcg%-;5O8=E0jM>n$|ZBt?0$tv9(^9DMszT3L44?uA6uDt0iH*#31 zwsXKX;hUg7D+!IvyhnU-O-@TaiU1$$iFKBvB@=B`bXT{ZiVN6^aIP2k7hyttIN3!w zjqX&tx|>=9}p%le3_??Px8g;kq}o>zXqI%|6QaA1w(F$2 zm9kj;Ox%v0qhq&;7?ERfb>HvSg)mM3Y57Rdy*pjxqbkda$K2;WO;lV{ zY=(Jet$raa(CmD_t=*?Y($!IY^|)ydIKZ$b&3an9A=9SJ5Kg(PzYz0#&x3j)-(mHF zPUU^ZqZrI(p0paVp{pan%7PHwS%H8n^msIejoNC#63oB)enXO?I9{y}2$+I}&A_QS zZc_fXd3uPmt!D1fJxg1;pC87HIjeCV8Q&z^!b!OM@)mIA%G#SZKV$zY1arfPR$3fa9ZZ#CHm!lY|DTSpWe zB+a_hl-S?;HxpDu+ZZk_`1m`sz+P@WeLX<|@5Femyj1R64EBAu)vO|*nkhUi3&;xj zK%F|HT21bH4l5J3&Xd;fpY0NI$=96dnnjv!xo3A9uhI4QfEk%C=^S5}u+t{lQaTRQ zW`nz3#U-A0L2&*qzUPr&3z4sWvANK>P!`})}_)gzCC&`4m9=)Cl{`>KK_&s@ndow+_hYU5zBUa}-=NfLq{~(RMktX+a4(k?gL8q{on(3E zCep?6n}uS3cun2Dyk|^2`$XiJ>UXDA*n9G6%D%Eb<0s#{J{2V?pNd=_pixzF;A|(; z+S+S38ZE{iD$*j4qT9hX*lwCQ|a2DN9uE=@0_^7f}pC%+?!9kaaliz3GGVlVQb@z_Qb zlPoh-2@Nm(h)<8KXQTO-A)xXFol4)LbQgR!=4%y3GHNO6n26|nUZ3LoVJoc0(HogB z{$2!m&9}f=J$=5_bnB4nHY_>0ttx_7E)0ek2E?zyj+3U#*tYwe-^a)qK$({Eaku|@ z3v#I)jgHA*J#JX9O{&*6T34f_tD|GEKM&y=E>M5kbm5*VzP-{Z`$|9EMjeuF@3phe zUEdm+o=QTSAK=uk9FOQXTuT_$;&GOYBv*u9yA)iw2A%jePl z{2*2>MOCoX!I-U1vHSVGW^x!gXL|1QdFnm6c|$qx{)rdROwH@{e4TPcD%a4-&Neaa z<0I5E*9R#;G+6c=t%Y^zQ_Wsa{It^-y}BEu?~Pjpo*_F$8*yZDGxDX$@x8OPu~CsA zFxc0j3}_0IuEvVaTw9@UV8m>e!8wD1_O#1Ca4_OOM3mD9{x_5(4aP0jRL8f9|E2}z z6*|^f1SSyyQytn*WId9}JdLSN+s8*W{o5wfI;9zE9zj05jOY?rqh_BU7m z78MoDYBajsiEKrQ%F%DNRRe>O4h0HNvGv)?&(X^S9kK1s#k0FKE~B#n2b}%P6yOUq zFjxiaIPrPa!>`J8OyQuRCx$8kIPwYb}s&JWZoJ?#DuM3eTO9%0U z*K&Tma=15r##vkGx~$@ZkBWKfv=UThBi%aJ!-RcNnV7u^jmd7=w>Y(Kll^$F>G|>M zD@dNVd_H6C-bp5{IUF#kOgh`zr9^MW`~K6wYbvI1S}TJ&09H9Ac>4j9l>uT%WJD0M z$u7Y2P{V9I9zsCCT12!5I^!rWOJ;t-h=cJeh7v( zojzCRTgrzWqEvHq+T-6=C$XMwBiiM*hZKYPsCS*`L3gB_4ct)K`&RTEAHC5#U)5Qf zPFRP0rpfJc#>3jrGE%ZO?+=G&#cN(dR~__HXte<((>^UW^iU42br-5x3-@{V zySvh4bRbfJmFj(GbC!ebJb5#@sqYh&O-)9#k352o>E-@{uu^g!M&Au}JJ}Jp*Q*g* z^(|WLJZwj@cQ#qWgJ)}uTd*Rtwm>MEeX`((*OS_=0heV%%lZTSWo7x-`w!sD%J$FWKgizyaDh2~ zP`=D;|8xxW^gkvC%RiHWRr5#lPszygFC@o5>MtYPznBdFqBFAp2w3R<0~Tgw`^kg( zpO%U3Cm0LUe{y60Apx^6{nIjW{Aih2{>5cx__+lW^FIMI(~sMK(XzAs2$-3EsKNN` z|I%S%VEp&`x%^4#U&Q}>+8_Hr_xy4GzmRu1{(EhH>hW{&|IYbS$NyWTpE~}l;D2lX zPr3fj7~uavg#Y&#U`9rk|B)!G9ouWsPY)e@?G1^uE@VHaErf3b?Xbf6_X-eOQvxQ! z8u>W3x%wg)mb6CT>s#(4*{c0{Y8B!xz2l0Z&n%Nb>q8P#zh{(F9#yX*+9So5ryQTS z|FcOrgNw~wUKzidnl_G=op}h(75PpOY_#ncET8qXS9kDD1XCMCP>Cfy`(2J4O4mXp zK9lnq^f{{ynaLM+GYx)*qb_g1nXTO~Ng@ii+!Dwt%rdwtjU1-$hfGXSFV%soBI^|| z+Og(~t!<=~u4l+XRl=zRm)L*;h^1XincfiL!g~N@T3ea_#n+mJ;ir=S`)V=&l=;8m zcp2E3I5-&p$Ld|CLfL68x(#{5nU8XUYu(6=ku_HmSf@o=CZ4i?%jChn=CKi z*z1uiHBRfiE3wF0zE*ZH8R32{44tFq`-MH>H1Ozv5$8MnnvntP)scm~#!#hYT4zZho*$vv=BblYYSZG~8?A$zdn^ISx?xQfP!1L(G z#WBw92S!Am(A|ixWkV{n6F(7OqdZ%)&a$Iepy(bx$7nuJwU^&Mmt*xFc_UQya47~Y z-LKL80v=SK*P?`sc~UN$4fDUqMH)=0=>b_e?ftm!J5ecz>RGd&(Hm3%|FdHUaV8Y@ zen0nTT$vUn%W@pA#`*nv^}6T#LF-5ETfcjqp2qit{XcX4|9V@`Hy6n12mW1LK;PM- z5N0#lY!b6D1ykze-R#=x$i323bC%NQU10lim3n7cdA*_Wd-$PsCC#|tq*OX4Rx))} zwWg9Ktroq{)&>X5qH+?-VQ-ypcSFI7DY6@nP0D<(rN5x6m1Z~ff`*qGkFwLflyYQYCeBHaIc(Zd z9Z)g%eiINHxR>+a^Ze}*G_L9bFWXGBKks6_>6VGd#mlGZ9p%xrw%)leq)z+;*o$543 zAkPkSoKFX_nb!+bMr0bMnMb0U14PxW+fkTDNmhClI4rr$lCf;*xQO3ZdKqC=)&!(> zH#AI-hpznsD#1$*0cgg5lM78^X#CABf@U!(ArO*cY+x69#3)-AjA;689XtU|Gcn^2 zJvlwo0X;c6lL5VGRKh8wj8Qi6TO@dl33=kTdN2^iooNZP5D5n5R4kp4XmB{=!DK9% z&=quRQ>-HtM^kK9Py-FI3VdabZP>;B-jp1hkMIFjo011n`p*g;wnqGT{Ik3j@#>O>^N@c8B-m2EdpjF^Kom)zpoX}sy;ZxVw{vtwx@R;`wog#JvbIV*9+V$YKc%mW&>HaOpf@G+-NkkJ`4(l|GqdJZ zXUg5vbYzE5F}#RAL$`{A-U#2Cw&bqo_-4%!igjn}_XNHQIVtIM(wOI}j>Syo@Xq^+tptV0(u%K-t z$z}z@*InP~Y3FG3X4%?wwYREQ^Lj}uW$+HEHUx?J#EH@6Cf{iFm$ouz4%0ciVi$_Y z?jrd|gd!>n%jSyBt*ud#Awt}7uK_#R2r(}-{<+qyuYNalTE1dzhN}EtP&~UmLX)#a z+a=6mp^rIKT5jMD3<^Mjj=#g-@mE4RmkiZA%T%PLv7VY zIaE%%q-x`Ar~KJs3~J!AOmm^8z|dH=n7x5^rK7<~RaH}Sxyl%3a?u5vd1;vq*2=7+ z+!A>$y;#>glkZxt`meOM(`1I*<#MG*f9}S^pr3>|6$J zaeG6H(qy2EmbIHNxCRyHf%FEB6ZjGfE42G24vb9FrXaJ{^3s|jQ}aF2UHTtUDTAT3U#9Es~Z6r0UGe4LO8gW25WbbBm$}cI|uZrxtw5hw~ z-dp|cTshh#+czwr?kt}*tfM3!z{)EVN>$aY#RQ@g${u0=i#*M^>oA>#1ef-Z5=;n<*@6!tavjlPrAdN|@=jwpFr+Sby9ic?aq)TeuW+Ko z#Gp)?Qoy6+golfQ4TS3J<)kBJ(xYxknOM6tZPa)(sXSM#l;3`5REtuwrpXTGI}n+I z$8O#?E|uY!Mc$L2uC#1CDrJb_NM2;3O9=;0ZFGv;lp9dAW`MvAPAi@sD6L_Kj~b6< z%&w^2P2gBFc#suU{&5p%!M~n1^K{8L-VlsJE+l0vjY0enO)o+oe3fC9H0N!KBV-of zTD*rC4rmCxcW9+S&XZ}#d}}qHgS1e5x=&-4nPoqYREV4&FptkB`VhD0&f8;dHewzS zo8JhxqZ;xe+~|snAu&_S993?Ke;0n0_V*ZLGPeYy$g&lDlywyKKVEUjx@|6%FE*1_ z?$(InHa|>X==EAF`Rp*1cBm%i8aj4x#8K&-vl!%RY%Qj2`hy-X~sE9(jz?P&QD(mTye3z}e48QaLuN-n77ds){N_ z8P$2}aNr?b{3@E_V9d}JI*G61R~Qu9ARDt6zf7rU9>!!##5=w~8CaaUR(?l5JifnP z)v+vOn(#62No57MW@(H#ln}t~lN( zbGW34jz3#fs&A|=(iSs`wjT=F%)f(tbg+D@ID6tEwz;h9@Hy~w`9TXTKb`Y=9-Rtp zzifXkjKsJfnS%PPQ`W)RiH&{Brimy{7jC!)=?XbVKQ|EQYU>1y;4iXzpA+6;)4EU5 zgABI?#8@{_A!pJmzl!jxOE-F5YrgVkk8LZZ+Gfzkao7ahONlo|$f>;!4pM$+^?7ca zcaJ?M!GHTIT*f0^X?aV8jeVQzd)w1)E5_=+WhLoqP~PJ_2ECFL2T*no$RQIiK79`* zj6c6Cf2rwvo6~M<#^SjykHLW`eX&74*FPu)jnN(IDxwsu8DG0Qz8V`-ev9jO@ulc0 z#o~Q5CgDMkc@|gO@%kw$Q}X?mRT3%F_U<50(bcKCYeqXtIlPPV{|I-f_y~tg`Y!By z1*!bdGv@8SO9`HMZc*FvMXSHEun3OWA3PpxXUoQSH@IEHpt)%mzc?TD@`UhIdvxk3 zny9ya6wlMEX z7#3_Y(i-{=*x5OI5{(lO5YXA#X%Ri~!3pbrezeX(V_ihJUFy-)O=?DJ^~M8h^P4?I z;mB!YjVs-Hce|ya^C)^Ey6_ox$;Wv{ALp=3?0V-S0P7rh@zk?UoYz`4vzF--HqIyh z5xaH!SKl_C9kP`oZiwQ>jilIM&yw{s_u4{tqbGl0C3B1@Q_=CX1>BNH<4v#ov?=NY zvh#*A57(SJ%O;a4&dxgF1%eT$*$`d2g(#EtQtORqHU0Sy7G$iStlMQfynEw~OhzW+ z9Iy5As!pU%s`piEvn&7II4!_fqow=p$g#|9*p@ssz)PvP$?^MQAN5O<`|i3A$;jqp z!S=*~?78jv^Qp?~x|{WDz>+94p`Gj8+2ssWqH06e{GfU*uj)hhG46SqM(yJP0gp%f z#pTOy&_{cx<#CNXg_oI?!JzRoq4kNPpuNCizpBF z2mG1OBC~rS>pXyU4rf(u>Nm%BkE2yy;le~WU-GTovq~5Gc&j^?52@2{GN;=P)p^XX zVhOg&{PB{IY9qXFCe7nAS%8dQ%%^f8vd+UP?15RmDeS+2k&Xue4gK@@2#^24VczL4u(OqHWkdA zk+S*YYQ`0`UHvVKE4H3PEt4(7Ez2)Ow*|NHw{^E=w}0)~?GkS9d$M}Adb)ZVZjjci_FHZ(_qF%ghCGJC80THms)w7mmao_M*3aGxZ0B5>uN~K*)}9-Ema-PT zcepI3d;SmV-ZH3;?pyZ_AwUAb-CcsaySuvv*M++#xVyW%`@&Wr*utIQ?(Qt~=H35u zwwzmg*Zp`;S6A2U?pd=(&+bpZ=b2+bB5z<0K->YlFINH3vWj=H{~haL{okzT-w@_s zoc9m#W99k>RC4^&{;yPzgY7@MvvU3Ai2sGMvH$Oz{QOLk)^@Ju&PX+}?nFeN!qH3G^kvCQ7|*CU(A zAtbcL+svEOH~F*axM2T_eCI;Ml-WJ-x5rA-xz+^}cTtQoGpX>7v}D~32#ovF@^sGn zIIBG;9Fq08%rBba1`JbC+}SSaBRLDM{#O2pok=~gVE+B-P7$%_DdA^nRVQ2~<8hfL z#XMCj?TEM5L#Owcq861`>Ab_wdAyJ2;}2j`HGb18chL*q!kK3nu`Snu|L#k0{C}D~ z4sK4?|3uyXsv_L~bvDm35nR>hfkPmNJg+>qi;S)>NpN2AC?9c9L_RZx6jE*{RWafw z{w$`*aAif+0dRzK>TbwZsn@6)aV$So-j-CMZnTv*FD%~aHl(iT)TZH$b)O+Y5rHRs|_0w%w!~qAo zHR9iLbgq7MbN->Lpd9Jdwo;eZW7{6@8_IqJ^Pau&d+p}4n&%tFv{&5g$D=dnprYTb zVuJ|g`b+C6{H8CAPC3yYXpqHs~e#L8Ovqu$c0S5H{;70f=2)NvF|x0 z2e#&kXU``2h4uQlDTW|9Tni_R_ z?SF{x5)vqrBqR>2Q!df-T%764R8~|GgSPCK@V%HhB~fqWenmCM{i4nP{rAR({rwe7 zrlq_Cui5h4x0ob+s`*8Q>Y9q$Ol2JvLo8e)-rC~!@_G^>CIWca7s0wAz#(JVZ~G=) z_Fi?6E96DyE(yVq)#%!CwC!cdqIg}MztO4CVY~PVXtS|;n+rS|11|mDi}|d3Wjr`$ z3umtA%zm-bY|E3FIYx4zTd{JJ;%m>bEd8pC1;cXU5@aEsvX&3SBH@ZR{$y)|PWvj` zh%URNW<64rtXyT^~PRq-2TR)&yPbcrVjG9#u~ z`JkgWJR`dNAp*+83%_+riN}rF$kl#rBd+5})T~%_8`D3gYg?i*QrG0Fad^?9_#Cw^ z(dd+{vmBjz2CqYy9&@yxtm9|fl*EhVu`U2=jR^@G!LukG?2r@%8h@7vF-hc>q~FpS z;P;k1MM1s>oAB>Ned!9TEpggTo1>n4->EA%Z|k=7`=R zJhO$0ZLWIC^T}pZ{R)+DXW*~I1QW4~&-3fK)9efN4mEi-vPKt6YLkFk;XeJm?oo9S zQT=izaqTjzR6V2}{gm5ZoT?tByBN<8um@61iXJ08m0o0h^|C1ow{>F%V76HsG<|6} z!^gL663~9Xba|?G4d6dAzTpbd=VA+FD)H03(XV5%D4>H-ki!|8H4eLiTdm#wC00jI z`Vk2BR{FhF#{5jEg~)qGn4-WhBmoqIS1BfVLcf$Q8D4T9bM|*JKofD1WfJHcO!lZA zpF!NYdFaMh$(J^~$hMkJncBTfpA}XqYtgyFO(E>lmB0af-T0G!uu04zir@Ak86zya z7@tfQ;{?X5D?LL}UYpbm8E+_38H_Jh9qL$AdwSY6%WA*Ujl$}{#-`@^p3rrTuV6q7 z(`F07PMOs=g(6B{GUACFPevbLDrh9lDVxs|CU0cuy2Y0R*%9U$7;k8v!?Zo_OSCbX zcFFh#lQ#<5cDhC7J6ddxdXv7m^3Ls#yTQEW6i@&ah8?MR2MQK*S*05m9UL-tgq@c# z+_JScO4dyw=nw8#BWtNe`U?vc@*PQfN1c~09+`J!_$zJ{Me)dAu#MWTDy~?^pBE9{ zqOB<+PY29uG)FM*kr*g$lnou)bR_zVfr{Y{oxI}>=R}tq=O~wH0i15ri--De9Fv7O z-C`ouoTF7k=NMnQ?2Ag}Im9{Jeto*Hp-;_M08{*>A0g3nXH))+qcL21pH8*n66L zIPz|eRPW0XTd9`T62M*0-%z&`py;^OK2unjiI=tC2=g^-cAMtwb^ZI)(hZfuT=R0g zy~lF3dv|%Mx+c0(w-N~RLK$j)>Ty4w(Wae-jNlxtRSO=njT!1ywK$$L!)@xlTq;&s zMLTlV>PRE7@0nZKqkGmGd3{{@7YNCN3|w^Gvg+H{LPG4t&^goQt*39g?c2&oHUK!e zIYvDGBYn%l)+%ZZ#T8ci`4(GqJB#+0UcZgwHZBlDx&=A3sIS}&87Jo@j9FV7lVVV+ zyi4nO9W;J>*8W-C{Z^7Wn__h6sw>BpqN|@l<*=ba^cfaA);+Q#UI`tFYHN9MW>nk#kne8YeRi~n%KmAs zfXS;BJl~bxX_J?Oi-c=;(;$a@p!8nPLU0L#47t*Cc(-zYPP%;_@@MyS5M|om0Yl& z^RS7>BBmpc58+aXoR+NyT^i$LF4HL9kj68X)3Q2{?NDn`#r;ben4qVnHNU-M=Ag5W zcjduusnCaUsp8J~l?3!^N1;3L8M1OLrm9`Bv z*+VPAIJwcUP{@p%{s^~ zT(M>orPe-vaX=nPNBll^jm!6mOqug9p&Q+Lr4r(2ou7aEk}4ZFWX{g7W9LbT6lIYd zDmt&>WgdGRxSc5ratJD!Il0Gvh95nalZAONn=HY;FyLLfOitFOw^y=uQY%=|+5OhW zG{OkwPlDGN;?L>w^v8(d4NM3S%Dx4_9@eA zwb~zc9&m28S!nFkdJ3042%>8{_o;!xQ}zuj-S)rF?m`iuw;B^AS?KijRWYtTyLtlo z>lX3pg?uGVQy|(zkaQ{keSazcveE~ft3)oX7{LrGU{T`!XJK0GE)sC79^X zcJg%EK%jgU7$wboIgnr1skBBVVt=BUfrm$vcFKkgpf};p=jT^^wlFcfpK&HUp$)~8 zI&kk<+U-#N!xwQ0dGepG&x7#84flXHwV8WQ1zUibNSCEwp{aroYa{n^H`#JGRb#Qu z&(&q+fH@}wd@?nBeEb8cBpV+o2MRoy{sq@tD$@vUJ&KI*)`5<~h?a!MW9dc#ES`=c z4%Ca7I>nLS=mJ;b>`SN@_QM3-{H>*RY?<7osfQ_g4L%-8HO~R2xOz z3Ax&ZRpc4TYc;wKSPM(jcqf{EV8ZSMPqG#58p-l^Gl)Qhr=F2*qu>m_TsC7|jn8WQYGhnuWYh;!pM>L~5c6|aDMgUH_fOG3KJ#yD({!)$eh*9$STr-Q?;A#$gqMT%0 zCmzG!{-#V^Qm0A81eFKqV=6vuKvbJVNKE6g(g{e5XrBBm`FX~zmNQN^)3QUf^?A3m z@~_$dA_?+0gVE8=xJ4Df39@XH>oCWEUelpHbX~Cfw$Mbw-s>jyd&+0UH z+Bw7@$C#1A{!me*nc-$;Sv|{7?xx;adyCq=ze*+8V175uGxu)Lv|&03xK7u^UCg>E zdEygbvwt1te$EpK#RELLaR3g^f?=_l@cE}iJ# zIM^cu5siDi&3C*Y zZ?mU2kuG(l8)}?oiq|6IEiwTcR7TiQVQx9*)dsX`ZI(WcXKqylS)=iBlxD3lc0I=B zz9-*+kSD{qk%kl24Ka(A*EIQ_m741_4j^REu*-c!AyQX$vl?8rAxYL9PyeB9&W zuEIBL7UJ61!@o$as>cN2aMwmayqD7(42 zR`)L_;Sx%o;Bvmc*%;nEILLzVIiGw%#;$^}_cf-Or~+s`*Q5`yG0I=MYz0%_x!8ts zl8hb?V1hv=7bE^=uFiE zjzo00A{E3lycZK84Oby-%qG21i_S&B)LfQ^)BJ4yNH(d3Yr*P0sKx6x)Am$MxV<@* z>>L9*%?%dQn{U?_0LT75=o-pJ|A__G&=&qp-CUkfTb`@@Y>r5&=;}Q&aZ~j(P+I|N z6jFOC=-IcXq`Y4@w%U9jqBn;7o1gl=8h{ln>sz9G>kBLDAwfU%}uY=cj9>c zV!gqRH6RQzE+PtPM58EuY@JW@F0DW4xpV9tnL3*LNZ8gI@RVJ%SED_8YXl%1a|dY8 z4jV5mU$`dzhWxtI0>m+ZTCT6Po^v?e{~(ZUJZp|WByrN|)G)PX&+eGH>xMpu3@V<) zy+M=EK&OWxpL?O1sVF=3P5e&RWa#CMpg+{(vnu{QdKK z#8$G0F|4z7+AE zX*TLfohe%u=snanTUG0|olm*V+!eSV3=Yl@K5#J@y_F1L>rdCM=%g7u(Gsn&zGqkE zZfF%(ubnIVF#_+Oa;jnf(DBVPn9f%8_SKi-?N#6})VzkKeZ5ee92qP)?1EV4x%9AY z8fp8l`)=^eBcE~-*l`0y!+*ze>pAz+hv}wab3*oYkWy}T9O;({%JqE*s=dTcNjk~R zQBPa5nHOF=b=nClJ}!Y)8d^5aDF3edE?eTlINNZ)2Wnc9DC_vIlWp*r$C~4NbP%4p zf6;UQ#1lNLs5n$CWQT$kb^$$~!m#$w?lD)J4R<~=nz@+kE#CAw&h)0l@b6knz81Nq zO^2D*H->SBG)6;x9mDenKHZ6mj0>8kPhtC>Ij3$73mXJipnWX-%sPjPFBmTa=G18d zv$jk2Z5^!Si?`WlSr_Tl$XSiHQlQC|Nyf%bRWZ&OzXdgrLf+A)pu*#+9PQskmw9>& zeHVYaAgXzJzC~J_Cm!ebPx_~`8DYLy_l(&#ODH-7@k|K#8;gX+e3gc_(Z!HWBj#Wu zbf_WgiaEy;zp{C%kF{kM7d(^1g~C=`XQV|5#HUO=>MNY;Mh+{j*X6XPdOIPQFe~se zjeKoh(Zdq}teqg3&R1TzuQq~WMJcezt8P~#2T?64Ip>I43cz;Pl5>jRJUb36dtG

      Z{|w&^5!r&W7A3p3)CNuVsH zLfB0C7~?haSfsM;<2+he8V%^KHjMSMTd2 zL5NYtY#$}3(k<9=hwz4?s0YNhjjz%@S8x~Rmo!8{3I->keEcN(5nk3)qg_}8 ziUGUxd%AogkRs#A?4tq$L-}V7RuA6mC0g2*PO6Pg6^bW z@qM37O{J&tXtG<+zX1I+A2zY-kWsdQ6(!!%cTxs$!T6ZgrAky>zjfV+kVvXqk!9nb zTulxxR0V2lO=3QU#zZlq1TN<;J{Js7iRKoDX2nuA1;(??I=atR z)DTaZ1c!d}Aai+8<+qHCAgnT5K7!_pdF;8rX4plB4D$a2Uq1$fQ+P*iq`d|WeSINb zUVh7U;VHRz0yV6if*$^H!v5d5z08tI?{Fn3VjVNbAtmb97PwgBx~V#w1>05)k*zFe zTXd@MS~RyQIOK4)n2+qrjH72!vBx@;*HzV2a8}aN4W^`}gvv%n!3V)WfhJF;*ZvoJ z|5ok=hPk2QQ2Nqs;-5UWF9x<_Q-#Bf)8vF?QE^PF9PFD-jDgK2z~&QR%Cj;^9?z5r z0$tUDBMCPR`jW7-V*>}i6@h*_0)3?dV|^tj@Yi7Pi2nD3d`@g`Zf577Y#?ZCxE*IU z#{=Zb1LWhad{%5vp7dk{UxKj-w@oc;AW1x^{Ue8BtwMZI$=yqsh-mV_k>3~IKP0TFo!>ct$;|G?QJU3@ojtkx4tNo>1y!P5b^WpN@!*vl8RY; zMgk1#hcCpu6=P{MI$amM8dEXq5S@{d+_l~I*iB+e(oiHJHAH#=* z!yYEviscDVdqiPGC_lb8S#O?#yTArLR^>ld1~FT z=`|7r0F<}~+wP)zwed4H!Al;60SgCE^ z^zvTXuBNWcD1`ddt2ut6%*CqbvGFiflpbTxB!b(hIpUz7uu#bk6_tg=pZpxl2sJId zs$UWnQ)w2>ret87%qcdVZvS&$I*A~4Ev6GHx^2JZfUHwgyUZy{3mJ**k=qtl=Rk}} zS-X-x`8tXa+RDJBI1C}SqIc?g{>GG#iL^YLQe@o>-z~vwG*J=t;b%W#hiT^5Si^j1 zSEeQ0cF~Q(i)sHSs&0jiGOi6>K)c5TAMVYrt84zSIo7>Vx&hPo_Z0peuzK!i^Yhhoa ziFrzTsXy*ApoL=xf4Owh3fq3%+HIwH;N(k+x2wXkzGm5g!M3@qY*)v*K!?*^GfWH& zra53wUQb6tWGB$Nipw8-K}UNF^RcIoEHBT}BZHg1br=*M6)6oe;tnySne#1;#68R4 zQIA+|DAd-ob^=Dq87SKBY0=RuYROb2MQvZ=&-Z?>&)<*XvVk8f@>cw;oaQNIPl|t$ zrMII%jvBAo^gPys=_Rh>rzgDXTc}2mqGuuWI(F)zry;j8Y}5~TO~WxA7<+`oQ}C-w z21Ig%N2d|hNXw##F92Iv-FJi{!Dw8=7B(EsssgZ;vCUd;6RzjLQO?p%j`1SbTd`Ry zY?TJod5yRmcf@smaTzia8`1}GcY)Ti>FIV- zM!uyGb=y7u&^Ijgp$*HcLH;ynpeA$;ch&mBAOzQQbpzny$8&p*z1fDL-M@msE*@XzC$)!Y+vW^$)=GL4@ugyyvRmda&ciJMU<+ zaDH`lsh7d?+yXAPQh6OcS}WOmd*}fA@d-73Edx`FPI2-8R6xrr$M}J3ih%0*mul@> zhqWjzj7Qo2L3HFL(b~X}gX-?b)eu2qqp&4%a+AEr_{@r)qUL2*73suS-L0wy?!dh+ zBr$D#vwQD-2iGhwY|C>4j+50_I|nwcl%?aPIIgFz(6-{avXwQ)tWmX7WB9UW1su@0 z=EZc7e@|19HCcVIwXNc5arvl=?+}Wfr)Le>f zFEN6yyb_T25vH8M1CoEYZvO}8{lB2q2cr5m(hsDd`R(a%ITDynU_O#~nmm@u0k5po zY6l)|NK_PCYGkNeS9FmYCAy*k91sN$fTzp$w(WG3e|C}nT}U=hWj=~GZ&k9?NXaxL zOA63zwHvK!n=L6ak84%avra|09;DrDuxm|g+G}Wle{A~W@Bh!pxcF5+hVcG&q*@t` zN%%7O;%P#IdvY#=8`bw}+xNOQxE%`7=L32jRl+32STis%-1L2`&D%idFoBl*BQ4o! z3SD8vx@=vXxHVyA#0{Jd_rU$8sErjxu>*Zoi}4UI-=DOlPQNbXRUL#-xE9pCwHq?M z`yr2cnW{}5-;yBrLl3C(XmSSd|SqCKnmliW?Ka$!iK7 zv3{xCgnE9#jt0ibi*b}qj@yDFM^(5VQ2lmp|2v+iZC#sUx$bEj9a@q zCEwkSJ;#T8&2Id50>>!M%#|c1T4GKy7BN3F?w(_G_2(c?Tw>&X1wd)gb8g~s|83o~ z{bqL!!LpS$Q++hzM)`#&UG{5f^hCLC>Bv#UJ(c46G~9U5 z47_im=)?ICjIvJw35gg*_HTtH?e?f46?qHkd20krrLYsDyNab2y0TSPP-$N?B^gR@ zxVcis^Cr8+A^Opajd6Ul_$Cw|%RklVrA3&PxPa`!52Ic<=EyCiWqs;a2AI7`>KDctPuADEpM}J`jD2 zRs)NwWZ*G}KGAMb<^u{b9FI_Dj)%oO&`tyUU@{zdTn~J+h~*pa3g&4dZIT?)M0m59ToY$8t98&eej2guEs>Eww5e$-6Q|ZWxbV`n$CN-s7s| z2UUE!YfAW%7Y%U_xf#DVI@(OTJu(H{EH5sgZv102_!5D?1+8I#(?WuEI$jSybY(0U zzPWU*uGKzGR<|VCa%R!RWo;D9uK8>womZB78Eh|2j#aYmiNvDqml;oRdT_YBmX8@u zaIXne)=ud&BIeBaoa3X7o;P*`%=vPq^8pN3fqxh)NBewS}lLGdj&$_tDfCvpwKIGCc-*o#IepEHTCU!Bqe)VU2xAN~{O1c;u zMefQP|LfT9kr4V8z+E1zNGzlQ1E$CBIvLx=#z=>--Q2up_-YyYU*_2TL!JLV0xmRa z{6&~lg(YLJugxIirB9SCK*`@)8kGV=sSb7OpV4?e5I;05WLS8!YJ^{Yz`@zn-- z+2on(ivA`A@c~x0b?pgxkAYI&v#nbVya9$IVObyBxEpa<;q1W(lOwS5kAlbqgW#E4Kepe>q zR5;ajNbNrl3?=8{sOjjWU|CqyDm^DYsor6o|4AN3Tj_Fk1_R@Yb|S`Uj8HQvnUHyZ ze_vW!nwgn7ZegT4(irL`FXmM%;WJ#9Ze6Q5wSe^dqQ3m~e0XBPW#IQ9(Gw0abQU&kvqRUs(wz5KtExrAjjgLrgBD<<>bZR17A4MkiFRTrnlO{ zr;%edWC~gckhHHE`@ny!1VHqh7S)O%*W+4gnl;xwKvZqjiq1~^txFiIrD$R+UM!RP zMTN^qtbkiA9WC;n$NlKk9`M`P*u2cK<7Za-=J~ovn=^d^z&3_tj`@jEmVt#buUQe6 zNZ3MKup-ZVV=wCT@6J+tqXfpxhno3`H^FYjy>z#w46I%_Tb zk1pAIMxBwsjTR`q`KM799;fTPs}fl5I&(47C;+K@0=%SkczC6RcbRrj8=zk%wv={1 zG>s0lY>;djoLGN5w_6g5|5ioE<)zXN>eP7+qgb$`kDxBP2}|rAAM^qrN;bLQt`=&u zj^S>-Ia1XvY2zv0Rh_@Ss0+Kjz!e^D`Ko47q|$?aZR+CTvRIOGN+eZ`;obnY+Gcuv z0@xU~!X{u|2&}jA961|ZYLZquARa|w9(_a5pB^8?;sa8#uO`>fdK6?Uq65Cg6~R{) z)B4jGNaq#m=86ftLkZ?Ca{tVqlNzd!$v|TWXnceN$`AvAFD*+}`N@%SUs> z4rU0BmEW~SVr{n!o&7%RZu1^{kKc9Vx_4IusDZ>lYM{|OsYSk84}&570O#(5r=}CX zMI(LUc0pId2jAuHU)@vP!>>%|2y56tuFkMku6764jnkfiZYIat=h|OmzjS^!K{u^# zI0Y=e3Ooq>6?34^FFG&W-hcV!J^MdE|1_#7`@UAVa{E!ByzLn9Bv2}X{b}v6omPET3#n#k3^Aqtq7?6^18NK`7uPMkOuItJ z+8!XD#+|;NDPpH=rVy7+L?;u;Af+wO;QxE7PMVn@+e4O2uDUeY3IVK$7UVm!PhyqR zB^M3^V(ry+uOdkwhZH%T%@fNX=&r z*W4#dU+lbN-Wc=Jc=!$IEUjYJD#rDgT^L(vP87_oB9+u_y|J<~eu0JDw0HWJk+W;HA%=g~;89vNq zO$*iS9V0~?)TFl=_C1{&G+tlR5euLY!!Uyge)(=*-@D1lI{^J*rsk3B$y#b!mV7Mc z>6ncDOzV64IN8Ms6FE&gkY=p`TJF`|ce#mG%O0Lf6bTf&)+}lt$tKy)j0PT%l zXCw0UT@eu5X`_zDmLi@5DF{M6C?maAZ{63NPN{U@Blzy4cAg&4#BFHP;_%niw6FD^ zMh#usn12hxCVcfq;um(#r>3fXCPnQwnweK06tSN(y+#{686#~j0nb-jkRi){f7Af9 zB-qVgO4^D-*1se&ckn;qw$2WR!a8qkTA2cYb|!|CNAu-{}73vd^gz z!4;*lQ|_Rj?BS6TLQYQ#txrLH=$Vs$z_Uej2q#AhV4ixc=1Kg7Guei9V$h6yMO{Rk z@?%x%=8@XfZ(bIh|D9-!j~M!m|FOSMpw-0ps09%w(4mE7+cBZ;JVkh zJ~d_U24|6{Me;Yba-_pPS?wo4k3J^`G6W0x`8w?vLFzkA@w<>Ki}X7Q8Ws}L=c@b| zp)YbFVc&6Eu7tu`r+pzq`3eteR+vaXwc3q689tA`yagiYzo#3*9bJg5%B15?L6+(- zSA4-DmtP?k$j{DPeRfns!V~Xe*zbVwEX9jU5}0q&BJeXtvc9~o?@i15xv(McEZm__ z*EnP7k>6(_{-UI}B%0CELg9ZLMf~!FIRh{T5$7Lp=~3`rFUBV-9C&WYIAf$YV%y(* zg?cbJNpvNPxyTvczm>MWaUjWU+yvuJ2yyn4YA&Vo!EFh z9HjCBN52Ppy9c@X;a_FcOSVIAzt`;r{c9u<_i#Bj3q*M`91>7QEVHV> z>`}ft`n%@^!4W2jGyM^;_r4~>g)Zu*^#;h3T1$kiGJp1SBRdt{`3*N(J2Pc=?%!lduJVn&hhnwuvpCO_{-N$eHlfhhaGlRjh;bI;HL!T8yogTW7KmIVU3i@2 z%N(cT)lYTXYRVyu-4md#ilYqr0H8jYPL8l3K}Us$`7C zqF6Q>H`01Pf9z0_3FNhB;q?)z%||h_WX!K9q5w5iZ6j&Yk)^LFthnZgABXU_MV6wq zqrEwPSZZhu9am}A=r_EK+|#8p06KkTs@lx23P-UR(>MMo3$@uRD%?>v*;C4B9(0hV}Y$5aO%7& zcqKv(Y5y8kd*Jvb5GxINHq2W`%CbPs##=gt>ILvekBOG-KJ#PC-dT43dITd5zgTTd zbh^i>D)o7LN=>nPEZF4?=k;UUvku$jwF)|N3mqsr^h#Z%lmWc?aSdxmf{snS|P3!u`Wy!)c9 zSX7}k8ydsXOHoQ4OCuu$2!caR9}BHK=x9_kpLkAQh!E`=Z*mG6BY`nK0@(ian%VH}k?ZweK zL#%9)4qemOB&n4ut&)7xOM4XlN>02-2Y)yZbl)|HOA(cqq0ZIf5J**Y6g!CIeBsc zt%(w5qmwF++iPia7vJSJX%X{YIuIZSg6dSFYoO5S(R_%r* zk>-0C%_Oz{=}{&4Cv?lZkz24%o8^~B2Wc%!bDu)6ZXvW**je3wd;-!~OxxdGmBQhB z-;yhGd8zVa$Dvvetr;DrA(&GsTT!zV;D|BWN;YkQdtK3^-P(HKc(+58?=xX380#1H zYgQ(i_DE$mj5@eHGwLvP0BO8tNMO2efyuO8YybNm*&2thnD@X8k8ZuAxlL49?oW

      ^X2c%ga32C~eu+a4=<0xbOE z^j8F<2q=N4^lzt!ca^Vh+=F#db5mr1{C<`#F;J_|^Z4pyVJ$(mFUUh10<@eykUXNV!l zR9Z4bW|2zb=QOuors zds8#sDy-lyQ<*U2(i8kt|1sXUrm9sZQ6W9&jsdXcB^&`l#8kdMZtm_3HdO#4mf1G^lry?KQP(yD5Nm3=eJDiL5^(w*HQ)jMEcAnvzRvbHtwW0GobES(9>Wgn{& z3RmhTve+w6!Lt@E{GzMIKqZ<1@|=R60z1Q4d_PJR{5Xb%SC49SwDZE*1Spc$twuq)$&HhqE?%V>8+3#GnHdIVwpSPcrghaiogHO;@ zzn*ZV;#6fl;fC6_K31kVje2S*xxKm}0(weeJJd-RKz>*H@nt_oz-skF-br{=ZK;)# zz~tqqitaxSi)udM`jKI9L=0#GG=2)KM7e)WH$l>Phfu;?_-TxHh)CP7E}t$KvMQB~ ze*8KC=d(+D!j1`pDlinRax2|i*=PNy6eeb~9KGd&@OafpJLI(xwSz>epaf`jaJ|D! z3b6D|##z;-qow35gO>BBBu~<2;3>Z3QI&zwHT*(BiUzQN;q}d^#G60n)k<2wXWEpF zfAvh;2J48+o0uZLk%XkzA26_5w6M#(aj?R*HO7k=85eHML{5r}O45m?mzxK^zp#Xc zRfH0F&xSFtr3Ybd(-jx=Zg{0G7xH{xv6v4R7{Ni6t@$7c*Hk*&vuTUqp3)&GR#kBn zr0d0y`@MO#x)fzh^Y?5S*N)Q}lY@AaJ_b#+TL>$9%})FVo~V?)i38m66D)D^;*ul^ z5$>a%7#H-9aHUNmtIHe*<%69TbnhOH>Pz)Vs_fQ+m_YoGi==CkISi_=Ci-vMr0X^*f_CCI)(amiT8bI9CMwW8 z;CuIiF{ii6bLLgmg64v`h${*lJ~;&xCa1Dj5dkySzs$c~ZTfuWJ+>e=xD~F`QbFwX zv5|498q3W-%Giw)JS)lvP@wn;E|oRr=toRw`@!aL(q6WxCS>V3xm)|Fx>UKFKEF&C zv_xRCo}X(zePD}-k?Z^I$YRcLO6qT%6qr!|Sm>KL8Wwgf+NBg6ohp}gIZ>#U)L+`6 zlYEpVc;lLB^DFWtHq`a_a08>6ON&+jL2M?B;j~5ciZ85Dm-4=}jd!!p9Y;fRD@(vP zI8c|@Q+1RGvIHKOJw3uPM6RX1Pt{QccKpKsqUq1eKnqJXX7rWeRCC&0Ux{}~SKzu; zH-Aubegd1NerJnB+H4b5j6Z|)E`bed&s7-0oBzU+|3aFd4NpT2J>md867j>CKi`)~ z*`H8Z@CywwTyosUkuaz|ZDAff<@K*L4xf@2p+~Bq_ELU0Q{)pM(fE8E8HX~r6uyE< zu7NU_6J8=v-iJ#b{4s-~d<-pXAY6e&!v-y@A)JA#3=b`9FWdl^d<8|xmyh#NR$6%4 z3pV2(bH|AF4Q8hdM+p4*WiXEI4R^p+0t7SR0bQ8LTN0@>)uHWu?;T@R}<|Rq9E`)o>L<*O`yc;4aHnJWSVxm zJ0y&Fp$YtL@!LxGvtO{dMf@_~k}^h>dhwWVS{>Pb2plwlPP!3{(CzP!00#Uz-o7 z(n~BXW0AMEy4A?aYZLkabOHf{WCi`bYacsN38F=E6*%m_xZkDZ1tm7=Xp`>({t0oQ` zOx6s2(`;8*b;}n6{_Mme-odc%rmEZ9XL;sW-IF(*7(bc+=J{HEib=Vcw5pM=^qN{^ zkbmz5N1&KiP#mlW^Cdit859ShADHtg_lw*F;sf1qRA4p?s!jK}3X5MKW2qLM(i&e{ zR?&PVRokmnRG;w_Y8Q6V#_OOdnLCYYHyO_mRR&YkO*4O4i~vJc%~#338Y60rj*IYQ zbp}@rD&ql{!tp-uVD(YV;VXmi8enHVOrKPeLBhC$3+Ba>mz`U@BM`i{!Aj6l2VOavdiAZjjl}Qvkm; zpbmGpC(FZT+wqCArUHlZ>`8pwuox_=fMumRW7eZNQ2^E-7gS8j$i@xZq|=GD{unWg z?Ciz8%gvZ8_e+Mw`Edf(oW^pq@6T>9Sv1ZI_bGrzF&342C_D*0ED1gGUq=XQs`L<8 zx-U~znaV`LRC$CORVG|qoS-lKGYnUTn8kEL!ct~eGJSpnnF|LGGGz-$YQ3*Xf%L-W z8r?ZLHkx2|SLK=~iCRVVeP`Dtx&cr6s-afOZ_f6`JPQtkWZX+w_ z%scz?mW$O#O?59wU0^CNn;tG&Mh0ppokdJi*4ADIEX&iZc0J zsICl7fx{oBpBOfUXndc#=UsFrH-FI267`zOfEg#t3Wqx&S~a0#Hu3~1B-wahC?vP{h@jxZMekuM#`hlKQDjr&6J#ct!F*=)Zqz9U z$$V0W`zo>|fz;t}>wqJh$yPQwTTs%;y-AZ>$<3_bPLwo#^MNj%Qe0vpdw`Hv$YGZG z21?w9TP2c)|I?%SoiiFI%S7XP;T<+6d%U%tCPI)3LMJK16+9N0@ zF*KgzdHF8Sacqqi-&0fTmlad}vdGP4Ty1FuCD1_{E{wG*+mMwNJbYCCs^YPt=0l-RK7LR zX*K0p4Gyb5*UH?`dz#A_8<9CSGE0fbRw7g7h%`AeIVD0$i3FWl$GIm* z7~LK2-EKb8Y%v+aj3#|ZsEHSJCcGd_dfuC|GK)lJ5o2UkB%TzLpq%gEe+chK>WyIJ zjggaJlA(d0Z@8Mj8}2sjj{F6f4iY0Vd9or!k`x{vk{E6^$Aw1;G2!;pD^A~cde7-s zPrr6rUwqngdjDzl^wHBg=p)8n`)T{*#0bU2=*boS0fRcy*wocdnAvooo* zLCs_Ou3e}@m6}(higKwk8m;bF6OgMrG!y<~)RUP}p=MPytJxJzEJtcn^DD z_P*qO(ffk;koQ?{zxQeHlimZ~9`And1Kz#fJ>H$(9p3HUZC;=ECU2MbM(+*YPVYwV z2Jd?BVsDMN+B?l#>W%P@x?=wB)bNjKMz@20=1Dgf%%J!`a3jRA&%Q9?pYZGWHtTzo z2kZm2!#bAkfpC5@gwt9$>)ey5XyDXnHu~ZH*xTHuno)Jj_;B-aXa}==z#0sUicN@TYtED;Ms4Vy}y8V!JRmY z8(}BTX(KeB2AWNIKjFTVOpg!S~<^lJ__N3gTn1 z{eoXncn%I?+#bOB-H8!fjq~!K*!$}9i;_$dhU;b-G?EB71B?E~o{UZ_aV@OH6?Z+h za}|!f9+u$hT7$9;G4#9i_$WLDw&JY+2cC%^qrDV1z;a^4lkx@FO5)*K^#2}OZ-vK6 zF8Z#9M@S}|#`@>8 e9cpjLw^8o0+B(bv0V|f_wcLxCMTKayzE>;10S2euQgaAFicN?CWs5jo@V8i00H{ z5P>TLhT83?_QBT&kFa)+1WFC;9MXS|yZL?G&((NV1Sv@WK;lBa`AGKxH(eL0BZHp{ z4}}N=^U3cq;{n|9-$ni%{CAOGCgsoapX&Ax{#N(+K&j56OB+}{L33%{pQST3{)HNsw@QOMDa#q=2VDd>54 zS2f{%c?JDUtZr9`L%2nEsm{xP$)C~P3G@@RYw`BmiM^$tg%#i_WSez$kO|q43;9sx zNw?bbGsk5^c9PMNlb>zO$uVZ<3k8KRPRVsfMMp=($LBbBC_a*B$5-($-#(IOi6U_> zJCgZ``H017K9Xnt)Hm&{pXFcHC9t#MYlfLLr6 z3T}cvHY+VILyRn$BITwf7@FKSl~uM(855c2&XzK)AyK=?H)r*H^EXB0H?gtlSp{Pg z>`s?lRU4C*mwbJ4PLi{7T*j2imE&#MV>8F-m*0Q?z{kQ}e_A5^@yx?GK;U5=j&&KH zcu9~2g>Z}7rPO;S#aauqvI_aaZcmmeDXTj(H)%XSzB|d2MD~>@MVT`AjBb<1WZYM7 z;w>9&Hb-n$!Ul(vmeWga@mhrT$Dqx0*UeF6AHu!G*s=0ClpH*xLl50(GwkO z%_{6}&q^BK-A=3SZa4ANyI8R+E?2Ij!C5ecE3o9}aAON>d9kt97`;Bk>X$N~S6F~K za;iSWVu^L;6=E_YLzdS~$qEmP%fOubp1G{7eeTxn>)yLN?auqhU(#Njab3#v#v8gz zrrvt}-465EX*2ldielLs?kMkCIw^z(Bj1#!CXU;AUDc#iE zykQCuxMuK_5T$Dc37%xJGRK%BtkYs5qZUQ2h~lH-pl|S$Cl^h)Gpe~J?ln#@@LY0S z1Rv4uiHlCw^ZM>&A(`m)To{So%SA<{hHXf+AH#4tkKv+m;R}jc#1bhtA6j(TLYHDb zgp9^()b^4_X|KDTw$|OAEcnAYvLXyCvB)fNxbUJ_WwX$7CZK1X>c-dyr)&yN8vLz;&i?iB>ccNfe96N5j+cEI|E7^Ir z$jdH^Ott5{5+N044wP$qPd9hqjq^d4%e74ln z_i}}BxBvXfPw*I`rX?ge(~S{h`Ly)3edXcl;BY$jl^4W`ypvCe&ySBJv-0y(^e1BD z3izm~qD0Zjr*tazV{tTmaZVaQ#p#6NI46x>yq$_TCk_7+qRIIkJngui+W4thH6 zP75o5{xmRnI-e7Ws0lJYe9Ey=)(hfeBgCc;&mJ3RG+4*w3@mbGn+&1y9}|(!TRV9njt>nj6vyQAQfKyX zu%OtHwQb?Ks&xd{Gwv3RN=zZle zllV#9F??1^ikOj(?+7+bm@p;XK{kft{Azpw=d zbhl$Gw1r9C?J@pM#-gUMWyCHta^Y&pqt_X|)#Bn<7DjO_ZbBY6Av)13EtNcEjLpay zXbgnu6YA&vYsd$MRM4+80bHxp8G9S2OVNv=SSQ;K)cl zbl|4`!q9|;i}y~OnHlORxVHGgnbVSt+<%_^aYE(#`HMD`;%X|zSYC&*lwcE!J$pRrj2wOr7Az|O5fZzfupllWdMFlEa!3v4eT18aymg=Xqb*piyNZ;1>{fY}h zY22{wizSoyoI5i~aB2HJ-}gSx`#q3t&fI(czyJO_=iDLUXi^%cxOlv@c&h~dbsYX7 zi3EkTmdkDf$TOiTp{ih;usYbeIujgGc0t>#bAJYfLJe z>@%4tD&rxt=VfonNCAwfxV-+sd`a{`Iy9gTtc2>HDp&I6|~ zME3W<``e55_P~3?`@*Z>wP~cX%tt6wl$H?wGFXHK&!^l;zY?}=P<4nhaC(?L2&g`& zDxu*aM1(@`Pkd1+)&|S}TqRd!I+s-)esb+EZ>sG{E1jvj$fj-AtO`}6D$Ghzrh9Uk zO-+|d|GvJtE?|_EN)LH?Q89R6sP&X7IUJeLn?2cCca6_v9hS|e2H4E*+cs4oti zENDw7g8f!sJ)B?DSFgo%fCJc81=?w2z!U}aR4kLzL?PUz@B&@_jR+%S(REdIK3qM;yr-ipL&7{?0Cf-Mr{vSTd4?&UF# zG7+Y|6vHtxFooMJb;!XKsnn)~4fE6R73F3EMHPIJfU*XW@y-NCJ8z->VeH->bf3LF z6f@Fyk|P#C90Um3&nIayu6^shHLq+s{rhWP%ig|uecjwj)3{ZQ>uzQK^4XCOS6({b z`{8Qv)f;~pcg>!u_x!x$*HEi7APEaY`Tf{Kg7h}dXfXEG8+0gx_>Ov2Dj>%sj0D#b z5^|Fqb^B_DYlI0$PBOGaQsf8ft+xK*w@`ktK@38;!PGDi#DcJc9)O_;>rdvRv6j%m zNpxSkxp41i;H~96iNKl$f~Jv+bEX1%6sM4&`ULI@!*#6baKUsdmC3nRH&jGc8_FY| z3AIKpk;q={a~k9#LoEEKYJwx`rWA|MmdA=)#z(V1>}YVnhET3{)Q$&F@y!vvq!gWv z@(zE7|6Ft&+H}95m6)C(vYj0Z7%I1B4-lgJP2ts7g**ScuZO**AYx6)*EJ6&#qRGLY< z-D^;4ol-)2kyknG&WLlP6LLML)2%j2f!0p2YPPQ)(ro)l+9P#VT9)n8G`*0`I8I_!O~g)^}|2 zNi8?e@7z{L{5-ooI=iuMvNapec8T=qmeN>5DFg{U9ObP&g2k8dRhXJl<0{;a<1~gd zIBq1=xEfcA(W=44{Hno4n^do~iIr&GpjLy|Y7V2NgD*@7dgRRe%=@tGf+o%NtE2S? zb^*xxb30-A2f7^RAE~a;gOS(bY%ZS>cg^K8v-$Sh8ZtL`)VF6WbLTzM{fGCjKl8xk zTZpedo!stS`tlw3oL*Tpd)60rJ&*&*k^kJrpe(!`+O!thRF8SE8s4e55SEL2UJnhZ zm$?b|hymF_yWM9*hWpy`P-KMeUtuLg>nT|DkWmuxyoHvR7Bft7DcJFY*YBNH<7fiwXedTqe-VyX>~dmVdddpR@X&dr;`Ie4)DHuAlDMwi)g{CuU<}D4x6l2m-4VmRitx$ zD!!7fkHG^|ZH_7oy%Zdw>kyyQIR>CEN3X|nZDbogF3EQ1e2+-X<%%mRhad6;ZK}35 z##ZckNFA#h>vCUKH8`^ibY+#k72I% z@^DUckv=B(@s&eXRq@P4+5WL2Bl#9#7f7sKZ82_weKnMMpV$Q0fHAw}NemsvOi z2i0$i5(YYk!MbQ7#1ZGGp-=)Bf6hY9BhOFdGTC?Xd@p3^+-Rv9Q9Weny0JA34M0MV zZ_d6c6X9$qk$of|{q4XCsz60~k%|e$v)7dd8A_J@*;9CbYEdl93$8X6+fWz&P)yS{np2;y$Xb#v%7d#1Jf&AP59cVc{u}gpjW-B80!{w@CzbGrKiWOfG)M!N(dDm!UfBQPX6=5ylQ4*BC!06qG z&=-PRs5Uv2qj3(--g~^+5X?;CLCM6T8P;GlFdV9!>M5_R>VWaS@vhsq^4HFsl~ey5 zr2c5_O`YKhRdK(b)->>2A_=P`RCG=m7|mtL0#mPG;@Si>gD2E`4NS}s{d4MwTV9sL?MGegMfaG%8$u!&<%4PASx`B zn{^hJ43P_h`e4E&S(~Vd8s-u&&(+5=i8V}wk4cQ-@n!h0+_PGMDSNiL)iYE!d162w zLpGdDMDeG{=U^uk#&+@^HBYmRYPCux^AMi1JmTCA8Kj#snW{)sM1Z21yr>`GC-iz1 zp*qX!`vKt1Znv|q-hD}}wrVsUNUYp$>+3R)C*prylF!w-CMX?&|1p7?eVJQshd<)^ zbe(IOa=$}w1tZM0JSptnh(|YX1iR|o0&x{u3Gio0<+AG3%;2wHt)37a&n#}=!nTHgfVy*2Ph@g{xDTY_!Aa5X<#e>IsVqIO*l&nU;xA^3jPfuDlbPDiK(!TVq?3pe zQt@-JS4d#Xo*_kj_2f~i9}v7!;m}!GCaen+;g5M8QiIhBJE^n06{$g|I;v2}`|1^! zB(FPEERjf*6%QB%1?Y3t3KG&$+#_zyeEAW=EBG>Ep9tFs`y8R4y0P?=D8r*-OZtfj zX(@X&Mp5zFI`5Q~mDBI+h}L;zoG0vT?VK{rT#|6e$&VXjc1a066o~lEbc9+=M2mGz zdlvWXZ&Ik<4VQZ?^36AFSg&+>T{`5uJW&mPi%5?Ap0Kyvs`RR{Ul2KHsaCH;V`ZCL~+H0xLp#VVTX$J9fBUn zV0NhUDqevy*;$O2SPAP{?56;STpjQV$0fyp(dc3aM4~ZkURdVK4+lrO88D(S)XIFF zE&{N7&i5wIyt94QbxqExd$PkFE#XW+9i9|zX~#1}wBfFv<@+bcTCb~Hv409^-nc8! z&=K#vDYZS@h&0noRO7RuoL+1iub?pi13(VS2uR?d^-mbwuD*KDQFlL7q1uqAm6s$R z(!g7*e%%`R{EzX|V-+Si!5^U0>*Hvj@@rgA_btkFh@;~s1Oxsynb)fcC6$cJll`67 zs1TdVj>gC)A`#Y04!T`j!fUw{_pxH6XkQ3KV}28~JM!!j80!{s3ucCysgn4-UDkvRw0f}^k84yDGOT_kaVH_D? z24YbS>Q!_Jo%*z@#a?}doOO8;@$}#CId=}uwTJix-b?Nm<_hpS zF$NfPre1=c7J8fzJx)=yL{PygXh&4BI#EvmZ|Ha%Cj#XueOQ$QrYP z?GqBe-b#1trv59{I6D#}hpD1s#@%Hq@OwX{c}kZLVj!l*sKTclDS?IU~#X)$Hr#j*i| zkEZ?l-3CLz&yFe9k zqSHuPm6yM89$(Wvzhd51UDum$So2a#-;auCuAkV~c)wW5`5f85L?^tnWY&sRRjnO8 z^G>GY>uyfXUvN#$?rvzew(OI{3Fs|8$Tk94-w7O-lP1QhLQ997#?q*gTVYfF0B^Oj zq?aVf1H88%$oZ&>vvU!SP!Jr;8VTb;o}~l++JMGSa0b2JVqkPt5=IBwHXK=?jO1SnvT3yW9DyNImf*&8 zVw9hC3Iv66vVw|oq}c`7wzbyl=4`s9?z$+1=k&7@fv5-KS z?HKfnKIj)_n0+l8*hU#8Vp>AqS1&P2IfoubfCSs2Qdunm@Lw>$V7|*wiNcma&d7cM z-4s70v!&Z757oA}MNA5l*}igW2%JolfJ{~TI4Lo4qDY~4B&)%v0dI9#6Hp4ix)RE? z^M9tV?j7}2&lCUHS0}x(^gDdDFZ-mZ{JVUW{r}!q`~JDFp84m#3bXPC=&Nr-Up2s7 z+QCO`q)`H4Kfp^Q1~20!yayQG00{7afzcRXXJ7!pCErz46n5>*`M?Er3 zp<5V1m?w8S7e?sEg235QHN-|hn8H7y^%B;th|YJje~L@%zu$iKeUlfyxby9|dVV>4 z`xlADA%jjOrmw$s>hjX7$&z^XKeC^RQk9FIShM@p<*=G4j)U|^o2a1`HXEx}YV0lV zzBc{-rS}~|F&Khik3gJ8$W-kt6hSNDL?{X$t(S0^LaU?27_G;4C=|JBaVGu}^AdB~ z_)Bzx#gON!Y5^tm;zA_iBatW+jB|3GyQXsJ?e2P`#cZ2V8U#C&;;+goTzVB*Q$q(P z))G|#Z_v|tzWXSYsR+j4YA90xyNZuM3#w^@fs#5ehmMkr#vFlSZgH&2qhn^V zmtPIuy=`qGl{7>bOgcGk-MV*X{`5Irc=DXp&$SSFzIP_Kn zEpOyl0+LOq3I!Q(-XW0$V8rEIZ8YE%g@8-vHVNJcj$JD(P@XFzEcvfR*qn8?b=_NU zZ(KjEetxP2_q%Lrd9!Nhh0^uaH}o%Db!yLJ$y1BF4;@^-vxZf};F9Sb=du%Fa2>yX z+w%+2iJ{@oM3~@jVb}|XY@}Gs(zJ;%J;2kn(PxA)#T!K|%&P}j4e2%l;8yGk1|#k} zv{yz{4${DwcoNcTE~Lab_05>_BmwGS#JRQae1lGGJ@Cm79MYK&cdb6yvheNu-uvIH z-T=(L>~O53#U~d_#hY%Mv^Zm4PnN{C3}a7-s!|J%-+0^0*TS%(p9JL}ZVc$)9Lhu`lg30UCD7Mwy+&arNu0S7K|)5 z5fP4tU0LDGylmuhJv!+X5$XpVL%n4o=!h%J-wB1YX6}?B>&mXU-ePuK-xdO=l43ws zRC;wXeBwlsb=8a~f&p)B#T1~2fd{3&6-xc85PA0rQYZdd^cjt6`X5Cg`uUHd(9E0j zQ%Fo!?*5*U`%3}`dn72qpv#1_0>Tw&EAd|n+~@w^1TNIcJ{Y<03X%H>(#ikG=rvy< zl^scCl|RrkRwOTZpa;owum_3r43dUt5k)b*5e!0pn9dy%E8G(*`d>^5`~L4z0?Y}w z!JHt?=Y(y7-~G4b1vAg(Zfkm=ho*hG`yJ?EHNvK^2{Fr{unvfV>i;N*-1*;-LtwwW z0W$yh#M=Qyz?Ovg0Y*KEQJ1hx85&yv$4-iO!LbJlW0JzyHX@9_DgH4WJ6IU=e3c(t z0>>^0*BuhZ=;Hum(V#H8A^Y$6d$=n%<8TUNc`1fbU?X)Wg;Q9hb9HWR_^y+9AUF4S zzH3|d75q(nLQaapyBM&Mxs$<}k#{YD@46t|>yYp+4KD*2#)6IPoh;4@Z!1GlxMBDZ zl;Z)$pKS|#LTF?%BaO`HdLW7i=ry^iY;Y*~4lyy0!)nm>UMf^q^NK2Rx646PwavybC660?TTyl4*64md*l&PhV#2QW8tAL{kA zV}M~GKgm&(L_Xm#r{id;J{`;yk_#Hm9Z$|dNAtM8HV>TU#h$_}65qKV34$L?=d#F{ zJB%c2>f3ltZmA5068OsPl49HDaX52&6`p z(tCT9J4*xB^<*|qaznN6(d27 z-zq4SQ;^YxWVFaW?kNcAA&7OzZ5`Ta^{rQRbcFd>60Fm4a%sIZ+vA!OoUvj2)V)h?tl!U9w$7c|E>T*+AzG#H z{Ys`vt!SFLE`u`p5@>}B&`%A}Q``8kMQo&D0sI)IaD$6=5w5d58%DJ0V?&U%L(XJZ z40t>N`+!zE%9+2G$-j*;LASXgH4BTckTEFkbKHDEk^j zLiQC_q^pTy@hwn$E-Zp|9n(bmK?yH&fnLn*@=AN1_Gdu}CWAf>zk|fn*d?hH)AfV! z@uDIP1AjhkvBWU+*&ZQ`jGT|7Lm=m2te=l0B3S0^ATt;rRHx(2U}P|jIQ7@IvM3!= zXYO$e)KQH*Y=L%qI*ZK;xuqCvx%PW?KYZ*b$GAm3T?=aK{1Y4JrES%-Y8DdJVJYj0 z;*yA4BMk><)NaX0lXu*BBY3xEDnE|Mevn-+GB;*I6@CU~w1uz^{YAVBvts-S70cq# z7wD59jOj5Flssj|am?Hg%8qMQDmhfbldKljsKj7A!h9Hq-v&YCJZzN*;D6!3gV3Pl znR5QPLtzI4|4XxO#?%SdWY(^n(PnENx1;^({;r?iHh#6Z=fs5ZQy*IM*iWn5pJOzq zPHlPV8kMjQQ%&3etzg3ZSlw~ZYqmlGp2DQPe(y;^{UfT^ppPAMI*TxKUgLtobIOiD zU8AqB>DeZNdPZ4Kcz{7*^=_!@&SHx~ZY>6N-Rl_vW_jaJj>qwm0_vP-F8! zOf%lJ_vYS5aimiyIerdG?!%TJSE(#oBsVYdnI*`L z=!H?a2>15l6hh&{d?3MdMoX{xlF4h*dChW_5?2BcFiUg@jRW})RB?I$J?oT!F&RN; zta7+Axg$3$YCXZ|>4qx~H;mmyz|T$LT;cF*yTTsX<@zb>}O#U!2mq%|uc z#Sn7#ulaCNTmYg=;uc!%jt%{%VB9eJ{g0h}fuVkUdeyTNP75j+tB#>p@RXpDH1U0? zkrHeUuOM_Pd9Okd>NVaQ4kMK~bPji~>yq3V3b|A+(P5Qbr#(zjQGbcS;B;9I`=JIP zLV=)NN+javBj`DioRJgI%7X~K09tqgz*koGjS~p$H$W%w{jA<4Atvh22U z15J-XJSe)?=uL-zH|g4_tAKWBHXds6-F9gjK@<{2q4dv7cyf!lB3bE<3~>c z2%QT`lL?q%giI-IiOG`=w(3AlB>Q&QMTcu1Y+S%xrgsa;YMf?o7CwoF! zR2!c@RamuyI*CG^Sh4135WQn*y^y4oY%hj1A!RP97!@vMtWxCrv`%M*U60*jg$74P zhv zNfHUdt0#%paoJ((7F#x33vAi1p$ztU6CH|6I z=Qk|y&17ORQPGTU(agUenc5x{$8Za%t$hi7Bo#W@@rLmHW)Mb!n^$AydxF7|;=mql zuZ{?n?2#Y=@h9_7R#M*F$wwR(9)cJxL&WaRh3mh(kgb#X zd?t=N%9WJLz^D73o^|NK?4PdRxjbr{*fq6n(&|0c?ccxenyp)&z)yO=*S7W9wK1_d z+OUAY7gof4L@cv@&gK_OgTDFKO$kma(-T8~8(JZ1c;ePYi&2M&ko-t^3akO`c4FE+ z4Gq@%+C9PE5aF=)+SRlSLP>lBj9zaepqg`!%(w}dg^-6*SHiOq2%bOJw1@H4MD4_!Jfl_vrP67j_oxWm*$eWP%Pnz5&>15EQ|_RR#!0w^{;Pl(pPB8D1$5d_u?h`b~j)U_m?l#-x`LRVEjqGjRb!XpZ~S3i+~yJbG7*{r)o zp<2z3+ENKY&VbHuFfp|{dYl2@;q`QQfp zx`ovdF9BcI1zP70J$n4q+`dDpJssfJODe+t!r8MCgY777oIe=gAOWfJk)A;qT#07F&Hy`l^jh zHBEX&_m8`;S-1Vqd&aG{(Bj&uOAgOT*VS&^ZXtHoGDF+C$^xEPL#lh)^!j9t=#JZZ z_x%`DpRi_+6;-*XH1=lC?_9d%+IMyo5Rm&|6|2EQyrJ0TblM*Dat5I5<1j^Zuav=( z`w4Eu0(msCsCTT$`eg0^vp<uC4_|;3wOC@rys7(I%%1e2Kb2dzb z2+LKqQx^ZQGhJur8|qR~cDR7TX#d#RVzb$mL@E9E$L7Zcr1M%3Qn0v@Z=P@@dk(@g zSGBg?Ff;#*#(mI6M$9clHiJztvinR%_>Xh$l`&inUS<#-RfjD+Gng7hCmavdX+_Qg zC~sl4fM}Ta@|HaZr#$exo7Sz%zL0%MKD~3!-Wl2AU9a_|Q;SZ%yrSpWgDd{>;)?l8 zj~=ZWzpSfe#$^y&(NW#-dhUtI&j_jLIA+6&1et6$vsx#eK!2iU7`4irmnjiph6aU2 z>w$t$NS|(KR78;}XW`6O5mN~7Ru{P(jvYx5<+5+Jo0LmJ3+kU;w(y<0 zCY)*jjHPtiqQ5Q5-n@E&?dG~^P!a?5fQ?W;Rai04RjNF0x8uP8qb}R8<5*fEAs>_r z)-Sj){3Qdu_&Z&A#M+cUuRMxU<}HsWH3?!{-pn1F8f%&i@&(5_I~Q8VnN1dZLsfcK z>(m(EWDl__s-(1d-qp3buE!wzC>=3!IWO9|WkpwJEf8NF4tNrEsaD?HI&tdtkJUDn zs~f88wr}hN$B>3kz}!ZW608U_J!Nw`&E9@u@F|6KrrahtGkP#Gf0m@MPmvs55reLb zRw0y#Yl~==R34~ltG@hk<@5@-LaCKgHj91zJOwAe`Qk0rI64($58FA3TtSndtqmt> znS|CkN?iDW-@#|}C5HO#b;-8r0VLP$=(Ai%70eipr|nj&>19_x@#iN=66+`ac1$V= z7eQa~!d@WEw*~3)A2)F2$2O1@emau!n%GW~CNCc|@GXmL=3ZZKw3g2(@7&h|1m>&+NP#7)G8Q9`6q{f*ini}EeIxn}vj z-Kl1{aPYHdLK z&L-e0w+L5COySiyfkLq17k9U7`Hsr!dT;&BEuPHvBkMl>qqlYMQ?EUgzuKo%@d*?y z>ImxBlVVY{Fas;t@zQ!y>xdB~x8Js8>7jva6#eJG*^vX?S=0Ni29es76i+?mC|_N& z`%XBP96>Fl1MjEvtpuYO!Xgm&xpyFkV0MFo|0BfK@3#_Lv3Cw0q{DIVV^?#R`{*0TS~6tR=+2Cdi=RD$^|f}(r_in690R+~~OnNn$i4Kg7Z z`XMA19e;r{2ADlar{2MqBo*NnBSPmfKz*x-ooi&?!lfCDZ(9*?H}0y-UtW@;UgKTS zx2^yP^J(p47w=1MZfx=q@~npRn1%PAyW{px?ypd#Ei0kk7Ai#?bSc{S2+Db(lN88B zP=`Ptc<$}eVF`)h)k?d!D4BZoV~g9Q;tmY(RVJ7DW4<}l zONqnAMAlL-=GC~Q(^n++Tn|<1&9MrpTLO7@1wS~~ghD+j>j;pd2nhsrEQLa+)9W3n zNY3w_U&i09RDMk=Dj*=Rmo9gnUs0mV? z>_P8s8E@(OA6@81QZAMlf;pFuWCSe=VK69?S%R79V95h}`?OzCy1)Me{ro$1Sz||M zTLSe^Mvhf3nh`!T+a#0ZeM!Y#x`e*>CFJ1BKgpW`2RTSuFeXMQWa)Gk;8UbU$+IRc zWRe1K;GNWk7=W`xAU{n2_=Ec?KnlS4=W~%MDe(T+@Ky?-Yys025>Qk+F#vqaYvVu#9e8`3aJ-^c_xuC zKVKv_=cI=v8X3Fgg(OjQQ%y|xqzF=(e3fYe|l z3u=ICt_G}g)neJC6qlMzh}5X&P9ZkBebOVYgfVVr%O@B$fvwSQkzUQjpu2K7gDWNu zgBF=w;@^XEbm_?yW>2+BZ`LK4wL*52QUMV2xQ2ry1;z5#Eh7sHtFfs`9 zQB_)6-nL*V?0ML@zqY(Gsc~PcuWb8BXKYR&O{WdiXWVl8`pubbMRuYxXQ3*}5+i5j ziN0^oE_Nscg*bu7SI1*mYQ9a3=Wl;$ou~Qj#4jhEg-a5kRn`JB zjDQCXVm}28R52=wnp8m<)dc4xXOe9sCt0Be_%+lpoq#uM$vGq|`Xs6LBv8d}p9&`k zf*<3JM|q`JGJ_Tw=m10tD`+lNWsB5uol45i6NNQU1T0RD#3HsA5iubH6DS2C8zn7g zc!2j~nllJ(Vi#ePzX&f)&f*U`cxcEQ2w4}_*njlKVA+mi-J6dOr50{GLET|3Y!8-n zWNRJ8t!Z0tm1W=b@^;8Y+3putZ+~rf0Ve7EXbivB4TQwJj&#EEWKMC8l5&`t!p2R2{c~52Q%HatSvP=MfVUI3^H?U>oKcOeTXS zDoTD@3s^mA6io5BTm%r!hCB8^M*zl$d}zoi038loP7mLjuE*g75r`AMKrIn`MEEIdpiG znMB!5a7i{4ri0;8T*XGgsBd!NDY!$dP0)hzjl`&+Dls-{m5^G%k4bQ++OeHKTS`dv ziDof%N8QtP=r)qaBC|-bCDS8wC#Oc6O9~52rGl(1QkhtuL&(1W*jMCIlUYRZe(LuJ zf*bcd&QHeG* z$5zsj`%MSQ!eY1AF2Aokfc@67a8-UnK_Y0(RMPZ)C>C3>rQTKKRsqfB(Q#rak`6|+ zPxlvrrKet+n~> z%GcgDmGoDrvvupRl$0BXIt)skjE4i=iW8QU&(Pqi>I>feS~76{jLR!phVl)ZEc%qJ2iokr7?0*cO?l7pww*#-;b^`&N$FxDy} zm{==q0DVA$zqE9O8H|2V&Q2AaOV>e36VtTpn=cMk{m*9+n0B_Q@-MCij6_ zrXikV@^d~gxjJT^G{K4*CXMh3^zZNqbn#b>lBqK~I}ppnrC`LzKkID~2`4yom8G z0HkMfMUI+^>92e(NpB@C-P42x;)~SISnvVK6Dwtw6&v>4vMXcD$ZFr?ht@m{>~AX= zH{Pdv=zJt@)EIL*4)kXy5E+?#MlOcqM)qkkG;a7{bRY+g%CVuUW)pOTQ-#ks9fmAaM9 zRf!I?AiF)WvM{-E?ao_+J>BZ)!q?yrS%Dt>B9Jl;F^vIt`HbBPL>p5n6yndK8R@)m zrHJmSp@Sc;Gb2zq;z>)(#&b}i&TaO$)fRbUYHHk-3#*dk7J1Kmn||`M_=>(g57m`! z&QHVslw24mMLo4^b{;5R*)NUD^(gF$f!?tvTaG@kWvN#p{W{D(@M2Sug^24|^7#}n zFrM(+)cWi*agh9y(|YJAu};gwV>fL1z;}Rg#_MrzpQ9P3XS1h*VR5c5vN8Ns5qCcA zqB-ix>+?z#LcZ2#7ozb#>JGlFv@)ZkCJ*YwHPBs;He?jd*8pp_qN43N4GT0%t&D>e z2rhr>?@6pG@HGtYx;3w-JA3#^gy8`H4(P@RY|wgAdB$YI9cLs^KZwy7^BI0sKNp#m zJUZCRxGt$o2C>9+m5kV#JzVjh4gG1?q791^z;GI0bMdyVyQUYDtcI4P`r0LKioEIM zaB6l&>Z)anem6MeZroNC*tl`n*EKxQN!=TrW$kTOItxADqPDz7e|C|-Z6E9dhJd~f z(sRnx>FVJ84o$fmn$S_&28?8A-?eYEf8zX^Cky*E~j%1fTp+Un~nv#iE=0otX> z8S3v(S9>)ArCO^H>0{mc0(VtufhDKhpQvXG6?zr=4aFn8DOqZ{R?0zv6239|g1PAm zwVn)S`6Ort~?#SatS*CfO$%w$lxb0pTE|!Ct|BP60>M^4bRe0XwpGc^UQS)`)I1!K|yE989Fc@XlxFkca zZ}EcCcw3fJEkQZWx3LLS$A)hyy_Id4y@|cP7 zb5&RU-0Qixp-o8XrhuMbyt65(;lX#dG~V2p*!b|f)bE$I`j=PcI92s6X*X2oCaPuL zmR&XbzdgA!y>0ix`@bDoj^+&ZrZjHYdsk8aptpYg-h1gt+$FpN_=k2R_M|X+O080f z)W9Id5WC$P?mg-Lg?0w7cAtK@Ug2f@LVC#}lnv)k#R*?i{gT9W!+hSlXB3(FDzR3p zlN}16H7e@a6+9sa_Xa#Yg|7rEeX4=p*oJtFRjyKq9O)G)z(Z_~&-Xj!nFYlQ5qi(2 zOC%StREYS-(gT6y(>c$B8aWB}NhRq2P9u0Uq|J6Z)X?Ze8c^AgUT2nj0BKC18oJlYhU8@(gXcJaOad6pb!MzqLIDy^@C!D3RkgNU`Q-Tw?I&VE0*#xj7vuSNSN+ zZM_}tp-sGl*pReSh>?&5MJ$OVP6g%*=LA-c8gv&);dKp;ky+PZ0#I%g?tBoqIQMR+ zf0c)e{ody{Mn!cfFF)yhYW1EqsRP|hZ!+Dw{lnV9`x>q3JD(b>{uxhc^i$_kSH0P{ zedoH&wl&LJCQ}lOCe)kjpom645cICfObA4iFxB1hY= zy-AD1999I(nK1GkVugzvst+YfrDPoc5=?kDxz(ZN4SK`cW-w)=vo5@I?o#@JI}%>q zsbAZ+dFReudCetNnB8EMird7~qe;7i8^%`*y?^gf$GMgzn{U~;ov)0?mJ6dyf1~b_ zL{%T^xpAZkjv@B~3GxBoFpD*C3K0@f$F0^l(l8DR3WEmKj1$&TE?26C#GB7a>Bu-e z|GBstPA{2(<@$N5GI$38(=v^~F!0GEe|pd=s5;oT_NgVSK78P_3ooNBpHknXuc|cj zZrX;j))I&FSAK9OE5*}zxOd%n=XZa32d#TUIQ^hLL7SEG_+d0=(_T2&If9DGmG};N zRfB`b$JitXOytJ#3rNm8XC;Jp44FPJo_vac=lN|9enE`aAcA|-^}Em zE;@xM3FR?|1B{%?V_LTfKCxu(as0bcjYbd)o{y>ZCh!#G32eLxz73r~^mC^ZkO?=8 zKwY~h7a)TKXAduX%u6*rSdgexxC*dMFMQfZeS>PL&!~^AkB=OBw6`y~e(l38N$t0- zI9w*tW>ny{<>>}VS@E8p_d6v^|2R2`qdT{CuOcbu^ftD0ZCA-MFz18g&UxYkvLDeQ znI|M-F)%wWkY`i{%ii1B8qF)IWEqub4ZyyQ%ot5G1-nlr^cDB zv_IHtzGd6+@vfIn>^YFMb=7BgQtwi~IfN$tZt!_BK)pRQxQ+VuMd}aKo9%Z}uSXlu zCUoihXyI`+v^dEAQNTXTIZb{EkrPTVX|;n#7NP@GN9(Qsit14G#rK0rrV_*iNIqEL zic)wAFzG|DJ=WLkE6MxhCp$h%3zYrlqqWpusBaA3>@u;AA=M}@vz9m9Ix+mg-8&xE zM{mV9eEkM0L8IU(4(duw(svLqIw=$g*nmzjQ&9#5rq~IaO*;{z7mMYvx_kkLgJ>qA z^%%wiuSb{ws+%?snqQ{+bJjO7W>4y?TT)+>dq+&(WKAnAqmF-GmLc1;No@5ceXdO} zpT5A@3|UK!bd?(@#eya(y(mA1I#8Tv7M9I67DfWL3P|8Zih^-b9x##CItZy+;lcUH zgx8ih;j&pLEPB&~SsxW8nBeQV6Fi1-pL@o{VPNsB`z&~#ml!&C)9CALNySzbxPdAP zDM_JA!R3mn?0wX75tplQ6;cZsNzha1HuP!qAjuc&(km}NP3xo#meKx$s4VYtF8<~X z)PD|BkI+)hmhf^Dei%x5!Bp6C#^n zLTC#QUxBbyLD2$%aQY|q-%9^95kYNinvmp6o%!g|LZ|YX>AULVM55VZ?ZkYJtd=r# z#l{yZ(!wHre;B`GNAPW`;WzVy3Ac{d=>6FJNYyDMicp^PnoK&K4M_loHzqUzWyJ#v zJFam$$rNaMBDqaEA+vGbVC%^@SaUk2K*;LgvW%PVr{}B4NX$v_G|fx!#5hVI6F1aR z<)R9d|L9NBx{{hoDvGEl%NI4qXO)z0*xbJPhK$FbS%w9viOO`Hbio2C6l^gq*y#A~ z=iQQ+rbP$W28Ctq2OcjEMq~F(|3Q`CTfKWb&|e9VI)h&0Ogx2fK?4&NpU~1fHI)T9!8WrKt9XKnY+dHUV07m04LRWC^7Qu7;kx>7WpaSClX= zBd8I>c?$6c31japg2zl$La9t>SRiI6rP$C;O60tql%#WaQo`@!xmr+DImcB;U8v;q zt9yI1ZaT4i_l0{Z`Z8qJj57cJ`?u_JF04sb5X;t9`h*L`-`AE0o#Jx-6J$l9dBdeA zM!vf-N=-dl){x#DS@G&9_F5{Os_q?0r22C`9sfeAMcSNnwJ;t-P$0PBFeDA`^FzLEyf zAW}jzO6aCIkVM5460(G=D+NEaTN1YKzE3yZa^cQfU%t`5{SvkGo*iXfx%#q=6+7-! zuKr@==ihCv+V^tb(eJik)?fcAZ}mOZ2Y=ag4*H#2fgAK5s7Wjm7(rw}qoaEB8x|2?1vtSPgJsLO#gYfYAm>Z;Dx@*r#3rRd+Pg7M;V0jTSOD;Mxi zl8?k7DI+W%Y)AwQGK#N6y#Tn1K{$lnLGUPpU4#Sh=9^r%z)Iur)-3p5`91ht7TW z9oJ6ij9k#Sj9JtM1ELVpE=gw!aWkQjmMF+1FUHQJ9|FBuvp=%OY&Ooqk}NfMHy z2?3=DD!m&elu+s@%1^=mkJ%>Tj5-gLC@F~@pvSW)n_@y~<4$ml ztO@q@;`VelXo&hb;z>w$Xn#UB^NRzRCH3lhJC3Py75+jArIXGQ5`EjM6Go$0tkLlKY({l6mIeklDRjH& zSUom7FFMulB4D5%_NeU7q-jZ3&0B|xONvsqEhf%1Y{oExCs$c*FZ@oCRxT|gtu;OP z6V_#G&;e?@R<y`7YOgd91?gc~!CIWHzrJ%%k-eA*B=wY^l z4G?deABSfm?id>n4OLgkr{Bd06BC8sE|;e!NzqRvK9^awQT6TM-Szq%3WYyOf}WM6 zIL)eE>C{Km7r*+*M!1)_X&y^Bv9+DkAFo(;uJMVRv7$Ox=v_-q$Mh4_m8s)TZu}{* zox87GApM}q(yj4rP4|lVd5*;y3%d{1R@Jl0#5EObMqBf8GgfWMkNNA~O(V}7 zL0itoMdw9Lw*`xJ#lf7??&Y0zb}c$u?5!#~LA}4VuRCkswm~E;2l*(N0R@n>W7aof zVb^UVOk+}I;*?$s1%|fWh63zuHY=&dQvi_ z(cs1@J`imT0eTB->DuTto&6mSP0!1Zp4u_J>(QStes21n9k)+=I4zBx&#aoB`j0=< z6=n^d{dnVsmk$r2oOf?rzk=H1E$&-U*Yyv)bY(DD@*8?)d;xy~j6-@PZiKHK2cr&L z>XL&|XAIHnwO3|UXeQhkzPXYPH!@Krm>*5oD;3XL^Gx&B?P5C6Sn78;pGiems;RsB z3@Mo%J0{jIU)yi%PQb{;(Z0G}a5O5ssV-1>0Jgv+p#MI=O)BUC-7Z(c6)$wY5Ih#v zMkbYtfHwwgz%XOWbhYMLGqX@cM~6Zig0R^$Yh*tBTJyl$m;Iu9Xh2&m64UBm^0-Mm7^m3s2?2?tsSJXA!dFtkp)n(f@ zFGRncUeTWxwg{^h$aEahlQ_|f@DX!R!sc*r7VzXTK93{_6hr7Ajd8B_3bdn>=wu64LZ!EZml-YS>+sV|^wnlC9^Qkdk_Ygmp~z1n zxX$U@N%T$fWAaN9e~v`Sar~Q64t5%O9{&d6z<|&<7bV{`H?O`pD<*Ug@z(bq;;ne> zon5=A)?K?$8U0gxYr{ZA=%bhrV0$ z-yBD|UhYBem)tLTZL=`Sck%D%pMwx|1HAO_31JD1GjkzAXw=Xc6OD;QKXMdA5W$ZW zeg8)olf=?EC3z(bDPOt~LME2&n88_jDvcKu?iuV=DrihbRn1^oR4& zF%k9de5jVF{sf_ZN+bEd3cbcjBVAKE3ok_rqPqd!osF2~F>f=_wg4Q9Ac6?~|A3Ai zK?FY{EYZF2!_fNyHtFBF3PUQ5CkM3!rum&O+F#uEBm9??c!#0rvgK@YlGN{{_B?`@)gqcRt2$#^+ISV(>!q@I1_aT7k+3*Z_e#aoG65#GR zpdh@x*WfW4pF{Yv0e1b!@IRA_{tLXE{BjCArF|A&hw!KSxBnnsPxbzrp*@5Czjb5j2=gbz_aNav z5z<4y!s%D-_}@ci_*=q#p)!Vuh~1$wj<|^WP??x@3<=72hsrD@ig+eeW+Q8fUxdmW zB%Z)RWiC=griIEpHjmsAD)W&gf^dBVNSxrKP&r&@e5TH5NCK~r6)K~MK$ssYV+dPV z5h~+|N|+WZ6SIyXL3v52%tH9W8$x9^k|FF3l{tt~C=8Xkh(-8hsLaE63Ev2n`ACAa zGE^2I3hBmBS%|(WJrF935Wjpes0m7NLp(EOhG(YC@XVAMo|!T+>llV-rp)lnlo_6x zGQ%@dW_V`G49`rN;h8BjJTqls$Qodo;kg{?M%s`yNIxAkpX}n@L31a z4&GS-KI;RYxj?xAl!w7{FVc!^0MEnV_zv(qgmi)92WK4(-|GjD@cbR%=ppdv1h3oa z8Q?pu;COhQ0dQn@=(}y9vvh=Bx6DqTz%*f!Jc^y92_1GDpU$=wrw1L-7nlE_1wV~@eXRdRt1W+;~vX17d z4Ln|-vvna!;n8hCnqhD)DChOKhu`U;OK}MNMJ6LSvKR2d@N&JgGj;gi<@V~?+vziP zg7=_q2f-0*XsL$4V?WLPd(jY4>;n7Y!qrJ7nC+!bM|lx=?<|B z?FZKP2AXL`w_P;n14ugJ23SYKMeAa&{kiCC_JU)Ez;z%uoisZG;0znUyJ7hUK^e-i z0(>?MY7W2IO1HZ8$PrKrFXBOxkko%+qzPF!Ibl zYdU@2R@#E#w_E9Jx6(XSgKu@x^@Ms}LZ4|cbX6nb2j>d_%>8!wyVstRVY!vo+#1?G zI_UPY23hsrYTupo>tR~g%+UeZN=p5GLf6?|e&{19Yo%hYR=1YSRLVyqh!XShYLI|Oc zLCgpl6EATFS0=9Gcr{1^8e1O|X#nA+u8Os-)`$8K>P^^`xQfeCno=JvOI+&1+GCsJ zIEhP1;z0nAibo1t1?tAy$`~UuWcixDniGR*- z%0Te}p|e9GMjRlBLqcX+!i)tmqLma;~LU#YhhMpc^Hn=t$_% zA*%%$)1=&_JhL=BMNzh2*P-;Tm?4^^#M*vZr7#Up?2_~P1dY9dODWk7JwhrybG;;q zPkEStNsNsv7FtRdZ!2K8DXP8N4ijCKirF(dJXY-k2sGRcuolE?4fL=a#~!h>Z1sq&G^y>yWv3z@>?aT&+8tM zKeEVZZuG=15r2)DPx+4W7moD+>5oU=ps;>v9n}VTWi4h9l+BdXOrIJOHp(Msw=R_- zp%odOrHu~?iuVhB8Y$08OGa>+DY6z5&xt@%2K{WcSo5TiMT(j2JQu@$v08fQ5R=)v z3!_<`G#juDnleF9SdZc*Uwn)spP4Zq6qeaTIY7eMM{Lgr&iT znx-bY&F`w2iJ@RDm>P_A$NOS~PX!0|22(w81NMD`1N)PFxND#<8S78PhiX1M&_9qm zl#B;I(-+&9=-(HN^>+t55`zQ54vZcg7<_6-LGOtT1^2|`{lV_|P-0(yygRseU@$n) zziy~&kPi>WW8DfuY9QDJ@LGusofeFOcmUU3KWQ;9BI^u!W_y@~$#kQjy(6MJzw z7za7Mkn@3f@1fvOYH*-`Uo{9x^u~id1A~dD2l`W3Y?v;I;xIU!l7)C*610I%0pY25 z5aS>X>VnYYgOF|v^rrZtf&D2i?7pFR?*YzMM-P<2dG1OiffE;f14F4Ggq`S$$M*1{ zexaQN=ty)8aUp>UKGHjIFh1B78;S?JdSZjIt`w9mN!-7udw-l02&9Jq0wnHjAj22DX}Y-6hao-;d%)UK)^8GU~&NB zs1|ey!3?g`iPAMS)HATZx0{nP)XU8o5+96r@9!euf-D&L{@#=jOq>h|6!fo71)toH z+fwFg!Tuqx(4k=WK-Yf3NwZisD4ig9FwU`L?J+(~iw`DJJ@5d`*#}zUDK3D%7$4)N z(UpkzcVYZc-=2Y9qT~Ts?o;CSwnKx7UT7kZnePX1$f$QI~Atks&C6dr8 z_e)7dO;0M7Y+k?q;K746eafF};Ku7QX<*-AEZK8teOGEP%zDT$Rb2CF*%$x@lD!Eb z>Hbtr@OKCHLqvzTDL~1v2|mn8g-^#)@#EqYywKaM`XN17!z+6i(HC66Y$0lZXHg^kA^Q*PdG?>!m)Td@3+yHK753NK4fd+FfqmOL#QxrzV%Mw( z**{r_*{9au)2!BKG@oyWw$Qgzs{m=$X1rRh0k0o;%Ye5EcpHKDbHMvW;C%{s4*~Be z@E!-=ap3(c;C%&n-vZw61MiQ4_aA}xU#!EL4S0)ycPa2z0&hL=Y%$}t^cnCL0&h9+ zt^?i>@IC^(-M~8pyhnidd3?SOyk~*;0`Oh}-X8$(2f+JJz&m9s$B0+Yh{d1ia(Gdlq;v0`I%Pdlh)E1Mer+22HaLX>MyuTLiq7z}pDC z4*>7)0PnvC-UGnb8^HT7 z+6~CGK`RE{rNFxmc((xWL%{n4@IDE=2Y{FV7i?cM<8@3L@Gb-1R^a_2@D2d)^T7Mp z!22%n{x9JDzwCLflzmyNVlQZo>?_)K;N17z>h|UojDwx#{$V?Qw0uI;4F2y zhR4RnPV^R7S%EbjmdB)+mc)&*q{GG>_A5z&*v4G8aQGI^qd}LZxvZSj5jHYnwQ2?S z@$qq&U2{2ulRmE5!m_KFi=m5vve>#GU;?` z&VnQDm4V9kBQ~dIFPLU*y1=0oxCB>RSp15F1!)yHwSodEtv0#`aqSr10k8!HT7l;c z$jdA-u|!CWi;Q1M;5#6*0w*hQk<7Tzw5-sy9HH3^Lem^h5*p-ZzuGfHXa$;9U>nJm zT7exvNov6mgXF|bk{r-jHIEP-kn_`YaE9<)n!}wz?r}RccflP9 zXP67=4!?AT+KzBajjI+H8kMaU4D1wlcRa z$M7Vd=^Ey@Mu(kt=5z>2LXkEGOA(@kEZv&sCRvg&-3|!TZMV2x!On4#rrQBb!=pAE z7w705k3;jgY`z9wl)4RgE!Vlup9v0)1?gA`(Yb1te z-(A95?`PZa`GD~o?mMhaeANcC^aU8?J#a>_*djW~P zU5dRx7yH;PAqsD8IDFv}q4PQA+9`1^qQ;IX;khFt5w?OAgP&sb5suWYIlY;*P(hlr z#Z{nm;Bn!)H4+gNMk1~J8cL8_;P<&0rrUHics!cNuhd{xgr`9Bc$Fe{2}LMXc-$Q7 z3{^zfWZF}JyEB!+)!}kzF0Kxt53f`6X7vGuc&(b(o>d4!hf{M&jX*{sW=fU7c5E~I^7q0^}2)*1IgFB2RrIC+ z4SQMc2NjVEx#aNM4oB0PRoO9JDs+1kGXpF>M@_w;`5XapD0zwGh9lZ!*4%p zwK^Q$(%RS?8NW;Od$bH+edlef)%xt)hl$)WR9Bt&{EYpay)hy`U8sIsc1u77RG!8I zE}5KmOu5|_w|^uY9$C(cgdmF8auyyL3ERAy)1SE|%s~9B>U;itW9jbg-$&;qL-M?p zpGOA!`m6aiKyNkQ7I>;UxHFdO&p#?4x-E{5y>QEr=R(0H)alIf8TP*Q3LXtUWS?p; zv1!W`P=_)8vF5Ps)BNn8as4i@>9rEezXHM|X#Y`Lq1_gJmF+6(-LokC{OD1g*&oB) zV_Kc%1&jfX?IfQk=7ww&+D<;t=8*F+)}h%%-;H*JpId`+zV^Ff{J7zKLclq#U8d`w zNw|#tD^D#E=4O3N>xf3fVb~DwY{k}HyCM;77t?k{_`53srlo5k^m$-0D#XuORlS2P zWm=R=eje&qAJZNQ>%9Y2ti0!7tHc==F}qmh6xTDyyY*`n{-(qBYtO#h-6B>e=w}PU z#K+xcyBx*7PyG$H>!`l#_yl_upQQcSy&GZ{W1G<)BfuO<)LtjA?ak1Ew9!_38>_NE zDB$bO-XYe6XwEw7BLc?b=DBn~LTw$btDqL4K4dtrpm~d!^bLMqMX>7a-Jq{m%x^W! zSNw$RDRCa9`62sZ(MJR?qk>LFS4hDWJRKG13SYgL|AHK|pA@iL#rY}GZx+0sl{^zH z#n)y-FJx;!FJM*^Pjz&!n&wp#{pAMSN>*x}I*$?^5t2#NfIn)GbJWlq$0Ir8)w8Ur zHOR1)&Z}s=)XOqT1jh+c34OAx6`!|mXdiL;a9zaU1`54da1Wd zx?QR!WNv>~=e5=Tp01~Q!pU36eogc{F_I7(av)FG!;#Oycb2sxkUw2NiuvH=5&sev3UnG+8Jdwe&_vdlS4A=a>*N~YFeLG_d@!{j8_{jOD^^QsH zZ>Ev2GswTwlhm#o&Z%V{MgLQX^&~Gz(2{5)Xh31Ph&B%Se-8aoxesdPa{$NEDeqa% z)0%E-y)xhH{RIhc`UD#0PG2KBjvCIdpuK~3iRgMyHcop6bm76BO<$$)tF#WdGD*X= zvE874lJ4{CTQqip+AVqiU(eDwR4|=3z_@OJ&F4=5p9}pRqEo@YMsz9oH}lXlWte-7 zczxG!ev|IsAX(g|>pO;ZSF&_z9gr;w0c*Y7YqkKEH9)!;!#U(;8K(Pu9%N{%Cw;*G zEj!V`n}z$;6zOq7HnEo1iz&3E9Md=~TwAWuIzIOb^<3v?(7?Y1an0`L*D&Q_8|xOzm;G zuWkuBCoGN53s$~9Eb)jnk2~L%^PHEh)nwD4+1e<1vmqXfHNb7H2jkWx@#2-d2zmmtx1KQY z;w64l2Hwj^2f$?=rZwGCPD1Bs)-c&iDf#IQqGeL*-|`OeaEa)>Nc^0YHfOm>`rjdY zArFrK0^zwPVF>xcrme@M&2if8;}WkmN^7`%o|5vnrKBBjo^4(U*A9Mc7l_{zq;D=~ zt|!=#%|$(AZ41-78#M2f^bITg)XMdCljH(D^5-ObK+d;4F6Cq^BfZ=rnR0j&k~Zr* zl5Y+3wUGE;gfZ~R0EYo4yGp(TTpD1oJ93WZk~X5Uk+HOl8S4LsuM zNj@%4$?sY?EREY1bfCdcG}x<^>{al@`zGqg$o9EjInTY)?zmj6<78KjWEYL(^B3eb z=S|ptN}h}RJjR?uyCZeM?b-U2v@<@=dEz!GeEKHEno7dmN%nu9_$`w>3;!9DaZBI_ zFFdV@#(kxp_~fzUIrMMJYn8W92dvO0G#;JKYv-A!U4V2g+C|96s=cAT!EF3Lieq-| zJKA@cL;E}J@0e5jzV?0Q(tf1+S2=uzjO_BRgbouy1Bh+nexoblCpy><_VL?7w6GJUim} zXJ>%@k#jz(kiQgF$S-*nqOJCLJ=NOhJ+FFxsSSH8y=%3zzFOY~ZNgXYYtYUWH56^u zzJ+=idHwIx;@dmz)?1mhcPnzesy0+#z@KM7dw(F{4MPHMQTH(4=Y3yg1-}2{JINmO z{e|zF>>=M--w)Zt{-yp}_O$;E{}0&n^RCXj#>R_+MQhoAEeaQXiTyXT{5ef@< zfqxYfhrgcshpF$RzMuM0>c2+)8R}o59v{s0Y{FPuiv1ZxYR0uR7a0}r7+&h#UIwSf^j{|d`{1kO?q zs|sArbN^@5!|R5-$X-XoPQbZdX~@mpHM#~`{EKbM8o1{v>nB}i@Rxj zkosfPzeqjwR16&zU!m)dsJ|`y1*Dq=0kj&l5M4h={iD?Hr5;gj!4WjVvjBJ&0LOw? z=^8vN0FDI&zXb40f+aO2fLrodNl(cE^pIr<^i`5Bxmf(svlw=^7`C%H9Qs}6MgzQMh<(c-mt}2eQMA3w zMwcB&KfdghWr%0X!0WO}G|(9Yox#Pya1iQhub?4*1c75Y zcv@b&eD`w1r{&;%Is9@t<}L@^C&!gI(NLhX(!L2j95w@O{tScjCSm?}L8t`z{*zy$`xwg{ov# z)vE9+@Vg2;tOCEQkhNFAZ&tzfRw34}f{s@^(8^a=uLkzjkD-Cj)v%Y682vS`>%vvDwCDZRD##aZ&s!&5jQH~OO=3Ed25Yh4e+i3eQQA98pvf0575u9TxT`R~3cOc=)~dm(kt(dII#qS93VvM$SysV5)-Fba zovlUeTuXUlE#$QJ*xFatLY`}3w`(aLR{POl8`Yqt8gy3!b~Ws-8n#(|ruvm?%&&%R zRwGWYgFUUQMT4x?Q65`|dFzlP*NM2k?%F!ct3hn2A)l;)oz}oFYM!fksb-?)wHm;x zfedOO(;CQsJ>va((7V1;&R-9j*LSRcay{g`{%h;ctViBm|L*#qtOvd8KdrUZ7S#r8 zYidKah<~-6wf(h+Yme8C*PgD0%xb?|d$krasJ*kny#e;L0d~Iu`F=y&hDSHR1~!1N z4Ty~!PHZ^60rNLN#v9oB(t@at}G zv}_E>`5VFK#`=v9Z|tP&1JpzB8(-RZVdLB4>e|L%@V=haL*Df(>+9;n;`)htA;+}>>2?Alx+ z$2U_P-`qxhl=>&A2fdqtd-Ji)FK#}&`P}9-jbEmo^2O#~P)~WW5jNb2INDf6*NxO8 z7B)iGjffqMBWSSaM)*J@Vp$_>x)E?25w{yDZa47;TAM&;Q&kgD*%WQs-Gpe+G}t8S zf+ngynns(RYdXR7qv>>$sEeA;HC>>Q%gl5%z1Q?%(^S)RGwiats5#h-oZF1})eL)U zjy6Bh4E~#+ZXRof?>C=nKGzKXn*pO4d^i81d8!3`wiLIlXsK;!ZQ0rKSPSIea-e0j z1@X3JyybKYKUTDR=ng4nbLIJdwKw~TH%Zscvt_?DNq zAf|1BEpLJDx4_1>K(<>x;hU+gj@IJV6|Ie}t*tv-`Ti+u?P=|AJuKI@KG%Ap^>pj` z);Gn~l~z$dw(6Bo>nB+}t)%m^h5$Ew5NJE4$;qS_<2eRP@dJ(mmyGI#RG|74z(>f3IO z?`UP{Sx}ewoaWv`jv*c1dv}HRVnP_+xsAL~}pAr=LiQ*;DQNVg@)^U;s{{|#Kox05!ZNM=DEBrlk zbH;iVlOGdvUdV$(NpCc-KlSFNO8K>X&duZ3e9v2lmeuJ5MuWOeCrnWC`(b{4&+cWv zfj?VLndk`3pyu8_F6<&tG?|OXoBeU_o~&7~{Tg0hDX5$WEP=UsC(V(xdj)-b6i;iJ z$91SukKF`q2cWt6+c07NeLPm&ACZ}TuE$K{%;*eh7_raI2IrBCMh$U(ZrQ|_FGFy> z{${zwyz5^gG!^fkx087uFmr^EAnOl}J(vd$~jmmH62`b`B=7;)~l8nq`BYM0h8Vxh^ zWjW0jWw~)g#TYq0O9tfM;_2%o-ZT2u-LvCW74d`|duTKza)D3RAh+mo;!7P4@m&pc zgL8{^Mt&~_n=i?nW%} zj#tw3I@xX3FY~Pt{VuiUf9kp_BAXhcH4#)Q1;TrHXmj&b_jk~$)4J!7KbdM4=|$h6 z+@bu^&AkluQIe!|Q@pQJqI2w{d09WcM7~%dd{IhEdfl@+e({c2+BmybRd2hvK8EGD zD9#OT?tZQ}T@>9!aOuG((Sp;>!3M-e8J$q%Y60gR5OLHP>&P~#-vSpF84DdL0m~T-#+r>I( z0dO`(oJ|NTDIw10%X)lv%X)-Iy2JX~60B8zFDX?t8ux&*`TCsBo6(jwn8LJ^m{FG7GfycB}h{Q@6D;%Xdkk8vJC@vEE6WQpI4&ruH_;d;ETTvVk)>|U7 zdG?7-`L2|vtZr}W6|{P)FlLbtbM|f&*JgdFczu*sgbb8sW!o*^56X;DovGVY=0;8= zR^BHg9jD_NQOQW|vhUXxkp%=65e#d4Cc@SON2%}`jR4@7l(&k)>* zh&@GQpZTIpZqB(^4a!XGqAYt*#OMf+-(D2^2)y!?65)SE)%0|hH@{`|&TTOJw5I6# zj*ynB9gTG5%bwYon7{V?P4mLtYCno-d22uUiyJRxwAt#Me@k6Cvmy-Kts*qY^=^93 zO4VEm@|tcZRh=Cyw-TI3QoeT`ICG8h2_hSt&l#!~ztWxw43u*DJ%-=?Ti)q<`Kxsx}G2QB0tqQX}{J=nalDn8e z+t9QX33?iG&9oaK=vh_mnDV@0W7)%Clf2I2@AqaJWzH~bmaO3gk}=n;qFn8bN{+eq zLL%d+HD@SiRSNko;F&ovvpVFq#A}f{p>;3i(_-#n`s`~an6DBo9`S1w{&zX#tfRVq z)IQ=FLtP}EzS}u$E{ljED^-d(AY+-T0=Ny8kO#7i+CvtaPpde!o7T(9G)i(6(V-(u zk~h|%T&lFEBkWxGrC$H}#nsHGu6+hf=E~pCW7n>LUiL74RBr z9<1_nsWT>avt-S!R-2-rZfD||`TN^+OTCvLDen)7wNmEU%FqZ|WWf8gvX@al7qVGq zVok)jqro9Y^|=!!%H`969+zj)$uV7zd~^Zrq~x~~RpraPJSL)ac_z*Hd*wAUHl%BH z*vbZV$%SsyJme?GLQ*~u3W@|0C_!s*W~vo76{1qOFMPsy4^= z;q;7W{yLRC?k}c$S9Iy6=`6&IyHg)i4XZynhXYh&j385SnW}wl8R^10JoVkgqp(Dg z0owVC?Af#I^CHjqxz89M8>sP`D$`CK-?&_1sLa$;x2m?(OrxFT&h(P>UuSCFc;0n+ zXwosI^cAX(w=t?`*%0HuA@v({s;c4%(beWzH#?@r8JP0XCNr2=G2NSYg_MHdlnXAH z?kNe$dSF!kLNHUCms7REZ>UJK5#_9o*KgPtsWpB}l*5gx5bDH=BDoVIXv}^O^D$}I zXqn=>*Xi}M$mooec4o>Xql=Wk>(p*YG^|%x*{5#@8olhjX+X~3)-e2+LS?QbMH6X| zu6bxg(x5?7BxzD9G*e2Y%#u)1R3ec=AtIzCh0;I+m1L@v21*op*U!1nxleoj-}ima z{o(m^JJ&hqvg~o~wb#7%<*{*p;P2x%+@givzn=2jz-rDWcwFKgx9Y8QobRvoOLgS; zZ5s38F#UR)QAGW;;`iHcx9rL~I2@Pp*K*!GO&N(w7ROZ4(kl1{Pb`7e1by&JYy zvsQoZeRomW-`QrtG_l$X@!j21%2NfeiG^?VO}{p4Buwm%+e!b3i}&BZaX+8jcPNwo z@YK|y!SyG3ICqV*b-#(>`bBQyvGXrB10-$_XTSxn7rtavMhgba%{=d^UX+pQC|PWwM}PYYKZJYcf#q{Y5?H-&fNsS^S53=N^ybpS8P;{o>>#Oc%uRMO$p<$2fpF{4cUdit; z36q@r+CRT>crM>t{o9BXPhtJ{`IkT=_z;f!}0s=qwBZMer+Edzn4E4 z{QL3uur}M`gPUuM11s_q`=<76+do@3Ea$#4X!%08yOxW(8ndkSVFgMf0srg=SpTqoj zw_PU3CA!brpyiUBdDy@#v#%xDedNjR#n~=?v;gTVUn*{K;~sIbXKrU@^!5etPOis!XUA>HZ`;q^3O@X(GeDVt zzxIr^iOaOvZ@J~nfGgXWPZmEfZBH>UrELg*J}Bnd+%QpuHLyB$Vx<|szfp7JUB8d< zlV6_EPpOi>ueg0$b?x_L|Al*Ztf@`d?ob!xD)U@Xw590uvt@IwC!OeIO859#gtw`; zNeix6`0bG5kdE6~#o>{4>66t$PlV{bkU!P^VU^vd^~ZH}1onr!KPz7r@|AnSa`x$= zZqILrV(pJsY&^T|ly17ihkeco*~MiQXKd$eSe|HC-=>Yb~`k zHg?FK*DiK_#c{FfOY$yv>BZLy^nyewudE=vhe?DeE)9#0QCjLv~ z<-4Pli+*1-K5o}{FY(yEhIp~NPebLexzzM+$)DwNTl3k*PD+AM;%{LpnO1|y;T-tSF_Ikt1X~or@Gq>J- z!~4MNx%ED%(zluZp8xvz?ELz_o1&sUJZ}!$<2O}*BICo_%iUR!~5*Pz@eC- z7L{CyZQ?1ach>}sy}kLW!S#(lv&Yz4EY8oib#R%{d8#fip|+r@AnE02hn(;TewRaT z_)-4naL?aXAFqWsk8HJZ{5^hHkCyi<|Ci?12EA+H5AVLszVcn>eh=?~iA=WE>kUyw zr5d)kd#1H`*>ntxwVcjTZ4qt}zFFtcn(bIS0{_{Z{5xc%=jyEAuD@Mxz78)5-%~qd zWP9uB>yr#B4D61Us9!R@lln_t+}Cngwl|)e%l+-((l%$d!Pe3~EiHfdZTs)v>CU+Z z9Co>p+-^g`cYNLb2j{KvSiUKK>JmW*WlvMNH(4uX(_Xm0Lquw)TmXC~-_SjO(GEu2Mh4l{wH9nRsc(^=BSnKqOFDqw!6b<5k{l2mw z&fvRWL6Uvp>Rt)nTm5fNGvX~$opvdo@Ox;6fwg~h6D&fvB3!JSw;luMo8{)xQ7ig;arUH; zXdCzJRVvSQA0*g4_nzd!dcF40mf5!!|2U`IHSd;mVY6`eLZ850!?s->>E62wnkTKC z-5=bp{ck7XlnZQhe|}RN2l55 z&T~q?-4=J$(4)9YG6||~H_I{`vt*tIU9RM%Nj()$t8>5G@S%`pGA`3AyX$a|uTqG| zm3JjeeyrD?HL&;0ro_$H_I-b>6t+|LNc`*NcZ08QV+D2uTm1SxK8$heMb{3w|GIA$jiW^p;KNCj=yZV zRyvnmRkvigu-;sMc>Ti&B$xJEi|GC?_U)0pXa_+w4j=|kV$>#SD#aLXrWZzTg7@K9)_Uw`1{bva# z;gS8>M+(MtI4J6fcC^UpNOp)MzfOOy6HaO^MO($sJlp!%Qv&%F*rI z@?(~yf7t$oRl`-VKhR%cq_?Zz-st!G3xS=vx+478ZDKW|M;0#1m+7!OvVMQRj*-U7 zj?_@`l;PIIF_mL`n?x#i^)`uC>OU#|?B+g8r%QLao~Zg+!?R)@zFj>gdgFezb^G@# z_m`&XT?u&Zb=BqUs|pF}C8E}$G6TzAu){(H29#c$9@?6ftaLMf?9$7>N0M_D&pzAw zAbMmtl-ux>JuFeN-PV8PRn#}hie(zk3I54Ofvclh&!{UXuDzUVx=}GTdiU{kmeuBB z%`K&xpUp1CW|Ug{jsFxHc28_z;frCht?jOtzPbfYENIF6`ruA^qG4d#zO0Sf&0?=w z%?_8Rhoq_9yLi!h)%5VE_fNiK799Po6WQ_Vtox4ZM=KIuh`0IqbdPQG^f4)VJpRJO zB-x!z2mhO!o^dKJn!Q~Y!A|ZdcyGV_;wo{?wotuehS$%sU+2Eqcaf(%|LXZ~l3zZ3 zN)fuS*k6}xS3bjg?Xho(cQu!vc6Jc{R^b>vrR$}m!xY$P_{4AOCz~5YL%cP*bd0+W zIJO)d$u3&ZwAVIa`t1a-(gi-JD_&ma>s;ZV+_mt~jfevQ5P+JzR-!-M%J{eVhqZcwzjD~8M?S2onl^Bk z=XGhyI^)y&zXe9deINWjl38f_YSW{O(?&vjdj@~iMo4A|4_S5^d+rT0jZ+OB+dred zw1_|EUTuA+!1eaI{Z=+oH~5uR9#>a%3`8(A$KIPgWZT}BYM9`9S%hVk&p*9)S8VGl zr7M0bYH}({U)J0xjSEq0vh|6H)UvyCJy%QZvAyWv##^O@IyQF}ccrG%sJIc}xNiNi~@`eMgFkF{8KxS{@yeUnDp(|o}| zb;+j}udN@vXYlHj;)R-E#m8EE-!V^x-sn-^c5wKOQpoZy@1frFWtAH~rWfR`3aKo9 z*(e__)|=cU^zCb?kNxe*Zsmi=H+k#%s8s~XHl^Qkw+JeA-a4Z(!7L^>a7oQc_4?O+ z_M7U8wVth=*e%dF&EVBmleLgF7r!Iaqzscuq;6%6Y=kn{d_J`cF z`Z;Fv_{3>mR)c%S|LStuFf4y6vTJ+Uv1gplE_(8oYFin_=+2YMv*yBzC32?{p0z(U7oj zw|4kl`gODXiPnbrE%B$Po>RL1Am^1q;g7WmD%KB{9PjL!maKFBx?$`!ftOQle(tyw zG*hknhuX8+&MOvouiOo>c_|igaM2#^@ZgP?zqHAote3qzAxLs3_piGd9|w3dlDCM6 z3yO$m+jZ*do_n~+^r2kuLQ`9{g#zBRpfz?!Ti|uj;FLt?gWnEbVP3EAaQ!{Dr2K^T zBoSAy4gLXsu1;J2eD!wroFu|vbLet3xj*0SLg&Ii@#yf4L5FXQKi{Aqo6n^Fl?gx=j~a{4V!&uz>fh-s7>~{T z^OX&gF_}~Y9*^qAW>NqB^H&)8zyC^S@nKFD@6V__1~n@a7Q^OHhFKn!((x% z+1aov{-1wi{~7il|Hh{NjYpGX@&D0}3u|IRTQ--Pl1XF2YS=XPKfd!|Od4B`$)@IH z(x~;b|BT6G(V!id{-+O%26J;+FuuLqD1Lo3C;S-sHmHprEI#9i$nw#b*mlBNwz~vzNbSkdA`W25)CqP54fM zH5BCjGzr+GqrhB9SLf2`3c4cNejD60S1w)lU!CA@Itm*D0(>+zGy($y7X~sHdi%L+ z(D{76292S?V5mb2b^q;N0Zu{cUjB;z9AT-ezl)!TPk@KFmmD>sle72M038Jdx&Ip1 z=ikQl@?ZF8VGCWnw`jmWXwVljHK>8$yXFc%?+sgBT>W&-JY4*|{k`1+9$b-I?d`Wg z?q2{oCWqa1@}f4?_rKd~Yf$t4+dBShZ~q4Q+m&r_`PYVhw)$=Uv)v6Y8m^mNx43!* z_`~Ape-^jFMbicDO@Ozb?jM|4x%&R&%VrPVuB3Badk?|?{$mjU`Nx+(Z8ZM9=l^h# zaI*he|LMWO0?f?*zp=7q%RdogW~RB++hr?t zq{d5iw{G>=psCL^U>mTO(bU-nOStMRjy_kNZ@{3d^Xasu2AstlCWFcOv(3?csf_}} z*vmh_$;-v{e=^#?_5GX8%l%*YBl3S?4!QrGy)pl}pIhGt4cN#0by#wGc zG`W=;#$ImTVA-*F|M=TdJMCpO1C{|>pSgs~Hqhs?IF!Ql@A{JN|9=aZVwuP009QYa zWt&08T68%5b*v!cWyLeMz}RH*969S_ z^1}C2?wMrEi(fo@Hq}|b!$8P#>H;~FY0?J;R<2s~B1Ga+szRjE)ri7-x;?+%>FT}f zE>Ft7+Y<8ZQf=n1-{GsXm45#I{XElpSTZK^Q_rrGW{YjOj#3Y@<+K*`3q}aGx=+0% zK4%>NFim*(qcL}m_exH%m^LG#Y3M<~)M`nE)r*S{q`r4ukw5d6 zjpxXHBcpavZQmnH1;vhyeekYuP$%QH#EYQGFUke$n-sdn)pMqCc8c4{O&7jcyXVes zf4MC)jlySrXYS@d(35jX@{xJ*No8vK?pNxIlJ5vPA3wsM`66`23$1YrJQd_aGJ0jF z&V4V;=SEDmG|4%!vv<6lbL2+p)=5*JGhBj?HwYaGWR_Km=?eAf6ncykIX~h4P6bi% zz`q7gkC`N1H_pFk>*DKOM78oGYWFY(H-RofrzmZ8}>g)XqZ z<*-7qO+t96>}QnYnIWgv`Hq&Ga)R;~4J$3Uw|LF?s?d%x%+|8&9^PLwYth0Pg_FKE~ zS({hH-n~0z^MWzisRxC#&uR#tIw_hRss1TmJ#gpc@kN`~_TNm5oYgvM;EA;NuDlu{ zgOSgJh2QqRnJw|;&V(HgCVLbe)+ib-iOdh0@0^q)I$+)q{ZOf^Z-U9Q*Lj6uzkKhH zd_ObPqd4%fRQ1=`wJq|OdyZtb#ZKVeox0=XL|v=TVdozWuVa6A2>l|eJ`|-_cvMphFTrx)dUUg%jS(z4SLU()w=>_vW@r2 z_iv+|J#QQ1&i%(7!c)LzmEvfR43B2P`k-)2!t3mo-c8X0V8=znX5%V3p74H{z<~MaMNNWi7H| zz1+B$H%mP?XZq!vyTiEa;+i*JJapsdUjf+Z*t3*N^bHjvlo%Tv^F%-_otDa*( z*KAT%7R*x#$Ws|J_TmPYBL}^GB6n6Y_R7t9R3#Eoz}{;=ewlKQV%(tR#IlS;P*&Bxv==jXT{)8h7$R= zhu*o&)O^>sOu*%JLTLi`@=|z9*y`WEY$^_E@m&AfR)2HjMEZ3+X zt9yn4Pp=NeJqg%z{Ps%r{pnAgE@f)a-W_uHIsE9lexaxR=IG3}+bWSJzMmK6m{czjwLVYheB+$@E#ZsqMa!nY)}yaKBqk;l+H>yJ;5n1;k{p>w9$TB>ljyuS zO1D#@TYTBZPU8+ zPvyGXiG}1Kf(HEHFZDXikKFeN^vE_Ww?#FTxyIjw=rj!SS>Ljl@73RFf z&5=>Qc9LM7SDjmb!qy;jX6)vZTkTiG*t)t^zdFD5bm4>YLUn1x2pxKWiPU% zFa1?d-&oPeGh#p)XvU2mbnM!ht-R6%w`11CNoq~z>PlQfB zy=&dlnc1_oXKv0EZb)^IThB*2lc`D+@(f9Dd~U#B;}-n*SM{?oULIP(!C!Y~FGZXbsc*~bL;dr=RDJPm{_(LeJNG7_KUMeT)Ht&vr|D`!+O={Ps zf0C+9pv~V{EjBQ4z$l%6anDX+&V04$R^uWr-YH~FOy=7^zO86q*mIJ(sy?t*+)d>B z27fnZM4$6({l-&+HX<97`F5_FcCxoMRC6wJdrBE6r?e~jzhL+$w0_)G?(1hB%)^5@q_d$wjJhptt>M2+C;X@CZ zvWL@R__88dq;laN_dHF0$2i<_c0pBjGgYuH+2*m|q1@d4eH!m=v{WNz6l zdRn1BR3Lk>Epp4DmEoQH?zLW%y%3)WX7~m{W4$TgoF4A2cM}QRhrx5uK9fm z^jZ5-yJA_%&l_2(QOUP$S2X@UK0hamS(!QQnXX*M{%6sWaXY_?RBk`eBs9<>q*B~t zATYW9r~a8?eaT_{;9nPlKUi27Ipm2<|9)Ru)YReYS@ky(70cItOb-#fbpA(7>suu{xHii8>Ks0tY*UZ%$WUYhgZiOx{OfSK%&GotyHe z(*v&h$VT*aJXV*O5HWwcSk9`H_8SitM7;g_P*rHhwfWim3a=*5caVHqx-aPM;hjw( zNoybI3%C_o`Dkq{&+TPNDestk@}^jWQ}D@VWjVi;kBcMDwBCL>ziD31slnWt@;>G7 zRc;%k_GzbA2p&o_G`v0MMC)gdMAfGGD~_gIQ;n!Ncj4}dMQI_bYbQ*Ix?sI@#hF~U zgTcWu65`i&Rioik@aGez8V#S3e}2ZOMvr;&af@nnt*OthRewJ4#nP(s$Wo{L zLXTMY_NimsyQIzwPHddKx6`?JnqlRB&B$x~NPpeRGGBpb#Y~fQI(*jt`7BGPFH5() zSd;OhMAdqh3w$h{EUd%FgnyK(U+Y*N;+AFQUOBCQ!JyexUQS8g*4Ec5 zaK3_RA$jV;$SXr*adf9qSk0x5!w{$hXGiiBgAaQ)o@dI?WFK z-OLW{(kNp|uj$_-^N!GaW|trN^6ZT6Jxxxp?$X5}vdhBm#T>EgN&D{Cv+mr>;RM4s zsfEM5#xXs2w>#<@#=I5^*ca$zl)B<>REAB@+xo)kp-w8-KH8txSWqu{Joc|1vEXy_ z2liZ;kQ^w~+IQuJqE1C&|CJ}x1Jc}$b`IL!jCoyKb~12M$(zu_#&7MHSA^8}oh(s% z+8$aoegBm~gSfik>E=y$QiA)u-n_3FW{h`y9X&L3A@1y8h-dw9Y|4<}c=^{YFBgPp z9NYK)WU-+6-eb>?q`ue8wenMv-uFJg-@$LPn=(I4TKW8w*i(#_*pRA4k9E`|ij&?O zYp?wBehF<)#Id_O^UcG=z9!w3{cJok#qQ~Q@4l6fOIPH*f0th^HSS>La^oKY`_p>H zO^0_A-h7?p(%B{b%=U!0VDTgFv7;ZP_b3f7WA=x-WQr!}ILymzmtO8Ylo>Rmh4=Ba zx3+s@f$;k>?or7x1&zMK1;$;UcEnzdEl_!p>YdrmjV~KMe|2`RSzG+^p2?~;+)cZ8 z-tMnBeY{tZ~kQB;TKljB@fcIcidU@-s)SGp^9D_N9De6=CPCIamE8TrcHhK zf+lQKF-ch2()0b=G1rg0iV%x@agk;GiLpL5eW9#E&J?52vuotaE?O{FuWTvJJD=j1 zcu~?;f31Ms&D{JoNx7Zd+3|HL<4m%gt3CXuCg(~_jLWT`b~{%w&N)9O=G@b~^C#Xs zeZJ5CYX6=?DgE=SfAoi*qyL&ZoYwzb@26-uBdqGQiR5rGZ%nv+;9y?r@t^a=gtna@ zS^25F$JzI%dIvpRD!NVMg3?ckgjwNgpI)`hvU=yu9Gjay_UC6Gn~`trSMHBheA+Ti z@_o;Hv8gV<)pys1t1Wxo5HzzWtrFzVGya=+L{{f)OkGPrDmPb+9(?y>HaMAV;mN%+bcR-QH+f=qrV^ z`HFm(x#g3twybO&UF;17QV-4yvqfBa5T@7yJU(e2D$6m=~PieI4R9vrB zcV*ew+JXaTFE_^uWL-9>=RcA@tf}Y!Y(*RQJ<}uiQsDH*!@wCk(w`XJ7vxqK(6!@1e)(&faY zoVA0g6YK5;KMV2D-*lWN{nfSEFm}yg+Sj^u0gr=iHU&j(i5lRPw$yD~^(1(Fa?Wdm zu* z4ISMi#H!IesGeK!)~IM`R=sOzv2e`R$g~NaXGc0j{1TEM8T)Kgy28+ESRt44a?(p# zSMG&(*BVbuShZo>`0f;)1In%~(eGWeAIZCV&tLd*pTFQln{UGQAEp<~AC}$C9A>!5 z4~wi!42>TU$~?n~5cJe_JSY5OYvKEe+&4v;g43n$M9d9Pc#}S9Z2gL=hzUz(`-lm} z=~PXhHYJWOEAZh^*&?Ak>5J4-m{liNPRw;GmE8E$bL{7g^hF^{<*FjY$7?FsN0^&N zEppc{lgK!*NV3WF&5UPt0(rqgYqhE*LWKj;K96k{wn!cCrc))>A=2;67$4mEc!*X! zHuPnm(9qS-6Z_|vMT}t!Dag)@$QCUxWRG_{n;RkYVyPqlfz+CkN+AKe+_=1q>xH_Z z?*(p8TKCquXsUFhBXgU4(X%yg-_Qi6Cq>o?T~LrL@{q|Cy3i>aNLv!gEVJx2-zHRK zoBw3x4nH5ovtm2qm4&!{e)6~YmU1RV>gVXU;#W>A*c&s^OVOpMe(Qa1@AAd>v_uO^ z#>su>*vz|?TqN0K_EPY^W9$s=Cz~gZEYlMVufKoifz(oST{n$3%~vWHCJnzxQhl`Y zS-_=`XV2$qyL0cPBvsPkr)e#tSEwe>Qhjq|?u!{mMJE{wE{S;_ea71KNtM!Fv-ZEH ziw`Bvc4(iQ-L>StPh*wJ&#mpO(qm^FvV;#^s*)Pw^h*cN&|H3F?=z=wOWKMB=3hS} z+7+|pe%gmKdNbwE=q2twbKhsvnfn7%C95x0&6~KXUG|bmJL4uTV4hi_E7JV*58!&j$tjg0J?} zrn^tu6v9#W)GJJRxjw@3+GM!IpTL`|TjzW~lEb;zx<0`07S~m5&NKP-K}*>Y!qRgN?A>ixZQWWO{GGmW)2-=> z)8;Ja?#=3**y`&MsX(9edwNP?_dM;RE|+`H%^hPs(PI4q+O!8&szM*#f>b$L+Pi-4 zIbA)(Q7~#<-Rs!8`lb3Fu8PSimGa&h&_Jz5CM@~qo*1Tg@%@^0txT5j_q*L;V>FUB z<@~BN`>^cxfZGHa!#20RoAdI7d>?;aUi)FP!5Tlq)_lKGtNPoq!xJ(*uDq`OurN{O zO{brO>$?-f<1CKfnd0%Rxn=1ap%vY-OBI}h_G}kuo=G2`@Uva+ZEKU(%7;dW16u7D zZxHw}!#2Xrz-F9ax1?>nvZISa<%$nS-93iOJYVTv+iPl=;xfaq?o(Lj{^jp~m_`)M z*&d-2{NBLL`u)5mQ*A9Y6{Hi&kDfR>T(<12^!7cIWA1ti^NyD(X>ZxUysmg{PxI0Z zYQp>PKC0Tkx%lj|_3limmlwkGV$7^I-1iF5R&rER&^r=e7PH^*{d|tyQL*wzWtDfQ zMI~L5->7n*wp;Onk>PvRVa+dU7gUcjMLNBM^2%&=p7IUe${0r{8R{!Yw#S$C%)C@4 zJMmQ6>7*)y5$D($KeTKMPc2(`R_y5Vq1So`-?*AvE39t6T4o)*VC}9&%f|LQalUF`sH-z1}iUKQKsjluyl%A z>uFWNHjnCdp-&5j?kowE7;~srzV52&^f_5k%lFs53(`Bjr{>XuRf@+ejw{V@481B> zIsNIGY8Uytf#r`*mpm8{jMF*(G&S0wmvdFQF?r3Qs**1oTh{14K2Y-5t^CY!XQBOz zF6Epr+&154soBGW9Xr>Aervrtu0Nnlvqtt#O8X-(qp3b=SEZ$nUfmn8s7vXs)TW0^ zW7u`kCs#@GhGN*(b5BmoU*peWY-5_s)hWz%w073?iHdig;Jk3{oK40RrB4#hUiFKU zw5w%gl=tk26?u`p-v-R_^?=4X%pkj&k)=wn66sgF8EkJrDB zjTW?tYdIAi5*Gf7dtYQsd42ZAFQ?^a|L}IY^i{8D!+Tj5ms8rY zY32SyvP{2edDNq9CxMb(vjr8VRXX*SJgxrmU3tA#sqDuj`H|^UWLutww0zGifAl?Y zf#!%#$sD~$dwF3pyJUWkc_;PzDEF_v(*YG?K?UC{#VvoHlkZLHbC_AxXSL?=caK*J zBWfX^zf0*1c<1-qa(w#y*+QQ6eP7-d8Go$JdzW@R>C^7oi$yzpJe{SIH+fDl6>VGl z@p9}EVXccVj>Yc1zpX~aw6wC^m(lKgVTOLg#prhdpX3&sE4BK5Ixm`g&uWVQ+YPOe zGEw?+^H0&+1v3QZ8%gl^!U98Yn^qVu%1)L(5cPb;>e~mlcd{OMYDV|nF&7JX;F0Od zv0iktTFu=5^-}TVE3+sLds42B{9Q>)-+&|Er z;CNwtcYVi2y>Bbm1*?4t6+cq&ea;2h>~+Z_Hw4c;l`1@6e|u7g-#CU!^5Q4OjvS9? zQ-4M=#eD{G29UR?VB@K9N~lotVZvuIWFg@VZvkMmcxpr;j^Q z#lsKC`yAdQ6#R<6=Nqq$zsu)J`?A$5#0~HJs$?uTmSDNRo)Ms+Ce%DFD{@ZRwxYkT z*wQAPS(vdzN<>L+r%>Say^$eTV})XB428bt=m~+wTcq^NL}dMi2GQ4wmxP9;I6|^3 zIYJIKi}n~N3$4wqdK#8bi~qI8De0v0<`PMf5L%(g_|{4hwX0|LSo6L=c=_;><Me zI*W3VJSR(d$>1;1`}1e-X{-M7AdBT{IV0_Yk$}UC1N$^SmDWe?bvzQKWcAfx(D~HC zlnr04`epXm=1N>&(XVN%@+v|@`IUvM#Ozwx@G4o2_L%TinW%o|`Lj_*ORDF6n_+OG zqvNdF`|NgAjj0E-6c?A&JHL;zYL9=rs%A}+dA9iQ%T?m;j&4!<$|k-GT?%d`ycc)a zcUswN|CP;@Aj#kOS`Ba!&ZOP%WB!Pklhlcuj;W!NJHvTf`-(U@L3U&@vG>;Cg*QX z&#{|#eWuX7>rp~8Y`%S97 z4`=(}i0A&?M1O<4Ic+<w}o?R(ix!2P}#=WEfVLsM6%a5FRn6UTU&7wnvI>&$Th z!*f@fZ42}7w6+SgUH0l;GbYhjk#$|Vw&ZM*{Ozlg1zrYxZKrb`0xL_O=lAW6?0(ZO zI$2sV?~P5wSkt_m%Zpm_4dynfUzsN-=@qJdv@vx};r(0n(bF6JZ`WH$Y;+qO_oe%F z`?QA1wOZCg_Y1VmG^COOSZCkdbY2kp- zvaFD(%(l9vWA1#|W<4R_S+Ln_qIX`%(!!!UC&Rs_)Y@8Kf2ME1z6|5Nj&qyNbd76v zVhaw{&0cS@f__MOD({4!q3Rk%>#u1qW~A+ke9J!{Rrs;#@~YTX3Ig2?HUjTTF0b6P zN+t50&%W;O6UT7hnXh;-pC;XWpzB6+W9Nj->&B)bfqv}8KTe&Uo^m$E)H?J0TW9+p z8vxJr2QUriyt zM%@ce7n*K$&k}LV66v;*XgaN$VI{lbyzGj16|EbZLszWcKGo&3?%c(}6KVrxk3ZBs zr0*wd*`ar~N+;8_(t1z1^-JyZTH0O{GLLMx7i!+7TRN^=;6vz`eT%x6gs#+!^p|u{ zR9?6|U-(l}dfo)pcGo=7mC+wEQ|8wQZY_;fiCohAVjyx(;2!t{yQXIjbeJw4xNRbE zO9Uo;Ztm!Lr}A2-@9M0E)mc&X88hpQPNY~((!Jn%CH~D7tDriSvdXOFl+~K+H!f`V zb$C&}-BL2dSRqe$v18g>uN6#h$HBJ+GGeUXoXk%g&!l^AMP>GGrlGP;hx`IhiTj@t?AWUFQ2O8->7<-|?@vcxuSzVimfz@kzuB@- zEx&Pl`iFwFfqT0i`?OeVx0H0<))KFrJ&V(GbxdH(ee=Svx3%KmCQpyCpCstf?4=&e z{Z{&G(Yp_0?Sm~8Be-GR>uX=GcMU$xxaV;1n!}zSXH359-}q&C(sM|C-!NUNMJIKe zW@@@j+-!cvfiNQny>lref>EF5*c8vH>;JWC?cl}ZUlMD6Cl0my&l}L=N18qg_ZFYa zbTFEH-?9E(n94lOv#xWh4G%xDk*U?+k$$y5zM%I;O&?o2a8SD9uy^g0A&d5=p1GPW zPUTHK<3(>d3?{g}^OYF(-THmXv1-}45m|}%vTW1vmF4E`qVxL)PMa+j3SGH2Y-Q~G z6B`zX_D2PG6nDbHSlAP5UmW_dMFI z-c#g%eDHnEhkL$*62kjE_e6AN(gH=|oDJ;1jgc7O@v_=?&RiX^AyFbZqv+tmhJy#~ zb2pZFrKG8Xno&}fsrhvCjfmuBSto4u zv$(&#r;LohIa@gIZ!8C zL0Sj(=f7bLE}Koln2@(m!Z>_B8jnlo(#UpP7L9{pY(9dq>5w5mdM#Y2BtS4e9Wq@; zx8u=RXw5tt7sD8U5wb6nhStJkbI?9`Tn6sTXQMUqX!Wk&O!6%0Tm~JD$7Qk6`nX&M*^UJ@ zALRO292$ef0UH)Wjt419Q13Ase{8@rc^+&&gHEm)YEk&OF9+QPHlNET`*LV3F4-4K zVGvF^03zvy!{8tsK-CJONe+{T#{TgTrIfabL)yNA375G#;PELGAc-E*g)|;G;G3S$J=7Rq-4g z7M_F4#&htvcn&@~BQDenk?pupCq&|fOJk#Z#HDc%FX7UF0TQ_4((w6m>40~#9i5Ko zo=aySKF+1H*(42d=^PG8yTIBJUxORXC+{zp!9sT$xE10}TqcbwF{A$cOZEUsBA(4< zvhci2E|0u}AZB#((j=F|U?IBVKp7pmX1M3L9Uq?u5Guj}AR6rrmWXAHl73S7I{WII-f`4g$H7l#0!N|5-&Uk6Ui+egM-)1fOJU$D_ zC_ame`ZnKZSN^ zAHalYr0fP5or}f;Hcs#oXve|xviKxVq|?|8lGXsm!uw?dL6iFc+C?~EbD_j}6d$6~ zK-EKiIZRULf_5w(!Pfu=w;zqiC*=n)7Y05z5HtjhLpvn90mdWsdw_wmOwP+=khDvu z@mM770*p=CGXUd}wjaO{4+Fyr>aodrfymK5_)x2j+VRPIP6sJN-gAJ_NgV`WY;=}@ ze9~Tkc6?GV0nH406KkPEJv_N)I{k0vp!4urpcWgA$3Surq!x?3`v5~aCcu!6NvAWB z>;V{(Jpcm&B=^ST@=3Y}&jV>|P$*!MbPsO>pmU?MK!YadV8abYFw9>--KCNALWkE5 zNZO#Y`REP;N8}Rx9+Ye*DOUjo+9KyFVGoa+oSa{fH{$CVS<5#U`*0a z1C|=H!2rg`YX-Xq%?ox9niuX2nwQTb^$j|xiRe6-GzK~kCY%vDFPL-)f8bps^+a$c z!Yl+1m_QmNt${g6>PWzbXlTvg1;J|uU4_H}l<(uUfUbhp!hi=w$o0VjNg84@8Aw*Z z?Lhn)I59d8@bn=X0&Ys$E_5b~foPY>0)>}cAB#`=Rp?CMiKrc@SLFR*f?0;!VSNaw zl!fpDx(dQG@I-_w4!$!$;^@u*sz@I)ok=-8$o+!zf#ivlN{H41HY`c^a66mc!5szj54Uc63k0s9+v{NL@Sd;=y z;1oRdNM8f?g7_aLx=9>>ltH>Y3j`}}hxG&SkfCz}Z3vwkivi9Xa?K1j=_>#=0xTc0 z9bA62UvRyW=gVTU@S1^ONLr&@OK1*2DyjQG^(x|>EEe)AukbQZeKqDB|BUn)Di_Vt^ zUK!Mvk8CSI71EJ_C6YcFcs2^W+~jzCE}|E336lORI-7=Z0Ix%#HG>9+?lc?h01~I* zIz)J8138oUgD4J?`)pvHB(5MPg76I97sPYmR+7GYxT$<31K3>TLk2+$4krSC-~lE5 zKG2SjaL)$Ql*Bz7!XzY*pyD%V4nCG2AO#WrI5axC(-8eY=Ruj+B%VRNM|J?^Mk3D* z;ys8rLF5FN^EmJh8d@_53-Wv+>VotfaAn|mS$w=VFkR3-r~@X~2mTV=4)a|O z1hWtw0Ns;!ivx6z&Jy?^$xng1kp6Kn2mc25;4?>S{u^5j%tkW)Mu+Q*@xtSi{y&JC zGD!a)71l*M4X9k0cEQb$@B$%!5-$)+Kr{p~FLb_Ou_NCD#JrHK1#KMhH8>7rOTue= zNY8;-7CLhs;W4L;fKyC`9Ny0QG1*P%cQ?1=lsAdoBo3)ED#(goD5FV{$pz569(zP$BLm7YK%= zD{wI)n~uxnApH~~F-Yg);#d(l>rlQ0z}QG0fmaI2BOVQyF|lUg5J;|4A#jq{fd+?k z3%KTpUcl5qvX%$FK9XPYAP#}%08^5rD@aX2cm@f8*UaT2y8^t4$af9e0pi&Z!$5Zd zyo8A70Bb`22nb;y8v;OxoRHSO3)QJm06^{ z4=|Kt129nR$nhv62f@JcjbMnbz-b1S1!{-l6$~2O590m;3>>m#UoIQ31(X4_UoLPR zvM;DPXf0p{;C6qr4-h`+Ja~M37x*a84H6B2iIHpJL!ui2151+hD}$#Q`IP~N{GF6X z8toUZ5y=mr9iPk>fS?A-BLf&DSCH!i+n(G9aBMP`06`oGc94BRXCP^a4mtyQ9uSX0 zX9-a&k{3fF4qS9{4)BYj@xU)i@&gc!xE=UAaXaLfhlCD3DdQnx0|6RhEpYis-yyW) zknu8r@zLHGV1JN%gWZyL4}&5E63>7bQh$MVe=`S6LY^;V(~xqS$^at$F8~8gk=!o> z^LsFH5YGm04r$ji7)-dp^^-8Dn?QX*Wk7wwj6!S1aWIJVqIf7c@1aPBn3sx!k>gQuFx(E) zAGkKrJ|GT;_5pD)JRS&TG%pA)l3u7d7>O$?4o2b%!o&zyEV$@sJTN)Yco4Hj`vqD< z>tmwaGGO<}&kxDU$j=Wj=uF}s(zMX}K&M9QV`Cl*mJretA=MP=wh$^ue3B}qKy$!V zML6YPy^{s9f|N55l_dRoAl^{@0>H3t3o$gL`>?>pi0}gO6treI4w4sBZgf)Sfro;u zhhTs;L;Cu_nfNz&21f(Q+kp#V+6DJBZU+u+;>;nghIl(*0LddZ+(Gg@D3(Lw0HQ(& zS5Qet`e{HRM7BNk%rVmMA!3900a)0`_JbH2UJE$f(V9U8ChrzR4G`ajSjPI6;yGZ( zlfFnu)Ijx207E&m0K+;a#n#C4fS4w-2f@>V_&o>bX@T|viK^s2K))i-g9BMgB(5MH ziu7@aha!6mvW$=&3al9M7s${=x*kwH;=90|k?jI8ImC0o@+0%FK;;K!NA4G{Imu6< zDi!&gAgcwRC8XDpbWhd9k#r9cL!_@kREUhpK~)D2(-1hrNgfNF6WJBOnvh)vnHtD{ z1(?FL3(i-x4?e0f0R{$@HYEP|*iQ(VOi0E<1{Jc|p^5<6wZKl1d;_x#>E0lIk)HwT z>5#1psb$Dt2c8EqZVzT2)_18eF-aRxB8%<}#43?J2qJ)t?Z6z!Urd>oNS_3)3CRU2 zrb*Hjl;$G2z@@Bv;*6-!HhF&`-3Q49sDMUt5TrioyJkSeD3S}{ZbEVaY5W7NJLj)=8?P|)I`MFAx4ULJ4F4+SP?|AA+v>~0|*T3<6xF2y4Amt744khd?%`^YG)|@V;C3iy5yr#3go?AH@o=0ST!JXi z9AMaIPQ~NVJpx@Bod@X3Xw6_&p!2}_dX#$-*+P&_gKQy)?<1MV2fLBv2Yg&_55fcc zivd$e<^c;sG7q>jl6l}hMKX^MKQT)3Y(NX*5x_Z-?4e@T*~#fM&E05N0H;QE9m(t$~V( z?gwbVNcKRA2$DTeC5&Vb#O;ynfyfEEmr%urWDg%m1MLF{8sPvEN67mDUU|gt!DWg3 zB~*9|kB9OOApr>G9Rds_9KmzIRZr&9LDmQ)IE`)xFh~SIF!W{(v;zk;YKQ7cpdDC$ zs2wO+2nNauf}yxBgcsl!a>;g}?h@w#?NH1MV36gH`Xc`jFc%0uk?p{yL+gXw541Pn z;|PDezu7O45NZbu3(X6<6v7qI5ZVXMorW+8s+#~9;?H2XAb%aeP(2uvGSA61L;RQ2 z51<{=eE^1HKmbEI>HtHzYM_iGUd&{G`-P+fxcQ{50hJ!Wp^38uPY`*xsO)D%8&F?C z>PX-n2kAqM2kA{DFNVx%aGVkC-~|X$mO&{LdZPzkT|jmoyt;sLz@g*^*`^T4hammv zdBH1>YLOvYi}DJn2rj8V0}SON!UIf5_5cj!k-=pHBb!(YERVF$A#8whby<*sOUh{$ zcoWGuHo%Z=4=`Zv#JpgwlDa4;G^n;23?>N95`7^}fs}*rLICpH0t~&o05I@Apz%kCm&#l--D|j)!qOMG6BfG;0q_@475W&T7W_Dj_eDL5E9Rz zACNo|3Xh(qkdO8Y z8^P^RO(8ro0nV1u;{l9C+A{zH?;L7}{AScEMPyC_z)q2iI$v!NXb8loK=Qbb7Fpx85Ux1d-E#c`+?7D$@} zLSEp&C+2{1U4#QL14uoVO{x3@f2PXINWKOz^iB*&1u&3^dEvH^dMpS*^i~rTO`tam zA^d^-*$~!1Z(;!qQolye3oulh0WfezqISsl074MGe+4k~CJey9i%gCOz6`V$?6&}G z6~zMphU5Ze)snmpjvVDj01U;z0S1mKa(%$u3EF^g4?HkHw1d1pQWu4G=>1NBp&DKY z6#;`L$Ada%g07$)s-*=9iugFdARaYA8o3q-rx1EOJTC>VIkFv#V)(>bsAl9G zkf%WC4Dj9$*ioZt17OrEOvHGQ0#3*UXoql5!N|9Z00zDhG#)&=HTrH*PehS+3iW&w z8Dj>c0-J&9F7nKMqgefZ<4t2N{cG%@p+*D4E9tFe;Iem;;_wBYZND zU<0}0{CS(fLj(pP-V1NXKD+slr^}+KXByDgYkd0wrD3iDX_bHkK{2RnQf`C6+y9w)q z)DN;R6`v;V7I0@W&k}OOfOtt-11}d5V}{y6z&){MFoZ~dB4~PG{}Ma`)ONC7652r` z03j;?M%tHDJJRn64okRh1g!y#%n_m5k@_hGBWn*S7@0!_Ft~8!K7b*RcN!k)AoIeZ z&It5L;ymC{9I`$Nip$`gUgC_vkpW)S(X;^-&}1$)Wz>@I?}By!DK_N15Jed< zP7>&an1gzzgUI~=!yYhA;DA~Q;TM3@r4*;p^8yUA2N4VeH3?&rZ|76gLgsB!FhtK_ z;egUgj7R0u1l6n}hL`2WP{y;e+#)H!(e6Jw!fUgnz1u018VpEclv_B}rk45r! za6W^sIC@@yA)NtWWbHateonrn3^2$oBk%%VE;2tGa*#2tQJzxru7aiqhSccwQCXWL z4+G5%6g#3F+y){q5>yc2i3I+rj1$7w2Obn~TM+vIER*pqD1fGvU}9bvj_^IeW+5?% zpnJ%W1EZMe3%rEX;UMjSd?ytwQ;-(qexc-tqBE5-9dmzsUV5E;5=72Z^aR(t{ zh~yT)pt6J52S{HcUIF7l*p$Q-$as>k0ha_P3OOFiC4uo!9y|qu#2~USm@b42fTRZy zqo^He|H62{un4|JrGAjI7CZ$&Gvs(+f}#DwZx0}xqIYItUZ8R`FNi$iu0lI9rbP86 zeMSI7`4JS1%p0a)kXcXc15_V$XCU8<@E?G62|goo&2T{wuHb|at|MB`x6 zk+S>G^9>k%kwxly03+YcquP;q zz5oM6ljjSeR8oh7cI4X=RA1oGU1c zh)fbMQ29XQQBWR1e2>6#LA;ns5hiE|Qh>nx8@*k#eh>~QB?PrY_Bo6P5)-upX-2{zDIcv5#h3wqU6rpi=+1eFhKL@GXfZdVMp%+xFq=8M$IoqSp2w)&qN7DgBg`ggS>4oO^L-yzkGhoH~PL&PqUEkt5AV_GM5Bk5FaG>3oMb) zJE?Z09!9~){A_?h&~-HJQZO>_nu3vU1W+*22L&)nxghon(-QVR)sB3>oq~ayMDzti z8SNKx_ldg?1^rOziuyu4fLsfxdW7u^IVd2zNgPl*4T6DQLfi%FcQXi`1|IzYJ%Tu2 zaMO^vxe~4G7lMGU@#DCffXYhfV+vb*#QKVd}QB04`GbP0VL;; z?^r`ua61y`0Wi`wrP`5p1;9W|6Zef;9(is6gET5~Ex@n{nuK;_oC)Rtwn3aPz`%t{ zjt8nOL6ej=PSQA_ihP3!=0I>e4BEj`(0EiJmfRclxF&*O zItB9xuMZwoB<}}k#iVXQ)s-WhQZ^mQ*B~H312z z{9#Rq7ehWUx~mYiCwyPfmr8^u_DlU7F3EQ(HGw7FmtNrR|#Vn$^W+ERPBD(T3TI0~JZr_YO+Q;7Q0F8syi|niEwY zLZ+r1ks*6axyBG(UFNy=F>e4UCn;9vT-KiIYRVOtc6FOK9_@sh^vjalJJe#PIJO)^ zb=NbuX?&1012I#bOVFO)gPgx3@1phnihH=Vxo99rPrb_cWcr(VBiGfwLBi}?3)(wW zYTLVH#i3ouckUE1NyS#&t7MJoKU)1;Rt9mmykgy5+T>HES+N~yD)k;MsGrS9aeXOeAYen2J`%EvyOk|Wny;ZBK^bAMEhP_Eby8lqnb@9)*G&Ij7) zOlWVqb`P@Hb&ni!aL#1i{GqqiOJ_rY@bN`;A4q{_e~CIdh5D_<-BdqlaFq zweD3kJ!dR;w3qiR0No!K`p|O{jq#@K&D#UUM~BFIrfrQpei~S9cxcb?0Jye1bsYt- zr(b@=EmvRam8%cvXtm!1%^jE||4ZG9ujtB+%?*v$wRO*eH8e-`(3-DQAMUrVNhfS& z0T2=PueQg5lyjVOb@Y8SZo|~&v#HKbdI8>_a==?dV-zgS;l8xysE~4x%0BIZ9hL_o zpl84F~=!fG2j#S(W|Yl?4QXj;_Y4H}ZiQCyhR~ zC;4PC<@o82&sfmrjz0)hbv3j($I)hO@Hou5hBh`Mv|?C{k24`_4Q+fo7mHVU5^Zd` zXw8A64?e2tgF?78DrR`<^jrS1A8fgiV{Kk0?X<^u-94?`j`9W&iv+XD#B z8b2|yHXeYTzGc9Sx%LRO#ynm*Np<>f++ag9yzDIk2*JH|@1h=B-we~QYir6;{g&1o zE?2iXaL^btvM*2L4BqF;HU9Ewjg357ah4=AV9Acp(-O5SGvyW|a^~IT)d{HE-uPT4 ze;Hdd8ZkJ0W_hiiq8wyZ%Q1v3t?!$XIwx=A(TamYBLeq72)DB@38ORT+$24VwFk!1 zF%Nb|zmBHveS&Ot4dq6Daf6DNX&=l7)2}$Mr4_Go()jKpYH0nYv{iym`aOGl`NzC5 zd*K2SJLb2XMY-Roe#Okv3`8~bKlrEXGgOY4p79Y6s#hpi41qo@n5m1=y|*sZw|f;# zure3CeBXc52mNUJ;PaaHkjm%NHD)ZwnEvnjyiU5BHOWU>c>|t*eD7$DrSKnIEHh@9 z_gM!M7o#qrb;b<1*|Qk#HL*cgw=qd*^WOW>n$P!WqZ^<#2i>`G`cBBv_I^7XeAlyV z8N(MI(m6{m2WRIOGI`DeDtqwq#=?iub#Q4QyRJxj)qBLQ6GO6IAiOHeGn9^R8?E`N zjb-9Gj#iBI(VCA3?E+Lf7EvJ`--U87O_XBlvJ40F#2v*{m2yw1iqjrILUD)W=@U2} z`(Ti9w}u9RP#FoFzqyLZ&L%zoi#GBpTH~>=?%Z3PF3tNZ#7>5!>?5?1vyaw$6|HeV z*WSpTXyadX)Qz2=xn#~T7A+?H@K4U=#1ILnaTMo_AaRY%brBrN8G_5_6n^Z(mI3n$ zjV#qO=TfnDA3^j;naoQ?|%>mDnBz>7Gro9 zX!C3zZER#{b6!DJHD>fA*5)*^A}@j_JUwu2{zJ-9nIRs_xfL9!LmM4dx#n$TpJ2#r zA4J016l@sw_4WaV5}8Z6=3vqXxbE~Z@24m?Zyg=2xqOb+7$>yz)OCDZ3Aq=P8#^3Y zV-&SV(9U|fSg6XUXwUF~m27y$&zbXzd$kzwbGeRgaBkSjglNr!rY^^1`#m&R*UO>2 zvewis-d1}$F8}C~mCclEJk!-3T+6*+R5=W7*QpbBc#re-&0h3i?O|wao>>R{NA)Er z$AC5E#!l#lDwf^9aWhRH1oxR=Hts3s#vI-g+?Uo|LwwlgvTK|JvwDMm$=&s;p> z@`~kR{>^pSJtO;bZTe8JbJrf6f5*2NheN8(TyiwcykWt##~V8H!KpNRm3wCH57$R! zfeSaN?}-&|Y{O@noB0s0J3KW-)ky#)oBt15?-oqf6&b1WxN?v(EqBZs+SquAyM4b$ zzq|?4FL7gNEMn8gCAMn6w1}R&(1f)&3D+2VCzC#{DeV!oXD*)tqf?G1Ui&HI#$b*K z&*Sg8Otv#-o|EF0SRhVLzsezNkS7$k@HVH*z8q`1{8SyL$~$OWB~jWC}+R6mjvH2<|JzUG3f;lvo_oI9unFn!WXhfQ+OJwc8Im4poX%9%`alfH~F-~3gbo;$z z4CS*BvEkpvZBIEq;qugFmi2rWW9>snql08!Sq8)V3ydyb4inS=bqXbx;*1-$WuQ%7 zoTpPL_aGXdaQcuijX?Q0W(mn^2LZigeVB4IkkBa3PMyd07*VEQhfrlW2h;KofSLC9 z*dF1fSSuJKKHQW8->rR@UIlWJ^&;A!xXptPRkugO{Kt7Cak)Yt+q+~VcILyFkH$({ z8m_iIs#^Tm>RuAM)+GZ!&ZTlL{%Mc4`?$uhT;*{Jn2VwR;rY;M&()pto;1mq(7HS# zvAvQo=RP7~n)S!^g668on0Z~AE61jkhA(`vn%%6Ayt>S@kzYu$4)^w9Yh^uOxt>=? ztNeU4fz0Vw9>|=}%ANApazK4y%wBlKhCct+3Xk3DF;8IUa?@tLOmM3fEf)BT$oGiyARqUqR;qFy6srT=Ih$BW%?g*!&8@k zf9BE+5PKjohBIU47LSZ{1{9IgIeG_3C) zBwa#zCa{(-IE1&e1liM_GIPgd~n^({s6(4 zelIw!bq9~G4|2q;HLmyR7lw4!^EtuW2RU|WBh$FH_UyEBE5kiKu|E8Rft-K4N9H;f z7Wd(m__8fWFrK>g<>S>!vQthlcWKNjODhgudu&=WJ{E)-i?egqpR=<(aK+DgFRj&_ zr%*<*soIs;*M83qvb1OV>e1G%KlI9xzNZh`(9k5YDveq6%<>Va?Z&a zi;HXOI>=`%jKjlw=A7=!GXrlQ#R(eA>RV{_yIi?qqmEV#^U-QEI$CoPqD@Y=qcz{d z(VBblXrzYD2f1_jH@VxcZextm1RM-cAq$45a^o(o{?%)*zW1Y1PCI6DXU}^v-_I=etd!dxI7#~jTpwP^gf?}Zox>M$=hFI~tN(R&4zDhYRmXA<*@fl`oju5I zJ95~mE*%SPbTh}d^YInLAmFN^P-8{*I7hftT9aO$!}&RD2)Tke^$u)TIZoVs&g zUhMjmgIii!;~vX9IFqZ$9( zNvE~t9?b(5?RWCsT)E~@I~r%q)NP(3(IE%ht?c<{>|Ch zJI|Z|8M?{KX2=q4~E zwyux8YiSUpL-4dM4;WU4rx*N?y+9KmT@4ClZsy~Nf&C>zu7;G6JN zVxcX!c2VAsx%Zg~M`ob@&Kbe9J~E9HJH8lW0pspo; zHW$YBVQ~y!s427l1g6fMGtKpU;d~k$=gIlhc{?=S&|LCE1Fjufb3owf1y)YEVj+~{ zA|1X=p5rSg+NkZx+cSMINza;;Wp(@DluLVjvx)CvHM7LGT=O_wxxPt#wB1LdT<3gd zx}Nm{QbtA>_Brcbn#EZ!QWMOXZ3WH#I51~ zhNl-4*ZDZ8!qC>&0T(o9!~yoYKbWuP9H*8AduiST*>#Nr*ljrm==tAs33SYs%eE&t zXv(dfM*iKMUxul!XR74#&x?@LhX}ZtH^zb)-!t}8r|#$hzvAMX|0RZnFASEW52B6i z$eTB|*C(fI#^*KyI)3CI+T0Zv%N^W}$*={(mwV^7_5(EVt@Ls4+=k}KweeS=6|+dU z1cc8TM`Lh*>_ZSx@w@5*$z{&bnuFvbAM~8|(0Zp_xz5o=O;p!#zzTd%d&G#!0v6Et zNo0iH|0P9K2V-sG+)f|772Pw+^_^v?NT#Lo<=pX^U*-epHXi%9@QU71Xm)zb-60m5 z>?)Q2ND+NIn2cjtwcmT{BWKga_f$T(_@4T}k5*j`4P!DssI#^oz=I)-K5J5X zm61!(NcibPN{p&@?(6G6eeunw?|%92%~zlO_VYLIzB0SNe#biei%-9P z_x3l$w9npt`M*p2`PG+SzI^fbkKcdz_|FgTe|Y(VtyTv0w=Z9O^2xj3fAjLif2h{O q%NPHz5x)Mzhxh+@_4B}9|MA=RKYaJ`ulIcnoZ(y0pZ?VZVb|!xMezi{qawCL$ItCU!Pv7zPzj z2U8*jWfvz?Lt7Yrei-Nf_B^uBeW49h78X9+?6Wvn?9DdMt<6TsP)7topyRSuJf=E zt2}l0i+>bdzi+PJUUvN1bpO-wXWjXd%O0BuX!|=!@2aBmaNNe#>#VYnPXnQ#+}W~X z;qY-#n0a804nnUyHjn4*JWA!OdawEg#N-|pkLyds;Tc9tpvO=iQ1$y9m2zo}rj|!F z*e|G7=YqCEZV{)%D(PQ+zi84|;I%7P`EjZ?_0)iQ)kq`~jAM z-^LCnsrdhlV+Ou@d=qTkn&rY|aGzrQUiYT1!Qbif@tf<-%MsyWSo+RqDyzZequTKH z5`41}Hs50W#`K;>IkXmGjb`p`Y$~tkt@|)e0DLm;ImO7$)&&T+d9gazwEQjnymSTV z(!VD^zFNP_ZcD%0Z+DxEdZcu(B=?}Sx5-Z9)sj&uv?lNAMG2kz!NkBXvqZxm4nAMl ze>)K13Q`S;w4uQe?(O*jQI8=SKiDy##2X;cb?6TX%b7*%Bqq5{8PcVYyb6w)H>wqo z&hBV}6^B0QFXYqq?xIwIE0&2Ws8o)|tSPfDH-(n1R3fSKoxAplaEF!?q*Z*!3CBXm z18;KpEpGS0LC3z(G}J3Sb_X9W1*?MTiP;jBX}pgy#m;#1SB5d|pUx7bN@d7FD-8;M zSXjpO;Op1WN5fzhU}?u!VA>AUjN0hKKI30W1fe{P?{@0~m~)qXuJCqS;nx`&)%xVs zOB*11?L$O{UVr=rI12dh?Y!Vi4O$7#(xcCqu*eN^9>%*D_BC6HwITMFjNU6Pxx*B5 zUM$g5Yxccu;6Jy7D%NN|{O5z0-ZTCb@xc&gUwILP4q|m;k>m>n zL%`#(T0CtA*htt0f1*TueC9S=P3H>%M!^E-*|CFRGS}z-ne}D<@AUuMao8o|g@zUU zG7p0}VOsnh2ulik;QMTTr-5TIe8LZ#V(k2}Bg+|ybZSU$A53k?9F02EtFV3;B`hKVI&SdP?nMW%Yp1AY)*czALs2raySpK$>Co6FP5^060Pa z{RS_j$iU83fC_L!=&hklv)v>RNBzACBP=F>dKF`lU|jxj<}oJ(eR&9X2Vuu@{Smo3 z%`kokp$@C1CxB<9)B#c-vCERc57L|P3}J_%^)l`?&1})$U{#6py8_dewnJ7el1Vv6 zkO!@%Mo=Fd@e={is}RMh(P$>_-4CL_pQ z2zv$ukK;w+VZa;|!7I-XjD8L5hzc5+55NRYaQbfiBjkP*iA$HOr)s@&Ym>406JW z73;zqE$9VPh-yGKt89oi8_7t1&y_uNOokQZ!W=E)#T_m03!#ja15-+r1A(gaYRD}k zsx@(p?SM0jlb-wnJKt|o#1elQ&a&eYJrz74HXx2{0;3>s2aB>-UskFurrr2)l#P zh`p22NLYnbXIcfim#7I#k*EoTRr&*Hav+{P!_o!EEZz)0+c7Gt+(9Yg=q;A84;fSX zBTT9jdq>_1qHHJjLcb28PSS;5E!+-KE!K{4qSp#vE#$?c6LkmapnHn{L|iBkq$;u0 zXH_H+hU@SLUt%keXGg5aUC8*<_6d4D- z-w#&kMh1zz&(ylP+su|SQhpM2qKYfFl2&VXn4Gxu?vrlU^^&* z8Va!cGzU zBIb&}@E5*rgBKC@5)={k+b8&eF%LDZD! z1x)S4N%R=p)m%{&`3En>f8gthzkDnF4B$|n0swo!o(SyN7JaRV@B#jZ=pE;Q)&|lb7f4(Ywblv0?8+@tQpm#cgBe)J(s}D_zJs0_jZNS5V@uPLV-wN@g9LkhFGAWNea-fKQ=&n8$o)MBKvu;I){n^DLr(?F@RNO{G{Eys0 zz;7UC+KY*(3sNW%!ZyXbkg!xRhKVI>GW_)vdr`4O6^vbD{el>@ai0iPL z;3yOV_|wSngSw6u`BGvfP&E+VOhDavN&@kP+DzY4vPOG>(tDvXkmqy*I0|3gx1t5V z{HbjH|WMD zKFdll#qoG)gcDoAxSzo5K`wqa`_g>-&~FyJ`&r1}r(u5*jo>34YgYkz1A| z+TmxfX3)R4=(vDF=yt*db3`eeYXO-BCq}K%M8U)hGGkRcv3Kn5qs3+#_5^nuE6KF0 zYUJh`SCL}Lme@@Ua}gMa^-i$NFx1y9%+|!0sVgtQp1B*nhLG7cO% zF}^wT^w91@QNnh^ZtQpBEtw^Lj>4~v;jyr8jY|TThW)QtIrcl&T-E#Q$#LjK`+ILenR%Fk&mIo5^j!emc`WEI6!hE&Z9+Kj|}K{ioR@ z5-AC8CL-=S{)GFm{OoS9ANrAuF(*P^llyE|1`taGf9X#8FyZ3>44olw&CP!Zy?Xx* ziP(Qa;{2~8e}A_fjfJMP>}vJ9glp}Lh98F&T=cdF95kvaT?oXFaQFBCg^bf zT437M$(KdR+{F+-Uq}QogwL#`JLB$_ZsbtxG>geiu;_CL(ttT*f_%^@5stiYFa*{v zL&h!X=d_U+BNn_^As9q5c#u^uNFp#e!n>So8vDobi})$g)=FW_!5@xTA$_X9plTTY zTfKdi7JuX9Zq!)g;DU+e3SmW95Na~dR0v~i*05r_NQFV#6+=72_cI`Q6G&ZjrHq3{ z>cy?KFX%b0<2312BJwb6CV%H?hgPLrn*1Vb=0q^TC9%jwQ1}&%P90EQ6~l2OMZO9fHT1)*0&h`8MH%uz3-(B_ zK?5d5P-&)2G@3(!%L@5wd$A>KT@6T!>1oiN6Z1m)j7G%oseLk^?Gy9B`00+oQC3YA z7#*rgDoGnw>KAGYHchQ`6`>d`z)583n5KdI`3Sh0GZ~6Sl)9CFJ}|e&k@d@7dVI8` zMG$+Lj%i^3`pNhHZ#)@A$Plwv-Fy>%F2Z==(@-<$IYT7 z73mWDY#OyxlB^19sF&;Z9o2oE2&ocy{#UILy#?dVe@pVSk*k4JT^y?~24ya54VXA| zSgw-mu&LKvcPm{usV#T2?zL)|HNvRX7{`c0prwQ*O9PkH>e6h^UuSZ^NP-k!5|E+9 zzb?S<>NfX{(+4o^evt?|Yc}Q8htR))Et^ite>Q7}rG>D2!SB}wODr~6(GNb0mNg3|bd?3++lsrIj81B5uHf%#vUj>=^tNv^ z_lUSP;y&_o2GbwDN8T}CSaSQq645@~e^PZizu>jNd?RwEyMIPEAE(@PASeM_70a1h zw~fAV;}`Q5+(zMCdBsAB);W8QBpZ*d+d`~1`@*k^;m@asQQwW>>3^~SSDhgPq@0GRc`Rb6-{|6=7eawF{p+Y+O_6n6PfZ{{+>g zcyuK+{)Y%eO+wOM%w}s?2^Ki^oIH8y!>0;c+HL&erVegfvkBBMiMQZV_`;vfsx1GK zB88XB`+s;5e0V#{F(?cboS6Clb?a5w$~|yGl#Yt$e!^vdm%x6!vejpt!+7M$?ED;n zjId(U;!$P~(SpaGH{te%=wjK84UhAoo!~DO(EU64gFgCaz*s&O+#~{4Zz!xsmtW6P z&fd~m*JQlFa8|IkUoyQN2i+l9_}rxnR0ApXsP_>Hh^iKXb`a0I3$1G+7U}|+>4nJKOw=W?M=bD zq=5RI>0iIQGf4f;hm2-Eu%G{fDXuR85Tee6{=WyycGSXmZ&~-isl)r1PJYBpW%e&_ zr9~OVPq&6YeEtt@RT^DAzX9j*U@>z0OiB_cx4%ZJ7AFB_zcgZ>q+zidDZ z#Rt9Gv*dyacJAljOL$elWorHfu$!A3$fGq4LdLpV}9}OF$5j(Ki>bB%i>pckz5Y8X5+M=qrYn6HNbLs4wgb2F5-*2Ha%acgs4)5C9jeefo>pOSt0b ziu1e!LV&<@ag4xA7w}h|xy#Xb{*ZgGABhu-{MUQJ|9D>m_WyhD7Am}>Ko)VB9JQ*# zs-}TOi0wZ35>{SrRP0S#;y{*(IT<;VhWZxjS?55DGZ`5c)xWdn-}JHX_hWGLA4X=n zc|kc%l>8)(83PA*OWVlZ0_H*62JwA;I9qCY4Dfqc?|wFYhq%KJ(0+f3jd;9}jXDtV z^P`{0lK*7yAR(01Qm^I{5Z($sogE^4-vqiXCb$N?(yLFs5cGr@4=^29a(>Blytv+f zpV$0-oWgJ{$=MbsW5F5Xqpzpur$_taFgb2j1uN?QOy5Z>vSlj3=j8z0%HH!=>YGN* z=5yQirj;D+e2qJ=K&*DxE#yyI*LBrO2j-pAe^NiawmSPGOX;PKs|NFhvrEq05Hfoj z5|fe&o3*US;)=+;s>tCuzwcWxWXc`B3Uh(~Cy7=S5)7;{t3C1i+IdC4Ft5_MW`q+( z&;J-_3K;*IPqwDzU+q>E_(DK_UM<2uloQ_lCEmWoO&$4+rRp{PdZFMJatDlG^;_`o z-&2%`cZ50;d6({nTD^93(T{Z0(f17Xp?fnz-`~9-8BXzOPIWbxdEC}j^S*%4`7aQZ z330?eWvSkmb%=o8*MVC)%0TV@>LVRZWfd(AzTOEvEe(z?SEr4K=}N%w`tpNdDa$$% zN@{9a>Kj4i-ymztKS_9%3OdR-1cjD1qc~qkkk&ag%t5{u}@c^X&h`*u) zm=FJ#@sAO|(2S|n0sEuh_Z97)F1C;hy@VY-MpX?Vi;q@?y)$A{?7_fj#FB>kQeR7j zvur*=T}MHayUapCQqfRy2CDH_Y=;$OwhY+6%x~5l1CfU~`-dP@m~jO2=2?noJ6Nc- zce;R7433VFqhFo1(WO7aQDisc4q~%V@NJJXlzmvL|(7Ya&1{wh=oEYOgd^zdN?f=gV259 zOa1c{{!#ydPJQ|OxUv1Z7zr>4NRmK7Pe>3(EKDper}fqZ5qVrpN^DJj*L6!$d0Y;s z<<;x|e^$Mb#d|n#E+{CM2`}Lpw{KxiApa1{uM6 zKNcn!a5wm=7qszsFe|1Pz@RL|kP+0Z4}=plwJ+3xswzBY8bn*t|B{Ugc`-Z+P}n~P zNZaSW)t4v+(iqi4GXEBCJjzvzl-m3308O*BJQKI$9pmzuN5%pY(~gw=j?2WKYCop!P&Mbv z0#<%%*}8?#9XxpWeh4Cjl-W}<2&he0x*K-NZ~ff$dzY4igu_!&(_`|G_~vXC6Y%w4 zQ8YdW0eLnIqvg$sGEj+no}w}=V*`R5?UVo7rr%wafBycx%bWKEa3qWRuPOom!5h;f zI^BAYnKsxdO~%jj_Dq(FQu!>G)hp43+JY1j2=(MNcsvEI*&cmI#V!8p{>?5Jqi4^V zbqP+iap)&sy|}dlmt|YE1tWGUv0%ML$)CN>U@y_Ju^ISsLuM4A8ZPUt-7Y-bkf?_) zQt3Hx#oLp?1gW=xsO5v4*tQcVAc;q>(+c$sI zV4w`ySkm3a6V(xsl(xT39MNu9klnB_vHQ4eM$+B|%~mLJijdD-&*|obtx)`#G-0*d zH_>E&{dh$(B343cmlihKWPz+WK@z``+=reIx0Pyr-dufe!j?LlkEeP(YBGzZfqZnT zyx3J^PvcKn(d(>lu1J=L!`evZLeAsM^UzGLGr*;x&e4^#?c*+U(wj7SadDTamNrem z%xw>=>c#AQ09qNKf!k8iOfIQrm}2NfepfYn#38_)Su(DNCU;s$`V$rxb7Dw(&swHG zS(;>mU*E{uis4Bt9Jh2qlysEZztL^7OfU%)r&MQlS2m<8{Ljf+sbo_LPxGkFs3@B9 z(a2k@FYQqM+;RvNKA*Hj)X%*H;>4XcLmNq1w5js!#OH%)&X)Bla)l-^>2F6n=v*A- zgj^kp_%d^r&qe7qVt|-Wp#6a3j~={SW{M<|gUU}JoMNBZKC4y%T=}8B(SG6IB<%?v zvUM?l<%iyGUwWIppECzmSnA8ZjM%Skau?f1=N}RwdfQp6C=Tp)WVmuQlJ?;{HvTMR zKlYj=?btUOXgY?uaxN`LE>9@=d46QC{~ictp&I7)!&opS>~e5OZ2bt|xSe~YkcpAw z^c9o!aM?ST*^*0lTUPV(_Z;q)p|vE`1iZG%MBm=pFfbpC$Bw%cib=veKP6!)Pg&26 zj-=3rh76M}tnAG;E+R#~g@>*x=}#-!xRz;@WQ_J*16soInte5Hlf?*dX$M-N=ge5y zaS+zRt5T~Se9S`e5a+B&b`m7hV@X*E{cX#24_N14lAzD)6IelS^tq`TJT=7p$@QZ0 z*H>Dmu+Us$VvK+8FvT?B9yJ!@OvZ*SSnR1?QeBo09Tb|IW0%r3U6A`bjZZW!tHX|N zcl-WykvHD!B;UTR%M9s>td&?9$+AjH+HIQIU%9p*(_$f#Ot5SaH;N8MmQ{2D zoXA2u0p_vUv{mO#IAkg<51}}m9wJstc-Pe%*rF?fik(~yf!48`pPgvP>6{A&?d$~r|*@?R-5+>*jGPC8ZC5|prM(Oql zQZ82@@N#Bmax7VQh8ipb;ssmdg!`c0bYZ4-J z@ge>+JhRC%lMv&Qb2!|ywFj))rJ#nsC#ZRT$8aT6WtP|w9zJ4B!%#5PmUYNE!$_zy z$x~AiOO!f$A>|{1E~N$Q_Q#DpGO*;5tXwo+jv=|a_1s>PV5o(oj8fL)^I*oHO))Ws zIzN|boGYzolP^hpK|G39O#mw^ogY9q0|m0+QOw;|&!j{iXICKE{NIlh+L*X}`I8i%_N?UgRA^!E>YtOi zlg(id$K#ZCGK=1FFMU_VfVCl&trNHHZK6pK4N-504a|6bXsHH==FmSG-nfpfKhl5_&NFB1zdKzz>b3TML%`9S7TMPi}hu2F* zO#I4?aiXgV8xC11&fOcPI}f>bsl-4`o-CUx#jfLh9ZZzolXY!>6QMe9q0OD7Z78gl z6m@c9kBWU~tS8CcUq5I~%H_>q4n6_zRA{^%c)MQig5IYVpo=NA&=RvP8jCCa{=Nd6 zN*_kmqzNAIwwWw%m%grvGAXl4F2gk!hriZhxmvL!){Us!sv`&+9d{dQ;S}=JZ$?Ei zKlEr7=_$-`vF#1egTveE;L1f^{f&9WH6bD#$4Fgf4WnQ@AGkt+V8uz8?J|TLV_c6iWY_>4T?HOGNZ{-Bde>E9%J=nm z>^Q?w!SOcF#Wb}WqJ(K;EgH091`piKB%5MY_t&BVFm2?6+&Q-Ne%OAs18Q_psmZky zTqhG5t~Lz^(W`e}yC*02W!EP*!E-Awh!PZ-F%mVfFGWkuZ;24??CDZ&@b1_2ypovg zK3q!u7Z9+-7RS{`Iq?I%*GlBy`qs2=jJ`cy?t>HY4x^?+7R=M58%5JxEBvh>9dT7}bH96E6 zpSxbV+N_REn4*8v)+E!*jtseBL2eO1t!tB7ZQbJdtmJS~fvaJ4ns?*Jw4GPtZ{g|@ zsLv~HyIEJjee{#&B+wG*Bz%M+V^Wyvr_s2jK^kJj2H3!jF-c%~qZ`)EgDN0 z3DS#H7(qEt8F~N%DyI=eKmaCi%#TVeYI8$f%XqlRIG4MAV|=i7=huAeH7hURm)OmI zxv%KjpAbtzIs(Idb9iul4y2cmw1vA`gRV@Mm~9l=H#P3kMZOE7n(i4}r3$R3-?4LHV(jtC! zpmI<}Zg}wwQAX;zv;1wn*uGl2HYDTZfkV1}tZ#ML4UA%vHnXhJ#Fl%PD~akz)LD(` zA>rDsQMGCG{d({^9og3!P2;~u&E5`1HgLuPA&>PeU1E7WLVDyP44i)NOZ(F`% zi`I(AFfQw7gaxQ#@edQ#9s0xu2AgJ(^Y`J1;p%2vK9RwJJhIZawTiY%kch*VIlAKY zkPFfZF;k_(IGOf#@7Oi`USu~~eUqP4|6~pHYt8LQ(H8m6(Zb%KH}}SXwy__3xco5f zK=I532ENa$1k98ecji=Pz6MH6G_9a3`4` z12`TIyeJR|TLYWLdjT!sZRWa@+8&y=|FLEwvx00*+PaEirr84VTLd@HShHpb-<>VI zx~OuZfCj`^Bns4K`0XyX;nb1F5Lz~J0xAZu7Xy=xFEzi%#1UQrVjfC8*9I}moF(#J z3w`ahN+`&tA_sBi6P;WJH-R=z-eRt}gludVHL-ove32E|$(pW&ybX8SB|~ja zOo`PpC9hqh8H9LIwdLugeW^m*%DQi_z4o`VDX!TsES6I!6SuG-#6D*YUUN~WjgWG- z2~a{`R&!C?`jA0os%UwMkAQZg=ER-^2C=wRsShdjCN}M7!+rb0(rFjJ<+9aPXxpO9 zgJ8yInnRJrXPPmJ!qk#yB3j6~=S!)hvEiF^hPZ0RJUzr`TK#UlsT=5!L+gquT)qk_ zhPVUA@Pgcfa`$kaBTst5+x?>k-f9Ss*aOeW1;Jag@H*N+ATwwP4UFAjpfMC8{?y_Z zh16Y{R9v3=^%1Mx(E{E;7lOG>8-h8#anw(FCVx?0Ijyky$sEZIjfTR)Bjfa(&XfL7 z0&Y@7j)`9rPc23bQ@I`S)sAvnxH$sUTpZlg>#Qb+$Zk_b9pR$lY<=T1b5@yV`sncKZ-e^o{=s*0WAWMPe+ zf()G|S!bGHDe^d(d2RG#!;dsKI1&i-7t<3Cd3Z<+I2Zfl%IUHPFzIEf{3J~zhjUTi z+VKsa)8%YDrf0cVHFNW<#zVQbC&gv%P?2dC6BqP30Kdl!Fu!XnobB-fBmdPI;O3Dz zbQTA(&cU6L&Qjs`tvAGEXC8W#n$<7Mg^R-THBGs}!M)=v6DiUPxM*C2D`79ZPE z>znM{84Grg_dCN#mH4dUbg!*FaU_u@^nH^LGp8X=IEtax5LF{Qg_?@`{L(J;ViITh z6anenxd-0tn&1={u9{war0I*1saXzv@m_5Q2N5gH4ugsbvWIT}fXM^*-tkQ`#mNnfNFQ7VOkMy8Zci za7NTk79Qf2poWd`471F!z3* zeYbaV2?IL#^xIMg{`J8nXfjv1Q)?R`F#3LWC`ROmcwHU5IDSHY5&|bK0hG9#1{LNe zTxaln1dM*&+88)8g+TArK877lk4Vwcq;+ORWa)tr56e?@2SNYz*k8U_(tZ*j zqq>n}s&6d)>GM#G#y#s|-nf3?e^3ai$de@4ma?>mHUN2u%!|u}X`T-B50CgBcP$Cn z9L_M0B%drhOgp$#rM~vRqt(eE1OoH=lLkV}amerYac-zR^KeHecK!OhhCdZNM4!6s z5OVJ|Ytifihqv&U4kt&QZEAbLjnjKd$5I7YQiJ%_%*d|>uN>6F0S z_c!g{@5;^&U$9+ttobi}OsPnodU4`E?^xNq$88hvHvGCG=?oj=xgtQ0Oh|WxPJ+si zqX!&FIl;E&*$~CWt5qF*yCHZ6LthgZ;lQh~mD_#eO#8`FLFvvfr{zDgG0_zVIv=j~TNO=<`i~i~*qb>u_neKGI+P3Yr0i>ih`BF~_5(RmexiN9s*j$z^FfWGB?# z->vp)d+lP{Pvo`z;qYN`zGHj9I)WR@wpGgJ9Zv^i=Uy)y?-8t12JM`Okctd2QohX6 zFhWuvq!Z2OiQg8*JF@!WTo3Af61VSc(+~+;%Z}*?+J?MNrZc&F=uG2a=gzbAyX&{_ z#F+=F{Z5V#u|R6g-q9`1v-FdzPojUIf0TcmK(Bw4v=k#0F|m3Cw4-4aqjalatN4?| zlSDQZKZ73;c2V){y;9bldNv9Coa|ywb>-Zz8QaA!k}0uE9~}0+)Jcw)5xoy9Kh_>- zy8|h32CeTs-~DpIZ=WLZoTys@*L!t^wAy&DjVz%!Lb4(Bp_tCk%v-(NK-ZD{NHPRV z`bp?-Cj8K3#ATISAUCP%I4qwAx88gdsLfVBYIdS=bA?}nN)8$g2OUd2fUg{JiG$g5QhlpRD zQ%ex+e23H#KYi*bnrd6x2Juj2>|J}?TYkZ8%ezGlWf-6`A&w28+4j;%Xvd*Pwhq}! zat@$ah-Wzee&WWZPa^8zi!POtK{?01cf1+qWWXtatjs&YRZ5~SBM$9|N$;MYjT9d| zImqM(Ayu~}T*(?^9qcU1B2*4a#Jj~0ZdODP#G`!F>sh{5!0jvB$i5Z4E{JLUj#U6g+PUbjsT<85k z8XoIwAm@P=M$&~}6O8-|Z-wGywUr0e4Jp)@7t5ueA4E?R<fgTzyfFr zB#pdsPgS-@ElLGrwO>?`e@_IP(S*40am)*2&)HfC)Rv&e^7lM7&M5mGdSQI>pQ%qm zPO5lp+(q41qZ5Qg&BfhP`$Jxcj8YK1Y^?dBip+i`X{NbHf)wgFv@Z~RrNf%S{g(A` z(><~t1&O54Nv=TG)HDPS19eP}t2p-toz;nKQiBHu-%yO2R8^*@dk7lB zlDK|g)E-0Gf~d(GWxEQl zRkGb{zv!_*YzjxTp9!t{F2zut_WJ~$8aOMrdDMYH-eCjg@y0x3O-aA8f|>)LRKH1! zmbSiT!Ne$`hl~~ilw-pPA%p97uUV$@RtM9 z3e`37gE!dVDNSOsQkZzcQSTrc`y6LU_O0H@zqq}o9_2^Rz}Tzlr{4!%awt4^uJxXD zR_fI@Rcu;naxw;{@!ZX?gg|8La<<)Q4X)YlpeoPgDog#ky!GzDYL3P{aqSz6*R_4E z>_9970^3n?P=xT|B6emjWKy4R!^oiJV4%Z$5E2LNeMt(!3?iNl1lD@?oqrI{iy6+k z*GrAY;%_NcA1fgrm4^TiwzHBUo3A%2&ktyS`ovO5!nw$F`|^cMvmRym3yX}9dd#PO zWEr7$IZqBO!co|+lh{$kjuuqucaF6k@Ny)`41|dW-bRiwC8=xp!CoZzx}JtB@H+Z` z`qhFkNVAY#RiM3EussAKA30QWSgtif!`c8)bz_c3M6h`(!V61PGWZiH1U2G37h%tJ z5at(lQieo!RVUeb=gw`tAI=RiyiZB1K|EvSAD7j(mF+ors9T%o*CJ~RcZYd*p1hwa zJYsv0B7*sAMHy=XKe1Zl5-zliVXg&_CAraP2%HzN)TVXxSRGohA3&stqV?7^pQrGM|5Jl+volXuPC#Xre2%R9+F$=TJf(zeOA*5B1R&$;Lc z>5yoj_9!=PatXJ~dBL4JTd$n09O9tujwOdzSJn>#fzivgawz=>GagBg^bd7*LzN_} zTDbJ`B|*WTMjS5J`+mZ;9uQiOeQ%*w8?9Y&Z!MzsU2#?7XVa<`JI);Pib=nObW;;S z*|O@DgkgRQSG}gtq)DjD;>1EN`_s8>vj5fm+3st?ti=Wl#)J!axi+w?q@4*fQ(K8D zjQ0W%OpzJA&6}weLo4GlqXuJbX&7|a>Z+E1(&)?(W(z%21{k4OW*QV{Xw47xL8`3E zW})J5^l9+macC2C5i6?dmZLC7aUIpXu;u| z=f+^wcY&`&5N}}O=Ojj`+^R^^VN~xfI=KJt-vgNmCUff4BZCpoWvSg{n?3^z+1$32 zzA(MPCe0(Siq-{<)}4sft!qKi`yH(rYrKA>JOFn3J%M0MChl7zqZV`aF`FG}iV1qr z_rV``=!U&9jStmM>PR#TF|G>XR$;&?Wa4*9!f5xRGjrY5^{V+QLV;m?1d&?bBsq`< z)u|q3&#ux+-=Dg6Q~FH-^oZ|1=gtjRc+`$AXSGK`I>5MDC&vi#xK<|%(ZYkL^a~FY!BF{!egQo7Cg@;t z->z!%C4GTN`dOJm-3q6gp|E|O(~8#vc{Do@2j6kN<=ygxhXplbw3uCc)~V3qns`M_ ztd$nwuNc)-EFMuj5?f4nsWsQB-#yUBLo`jlS#OO@bDx#giXU)od29%u_^q{cxXSvZjA$L_i>SrQ< zH(Gu6wfm~!9zc>+PJDM{`LWlCF$T91vRMN$kH=Of)gyYV6%ML8)I=cS)|t8_j9<<} zC{EYaNZuo7z8h4Qsh#HV127;~L(1ay3w=@PT&bcoCqV<7`RlzGP{{38)8ELDY!#B( zu#((DkG9xHlb``va%*;X$xmOmYY=vnXOU6hbvM6hI*e8LdIMMeg$k^^~dkebZ~$ za8P}lz;!KDR!Y2j*%G0u+)#jatOJxxJ~bIrUTPPeUbVmmiFsoW=GQ#MygJpgj=gWJ z3LnQ5nK~siC1oM7n)wbz#B#C~UWF(la*;gte+pbQ~Q)HciGw($XU zuHU1(nEOA+JaoemI9uZoNV5qQ^`slLAide53<<<3>J{nG#ZACXNr*%vsL7*%Jyp)rqu4gVLpQ)vRnn2l_%ZoO?Vu{vB$5$j1v;eKULR|b+D93FLK$jd zSJlpTS2(E7=lF?UT*_@T^wNBWnd9A1$aZrw#o%Xm9pt%GW&8QGK%u;^HI-ADw4~#g zw?}ZfOLM5_yy3bdJC!@fYrnYhy-$C=z4D!qP)U0@97W-~_An4Ry&#u8BxQNc+{UJs zZ6Y&_=(rUM74D4tajK2z_$kKUu0@uOD1|xm*h&O_WsYeZsk|85=t@L-jKoR=Kkn#p zov3EhFEP!s^-zfKE9Lr&e~ds3a(B zR8wIzc5qeH5gawE(@?lzTB?UGt!ajtN39`?E=KpU+k(*>87()7)ieH#XGmkA3yFDzx8%Oh1k3mp7<&biCHL^->@0+gmkKkpOvuOz-u%n zb}n^jwN{VZk=Rzm9&J+$%0~y*0@A0)He*Ky+(3Nn0%4;vibEHK1eZPz=0cDiR7=Gg z#TY2LK#1<)LbPXzw;m%L`@0pGy`+RLTJB0WaW1`K@}u_M@<8F3N0Yuq>boX_`Zx(2f5O3M71Y& zy(V=9hc`&%81|HN=N3mdluNxQ(>pqx?C+S9JZU;}?bnAac3-OZ zYuy^MMW+3-Syxogb1bcgUjk=-D9dfjU;Va-8uM{!c#R=@#c$hvYICs@Q{JD%DHHYH z3;``sp4)OVJ~U*6wjb5M#-LwRhCEyDd2l@+U;>3QqJAP8wW4J;{Yz=lk)`XztT~m( z80*5*H14I67Ixu6-F-X6QgKsp2fNvFXYh@8gV!^`R?nl@N5~+>$9G%x z89hxEvt+53hz_LaM3Fn=c1|PYB7Y*&X1CVR?}j-is#!56!crzGTL7Sg!%_HZwo{7G*?S|Gve>En^Xh0Y48Rk&Il!E9v-=P6*3vsOU+Bu%gXg2 z?Fp0KO#`C^oeQ@akv84NiA#3+ns1wi+{Lb@=6-8=YS}V|M&YiEOKRM})^t$ffP`jR z_Zd)y4b8JH(FhC1dm~;`=4br2=u$lLo)MK2ecl1(Z?Ha%hnPm72vFzOMKMsRb z=?dEuIk~TKK4qgyr=A8E0Ht{o@LP^@XMIt6?Ux%5z5-p>dolL-v{^1^mPY~(pQdU2 z)G}-gryO-#wNsnfJRR?OJ@)JV-!cU0zupCI+bOr-s_<@XzR2at^#G}v#hXu~zcxI7 z%}RIg?_3iFdvmPM7cUkDR~Cqc@)}f`y2b0FOJ@Ub5)B7|}57FU@+N*SEiq;8j z&RNRF?wz-8{OPot_A0N8sE`%zg?ns+X%2N#P8TcgU#Yi~pnj}DYEzY>X39&6a+OHW zJz_?a1+Z^#^Zg7FO8xc5=HH|E+_q3g3IB;`CNGPC6(QV*$sbePw~lDrnGjVU*6DbK`!bs&f7@HG2Z+}7o#G< zvm}2o))Bd)z@np%GvMQL5*bIEmi`W)aucVpoYrKG|2~%H)9Sob8kJVvUHsl_UDHI^ zdU1Y+$)Mx97vyY*#p`!5U&&$9c~}wd>HmiZqmlF4fS zS#=JZ`@QQ{33+wSodSR@&MqLjCoZ;%2+fHF;Tf$NOQdY$_E*^&B4cxrRPG5lqHFTq zPoI-I=AlMr|HuX73sjB=7$w9#23qIXeT04ZALXU+9ZN;C!fW`tRsMPw)`l0Xcp~P;ym-$5IVKW z06~jBYy=tL|C6r5B)hxEaq|3aF>U)p7=YQ=x0*uU%Zda3P*P{-5pmNZS@kuak|&ug<>{oS%{w_HzVU!Y55 zzGHRE{!{x^{DMwkYZUQm^l;W(>uKG7imB+8MMEFjgBHK1ZSFL~>;Tt4roRX*=-UymsQ z-XSLsc`TA?3OWY)EjBFxM+6>&ROfi)S{rt2@R1p-GqfdcMs(`>eh4Z7XFq9pMNjk;H8ERMW`6&zH52)YNnMUkMrzqSg3Sp;MvK*c>N)a4{cQCHey#c&@fm5C`h{wg z#adF$O;#5glj`4>E2QJwF*(_wrbJ6sN=5EHRw3q4=k_#F+JS881Uyo-tl?W{rgdXRQmQ; z?W?4>{`S)wSaCD}R~LEMI(_50qGGkWd$)P_?$u&?jWf;(N#oQB$>8ZT(o$?jO{-wx zW!<*a7xb2RT3esLqAvbFz~3ZGZG8WPvP71F;Fy;cf}^Xdw5p1^sgZA^q?APY2yc(@ zu>wiQbEUuIYTkP6;7{&*jr{e_F}ZqWQRgz{${&cr`KUbZsZ z@9p&6J!G&%Z+dS_5XMBSqYI+-`s?)4xxNd;<@$Q#wc@o#slcV@Jq3k9SB@TMVIOzm z_m97O(<$Mp*6Z!IU}0hJ-Vn$M;spu?gI16|Shl^Rtf!M+@}TZfSXo20CnhO$5-hc) zK1}3Ol`Gk(x|Jl#2$D=&DlyiR9F#4kY59j8_i&3Zdt9|TKc)oeaTNnSnnIabp~q5u zeXxz+vGxpEV=fqG@-UpaZ*zdn0$3z-&*tk^#oYq9jt1_QA)Z5!_csRUwV9<6;}0uU~M^sx@acJb82b56IA~%TJjw z=9+uj-yloRijABxc-oI{Zr>lau<%`Em zxrF-U7oeK~*6v6^i;)|?!^Q0iqZ41_~tP1TMwoYmfi;^LgkY&Y5N;_o!y71%%? z;5JzQV77w;e5ynB3G_B~bFT_E?F;v+P%Sus{Pv*2`-6gBjag2CSQzJLzK}b6(cRgL z?#^6vL$x~=mI=ybwrQ%C8K=nJ;M|$%r%~c{>;mGo#7M@3x4P30q14g4plGL`?@t&| zt_c=ZRRn(nwKbi`dZnjzbEa?CT>ZH=H}rwvE`MP2yR`BPDnVX!$zkh zLhDmQ+n@emfBPSQ@&p`{ZoAy56 zcm3`6w|{=y_V!O2chDKgJ*dNG;JCNobxF@aY~c_YDW^xLRSjA|b{{s14!`f59YrD9 zF?teWH(2y+NazjhKD>veutCK%gfEn%X1i52WW1DWdb)^%O8t)q)jzX?>Z|Oa3Larl zWj5;$8%h29jl3i=i1+JcNfu>679_97=iwxy0cC)Jmz*w#%kJVOKktqZn;D5m4n&B{ zV2wbW-Yup7*MBvs^EZKd9Ao*BlFW^+0vuWQkbgZkYq?jf47+{^X$#|5+HMv!nZw zap*I_M%d4;ms1jhvaHhqFVIV7&nM*qRNDaEH2##ZA#CCdK9iv5I&^&YoDxv-Jre8&G{ ze`$ zZ~?Y-DazNaC|~o*!|dFBeuv-5%`PBk$#!Dn^YS2Kb94C+`w%z>Ic-H#Yao!-VQYweCQsCso#!bjs7=*TU|^?exvX3hLp* zF+NI&`UAdzH^56uOwD)3LNPgCh(+@~rkn`4EcOUycG$x@+{zX6BP3wN!S1jk3F;#e z$m8iZ*O+rPPM=z;?3jqr;g1%?7Cw=e7cny@;-)2pn5jRdWXlpsZ?oIYZYI*r{9$(~ zt?Zc!D%S_*TMv`$F1N0TL(xtj1a#O06z*kK{#0)1n)a90|Ec}n))q4Pje7~XJ@!Q8 z?9IzoJ#|r}d^O>2yYh=+T-D>`;NJ7=x018|^eU-uJ*Vx5{gyXOJpH;!H{83s{i}vK zWyFd+@&HQvTq--rnDh+Kgq+~AI|m4SP;Xdoc*(#SM2<7cC>0N51-TO|$XQm{R4s>f zI*H!FW0NstfrODw$e8zEqCR>jo0JhYDXVKR5ff+3@_{kS2gWoXY^gSeGta{8N*J(F z|H0ssGlQ>_E^uW;QP@Pnrpcz+rsXDKNUbMcx1!@Am=4{LW~X>%nw!|;XccwEOhFKw zYV!uXstMB)o(F={ZCT{lfUG(+*QU2*=!1q)qz^pBef`u^2PJXa!H2jRUytEh4o<|g z*@=SbYV32KOlEiQ{vTyu0^me-H~!w6naq_;Cil77>?WH%0_>56C9tpoxtCKRoDon! zSU^~o8x&BvQBdnytypcV*2=L9tWYcZQI9HO54HMvefmlR$83RDPJ`fBBhFNqo?(6)30|UmVa%N3nG8o98`mHt}^i&-_ zo+i8wk<_5}fkSADP(^3>sw`@Km^;87;xN5qePus5 z2o3|b8l=Ev@DaEOIC~hscmOb*7OEw4)fK_D?%zj!4fBB%}ZQi^Q72c9fTrh?S5hsNW} z02`oGv+3%JH&LI)F+7T*m8G&2KO-Hhc<;1`0sZm7(I8K3@F9NX^C6u19fn^k zz016dRVTvrsmfQu?PK?FPqCj1oW#QZ?At8K{z1rIE0o#zyjwc?P)gJXOc|Gu`&$0l0BpupGmF^TUTY` zfH*M%_>v|Q%iyV?+>`CaJrh_|Cv+NyB@qAdwtHVhe}sJY0dz|@$bNMZGtx@*PWGA0 z_oEA+=U5FF*(zE~@VMp~ga}J64hUi5&p|&s#-lt}WsJ#V@;V*!Z|k^UeaE&ieV=V{ zA)~3ZM12E{p}uM)XGjMZeyZPL9`!#|L%kmWlNCRK%4pI+sFF2?jxf+GPXn_SO~?s~ z#YWXB%t$_fxfB*`YqgH)XXeRG^LAQh058~w@uX*~Jm zj$YZ&BudzH`n3Ayo2QA7G_IovFtVeIdCI&^bj#2!OSc@|3Iy9D1VU6R#!44a|CAoj zphUO4;W!k?02k8?GY*==lD*zaHypNtp@QugJeV{?h+K^V^dhHF8rXq~(kY9sew&Qx zqL&3E<`}7&eG zX0vN8>y@1rK}MXAvxoj+U|!@IJ?H}>ksEb?o=*) zC^v&U`R$i?j+y*J=JGeA*w?=8&wl(Z;Rp|58COi~MuaF;GG4>+E*L(|qiMTrD5iqf z?4}`jEU(>qEIwkh4WA~M=@wyqi5IXK5)jWYg2*By@&e0XHR9X68o?B(5sdNrG0dY( zCeBcwxV%cuX|#?t-ISjaTqZ2Tusm6wC$E&Z%A70|+OWD+8`gAb3%=!l&z5Dst4`-_ z*#d1Xo{2Y7e95$4x>A3(lQ4BMxs#>HV#p90_5(0W=M&wuabL7!x{#)TksL z!qdk`C52R-2G%8cERBf6?zj(wbs9)sjcC;-awN$sPWlS(F7zaJ+wXaGJ{UOd^v})W*fT&W6aiXw~~%e*wX%h`vXuw z0BSl>v)>Axs?!!vov>GU6&0FTy7{Q!;&4+HHJJP^Zz;}JY>w7#M zhW|5@N0td!7J_6lt$`!5ojN{ck?~P0nPCcQJ3vVtOZ;ZkI&M%wq7K;VQ7lplu7|te zfY;!tpLgVrKYuQF@`+bq(L4VM0~`K)=Nq|q&~M;!`0$IlpS=H3?%=Uk;H+Qe{*gNe z>tUcB%6I2J(LA1P7Sp-~cws+Hqg`lQ>O{?IvvamO+sVr25N71S%#PEdRfbr|-Jqe1*D7 zPjsPGn-YCG3_lz-c8M$Fh+?J=C`BO-DDU?>pz1s!gy*HC#D?L#GZR(bHDTFZGyj@< zHMa|H`sI%@<7;or?dDD@_JzlnpUP#kPcv}emN~b&EX3zLqx%l-ub6&ZpaL;;2JV>~ zdnAT@-Ub(vgKRNz+Bl1y5mOb{*AtHo<{CYNV&l2-p0TkEH!U(Fwu0NlY~=Pa`?!0+ zgUsXL=geE+E%ztj6VE4Je~^oVDsC{xX1Ke&_r~6ev1RV6SiL(L8|xh#99cXv(i}?* zGi=jbvx2jV(#6xm(@U3di(E@%n__na?}+`U_x+ep_CgnyMMnckK(d2t0!h~E^j2|$ zI2JMP3Wl$UdEFc^l`sxJhsXioqM?wLK|(adi~e3n<1auOe?dUYA9Hxg>^cmhbr>d~ zgHSJ-Uxz`ojyxJU#vy;WYHJm$DlyQg#6Y8xJmHqauz-;B^eTI)^-1Yf_Eq-KYY(|j z&`lGAT4~%u_ojK0z?M*7RbS2Mfef&U2<6sQfs~5_fVX;Mv1q8m?T%VeiedODrEOlc zhA3@Yb=pp7#YEL)Lz7vl2~g(t@Ue1Jfg_BNK7Mt8PV+Xx02*TD?B92;O8)5K$A0~C z?w5xS!;!BNK5%39!V}AXj>-Ss+@E0J{l#-;FMK!?-6 zquZ-955eS7DBYcVCx=hYy-_~cNAU4cEd76hIf56IqAe63OYE`&?E`|diWdpXi&>GD zTM2Z_(`}SE6Uao-q9y{E4L~*kduR8b+U@>&yua99T3&A>$3^A!s=l}Cd;I<%+lyk_ z`}llS-;?)KV=+*sj0=tnUn9>6E)T8}*DD*W+ofIB`z%jdJFTB7pITMS%fmLS(`K{U ztY*<3KqY>+WU`YwD9$U2Zjav=^8Bj%bV1zawC0)fctA-h<#S$)iHZ>Fg}1#^>GCd- zP)vEqWYk%j49-N|eLm{=Gtua4CLCQE-5O=0rC#)%ytzEP^ZvW+&h#&JlL#@azw2k$ zC6MpDSC9P=MONo}*!IQ;$!c2lq!m4J`5M%MAEGeOG5|?PS(8?Ekj*}bs1UG~>aYsd zMEt&_4eKg){I8^fNfn#OYH2b4&nq7@14l(4aRsO3Wj-H(R;+cvQc4&)f7SenSA=Fg zZjU3v^h21#Mku$XiFPHAqCIC`-}sx~PpFtSzWdUP({7yJx1{;M;G^5`op|44xf<@| zq*pdP^mb8MbmE#^E3Cb3-$0qqu3-`l8%8fC^^J47KV`q*eh+GxVKisO2FeR^x?%-z zJ}Vl}m#WX8&j9=w7*}Sr00#@;fB|FM+^Zu5G61LnsfzUV%=K&=vzleg z%KJ0P;8o06etgl$;t|nN<<~GX`8h??D|S1S2njq8C5!=3>9@0WwK1>|vmQ&|4T0SO z6ex3B`i9EOU>WD;@}W{L)K?6J+zeHnNZ25w#W%<mFTh(3b{)s6nB^Fq{nM!Ikg;G{H`IIA!h|3OgLb zr;#t_2uWMW5xEuo1mnbBskXgcrukG`F$OAOB~d#S1x>3Y&qGPL(qC6%{*J^lGHCG_ z!I+&`KIBt&g9F&}*+2HFgi-iv^`KB@!g(UBR6W{mNHQ97CuN#yHWNQjHkZgqVIn8$ zJ;|7uN!+BZJv0sr4=BY4PQU={8*umKs7t4>><&?F$julLNldO<;$R3R>hz#)w4A2Q z()uzzM(=WZ+^mPvGZAmGIVUW0U%6?;Q`bzMGdQW#NgAKo@%{I!SXwdo z*xEJc+E&y46g60@JxOxWpodzpCWGbj24FIx4E+BZWiT002L6AEGGPAAp%6wkKo^t{ zJ5gJESWB%sVG2W3O>&|kJO=f+&Sxo^@_9jL@?RSMwm%u%{<6W{bDAfITv0gwK%wgm zPdzp`&u1>EwC_Tb9+KP<&R}N^Nbq^{3otR^AMdjg$|YL}xF7=`0`! zXHRIr8AFCekMb5hQuQe5$C^ctGGR9iyC=KRJoifXRyX7RmsY~@w1oyt4S$3b)@$lC z=_whsBju&1#OfY2O?pas=_zGg#Io;^o|?nh9}YCzxz_Y>zK9i2%u( z=}e3Rn6T;g8jUW{K!c5)_u_)ww|{se_sz-|NB`ocw~uotFCY0Jcloh9pykiZq{~O2 zKX%=Vv{M8Su@*Lp)J~$SCkQG_V-ZMUK!b9`j8e2E9z;!Z^*Bd68obCQ|Zr zAa;MAmwJK$ccdk!#qultx)+QWVN}mqK?WfC4Q~-SP0!|pQ6svCfda>F%1+|@)UuQS zCkh-yT=fTM)ep|v5(%JrAxP?Xw3>repn@rrs?9a#dFI{1Zt;NmwE3c04x1;N5sPF2 z>FMwyG|SZQ+|)#q5AmlZQ49;5QxG_Si4Sp3gg6m@)t|!>5X6N7T!;i3w5v!?7T{Ll zfPjx7Oj%H>A~_eq{pb;d5P8KG<|cEfhMUJ7;7)TFIgaZ@yV~V>PiU^hR?=U9{Cicc zqle$u<<+`+=sA%jB~o)0I(yuKM}ZY1;r}@*+9BBqPU7D-Di)d#SKzY;OqoS{1(B5( zsN;Z?H+{F}k}~Y$91sMG&()hpa3jpkuBqHq^K91wZh?8J zYc;ppyvb$dT*NzX$4aaf(J^n*oM#@Ylo0F-F)YU+6OXY^!t6)1C|0Z4>9E_$vQ%Dd zS2wnEz#Arev)xAasaY;T3ZnxQh;P-g^>M#*b0bx91Q-BNqrvL}191oLB zKcBA??meOz4;jC2Le}riX8pdbcjCx}BQE4E2Ez$Xj0L@?l#${)q0lAXdxz0-r=p%Y zgEx(545)B}(cFsB+*Uvoc8QdWM0pHoWEo$gA{8|~&4mm>XAAPv{7%7DDP zvp^=MEM`0A1$fFJatV6IFmau0wBpcc#bL)gM*>D5$sC68N4ZTee-!l(l%VJH-%W}H z`(F5u+>NJlua)y2XYN(Z%bV`I=Zh%wVb-7f%m3`{V4nGA6r0%>UO4*O$8?T97E_jk znL#ZL8K?!}3abHkq;2`^b)|=H?D-dkp5%AVlqVhIT}zKO;qV$Sir+CYQdMcJVEUk2 zMwRwT$3WP?3={^611*D;{`LlkWOtC5w4H1eJrHft_k{uK)G`vG4jVD-b#R@GVr(T} zAy+9e`v7*3Fi0j>93xC+Gr}BsmNM0T16;_q2utN9%0l}ZcB4SdhIRIJjveeCevfny z+bNu|zr?;Oyu@6I)vQJWhGD#z{}EZonWYPPHdqrHLL9|5L>7b!!+EDCDqP;@wkAsFOF8G z5NIc`BaineIzkJsmNVA$5L!TSW_~Zy z>cZwIlug9lB`uS&fzX4ov;zh;#d@&Th6ZO}`wJ|YJaYK8F!-nJ3ACJG;wnd98%&DXOkLteQz{*lF&Lh)PS6&|5;-)rZG? zNmNQFDNgwxo(>?<^i-@Pn>cD0n{>N4UPn9p!2u1#IEH+Qs}lWf@|eqSu>Q-h&SakG zV1B+}+%JA{nZJQ#uXO)cZV5J>zW@xV)bnqeNM}OeW3L)UdyN@g2?Iv}sYN7{&EN|c zvrp!h+;R&*U|jcSY>*uaDnJ8MO0UsJw1`zci@&PUQdO0-3~)6B233u%%2+a0EtVx! z^J?~3c2qv-{-OU#i>ty&qbsM~{Rs3^-%}OGeWxnU_|8@Q&h;P>$t6hS~2T=H9t2xZC*+_NtZK)Rw64V zQmL%Obgrs+DbGBXR+J#)=|oSpdo_cX_{952((q~Cdz`@_5Ax3fMwUx;K}N2eug(X< z)Lxk%|199Om-R5DoCYS!K*~y@#QmTUSsM;`usx@Lx+0xa~=S}BKsMyqGLMEr-6L98x7-`q( zmo_Oh96@=e$xGu9v@e2*#z{>IjY-hNa#QWVo>XAc5>N9R#^Wj`G1|qjEAI`VvD5e` zpAZko`FK+oK0L4WgUf%oRrAUw^JS%!E0PR2&>A=an0VZ5Rw_H0z9h67ESH!%3Ny0T z;|V&oK304_L%fL=Y0=;q360StP2yE-puhd=%e9s-LlJc|x?L`(+Y^Z~CSE~Wi84Nk zX3cW8#K>J+HWVH9-uUM3T~AF`MNjE3gP!Zote8``e97V;$BJ&9 zHtOfwCvKbQR4o2znRH{{Av0UOt$UkO^T+jDfAQPxLk7YRDuQaogz7Q#W=|Tjj>OD% zU^*rlGb$)Tc1p*aAZNBlx&GWpj%zADT#Sl~OM{8v@Zieg1I4C6jz)K*f4qCVKO#Y ztL4^Ee`X?Y>N9$;Enk``L+CVw2jD??7+!>IF>Hd9AOndLln^Z>O*e`Nc|nTZka`ZG zonSYl*iB4=4nkJ+R5!V0=%oc7v=9>dLZcgcIr#|UuF|R~=xgUO@@IP!gcbsBqJ@T< zD$u$LBXkFpRK=wg*UPLaK#S{5yw*}Vo-~}T5sbkD5_KWuQbDA&oN;;zIz{yTS;wj) z*Bxq2<-UCGmrGIov^&>6{gX9opXN?x|Gt0H{@=9a{+4_DVR-NJ)ApWy?ah}+-TLJ2 z&zLSOH~eV6rU7`mFB&?fWE4(|24FP+)d0v86?)<7l`U3iCG2xDSc&BsYY)o2H^|CR zaq$8fYo3lZPdhpADj92@QvKOKyhH;s>X}R(`KNsvL^CW7UgfyTbB*H~&pgLG&kxZL znFlS8tB?E5g2gAbpe0NTx5m8Eveoia^D*(bbj)man|GN18!<}hTHeH=rWkM%Rp_H&b&*~ zuIM=)7V}L!;uV^MB#{g7R4VgEi!$D;+OdSNvtEj^*bK2#)+_V*Dzk!mOWOaao_3hc zhjIu4GmS@z{`Sm!xqqzs^X^}~Uwp{7W!A2z9>1;S4!GTO;v6i3($f%aJM?H^>9YU$ z{o5}Rjb{|b+>f-%YUH4tazk!z&6}lKjR;`#*%DfF=R*7ZKFsT=-%y%E~CLlP~qg8`4o3$5LwSF(UMbp+Y zRejS2Ra?q7&$iWu`6?k5jl0_Hgnikl21EF)%|r;xM!Btx20{o<*c5^jHY2;&W@Pc& zP8oNH8S84hkZ)*bbidQE?0DK9<&CH`$<82{H#q$~e~$l%@8(&8eUo^G4^a}PiUA+e zNSk6LRnB-n#YkVMesTeyB=$Fre`Mo@j8iMQ!2GiDydD}M|2>S7WUK~`Foa2B6Nteq zMFEnmaY~uDYMinuVNcSyNlAYq;<1d^u#Kd{7q-|LxbV!Dx7M`$;nsQgR<~!vPp?_~ zlP5N_I>-cn>pHE#)prRn{vu1yn`^Nc|pRKhI^3?{1*(dmi1*h2O z_^uAlw=eh453V<@cYTGv@~XfMtrm}GvYQ007M~i3caqQ-oFxDRw$V zr&`54=N<>uS*)Jy zPY|`U7#6!v84B_7v^$ZP2R$Xof+45XD4&W})f@O?pqb95Qt>oNe$S&&kfM;r)PfXw zXyF+_q28i46Q}7Y=kaS8oxY+joeBBQHuw##w@qWVwNdZqqL=j3T8cob2v8BV6-xuI zMh8xVH;KQ7Row)~EX!1&)~LwAs1obY*_#xg>`mg0a`L&?W~$@1M0KXMfW>Kx%>nW3~n*=t6O)gJn!Wdc+Bx-41QCPNW?vwx=ZDUbF+O`eGF$-B0I0huQg9Nhsb}VB|l9gr*Nl;IvtJ8Ac zBmEQo>l;Yc0Txt5PPs@^(W8$%;_%cz`CnPoIA%nxUY3-{ix~ohow>TuG@Ec z5t*f(05G4?xc94CHK-epn#)6~hdxeGd#Q}=&x~YFT3Gs$%j2u}2sX3L$#4)@gBGk~t8GacZOO0;tL?`pJ1B z^@q`}`_!5iN$i@c;H1F-J^t!AtuUEL!}Xr}0riL77u{&3`=I-m;vkG^>tn#u#9G}S_f5(}afCH$P76}yztPlffGAQRs^m{}jh^f+ z)LdHQM!{)oyg&yp=#t;4soKPCN3laODSVk?G6$eVz<2?On{6A2lUD74~HY!0(F5AwIn@_KOrnzIy(#f|sVkP^_yE2bIl%0zn-MQ(S zJ8sIJ!ZKqQMu-vjvTlvIUKkdSbAwKD&$om<)i&K*62%_^x|kt^j89&Xb0;IDpLaB=LZF zP&_Q27C#a%iaZdD#g*b#@e%!{kHv0LDi*OC&9jIRP0Xq8)A|*v(#*{em^c$FnfNje zu#d0@*~9E<_G8v`n!U&(z=qj3@e#{v)*G6}=24BMsK!d<7Ff!PSc4U@Ms5sCY(0sf z9XnCD65&?S)o=(}#S0h0$*d|2DfIpcoM0u+M4E`vr9ZSaUPu=)j8eNgIy%@d&Yk<# z#m2sU50lBQ7+)G_Rr9v*VoTmZ|2?>I1rFp-{hk@WVrC1j`ki?^k>==h(fZ|vfm$7N zeSd9VQ>*Q@C5KL@$}o?!a>d*u+(#Tc32!cPOfk2T+sbuwEPi?kF&a}KSE9_pg?a2F z0G`IS7%Jot|LoxqMFkv!PMa=41YLX>`Aps2Muw9UtM&6fkAASN%c{Y%73^3Pw0s00#dQ-G9<+Q>HrsK^RX3p_x|(5Vm&n8|kr3@>j0f7Nk-5)tD| zB8KRU=xUZT9Vvrv0!W+_s2DVXNmyu*%V`uq%T9r6RH%?;cXUa4+0djerJPh`YUocD z4d9EGb;9-SC4>~>6E79gf|kyGzQB2vDQuBNj87GepOPvP|yiPF*_YG zr`Z;Oc8kjpsD_^XFIi$rQYAl@w$LPFbFSt%w%7L)sz_o5fA^d9sc z_MY~#UIryxZUgt+1~$44{mo4|^j94=n_js6y{ejYg{o#NP}NwSAD>RyuT=dedT0yM z{Ew!*U83q+uQ%dG4+dKM*woddzqw5|Q4%CxVoYkxW>NysD%o|kBdudvu`bsdNQoB7 zjggDt>=dOq4W$auN%!&>;L^??ulZo!qmxysqiX4xwx6-F`wopRY#Z8f~zN&pg^RMo61x3OAUR z2tvI&$Uey3-#b!mwl}*+dgpL+#H-beJ>$OGyPR7tE>M@-m%A5u*Fl$P;w-b7soYd) zws{$|kXtA%GfSQz%iA!ABq_3mX|b0I(q)Z?%WB#g4N#v^fTA0pUj~V%-Xh%rYdGd9 zpqDagjj8o=M+%%yDbcd}8mt)eDj&wCd_E{eT#O%KPDwK#iGu*cih*Q`fm@31z8r>W z0GJhGBho&Dfck<#kRlbe{B`CqHi2Xw!vw;D~I~{7W+H(U!{M3(6@Qw8a6a z&0pBSdBo$pfBm1(z3Gd+ALY89J-Tzp(e~{-k0J*wzhiCgzp`h)xCMrw<@MKI|Lw0| z`wga$?bxn=31gKF(v>JugR31-WnqM^XRl(fVHdHhS(9iJL_xGTY@!7)0+dOV1{?BX z#Q^~drC|qjpwcVX8zU)ThNJ#p+hcic>z^rG0g;>NeDsnpnn|V=rwW=$W*>d#`%JR) zYUa`^(u|7`Z_-#P1gNj>RA`NRW);i?P7ncr`M%uA3@G6Hg*;y~zIjZa+kZTCNz?3W zhYlY;_*!R(jXl~rX3)>dM>ow|mHh+Z<4xV4F-Pzi*03R}Ip-Z3dAaT*iOCI=LMjTV zrLc8#tk*&YmkL^T^}vw=xU>K+DS*RyhQB$@mO4uZiQ~i((RAs;(#_%>;%(8NI)2{g zMaCj}{9aE@bDy_8TmVf&NUejCcaAVeoFmPV=a}bMT7(v{MQV{-%q^CVSVy^)ltV-- z2SjH{Gvx)b1r@6!tD{?^cS{eM@2a@3&pkDdOHY~~D}TJAJ@)IEyTVYsN(~@l08s;| z(8}uca|jSIfT#f!kqj(*C^<_gFEdN5KOA$ha=#)!39FR)`cTKTugN#bH`jN_cg|{GPV&8rr@;!&q$b~VS`YcmUa=J=7H>mJUhyYX}?IG0ebk|c~CTXYwVZS*= z%ZgA@(8aS_2%Va77YtMFLdrpKkq!2fi~Z0a^`#u%`a1IQI_i${YFolyd~|(6UzmK- z7bc(b(QvhoIu^;h!$dl1J~X>0xxGEjN2~Dljs=r%R>3Or)#Nf&MtxqDR#Ivrz~^)% zt4AD8A;#^xQ#;PTZrEF&_<{y;w#1cdSe3`h`=S2z0~)?l zTZDf}IW|oaXgX@$y=n9M{$+Q+^uVNH1FP=5=BDRn*$$iAmTYcuyQ>4YJ%3+%$xAn# zdlwD~E?u>7#E^)$tZwYKiK91E6vxMGy1{$(oU0om!6JtgO$^&SXVxRrpT^uO+WjS} z;vN7Vcq6qY!@aCGxmOuRu3&AR9@ta>OQhRate&pu8^yp@9|X{Bkst%ysu;IQCU=mL zt!gPKg_fR(kHHx2dP|hjw7tv>yLn-xIC37plHbZ7;8}o0{XzaPf0}=jH_C{7b4f~4MI$fWBMnzF>7L@mGz2OL>+g`rMYf7M)j=PxzV zSWvc+l!w_83H8;2a?-#N#!YLY8JYA7tV@Z@pk%Sw6iMu4`W{^-ndtH(b#>Lc&@S_6 zVIkt+wKdR{qG(MGQvKr_uUppVw%gi|9dpDhLXSS84qfX6w`-JA*^^;JE%TtfS4mT+-zL@gao%L}Cj0z6789LlD7SlF7{vhG*Wg)l= z)Hip5h2SppdWb6Tr*?veS_mFH8DmdA&B#M#v?p&Hc&KgQ$@_3U)co`i+rUDGyxRj$ zd!T2cpH^NGv%r7Rk5>8*`VafN{Va*5>shG=QkXT(p`G}c^NIsm{4^}SDSj-nqM|em;hB(+q8t_KnGSW7#lS$8QE>3XwYONQD~9D@)#|s^7OQBW12R< zb?sx5RJlX8-8f~+9fLa_>KLf~;=2dt&0Z4)gn)1a>QQF9+I$l`gL`$4b^yeTbwIwAY zwJo-!h>g^Gfou>KRqc2W^}R&=F=D8s9#r6sL@XDvw5%Su@dh8gm%6#4Up)xpjn!NU zD#VzS1pTEkV6>EmX*5%qAufW8&=O&ZxE`#7>(B;ay|_-=33s9$%x->{ut$6t+%MiK zJq>;=JqJ$kN2FK5uci0EThd>^e@owjOHv>FIFc8*r3w&}8l*`eC5c?h?yl!B8rK^u zos!Bf6H#^vx?5>^9iZ}#j0NrAK;2AuROqXSU;@UgTxC$ znJ76$Q34D?Wm;(rCrLok>Re2`Br*VU)n;fe6;i2`wq80697}OqImBToC5BN7mdc<1 zj-Ydw-Wa>FVbv9_Byl7ld?vT- zSLe%$z45<1o4b*XWpBG-#niQE7sbkw+!W@o7%Tng*Hj0#dh_v_d@hM$`Wt|iHHz`< zhB-%UHvL_T0hsgW=gm0-uowVg8Ncf70@WBwAto0|PAelbL7&xbl1+}3-5Qot=CGA& zY*t@&-2Z{!d)Dt$$&MNjRL2OkTZ7O_P`WLctZ=5Sha@ItNm-FKTv1c6k_~Sb?QV9bvuA%2<8*htSL%d%jMq z!D=BHk?4&QEqOZEA7k)G!R<3D2 z?Cr^EKc#ge=>Ci>EK1uQ($WuS_lL(Dl2U0yQo)?$m@8@1TQ$rioSn2T#8!2@*AKw* z+eiBQrKDv(t5uTdXi}`#EbMYE^Rj^!QRx&wmavVKZgCFo(>TUsi*a&p`HLUKON-jRuJl5+tb%BFvi*B#U>T`CJ@7yEGpYDP4k@$XQEVkx(bJb_fE`nNDF724FQ2 zo9fh`Q))S=K%k_QdCFGhqQcSS=_q+iSq-F{Av^@&B(MT>0|wAeHo#|9SC?!)qj`(T zN^fNCeFB`1)5yD;B}PG``U%#^KB2ieI(Ji*GkRM?T}j7CajX)?1Y+H3qbHED#78fl zAfrfzQfmR-I+NBzklu_R9<|bBdu{jGi9k{m+<_q?S=QW>q^gGGP9i7%_q+2=GHL6v zb_oJAmiWh(5$>t2%4gElKjK!<67o$EEg^qEqQq5!9&ekGo5U>0zOZ6L3;g0PMljvA zE_>}J@rMBBlHD&e5xORSv!0U=Kof(U41)mE32#bC2$Cdy=wsh_7CsHUai5l`?`>+F z(3R8+bqV9jhyL&_a4>-{%}#ju+xDc%{PiV*k1yfPM!H(jCc1(HBBG8XsY7(lNA58i zHv?g8%aO#Qd?R3sR_uSVr!}hKu}NvGnHSL+eT>y)l&*1MMfw75Q$=r0(xi+Y?Ru$$ zh9U7ieZoEk&v1M2J@wUA5JcFPXoO57c#VDc?^NW0YSQZkU*#y+sx6SD2R1+Xh<)ng zkI7Y*q7UHv-0MIDZCdYOQp3gIf1WI|!ib;~7Pkvnk?KT`rK~(JKtb#e@mDZwr0LJX zYCyiI@hcj$BTH+mv=zCg4U#D*>pT^wL_Wnb41_#M;lPhko$#w&BpJu1FRN~Y&5Je; zy}x=H`T$i;32$P?l{o14c^Tf~_1XO= z(R>hu#m8-y@+Ji;Cs8L>{^qBgHW&yF;wzoc152e+4tzyVF4n70@a=XH<0X43gU#lw zO;2UlWXUlvHVJHgpc&FLbuVP#n8o6k{A65?N;djD=j)8cNiiFYHe9))H@3-4e2L3v zs%(PcK-s7f!-u)X!<30 zIm7Y6qtj!in_Y_Fp3>Yncwm!ff8|Z<58OKXs+m)Nbl1KgFppXr-JyvMb&Uw#2pi*N z^?e3;TBa_Y+>my-7mmp6SwZS_PQo(y1SCAc`y4>%Zvdh%06-UyaIjh>)(W0R%wOOW zIXnC-EPF=(3>Wy{Kf?vSd4!wa^BHWw|BKIj((^qacM^{3`Hb*yd`1BOI4Kl-M*TNF zqk_MkRJG5jU=f(b&Sob99$0|~6oYb54H`fb7!4+Y>0mCn0gID$U<-I9b^YRHlc!Fd zGh_YcfsHFySM-^;AUb}GSs0OGfq;L5;b>!@XtYlwGb31EgY8#uaN@YNt5#jNXw>kV zHx8(~vBmDbI*m+&hNkgv>9w;${#hGaX3c8Z$Sf+Al&XIHVx@~f^@nGZ)o0&4OWXET zSF3Mgbstk2hRzbO@SmOstF^uQhIalHCx7RBJOa*0Y5)2}UAew@=zEX8H{R#3IEMer z`&S;9U2%Qm`3SJ zh4qtiJO(}^AA7gHroJBr2q!K0sl^zSI}2K1f?0}QtGhlVC`MLS4%_M*1|-5vy|!eoixxIC41kHTlYW>xi#IUwkh66D{8Fd3guT81O5%8suRVIIx=JBHv1M!Ic7Tmv>;sD7Vh1&~|L*b*4Rciok!?o!|HO5n*Q0UQ!<^=LO4wy9+K9_XaZJ5maZ z9J*NJ#3w>yZ+hnV}kUAe8O>{97w zI1ENMOwOIp?FUTmZT#$U{Om3e!JKSN%7wK@ROxm*E2C9HWiV*2tim`;(4=3#aEv^- z3c}=jRO7+5)p+S6e@M1Ak`l(m`q!6e51pT#{`Cz`ZT2)IX9|w?-2#Qyv2*tQZ0?ke z8?K&rWJ7JFq9T&0E{z56X{xNQsY>02r0TZk%j*`m^{w3B)-<4g$f_T_u*egrt_^y< zf!v-gMJ0_xOGAVL-p9{WfuG5XmBw)?g-oTTvdHJC#28pir&Hv`N5n%Sf+9x#06{_< zmGdqg342fG`{c3kTxvqMzwt1bUh!;V7~+X^;fKk+|A?t5nz*muhP9)br%b#V_RYP# zVqyyJ-FoY`TVRa$yNmFAUbSKE=n=WQbIXR-!@G9wyp`nElkRyQb_j4_3>=f{v(ye} z+2K+%oMna!1UL=Z3jHi<0&a>3u9B)8Immi5a?vIKrXD#7^|6Pv>!<7q{upK zrNqxa6giCetjp;}$@T5)2RChKZ(G;CuCZyu(YCwqI&j~eciqVjX};r)+ipE~M|1NX z=Wf02jXRnz4}J6HSKd7L>dSA^I3vE@Icx*wE@daA--sMUSXU=Kt4vZSQ*{KIv2IO~ z09L<|!1q!Tv6*x|6wNt0qNDAhw;I+kV+Lemhx*+%np|-VwnzVrpS=S7B(-R48QkdJ z<3@X2aDxjjw869u?pEM>1&$Tq7#5b6S5y?W?J>c@rcEYPX~Nt`maASXhYyxNRerLZ zX)WJYjwYASD@Vi2VMY1Ca#RlOZ4SpI2%*DNT5h+Ot0C`6JZ9zS)Ddj$(uLHL)%Ii( zPj!YM@mX#3?Bqqf$Bc*2Q6~RWQi8{_VJOqTo~)|Fmk(tU`atsv!|w)*sy71L*1C6L(8MXYKks7X6>C@ z({Jkfv13}&<0@l~byW^BHbZg?m>eFPMyyY4+CCU=Z-BQAfV=D9?pk<#pSC_I-3N~D z1D8~8s6;cOu*C)2>~Mh{PP4;NcDUODcbnlN1}*@rF_MLoZLyMYxL+*BwRyePq5ayh zKclzuHRt#CN0N!g!UAunN z!k=xtYS6O#ul3!1N7dw&qlYXRAD6@*`I4ED1Eviw-gQgK+&kvh^q;r$>b86O5=yMi zZDI$r35?$g7@Z1Oc$tMj{LLC=yMh*B!^gz7nBf>K!~~4)M`e?Uk-r17W`xJ42}{s3 zO$q#r)o1Il{5*R`JyTalX&_?L#At~v0@=YYb!1nf!#{W_w}Z=-xR)XWiSz?RGjN;))2F`*o5a8PE zb~)tpdgUPSc+71wKq0S3HoH7#v&Y3)gD24`;Ag7Ztsy}%)(@=K4$H#M*T+g}hy>ZV|&+K{n+NTbnce0)E z;+$OW-FI_uoO?~#yZhXI4<5bUiN1UWkMwnz=T&kq(Dm)_Pu)--84EO3xzoCS>(;Z%MBj}|cS8U_x8(1wQq^T+@m zjj|HpX(O$QZT3(I>-Jt}Na4I8!D0}6qU()1!ZPc$g|aDw&wLNI;wL9$<0(LYH35eRb})x&OrX zxF7C>`=0Gk|D5}4?%nLpS8u=l)S>-%o}-*Kw}rWc=UoC#siNC?xQyS-qh@|Kk1DXz zf#tfHX=4R}1w5Ha2{T)U;2fE|M763hF>=dTP?-P|u>&IbnM?2FUi3d}f`N~+3Fa8{ z;9s+2a(iJMy#^7sXBd#ivk<_u;0C3jA9y0QYC%6Z4gXawtU^mG;H(O`Gzw=$;q|3( zVF)e_!WJ*w?t-gbaEAk~bHGjNUKMS$?6IH?(ryXugm5iZoPgqN^ZQYo8aog}v6xU3 zZc~&#ZN+4bOScSIPpGmoQWUarkr0=kk}gjYR_FOhCa+6mzHeSwo0HX+j&JCnUs9eg zFPN4>amNVIk^4Wte0-Fp`<+PQbZmZuKibnD|!FuobN|9UP5 z!3%rOdDyEiJo?_pkNk1MRomt-*tvbq@-5lGq7@OZT`g*usYoWrMKRN?%aRmHssoJ z>yLFRpTcS=L{9C$^FPkrz3(vS?xrj0+ql!nL~66}@nFnCr*o&Vb?oe(LtkkGfaU2K zE_$5qzQDTav6~*(;rA=Jlla)HCCBRN*U{fX_vaoALR8`x!p=e@>3C z(bn?E=LdlCc^^GL2nauK>HdIQ&ix*L&uFkIHDT{axN#(0G6F74!E_2P8U&|T!W$~! zvfDfo>38vSt6+>u(+t zj7_L=g&H@Vv;XVt!D0C+z@GAbG3KHQ>zAzy5pU@a=%%8 z?}|yohoJY(KA%svCdbdJNnSgmtbXBL*Xrw1tiU4}0_EV5)bbrMxS$O3Wu7wBQVP>P z$opX03rBll%(m9H!^Z5fz)fbjM1Uee$6}=6yOz~r zcezSJ42hz^5|ZDdAZ|st^`ZY*^=S4`X6`fpeBjOt2d91e96xT;lZy|2SaEq9v&QlE zsRfU&8w-EC?!@L)%d0T*?Bh^*p=HU7xi6j`z4fJ?qkeS)j{D-P!RwC^uKiO0xH))q zf?!~(WUaVEMB4>8h=l>Vq8A8R<*>zO3b`2t0W-#!Gniu&qoS@yS1+;Ym_YJz6Rw1T z4(x-~a}UhF<;m;IPahYG=WRSNas4ZIPG-jKe73D_`kl|;aQP$dbN1dfWp(SA6}m-eBNn1zdQod_$~fh-Cn!XOr| z0OU?VzfiEGM8Dq(GePk)^p3G!fGv#oyXsV8^z}yW@4tUf{y8E&@3Z6L>073+xqns! zKT>dJ>-I4dcCVUQB$O}Quy?|ZowtoUjo+WK=Kh(H?|IDPkt-%&wQPKa_PB*7khOL& zmXh`4f?z4oAn^AAoO;6!@_@54D2AK!K2VbVE z>BdtYna5@l6Ap_9L?p6eh!-R#L>gRX&yXHb)tT%EXVeeQ)Yf2aiVR>$e|!Uj(Z2KN zv#T#$;7&gC?T?>%hP@W(D{amr-E6`3)Z}jkctL_Eq<1jgNI>9)FbV2Qg7B3Hd9hqv zC+-wah@2?eVJWPGj0CW;hF};-PJ97i=24gxO^D~A36Z5J>0ILxNvim!vS+X^xHGPT z7j}{s_OLbK#RQljhw+`lO=ln_zmgJ2=A10G#?8xJ%GzIi5q$(f)`l)+&D_cCc+`;{ zj|Y5S_dJsa=}#1C(pQN8*G==nT*apS^&e*VC)F=+gbV=c>`-8p{jZJiC1SG@w2hmOJUD@$uL zJDz-XRY&fF1-a9(Z!Ii3-2C3&{U6*vaoqi%KD_03_g+IgLww47gtZeFs07PW;~FYP zSD=Q{(WPi$XiNwV^p5c&Y*f!s7At6muvkEWfU68TomwU;jF&}=Y)6^iRONNvAzJ^U)J*?Olr({$$;- zVQYS}@VNzSM{f9_8z%ILja@!!bj#>S_2eZxCr_So_v@Rsym4USof|HvYv$j5{oIFF z3~5|;@2o`+ETsO{-Q8aziSz&kRVkk+ILcBs2y9{7$F_?$*5&{L7ZlAhp4qNCa<{G7 zH|mI^m9|xH@aQ*bOTZzVvod0@aC>dD7|$WtHPsjv8~* z?0PZ^SQ{n=E@RXqg4>!nP0aw;iZ~H8tvh z8^Ul}7{Nm|If@h_vR+|o^v0$gh;p{FA@>&S4u)e5)m3fXsD1xLL`yI zTVH5As;EV+TC3Koc2#Xx{nXFjcCl*v>vr2x+f`N7uC}UHTeV$F?*BXAGxz4+E7Yr= znUn9#ciuDK`Oar%&N;cKYpZ5T{ImIatIHC4&)70y(rYsl+l<>ZGq+=p;*6N+w1OVJ zD+aZR8(8*q->QcPq$GvK_wGIQg@S@Dv(o#`-#xY9t%Lu3Y^1Jdo57kCqBF`;Q)hw{ ze!kW27S3`wIf(yNhm%AGo*cl)6Xl6;mT5pXCyq3$fdTdgk{l>^(XRw$1|~wMx9jw2 zI@(1?{d82P3oHzzQGr7PSs*j`2l&wd8bSQ*Py(4Az+aOIU{L|oKcF!z*h~sBGzbg` z2y6gbe!U+Y^S0__LIe0BycZBfyE(HLB-XeMA8S~C=Zg1Cbg&@72H1)#@(2v2BP_5y zse7$9%1_K;Eu=811#KTF#Ys`Hlkt<}iGO{h#!|J1?s+G(T(w(FdRb>w0@YHQQnN#z zc(DqAzhg|R;EbgZ@g&X?-b5E3?Px+tv?ZD~iEa{Y zjBgMZL5vO~Gm-`|<*n(G)@xg{)~%a|#hA8Bt^UwF#u(t*#E+QBpG%B@orMkWAdbL) zr{w=XE^Y~i@vqcyF2a3RumuDOHcaaLK<)!g6DPk=RMU>`|I1&3+N4}O^-YJ|K2cG< zhP6LSZT$-$XxyaNga@63jk0t}9}+k8k%5u&#JNjar1t6>r-t{4kMG*laN%!F+9XCs zCB@3f@*|)C;bdxJ-+s+$=Vr8XW16neR0AF6N7Mc2V2KW8)E?fT0SR9czBYVI zxYRbBl5k77BU}m(j|>TK7C=EYNO%Ld!h%A~{BCJjh|XAhw^VzWrJ>mf-@8q;_E?A; zXTQi6?)lrPv;0VK&ZECAnETFCeFv}j+l>6@Dl!{w>w9eY{9HH%%^ooOHx62sv#6lc z;MGUwF8KQ|`p4(Y=oj`uw+X)*Q}segho>F`P39vxA4bvun%ph1c^4B+*3;gM%HbBX z!_3U)pav1d;4m;lK#U=vK@5SBtK*qMV3-4Mf`xUi9iEkRGi)xyYQCuXvLTH8 z#VU0?UyQ#$)x|KbMgcL@tw!Itbcl0C$b8U?Q%GI=KJ7`lFXj58sV;a$z&V_?8cfl_U+jl8i@$imSH5-^|)uute{QI0)|5!b=W@q?2JtnSsxaj%Pt_3?>5|ndr z{NfXB#t47Lbc#WW&>3PFlLP$tEpaMy4C}eqhQiYZYOnSnC=AaEVb=C?&i0G1?%2UH zx3hqY=RjAw(l@ozX%>_&k>Ess3Dn?SPy-1cEAjE5sEGVG0BP2a9VqXX&eQBO;A|v1 z(bPpxZ4nlW-9Ox5gG{d({EavXVOi*@o%OgLQ`{{?cI@0xY>L=t@wu}Hz4*#Y(u>`a zTlnvwaA=PLe1ZYu>?w{?Z%hPqeYJ6G4p zg2yd>@(61|{j}3odn!NqO-e{o3!O3?4-BqaP#O-bQY}w(W+~7CvdA4I2iv z@5Eo4)wS8Iui5le&1QKs1?{jmF)(A(AlmpF=_vq-)fe(XY7IM#b~GECz>bP>&c<;r zP3$}vCZQjHxROSxfxlk|BX01s8{njEjIj7qe}9F!Mt32j+)(nrNDBp!tR> zKvZoyv>P!3EmyZ->dv)j3%9HJ*!1@N8Ihd5>*6+f%TAUqzbXyCumMJ6gESoX+^6La z09^t}6d9G+vpSMiJLrNCI=><9W=prRekR(@M0*)%Cj;&5N0S-t$fz!yG`2K$G?p4S zZrLKd8Gmpb5@2i^a)k%S+NyNP(_`3Od+e*(s>j}ccF>>|2OgXA!SaFGD-S%9voNnC z>pW&b_TZ-ryLKG&v^;z8b05x`{o$(YVb8rgWzn%!Sr_Dlvb6<;>&m)!DtoaicV*cF zVs#1wnX9BR>6I8YwZXgwY`S%UmFdGtpau3)foc|Ub0mILfT zV3@1n05^g7s7hO?_Dv(AnCHfG%$^lQNS*=bihO_pe9 ziKd{y!-&Jd?`uZJn~cT+GaU*dmtpg-3m*#yo*aJj6MySiij3?OAKom8233dCDG(JB z7L(dErzvad2nn$nV@#%bW?F2XW@aPIw4a%FGt-t(R4W5D8bFT?kiCApb|esM=FSApb;W=Z5_4^Gim5 z=zw+w?K-AaC-hwOpi2t|rS{8=N}Um1AYGyg^Zqfw(Z)JEC^_B{R;v=Bapos&U7DBv ztq#5T3+P1bTiYA*|N9QN@VlzkaQ<%tju0s%B%paCLxcvl4ea(tF@Apjju;~@ zME#gZ;Z9ZB2RRrP05+{d_%eIDw;VYe*nH`pANING3Zct z^1q2yle^MMUFh^S3)--0t>(94QzPj7a5~#T3mi1tL93h5X~A@AV_ImTgDvzaMiqlC zJgR#*AtkZ&p;#JAqAXEMqNJ#(whx4chlCiyNn1x-*0#HuG~;I#MN&F74+_e{OwP*J32Nqp5z4^v^>C-kE>E4Y7c|N)4H`TRGU{svs z-nvRX{pUa4<>8d5kd)~Uk6b$m&e0q4AOF+rWcgLO%kas)5{uHKn+#Z7S-3PeCZYV- zWAa~^nj%Zh#*{Q@**zkxU37S$Jw9_%PWsGY?Ya~!8e-}>qDRx<_}(p}y2ZB)YLGBs z%mc}la7N8}JWKq3zw`y3&_Waa{b&TNv2u)_?-v-~FYwdmI~V_o+cy6EJxuzdX2$lK z$E01-dl%YB@2%x!JOiVY31u`R!xK9V3oZ_3LmP~3z@7@AaAec&BhS^*NjmzZOef2< zS%i>rm_;B`Qwv{E8-@6xoZHulrm*LbL2R;iH%1m44>{96tjD<3WivL6@6uR$T^AV9 zGq=~+X+5m}OF!vYSvIC$Y`d)DvT-qN>BaJx?0!jYg4+&%B!eyCU(SZPF$!93hJ9xD zp_C4R6Npj4?=vzvg1@HEB#9|zLKOh9oim2J0)(7vQUevm@FnWLNVDD(=QaND5m))T4bV8CTcS7 z2%^zJG&qPFgXnU9%I|8kpvL~jAftao;~;zEApgeC;npM7NLv|cBiMj6PH#*b2e*K3 zx1bT&c|zq7x=Nn!KHM!2}do|IyAs7Ux$xz#~xNo8J!#Zr|Jmkt#dhBLA zQ)}oZqwYjhswnOc+=0sY%_v~h2XD^P5 z9+uV17C$+O;r}ymt;@&#;IoNY4eSPDvib)Slg;8E=x^p+fPbKo`q}k%gOTdE6=<*< zBK!mG{{DeMux+#}Dlriz$wm`3!uHu@2QLXS8vX5oCfo%YDDkhAD}S>AA46z2KKAal z@K23U6bWvh%w`A%iwB#{22WU59u$QD3cXua%! zEiH&ql2im83GW5l@GXXmACKVBYWbMIH^`rnh~~iH$oVxDQ|2bq`G zG^3xgB{k2)WV8tF+l5ZxwKoHF`xI)ok_QvREq<~r(}OZqpw0-RVux*z)r!xy0u8XK zmEp9^&pZmV#PHjP-?VGTNeB?o-L>7EXl>8mNDAvnVLONa45Tu@FzM3^Jy=d~WQ^@% zxm0}dS$lLuAp3R$Ti>*0oNevei%7UI5zxCkEC(kSABAm1YPFQ_qrW;y3zjaVqg zHiy&VZ1yq4K7g^1vxzHb9K2X2tmS?)W4+RFvGnU2+_In=RD+RjZec#@Il~726(SAH!J4D`Ktg*ya#B4`V^T zA~wJs8w9b7bpNZ#f(_K9&ph+a##oRAo@$e z_*=W#bhe{`C4ndH7aDA9I4-DRqufUSFL+*)Uxz#z+Oz50W;J17HJ{reJ-j-yeRM#} zoK_+3>w#7e#D=vV7Pqaf8C!~a=_1Wh1Xy8*ByBENX|*FsK4s3`m6q` zzv{30tNyCL>aY5%{;I#O=IZlE{dLD)Pu5@c*Ij+>5tlP1H|4dI!+n$c{^|y=?^2tj zzL+*T?KkN`>Ay}t+^?$Ne==xBK!z`fxi98!&wcYQyAI`kp8MShR)5uB^;i8>f8FU9!QYN#jldpCA18Xke{ne6xftxu z&X2%O0NYON&LR>{BnS_OaL#u{yPI<>*a^rFgOuSU7wneA>Zg)eQx2iLy?~HYICKjlrGuGId zgrd#!b|&pGO)`e0pq+|#2GrP@WFbEo`60;XAfF4Rb%m0X!L}f8MLrZ_yJE>*p-gV4 zVr&M~(iKYM{9sIzgEsG1Hz;Q@*cM3B4a(tsDDvSLn~ZiUhVcLU>;~mz25F-;^qW%LGq}&i=gM8S%4!DtL)Bx4$oV*&~gP znCxc-uP1&DT)&y>N7}m67)YbQ=LGLh`ZVwpyyC|iWI)MOmiXuILNbxp0dENziM$@e zOOf}(@af1KkY9kjKQy!{`%>_l3^iVpp~h=6)Ogt=jV42l*JP;ieq?mWD#2?q)ObyX z8n3AKkPFBMc(mWh+ZJO%-sBOkaz4g^yoI+dCLZ}f;B7HIkhgoJZ-9N&_=X) z!tRHCl{+yY2F8SH#a zslb&AzR?g`K&C**04%)}>UGtV0i{oavL`_~4k)(_$}A>hfFA>VImA`C>v6bS9}g(# zAdzlgXA+BT$b%BfA*}=I&4YS)8Dq$Jkv1KCMG(qkCqWx4-F@P6D8_!2T(&)hC<_Pa z1^&?x!$b2>65f5($|(~)bzm)%Aa)G)m-`B#>?x490z)Q2s(h531HxV7kp^wz(kaG# zr6}9(n7;s16p#r}Czo_S+78hoS6T;#S3(GvU%7h(Yx}|DtDqIdkh2n`kc26<9=Upv zhi&2-kdHO;Hjl^N6<(M2_@?aURV&w_u^^8Utj9s3A$>9Spv*0|mShOZs?yz`&QLDb zf!dP#L(6Who(CY+>-ycI>zC?5?IDb7&CQwSDI@YYY3JE-<2(YB=xrBxp-H_EPI_A-1;)$8Q+Q*nzWg z8p>3YL6v)4U8#9k8EO}oK5tV2wl5#km5cF@#d%(e^^{|)wY+0QDFwoxhh>*z4<-N{`#--9JM}I!#83X=Up(lJkYW2pt>+$V@ zHeypyPGfN9)FrbiqNl|;r%G`4xMsSq?0KFNKgMC(fx*5|^;3Y@-Ev`P51J=v`U-#Wy02a zrSTkt$^{bf)=qZMIISF>lH=W#bW}s_QZX{MrMqTGv5;~FVq-DR;VLnP+^xP-<+3Rhek zQRc%wDA`iX-deP%bW6kK91_%&GL)8EE6JX+eRgYb@$=sTlWI>~n=!XEf~L$dHHf*T&hU$C_T1 z3k26{;Ol_4u9UhpC6Cmu=e~IMGmM2>LV4KtGO^~k@FO2XCV_0UIg;nKI`SE<=}fe% zjh7!=!q+jc72OdkG*FXKKCUt)UO01UAFogzaiGRm;d-j2t{dHAebCJ%d*9q#Y8sw; z_S@C23a_1=)`!}a$TfzXpMWb)F|^g?FYwS1j$7IYRYGaCE3DS)(b$#(u~JQPkEJJ; zXk*w$jCdu^k`i|tT=Uvnm#$u_o-4f8OV5?YTc>L!F$Lu~;X23BwHolaR9yj&HRR979=KM#ZeA#!2PKvv;?%vr*7kp{Ri;)xMecQ?E==*x zRf$-kjn!z;@4DCAyvvMeg}e8asHLS?x;BfnmDh7czg`V+t#7HM55^84Dc~Clt7R63 zq(KdS;&+G!z8tchAnvmxB! z?xA<9(y&HX%ld;a3(8Lwu}M&N8kWb~&+AV?KGWUK6w%Tolo2nPm)RRylz~1TIvCu6 zkZusxpM-tZTAPV|NP&2*FMY5DyyiI3S1lcv&kzyA$B4Ht1FqU$C7}#bu{E{w>kaNe zXgM#xFU0cPJWU3UqL#83=I4Fnz0E*hZLhSE>W#hOQspv82EIQ~U$^YC(AL_LZJTiUQ>2aaiycgvz)@R3P-Qv(Iv%W@=6?{Mo3czl{zZRCRL0n0H?5O zN?t{QV^V2;L4~7=_aiOakx@LRptQ1}yQ8w8z)>(^bU}W8LB69z3w7idRF0`AF6SM@ zI`Rvu@`_6;|>>)ZPzaC+%-5VCzY3%6hrR{%Sx-_9K*^cIVR*yb4-GMR&j~) zU`JJ%V@yRsUR6P?Bfq$^9HboU$Scivlvfl(>=;N=0B&BTqr9MELUC0U6gPSrO4B8? zDu@8lSGbr$UPCN*QReRElvkAHPa0Dd>)^Tqd1HAVR|U|qDMipTkCsh=N{dU!luXL! zisfp3S!u~MM|5#ZZR|XfLkU-Etv1wLo)ra^TyA`rYAfK`-DP!02}Bn|EmZ{*_?T7{ zL#_E`Q%Xz9^76f<7}^}CC|t1$%SuYhPz8jjV;!UODxs}qrEYb04MKELRaJSnHf;(@zZNjixe3=#Q`qnab?gaE}DYL1tl>1 zP~6^gnTyhEHY>_NJ~)-A{m^d^K>_3%TagEn$&YmuR=~^z?HN;)S1}g)%w-I+f)R!6 zj!}TM-Y|NwyFd}){q%ST3$wc!Ky?W~yB;e#g zOKeeo0mlPv9O_Kxm{MF-#DhK5Emo)-Z@nwJq!{#6tDBcqp#dt?fRl*#FxD}lEWfyr zy9Fqa@=4I4$|9VwQ1s|YeDYTEK%phj!#2?I$^t-WD1nc)kW!sZ)h0YtsZAOoX>7!l zqOu8B=p&y7lPXG~F$Gvaei^_nwq;zwm@1co)#@=Qe||Aeyl$F`=Z!9#T;Q=P0RHhQ zgYDsyth`oJL{w!_9`tH-f!EB<^XO;=ufDPhl!*f`OkQoGUr7LbzNPkY3>uJRA!*5dk{wY=gTNmZ>lm7rojPD}wgXaRC1qw0a|}pvBxMeB^h?W3j&=0O z8JN{)&>+WvEJs@Zff;FiAS^AjcgEo4w9LMaUXU+y04zRfFcYEB>;Vp5p(rY?&mdk{ z|2|p0Q^A|mD=i}}dswU^B`rIXmze^ECOHNsWo4)J9-NVsC?YYW_BFZ3So{uL%`!0l$w;0fmJ09hSq0c`+5%;I4mozZ)&z9bwEaP9|-K# z2U?cYE2EEA6?Ce1Mp9b;SVwYF|D?WsFxLPmB@2^@)(uVVgF#SV68!bfP8*QPyU}|< zW_A{MW1*K>+3u`E(+2g4btGk_4dMbx$r=E~b8$kB0ays+%j~0-!bR=y8WBjs{euVf zsclPgpQH>ZYY@-unK%yiHD!24;^&o8Jd2Db)2IR`j&b1s3QtR}_(9@)laFVTd})>R zd+Gm4e*}76+97T7dJ^<=J$FqL3Fr=rmCMhc;D?T1lY2^_9YrgDpHjgks zFv1oR%ARJwBobT2RssJUdk*;3Y&Gz|Vrzi^H9G_Rx9msY|07umm28rYNK&Ab0(@Vo zAMhE{qrg8VJw}-HxO5iyAEXPwUzBQqcS=qo$waOsRIZY%fS)8!1Ae+Z9rzjYGr%vE ze*ye5c^UA_<>kPy(6u8}*Iw6wNV<-?&cJukbq78{pG>H}j~?pPXXyI_pQ#@Ne71ft z@I&-NfzQ$B06$Fs5b(MBD&QySCjmcMKLz-y`X>p~SL^2jKTkg&_yvCJ3HAG}-*1WJ zx54i<;I|o)2s89D%p{WG5d-wj@R(sW@V_#g2L4}$v%vr054HFY^PfT_|EWfQLX81N zg-Ax#7!7<&V|(B`7+(N>qwy8sw;2Bf{BGl3;Qws=Kj7as{*^G}JI1eoKWY31!cQB& z2mY+_9Ps}({u}rojUajBe~jmW|1S)lWTK`$gqrr6-UNQX={w-RH=QNS^n+p{RIw_J ziKGN8Lx3Nuj0FB+73x)YtGfwP_m~?IYHn-}Bh1{~97!Z|l(`3l^fdPbKGFO);NLZ$ z1paIDDM<5;`CAD2&ip-uoV7@VT4ak%B#X|XBg~?=OoxyemKhK-(*k2>S#E)`v#hW_ zNvO5j3S(+rW`%I;a_b7vI9cc*BVnisnht2AFUB2Yo&^%lHo!O(c$Cr1lY3-vmj))VK(q{4AsETHOvQof#E6Om%!NZQTtAe5rff* z0Y28)24ov=j7Rx@4g4wNDU|J>!QN-u2NHf0~+8 z2hrtK?zrqJq&CWOYeiRVmp>LWrD_lm&bJ{u#p@q{E=hWCuwc zoR!Qmod0gGM07B>g9WcA7V*7giTJ_H4-ve9*hr}0{YfBcic;l%8Czl}%{)RVF_H#= zcCHXg8bY}&BRkbWnr3J9a{x|jDH$L`5D61L33e)tNOR$n@yj1ANcfoY%5riT?a$FZ zjrNb+rfhscMJY9*9gcPa+SzEApgjle1!ylpdj;C7`NKWB4(%;y??U@+v_C}q1lp&# z%?R4P&>n*JxC!GYjAxIcU5)l4v{#_L2JH=KZ$^6?+Pl!+hZt-FY1*%W3joPB1erAg z*#yH_g}}Hpy#?W}|L>{W)`82Ql`?Qw_Q|-e)D=TPv-p4e7{Cnx4Kjf?sGyq!bgLO? zQy6FlXak8Lk+2_)hEr%O5(Apl8dlLZ_@;e3(w=l69Z4tBnRFpt;cU>IB#;L#Q|i@* zG5()PSLIq@#{85!hX&AK+KP6g*)*S4(K&P(T~D{tz4RdcoStPev$Js4fhDtSmd`e@ zqf$dDQ>vDBNvGssIaQu4SIaBp4f0#^VVyx&?HB#-Qrl>>ILUpoQt*%fvsN2+i>LK-ndd{pjH#A3@JDF3=L(GNd z$>wVF3iAf@HuFC7A@d3IIl$?LmPkt{ONwQPrO-0jQf*mb*9LXD~K4?HBmZ?-1?@kCdQC|Lx)72V$OnJ@~V{{RR{2 zovSA5zs}nqf6Uw8&f@Lw=;Kda>FrNn=*1r{oHEvT&CO_a))(74A_iK?nNUi152a@gn?7 z&7CR>*CE^l;pWtxZ)(199~bUw;cgf1!OP@3Cfpj$ofaZo(VuCTsc%}LaOVhjop9d} z?q&Kn?Ud$D4-js&a8rbvkNNnz)DSQ+QiN6scbRav3in{0sZVI`483qg_>7dgQqRZ{ z?o{Ef6z=vqQ@xTi67BS7jNa30Epv+qb!2q%-t`5k6m(H~+lm zE)cy~uu-@|FP{?OPi@lNg<^gz94TBezZU+8y>3p@;p{gY?|oH}>0}mJK$enKWF2{l zyh?VE-Q+FuJ~>Q|lau5-a-K3+F>J7AG>28A9jqI@XgbXjC5je2U7@*)#Aq$ruepnb z1QxH*+$F)n6)j#OX8bdqge&yynKPQZbewQS&wdduTp_V#slpYKTP`HFLZn+EB=u~Z za7DhA7U7B+x>EGxm(zv&qvozE7p~Cg=fuo@?x^Oj7V~5EL2qqbecW54H%v#r2{kjW z4z1HtZ4j=Qqb~@&eBq#Q1wL#P__J|=a7C{-3aP!AC|uFH7dKowpI#I_dMR1BlQE4E z;=^H2(}DlbIPB1~NiLk_OGKK5!hKtFe}?R;7E>*bxAyIJV<=EIu% zileSM`-*7wD`Kv05wmQ|ZcN>s%p`NjLb8mkChN&2vX$&4d&%46AUQ%lC#T3+a)HX! zMC~+$hSONufhN#ongP3=T-fiFz>a4o?0FW#u4gsudp5z&XD94^-iF=J5!nBnqG#y^ z*aMkh7Zd{fpjg-mCBR-NL$pD({P&M)?yIqy`v-xhe-JCiR?*|v1YT|vlK3BiUfTsO zy)N{0hd|05-)ZjumI_zs^-iG~|4+zfmr1w+Y5pk6f1{mn1ycP<%$wa}9_jUp-?mi$3?EsM6S<7uFuN7 z2w7yROnc7o+^oUcwc)bwc(Yg%h4qhwH?gpYYG}-hrN2;NxH9<6q|EU*+Rp z>*L?xu_I;}7uhTYUTtef%Ll{^maZa36o9k3ZVS-^#}y>*J5}@yGl4 z+xhrA`1m{d_`CS{yZQJNef%jt{tWN=@j_ z-`vsWU(JuTC6asI@IE>>xP9R_wd{{BMYVg++;}g=UM>`OWT9{s)s}|-P}dv!E%#Pc3ZtySJds=z4F#tDU!R^xYhuCK!d+l=ZEf) zr8ijOVr};imxo+XTD4l}J|8$wle^Y{! zjdO={ujo&abG64>G0wUAXRGt4&@_VF*XD+_DTCbCrv5B@{pNl+iPf8%XKvQLfO?}D z=X;lO^~TdU$9dj8aq3-RKauNca+A&7chfXE zoz9)4-rRO0?i=H%)bCNQ&+wUCZ?4VU`16YU*W4dZ7H*FD+3vYFBRAWucP8`ea?#F> zbp+;++h(Ax?)%5U4JWtNxMqZNmqvHCaejcdyPdbU#m+wq_wJD0pMCtdrNz$At}@*} zJovwm``kD`)8tl{^lHO=V_p8Mm3h6nou>9Ii@qJC-dvTrna^kMNAuJ7>Ta!Ze&D-O ziEupi)Sh{K-h2G0uF%_Kpa=Yn=GqkYIH@2PVe+B=Zk5r#i;x?7$)UE6cA8-J=Tbn8CEyPNe!fQA=! zgwOYu-JNT0-&*1PlXJ223+ETee^E#L7oMEAudeW0Z=8R+<{Uq_-WApB-L)I%N6?yk z`W}>c@AEvl>E_D+qj9I1J7h0>-~4B(c>B7UW?%okcf3p7Oe+aX+3B%2{>WWJrZZDJ zPvYKqoQAf}fw0bu!#vG+{OvGa{~Phq)!lP<%~`&qzmYewFF9B2tovNS`OBUwrrp2i z3g@fNS2ee;I4|En>P}Ht&Rb)g^R6S$P1@1dpJ#8(IH#aQUUE*UGfqR+mk=A*)cR^u zXjE^0+SD~~?_YC$XEGOmyU%2|*Em0Qev0l#b;Vsa&wI|lxl(}dZ{)r-&cA5SS?hY* zS{vpa>&jDC-2G>+=h=3>xgNc%^>erW{eXMdI1khjroYBArf55{y2`qDOznSExb~j4 z{jGmz{7T08xrd| z25kQ*(dwX|DuCznNOc{00(Bm6uM5fA`;IqnmH4@gz?iRGHb`&1^F5T|dgo4XFVh3> z@Eg?(sLP`~?fsOyKz2JpN(Z=<#BA9Kd-0ucZGiqf?KPKt`cmr`xxaGHv1{(NbGeu7 z+~q(k4tUjcN1ZFQ(m{GpgSI_=>6!*|d0N8?cRR%Wa=fMppL_k+QeHindg)zym)vexes}f$ z&UJRg6&6=l&lUJ*ul*|5iaXJG?okNWf?JI;_t3e*XQY2}cYGBouEX5i`zW`{c(uA# zE|*Fu$b(!tZkinLblKs~*auV4zuxz*hWEO6X`gPSm(Gtp_P^_~RbQaw*4N%&;il#h zttD5#2LCLlSXbGwN-%8DA~L9@*nWKFa(5>M(3W9VH&P_Pwn0P_2$ZdoFV? z>P|B*t;4gc)@J-o8Ia{p=MHV<@JN0|+`fLzyV`s1wZG?nmE~>__Z5DgGZ~jukkGmH zwV`hA0L~$;1={&*1GZ^Bx}*5XOq|3vxMQzlo?KdYT_aI*MzrZFWx?Bdxw3BDzzkXM znrGhk(9qSilF#V%ASZs8;AyK|i>+xC7SGNYY@7CwDAh|DUpEbBc#a!{Z z^Bv@o>#}>M>v&#qm1nX$%ivQTu`d?)@3bB8`b(s_-rILG_nUX8?5@?+B{6(HOUOO` zmfQ6h*MIYKO>>=jiTgf_(AEQZBGyjAU)9UdWn9mueo&id{%puXmR--fyyc-jno|UH#s;P7`z`|IL1<;!<<1&u4G9acdgi0lVM& ztbN1mlIPz)qtWimUX!`4NbGw5A7xj2?^BznU)0_ys7+r#XKPJecjqo$D?IKR)XPp7 zkG1uZce*^z6kpZv@2+GnCp%Jae$rgwoxA$I@pT&a7tQK_CFwE-&@9OJzOh(=ecp(# zpT)k$=Rdm+c=Bl9f-~85?CDJvnXCVvNd1>b zYK`+a+E@LJN9SHG$NgrUi=9O`_6?T1^*fNxYLD-{SKmN6p|>W_ongFxiTHYcVOHea zdZWLFf3to~|5lru^$pdUA8$>bJHt3$_>4C?csUuPMrg!`>6K&S!bjJW=kEM!F#dvdt?aJ*jeqf5_&;9$E4!C7JkPb~ zx)aRJ)4Ln~X7XM7UoOsf_<6`#h5U7X>-~P+qujXR=ZYIF;U4+Eyz5&>+Ufl2dv!hE zOZgeTZ+;ui-HF_HhPku8RerabYyY;JX0GQOTR+Elxo)4ie$=kVJD=}FU4zlS6LoEd z=ed2~*SXoo^}QbC@0%~I-5lfl1=y=!D{Aj5TtjWwk>}1e*YnKf`oHaVZ_ZiqjjgNA zwf6>Vy}|rMzpVmFLl02T))dBG^PIk)UITlhrNDF zYp3&DqP^Sq8oAqlA>|gE`|}C(4Vx90kGj#f`|jEAS7dnpJ_`O;OYLuajT8S#U%$@Q zX`H>hd>@i}bM+?3YZoE@llju$=&d;;{zLp4_8dIVz5OOCtgYg$Cg&ki=Whqz=&zps zoWGiSJB{}34`J?_Z-3kw=I;FV$DLuEFM9nt>sS1DXPrN4zvs?>@s`w^t2Ot|?=Ic~ z<9y!hzYh-JmvHb`lK3g(Mt)b@mFM0y&XXR$tNrHXe^=WXdL8#sLht$eD7C+i?D{6S z&suS#JLY?J$L+cIy4!x=`1YHt`+Z~W-siQ=!F&GRXM%7SUM8MW6Oo8Q>?EADByC80 z(vfr~T}d~{nMMYaVPrVTC8Nn0@@uk*yiEQ;UL)Jc4zic*Bm2oQ@)h}-GAdJrnyHmG zrcG!w8b)JiENxBO&~~&vT|r-`d+3|=J$is1q94;w=%@5E`cL{T{ehmN|EB+;|7CZb;%CRI_bYM^RY8>zu+h#IOkRUK-W+FXrPqts}%l^UbQs;$*H zwT;?VZLfAvJF1=3&T3b+o7!DXP#;ixs6Ew0wYS<=O;gj=erkr=U(Hkps9EYDb+9@_ z9jXpf$ErnYu{us2ua>A2)KaxfEmtS16>6ngrA|^Ot5eje>NIt_IzydF7>ut37X|%L zz-Na`0zC;QGU!T6q62+tL-e3C?TH`gO-Et?-RVsHL4UfE0MMas#0YwnKun-ZX;8*s zxKz-oVZ;o2HJn&Lw{n5!`eg+T`!%tlmRUj1{y+jj*It71>J2;nt}edAr8>tb|eh+xIOSI=n5$Fb^1D#wukP465gb5lIEb> z?~xXu-v>xT(D6f%=41LXgnU9j0sB+>DcGOU&mi`n^q(XgbpKlt0s8*~iG(?Djzqyc z_&14$x$qy-lKz+em$ZU8K}ZaAg_2m98#>Y&=7%4NgE?X#ZD5}GlX#db0i-R=7ZYg* zb4DT2FmKGHJqe~LHL{sBVA!$g_CYDw;~~= zC2I+G42uCfmc^3pFxTQp0?fBIAh+lOSp ztW6>PVdnOQ@KlycGGPX%0iVv&$pDzi{m4L=%^BoDn9==77R>5QG6-h&0Fn)}dmtIi zXE>xE#0HTeFwe8eP?+n3A!G;}LULfv4<*B3-sh0vF!zT+_;5CyJOmi<5Xl7`$R#5H z3r3KUfCnSV!+;47lTm;RqevcLLmn9o_>fP=07ev$e87o9QUF*{L<#{f#*wjr8RJP2 z;6@242JDzX#sPkmlJS5cWuydfq?}9uEU6@=fG3kl8DPp3QVzH>l}rR|sU{VGFY`zx zTgVoYD!`dZgk#NQ!trK4nF5%zh)f0CSw^M-_N*k+0e@DJCV)Y!$qc}uHDo4W(XYuP zfJe`hM*)+5OCAGUdIj?CQT9N{US%KffJ#upapgF99I)v#Nb|Mw4V3e}at_M5s1ouB zV3R~11#I#oQvja=$P<83CNc|fN&()gTFGp{t3WabFv|`hjnqaE608Q3Cjq}gNHt(s zD47d5)|AWxEOU_gfM;RE2AI|y(nqS1kUmO{A`1ZDq9MGM+6wp>HHLHttcxX20p7JH z3jy=u$kTv(ZO9_PzP4mB$3LRAvOU(j%kU9w3FjyT7HlQn%FiagregzmikxT+iok=FcB>qxhs17a$ zs2Bh?$5RP#&kRUvflCKCW(A%jte%9z3H5^ej9Pu;)@idag(KtXlj;hf# zp2h>xwWVz#gd=P#MA#U_Pz@_vAWFv4AL);zH6mjiB4ZoG#CXKS2*km*h=Upewnqf) zz%0xH*k@%{(vsPj4G=Jp1p)%vnH>(s8UzV8JXHkggUnd$3Rz z3V7F)H3hum=$VA*8O55j=74%FSPQ^Aj-kmcf<*w{aU@M)Q7j7ZE}BI{T^v*A43Tw?;1NL=doxtXZ*$?qC1Cg;mB4Z|^;Q&O#frx_-A`WID0uDk1%tizpj0iXc z5pXDA--Cd09QB4F(rFm?5Mo>|V%!MCxRHo)4YCV6$|VekJwj&*f#;OuN1Mb46&~qvF~9vkxc}ot6&wt za~v#WRjdk7ZxWzkC8A*!qG3Lp#-@=;h=Z*V2PY#APElqmGXVh~Q62&OdO~>u?Agj} zz(J0WGnA*4rvL#LD~rKisw@Q@d{%iD_+Ki&1e>Geqll7^Axb`uDEWl)8|61-ma<-1 z4{0_i8^~-#%o)lSWebGtP_%3BcfzVZRsN0p;c%a_VY;J;UXfb!1) zhR#6@eH=0L3B=GDszEgXdityWfO;HHpF|{m5|OkTG4x5q&}u}_xrmLNtd<%p`kL{wdcsQQdLP#p+3`ygQJGl;FL)oj4l zX8>D=KrKT7VV_ZR)Eq!qj)Pu&5LMSj*PU4Oef0f^U7x8RM4IS_=!cRp{V@GQq=j*#@f8wj z{I7|SIAy3Zl5{XPH%F2Lb5Ccdg%%2ENQ5V*2R(qx&(c9vRL0k-P`)6}kApnr9{!QwpDf&&;LZY?2eb%i8PF;S zUkmOApiP2aMWV`f5&kOng}29-c>A)rIFGU+;DJPDe*|1lmw-hlB#5y z&MMiEZn!cEsS~8@r8F|lQVNk4DJ7hg3T3MDs4_=cpe#XJ0ckm{2A5~+Y&ES#+5tSLH{gWK>1{#pn|2|6DCns2Inqhf5#^+E#_c}`{&V2J06Y+!q79tf zeii(j?64ob5;*`tV*v@fn2xADfRe$V4*r3_4*|+mM?pKhituL8QZwpVKk*gnCMuqkgCUsMeTebAS@h$u)ka z(`Jk5yt$!ikvRluma+uKW0bi$P_$ZQj#D2ucTh~`Zb~C_BDg6q#+}R=N-uMkYb?z< zP$s95g7Qsg&EpheE?1TyO@^{K%>5p?Ve`!9= zNqNJ3R?vA)<}WRTlSQxW$ikz|X0Xr72Rlr4>@VX%|u_jgPn=~f#-A*cur@42U3?>&LOR_T;Rkp%F1eS%4z_=3SvX7H% zF4)GYKibO8vdcBivQ0)>WShwe)`CK$b+Bdt)vjl@S)7ywrg=y!fCsY8vn}GJ9=0vx z1ZAy4T4Yk`I=;(~pAmoC={lP9>(b zNEOOvq^XK2@X^3IfeXN05;(_t!gMxpg+c;X2d?9U{*B<@4F1jBAGpz-zEosXtM+!1^08C#iL#Ca&or|_5+;Ue!v=}bLz^#3+hTcGacb%I&C+Y z&f8VfBD3+zvI=Xpx90_>)80oVz07qFwzwxdmi9fvlg zaULiAIM1K20d3{BJ{Ijp+}2?%wvg!7Vwx2gatcH2$RCGtzJ;2pb1jzqU%5H1hDtlyue$c{|AoIQn06Tp2m`iJlrpuhj5!7 zlE305)O?NUdH5NpjOnAXFEWqSMevg4bv#7(9;W#Z_U?J)3ov{o@<+k`LjNm>eVC_V z!Ma|+uOl5X%{v(K1J?Bf@3nI=+Pt(ix?#L`Ixds$x~5=1g}I#i0bDZjC~osuwnFYp zsNBuDk@raEb*<+qK|YUQ>}=qRWH>8Jsm>>me;sATOFo8m1KYOVwM(2>N#ZPdwC&HyMaQ^wKcJegXv565T}mNOUaX1vYvW2hB9Q7d{PpMZP< zrf-Cr&8a2NI*8%5#ENvmiCSt4(V;i8H zx8dk>dkw~}=QhlXgQy{0kY9(f&5k| zoc?VH$w$5V9{EX__cXS=mF_7%`)dA(Hp~|mQu76dFT%OmA2kGUhP_+!4ccKinhUw6 zUF6zWq#MZf3hO$FayX+eLK}JBDvy+fjd#z~0Qu1Zn>r^gIrA zV7)F7YBAxQQuJk5CbW~j=a-B;*SAk=KHw>_Rof9CX7U*U{3AG~JUr347cs4Ccwuejgj9)I=yltJaZBID$Tm$*MqhIOy2tHBcL|z*sKKD;@DbYxQ07HNe;QCBc zoF8+WYYy0_P=BJ(ewy3*me|v;F@%q@jjtD=T^z>_aU6rW@LSZhk5PIPFl9?ja~h?Z zh+3rSwu84ydIjv4YXF^C9-GVgi@(A4kLDo~=#Ol18gY(?;V6HD65wMh)hys+fr!1e zW*UxoBOD_K%Ja7KN8!zyZ?SEIG4?^!vDdKg4|46&pM;Q1u2Fz#MZ9e|jx%+?z_$GYwd-Fv zvVX?jjlkY~gc3_d+ktjN)CwGHxeUjy zJC0pr{ae(oqnwu|4BvqK z|6%%O*k71mv=hg38mPxK`o|Dan;}Z@RsI;F=rJc>ch1%f#kHx5V?s?0q&zO8^!N(P zQgOb_KpZ<(^LxI!aovFV*ah|BC6vbNxPLf~{4@kj=Cl?i!d^e z^#VKaXSzFolJvs+@+0uR{7Aen|1jQ{=W7Wy`jb-F0KEakXZhRUz7NE4IiL%KFa~4*QlVdVaD#yyf+B?*E2te%7eSl{J!Z)s{&wI`7w$lChXCaQjRqw7>^w<{Q(~+ofHGZ3$cg1P6 zQ-tjXem}VHTqeJ_-<5~3gO{ZBt%v*sQR;x5#QnX~Z+fNuKAWiH8aCQF4&OZBEwPF8 zJ-GJ6%z{~{@1XCf{-B;y|D&Eaw>Nh%cQ$u1cQ+?s9@y=Z(IO8=zeuU0)Jd8zEs*{t zosqtkzSE`Z()8{0?RhEsZu;)}9{QfH($tG;jXBC3?OCdMw|S3wpZQH|rM0TI4g5JB z+0Vw^=I3+)(qqzNQ1=hg1w!>x^-mIiMoIC{AEBMI1vze zpr9d0RL&LQL$EKrJ-)=-hi!y?36Y`SGN7mo<0-=lKn7I8x`Ra@(>x&N?u<_oGaf;b%uLV1j&GL&Iin&3Tw|om`7{LOE6n^k^SU-a)g`!v^Y;? zs?tWZIgO>AXeu2}^JyuaNvr7+x{9u+o9TA?7Ck~Fwwvu|@34dHFgpgzz=!N8`<$Hw z?gTppVc!9_m%YVKvqQkW5AmO~qrh!p+t@L70=Qiee~29iZZ%uS-e#MDdkNxq1LiU| zk1c}StAJYp@%z|1;HubkwjR)vu~`tmitPe!2PeEV&0O0@P$|1Iu6|Sr%~XSSyyzIsvzabz$kS9x-NtaueBF;F?0comeYSqLpk7 z{gADvpRdJ{SG9wk#1m{>8l{IU0ClX zXxVn)wnD2n(szMd%2v|Num&+62h!b%ZH9QtTd*F=-vTR*%o?%~Hj?JD?X(C+qY6T> zER^9}G?$KskX39g_%}hwcA8EHlKOi7Lr1R22ER&xP z9lV{=94z64ehJjLn>6Mj7`~0yNYe>Fr>(`);|YGsrDvVtU}tk1!ucw_mD_YPo+Z+` z4J9O^4P{D&ekEXMq3z%)r5=7Eygi&}hcP6JpVpu*Ui+7v*FD4Qfi-Q?0bIyMJmUB9TffLJ1ZO3 zxQ&O)dfs1Y5vKVP`Eov*Qn^U4@mwY&xlAO+%hWI7cU$ylv7H-qXP`X+DBFfy=8{Q- zNAnOEYrgIVd#u&`l*rh>71*B>N!@MHSy$ki$xkoi7{50$6xPh|*je@i`#1X$R?fas zs+14cPg|J7%@MGczGpsQK4?B<{=4}@SW`bXe_}pn z{?z=L`E&CZ<}b}(nNPwRI|EkLxz>5s`PK#2h1RF7i>!;SORUdWms_n_TkPk*qEbe_c190oe}6Y1)vY&wrF0$K)Z>sq>j zZqil@x);{icj+N|gdV3~($n-TJ|T6N^!{=ak524MG=ZL<7Bb(o7-aEf{ zdAQ$`7<&@=jcAAQ8u31$%rP9!`NJ@M3AXkI~&vNN1jVyKelqz ze--Ua%$0~p&QD|G2yb~1`<|WCb<=0@Q;qRuV~sLG8EKA$Q_TD356u5CA2xqvK59O0 z{-^nbWx18#p|W2whi6*;-_`uP0E>W@0j&aB3&ejZVH41+BAnB9pj{$PN{OvVbgs^=~ydkpu;1B1-XQzP+i>y!)_I@cEWy2)w*T5A_AHm|k}w>1 zJ$3DF#J=Wb9?RExa-Of`!1J98sp)}s2eix4Hes${OvAO{{6*~^EWa!DI=`m}X|%kl zXm8>+rXd$L<0zFAiEfZ`;GAfYtKhUlbS*!&9V_VToZi>23Iz;X5+W*wPqJvW+K=r%0}8ujx(l@c(D; zd*GwGs{HSL@6DTk$t0PXOeT}b#Z zkwuKiB1U8pk>$rC%d%NS7BQu4#E6KIB8!NWvWOHB5fKrR-#Op=W-IIY-#D`Gm&JW#^>0$& zw1$2UQ0{G{_nPmKtv^XNeo;Imj)?yiPm14&Q{owMUi661NKF=t&&vgJq1Y&w$`{3d z$Y050;*Z8O<8f*+RvZ69?btTsd8r$_jh{=Wal&{-rWxmLx=gnf+HR8t<^pq@yv=Mk zpO+KN7t9yrM01z90?bJT&_PA5Dxo)pJL!0OR zwfls&Fll3i?v@Sza#k_+M$#uQl8L$CF+Fm zo*;Lc+&OX=h2|p@`b=_3Y-!$KJ;+z4>Oy3;eeD6HpBHt2Ut8XRAZ}qM5ttYsNBy90*_wDp` z`u6(v`wkKPsPBaDH1TZlo%3Dv3%^M)$?s)~Kj@G63;o6ZQvVSDaQ{f6R1j?SZTF9* zP;30-{1g3?{8K4Zoxj1~t8_hvBcTpU+Q1(UqxZp5_6r|>D}f(<3H~`=)aV%`HK8!(jDG|zLn`7PDLC%o$d!tFg*kc zr7k^}=mRKSSHSd=^g;dw=|j^;_zroGq?f0UCRph`l|DYb+P5Wra(XSvxeD}q)9ce4 z8G@6bZxi5Y3ft*jo!*>2+q)}$p09{BSeU*zeOY>S`U>xb^wsI>(l@4W_Dx9NmcD~h zdMSMu<>~D7J?Z<>52hbUKc0SyIM1eENbmLy3>X2IZ$coIEucaL0{)YMu%ZO=14V&> zfx!fa1RTT;9XJ=bm?1LEj3i%ch8K7cxar-T5%C|(DD=(XybH|9D9$L& z7?LsEzae8}Mn(EA@4Ae!R2SxvCLp@kjj<9{oY^+l`#q%926WH91&P=;pL2b7Y0Xr*99wsK}JBH5-E~J)$%Xw#D3gcAv2oEl1Jh%+_3QAEpxH`Q)xGqSk zQ27#E=iL?D9NZS%5gb7DUC?$Mo(*2`o(hx&yS=A^ zXVc3W&oqEj?Z|WmTGQA0&Sj=%1~S8$`I$wT12YF_4$CYHZq6L#JDoWuvnq1}wIo}( z1<}}h|A+<$i)NU*duA}nb?JEk_b6!wc1)e$G*P1!QyE=1J=FH4F znezh%m-dXq>7L9*K7-3c#`(-8{soz>%Fe{UC3B_1xehA(qn>WbT*L8ZuJ?}(uFKq% zxh4I0=Jw2;-h-K)#M78@ICFaD-t>~p{r*DoJ>;8~c{KBce=Xssfu953OYh9QNI7-N zzch2NcUMSITT~G;eXZVop(ILC1?6LB$m^{S1w#?vl2Bp5;9ek994ZYB@$C-{4~^tD zHdGNv4Gs5h3ylqpqkIIOKAzj&(8SQB(9}>}sKH+tYRXIv&GMZK%?&N!mOs=&t?S6p z(m)O2%PH)tz^2ez-Hg4RiuV}Bdopw;qa#ogI`1nY>s50}4qc*jdC{gV%+fLh$Hnbu zmLnsQd6Y`do-7abP3r=!S$#-thkLq43f0iSX(0x$s5b_H5zn%r>)=vc1{C^o7|Gs@G&s?ysp{ugESW zd=uCA?BdWR@3!pH>>=61y}PnU2Dfqf$*u_0WRDGUyHTB8kx`L7E_~qYVlaDZ zc3pNuc2jsp_N?%n?77(s!b`GSvX@eMu-cHU%IxJCf_sGQRT&kbO97&DZ<}45z1G`E z?SenBDPS7#>ZLuUd5qj>tD(A3OUE_=b%*=^h! zFz!1|cqhr(O64$;-JabMNM+o&H@n?0f{U|vN6TksYcK9IvNvRRWt`6%k$r&1HuafR zftlHdvyWw;49?CzlYKt>l5a~^rFV74q=-g+?}~`STkq@Syo-1^?;?J#9V!)}!@m7Q z4{^<9>shsfYI-C$GQc;G+9iK_Zq~kFEpe`iltczahWd_126>xl1l1WCLDI^p{n?Na ziH!En&aR*q@*7ESqCG#B6}kH z02O|O>q}-8>z`SrN}9idB)3M6M^5>|nR6m%xqar68JZip5b4fL^{$9?hvsIT$}#+t za$Gs73?thZ`bAD4C!ASCqdsmiwg+o77x`Oq@^gwvlZ`n8a|V0&%3w>L1!Z{Pjo@9;8MUlqr!z5uYVjCn{u|~Y|q)5wK!*f z){30YoV}S<-uj&VIfn=y%{k%Sm2;Zv%fg&aERP4tfjIb5{Xh%Xm&|?uM*A zxox>ybKBDw=WfXD0KS{>t+`#f2XYVR9?LzDeUj=|8TY4wO<9$cu9N=Z)aNb*J`s2Y z!q)~jvf!y<4k~7Ol=Sz87jw{arybic0ePf>AyDe*@ zZ+%|KTbt+49iEp<_yDHomE;Y|TAUZU0v`(g5!uCg<-SpY!5L=WXrGZ+o>%ETlADw_ zJ`S%2KAG{zHtI1;@@lD+E{&{XJhzGQU>u%T&*KvsLzd^{hsR{j$h;V8&uh%d&uh-y z#BYL`NAqUW7-o!bO5QyGs=V2G3u!!%&;02JvxoP?F;1X2l3Xe$n|aKWeh@v{=DfwB zj`V|h%Yat`Uy(jOYcY*#2IsBLTbH*nZ?k`G-nP6Q>A9ieyj`Kgd3*Bq`9N`-16`AxZ? zkl&FBB3=CEPLlC{&FvD0rE>tI2oV7PC6!AK7HlYJQ#qpM2do(k%vW%z+`59T1?{1Vf{udSd7gsd1ziOP3Jw<>D>zwj zCUa&uRmkpN*t&(h{7YV;-Tiz1y4d|YhL^`;#ilUU0sa_s;Su0J06Y))tdKqbY4Ow( ze9XfC6SLHR1pF=LOP>Q|nJJd!t-!%)!D)a)01->ij{rXlhFQ>vGth z^F5exwc)#j@dDr;z;6Oh1pi{plN%+?FWp0-#EmQ6@^#b6Wj^d$SWRA zKYz1jsIB0%XiqY3i~;3IP)>t#6r2H27BFt}gJ%IK-vZA@P_{9}m<9e^z`McoB79%O z3dwxNBD$Y?*QnJih}^H)8oUr2QH?oB;g@BzJ@J z5Aaoav4q#OQ;8}=N#hl>FCsN2)rh?s za_W$-I^(%Xbo5VU_+)hXC&GfS{%z)G7T(*Zf>ESqrJ@3Z8v zeL}#uF|PfXeYL&FkrGfAu&*ppb&4r6XyN53Z~P_gA~**yr??0mazS~KAz7I9oTB6) zA6t+{)G~2M)edN~2R!Fsx2IvTClTX0Rq9}|cc@gux13X?k3cL6 zg5-Um=xFy=qI4nE`c&Y*RF=Uir!la=o$%EV*E^Ax`%q7}p(G!L1v(J#Sa4QYmO+b$ zysNfCp-qPT0jQ^c1m{1X{Co&UO>T$pX_TuVq#aY%Q)M3X+f`cx_(8;#1Zkgz z?+%pGE`%Khn|~Ow9|PsIY9&;e0o{xK^xuHL2;WPpWkbB1ROR?w|@zm{}z@%q-3f(0y&>Uzw;%8`Zai7L2ED@_;$49qtNE;M_K(W zC@-kqS@pL34OjE4z61EZyfcehNZy0l*C#9{AdMPl~x)l}Sh|Qaz)o zN)#x-OHucKr7R4%SdBwa9`1%5v?sPXDt6H4B47R)am|I@excf7g#A4@FF;xdxC3p} zR}gB5s@KT12`tUF5cCbI)q&5-s0 zbepbP81T#nzYaJSsdyHpdcVqdrZ~_(yC$;D9fQ#WqNF(&qhzA5F-J4SF_ z#8`&%whK5~Ioo}R_dBXyKt6KKIS?fuBTVz3fTJ%qZ-+I8tNH@#okH$NSi_Gx^(~Z# z2vRy7X>3Nkl_*OyRQyAC>(L;gCZ(@2ATKd$84R7=Y(#uVsT&HS#XpxZX2 z9phND7O8GP?1P~X%91o7=MhLg3TWC?X)HsF?Z-L_*5t!V&&xB=s?XpWgk0DGTkS=C zJcv9RV6~I1+hkSFRqep8NgZ&nvM}1)L5O!e%GFqv%aFWVjmJPqhE?yv2=J()qgH+c z_%E@`>1PbJWNUoOco=p6BGPyVIRBy`VxIwNzXE@lDFW@7d;n!{9725vu~fl&Wxy+7 z!wQr`^v?RH;OjwNAA+<;A!oE2Gb66c(Bu`waz>3{A*~&FGU!WDJAR3N_Kc#SoO}a0 zRRiC5Va&D>nx9uAc3y|8c@fJn=(EhS3C8feHqACl^^dB(g6|g8k*q!N%wDAbOwYR7n6{ z1D+qKmKJ5>_wfB+X!u=4fzDq7{}J$?0)MS~69oNX?8h8}P&1IygMhk%s)VzzZKdkd zl}!L2g@kU^uK{*IK3WFq@n>=<^r7d_+y0Jmy&aSm==La5H_13gy3H_n$K@pTmWOz2 zP|i^c=#7u{K`W%Io&v3bz7Q5(2GJ%IPI8oRJ#y%dxu z!8rpZ^CZG10als3_WV~iYGLHpAh`j#bGMCGh(E|BY(&|;$=`?VG6yUp2irA!;XTSg$jq{QpD(FC|<(b z#8lv~s5ec(UjqJ2y+?N!6U3Z}CO{bonbnXv5S&{$Mfz~02)()X&#J!jjF9mZMla>a z-#?nqU<|-}IseG(-?Y0?XH)p(1nq97$Ui}Uq(%P8ni*g@4aj#NqLNeqm_TR0KWW*IcjmPUUI#4g-{vzW`>YpyOw^0PV!C)n z3=^Lg&xw&(FZf}xk$xW$-=p7H@gn^`Do)a`()<}&@niM^`)#7ie!Km4ai9H8`<-IE z{T=p!;(mLny;MA4A7UROCfbMDhlvO6!|lUGwf#f(3h@d1gZ66iNqddGMm&VMxZRYi z%ASYCF_L~poEMj*CLPiv{W8S6Hf4z%B!|iovYdD7&~rTR;Zb{gWV4(t=gEa~v0Nrs z$klS4+(@)$xmj+LJLE38NA8mc%tYG>z$9~=Frx}_{OT}KIfUMQ> zwIbQ94J2$ZmAEo(lr~1IqJI;#8f}U;jpq`zKkyjFwuQ>@B4a((krJN&G=9gp@dMDG zVQ71hXHbo9#$_`@eJp&x4$4Pt|3&SX7n~CCaY)z!nG*n$L1~7ZZ-U1K=woOf4QVd} zD*3DQRb0XV|5>M6ufJ&9#O04ET9vJn@MCdUZN2sqbq|rO$QvsI){yU`2Gq6jYq29^Rtbyc949z3J*Mp~? z`3)14laNpaJO~|ffzM@V%+`4)_InYkgrV&Zkl)4707ZL}A=QV7&}5RNR#Dg;Uvw;T ze98ST_aOIO?%z?}xc!Y?OKa0b57x|n5^H83GTY4;XTKu)o9_*q5*d_7z?OtA}_EtX{-xVD&qA4Xj?uYhd+v@fuit zkg#9%lvkOYs^67#A*q{PvXSg!2Rt=7kQ`3V2dyZ1VDjMPVW5{Kk4hesTm?G$gJ$u? z!bR~3$u%+AOy{udNLCDI*-?B-@-#U1=O@P#^^3ac$ur;|4aYd^!q2FiN%758=|S9c z$bUZR$j|=r66qALTfDnk*#3^w$~SnWX<1KkMr{*~J3bFtMl_9^lDG7+;~eWr{!#u|IQH9~yfbFosBC_AS~@Vk zH+esvhmw!R>>hnaUM=aMgagvazGdAxn}j7!TE zy3Xuphv+jLryHjyYQO$vVNDz!S7s4Uv>ZnB#FF6&dLn(|h(3+0q(7QoqhI=?|GE4y z9t}rvc?uP+|1-+tDfX0lhIod1MtUkdV?E=pYL`UM&g=B=yiR%76VDpUzEL}e`{mcQ zo}In_Jrk{TU+rnxrN6B`la!ra?HSAWnF*fp>4-1;EPtw}F4}H*8az#&S)RGTt!K1N zj@D1l0#8efXQ^j7$IInD+FpBBdDeP1c-o>ii|J*RQO{OSdn}F2-{INq=^_~iJcpz8 zJQ~Jx%yZIn#&aIFkCsV#dM>4CEF;B{;)#{l=+kQJQv4~Ql-!g7z)MmFr3_6Oky4&A zI;ApYJh$s9)hUxft4*m-X-sJb-)w3VxxMV4$7I8lc_hC-o$7ze!j#3Fwv=VPaYX%7 zR-~-vG{ox7tChVq{pxoAGS$Dm>fbI|He#Jp)}?HWwjn8-Q?{k-NZFOLCuLvERx|sx zt8r;f*cZjODV9q)m~sSmW_LX0RLa?u3-SF_N_VP}>Pk&b4Wx$q$cpx}a^79NdHw_>WtKx?4so^bx!JhJQt-diSJ8O zTT@r2u1Q@V-}j_$N=09kx;=F!?8x~W-?tA|Zs$cy@OKei^Wyd`S)I}^x{wu#a4fmKhU^*2`cqj^p;(weOPc}njyZI;UK z=riVCEuB|sYx|Vt_-C}<82#!|zy2@2Ek(VLd&c_xer-~p=hgI`)6bs$;*0f_{rlDa z&-HQbUHmheCr0o1iS3;>H*G<*zNNLKE#-QUwmfZB+S;@YX>Dm+;o8$W*u}=-QF&3> zYXqvbUDw;&)Zs^8{z|e(0VDdyW$R5Wm&Re=$>t za$gVm_Ke}xLALRjnf%x8j}ZPt;4d?7ybSzF#?8AiyBlU)11^CZ*lnx{;7WftD>}$NtFuKSGy1LixFx)@bQf62qkAD-j@*S4Cv5PLQezhBD87X`4RAADlO3P5cH{subMyq zXQUdTbQS7B(7yxvA%uDn&;}k2^fcfO@RxyqCFsqdzYm%;f<6p*H}Gen3BG{q^MGS5 zhu(s)XMukjGBGD_IzcfY0lpf1bvM%cIdJ|J^vjSi5d0b7`B(6~2>gG6pJm*&4*7+= zb0SX-X*O~R!0eRplld6SnNL_ z=YJ6P355MF=j8Z<=-&peEc|(dJ&Le@fNn!U534o-^#Uczcpmgg2&+o| zR^X@++V^1@oSh(>Kt~zVP!jAx=&%s-zYTg7bh{VyLh!eNeh~VAUxMF;uew?*=s1Z% z?(MEdt5}Wpu$pHh!LuHey8#75p?r_S_m7NIn^w(V1R&>6poGCw3Z7*MrRJ$82tF@C zIvx<3aQB&u`?P2lgT-gWvto$YK)<`iPw6*I{7iI-_lkY=d!PJ~>=Yx6y~fW)8ShFL z<(Oq&CMw9S5Ua&Hu~BRm+r$pBOYET#yTn1lkBH;qlsGFch;C^}mrND=WI%>xK7K{y z2Fk$%htaQ0j*??!75$qaYvdF;O-`3H=r>c&!TBUh@Ea^!DHi&XG?qyJpjC&r8WsSGuW8Df^0jc*G-mpBoG zxbn$Wp@dBl)5LV*sSz{995G)k5=-!fWQ|xaHi<1_yVyyvQ|uM{#UXK&;C_OO=yxJ| zu7tLtXAiz9{z2e`OP-HrTxP@fTkNY%0RAHIO@Ir)UuV&o{~Rc#faQQ*)Q3a3Yxx|9 zqEs;d07w{sP$vPO0Z%vJ2LTPNZ+-z#S@R+o4aYt0R28_~BC)^2u~yUBH#2h79fG4mwR&Y0)TOLoofuzT!&dx*vo z3*Pqu5;uh#A`m)q-E zO7urE)UVOrY&O|v6J;LDB7C8JvAvS?sUxZE=GvFpSCIZ&DTXG*LO=UziXlW8=}lV9 zvach}D$ND3PBYKY zlYM5HO^)gIMm{CRF~>3AY;i1dEOE3_*;tBlTH;t~wmH@i*Lue$#}@lW$9A?M*>$I* zlP&4k>)227{S+hRq2rL_C`sMzIAI^;IPEy+xabs4)0yP-I)l!Lv(Q;g<+85Nz*;hNqBFAHSN@cj+IgT`FG&`IVxokNnIj2H) zowLC)$82ymp{#DUPeusNWzD=qx)hqbowJ;Cqd5NvTR>&ZL(dj+RK^_n&T;rHr{5~) zTIU95n{z9LX{Q(pogL2I&MxNx`W?1!w0GM>&STD#=6UBC=XvKPm*#S~JT5A>r8sr-4*a@vDX4eQ;IazPFV~z8eYqYc2Rp}b<2)nAyHrHg%C0DK8 z4_h>tXI%BJMpv_Iw!NBCMK#bhkK97nY}aCHYc{)<#q71&wZgTUM);ii1=MC(b~l%j zmU!Czu8pqEu5GRzT#KSKhs!ma;@-v1wa2y3bJ!_`DJ%Zl{@pK^Q61XJ<2_X>UIm!);h`_lV?|gE^gIIJD&V8xI|7u!Sm}lvD&#o$dO+tB!=&8-J)1z^pw89=1$L16 zfXRSC=(fpZ#zb2?XV=n*$nl)${NT8%pU0) z;(Zw!o<_Rbkgn6vWFjc=HG|6XcoW*i&=>=KR;zM?m8}aDg>6VSXFXRTR1)+|g5()Y zaUxxgv#>wPiMa(fU&xlx>KNLOfnI}r7ocP0YMxUi2QoWh=YtH*6{<9X-YVp2HFAe3Wyq0nh-;g&0JLp`m70)Kslfjca&|(d z7nd|bJv;t_NT2Zt= z^hOjA8oiMd6q5QcZiD`Bt@)vTC+UcgNyoAFM<$)s?@BtJbPDdQQ?@2pFaBbbN%B-8oJ}`eKszCEc+px%Zk(0 zo8}nZ%0uX2rICN}c8u9GHI6^}WWQl?c^u~#%R_#aSzvZtml{n z;V<+}V{#R_3FK<}=^3A<<5x*f_f?+#;=H0P#JjjM8%;y3Jmts9m~xG{5~craVqIeL z!g2W!tuq#_|1-ujjofr{Gsw-nhF#tw&zOC!=T-CTRi3eQzsmCp8(VgIwP&CD<+`%I zU7>$e{+y)Vx-*~LA`4&C%h#tYFNx!6wdB_%#oFuSmE_j++N@96;OF{&^>7opE#$T* z^=YHPyOUgJQg2<1KKEKO_9yjiYc3@9w)2O`9kuWi=Ix$>QTS zad3h>jM}n4o#OI%t+d6(5%u>3RT}!Vfv;B9`n9*$Y_AfvONP?P6X~UU3dt3dD~+?& z)!Lz`?fdm9ecBYud4^baCg&MWZe&uQe#%opZY;TR{bc3$I?qIMlPr9y%A1&r%Bi!S z4dj~0%~I*Vn)A$6E?Vw93#?~LQlGxmvy|NOq(1GmXO;C_YuVA8)TeKcmIKcQm0qN= zjoel%Tzg-8c{<4LCf7yo0J+2Dj*&Y_?hLu}fUly|KjDP3{6Tr_O|4vj7aKR7yGwSR$G)(p44|7pQ`F{%IMzorBueX zyB1%{_b?p#;AMiUU0MY;e8;`h9@w8R|;@OTZD`(cPf`74(6i{43!9GIT+IC(`1W0{AR^mqYR* zgt`;bs^L2dzOx{64e0v;=YjHDz+_NT0Gj|cz~93rD*)?3`3hhiV)-~A>|jgnfRg}=0LKBsKE|h@n@Zz*q5pRQ;p`k@$_oR%CAcP9svgW{eyJiam^|^6?QF!-9W$PvMuhn_39_T-HbEeaJE~Y)7aE0a95tmcCFLcu66=j_fh*& z()^fx7;DaJgu0Kam5n$Z#k$=E_d#3ty_nwzRJXe{0ItS*NZk6ua$>i~_~Dxm9QU`F zj|0vG`~cu{sI9#IWSiuhCOx>bLpa7c?x)s;@9ePQ&JGvu>_~RZaC}+>9djIWsNT$V z%%ggk{d#9xaA_28)-c&;$$)JSiyJOD3@f(jym?6_^R$sthnj>+kOS*+Vn&} zdHKUVvqbbvLV;V_1@3T(o=lG@eJk{9$})5SK52 zr&?&TN!UcSC=?ZccWpYIkkgVC?~6YV)=EqQrBj=K=8!%9&KknUR0D zR?K3Cyi>k|3h^K0pM@^}qNNCz$K@#&c|tQB!bS!9?{@mT`@8$U>ooBZp}vSt7PTUT zub_Eiz4$j#Ahy!)cF`gBi6U`?e(w=S={Hmyqu<@)H}o4OeoMdiidX12T%4!h`@{wD z7coNom40R7GX35!HK~b_e59H9fHY~eQZ60RDLyFO(k(tDlV!4~kSQ`n+$+;$niws8 z(kDJF(`C9CBQs=%_=wDunPRNWl3C)TGFxVgN|_^b#K&Zw%oA0zKo*FP%iH8_Vw}8P z-Y)KwcgQ=$cp6zR5cl&aT1=439vBXX16B&PD%TRbW^%gv%zeouZ+ zJSP83{+F00zc0TpJ|%x3e<14Q^YVG|xO_prAnN6R%l{Tn$REldis|x4@<-xH`D6KG z(I9^!eIL++RR#WV5%y$v6yJQWGG z?aOm-LVf%4j83R=#Nxxdh4^p+oiz%tB&l?bNuYCoo{uEZxj)a?1UmQU`Dg;2HR4cO zE1t@Pb`BsnG;(2ZeJr7+bHT~y3I)Jb)mN717YVsO-jC}*LauTBxDF=dy04%1jYwhG z(qCHIk56dxfF(^@vhGiy{gqr35@>%V*8>T(zmjWW0_}C!T#%^5rnssT zXn!TwClY9XC09)X?XTqeWCHDZ-v-xmom>#-cL^~mVX3&1@1ca{;!3{B2}{P6d=Doq z8$pXxi}6iKnD1BeJ(4izujHGWFz>JAdo*G02VJfw-bZS@Gd4y07wtRRziFGa z|I|9%PWSiRTiyTV-sb+kd%ODw?siBTAX3N;5G7&|jc7(t|23N0#qm_9CKId`^}>4p zmmZ!k=w5_bgOfZ@)Kl2Qt;?UV#xP0X%znb zC{696JmqxCAE#Ov&`UbsC->SFk9r|v)OFy6=){p5Y^)vc;{SrS7dPn8(hR5(5 zAtToqV3ZhxjG@K|qudy6R2t*8HAb~D*{Icr8}&w`QEN0CvyFMiLSwP9%vfQpHrDlj z#`KFmE&Za;7=KjWRi4*tH)Er*+1O_6Fm@SxC_Ve4_KMP#9>&4`_KMjlfqnYe zEyy8#MTGz+Yy?ZI9Fwfb0sB9PvmeUOj1y^y%MT=rl$gWAC$ehCWJ`*;5V@^$#m2E>jH@kP+pjs)ze z;JI+3e-(7>y^t>gJ`FlnYU@b5UI?B^@cj&Mlp=}pBL{(ku$tOMfgKX`Cd^?k0e%d= z{|Vpiz_D*Y`xKxXblyt=z0tE3p^i+aK0)u(UvN+1;vPl&n)3l?jq_pWH0P(Bb%hDumwbnct#Y&sMcX~A7Gr;pz%C;3TwFOvy%zrgh!#>T1VqW{L7O13 zmq?&hQG1C5+Dw5xA_Dtz1a=V#vW*2ql8ZRKSLOl=jTMe`#j}*LCV>b zKZ?RWG6UATQRPjQ8l(|e1iz}ADz}g%6kGEGPZ7>#o>~>uRQFXamTU3H`!jqw&IXla zgYkX%Uc0XGvahW{*Dchb>xwcJAOBA*-vRV2p|{DvHEsHO^NmO31L-$8rpc{|rRS-QttdHNx3uJR-O`fNb&E?**DWqNUAMgCbS3EjU$5k!B<%lhmel_J|IL!i z7MN(Y?2R#*F72DK(Q7u1UiXUu+5zpTDAkV9NcO#EquD6#!FaX|f1Se9yh9VvRFG>+!NVk;Nk4m$IA zWDt!=0+z(s z#^Q~Z>j^iZT;F(#izv(a!VQkQN#?n%~rVCW{c*WbQw4b;2h zWV|a@$4cKDt3yQCDP$ql#X_9o8F^E*;1XC9H>dFCjDse{%?iBH8bs#}qNU-@(BanP zLf4y~3tex4T(~|R)Es?uoo4)K`0Ikd*o~mt+9384o}qo6q)m*I_Yg^Zgk(PItcBd^ zko>eIo3+1=uY2x4yZVpF}52!jZSiVjs3TC_RCcKOYv~tmscpG!6}h$a zZv*{nvu!2UPX9V=yKP;z1Gd8y@|f);$vi_c&sZ{-+Rl^A^R`Q-W;*)HG(D!@cHZ=x zAv4z;V3yd%w9%r zlsUpahIO%5*(ca*SQq;g*33T5JZqnBp8>y_iT!R)39-+y&$lnK&o}4Um)KkFEA4CS z>&b1hZ?SLhTPFH)-awh?Qwpw`@7K!do07Noo%T-qUi*IgA^TC=Nc#!JmNckTmUoPEOe8l6b#tk$%Q2N)on^DJjt1Ldvl%f&Ih%+R z^~gaMbj(HiE;trATF5Qs6p;m&lbx57bw)Ur_e;Yn$6Ch*a&3;SwsEG%(eCJQwA+G? z-HtB)XLdW!>of0ZtfH+}P;ABvV zRYTT#n^r)aEo!ZkQD=!`J%BnT)LJ*CR>i0_063#jt%tEr&{SuCsx>V*|4%?qwX#U9 zPf)9#WC|!)$)HXxRqLJPeW3pk(y+cregaUfK8icvQCH`Njs;X}7_1XU;fobJ)B}m= zsvbEL6m>cy)-Oq%UD|U8C|J9tPHEL%0{#1tsaEVD7PUgmI{j3|em8g^**e3r5>Txr zD}papQ|O(5N|Vu`V^xNR_1x+dPjyD7Kwju-oexf$lhBSdwtHhE1Jut6^1}#M(16jaMpZ|IWBgt=hs`FYWi1wOPUf zi<33^F6bWxPdae$ORO;y$Yr(0Pg7^FssU*pp=Jr_*@K*=dPKe&R@n)a7o)A5WjgdRusU8J)N@u?Yi4XH8wTpKfmMQP zm6tl3*1&2sbwaR?dSqZ-nek~rtZ&qjgSv)L>I~qYL!UX&LA4C$pt(AMSe0j#L#nfF z(Q|?&R?T7EquK|;Zb1w-B-Cz)(Qi`x!8j{&t}O58KlXK7Cy~_3n~>^6a=jwDhiXR5hxpvTu>32K zn#$J|>y=VRTdAqK$#Sojngh2aH3x1{YSi8uKYEjBdY%zC>nn9M_xT$s_Xb+*E9o<@ z@|E=Uhh*z>x<*>+k0U96xcqMZ2yc% zgY8RU`*%UtK`FmW`JK26`7ro3>Z5kR)Zmt+g>MOsczpv~dj4BN8cwADrcxaU5tL%dTSzk#=PiWs<9u2A7Sc^a>EKpX zS|h{e*Cg+a_=e28SR)uY@UE0`eYE9WC(Fo&Z#}JfM=+1;+6jw@+5K#MtKU4{n0$%E z(kTBXQ)u7|aR_CO&%K-TH<^pQDdhEdbN(jtF&{hCc*Xlp!MoPx{!Qj2@AKiYTPMX= zgtk3VOZm5vqeXG4m4DM|wTWW-@j68w|L(?{$KP_!#;28c+b#T?&f60DMMyV3Gj$JU zMi%`Ir@i?e(;i#iCSWT-Vyc6BY3{cn{vT*CRB z(q)AAu1ma{Hm`jp$gQwrX-s$RZKb(^m6s)g&me9k`O)>4Zx5^YYOcqv9esP)eK7q7 z;^dv>^nWnD7f0Skmgkd%cxBWo!iP~R!q?SuytQ&*SX?T!w`Ce^VmSQri^>8H}$txhpy|)fe^lzF2Y>MI5A(m-noHWZL{2% z#@gFJ-{|a%`l_Uf@%`S`--;IQ)mO)Q>;-Rm8}m+zi1md`$Bv4HZ*iU9I`yvWZC3BP z-gfn_>up!>y55HM?s~1v^K1MacNykFYQ$o3vu2!bbt_jKr@Qud&EF8s)%+xXU2hfY ztga<*6Wuke3*gmoI?k<$emj06cD4Pzmc8+s_s*uP(yG0U%Ie#@o~hL&ygv5ztR~?w zKM^;!CWOahH)Bo6^~W7u*)dPN87n((#72>rrt&SUXZ$J)9#hYG3tO~T&o^VO#Esar zcg4d3@n)=exDl&HzvQ=wH*?*>jaauRPG|Wx(75j!0`AWjz74D#uko9?65vMc9REFk ziFh-==U=bZypyg3Jt>bjJl470llz|B?QC@O+1)pMYX$Gxd=0L$&t1C{WnJu6UA{}V z0k`I^!vD2$1JT;#R@n}Eo$QdiiP9wx$iwoOJSoq}^YW71s%e@-^Jso8q~&S@v=VKQ zHdGs-m20E5N^N}9K^{An2d7noFU}>>O`ELMYV{N^>BV>-y;!HHK2co~=z;XGT!bT@ zMy(k-HOLNawl+^&s4XV`W%9hXLR+n^(>7|GwQZCWyRD zwg>x(Wr>LGZq#twgW652cI9f=)2U(SsQzc**dwcMFtB!rVh5zA_8epXsM`6e_E4(b zw|p~-kVY!-74TL2T(J|{I)h)`lc07Ut39~bGivRGR(pkUzk#(=R_)cs9$&SyQ|;+g zdz5wDBcWY}uiD><9hth?dyRb=*x4C9X(YM}@E=6)nfaRbHFxw}{oEJ_-(hT{JpYQe zMEfWAg?@aI7~f|I8rmZ5OInNe)fgAoYd+hq7~hr>-z=awd9N~;5Z+y0W;y;p%5q~Y zSA{3H#AdX7NmZJ#uUKNgt57#{;7)~Z>{cZ`im5dph4ds}wSD2%8NH0L~KEzR!YNl-CmK z1)*+>(nLAd0bKLWjH~)_+NWIA$po~d*nL>aMQ_F86Kd(sJ;=-CLp_;rQ;%IgZfRd4854@WUjeqR~)bBKG;TC-+v{Lwd>&auoB^KUlJ>zj6S*!t{7cEph zwM@0tDmBtSZkZP1SBqag*0tee4y*rF_iNz_zU9XCFB8%Fu_^k zB>&SyD%a7T-}LNZ2dRHfz8|4%7bj5<7k`6glCWR;%jNPbsTU83DG8|a6Hza8Tql+E z?Ec*?p-|fX`qY&={PmSYn*H_biKu`1pX;Pv89VWvuTK>E&qgBZx#sJnCXDIAl7xB| zC!(HTd7V_U-=%p8@_TDS`&GV0OTn8dawCTUZ( zItwa#gVvjrRp>``EE$VJ)YLBpz6dn=%!}k zG^9wX^X1+T{JjW;^r}+?HFYyAw2i-+^vYXA)v1O$&d1Ym_o&3Yp_*~ikUH%VKy~sP zPQcUDJ)xMblb}nq0r9t{s<{}cPN=&d6h&9(D`GZK!wsjJI$2Sj^QPulak89-J3tLU z9V1H(GeK(BMn^7a-vkb;YM2j_hY<>=Yv{N?RDTDcI(zU#;8e4sN!Dpdctgb;;*IY0 z6JkAF|9dpM;_lIGC%pImOWdLP zh{Hq~O8-a5ayeR7QcUAzrL3kBtfZ7g>yJ8fP+!zrrq%4SuI|=V^Ta>ywU;X8sw}En zq{^-;0qRUW>oi7`MeB@3Rkko!VH?m}&a64z6TPKbM+wt$%ceSsPvy6PSvvjY-n=w6 zT6uPJZasZ#|Dtnxg*P?Lf4}LK;mx_}HJU2}V`s?lti&qq+u9oK|7riGZPb2Bz3R`k z7qx>ar;)Z?3XzMO^!YyhL4@=C;ZVZLV`<0SpSU+JjjBFix+aL2s zoh1+75c&HZ$p9aJOSGPebknM>uZ5%+<9+mM!}m+2PgIu#dhoYF$mMXvgRrDi^gCh9 z4pF(lDLkhkUKjcwSAO~Y)CR%p929*$~(AAl>-v#~22xMV(2{i$av&upql8+)q3ECe z=%YPU=MDp&q`rD6_tkQ;=<~hVy4xM!uBXv+Us(0!s^6ebtbSn_18MxH|{K7_03p~qSeS&owBRW)V1=g zR16cnCu?54b>#4caIe}zY9B^i7{93zqZ*A{U;aL6S*)#puj#D4DZS?3OU(6@n3d6b zOmbFUv&5`~hAaE`rZ|D`b@!&4I!pa0y(LECOmj`W4c=qfO2y8*Cr4xD|Q-Z>V0)dZ!W0!K#5U~c7LDJAOCLiwHsb_biMC3z2iNN-eCH^-Ow-gZezXc z^cgu)`Hp+HIjQ6jZ%l@Iw;{?13XeA%D)}0f{P9%wYop`On00;th;OD6BS&4m&)|JS zov<#|J1E`}CEh-zdb3yWFlxn4Cg^H4i#jP>os9kq;P|egW0j7sPNP@rTk!UxH6yHg zcUSMS{{x6O86EFG#%F*-AN^lJ!MIY#my|al=N!uBG!B0~Imc3BG7{umh+-N~u=lMr zmUB9074#ff>S^FV0>sRN{43~~H_$Pgq24vsY>b)*&_CUqbDElO!JEF0HBV}tla+s{ zF*;=GC^7n1L09Xg)Y>e}Kj`X&`AKp4gm(}F-^OgW1AqUUn`f~c8^pg@o()PclfZ9a zU6gNEtOEKjl_a|FYdTT2BPHQext@Km3UZ^#Y7=`I*A*H%+0pk*Dwg@#?tz)!q5mEP( zsQX5+vQf=RS?d)sLuB9%2eoccN9$^hMeYJbU#Mmcb&Orq+?Ihdq^r3=e8n~j<7#Sj zWU5v=Tr1!Ej!duo#p;8#GE=RJthuRil~~W*SWkzsms+K%MpU;jE;V9PvxIZ4xYX%m z>&5l1U%0XQ!)4>tO>tMYrU&S$+CQF4?TD{cH0}=Z+*Yh!9mdG~q%{ub_OrJ&E$1Fm zmiL+SA}Mh)qAloT;yfd3WxZ^a&2qM!Cl|`aa+zEqSIc#=dWAJEni_LVjRm%J;eGN8)NJ=H+yiE_F+hk_@fX9Xi@%NH{IFBaLm);flAm z8=jGWo6Ya4wSMGWa*H!b*dA(lXtKzC_|pw_V(+63k9m=5?s#mn2N` zPp5iaEZ#}=_kHwMF+qGnJZ|~gF{79#?xZ&4-Spn^LGgg7;aO7nIz+O_5c$+Pyh{ub z_fYOk6rU7NSiVl-5kXNP-a+pw@1eZ=ka$o`64S8?mN|HR2(KI&Dk{WBL>09hkBTS7 z4Dk^M3%Ts+%4`EW5vhC!=hF+h-bu1@tl|!_>_2BG>d1&T=505;Nd|}J*0D1s}Q|caj)W`=c z1{?%93~(gi=qdG2JZ@A1jt8s;oD5hy^|AVgjC#OEz-GYNfb*s{JoK=!5O68rO2Bo1 zZI9JI)@W=8+zHqTxEFB$V^2L%XB+}N3U~tWG~hW>?IGhLLz@FI09Xh(sILC$$8BYR zqX5SMRsl|^d*b0bTMgh8z-fTf0cQZteEg9qkJ;t`&IeosxCF43#5CAe0ScEAq6J%IZG4^L-!9Pkw2S-=Z`-3<@dHJS#X3osQh02pqd^TjZHO_+2Is8gF55?MEZNwmvX zhwf`Y8?~}tY9Ia3{g)8adZ&I+KdE0dTt>(!Hij9ajfuuIquE$sY_T1&oiT-(YUY}yW|>)OPBN#Pv&|NB zrMc0*#=hC!;aK8W?PzlrIfptcoD=AMrpY_o~_n%mwNg%>p3D$HpS&@gzVn`&HenF@L!`=(Q8SVX+2k6;nQh7&-Rt+ z_xAfA?E61sQQ!ZWr~3X6kB<9K_6XPYwNLi?zW&*}`uQL0>mL~m|0JAsF_7By5j1`s zOXIm38qw8b&k&^|GFv@!Le_Jb^*p1Vxh2-~h-x71%#<>5?zrLUUu73Xyy~_VHeg6*_*6;s`zW?u-+VB75 zH~4=?-~YwozW+-i{r=DC_y7O5_Z@IiBu%_Mad+9B84yHIQ4u{wMO;u36K2G$$YH{S zWCava(KDiFI>m&D8FNCwoHHt7PMCAfn6tj>+7W@%yZi3+`+V>B*dJ9h{|VjIU0v1P z)8R-?jd47b>pTO`dGLhR>Ix~4%8qAEyqt~7)!Zr> zNyd|Sk^n8f6tHaD!L}U%>vkFJTP9dI0yfT^$fRjJxpsa%z|-F*}HQB%i9P388g6z$bA)Ltz^9U@hJz{ESMWw7IX6Yo?! zr?j1N>0qaHJlE&&)QyQ3@gaUhjZ>%FCf+&4#C_G2@1o{Z7qwjZxvO0DF#i2aJV2E( zK-G{yk8Je~I0!tO(^~{y&lX2$g#^jD&L`%SA=oS)a39{o#CduJe>!;pHmVAv<%0pPuu)YqebGJG0IDGp!!(j&EvAnlP(0 zRp6dHd?fv%0QJXybUZ3QiLlz*T2I1je7yx!9Kq8sN(d6%-IoBt-5nOU1b2eFyE`n- z;u755-3h@x1cJLP?k<=7zwf*E-FMD==k#n>S66ja*Uavm?wzThjwq@D zG=Io*AxSxjtW6_jV}UfqiN^`DQH5`zuh{6J9Apfgrt6#iXPoQ1n>!f^@p40MN7iG` zW2)+eP!k0@xrd_X>Hfm1j~^DKBPlGA<;=A#FgabGD^QGwlFWXj)5+TwQ*4hkn);-p z7xQENLN=3R+pg2_q(~g7G;QAc)QUCkxySeZpDdh`T{z!0MyZ6sAOQ?>8d~M>XeFmk z8e<>d7I8$ojq!Zcjp55*bgrP2A<2e<#fX8hlU}%5LmPWH^4Q2IQ}f!ZMc@ydx^y`E)DM}G6dNVWc6F+Jr<9qHN-ItMUc&HEU3 z^PKf+Y*bvhJ`8r!%$@l@pigM`i)u42RElR8YQ+g?HGJ@lG^0GAbHkP3FM(os3x0;gK_QMoR+YMWiT z)2djTU4_%?x83%NQCFqpqi(u|k4Z;)bPJSf)$(f9L*=?ks%_c%x>N6;6>WE7$!A4$ z>-1{f3u)f=s@umO^c`zdT7o72-E|zf?YpqgK?QQbb=Y ztD&1*9YUueqsHW|R;^sFTcp-DnyZUiu4}5+M*L2fIT5I}{rJjcu2y|nu8X7EMpy3C zR_dfC)~2i0Mpxma_HDPkd{3WKt@|*|+pgS)Q}w~B{PGXmh?K=se09)wC99vTLp=4c ze~mUMR`Mj*aeX}T=l0(Ls#~q`HFrJOQa_8mnDWce(B!JSX9@(;YmE3_LK8<382`dH zJML4|FkVUD3)|kHIkeC@$3mf*E076G^w>er4D;d`aX^ec-1IH^^Uh=U0G{zzkSegf zzdN%2PhohB2!y>5uf4uv#(GY9Z2=f+1!2T(wp>P~%_)%0(-qzHN@{ z4MF#2-5g?kxyO{V&lJ`O{F#lyS>9Q=_tI>|^-FQZG5sUyEi6iuuk3nn_kid6t)>oz zrbb!Z6{Ep#R)QY{=HzBu4vsKji&aS*8;mG=3Z8A*PwM_x5mOC(kWq4%7K-%ra~Jn+}OF7bP;P?QkHB2T=bt@kTe0AkU@ z8_3GkHszH9h2%8vOwJn63gb}-#j>7j21*nUvXA?vkT z*x}z1aVHR=-1Ir>GH|D7A~yB6eP!0|XWUM49$7)OLumG%wiwx_ zhG8y?CI~kS;b$ng-@-5&oiZAa8nI672RgGnmf5F<5E#SmT1{ze<&Z!9e1-+JGJmO$ zF>eu{LMi)MH$xn>d1!Pos}Wj4pTvsK+%oi)N!mqO#^)Saerm5@w^W;4mFMz2sMK(SlEZd1mLX2KZs+9 zvx*}GcjYzq^=+7xT9n$9nw46Xnp=FgFtV^aXKUoT$LAw>Mqb?+yL5eM_A&7LA`H$M zVcy}sgb!8okWg)mOb5_=Vq5>xcl;VH3;Rp0te8PLg?8i}Ha)9eX0iBK`Ihb}Ie66Y z>iZST)#)C1@7vzXxbZlRHjHM7W{4J06Q~W;x^BtsDoV|5HVC0d;MGiV-lB67Z_SGj zrfmJTqB-0rlNQY0#=8>4ytg5AO1`r(Qc2wTb2XUQXFw457#2P+V}p7Q+sYW#YiG0% ziURlv3lOp<*7L>!zpyvl_p|@oW~+njM4wK-;3oK7)#D+Q_*sVGI+@r7mtF)_9bWs0Je6=`c!mCNanl8a;$>Xo%BY*d@+_>%=?+nq#sO-M~h ztw`21~G~;dTCxa9vEL#{38DnT5Uq7kwpKCpbc?F<<#8{nmaUe za$;}KF{)KR9TGR)IG0mTK61N8%aov zrcdb;W;?=as5FJ_0rNNxE}~rMXG5yO7r4CWTD8Bl#~8Dr;wuOn1pQi@K@JkF6e~ES zh)N-N{Y0C=!;$$G)Uqp#d39;24OmE#t3bRyq*y*sw^6QxM9*3;Q6pS%-^zE>Vf$Lv zzl4!Q#3;Kc$;fIboXD=oHz+a46Ufn71fX4V6>sw=R-+UuxX&6;2{+IC>3)N{TFb8|G zbjeN1gIoYN8>uGr4nT>R&m^}T8Px15VM`ymgbTIQd>Xa1XXC)C%Gyd`MYMu~f}-GT zxs3A=<|F0zxhH9TlnkdU|4WLjP*IYyx}!23U2^i_s1emSnQ!X!N68bTZd7VA>}afK zhHGO!KOR0ui9}W7ZsK+^Jig;w;$OZso#a>&(Ta>GnU6ZFoXC0Rbz(<3xZ^BU*h(Jh z6}RIT&Z(U;4G5)8pG{#p26KshYD56!GET=Khs^gnun}rQyCm}>Twm0mliFZ^RF{R~ zFT$EY{tzFm10rY?;}hX$4RM*8k7OBZj@?GKV9ywgo9#j*(4~rwg()-^u|#7zSuGMA zppUS8nP@ui$@W&%EG6iI;fwZ0aru0QYZ1+ZxrF-X*Oy$*0Y4Gzh?l_mZ+?KvXJBAT z&vUeQ;8b_N`T9n~+40; zFlhX&9dw-e^=FC8wq!1(^+Kyo_2&#(NI}WhxUTOQsW?U}CFr|Z#qsh#I-k9O zO!KP~N>7^Z;Y8p1(iD_p4EsxwRLpH@ zyCb}`pFaLfdaaYDF=<#CYbY8hVrVO4EV&-UE38KFTK#aRTMb1xPk6mTawM2D)t-oI zwZuJU#{KI1x0o=fY(3&zB;cf4pH)NLrdpppZ$9LwpziUxHd1b9Sq_tiL5`(Mp(R=W zR_oyI!dWpt9>l^|*|VfmS)blMsb$nVG#2{z%u*pfa?=v-*P0httJ399FGg`|7>6_* zBsRnQLV`<_6C#mI8#-F`2!232diT5xsiPVvC{LKB8_hL3`^1->lS|Y^;br!7yEeaA z_vah-MmrNKlvK&#o-yN{x=Rbsb^+0BfKqbF2xyU@ ze(}TrjbVC8v+;BRc|c-+r3n|?R9al+IA7gl$SIb(IwAE7^-ZE*@}u0x!oXQ_%UTX# zWJ=g5(k|>Jz%!y#Q=iU0!F;q~XYmr*v#C=wn}%j|Y!}oJ%jyf=;dCCQ$tjO?=;n7iGQLas|gQ_^fSA7> zb}25umfk4@Jc&!QEq+px=>OfdfzS$-QD+CW4c_gQ(^GDZU7Hu#Z_|l~a@2Qo zLFsfzI=WwT_~RzGCh7Q&v7ZqlTWA_U{+lPvv*ajcdV)NyStBuBINQZIM;rI^V=uF8 ztkE9ZReI>Skr{2FJZABPm2@V`CAlYhL+Ik*@pm`Yj`XfemirgDp3QS2s^r78T zC-*%L=ajZyU88E21r8V8U&LR8Z+RluaE69E5+4VGIiNCEK(VF0y4I*gV~LF+D^IWt z9`v;Drg3C^dfem0t&_YbnhlF({E?N<4}^9c$FSe9VpE1R+x( zpgRGyP&p@2zI)##aMYvu?6NvRUvCh$edxYmYE#lr;NIXBj*mk|%IFKWv%n`Y9y}lj zE~iR~#z{=AGrMGm#V0Ceu~J|y=DA{5392)fW$$5k%#~0{hm0eeQL{&-Pkd%^PV*dx zHp6HL(HdkhPe{iZr<-OeH^@wz7*{vrZ%C9ey$xtSF`i9-8UL_H?hN7`CpL@?d^Ke$ zNn;=9-2*tMw;?WpjAII|EI1tm66QF}%lzvTvgNXh(0@M+;d!j7MRH{QwwL8SHTZGG zS3URu@x-lk=WVOkm8hoENURvMb3$n=)|IPfTmT=9-k7+zbstl3#}kdl>N z+cCAo3+|@Wr#?p=Gqxn?jG3Ciw^bg0NllEYPdsKwO{E{kF~w_3IHqgqV|KP(#6bW1 z#SJ@&61TBWkt>l(D+Otk%gJz2k%<~F)j#E?UR2%0c~NYV@*Ta;Nq9Y)$%}L}F;62%~!XoATq|c10 z2}RhJMU7(GR8=YSvet#JvtE|LjWSDLY*U(LbqY^rxh%sQRkQ)`53|Z%nc}YXh)t?X;`Or!r`T;j=1`wrfc*`m1_! zy?Es!U*&d}uY8|LQex$3im;T!Tx9tev%r(G`-M@nRFFs)@ph`!lvC-uBB@yaVq8Y)bNiq&$IMH??ENkq{zI}d5B})p7lw#N?CfXRk?q>K zbkk&>3f-bA4|N&#dz{=W)$N6VL3_BYb=3B5##Fx?4bGLqb@*3! zLPcv$agm=9}J@PKSy|N+xYFMWNoo{oEB`T#ReOU zK(Y+VUejS~0BqXlbY6)DaB&IT9ZGQamklFi!89N2k^M|?@8fIk4bRCJJ6Z1IUN-nq zRnCz7D8tW^4-;)(ELx|Srq-Zs<(~nQb?zIHWv4o+K1*l0RMS2NOGkUw)(9K1vMzh= z5Cx`t-&T?Nj#sOkwBsq><_1sw}fG|B2VFW&?0 zmyncJ)r&pj^2t2KW^X?Q*B;mA=B?|qW4)qhTnJ0w^!U-eDrM(48&+Ep^fc8xrSOW# z(PvHVNge3lKlFH$epQHltg*iIXTI*4MaXzh{cyhrumHa z_+Y=Tc$fc{ZGRSUQ|&R=XP-s%#^jb`#j{?4dds*abyr;ISU|XN!f$!i6IBG&ZWr9a ztgIt#YxEb5K#BBR@!N8(1Zz%3}yH*f>VkvHTU}-R!Ap+3_qLIc$~mGZ1S$*{dWja9;cXkdy>OUAbnmQ zeh|(4<;LuheP&#mIxNDpm=$4Mj2cW5#h*R^6?zX{u2FA5G5p!|VZ_DUwGMBGxx#g!iGpFrNk@0NgJjx`k1SWH z{Z?f8h!5%{_vlk&K{eL2V!TRaHGAU(WHdxCz->lUP-MU43jVML35qZm35HO50aAPf z4~;S}I+1;g2X#_@1lO3!gl$pEglv()=oB;vH*@CzqosGj`s1gN}3sm7A~6x6|O!z<5Q&kEf0S+=8I`)O4KAKUn% z^oFVHtQV_}NpI0J`}9rh>(LhRj^Pz|OeQnv$CEdRdGRJ=ocA-F)^y>S0+6=7oIf^9 zjLUD$JL$9uu23)qcMPxYknW|0YX!OQ=y`CjW!aBMCx*rAQ*gk`KwJ}prx5vs<%RSybWc?JC`^Xp!!pbd zcW>b*Kn5?6k~2sHmGf(AKNZ>lxvZA(Ue-^r2j||*k0uAs2hBe~81DH~;e_Xg`NP<= z!p6cwhY$66_%r(}%DG0ok-#R5`ZOY&LvXy*FxrZCEyi)cvKSv96f+|}EZzoA2%VV1 zhMkyR9)$y%v>x+DwwQI^M+dS#jZe^|o=99A{{qIV_s^s6J^d+W2S!k|3Po z4{>;A2v>nqIJihMy;z~i{azc^p%c)WVul^HQan%sdOQFVC}D~pI7}aVzUbneLiaEV zU}t~u2XtZzLHX0v=chR2e<2(Y=$(+To`LiDFr&`!p|e#}J7m83Kw9d3haYEkpuN{R ziquGDBSu20j?=+@M(P@NO$Hgg;{zJT`|2=ID)MEZuNOY@=fF&_R3xhr!+C^JClAs+ zvSW2C{=FFMH(dk)30-58MI0?06xK<0rtxXh6Yfd)ACQD}kdcsZb& zfFAU9%LDmu`52roDJAKHy5i;*+LnkG2bH7!8C!&W^t1ZgrFraxS)A2`d_;8_#m$2s zTRV#D2C+PxV_F8`J#-Xk6rdc06+p%O=PU|^#boDSCPXG!HfA!w8TcE<99Wzez1tk@ z9L|9>7PwZJfy{pjHy957WW@0Jdsa~6n3I-RqHb1h8fX0GS-=1TL#F*!-)6_X1Jt_BRRGXf!KsD1qCv6h?&ywW{L_o1Bcs_lyZ?4^v`DHp0@pl{!;UjVlThNOCv>ZaHHXp^ajS#mlKhVm!_E|aBsNvkOd7iSxwCENhl$O z%v`-sKHY9*T(BMqZcT2K=Qm4L+UK=PSxX!z6dUl3LyaYY<`z@dHPAhkU1eQp&(&Ni zPtf=5CqyJ4UPXtF#y^$(J~YX~ITvVuZtw54b#deK-k@?pJ!;AI@NXKHSPyK^$h#DLnaEi|1LSO|@42|5#Z3eN+ZY6K z!!ST*JK?4++;ltR#VsbWEum^Ap zBSOlA4xoaO9y#m+ET&{50mA?>(PczTPyx>9>A}Ogz&!vP9@rB!E^a~!xWY(}8} zlGj6lVL=Q)6AAzlhE~Wh0EkObj}QI@VvsaJ0LY z02+1zrcl-sgFgck&}GCv6M#*C2^cb>pJBn+Ky~tZXfO__5@sp!0?fTLKj+ zoe06~pdX?;$N+N;-l$$i69JeWq$|3E2++W2iyT%1R#P~kfUQBgQagNr1&p@9VHBVpxf2#R6SM-{!3DIS z=UsEf-Ns9KgRZ%3dn|^&j;@J%5gkTgLxMjQwbZ$?hbiBp&Mr3=75wMs1F>B?{7}dU zu*I+pm*xj9QEUZ+&;UZ9MyWzUzzGH{@3$XxM=#UU;b08n(ECGfA z&kpcWb_Y_-kAYs5O2!0GN@|UjT-n5DLsNX&%5PD1>sB1bq6Q7^x5*AczhL zl!gIdfPmz)Sm12XHSjYgSQ-ex5Vf7jYkvQJ=zs&RB@4L$rNVr3WQ8pdzk})6-``*$ zwu0K)@1?Ni#=`QwfY;^=ivAQ7NqFhRrKrcH;Ql`ZbTAepht+}26!j=zTab;E2|r*N z!!vA{9hgmV2@S>rb&BlZ0BZh)*Ot=yzrvb%x&%8c4kQI!egaE@1jIgLf#rd07@pC? z#z0caOBgT>NI-Ol7NCTYmsFiCVQ$tIPd5-VM}1mIVJRyR!EGtWhi-r=BLAeIL;-x$ zefx1xrzhoYX`z!@ttX|yRbb6Di{a{$Bh=ncsJ9y&>y=9{=!p*ZG)DCHw1)L5CsgzM z6m;iIx~4IM@C4G6K!J@w1l5HJoJ?sg+RXG zf$AW>0O*tZn1P_QZm-$12!>~=4>A3|Ef5=axVP}1!mGbSVuSHNY8chJr@s!Bb!{}h zIl}3thc?UzO-XOp+9Mt!ocG`enh1FP@@O3TJwrBVoUJ6Kgdf)?NaFilVDHN1+VA4v zVrypXSAltyls#@7DFdnPgUhwv#X-&1jMT3JgGea@?6_ANQrl;jYk`Xc+pU?O0|gop z@WNAd?1AD$;aRZHbc4ZG3oiW|(nA7&<9^l?9bmQogfh~MN{iqO*V5E^uR^qVy(|t| za7cZz6u2*b5nn>+kw|zKaeFi}x*xq0?Qb}T-UfKJi8x_NZLg6W2t<7hgxkLoz4gup2ao(MTEKUOZpEJ33QS z1@M)w=XN<(=8iah%PgYd?V&gGX9J1oB=ZDyjlGpjy zD3+`4qg4(kdne9X#MO)DkF%lKMV)IMi`$Gp%D-EfkEsZw1jQ3CY-2Sa5Bt9b93E*` za6XTqztL_Wx!`lNw-&1m(VE974{G1##Bmj`*WWo{Gfq3n?|C(Yf~`_xnSNI zXWZPsdpq0JJy*DL?nBGW8R~ZSBYhIx(Y<_UjJz{wdYt{TpG9}%A10lOpUIuFUnbv= zcY%9_vHgCsW*^eG$p=fX9-R4yl|SujM3+i?<|F68D$rZCtQ%YglhQ6g3 zY&nmkpMrgH=dbOK^ISEuH!#F`A3B4?Fd=9?F46RQ)^|^FD-Se~iyM;XbQ|`GR|D`+ zs*gTOK~DSNoWD{=kF50)oAgVd@xjy2q3NVBe*b%pm4}}to7H4OC=EjnJOt77sc&~i z!?oM-iZ8$azSVNCMY3%v@WXJI<0#GvYwBzF0<0B&Ug)9MW zOlqg#lJ%k0M@)#;JiexC7)2?8uC;?pFY8#2Ufs|1kHbUsk1!XqS(m~mFsXGWxV9(JVeC=0yLKDJ!9-*+`^B2qd;cH8Fz&oT46&v@FUaPyz)%zar z>2vSRr_6h@>Gg?!@M4h_eX4tutql7Lsqb2MDH#*)P>C5qm(OD!vV`8#`euZ%P+dAT z`$s(0m~?H}?UrLd@jN;gTdkd5FzHiKNg48J$aNq?je5OgLUvBr}C!vR=f`l&9GTB zP8pL6B1<1E@d_!VI{+tP9N3olv!qG=M2g$G2!uNPAiPz_0@~Ap83c1K$&KNUzX@CX z|4e>gtn+$25ypk6%2KG8u>Aj)dNa9aLu%xP1g$9>Q{{A*y-$gKKg_GQx;3WKxW7J2 z%=hjYUcGPJZrNDQgE|cUmJ5xN50QJGVWyUMLiD`qSEhv@D>{78>Ji5*jdoBEDq9@*U66Q0&JXGmVVHu9--`*_vqanmZ;Fe32~doZ}j@dDvE>TB;hUsvk1~OfUG3O78=SJaXwhf zXLH8yC6o#xeDDz6!mId6sdgxcSYcE=lVm##M7eM*p87pgEeC=n+bnh=eS9^r9T8$x zXdPcI(T)o7DkO@x1GXbT%nEhlPl4@7mUOeL(s$&mQ5+}`p+bl>8bC1Qnq`(!Iu0Nh zcFi-3C9MRQ5b;8USQeVcXG?gYLOcuc;`M=E2oTdkjd*;Yy?*hJRn=vShOlT$?NPEIzm*A*seyd1u6~ zZmd_U11-{mZL_*DR*7wnr0(5aYi(C}*(z~67y54F76hJsDdpYKe`gd#)BX@+N9apt z->jK7F?PvA$gjS3h}&eQlKe>x#EXQpARl8<^eRxq9vvpu5g{L(%-13SZ>Ar}GW?`z zs1nV2|8I3mQK`;i@Y;+AdgaL!}wdC&6_{#0O+VL8jm ztZC}Hl?q|zL5Hy5fn?CaP6m32jlo)rG{2Qyw53D&jGr0RD8!wxsPZox^gsogWa3jO z9^;~cHlG$D<3YsyY^B{N zja`6zwpq~wcy|t(1oxc-zZqkAWi^byJ+!~$So$xffV0Fqmbq$F#x&n=emh2$HkCW7 zW%) zd~A)i3OeMf>T!N-oS7T5CJJyFB$X8T1J5>?UI#4(nYS577*g8|`M)**~&s(L(?@9ybmzIQo zJKGq2rKs`#6OplUlsfGrE1Oe*&Mxe$_p#)$_UeKjmUBg4#u2WieQKBe=6&UXP1cQ1 z&nwZ39jvY+kE`{m-;ss{890UJh$Dl;W}z{pJxcaCla#Gjpwuyw(cYV($M38*#}h55 zTw~B~21Qt<#%}4A)e@=Z&%H0IKtkS9=3n4QiOcf@7wploJx;z-bw&)?a_M=fvu; zVNiaa$Gjt>ljcmu9G_cRLHRyjKPW@JV)$R~Nf%JXoJ|S*5xGhJ!KLB2Q=0Nu5ZyHzcv#7*Eb4g0&LF?~}T-5sON~1UGI+Lbb_oK(uGs~3Qx`i0? z8~d@U@)(q3K?^Zy<)VwOU?erWS|9ha#G@r_8+R&}L32?_r@&^FE7y(o%RepAk>lQP zB{>@1CyTw#Lsb4_0Wfxt9coeREt9K)x8upGRQJbUa}ZlP&$@m8R+^u0+?_r>GCqC1 zG}-41lYtFu8u+^d}s7Op~!0^M7Z$7>%W4-JcQ0kiW-F-CBE&vxS;H?)C zaN9bpcb>_*c;T_mZca3Ksz?sD)nvBxY`;HTIm(Zljeb-7mH?O;&+vOfve}zmJv+&M zyks;u7_m1aJL%sOK&b5QFnJ}qmB_xTYieKVX=Xi3gHQQQr|)udilcw?dg;Yy9897! zL{LI_d$)F1zi)CRB;~)3-J$W6c@o?oMK5$(cjffilH>931Hv6!zEHGpL+9_|Lme(` znaiwt+q+tv?L@YOG2%Nfor~i>QY?GiSC1a4g_q#QE=uOimUEtqv_emg?2> zx9G-9+nSeK0pkqi&t{u9h7WEgg;Pue$G&HE!%jvIv=V|p3{Dt?QY%-M*nBNC%iP3C z+rm)%+9BOVuWK~c9QewGJtHg6@)HUv26mOE^|ExS6S^l;=Ok{eD!UzIAKf2`<(3<5 zR++{;3TV1)`hpoV4AwQLWY`WBvP11>E89v`U<=pmPTOWrb6VO{*TgQgcsF?R9YG$CRvPm$;XrzwL*=@~uLtyS(I=1{Fzcokh4G zhB-3Z2dR)M@9ZkqPd(ESd!|Si+GneaxklU|d+Y}`@^Vt)^)ndb)C;{w<0@@XAxbs7JfT078oEDx#)IDOp32=t!Q%|sMySf zt}@txcsRwa7ehu4QFpS+(|Y z?iFl@;*jhwK-!rP&m$G|hEPtp07j5iSbKAWFY(_Vvevu5a-YP!ifb^e3)pYhgl~85 z-&uDFEBUS)OOSO{q#PFdYfVnrix!L z&{Mz4dfOL?M>{zEM1g|49&wb_ERp$`cX(Bpojj1d7Vghi2l2Fd{FF%(ES_d&jf4tm zJM`f1AXL-d@8P&K{B9L2u{-(p?0thOb*fRypEtdS5VkgB7pJV0n)S1Rm9&OT?>m~a zb0305FM2#WUJ<>N`XIs- z*2RgfoRea64<3A_ud5pY8%$Z0FSgbYVmj&)>VoPz6P;z}Oo>@)%o35KrL7KN_dE+9Ap4?rZA)_f33vNob-zpz2#qln=8LI^Z+7B$Ycfpc6 zQQjGe`iWOuAVy7ZBjwJxx&f`(o7)=_g8g_k%gUpuC3OYY@M6+co0@Q zqrO9H#&L(mcq|R_Tut;w(^lBwWwD+AVI3=3a%B`3)L0-AUJmA{B>VoYUbT0Nb|EfG zoN&@t&;~5wORx8yp90M<;;~nreops^h?TotSkt{O?WuUKUcVs`N$QW&q4W30qH=MX z3CMUg?dK|wJEuq4y?8y!nz))!J$~DpoZ}}pX|RMO)!5c2NmNZP@7G^TRGjOf*xB$e zM0Ol_uH{O@V_!q?!v`{LuHr8SDU9KDMj~dith`cxIBlDcxfj)>HT_uGdwk~A+jDf4TrV~Ny^K~Wd?R^+ z!UKOb#;;$*LRZSa5*2Lp`W#0s^e?$ zZoRmUKS|5l1u(nKSj=~!#psT^smVs@Myw}8L&sLuPHz3y46^06y58;KE@)L=aINgz^tAV^ zU_R)=8I{)Qr?^WHXzJb)fA;t|R~!4b+B~SH@L_)e{s-Z$Te&{9SdLqZ_f1?+x|aTu z z^A)2h9XF3HoABBm>)DHf%{oTAgEacrtIZt#!t2U$dy33zqQ@$|?glsgc@p{TH{K*% zB-IUvgGWyv=XEoCcqR_@4r55Zq}scm@_EmgZPhPIjc``EY`<>h_d zpE2qdf|ggW86s=t*^bKCOH9Q%C!1{i%zARG#qy9=eb`BPV#CF;>K|7|wwhuctitwk z9vlo^7K2^=6SoT9e>X1W28Df+{6w@JmL_UDqKb)~=x)BPtxoQ{BnCMv_Rw-ygl#j= z>)mNhdfP|OU$aXhb?4{?3cTXCLo>Ove;*z`Gm6ZLkyHs}xN%3^*~bmUYDLj7H~uwB zU9uTeqA2P0C~RK`Z1BG(VYne-xJUisJjS*TOk3t*5+-TpSn8AsjZvC!X5#B`>zma( zYqafl={nF3u&;HTW)j~-N^UIvbxxqX_VAqcEHCNk4cvosm zAq~b%)$W^9S39yFaWz}zHJa%HGp-wT>A@t~+&9@@8@Hx9=)xaRtlAvI>Yq!De%=Z% z52KJh)f?|&OxYS6N!hIFb6oDnKAbF&ez81zboSW|V+wz&NlPI+bV!PdqFvoEV%v#_D0bFiEY@`B`Q@&8^0{Ej99SyBxnzqeMrg{L*b-w{yGf zK%)Ji;Vw^bc@!KCA7jV)rD=H9Z!`ZxV6c7cZOmb7{YtG$mD#<`k+J>Tz32hclOyW3 zmAX~)_Ncw9RM8>fnNVG>V%zWt^G|(=#b&o!n{~@ejux}s@GJ#_^s}wX;;AiVt_~WP zC}B5V@Q{Ve9zo~g;JsFQ@8deT}WPjN%`*@SCAcOhz7^6#40K3a483t&=J zKVM8uL!YdL*Y0V4m!ecuO!;>kYbU$Lk4;))i6N3diJqmhgudG4&50RKyl=vBq?{cb zN8444b8+um+Su7-OicWF^|m`zS|hV0X3LaZOCe+X#q)Wd$3#pW!0R+fAs)ji1Utkw zzx!66{thoxL?ew$yu_PtpL!}t5)63nZP4!}q{nt^W&{#q`D04+CE68ILSlVK`wEdD zNailv5tDy=h!Njhv;?J=#SE-KutEgg(H@7N>Xr33JXJ8x)3%;tCQ9bLyv@m zXXj@J2OGCm8Ur)ad1TG=t+pxpt~xJNSJ`kmNA(lcTS2rZFX1s{=N;Zx28u+~x5DbV z;ECT>P5yTR376eRoZK6U|`Ze{iqmvkfu&ATiZn_A>#8YYOvvQL+)g0%2sK2+>Q7+Z2>Vtjj zJR7r z)m*x;^ruZ~1Ugtb+KWo3;@2kc1bc>ODTy7y1H1p2I$(>FPeMyvP%kE{aRas_( zpSbJdJXCdODnNhcC$knzve#AO*S*T-^%q|^CElFwN;=cFvD`CE#vRvZ_aI5I?UJN> zD)4x`VzMXttiGZ#UcQJLE6z5jD~m6Wi(MCKx+aUukH6rAb8f737F=VBb-Nbm%X?os zl8bLA)(?ya%Z)_4?5KM@@16u-Hahy$64wdH&SQ+3^bT>BOvP>r*t;^hmbqG!SATp# z+RuL>{llj7ZTn>F6!ctrr7rS0l@UDs-jcBy{~4W@iG~WZ``@n@OtbP)Hkwxko?uFR?spCmL571|HTCh z>Q}@h&T&*I6Z-k212*t+l9sjgUPG=~WBqh95F@Jt{-$YSH}k?0go=2|UeBKCa!!Ie}=m`}CgSF?q%Y zW>r~O6HDSZh4=dcm|6LYolsi2R)fz|gj)u$lPL-`%S2b^8Zs~YXQ9Y2*{%`}%C*~n zN6HdZb}#QS7+NIZRBMS>x3zT^s4D!mS4`d7P2bn*8hci3-}E5L=Vnf+L4WWJ7@S0S zu3P_>u8vUA-?r{t+8jm6`q}|gu3lYr`~H;Rt}ibiCKzheoag1aR<|xqX{HV1e9@w+ zQb&0$+E3Q|Eql0MVI143*H67m#Ns9|0#?an>!w`fOLBqO?^^ zeRb9H^6c}D+6#Y5+{xOt@-l-JS&8pm_?U6$_#oC?eAh{Tzn)-Vu&7-V+SsyRmwLJ9 zEB-`PGC#3wS@4GYHUqEDPo|`+TWWY5F|m(|CZzGZ7*b`p?I-v!Y#Xde!qGR#U zRON*(_KFtrIoKW1)Xv1&#mUsr_8(<$WQEAeO3p(5j}Q<*WEL}YHkGuub0KFImjV7G z=p|VZnSrLx#_!UGb}s)|&g44e|KGCjdMcjIE~d6Jc4qefkyCOqF?F)EGbiVGH>8Zn ztZZs-`R;-zIp=@1Ra_k$Y)oxU->tGB3JD?pGp%YbB?DA2bRcJzF?sK>bn!%F{%8B2 zCr*|QF7{6U8Cvw8UoPalES&FJh7QuEmgW{NLRa(pc2a+{X0XNoJMz`Kgn0|CffU zr^CDS|Lxs>=Aq(hk%m3She|G=pyt2J_{?B=RpQ4MY)4P{8hAyT+(|_8C z%<`so<}McGtQ>6b@q1VN@9VHS<@tb+lgT-1g$-UMT&)-2r%E z8hB}z$aE+kT(3{mR3vOck(9D!pn^m}tK7iRuX}e97||Q__^ROtY7b`$BuV{m_kIuQ zQ?K6@9yENOhK5?+o=z^~gt{S@>wT#PY$DIr=D2gtF!wru7eV*)#NQThpyByuQsEQp}xb}TsbNh19 zNbN8lP8*=Y-|4GUJ zfZJV~;z-r+HFeevf9i&4!y%kF_U-=ZJt8+b$+M6y7@w0E*Hiy@ot&PR*Sn_-5@Y=! zcq^Xxg5sea)EfiZ%|XgG%`4;ja8+cZE%^_F_dma>d zmtOudFLDQ?JXrhj>o-vFrQCk!ntLUqRar{&v}vV++;=#pkA=OwP(f*9P_am<5jlw9AJIdIKB?$W2`c z0wQ(cM$cRc&R#b0^^si&&bBFVrDJsAqywFyU|3T>5aTgn32n1M{V&GeGAgR?jUN?} zlv1Q)K zk$A^}x_;TDFmzyseWCi@luH~~)x)UY2Ix)(4UspI@JAAB+}Yv17TJ_d=)b?ZlKpmB z;m%U~1de9W{IQo4GFK(X8o2i+P4)Vz+*td>qQx$E*{es)r!UJ~e+N$W9J)Hx2@T4? zA$)7TlNCGTvKgK1oS-%OkJ;S_e3P4J3F+7bxbeiqwW*_}yBv`&BUcjGk&cPr21E!W zFE|dHY>CBvylk>`WeSzxa%LQCf`VZX!SU!^{DO ze&PG;t6LW`+FfnIa$fPHDKU8=57L_up4>qFm?wy+OoZAt={cvX;RaP4d4AicnjlAG zncXL17_z~lJr>O+;>lpwbdz;EH@U1+%5fLNSH>KrnB)S~&`-1YIZre{SvyQfN>$9T z-BEkt#om8bo4W(i=#UaMh!*+myUiMgA)xZfIfv%!i7Nb? zr*ekLfK}ADh5L084N__nC+pH(=U$=iG%u2dNpi#I37)*9xkJl;W;g8lgoX(FEp2eu z7zv464EoD)7g9$R#4$$29j2TTTG)mbJMWVvl4=?Plx^PBMa}P%iU@POn8mfc^wT6ETy}*r6y(66H_Y1e#g&zx^m`!t`(_BQgPay ziiH)#w2L>PTNUVn4t}m3UH;_H>2^Xu07_W!vrf;?Oo)yegQbUewq#EdZ1Yt4v z$A1`U$8wfZ8%k(?5>9Y){k-)3U^1?Qw5>L8t}G=HwK#8z{}BJ{_1EYw2W#iK zG2_uG=n8+}(DoDq4|pP5Gd1buq$^3a-Z@^Kq3(pPf4wHcWFqSj9b%`*_mPEFu^1VJ z{h3;W$9&|KDWjdX-59tZHaNuc%ckq?EKzxamiXP-8NcoQ}g#gcoVcNqN0L zgjM{z;QePIrD3iQ@qDoBDT$_AGgLavXRbGKirA^1(#>{GNcje@#rUOsVMjrz$Aw5a zM%!s%TK>vuyD}#@hEaR~E32eJ0b`jmF`Yh45&V66cD8S$Vo$?g!Wjp88Tqxe^Gl^o zzLGDKN3FAAOE3%Pj009S{l{4gR(3X?EA2;j_=FOMueXQ^tOE8ChJvk%{*h zztisysq4hho|zkbL;KqIJV`w4lk@2MIsqPT7C)PRm#~hf4i`T`8o!CJ-dy%VFGGaQ ziH#k4dL~a5nUkJ>;yx^(bdl&sq24SEy{`Oq#kMQDDHpW=j;{2u?-AD~-UzYXY*&-q zuuzK-#V=XH1Xh@$Jh>+!_`4F5mEnF|g9`*I_+|suEHT-nvwzaG-f}ndSHE<}m&(#h zxX0+g-sX!kI^#>LA4*LNb5}_EC3Zxe$94igCr#g3=OeaVANa^t``p@1r}>J`sld? z17q&4*<|-Z`^6f&OSwi7vTruD-g@_E@lanwomAOkTMQE1{W+_L>MZM!__m2yJUEa) zBv53;hopM;3a?IVMo^e<*2@F?C;U}^mP{UA;bL)xKysF4VT@youVOG(lS?WRJLwxy6~cqdz^Sw2Wqt!_Lv z_%y#mX7+?4X}sR^wN{7v%M~1wFrm#c{fdA-A*S4`fOVe~Nv>1AdHbtu;gw2<=t!BD zbO#KO1}OAd@0{`bd9tO9o-v}87B8=;bz>7Y4CqLakzU3@TG|yaD=6}BW#RXZFiPJP z(9jj<@MO$VtLykahNE7ef>s?~GatnW-G35{Mm=u8T~9|ag*W4ZzA#G+ZDa5r5MC_{ z_5+8SV4vjIXsAHSz~v_kNn)l+zNbX(#1&_$$+s9yuT!9c(UDqn0`U;GtVHIJ{tC#{ z#MAgr)6c(x`<#3dq+}p{B0DW_KTPT`KJa@cc`|a?l$j*kzSrl+Ol8}khcOHxw3@w6 zmvH0s#fdEqpY9;tQI)&qIQ1R9fT6^{|A}ZNj6s;h^>NHlh%;6%N zbIFQ|W$W3YDMdc@*4IKGNLJT68uG*Eq~}dmIX3yYf`K7v;iVqhijk#)4ZKFsu(XCl zRdYgAq2h4ad7-5th4d;OL>a-Cu3N0{*$gbgPf%U7v%**9PV=3uAoV3Y3f9^jtQ|-u zMD5V@Ut!b)r`9}Wy9HpgDF*ghHjmhAGE1@XJm)NUk9VvRV7j{d`lGmeoY{V0M}Jyp zG^C`_BCq5{HB8L7d)C<6;0>(y!o4v8TzOY^vcTOvGu?D<8+oH_rBL9!ekJjSD*+9A9XcG9jL&c>dn{y?+)4JLTH=ks+Mh*Zox| ze*ly=ai!YjDawbCmxCW!Qn z;0sdwJRTRWKB|NX$~~-hp3B|iZh>Iu&!0PSKTLL9b=;f{A$44vdBv-dYApYhi*xO^ zKaa5n@^wi*@Rm^m3hCn?=1`vwE=gUIZ@zVvVFN zFWH0l=*)(iW6n6dff}thGl!5q&D&!Qfn<GhG<)CwZu6?Hdlwj_!Oe*fCTO8vEYDUFs7)oURv~~Fa-EOW9_e|QZ zfkXN0VatQ_Lk*exWItDja!G|Vwbok4_-|-nNW9fto&D>s?@L_2nL&m_X=YVupq7@W z@fTmyxloe26A`HRRwesJkB|(_^d*oADC@4zV(QT;^obUDb*O=Pp|H=V@G{g`o-5vPK)a_zOyW)g*7`Yjb&O!q%~;0oVZ%Y$ z2Jf?`{nQ|~cNW_F&GgdfBzg&@m^%$u(5~K6vo%G2Zu`pvi#$%g==R1JXZo*cEuskj z!8}P2r2k_h%?ByW1MW@CHV`#@xahB_(SCD7|K%wan9JDlB+98p=z052eyg?GQ^x)D zcx!Ucq07DV;-}pl&A9y28ZdVL+t$kxr02_Q`gWnKy}rAii)=QU5eRy z$akR8rzafPn!-CmiHTH(g!&4d1y(w*_a>~p&Sh8ok4qI#ayDI+h^-BTzzx=4Y`haT zIct6#Hh8Jxua!jDJ;0YEWcA`JMXn1)sTyQCpGhShueIs%8YScf;6(0{(0pbvd&#ce z1AOL#J_c(+9~``h@s}dZ^8OGxXlrt=Q`X;z-vnL>xX5Ky5mwNHJSV>TxakcSDcQry zf|G*8h(0Fq2>(YqH^Ytf-D^MjNx0!AYw*!8F>+3|S6=~53q&vvgnj0U=0T0<27HuA z8A*_&c2lRV!C!2I@K)gKoaYx7lmt0sd*al)f&xs!CFv4hF^eXD+30RQd z>EtUQ`lfHTGvO}X95;@jt3acJ)Ran8I5)>>?mlVOw6u!*2|`~9x^;NcgY{3f3MUqQ z*YR@{{69l^W?5amqda!g%|A4AZ+r5sOX4@}xfsnYbk=juF-8OGL7F?osn>P@-G0{aMct3ZPLKOS*ALC?D}EjAs$6>e}LN0kh@rt z8T|ezVR}AGOIS3p&}?O`e|~2P)^UOAvYX_R(*mKo#DYjbl6#xsZ_=FC=D2TM1u5yo1xyHI zuO4fuaMsfi!iLFA&3^tR#+U_Mb`j1gh9pO403-0e)>oBVCiACDbr>_#k9r(z#qd9902#WbcaqVJ~-H#D=bS0Gf)+}Rn z#Z}bQ>Fl#zICd{eDAV>mP9@^YY)5%M#(f45a)ku;VRN50(~RLbc42eoy$)C1GJX?F z5iD=*+E#QC#3{{+)`erAXR^SA*BV*9}e= z+u2INikbYEJFc3LQ}nRv-$7)*`YV}FqHXNlJ;UVR;a8|u5Y`oXzE6`Z%gZloe9ZN+ z+Q4e|O=!s>XZfC{YN?0cf9^+W+8Q|fF>M#=f2VU zEI#tVVPnq>ogZ5(x)hgXh%CD==@9?-15-#TNV)!)$EhrWYS-2SV=VfQGmN5|th1)z z&%?afPEo+mJ-aV&Q^H;Dukv?BC3UG7++jT|AKhshd?wBlCJkW{y`rdS-}-Z>OIvND zJIGpW4BmjPlJNpp>n|*~M@mrAyA#*c#j=?j-rCTA)Cm-fV(pu{&{3hB;^2dxpu1oL za0I-=U?lGBsj+wj%D~Q+nEnKa%gl@L4rOuKp<5PMb6vzUzKKcaG0}nUwdDQJ% zVCBo4$*#zqbUBE}>gFi!T)RbH(*YsZwIo)flyOsq4=RwSO_Ip<5?zl5PY}M>5vr?G zk#rbI<|r|e=;*KPauD!}$zwOv5&Hyq%i?3Y^c!K(f>4)zrMZ>xlyZdJNyad9_k}LB zr8|+`k^FI#2ts|>h$*pGS05jI~48pF}xj3)<6h0`b!HPzh{qfr+i$_wuLM0cj6kVqglW=L-K8$*##+SS>NwqV3D-#h!Cyl6wxpVH6SEGb}q#E4-svz}1xUo)7o)tOy(zo%M$ zVc?$44F5Oc70iPe5r}rZXZ1>A$cMNZ%b4Y_;K6#EH%6R_ZxSbgD+9h`JMr9jce7Iq zx5c~-#;GU=3K!gOoBlzy4J~M}_(B|%y6H5YYenM*nN9GK*^hl7sZI|u04fCmQQD&S zXRW%6$DzN8*2YX{o^~T*gfz7U>(X3rX`Shjc4HLe{z-~oe~aG70{AM;caw9x*+T2G zhM+ur4=`(OZXrFjU}@1EgFYc3Js<{{C2;>#EF7o5dL?3l_aO3c`zd{Lq=O^YPWF!T zQvB(5>y-Q-DbT#j@Cj<4&?`Pbt2dKOU(^rtNuda#0v-|J>oZ(aq=hQphHeJ08pl5u ztUpXyW>5Fot~bn+IqCI;#sP-GBQLa;1NCkc!20KXf!m0w%QzxVGF_ksGvass7(e>I z@P@BNiUk9MZ5cZopfJOJ%l(uGijZPs6E?ezJZfUD{x_M~Pef+1QRs7ZFC`xWqBHG4_3n`AT#&!d?vKcxcuP--qjf}{3& zZ_FnH3Y;DC=mZ0Crxq7H0eYZ=Ac>3+_(A`jvX*}~AP7C7H*5F|HP~w<_Y#1K)m{$8 zihmn%x%+k(&;i7rr{IKFc$w~IgU!n_FJjR_F$70a+4>eW$9S%Pn zpZ-Klju9rGK?Nx7zok|E5UCGz?@aF|T0`9rq}ceeka?&j1X!%EPtQ1blK#%7+6F{% zwv45&;r~cAG$&G#KMX%7T48WM$LEf|%<5o8oG@Hv0!Nx4Z zcSZD_>ekzT5&~RpSno9G`g!UU2+$jF{G1KN1;#{Os@GirU|^?rw4%%S4E63<#o{9X zH?(R+arW?w6j8kI9#m?#$8j3uv(9Jq_Z5(}^b4=te&DooX0r-#b@9#VJY4t=la#dO(@m> zA^0=nBsP~~Rzc!xbQe4@wszdG`;GSx<)!m&Awbgo$BjN2O-8Ta)1Y*GxLoQ#F@dM* zP-4Xem&(?wu2BJ1wn|;h78fK*GZeZD0F(#5;77fq?hbW%AhLJLb`1?bbL){G5EI?Q za6#6_)&g4MPR(Sj$gu_<@c9|LZDFpV2fF={1;3iGzJjts>=W&wKc#LXVmglbV}SWp zK(no0dKa}BaPsp2bsoSe`W8gNwC=^-r72u=LlK!ax3QKRA(>>h!wP)t3tZ<4X-Vm1 zqM?^Qjq2?pp^N})()G9-jwu&w&EG8wi2|G>Nn0GDTuialp8WT9v*~V`5;pKI4Jz{I}8`#P$X}A@|zUUu8e?%fnY$n3fODO(6GR8K9G^awm-r;#>Irp1^7W zE9k)}%L&-Gb-Tm+AIGO*NmzhNrZ^5tAcn5SVP!X6KIRuQ$wj#W@F$b>bG~wJrOPj3 z#T|smwPW$?l+QE6*S?lpjRGO`=A{BcUR;FHBqdgI?AIyv#1q(_9x+|Cso@X>kH8A* zesv?srsO`JJPR79aZkqaKAWYbrk3FFqE)~;dPXJiE?0lqJUB>zDhePAj+@jwV%&+k z{ugkL0S_h8oi7nTUT5wmMU{}}f3hHRuQx^KBrh_$#fSXrc#rawyW0-0vE(k2jGCGe zBw@9z@2g|@1;1|iT;^p?I*)HU5Q2;OhcQ(Pk5%<(vbWu@?H&7|L$!4L*K?_{>WgW= zRG&xNgcSCR{7Q;yK%}CK@qF;b`;z)5&1w%}>chyL zy}3JRFlu<|wa`?UrQxK*4!|V zoL#&r*xi>rx_;9QKsbuoOYLyp2B=ua$?SuRPpgm7&U$eW>1g$pK{vVkz51*0j<4Nz zyqQXVMy#meW=bdhB3r`~gCi)x8jcU(d;QFk~kV7_8$W*a)fyXiT9D zxs_A}+)VaHC!R>PGHLiN`BkIc+IIdHx-u8M4^(YM4xBa8;%<3Wo~8usEP)*7{hW+P>0Q=8RzcTD{ny{|~VXw=sna&pT^?xQ|SO?&{T z=6tB+D~g>gZR7>NOqEvD*B!zeFvZYU1!aRf_y8?HtpC-gdMK4A1$Z7NHc0znuBTWT z+q1jAUMQ|yDE^AgHcZrADe&bmDBcz>zFp1X?ur^MJIuv$qKFuQPfRz=|3x1Y!7cDS zu*&jF1VQge*RUGJo#YL3%^Cg*99lHAqrB8`L2lIgAx`rCGKxBuE{#9oi^RxgUc~W2 zvF*l}KHs_XeS0PRN%{O}?wIPfs_Jm5^)phN+@Iugv0vi1no=rm0btNNqe5G`Zm zjEwNUqM}r$o;#E)qFbin1^B}qqs3p#sIrs?Olm&l2!Htfe{_t7;0;7QnRB4=Q> zR~vnU2x_eOq&@b!iD`(_r*z$zBi2!4=v}c%_6-a{<*ZYDe>QAE1CE9~3+ZYhN6+## z?qD^)bIBh{(L0*TB^0{D7;-H$kEBNT=D|53#yKg7?9`IMJv6|H?a6r&^Bn~@50i5l zIf~{_=_hTn(Lkc5PjMH$pY1*h$7l5*^?U~ZFi0+Xu&T>&TN6Ec@YK4?LUaChp7!UW z(9n&$PKKEH46N^m8z#L0ntqaa|Ms6@IeAHF?!nWVcfqOi(1YT)`g<|Le`0Kv1e>fg zX3CpO@DCI8Mb1RTRU=jWBjr&A^ECPRfwQHaqV@j-USQ*~<=D9hH4SMqx+m{$&6%7; zo4)d%|Hyo$_j?uPpf=3j|4i>UjJUG=-5#nQgx$v#sCu+0Fm1VT;H&j?keN`!|6dqu2kg2L?jf&9pa%d`K;{34twN#q!G& zu|Hu~J5glAp?WV~zkoh>vx_-MkO2#tS)u%0Fty~FMeGj;+rH^*6 zVVCSm|B^57r9KOCSPN`!HvtNPyWb$)`d&|0jJKIBzj|nB#d!KUe04bIiX<-CZ&uQOH~qPBzUD{z7UVM^0*O5g`O_VUs-gtO z$1|v3mdc}uy)60$R4{#=i#I0yR6MOVHt-& znafc#E9Zuu_1}Pzkm%MtSVBqZ}pX&Gk@yPwf3uPwc5{Et~Vi>7!pt{CKo`O_O%8F%zqid+S%GrQ$y8TV7>Yu`bnnWUS)lF!=OHX+ zsmzP=Rwc1LZ5T7e8n2*K`<4($8Bt{@D#1&fO9hwRfG=%EF=n&c9I*t}hkEVYN!&Kn ze+3+7o`w4MGOP6EqH>f&OHm$TGt$9Lo`thj=y%m;>DH7sU~_}q9)eXveXY3nJdyUQdzV@BxlQ`?zDKl{Y_7$CEeR@}ZS4FKCz{ytHtkxJlMPA;#Ey zTiG^O#^@6%%pO%W8zO*O#CisQv6R59MvkFj#xpnT)}v5+Kd{UB{wK|Jpum!D4>3?q z!M~tKBk_jMMYhGKz80|CSB(U?x&EX`Z2kyRNoi#_!7Y zxx=N%&#~anFW~&EYb9(4HK&-7F!D&($o%~=z&AG!Co`GrqlMvMN%fDas z&prD&>F=N&^@UUuTQ!;MMw1jXlY5pJL>Kf8dEPV0ULGp-%lc7hCNlAWugW++j-;}f zQ63_)UlldXams493iCJ}BC7oVQkNK6pm#WAJ~xDaXix-{!AiSGUT>}dwL~;z*_JDr zKm(EkupO=QnI5RogSt9SEHSqwoLyoql_}jok?Vane}|!iil)Y&UAy40-#h{fpB?## zKQ+F5D19Q*Zu)QCmKc1mt)vTYByf~3GaS&&mbbyr4^Q2UZHq(l>bJLHf3XX1@{PZ( zWM8so9n2*pKjk=j@hnVen9b2jy;-<=JYQQY>j^VeT;a`m(D+2BbovvN-zCzGDfU*< zKdq{y`h%CZVd@?!ASpf+u0mA@tGN_aHM{yU#=;v>>Ap|{(65@n)YNZNO!Za!aHj5Q zu0qXhj+ildB3;9fbMx(1gkOQJp%#TNW>2+$$T>z7AUfrmw7}u=EmvC@)A!+q2hml< zf=|DIgRfeQo~Jt9_^c?|_w$bO>|Z`qB#V#piKtCB{$tr`%e47sGY~K6j};Csa0(%T zm>S0C&v^F__lA{pHHwI^aL-|fjp`-#ZCxu>QE7&C&zL%^XA=h!RgN&Tbe)N4VG}B` zzS?Gh6s#awo{2uT_jYZuzq)En^pUhQRsgo40r_8*KF7TYjAPS&i2+hZ)fynD5nQfq zh4NosgI}Y}?!AGUwS(L&*>4U#?4w`EJ@G@%FsQ>y!9=gnWBjwf(%^^;I24KuNWmq` z%kVFTOyxPG`*d{|dx|F&ZZwKxKbup>_PB+uXMHvQ8wZ5I?)j`0Qq^@J9-ea~$TQ`- z8CNhixJ@qsR=Ha(b_BQNV`|hIffbyG%EC0v`#ynY>IeS$8ku{pUK8d-gc-$KH zbL^CrZ|G{Afttt8>+N6Zq<{)l>F>CqjaP`Gz|RYx?G@vZD!xV719rF`PwV&;(WMUy zfU=}kOPY(6&><{*U&H{TWbm54;O|mY*;L)W+C-Jx=c4=cUj?LINa;LJsc7}TqHBfi z!|XnyVNh4Q#SDWDRym0dhxWSX=&SGeEQsq!dvln%Cmrf&mPn$B&n5pLc`5#v^Nheo zuocYyiGd%oe=?A*Ok;(!#tSqHbh0EIuJ~81=hKjxf?hxN^j{`KbEUzn{!o@?m?yCba8CYexC>%6ZjCNB`pk@rU+O1=P9~=St`DA!7u)3MSRK=79s!UH=CB z24eSJ!d{_Ek@o-_E~=KEN#Y;^cf8tO?Rm8!h$);NuAe(9MH1}#VLTLueueJHJG;Y3 zj`d5H8__1~b!_IXj>vKsC?S>)%M_x8HW5J`21$a>$h6LwyONW#xobGtQJHpq%Xon` z>Om@99v%exzw_OZso^lrqkWkXAgTDM zItd70;P)Je5>g<}SA_){WsK!9YRYwX-0)qz4^?l9B-(sR*G-=l`sc&+-(SsC?jOQU zlbdM;bb`)Pv7?%?mWpHyjh?Qr1Zr26or=8{*|lgHF{jIpOPGSSHJ!c&g*QJl249VW zUFQSP_Zf_h%|;j@d$N)Eb&g#?Q| z+ST1%whR5zI|Me!I{MG`xD>P1^*7x>7);_|Y|}a<%EUOXzNMnNde7%(8v5$Wu#P+9 zia2n5<#&e3@6$K<1bTei2QEY813{!6T82Ls=t^ntDZluedLQJpxhsX2j~2C6g4^B$ zZJh<~*TeylPeBWxac!Q5-90w|cIxew z+6_~@aT^D7lyiFR-?k@C?@scE-T8&a{zQ?moI;=^1ucBCpK#{bnK-Hl@Zr;*DH9x$ z(pSxH<@kAj^(aARE-UEu4BO?RVI=fXq4SZ}xK-QB?6=0i@d5HJdhNfafO1}{NF(Kq z!Q->X|KL5Vzo+TC06=*&5gJzu8oTo6-`4c}w_Tzy9qq~2g?TutxeuP@6EY#hE<4wjs8A$yM3{W%A=WJ zh>jGjh6ANYpnVP3gYCCwGv^S1K4uXt72SDEz*ym5FH|#7GV1kMI=s>4IXu0!fb+SL z0mSRHY=2LV&&t)$&raJ$X?%gb$0t%LVK{9c@xYO>ij!A6#;DsT5xBA0(gXYQ{LI!y zn0eo;7pu@$^?zHu?i~8^t#P7DkIqVscgS9*t~GvrIs~E3IH$>&=JD!X!JD)#d{|_E zIIJn;@9!^}=y zSn^FgWJ*2s#kXTuv6cd#7J27V4e(88rmcCSrgw_h$s!vK&<1gsx!EEkX@R_smx^>` z{}5zbe^0&q^sKaD7m|e^KD(RW@q_2c;7=nxF3SHwc`&X~Q79z!*aGrU`==_yzkcEZ zPsUJ0ICO@dW34T}bJK!_`0^|fvYHE0oO8>*Z#qM>PndLGpXb~cbYDX!bb=kr1B2@~ zB(>N*ZM^~Gh;%%V{1~O2G8Sd9>%?ViC_Hn*gwJAyD=x8!mNSCke_-KokXP#?%Oy|* zGxNMBXjydQdn&T$Ru-*qxo^R67YlOmr+gI<(T-8@{*j16%6W|&ap`!jGM5-w$`z_hSTly+)?~fufcSGo50fen?}A#O=|qI#u8T%#863|ZFISer_j~Xo#f

      Y(idk^)7^sT@9xV91lwO+ zmENN&lX+TFncGo&obAX3UQUQs3{6-lO&bw-+>ItrUN_jr3sKAGIA^t!gnPIibv@ws zX7Jgz_E{re7SU*-;h(}6#=g!z*2W?cQ?u+b%Mb0I&k)<=uA0vQ1OHrCD){E81O9K{ z*3Otm+lPPQsn%V_iM*_T){)rVeak335orSPU09P_sLdH_NiHj{n{~hTqx|De{V!b7 zGF-YS>+F|V8M*==lK;twV^MH^SA0X2xFwurF<|f=pligJ6gj(^Ujo1`|<@;~Z`pqaxy)Bob+$uk?*OL-tS1zhjolI(wh za{Q9$Xl+8En0;Iqf@fTq5usI>>&%3*XGQ-BSy-@Z*k^!+7ITzBr2TAF9~TV@JP#WK z)TRavE%skfYy5eO_G&o}l{oT|i`AQLy-$H_%|Zd3@!ktn=IX+lDwAN|O}XUV7AP?! z5SMGHiOPI!;(5()i?$We`sr?@;E?8qz zwv|xTmAEB_Dj9y!O_VP!{~bGigcn!qh4~YTkt&>X%W-Y&)x^F)2kTYLCV|FEwhd1gmRuj_cTcpC_C7A9MkJ9 z9Pa_vdu)D|ZR9F6-}sD71qH7-5?;@d&zPFTV6vEKGxg4v`9+ccCcM^~im~-X;K$-+@ZR;}G7+?FwXjLbL35dEwdf6M=bELmd*_Tjo7XedZMaK3M&4(u z9|I>uZf$a-vKo-D2B$~`;_J<6o$sFr1MMca?&TrFq1~Z}vZd!idM#Nw{=ocnV9CZ78~nRwx7D zif&x3lg~}kQlxyrp?H`(o3HSoF@({^+{-pchf|NIwVj*nZgql8?bmNV5qd#Od_ZH% zZW2Q~Zgjx?{gt!}1kD2?!^hB=3)2Q{Q9YUhwyDdD*_*f zimHdoGa)>hmy_b5dmg}zJ^tO;Vbh%Q0%7Tp{C%Edc+wkPBm=hNeu zGktL~R*0smp}}pdeb2^M7)6YuX8!RNey=w)_@O*Ir0vG;Q&s^Tu-(&si9CXc6 zmaEw-B|Ngla%=PIe4$ERnBId3kTuaiS!-iioOmyxuQ;leam!MWWp_;AcHC+jbR~i~ zu-9=?KFpWJ@qM+q30NyBlLYk1W0R%etWl)?+)u9YiUJmMQnJ&F{ark5Tn}abC7!Lq4|Q@R=dj3c016dbo8gDY$b=YFRr&M zjRfv*iV51Uw{MqkxAU=rjWf!s3jKog<~m>GAGycJ(qYy19bRzV+c)thS!Xu&R72m<2hgQjwYR10% zJ9q5!kIN0u+y+%!6Y`AU6g=C}hc%g(4XpT;Gtr(H^Rb5vTeKdgt8{hFHO53G$Cvl$?%2_Q)%jp`j$*jS}4N8F6T zhplPx!$;If)BY{~V)$4yjmP|<{v?WZpQuN(B)Y`v;ND_u9Q<`-JLF51W{Kvl)LXOeHXrnDgRR$rOKyh zoNV&WbB}4dQt=|Y!0ymmVraJVaCvSfo#DszJzv$>bmj4jo3a_CA=RAgpbe}$^M~^N z>U%#?KdRZ|OUJ*UP)^p;|BvT0dH#Ps$0xuo#Q*<%jL%Rp=9Q33>s0xV>VP=p9SSL$ z+ockP&acq(fx#mi6kiiJPz%XlXgmu&*$4D^X6A3Xo;glrHc~-gq?fH7Wc&~!- zU#E=iQtaptEhO_8W31)ME1n2KLxo{?Q`DIHz_6FAbf1%bw3_YW2-QCbEFzd+rdKj< zXT))w#gAXC1>&O*$US;{91Zk)zSYNfL+%Sq~xJ;t?_9gk!eeHvUyME zo!v^XwE1$)c3nqRb>V^a>21HauiWXVq9P7$4h`PLuodPb{95`f zIm8!?TJ&SBIr6b)ex>_@jGscKqyK)XP@XZ+=!P8YTsjt z6XcGAG=|Vk^e|eWsbA22A(Q08h<&A-)46Qj5#w$`1Gmww1UaH>Ii=~)LP=Y7xDW>w z9r2YNj#at$=IY7|nXyEpf~}D7YRRY%!7hx1Q@7_nul~D;Uu)~NPvYIUX%`8%mY}4T zsLWfX{t@)%^Rkh(l4VmGjO-r_+c)Z9Hka?-J#*?7OszWsVo_8!CMxWvzYaLCR{(EqedmXyl(kr_XPZ)lL$#~xmO+N@p zKTu$ozluAMP?Gc1aKv{MZhBfg#?%^rkon~xGwxu6x(SZh;_!dh)Dy1R7^D7YL2b-1 zREX16)m7G$sqniH_`gN8JK>+KgfkV;?t94L8pOx2d`Q4}E!@hxcZfh#Vj!d}I;7ARY$?PJ;sR^~c|~eqM^!n$mY2Vpm%oq3@y6UjA4)Y1N?~iGADO3OzuAff za#--bGA3=kZdcu$-})jYq0~?Nz(O!y*X4#gWt;{jaQ^B@d`svzjbdC+5q{ivw!T#i z)@4FZ*1l6p0;0BDCQ$FUz{4slHW)LiKospQxCFlt*E-~dnPYt9zKqrl&5d54sYKH0 zozlnTxg4?;x;rWWH^9E+=LkLw^3*dTgU`*9@1Q*@QkiztPtEF@iZXHMZlX$~&rKBW z6HG3@{Q4<<>avXg5)6#-JgjKyljyo?HL<9@pRME%Oo2e#^Rbh_Jb*7mwu50>` z2-yx?zi{6E3hVDfx)|2QHgW`qiW}p_I33d{l3ADEW`M0Eeq?h-PM#-W#>QWZ6ajh` z-1ZbPCfvzf;3Fhj+vYiJYRATYLA8@Il-0Pd`K#>2>RRmb^&736WSv$ql(p~okqLu#G;LXKyIH|pF8hU7D~EeG%(O!%CaH_iJ@6)y!pP zgkFp}ba#KHQYI^b(6s4mk>s8b^X5|V_6eU=PTOSPB{2jWRjlOPR2+B%PM=b9u;xqo z@QE!O0TB;<5+8oipEzPsC&1GYz)I7sE|sOVGfNMT_Jpr`hrtAy6IUrvGNIwUZijM7 zeD|_e9uxoSTf|NR;Fi&i=B+v}9)i3iJo?`QPoX5rdQkPcI zL{W6BE`jgr!GX@<7fbIn*Xm5&-kJ!xe&W9f!z@nD;!qhj;3C8v!R|T@0BiU3`|v zc@qGmRIbTDg%zE#(=eW|vmRNVS`byg+yzcKHolkMf?qR3X2OnOGdSK5vK=`1aEu7H zzI}oAK;aBzhO2sUeeq#$l=;gOr@;sRXJh?LS8Xi&kbt_$^-Km>n zhErP#(Ho97zi8&b;}y-C##>NhKFKAayTOIVS0kE2_ntei>PB+~qXn3nC12ShmqqN< z9)^ch->}3J)=u9N;g}8lIs8cED`xzkP6Kp|3>^+FGhxdudwcedF>uepjPmAJt2Z}< zC%=L&jS^!v@#j7!xV$O4<%ZjMSAZP3sT;n9R0;pA>q3R%Mc$%6sw3{q?*PjxXKJD#^ z7~E)Cymns?W8bX36fB40ZPu;~YQ1}Zn@QDvu6~p;wD$Nm-#W&L;gF#D2yTU;cC5l$ zCFR0OU3B@(>#>ahTBh~%skJCyZ)TC{aNh9%jc;m*n9NEe{k>Z4u;XFuQsm|zDx|4b z>2Ywr=~q(xF%bgC&P=5)%x7YYdWRA%1!9V;^z76Ho-{`Bhoqb`C#``!rN=NnWz+7x ztipM2Z}onzO17rPa9P5M{#PYfXrg9&i%Z{MSfJzysA`R|g6o0ZNnlk6q?+}5w~kW} z`^O)P&R&C+65rP3zkB^yq&CW8Eio>cV2a8NK|cYhIHVZ$ci(>U!A53PSIB$O66Sp8 z=QjM&Rsq-Cn+>B=9Dfet5#Tg7(#y5$^RZv#jBruaf|SWuwN04!Rm9&*p9X80s_ySs zu|HaS65$2le2f_6nWK3|65Lnfqv^g!yR~7KEeF_-op}42^U$?)ko}acKGDW|HRqjl zL0$B@BnH1-j<#S2uGxc+;OFFZA(CS`bxmX*(g=#-5lLNbBEQFYA^RlS@=UhD>*)K= z6#(}&cV6*AdL?judxCCPn-=KnQrEQtaD8^al*1;kcwxz(f@gch6jOV_5;3lgbaj-k zc+uAaf8#{JF=%8MoTgTDd_0#GyJT#4x2He3M0|?jCmLl&eqFDD%*O~&fI9u%(L4=L zhWEry=Y3jiN!L#s3i5$>qC`HgUm5(()YdcQj@N|m2& z9WLw88s9w?9JwL7tI?Eh)Y^ohA^wD8lXvsO!JS8QoGUI`VVc1RPAS77c8g$ElJ_oV$#YS_-(Apa z7U^T#hIG76Pol?^-tq=3kC#81s3ms-z(;-PviQTTdZ2vGC626pEUN4q97?g{viwfF z;n7M2(G=in2G{*ENNm?#dLuM(VPA0sY0~GVLbDANmj6NFa(VSPCMp7bg&*ynAt)F@ zD7ae$2`DcfaETk^Huy19BI-+&7kpPQIk!I1NE-@1F;$>^nDrM*qI^`h+3Li*lSFYP z{gNLi;&hfJ=*9tPxA+ITlK$EAueu(9`KYY{Sy3sY=h&SrL|e4=&OR;i!0JTWPFV#P zCWr0yN@3#D;+qum&w$GqT#ovZpB7X(9=nKjFC>qlbsxGG_M=@}Po3NDi zBW9N>3}coN3MPGYT$Nr2?OC45KTx$$rcoByc#fcN?O9H|c;b>4KP-D3x47W7NfDT& zaja$LC(8=y-^g#4rmnXI6*Vmpl`z?%}mD}UpZ5dI1a7JjjuH;4iTp-d%B@LlZ0 z4^Fr*JO5-YfvtY$xwCYhE8Xi3bHdNGAF;$SvQ+@y55V;2buCqmNXV08XF8$G%xIEP zwo7tnTIG%TpXD8-Yh_Jo$FEysej(mBGaq?%W?~?m#eu|iQD%9D>*DQs^zSkFH_ck* zjP%yt%H2zc?#?s3-?q`5pb4&T+5f)GRcs3NZ*3h<`e3Z`?c4Bh$^!GD9;ZTjyoBNo zsakrJ)N7A@8i|66b&`J0PL1zAr;XwGHReYDGs*Ykdq=gdb6`STG;eZ+i2tjxD}jq~ zd;er9U7|(Yw7jBa(lYPNytAZIlC0=Y7w6PLuEK1#6m4>-hy8Qe#@xh?&#pLXVDQ_ zH?u0_?|)n$aj2s))nNUi#mB{U7I~)b-Q;;-hCSq8r@$q*CgRN9ED7%+agH+BFsP=Qe*4(~B-SA!0-82MWz{zBbK;z4Z}(hxM)Q zWMmB)_I00$`t!@to)g1*+w(qryqtZz<|CP;PjN^7(Fwj`43XuiZX3xON<^Ju`u)044p}%)T() z3jrsrd#^+-yp-jBICJsF+S8>qQdcUzaq8!lzN~9YnSHCWOx4saPhszq!feaNV0&i*t>;DRi*E-Xg|3%P2<+6o6fDIKigWvlj#La-CI~9 zI}ycIwx_KM5`CX=)PV<@rP}%NSgzs-b78$Fl@7uOS|yDQfDugz427n z&9skxdWSq_=*_-F+O2KGaMdpyD1=h(2FT+8-skWp&KfrtN zVdC}ihmCc00WaJzN3-qkbp`p`bnmpetDayy2O>gj3{)fe&WTIxd$SI2Jf0b&BPMlg zbM-XI1FD}@VsmF&dfGCEvAZ(K`SId6r(6wdP~H`2G+ir=;!>sT*w^6v<{J*T?+6OKEF%Dpl*etal(h3Cz0jV&`5Ufy)$ z!_eP7(JQANbPwROJQEIlRqvTi%Us;mxN~5M---G3Jy)jFzopO9d8e>n%|`R{`4uN5 zYL~djKHomK%3;oeY3`YYy{>~26{20S1;?$o)vbOb)8^)}yX(BlQ8!Pn!c0RfFO@y! zS+bGUWuUj%zA9r;=x-XRppqoMUJm z4eq}EqHX>o`|pW7u1nu{7fd7$YDzV(i#JA!uwKlPaUV2_h}Dnos83&4H8xNa*->O3 z>s0z%NGI?9`n+zVgraXBUKg1^F2B%Lza*vadID*dVqK(|N1|QQ$4~GHca>^hqs6b| zoV>O1b;`oV$C!;9Y0|Ce0~48u-94nx8%u`6G%mWfQTeQ_cIE0Z#Te%{>3fZx=655^ z27@bVZ+Pua-5fqtMDk63GkxG|MeOnL(Wzznqu=laPl2}+#=B<>!|j2=-gUg>QOOmv z0t50=^FK|s&RJ>X@^xBV!CzZ$@00?6Q zG-qSIlEobpG8sL(86#ScoA-qbz8G{^o=u98wYMLf9h2ewwPNbW&AfQMR=(sR&6}s> z&$UU&MSdbwhST=)O|`E%g-nJk)fD_kNhPI6n7_`{^??DV2VK^Lv<@EvCJdse@>N^?f9JW(#+4;fU*8|mLb|-fC9JxGtZ{mVl(r@3r>KBrxOkHbB z9)efku-mm7KHK_{3Nv6%_Zs)MlfCx$6gExKR%>~nwP|e&lYcsXhuU5KcGK?tvHtZ< z>KQbCtV_vzKDz82!b+!w-dH}QSbq1&UvXhCa+AG=k~_)^pSh$kuRKVNKXonEtvLOW z$LE4}?-r4qne{<;o5Ek!p4ndef>=*7y~+_@ao&%L43Ee)4V3hyRq{3}I9ZG4kB63Y z_!>u)DuWuW&X=e;Dn591TAg9yqlFf76@DFGG}o)4(2|&{+a-0LT7oMVi~E{C+{JDPD9-Oxm_c{ z&g-2%v|P`R3Rl+8h|SX%DZpp9IHmKXXn}Rki8pfcbzK})x-=bDJALZmtefv7+3)km zxRayGI~Frvisx;hc+P#->t*L3yfORs_mz{(eET+-H&WIVm%%j^@=lWCwbI;KWm2Z` zN1w$Et-Dh_Wr2E@hVu(687CY!Dlk8M_1yy|)x;??&nw9%Xk*&B2a*w1&LVaa_GlW* z-#MI9#ue#UIpfuIuv>_Z$c$>}6-k|^!{@Yzi+OC_(~x0n9Wi72#g7XssxoYY-;Mez z>z!yk9p0@!@?e%^BWuNYw^c`w%oDfVMXhn3y^q#*G|xEi=C*IBGHRYFSO%@y)-?lc z<)7x-E_0Jy_4iroHlHcar%oJNsrW>h^F{V;^azI{JEyq!JUu<}(yl<&d<&1=9!eXM z8uZ8gHnp${!(zG*#73X2oUjFRNoJx^#UF8pW#=}x-)YAdxR6CM{@63}Jg3i>;eM=V zx%3X&sJ#5ad$}Y0RoHk#6O?&U~ z^S{V?2g(<|5@D0OH7fS+lGL3&^TvkV8Os(uK(9B}D_(9_idH+k)#3U6J^F`g9Af(On9?vey<+Si*GTdHM zoxa#_CHkyl%Rqh$hD~zr?7JHQ7Zl(TRN&#QXNk6fuT@Bs+rZk9DCxm^QB9M=>)*t- zi1)s9%VowiX+8bY)5g6q8htD$WY#U5-Vwd`Em*n8E#eORrlkmeHFWj1LcXEpCz8S% zQE$Af=$3O#bKKY^ORCtNCH1;L-c|yoN6^*J@~SsqyREq*dX9sJdUXZ^Ho9Nc*Ttsxl)PU)bMACEaX6oM zPk$OY+k<0+sp&DI-*v`+tb91P#!@5xw$mr)1t&=kE4sT)N7D0@+TyLoTi9bx6I5kA zTaCA36|#Zqi57wxHt_S=yf}qj?lJi-*p%+V&(`eE(QszX*lB$6&F-MsqPCqO)^OBLhv-BEJWiOvTZ9j!=q+QUZ@@Z*)(OSQVpn-mq!lW>5sg2+h19YcTWz1Quz3wJ&rFU#Om3^to_-tCA z*RDvHhndn1H_i`?d5Q#ujQBpRwHemzsI}=m_QurhgO2KpcU_*($_!!&>oLAaqsEgV zJ0sa%&qwB$Mb(EIi>vmBjTP?J`~VqS=1H)%^X0y;%8?HtkbqnIWwdg^3prBA2{P zxyHp8xM>(!yqi+q)bvhnu%S}PB{d-;w)88vPw{Zqinnm{HCO3l*l0$z)mg_HN5-zg zLva#DzJ%T5W8QiXQyMtA2d?~s`hUNR?AF}_!{wUN=!60`Z>aEu<2dw!gA z#L><#;U(NU)TYYFs|t4%xf5kld*wq4!0%7^8I(?qRbXoX?uqW@5BNv^7<{h3mB?iJ zY=3#UQR7I{+Wpx@scUr499+jwzFT7p_C5i&?0FtM^`ZO80f0yN^PW(%>q))t8Q**? zSP?oQ-_3?R{-n-&dT6Bhp3Sjijy?ORN(E0|#lm4B z+iu?!*?WD96J=;2%lC2blRFztERGnDYeZ?74Yit3w;XA#)a7g#l$xL@O)i)-cS$MKWi6d%fYn?-J}c-Z7~rt0iSmA{fzv#FQ)t!&HW$PK5` z@2bl8dsBMZ#Bi%AZ_ZY47Jr`f4)AH=V}rE3L+;irN$vEJiT8> zUT+z-G)UKOsMWN1T#~_OlTJm^0+p%{jvYF{U(p4Ob`{IIms|(OflSJSa_;JK_JUr( zqwTnDpVYYs^;eYYFa240;FLWbWBy8yZtY2S-|^SGmRC{Pcl|38tXfRRx#ekJ&gn<8 z&V7eY>U(E4T#g#On)+Sz<0GX*|KpQPC;&UDND72k1sblaaZDgVYY#uW1Vt!c`tzrr z#-K0Id41&dmXI)@q6&3jk(8^gmp}U_<^omLA7TE` zSf$XZu!~S)6-wNi1#^JRt}Qpj$AKM&`Ei1Mxk68vH&Dn8hN1d$e8Pk+fz2lfO5*-1 zv5Wm9sjF@TG=145OlMMbSKZ0j0knS>*~Ng`tS{RSoCqlFBCT5iOzVf%t`@-j(?bv> z-~tdhA_L`Pd7)lDY_3rOw;H?*Ffe|<9H%o7%-hc=zzYcXg5FfnolK@c z6lkqPEhcIiqZSLbOi&ATA%pWn9O{B2IAxWZ>+5kV>f;6|t?0^o=)6#;NWmx=&5qDw^p91)}ngGQ97!l02` znlLcrmL?1gxuppciQLin?JPz^Yxq8bgO03Q$m91y4m8gx{H4p0p^V4@leqksZ9QvqRc zX21{721QT<-&7VXutp=p0{hW$Sm@xfK?7zA#sFugQDG7E zqhT~a5!wh?2r}pgK*$FTm=Ql369a__SkPFoKr8_gKo*>j05pLQ;Ee!gG6m$SX0wQ97z(DRnjR_v~pn!OpI4rOq6PVFi zm;?q2yoQ*-47dPqOa?44lT45(#EQwnK!HbD6j%f`D#ij40^9l@ixDUmk1kg&7(LXK z7o-6Q&;Qd2%E7}k-u5*b6Aqhxq>tfO7gjmK{c0!UBLszB(^}6I@1ut0cBp7$+on6)7wAe67}V7$h}%E-~o7{_!mTpP18b|U@6ID*Ue6DNb)d5$<-L7*2#CkQHm`5_xl zq2g4qtb;Esc+y4&m(4FWG85dg|FQ`hV4{JqA6QriXNPZ-HsFH{CYS%Rf!pL?HrN1{ z|G#WFg+c|>!Y?+Q440|!HR+edq=Osg7aI!))5pJTI8LR()i8Yhz~U4#16<(0*r+(T z5hiV6Trcn?23)~+I0(LY0S1KDsv+Q_Lv;tvcJQ46!oLyp;)V(G52B|MOmP(z6PwlI F{{ai)mNftX literal 0 HcmV?d00001 diff --git a/Document/Schematic/2.DISPLAY.pdf b/Document/Schematic/2.DISPLAY.pdf new file mode 100644 index 0000000000000000000000000000000000000000..c117199e0cb44e421a123a63437156986f044357 GIT binary patch literal 114294 zcmZU419T-@*KKUuX2-T|ci6FQ+jhrR$Hs|mCmq{%(sA zs)xM^5rc}eqltkH3_m}N(?478S?4~`-pPZ{pDb6c!|m-?m!?;f1S`g%nvU^6Qbh3w z5XeHYX++5JDhR+qkLZY^d%&wH3+cY(6A*%9fCpRy8CcF!< zcp-iJ_%Pf_V(r>;J4*LBayQ%b$n`MIIpV{B0&(>QD3G-FJ_@_Z=;p z*x*^s{dZ3UONm11k6UZ-o?~F3#a!8RU9B9Jn@DW`5GJ3?-?NlcobEf}vpwp*p|2vR zL$cMmp7V2lz!OjKho3ud=y%^c1?9J3vZQ)m;P0k2QCI8lVsQOw2L4Ey(Q7rii!Ja? zVcD0%=*!aPEH%RLA`R_z#to}rG6?w1{^`Y}2dy@y?_oC>4MaaW*z7I@)}OT|dbHK8 zx8DrxmM{0h@Lv}{(+fmyhFNj}e3D!qF=*BucI1W+s?>HK0T1w`(D9zhR`WkgY#$IB z=5*8Parx<17VCo9Zh`nqu0(nqRXI*Fi!sLJD3}lSvE4n%?ed<-!QXpNXSEpaR3pP) z0_kqSDKgtGjFqsBk}QW`yu(j+pH_xcTv~g5ifntg10^fF+8F!Gn0~&6aHVAUop^KS z0nRS^NKU(*54yU2Zf1H1za7gD{oor{2cd3zaR`7}NoJi;n87-W%g0v5 zg5tG59^Ux|G#m2Zm^OB&o&--6DBqzrKqKi*o%_j0yKBvzz#L#8~MD*|TIjeok(;TqQQ-6YN*-CuHCB4(-Gz z&?8Xv#1LDrtg~HSg^+sKRAy{uv@Jo~i8JB2jRK*Onp>`Lr3?YunSS9D8m+J!Pv{lO zX6U3cTV>CCd)@hzD*tl$@G2D_5Qov5O&UMpo~=YT!Q<7ywKc7J3c}gJSpuob0a^6b zXVaa9bXPim80yTAkZ6ANcZXvNgKXD(iF|E|oWKee6Gm_F#qQXlLR=A_ML)zIEn2;( zgIlIc7&xzn2cY2D2T*t)4EK%PH?4Kh>nWz1BVditsUUhd99Hw0JfWb_EBKMaeS{~% zfaBLKiwX#M9M*d>C^EO>nXWr^hjq^Tl)A zyI!Fe!N%3BPw*wVbB!>fuzBE02fSrS8KV#uWWR$SQD_(}X8(yW@zA_m*;E+z{RZ_W zXn$L$p4!xAO&i$V&pxt0z6&9YDsODG=z9LoyJq$^A7B8?_ceEf&_!%FwZVrfBkWPH)+)v#!Gyy6@vD5FrS%@0eZw_aNoUxHv@ABsO&e5(;_owH|GjX9~2W8{ROtU{Gim6hT@v5y>vR>^)O(F{o9BIKf6 zA^zY53_*f9syROqV$t|NLEc60#F35Rvsh>p0wKUNh!#wR2uE2F@l_MmdX+M-ylhF1B;VnLlws7^c<66#oUWx1vxWE z%lN`kGI4_sk!Hi7#^=DHCK2|sivQ||mNe@r+V@R^PD)s0@`T9>ZX_rn`-FgJL_eV| zdfidw#O`k};GPpt3%F+$KkAv-*E691O`Hw+i--^ZB#e;|C!XG4QxdbUOcJyAd>^tl zZI*l{{2q>z?wOx9q!mkd=-4qW%o#i@_QtHwyNCw+2RLlvRj;K+_&u#gbYsLUTP@i$ zeHOeK5g%j<2_NPOk39jch$nxgpeJxv#J#XML*P$IhEO$0hJb}pAA9P;$(i7LW{seG zM^2t+b{*g&qRzzGCVSgkIsnX+NE^bGgeRMh|2+g;XQTnY2>m#R!0-VkiCru@16C)QPT@ zSktettyR?0>munlc6?zEI>)2WHz!vMe3C7x7u3EjUL+8d8NUfHAn1ws#`yeg9acd4 z1N<^5Mv|jHE@5-}_=}2jkUJPf0%5sC8-2$N+w4V%y$T5w+pa}bl6nE`08k4aYvEO3 zH*&qus_TaRTm#%f#QqIQo*jaswH@6e9xNX)c2Xa-ON9?;UuZ<4cl1J@9igK3?ITIT zAUa9HFg+q45CZ8guy*2r`=yzTH&WiwW0jfEdybhLZ)9Ise97F|u!PG1 z`J(Qi3&~ugdynOS`^RglIe{LAB!(VX$xk4LzMA+CWLFX&XjgJSL_fp(>1)op)E(%e znjYICKZARvYy9G+mvnOF3!C1>Kr=thEnCw44$u5y!5HCPz2L{3COESL*0}=VuV}`vF1X34>=aaT~>a zoOH=&3F3&eUL_amw*}vJ+zj<05Y7da^S(tm&~L z7^uVnV0-Qtok*e^6t6c3PY68nz6513At3lIBl|7>3OJlt^zd2ef9j6NmB?1c1=q|B zk05#>YdvwcyxAOdy{=))kOj=N6pC8CF}4eR0=0&-!rUi^G#VlwbUsh45q_SK2x0K< zBB5z!LYa;DRG>oVEZNz;XLjY%onRS%6D2MaDGjJ>;x#MJwKy#V$Ylgj0Tdr7MKbGJ zbZE^U6$TymjD2Mfwe3F&kK;-B_rM;oW)V9^Iq@ioN;zY&bh0MH={9U`~15T7W(gbsx zCPzLkGLcJd#4pR9yEWAE96Z?#0zIFli?y`H-#|FBYVz{-|e!67>aEDjU<1~ zpP{K%cR4NaSv4cI?{O{ye>n`HQsR(R)B3ncrnPAPs79M1b5*oLA*NgX-%&DhbC%ch?9t=N<7g*#_oXXOKthY$G2lgzx%w3vELvd?Shr7G9p z=Z%M=iPh00D$T0AMo6lF3Dt05-E#*8teT?1)$_eL2AXC*cb@^lW4Za$9VgN}g+4H8L0pmAPrh5!6SP)_lt6T=%b`ewEDli^hbgqudFSc+jpOdD1#QqZFkHpNrSBdLgrIeO4NLDws(%GP!u zV6nQ}XKT#}sb{TOa9F+FI3rbGC~Y*?qDc%CM}>vo(b!azRt%XkRU5!e2Q9x(mJe2@ z$ssUiYG5mt>Lip>LxQ1`!;Ofq2i-fd@d2^x#ytuDq_z5te(M}kqScbk+tCPcL;Jsm z?{dJrIeimE%*?n3Cy%?>NE$tq7Gt66bfUb^nuS#i4Smp9IodP{DzewG04BwdmHPYt z;3+fVUpxWEt?w%sHE|=;eY=*DL*Pu;dkVCw$_H(K3bL(Kxh~kMO@5DpRfVN90-=yH zZooDPNH$_m7y30~N?Md#aRSV^Hi4{HI(O3OxAm;MM|DU8YtH$7d&A^E*6s_i{Au|N zLa#6qb^%h;s?^}w{Dtb8RqWkzHLG%}Y6%vRWEm5tQBq2DC}}a@qb!x_?$y9~(z@DF z78>G`kK6u>`lrzS8N>iH!b}wx&)-rFGXasf%hp_6>=pghpqYavZW{Pp>R)1h zyC?roKBEFGB_^=bltYNU;jFu zap3%^v=QIJAFe}~$;(G1aVx!JT#Hr<6JmqKKmApjzj}cXwsGhO3*X8qa{6}lc!-L?f;2fWg;hBFU zM01;G_+zSP(Rdbf&y)^#Uz%GGdTI~QiN%YPK}5)$K5%f?L1-UG29ZFZGXkwpYtn-M zj@vZ(Wc{56ORaNKn6M`x2e@Gp?}ksVQ0etC`-<(0)?>h5=@h0y_%E$Rw%Sk&S4=%& zts@sK3o|E67{Ayw^_j=0?Q3i^ZZ zwJomhzja8|Jvr=);x()UE9`sDZ5vZ~HQI>snbQ|8o*VLEYw2zy>>?&{y(x8*%RT0D zUuQh!Qu?cRxb|qx*pOdnMCvOP{NK5Nn_(kE(I1RuS=FR^l{H!ReOIpng25A$W^9pF zb|W*w*pbJ z9sYSXA2f`7f8gopFntseI4(BMNi=NWwvUB}V3`SR$fRfu0U3!_0q+Sh!R|C*XHFgY zXL@AI>I;Gg5MMbn?1lc9ESz6tX_&mn;GE#%LMSKZ!ZR&VH5fU%8-6o&s}3svCZ17{ zijVMX+`w%<6}6H-m1p>3qORT1k@%=xU}m8q*HGzS%Aid`&HAZ~b$RV8O#oHXqFrx8 z`1%oTKZb(BJpD)zTqYdq^zVjx)72UZ0mq|H9X((M!n&=)N^?T9Y;m~%odjv!L2zTl zVd}K?LeL#rIPe8V{=Z4Ho}>E@1A8Ag@^UkQLBq?~Jq<|nnHfkWW*!iV z`Fy+Iud*0Gb{G`D6_iBaA^Lan1OzGtXS9)~5D)isIW<6l1?ct$LFj9i&L5Q5WYMAm z22F0;h~9PN5dFU@{!<-pl-R4;{y%v9OK;^->whiC%VIXx#g>&PYzKSX@Yzk95<@-H z7bJJ=H@A7Mh_+aD@3`j3YL+chmy7v+mdT|u?W!y&uf6MXjCVcAttDrTn8vp7% zoaSckN2c=p7XLWELc0;16q<^kGz zZ`Tmz1PWaHOxwy2l#BTV;1th+xQ%6Nz6W?b_)ZWB2L@uqFMBg0lJXD1X8yX0ud<{k zc|ixo>F5O73M^2rg76h}X>F~ZO?Q^0lK-aocP9E_YZx$*9e)NCcA*&+tXMD-04Bl! z(e(!5F|&|D-{OCz%J4V?IIsMRa})|qd$d18B44O2OG84$#6l8wNnp`JrK2KYqoQE$ zqF};=S%t@gYX#{K;X_JoOo=c+y{d7>z^|Y4&3dd^B;G<(Ha1&6Xq5VucBwAO(f-zY zk7JyFQ~e)sz?OA_6Pqz&!GwaAVse$qi;0Md)y*=QHFAooq0`9Kr3vpy`ciR7>&aiE zA4rJIN>Xv4d<&Ry^K0t*i{`8UqM7NX+;RU(NJX-MC*DAD`Ip z$iEaeGT%$|=eF@T1Oph}8kbLu%p4+4`K2Qks83u;D zUDfS6EtrD$7tH;^mkK2Kl!rL<0s9)iUVW;kOK}l&Pn4;D?Nk!`_rI#a&(6`a157p6 z2MlT-bbCuIGA0v>;2`KRdrd4d9)>{8K%mSI4Usa#ET%ye=@Auqyk)v%$aF1=F9!a5 z1e6c-58e#&*8IKu%fG>Y1N{Q`ixlqPJ3e3XaqL4C+68v;H{)Wh?=R>NG~Yx!sZzhn z8IH21i}X*w?HQ66=Wf)!H&-jANH zzj=S(M84lj{&L4ZTi<}lH35nOV(?A%^(7_shQ=|l-(-dsqbu<=Rk%t^9J%4j@=T;_ z1(l>C+Q9~4mWPa(t}$DsUqI3R{O*MMV{u2?r6|^|TDjvDUHIg@tp6o_`f0ul|C$BR zzqUr$(oRUK)Ll@@!2<4~0BNDjytKr0U>&)4EP?! z8J040QAbf}hcQ|*n$JVZH|8{<$VJj!0URjf~|ki}dv%#@s6)FjI4xydJwvAYE7d!EG=wGM3O!Lldel zA21eqrPGA#iL&!w_@jESJ_pSFDA*+k<)nnVh8BklKnl&0yvxH16^4)YkFbZC2;{Pd zs0?)423ZEv&ckm`3RoXk<}E_}8TvhBiou;d9ZdhgP;qE1CRl35|KPCKkrQ^x>|phy za2-dB{`t>m-K4_Quo1k}=7w08^xK1*-$uu$ioaXvuT?+Qcq*pPv5xtUo{sUiG!Nn& zfguwFQBDM&U4b#A)gOkY)7DDB=UiXa|KjH5tre+=J^wAx+@SkItPWUFS&O~4!*FJs z_@~;!vfD%&8_ZBNK8J7L04hZ`6r;)M=zK~4M4QSfE|4ilUmf`8MZ-rzqPp_V)xpoW z+%{LadCdy-Dqg3-TN9aSje7UlzIog;g|bX8qcJJcy(ZGoB{*EIA8K6giek?_^>c~4 zz;y*5?=Xen&j}s&R9GVquN?#}<0m6^YnA1L*2>Wko$@K70Y^}9Sh(069LeERa!|7) z!O(-w0?de{hb~cRnNSA50sVJm!cLmaGdo;+X->0#`_aD~hZ?vdaLNNRc7gNj5)=)m z(c; zuW|&qyV#WEvBb2EQrMik7C$jYX@cT-HxL(5{ij{n@Py2;B=~8!KLmBIu_pXH%Z?~* z3e~p)T9UXSt4JHX-an9-h@8KYqC~%!5#QIo>VNxl(Gi+oy!^hy*x9q>I<(`wF2$Ow zle>!8d(y=oo;rksvaXX+Pm{EpCZ&?WLcOx50Ax=fmhjmxwRH|a&27ok&h1dz_WWwq%0RtX8PrC8t8l= zKNO==@a%eo*2Ka189*?%n{XsMR^N`DW+{t@e~RH|zTt@}Md%R17NP6%hry(Zmb-N= z(gDR}+QOV6CoQ}to!ZVoV;CMH%V~f9EOc4~X#*rA(a87G^XQnDJ_aMSRcJn>JI>3s}F`P4`& z`Gd>Usj7aopC#u&vDMq=hA>qCmO_5p(rCmO#HhG!ORjTdoP|&(9>yz1kPA{tx|^n5 zreAf(@^kClw3lmBv8;624cJa|fJ1A%<}A}QSE5P=mt7Xb?A{5@hYM1mxM`|GEHNQ+ zI`)EBEW^Nv+h^)J&k(D0R(!5_3Y>E9P}@;rEk+@d2(E;?zWZ|&mxQ~1ENrP@MJ=O} z?^suM<`&R97`?jbdg{3atPyb6dkHuwlfTSvU*1Mg!(7p6$DYbJ6ig(Wn_*d+vO}6? z1!YRw2Hr>)L#q_xRj5q_7T*?$k)#&l8l21LQda01;tBgBbhI4W@BvnTIBH4tWo%99 zh^-wn;;aJ2oM}T!<3*UTx$=MVP!D^VUX*Lfrb1xPdQ$U#$cqt!xM~9Rj~%Z&=1q*Fl^z$sCvC z1RHyi@9d9g5;Cm9f%z-@CgSkmOE!zJHyo9bMAgr;9P&hTLs(t@?#O^?b^Ig) z#cT+HhinWikOT%%RDp7qmyNZBm=)6miVK@(4O2S&I1FRwmYO+kET*jNEHdj{+mQf5QFATsTs`h~VS< zAjuuZ+|Lb?wp#5pEk}JP&8wNh=OOVv__PojeV+*YFwhHU>BE*87o)v(tUtj`gNrET zw!Oex;q}ttQ#F}Uj&wz#Lm|t>IY<3`=fM%qHNc3;W2Fpv*mbk8||L=7z|q9%N?H1K8YtG~$>Q7V*78s35EQmuLz_KKcwaytHuOBqsPCFQdz z8dE3|^GSzI`4-9qpAHT5+}Y%>!`IYKmX%#Am*HBD$kPmP8Cp#c_XXMj@Cr^w#odUN zT7x|ouv2p^k3L$(wFONJj6H^R9&>j2Y1485vKjWB)`3Vz&$vq2SoTA(dL+rw8!$~tdWQ}Q;M*$YuO|Kb4;^))&4WmomJ zrU@&(wvMceDVd8YTjTbNU#vxMqm;kim{3(#LQCr5@scCyv47seLKb;z3wf|Sz?asZwf78Iko$du+Hr6=?hf`B;)D_942OjH%UN-g) zu5iX6Nw-deu+r;JB6(js-321MibI*Ycr1jLT{3W7I?xRF(*efuz~%SAbtVA$(n7 zukd%d2RX(cEbBGtpW64J#{!dlD;Q9HlB6Y|DN3{IvkZgI30{?aTNtvjjgCBZSAmi; zvkL{8|BND;EoJIbGm&|J5HEm1#u&*_yPUzQqe7YBjd=^}WNmR;t5f4rYC7T&kM3TF8jc|$q z?z_CExG*W*dh4>xks(8NRHrjN)OxFP&@}jJb8aI#x=+jO3k3QEMn`u6Tw~(TYL_Xc3ou1|hN9GWsOhro*zW%eq`fF2$s_q!X$|Y~mrv zT$VzHND=eqXviAHcSbwfyH$xfp|Fo@WGWw89V{=_XkJ3`^jg#tr{hFxhS+prkFtpz zpohEFC`*-Ba*=WM-D?jJSpI;o$9nFd+5VWv(8h(7*F*~2z_gN9vy(zCup7h2iN|CU z*{A zaa1U;&)x@6h*UsEfz+YTGcVXHrdFk7*FJ1s&bKIL;(j}E*q@ahAF>y{KiK3J9#e3% zh!H}^I>!@=2ntS-E+CPEAFB(Yt`Wld;y*wJC31L4vsFm?)f;58RAJ8gJXsLtnpT9rwhh zSYO5H2=-hH#C#owOnuhu>r?HskON@0fc+9P1E<`+8G2Gc9Ll^-TMKOZm=9zt(+RRj zx9MfJ7N$j~?Hl^@*dR>`9DM?Xki*qbpF|sh7|${GaEs;q zvf+|&B?taB~#umrMNh9mZrg6v{FR*a*;e27o8GzW^(+m9IY+s5qNm4%{J_^*`^(aS zA*uPZH3)%g!OPN6Q7%}@B&?j|Ct$WgYsya|$f4L3`49Qd(3guhsN1-@d2?O?Ls^mj zB6;QETcPkb{5#^ax9o%Y9a_0NAxTWhgLbx`H9+G=UEZe#{G9|a8eJfrIR~gvlS`|~ z0rZbHcP3Ep2}_0TYurI{e$DeQ7_ z_1;NqHn=e`BE1`8ZH&w1F1@X7s~%lsGe1Q}VE;*AjZ|gjeSn-uV{z-QrA}T|k+{gl z&!4jZ9=-5uvE@`>wf}g9x;vW%C*J00m)kd4F}_o&ZkZLJ;TN|wAb(<%-&cpaM=M>hOromsThdj=9#)1me1 z4mFO|3x)pbvZ(iFS_vCa5{lS7CAp>2v&~PF=xiAUY9>}xGuGG(*-L4$6#acgoYA|L zXx8e`p_7M`Xua+avtRGC&SnJ&e#-ibUZ zV?|gUG2chcGKhEMy*qZX+D1WmR5O<@sX@eqG6`wopiba55ku@ET<6_IJ_bmH&*3hO zKF)M1yjQa%Ud=n7IuRE9K9}Y3pR5hZ0@l{2aR&OK789_xP4Yxs*`Mx+ zF{A@JZ;#6K5jK!??yn3$JsRUNKs@9Frw_p2CcE5+w5P5-(CbmQ>IL&~XI3#F*HMs{ z&4F*&f!~2C4MyFO)ey@_dKkq`focskmzSO#v`k+6sD z5VD=K3*lUxi{|qCdbg^|yHGGODoIZtw$GlvS;&91Htc-Y(EUE-n!q6`>S@+JIhP!D zbR%sXF{T#GHU!_85qmmhaAkCKly7^0d#b;16U3$#zD53tyh9l6M)3A>ANaZlC@uVG z9=Myd{i!-A)n&mjE@D~cJs(Hqdtc2qwR?`}h|#*V67u*Uz+LE8Y$PCn5mnoZFvUCd zEwdf0Mdq0@#9>rPf5^8r;Sc*8&L)|zvUEv2ceOxEVtcj#th2_R;5tSR_VO0T-mIR4 z>G}}$y3^ZqFk}cr@p^Tq6pL;G)f<>EOdK+5jzu_Y!uY7nzKty_N7yU$BgUu5j_(fQ zEidocygs-NB4S^6M2u=e(rB46bZdAeDMzCA2*E9{M=tK@QdcDR#?$?fZvZFAXmxSzYe>gMX${mktit8gczQ+u}M7Y_j4;8`a!*Cnxg0M)XauCl0jJZhcU zVSKSM8yBM2t+XReYgp4e=DyN-u|Psx-6X}-^r}uD{Gqsmiak$^_DI!G(}tW5 zIK!RzW*^TJi7)Tg2*du;_0b*fGuS8XC(b9vC-o<~tyC`&SO_oiw}IfBKD-_49oHSc z9X^NVr|73xQdQrTMiMd!a3h^X*tHu4s33t+FD1l6?(qA172r=a<3CHb1 zZ~-vAL0Yp{@wrDmAim6DSz|U7>Q0zR2=scq3OGaXq?EnD#F0MlWNwg;)Ev>dvJ?p? zwaGHwMnnfthQr_Yl9Jk-qF} z%w9nqV*xip0rb}G)IO*>g1$GKYgk{lR;*@6BVaha(`pfZ{s>-wM*|3I%G?_%)o=x2 z`ttp)P#S&gN3-R)y(h~jCQTyRP~5yg9k8q3PR?&HQD)L&i9v5EJR;^h=S85LJHEW_ zmWc}POpw)NF>h6K!|UVr?7Wm%+b$nR%P&U&z3Ze9&|TJgFo~W)d-O_qU5KNohd#m) z!)+;iG$=2nwjTqjum<-GVr9&@Cadgt8$5~#(fgD}(YRbkqWe+lRK&J}7pBRmAdWNG zoI?si)PH!6<4x_eYc*I=levNVSbogwf4|iQkhfw@$!>xTQXwvqGxU+#hPvtVSyNcG z(+8`SW_Lv!y^1>EJ!WyzYfd!TGdRt+#)RqVonL_Lh9Ww=f?q}>5e8uFC@|t%CM}VF zhOciRlAqJrb65L5?r8c3v&n9|35hL9=~y}9b;C?Q!gF$Y=rK#e)snU1mGl(a$I^K1shTp`KIWq0ndHqSl zVtw?*-O)lxI^h}mOA%tvllJ8=Qvmp)284(Zn#&SBg-HWGc%M|v=>%f(&;X;;Uu=+8 z^2!2b>E5*vmQ0ntA;$We%Q(##M7?7C-srn-KIoV`GEmU%$0{(tZZ6haIm042W!{MD z*h5*k$poyXX|Tv#DFmX9#9k4Zr6G9PRP#iYn0#2-Kk+!bX`T zKe=~8aAF7K7KH{1E4!xg`-cY1t$qj94dE0!;BL3ybFVD3>uD7 zPF3m)u(Dd%s6MR^fOqw^4+*N#cNTfh*Hzt(NWNdrmd_GkBFd6Q)wWvJeE+sVEhhh?6Y+GzF#u=du!c= z!e$ioM@wAH3@ z$DL_MbS|rJy~1FMfuB9}S`{Yo-N_FwMw4Z)E8BuQ&e<4JtGIt4^$C*R z`mmfg;5YEidyns%3_brjh&9P+?Ye7qDt3y-)L4|_7{^`MYSY`%P1I%n7~Gv?w*S}#%l#5#431;c=#-|cPNn%F1nvYI2pT5bVN6(M2dqSdO9$ zgJMbwXnYrETH0)}B51(NdpqH3iTk~r+cW?M9`P>5ypj2bCuk{d(WT>)xuk^YZ9B>G0h|Q{-uF zU;CvI(Ub&enR5lP(csxZ>o@4%n6Uxi*7t9ynwdLx`tRlbcmyC6-)lsTW#(8uc{}#d%2jeLQgT%k{H&$mcum0VLVk1Ub{);OS-Zx&q<~ zVDs0@f(~1rbhj{@^iV*#h+Z5$i*5x@UIJ@QNMVYuR+2)BoDL9WL+n9TqLE=C2PL0p z)xsjoxiWVHSKq9(aVfC~1Y)1VcnK=rZ_YYN<`1yv3h61e>jrIsP4DrL)GhJmHG$W6 z*j#zQ)lIu8WV1E4CX3$^dHa@T<2htY({irYb3fe!BCqG;!W{$&H@X3SAYrqUKs)~KseH@l7#vlqK)(a1aFY(M4!ygVY*~m4K9t`CZBDhhdF>+R~ z#^f>gED#4!ZMJHRKNYVx#(xCL_$?~FyA6+(6}~A^WMq}pl~l;~X`E3nI(fgb9yz*L zOo1G&l-xO0GdJz)?6nUYGk&9JAVRH-QxwlbROb~g<+daV;z1iE2DsU~1%+v&EE%1N zTIY?0)Tw)q?wsrUOwurNMc@R)A&}}QDeB79X{B_o z4j{!9qOOz%M3UBLFe4+8h@vG8`gb(Av<~iGG3X!f9K6dBw5(m};~kjcsp)D7rAJn$ zmCsh>HHZ`^O+iFg?2lP9_UkA28%q->Vpg3&eVDHlBW}1j3`TIV8>t+r$xH+2f04fJ zFid%Qt`vZ$+8uj-77r)x!#vWRp`_|Ln@wG;dtgp?zm3s|XyfS9 zUCpsoVUp)XT4{mi*-CL;DJdrE6}5F1PLUN;A~CTfNv8Yr^RyU)7@FE9Fa!IzHjjcC z|KM(*M~!D`Xpm2xOv=e{PWMlP{@V{#a@y!-j9a_2UbI!MRqwfqBxfYo{3X9Jh3MnX8`K7D%Xv_PSB%cprn0HZs)R);^w? zWfEK2>Kn#WI9)Ydb`i5};+{u>kfW1(ZAWk4ER!Yc0UU_@fiNC@OdTU#P=L>xIks&@ zYm&~e#+9A(g^*dR!f}>jVOK@H6oN~lf>q_hg7s{7H5o;oaf1de=LHjL0rj(IW);p+ z1m@mQq$Ph1AOq9U$tn#P0UP;lz$qC}r)80&RW(q!Ae}?_zI&P+5$nAnh#iz>mq~I= zz8OsOd>eK$2aZO}@^k3hw=oqbYWfqYkfuK5y4&x4HoWfSkMo4Q&&Co<`picJzH91~ z>+>#@(wBtzI-wu|)t>#kot!me)?$X!*Wq-iwKOLcHsfID(l3dtjJ4Sa_sK}>2w+|d zqU{M!lcE0oJ1w(Pi{{9|{#(WrMC}gHeJL}vJzx~sAEiBopx6p~+qP(qszdPgbr!3A z$7EN}t#qxp7mq8sin_`lyYnX(woVDDEx35>?e7*1cjMDCM;SRzk;*fj%#72#L`MElVZ&kE zl1^wYm~MKKX=3o|q~KFb;*{wI-$p$MkxFNXqkX(Co=6*#)T2A+GV60B#`cDadO|K#%ibNZPp#MsZ^i2eZsGgLlWjV9>lbV+fF{@z8w--eAlkt z@L8&|QL)Tk-}2jZnL4jl@caB7W8-ymPf7ZV%YJhrgydGJC7s2bScp07dSHnGi32$a zI#q?Ai6P#I84L=o)H#39nT~B+nfeT{+;L*qmO@j7b>ZO<_i_dY%XX>m#-WVyP4|%4 zXdhq{Z6<4;Vk2&j-7Tn_r){Z?q*chX*8Sb>=O_6_lzatV!BQ!~{je-!z2er8}_Gc-WDw>+REhIsSm90xZ zP_mNRMZiQJtnq+7Q1Kz@IVZ3(KF31aA>ex(y$duwTQ;y)P=uOO_P7+{f#V!|J9q2b zAC2!W(vv?oo^AXrca2dgTs2Z!o9DqbBn+rfVBAarQ3U=|+3;Oe!Ql`p0z)bkNeq;6 zH>$Ctl=al}lmxqFw`d&5Tv{WI6jCJ(g)#KqBp&)|G$%Szj%_zMpC;{IJp(2#q-Hr& zG2YG&!@W+q-M5p~J_4PG?{qczm06DcQf6PH5Q51|B+J1-m#M?PTd00Ceev>0sP#ur zm?9x+-ArU_~NO&b!B56hL;Lj5_KZmvWK$>dVWvUx0&8jzA7jS6m!Q# zc1o$Y1B4~%Y#exBxbI=&I)sOD42-G@*lnER{ZscxkTRD4O(@p0e<~iZK(WeU&8nv|MdX2k-vQP5w z##KXI66TyinPX#LMuW18x}6G~4DWv&1Tib=iPMKhjf(Z*Y*_ATj;)ly>MRMvw#oyf z-O_KQ55CPmRGU>PtlGeUt>@{>WlBr7Oz~RlK(@u437YEIRlu@d{6>A3#BISc+;ALj zJBW2j0;!{r;SE;q5&n8VoKuFfwXSeI8~f#QV#duZn&`3wOyNo1*1^k{Lgnu=RGPT8 zKT>*1EV16p#SZ8Fjb*78Iuu)he{_mtWw4>DEy2ZP%sL$%)MK>M<|7_6L{OVbRl0K* zse0wH`43{k#8ITZn9ri6MvSK>&mSFVR9OC8+gUrm&+|dGf*tRBxrxl8ZiD&Ff(WH;n(xXX zU8ZO+%{2#v(A2QMdmf z9;*V=ov9OoEO{_>0L8+$NiyfM1c{$^t848>F$c1{DGdk`ImJPe#dk|q-BYXBZEU+U zj?}sXi!$HYF}m{?`_EqD%B9=Y(Fg^8dlj${a4mR98zXnGxAwJjItD!M_PA_Xfwpj8 zJ@TKv&pg{6&&T@!?p%*BCUZ!Y0`5bng4hf;0VE z4fC2e8!B{HRYBf(+N70A=p77Xr(2!RbPk_)e-5ACMvECDff0HKIUMRSstab_OY(zv z!^lm+z!~QavMmP1^?D^cJ^cldic(T0j$2RAes6q_hl?;gi&_rd{417wg5~i#Opfx^ z{yS8Qx*S`?w?8w-QMllEYgtrM-dRQ$-xxN6vb(}Ibg*Udf;qq6OnWa3I<1>zj#gd# zXm2O53L|Z*OV?(|V;5C?*f+<8hFTV9k~ha4RK+cZ6yen)4N%8KiAb~EYmZs(%NTvN zAdOoWCm@sBk2l)g^=nRu@4csld$nX#=#svjwoi4Lw4!V(D(SRB*6rLE0;&UU^1+_a zfwegq(fM7?e`Z?$`VDu{Eef+eBt#aFiNXM_X``#;`;-1w%o56weboF-t?isLT~dBj zG*KiWk=O{--V|;T`(Y-HNel}P+e?HZkHah@AtFJA32_OzLCoazQGk0cU^?yh!Leac zKo#k7hM;kyDxC`ES<3NIx%wUM@2yA$>Gt2C$_s5dg)fVD6?a(@ zA(nc|nX{n%#2H#(VMTL6b4q$yf*om5py(5$9pc@ru+(8|o-S73({a9qMcz=3tOAy9I z>LQCGP5LYK(iz^f#Z~$y;}zl+Myb%L=iP-x0cWlrS7GmWEMyFdzqKqKv zXiFu=dQuK$OKC>IVaGjO)C26z>3RBR6t7I#WAouH;u7jv)$RdGL1C{snO~rwm&&#IZfe^kscjh z-x?J)7`hs<(p%Vs$uV{Z$JeH}6`>-tb-B$(AZ2(59m!4UHe9#G9ldvJ4R^DFnz;xG zm#1v-(MTB6D(O^6!yCEi=4YA~End6+l;+2->-qs1ep%HClg3?sd)HsciqoQ_XAPb4 zqwBi1h&vj#FFftuio!>m7q`wG$WOC67fqhHvj3NxWTk4^xM}B8oBX2Wd*V6b3y@1n z+5PGH+|pc*q?8@jH}A*i&`=1h1;lHKfwT&5b)_CQXry;RQBOb17dN0>6D+E#2)<6fykj}) zxONP*Em!!|wX#urPs*50T#^vU%g1YC%daRGTym5yNH|B1jV?)qj>m_0J@L-|u0Q?c zAu{^$SIK~3J1ZXl!ToQ~Uh(c#KYNSg27dhIW8};~yhCQT?tP|s(@l4EeSG61T_3bO zN>?Da;~X{%$GruwOKJsT3kAt&U21e%)qn+buER#r;rE?0qbNu_Mo)t528*5z3B7^c zhj+6WHmKMP;SJ`hnQm1LX)C3gS}x+CQor?}`gdkfeU=$ifg=p6^lsx}BWYmS==0-4 zc%Q73bfQks36jU{b#s!@fHJ_qOAcp_)9&OYAMXkin;D5)=MNL7!5W4by<1BEhy60r z=xYV_$U`kX%3MyD)8@$GaEuj%%hNZy3UOrJPCkEd)+G&Vnohdl#yxAhT1oYd_Y536 z`Nzvo+S2u`xWkcq;^|$_?Y^(8>;8G=TZRlA`@y~M{<|nZS4Vdt9CsQ8JQEh|d8T<($L&(G#ye2`ksY+4nU`Ms+Ds$E21h63}P5QuvzGN_D3roOX8!`Cr}6$G-X_f2Fu% zOIPjByG&bX-=NYcti`_3!wal$@>t)jmr1sJuxoA$aUmned5!;Nf5eTc=SkAytLtmM zVHh_18+&74${Izrn!|eHVb&A>(FL~u?19bvYhS&?B@RxdXFqhy!A0286)0b~qkJtO z_px*L`f_{@Zf+qtO=l-IK0hDAHWya_0geek2W>4Ok}F{5!vRT8NVKpZ|FDss-)*Gl zXGglJE+1Fg*oTF4**%$ktY_GwFnx386~-xSQD~;MaA!3alEU0fXXj=*J2xv-bE6>x zF=U0Rfhp|W;^(j`yUu7%x+`>C6Y)zrd=V|HN zHQ9w29sa06Jj}=Q^TTFlMBKWZ5Hq!>ls~*&(%bBIvx|vzGk@4!N-KM&g39&51=hnP zyVE6?a46a(8P!djfWp1f%Ad%sSl{*hrayPx(a}z({^brrZi+q>K7HHDwU3`0u3AU9 z8!tI9f~);GIk@+%rtRdkKfgqpIu>{SuxwTHT?m#B^2!4_qNEy^03O~k|*Gkjpo@PRSK2M^a7L+NK>cEt_YsBba&bm_s@Z7y)8 zMN!B^LZ+#vxu#VnVOWDZ*0?(R5KMM%NU>9_CdExCo@#5V8%x;)L2#+f8}O>eP0M*6 z2rjpEBF6?~?V+_cy(L2*&5Izt`*H4z#~(i^i8~J7%gy>?9M^tuGM>#&6ik<4pYvoY zyL-oF&Y#Z&I62)BJO)OZ(rVo2*$#(H7_*8>YQp3(kj?sK>UyxO>F~BXD(g7Vq5QnMo z1{?s<7Q)C4z>BF{we;G!)7R{8nQQh@=9+yPS5rzHyJmL@FEw4tQG*euQQqceF!>kY^D3)#`k{q}!O{@J zc*80DRs5fL`5eQ`{L47SQ|ZK(CjG?ggck7u;X|Eh5XfNRC4oL!x;L)3g$MB=N^sfO zu2kD-Y&-7j(!N0bT;}g;w>fG2>+#VZth1nCxK8i!3>S*r?op_o$a;fbXApTo2#JOq zQN%qQITEU}lEDBXClF)-!yQ^tM^l@6BU3pbePoCP={lH>b~@8A@tqs z=DMs?YjicJ>AF$V^&Qf5sWSQJs)m&PmhK#ASFE&a55!#kc4lw||A#c^^VIL3hR14JoKi=LvFskb8A3x{Zd*^O5ckb+KCNr5OlT1iLCLs(2 zG9ZL~QyA6}P(TPEgaEQBph9F(5bIi7v0AsPY+)&AMN6$#5n8ubE9%=9t<}=$Jm+~n&w9#yDa?EyA8}&RnKFEC+-j@jIX=5A&$7 z`Wb!lm;&}-{?}OS>+fJ-!}np}&$AlzA}|9mI?SSXS&(85d{@82Yw5o!l6n9DgBjm} z%4kwcsFXFqgD}x6p8%@^O&AM`$wJjWUh$aVNS0rmP(~|xn=>035kMq}vH}DVNwR_5 zpRAI5lJPwqA!o@dAgLkwJO8JC=a)NsW$lt^R`bb|>e;g=i7zjaXAz)h@s{#b$QbC6 zp+}Y;IeHWbw}%OZD0;)-fWQ=`$Acx&BdxKwkAnkINjX*ZKCl6cx8@TE5bWESkm zC$OuHTaB;bp)`&%jxsY9Y}8bxOk-xUt4(W^4wE1wPDq*hDHG5bW*DCq#+imH(gWy0 z=05&D;VI@x-e5&$MXBWw7DPxenoPBvfJwo4wfSn8h6o9QD9KouD~d|yX^wT16UCa6)59#(J}mBhG2+P6hZ(LKNyL^ z2SM|46{^Qj=4gcwYH&b^Ue&|~Z3U0S)F9IW0kV5x+0Cm4d-ECv`8}WPbVnJo<{^tQjB5&q%gx)z4 zN-0$#nkRImF{RWsQu1&g{AxZIC^3CSTNXn}Xrla*ZM$?O&a;wmYAV&iQfATh64U+Z zQLK)HAU*(^uG6=cV1LXBokF@Lg@^Fuk(QK@uG7f66pytLaV#D2V6sjl$*W;XrsZ&o zSM2!LMxI|fV#8#KMwVdGLCAj|D5xh`wCXiRGBN^y{FQ1+^Wr5Tfu7Lv@UIzk{MVOr zm}@q(8!^Xh`gRlPK!Yv9bKE;X0Y0ceYs$A5i~MXvklvIS-tcV)psHrwVqAX}+}P8JytwdNS6s0IOq z>R966p;la@3X*leQjcQcGH^Y-1NM6Zw*0I!cjTorx#Lg0220-mJ@l>p)7@|7-bcTI zOW}`R$^G=BPjY(?zXoUgBKMEn8CVZ}hoF2svN3=KIdHi(zlOEfgnVyW@S%(~CY-?2qj}?%j6gl!f|^%~m(o@;PJ%b+h4{bM zZPG{Fq>s3%08&iu{B-F4B5ITolly>Tq>d6rA&wIF_d81J zTmgjVB^0t?y5Mv}6??`l*)#nwxz}@d!1XWuI6JoQ?YV8-am70S$kG$JuC8YpxO3yI z+Z-n18=cmD7xx#uejFf<7&-&@&W=42Lmqdd1Id22lsFgcrS`C)lI!D2#0GLrt^u*J z+*sGBSeBa-o)%lit!LJ8JDHu_z2G6{aqu(dUGT2+Gw_+~Gq=~zB|s%NkYlsl9`}8* zcVleSSsAN$redSqqx{25hlj_+GQu>=6vqtzjFL?0)Zo;zMce|%;@JAwUH-dbzjuEW z^T=-Kz`E$5F9k>zYppNEy6x^tZUDz3#u;b$c+BnOfFZ=#yc{A=02c`a%nTAD0bca> zVj6D|(|C&lFW#8VO=j1oFIpQ_DMm_Mq>I+3FIroHjclWlH(0r;5>R-=$eOxJENvf}_uV?cPcd&ve<+c^R zw1WeHH@jo8NFeTXM$9P9FnolrZC*47=-M{t*LG4XdZ{4?nsiA`fT+{O$I1;w4jZ!c z@vHsvYu-WxKx3?&{d>oX)Q^Ak*sp$_dtu*xIQ(@Y2X5^8{Hdis!^{7J+#jItqlL3( z&i_$1(UDp|^CX=0;RkTu@t1Qy{qS(^lRIm&55v?!DBY8LKZj4wy;VNYL-_Ggto@(E z62T41&_>FSA*-xFYd`;t(gniOQdXp8K>|JU^ccZPicSG5|A^oJk3%If?R|W{ntvqkr$=ETs*LuJ4qhYA@-OwT5Z5Sc z&0C~9%=ep~HXk#8q5RRTVp$%vnC%ve*UB7`C^}tUPr&ty?vq9F zg_D{G$>jo}GAifXm=hHt(2H+-r+gK>Q-PTButBc}H0Y9vx}`kSL1my(!fY_IJhCam zM9SRgJNaD&ap(TuiaW!<#U^25Sbx_aoYz2}^X`0%f&kgP)Wfznev+!8)j3*m4#_np zk}y&HLjVI!SC@peIb~J{SgZpGgn(@n!zvhwcs(f##wu3)tEBxY6`ROvStE{wc<)%;#pgb-a$mo3axBP?OVRNB&nu+XDu`>8kA{pRg) z@hM}wFTFD5#;JWmWBwC9y5+v{_dk}a<&IByZSBMFl|&=sSLNDZ-`jWgm-(($OtNw9 zh=rsMZ&vpo*{`^_K`k?c=2lohc~S0BtcWgT@8bDV^E2qt2`?swl+yD|MKNh(5iJ+d z{vz6^)7Un5=NSU&1k{LhJaavBJ=@N#WZ7tW1C#P!#f;*|mJBZ)7HKKJhMCUKDw!JJ zW>dn%K~9(_q7zY_i0MSRPJ}66X(ww$R3~COQBFK+Erg1jVi6Q!%A@_v_2FUB;WaaY znede861mm1SXp47?_MjfGp#eWg{BSOts$P~o+*b$w%CJ{CQuK5Kp3e8?Adn)(FFqcF-jxk5;f3-l2K0VhMD6LD{6 zH9tIg$kJq+nhV-LU%F2;qL^eZ3;6nY(_{_p!r0@26ZD~h z3TjZOFbF5YIdD1L4Gr)Z+@Ch~2?TAnp;O2gb7V=I$TM;&_zp&ky;4HOBKaWpq=bqw zPys6l>{JvqrGnfKUBVUKx{&cZ8cWag#A5_wR$}>(zq0BQz*@*su}&s}!qYb&yvU9_ zPn4CKj|%IWjE06uIicE>O`Io(OJt<*A}8>kME0kX6s5MFH4clP&=v1H3VpDT&)J8g zE}cHIGeFUhlhGq*c)4l`ZUL06%LgAL!@k=Yd0@@ z=9-DK2IiJbT6DuLfBV5>|JuqOH$V6E{zp^&;Rn+;t=szTkA9u|?+4-g>Wz0!9ojx@ z_zhv#+(hGJ^OwCmZ_%5Zl^u6&o;e|zTpS;Gc=f6??JH@$d@V+`$4Sl%)AjG3n_Coi1uCz(_XY7?7G4{l(EN1#(SXitgFd(brPDHXJ*lywlx zzDIj%p6PKaw$X~Mg$vEpOh^zr!C=8-dt`}01W49QXJQ<{q$O{!HDG9`WiVUVIj_vi zef!Q^xo?)gGUBz+ z9I4@8C5SUosm54qoMYT3Y!i1IPZ}>8<)Cq*5wS=XP(E#2ghrYAotv9!k{tePNfd(u zXBPwx;KheHJ3^d@zv@px2?*kR0nSGP4KBq~69u?Q*e&4a5T;Ei9Z$_ha2I+6Aw*uW z1i6VEs^#WzySbCxMULZ+p*s%AbDq*%iEX3~p8UE=3MfWdUe5)0a}#kE=5zN*>YnB* zwD-6J4+1l0!vAwnv_kSA*ol8z&#WJ_KaS7tH)#g#T|zp+Q^x@*!})G)AQjk0I3NhR zBZt@&A(+&hfk}vlbiMXFcyo1WSs%Fb)vi~#4emF`mZIx;A8V0)L;5sucVYI!~I5 z_|sgZfQ{Yn;IA>|Q(5zLI+>{eF-wIt=1zfrSXcFPj{+ksqpTy`)4){AH0xBi`hf6& z8Cl#UjHc3K9;qZ%==CHV^<2Gi7&pu~#xa?jY@F$s$IUY?cC6%98rM6_oP&7htr*0b z5gqep&3WdcpoDN=fMGcf8Fb zX0s?_Ho({9wpuL~Ab4FauR278lRyxU*d*YT3&mZ=A!D7&z;KW$S_qfqhQO6a##Ctzrw(L;UQ>XBu>6A_tA26GnF`HY8 z7KK$Jr5D-~h~oDui~Z+xCFGs%O3xdIjA<@S`g%Ybu33=<1$SqWPE4DORxAtfltJVW z@|mr~b*`-yo3>VLR{UT~!Z0Kmt`Pn>xBl0kM7;ea==$<^6T<#JpZ_v<gRJ@~+ogGelRH;rKbM|vP8*f>5|V{C;`Db*>ljgHbj>3}T>s40+O`B#8{d znnO8;+-cD$UY(XUNk}>d52sDCYzzYC>IrBU72Jo@B3?#z-KL>2=^Sqk1XLL8+jG~2^ZiE zNR|Rd3>Qwo!=yw5PpuE|wL%)J?9s+%8OmM-0?sfAcuWK-V_`P#5*Ak^AFgTKrM4#Z zyn4BiBG_a}(eWh;8f$GVs1!9Xk5){l)tfXMKtyGs6m*ADA_?_-QmBkh5}74HrUOVc zF$-&514ogzA&;~Zb+m~U?AJ)(HsnK&3iQ{BBQL+jdN02|oq4L0`PsbD&pmgUzk$TV zyZEL(d#?YY>`v*{URI=oI(8cE6tog?j} zLF#@48U1uV^$T@!4m@raGT!zJQ;e*E%5; z{@#S3o4aktnDpGy)oU((yJb*+crNZ&Z&Y3x3&`rb(zZI`z5`$Mk39{04ydH8! zbA)T)hI3qV>HbnwT3Y5$`iJ_Lm+me#46rpho4jM4W4&1+Yno=xI6;%>QGoL zzQLgE7=SMp#K+iB(sg2`w5E9?4jez^VsdS(6@oWwmHM^^hbB#sib@GULfG zQG`xHxEt<;`{6~%mcnK@0Wy%dRmh@+q};rOEH6m88&Y2cv=Z)yl)E9xr6J3Tp6Vo* z4Ba%fnU(-SPhdo2FSh|<+!b2>Fn#SjX8x|;3qlJjHPaFs4GOfazzp39B~@`~Wu-D} z@X@kT1FtoWOr&)8O&D`kTiOt04ea_eC93 zN_xqls1s(LP<4V#QL$ZLuWU3!GZCK?!E&t6SgT*=-F{Yvih~!(So3tOd0NBFt7NQs zy6R8A^D1@ms;9Dbl)iNt~s_jt{x(n>;e=BM-g z4Ac2hgZ(rq9KHqN-?na6K$y$fz}9X$g}p!6(6oA*8HTfF3#Z@>2nK|C#(b3f5)3XzRU z$_)}?O;J<5X_$#?us8UpqRG?&W4uJB^3^C}s&)=Q4W=<@xT(cH%0E@gm~JqAX85D?8+b`kp@UIm)r=*j z%v%5!m00Htk$Q>BLfx%93BidTR1KmQb1$iC{$6&AWXw@jJ!^rgC2g5w*<`_Tl`Iua zx>~G6d|4=hA#&DYAPdVvrLBd!RtZm76v7i0JzvnG=LK3$=vRjY>q@IoXyv5$ebTt> zNX8oB^*9%a_Y%$@x7zj^u958pgRbn_Ujn*&%@IxxpMDaW}=f!~4c)GU`3r^xe}#oRJ+zAQKh z`lCaQiS*TkD)G|}L)H(tZ|q-t*}m2Rp1%Ge)^Xk;{z=wZo~!+HtxLUg{c8+s9ABfa z-70WGv&rR}=p=4%#_2cjR`;q%Rau{3;=ytB3|XtX7CV`yQ>|i|bFU4{F;Y@@@&CD% z>!FM*ZNiY0ddN+Bn=}&v*<{8{LQe<$hSt@gsjICi-^n0f%u2Jfed!WFRn#V|4Y=AoaT1&*{u)+w5*{-x zQGr^kA{(PhtSv9zBp(%T60a9h&b~HVldvRfvTa2oPRrG@^C21lfFarf$-rEDyy`DM z|5NU-(Eic8P=S}fkPdFSerMN*Xp*siX4{6RVaD}XCoIJn#R%iMk8}T0gZqvzg!gW} zYT*;aUbkU3+{C>NT<}^gXUi@^v!}*W>q&c-dwytq*z~kX@S5VL{hpH^)vsd_NfQy|Nt>_;%6nSk)XP#vgc7LA`&#~%Phha;6BxdvxQeD@)6TQUy1$`~ zu)t$(&kOK42!XGm1ayZ?Va|zb23z%1P+Uk{$ZEbB(q=Se(TcL{s>LAk1_4_&s%Z5A zi^1%J37Dwdycs622wCAf3?!$GgvR=GE@2FkndTs1MW!X^)M;6?;f7>GeItouVns#t zl!LU>JNU>WHt%h#$IkNgue*BKnKR5oJKGl5w@kJENNSmL-OkITa|0Nfo5XyH9zkW0(9#{^cG-xSHH zZ}Q)1%(zyXVHA{=>I_+`Gh}I=Azhi$$V=uk=1yH6$%GY91@@jI>~%TzA#Vo9}QdaP|J*U%c_RcfPRhiTS_Z`-?9heB$N}Pd&G8 z%~R97lcII=W;E{K0h`V}0O8IDHeGK0=b1G>V=8}p@})O_`RXr;Jm~;{`GUrLU)L)3 zoOpdZT%>a5(=@g0qih2+oH=e{=}Qimr`{!4j21h?L16ZCyj_-zz3_~&h^ve`wn>*~ zj8QS2>{s6{!jmF&QZVC8(?aezJ=zKLh{TOzp(WkaxD&nPJdt{CX=ilm_Yq0lmKuws z2%J9u`UtJA7*9jhuKIrU`<)k^Xt{H*bHB6O$vTl;XCk}KD0ZE{>`^U2T*a4nk!%na z#Ea%rz|y4Byyy6vw2MkJR<8>zN)!J^GYkQu;tNr8bi8B4M0YX9ZcFGzfo+LPy}3$n z!=M?3#KBDEm|{@)sA4esph>_I3=oI#W{@E5w0%cp2}lfwC0A|d5{`5+(TqgBY)$Jz zYYAH@yBHjnj?P<7u6}+@=c>gM?`*>6;NSLSAAh)OHhQ#U{WW*p+;sv=tvfJ7G?7X| zz#~bkC6um=+4b~%!Nd?xK3s6Q$YIt)b44_()14V4>vphg5zQ9de2p2gADQO~;%;%TxL-Ueej;8Jc_5aG z%f(INBl(v;6}v^LRKx}!&mu-NFekcC=0Bk_!`uRafitj@fsb;4eT3c1?q^T3pR$IN z>_rvbYOF*qfu%~5)s-fz=Y_DuDcjobEhNII(YfKyaYqh5J4ccREU+q!b{^Mji zie;RcE9D;HKH=C2_;8V9O1b6SCa#-f@!d;^(S!o|Bq|&n7!5oE;7M?i?BmfRA^zDT zAxerQ1f8}#))DeRN6+Qy?$)z=@{!hWs znp8K$F#ZTL%V=)n9$+gH%4)CN{T|vNVmA{Dg717=z+E|ph zVk)AQBHCO;+lt_cT0~omXiE`oD^f_s%E?+pTZ(8?KD}Jf^UO&sGd)f=)koR$?0NA& zU7rQHce$^F$Rz~BqT3e~873U?8yo~t@X!$UdQ|Cb6z-1hjiRW_3G1peoX21GOgIY9 z0!Z9ws1!7V30P^6kJDBFEx81$UY$X-1} zOiQZd#o87cWCvEaN0!LE$QS~cJh;T50l7MoyR5H62Tgr+(cYF+0aN3lSQS57_eAUJ z`%7>6&5xfs6rMGB`42j$%^SOU02{k^{Os$d9p87Ps~r7k$?O65KHhadI=E)d#E0(g z`anl(pW}7ygr8HZ!p1QM8+uATrv4Z6N83f_Yny>3^)F?ZZ`Z2u0rjl=Q+KzU4GMO} z?zCdG1`SS=WKxVuFUY18t$d{b+58zL;-(1AP0^Z6ahgnVnq0tXGL?6789jqK@u`EK z;xzpHUwNm#l=t?3trc&`6bi}^|Ch(RiG1}E%;vu6M$6rM-TU1q-K?8INrzMCJ*Uo% zP948Fsf7Nz(_+aNEq^amldgbjmLjOe=H>CpwDn5pH{M0tL>7KD@OFv9w_a}~v`P`0 zgV{uiMGE-MX|RZrAn_7oP-7N@;)7<%nrA!G-lGj;IczeBCdrAJi{Y%4r8wO-D6msL z9#zCk9Y0xhZqB0`F10Ro&U4=c9io9V&15EXlckx)CCq$ozJyI4m!IV= zSVG!+*_!qukEgw0YpNN0#7FH)A7wYbuvHCD{hIkufgVDlM81qqYaX00^`peev=WKd z*J5DIt9+1W_(J%UIKw}|l9Fcq5my$b6`jcxowt;{qkRZo1Hh;dZwBoJ2WZp+_$gCS zA5~s>QQ-|}`)!cMUrnSU0=grV;jR&{2vF~K?ZTmU*Iq%zn^Y-(BPlMe-XP8Z>2}c7vKy6`1t25NkK$SPibZi!cZ4Eikz?>5WBL@d7&RaXujo3=>9Erd zwdEG3h+#S&AFyZP9cNoteX@SWu4>B@tJnPO$(8K~a*MbZw@;e1v-^R^a^LP4JD}@Z z=JC^~-gx(o-@K3I>J|XdtC(vnknS;&9#~_8DhtDGJ$n^<4ZDC{$r?n9APS<%W)V$* z5ui+`8%Sb&w*ZB*pbgqk*_AtqVWP0f*uS^p3mE;MX-ko6Fwj-p3zcYwyjIRDXokFX z#HsHyOAl@b3 z9(ls{v#M7Zlj!ogUA1GX-g9w2GzB5G4odD>!Ypx?G)takoMmbiTE$kWRctZ#>dJZj~|NtD&~yqaJ@_? z!a5PriMUpXm_LUQVV#KRLtS%?5sA?=BF$nLDCu^Q5X z2EyuDB}+S00*<ZPE ziwx#>mI)mZo+DPX6L<>rItZRVp%8^sl`8nwwvoVcBnNU$mjs>8$bH{?h%K zMX%m`<^wp$zj(#`VS~c%Xx*sI<43HGmnKH8zrlU=tg9Qt{t}xMNea%Fxie`)FiF{9qZzxX_=tbFXJpa^ur4hwfs)B&Q6!NnZ^=M67OAVN$wR%UOAC9G z0G6eZ?g2%skdW#f+jQNMs@rcrboj6>5f422h&p)wPtf%{A-^Pd=gzKs##MQVp1BR{ znNP`%@bIV>6Y!Eyu)|f4f;J~9$G({MSnc%*8;l4xrxDtmGFH}6Lvi!hqA z$gXro-7aDPdZ~5kqIRXrN`ps*G)foM(XN6;>9Xg;NO{k{i#jb_#G*8jA@6p5Q z@1?bB#F+G6^rGe7z25!aZZAu+h4NYVI#U=mc(M zK?#Y5B50O64L?w8QlyT5alEIOD=+We|E}4nfe4xl)|ATc8H2@)msJ&$*+i;FNbgu| zIi&xJ#$22LB*6d;g>tY6ZT(Y|D6R^lMPWx9I0(utDT!!p zlO-iOt^Rs}91vDjhwvlJKT5=lCk8_GAdU|d$%|#tdf>zd{OrT@E%EAl5X1+wu>!=! zn3Mty(nv5u%D@boE=&^_zy)ZLut;13Zh|+VwZawB1z zS~vp%yM*1%v>*{seMiQEcD$g@Njxg_Rm5>dnIy}eOW?7=zo!$Y6QHJ0G}kEcf)EuY zyC_P4K`5#f6LFFRB&{;Yz)KrqW^%rD2);_WTqVt&uu4I@iT@YP9yomW1&6+>&3M zkCwUQu4BsKMADRhExBF9Zd&ay^V$!Cx8JUCe+Ip27c%x`_n%vfM zx!hQ8>Syd{YET}u$Z>1jHqtrWI^8zivBc8yW{(#lyR+K{Fo6{!yeXMzy`F1m7_7rYHGjptk%C<(c z*{E8qR?J-IF(+{<@%XDdA8-?Wyv?Um`)@+Y4!-S(-XaZ z0M_3g(h)H&E%BJml0-+7a=qr4Dc2(YjkN46zXHhSwBfRi_JLJRBVCplC+C*FaxPI; zn)t8I+>#-Y+6|fd+zn5w@rZA+xrB{(J-BM~hSg~Cx3BFRI{g}=!Q)tVzk^qa0{=o+ z3H)v!x3rS69z(AS$O`MUYOc4^A|~MA04?BpB|RDw6(}y&s419|M!^=;B8(CzsI%Z? zG+CG-PE?n`>(TYtep?S$3hTukaEq`_{1?20d>$bND};oY5`HSY4|$bjjj4`$RAnV~ zH1DLt7+9kLqJ#uVib8~C6M|%04m6ib;Cq+m;zdd~S0;Malu#se44OLyf#(b-un7Y& zOvI)-^#Yce_9_r4X=RSGNx7(Sv^XR}-cnWq=@tn00XP9H1KofDwD%A2nAMe`4X3mi z1KGok>_bn8^9h<+q;?Ubph^7%Vl-D#sIkg>$i zUO7rekqo8Q+_`N!t@k3`EI&SIro}|s!xu+=DN%6x28m?Da#xB%4au28cKqvg7TSu^ zW^IQg2#i?cA6`PFr?%goL4$w9t)LYg8Nyn@QNLu!5l4@=Ps>eU=5@WiY;7z2Y7ZkA z_T1EU?RxQtm`h&8tg?>o4z!-`n1F}^;z+_G-D8n^jK)Ag5L;Lzow3k(*Q7NqxY*O; zRrjN$wcW!@=r}*dYMLXDgD|}PoVME{l8(4ViXxY2pI0j1(2^iiSLyx7+JTE{&Y=HPHm{ro$pTinJzNqPI8h<5QS*x`5w}#Qk zM38-zO4A~rW*G)To+OUp+o(x;)e9sA(30QA+ycieSU32AnkDEQs+<&DZ*LvcWg*w+ z!7to_ug?#DLa)!qutqmpOGF;0zWK0VlkCBD^NL(j$ueP zH*L2-pML;fVSfpjDwJ~IDS3KfzUB>I?ge5}bY03~W46nZFQCyR{9!i+>J~51%-iXC zkFxKu$>f#1WLyqP7J5JD>-5b)v2cnsUb%xdwv-Hf$l)j0qIPi2RqC=utEWsJ)4zRCWG&I+=nb?L-+uz^qxWwK3#u)w zjx(E`94xQJXS{%Klf!pjfydcc;whi)UFJp2Ug#zF@5J}-DS_s_wu)f6P!anCcq+W* zumX6h^g+0wjY8KYIt(kwI9$>;y1Y!{GZqSyOb~Km)hx@Z%5fF=-j9}eJszjCq%fiC z`8v8$Mv}x3^ILiK)LZa|aHI1(TZ_|8PYC`F?Xd?F14m>=PBl6d!8vJ6)4=}Cu3Z&3 zui1Uuh^wYg{_&ojKVTj;H#r028|#`7yb(4fqV-h+T&<{(4;1{ze50zzlXKJ09@B3|ycT zl!F@32%5nNFab;jv%w8m$lnAug4fd5FI+Nl^5j|5)@byFG3aV<@4wjpRa!(^GFa_sVw7tn2mbGx3et*@)2C&Smsd%0QU_z!aO4+zEFS4VVJ z-(>FnWU}uk_zAop6B+Vh>+u1;SXa}~H4;zA5S$?WM=HrsUyPk&>BzJ<4gu`t7Oo8i6d7B1ZV`G$wq!w++=B@aOZgrVd*ta$d8|koUVvlYJ&Fgv~Tvi^-o!#wS+ftL9 zI=H3f&I$0n-1f2w)0aPT-HeUP#!ZHUtc#)*!v;@Ed*Ir&KW^B)Y{S@=WPdzjzr|Nx zgGbDX*Yo(a*=4EV{P=pIT;T^WfUh;J@EpIJ-^(McWqHPP0$;D0T=fB)8Pt44GCas7 zXDvxg;j6xothu0mbRmf+mxNVF?A)bYWYD6L?Q)=<3h!_kEP2Fw{lnE8*NnM(>NOj4 zZ@|IJ#thD_x?}L9U3Z{;{J8g81`k`aYUD7u6#igvGPks&Wyo!Ktp9@N*oMbi09gMc zz<~-D!&ZEy2UtKZ2v6dh7v3c5rQB*GKnyYWyD#K6q3ETu4R8nyubr4XpW6kP+9#y|_ZTDMx%O8`Y zg{1c}v4;APcGHE~X{c|sYqO^@IaBm(=dDm^8#Qa^ld~tSTYL4K18e(+a zx4ELGwlcj3Nj2>+mDeq7?^Cg>y}4igpcOxOd4bDU)7S5I`*PbimV}xHmj%cQ_z2%q z9N&{0gT~Qmg-oTXqQqmXz#Ldgr&Hv`N5p+1f+A*qA7MfZ)$@)#6ZW3WkH~G|xzvz&Dfo=n+FnBzb@J+SM&%CXK%Z_R0Nv+4wZvvFWzWx560jb(Y}y zylU<05yNu#xk4rFL2Q891Cq%b0LK_DC=YA0`tG4w?FW+TOtSA;8S%akMm9Zk>aaUeYR#cF z1Dn?#YQO2wO-;>f54PX4XZQVg@41^DH0G|iZolo!U1P@Fb>_C)-@0qe<-uov{o2_x zumAcijsD@woy9g{=@LCE{YK;4StM)u3b_Eq0Lf_&mgY$g5)-+LVVG`(O{6s~h_ccSeMxYhyZTVTclw<&Oq z0!ImOBn!*RbZRMNG(ZupO zN9EAkZnI5*5ZVl7YeZe2Dqe<=Lf~ht@5-b-3M^nlbqAj${1rReP_W z|8Q$#e9CPzGOI?%cyzGe+V$%i>qZV9Tpk%xTXM-ZW5?{;>d9+Hjcm<~u81|&Rockd z49d-8a(HZ-FrHYyWgy(r2ygEPx7ERIec|<0?NumK1xHlDMHOo+(6k6_b-;EjoM(ko ztgyujx0&EJBV541d0-`GvS6w`777NdV==DX?KTgtZpWIx{T4HvU?v5sjJc+{8dg^) zKvO6XEHSIKDlAb;R9hlYkD2U{Eg&iR;nJ3eC3%5FobjmPk;~GhPxiu2))1^pBty1% z*z_M5V05M|FKkFl_XFY^i|E3z8hG^Q^nwx5FLH!{H2^0in;wwOg%nz~gqye&BK$+hu?PZkKFy zxQs@ZgE9M$qZ7c(R30)11V!JkuSV0e7wWK>!-|#~8*~z>w(XfSEoldY%Od5CJ)2=` z8W<)zb{~Oi?$4hd$z5{1=)CX7?aw^F{n=}u*^S=sItDM!%H=-zAotdpHQeRwpYA>e8!Etf6UKmcQVw>_Y6 z?toy@7ku)U&WOP4%i#O~TZ)FRaDRYFo88HWapS=gW(xrC8lD0<`7+&)e_kE8tn8%-^M56;R6@c4HV8RK#PAEFz zN;_O&htn-^CK;kz71*M{dIgS_V5byeR^jtro6$JmxeUTiTg}=#A5`BKOzJKmFMK zY{eTtJ&=3zwukqw-|*a1uqM+ArFTDo&fG6^Yjf?nHHVKWe}pwq2%p$>_b<=fvvWV_ z?xs7}Tey?RKq^A<^MP1|PUTKw>)75si@wqX0L#-e9Q1jn`*YSwpF8REI{bc|JC2{b z-1;YmxF_&)H+`Q-iAwy52H>MPs2Q@= zAflQMqXu2oS?u-z12KkBu!ba^Y_T@vmVRt@3-MWN9-P1S#aoA^ZvT%*e*S)CVA7q- zX79Xip!uYI`aQqh`Qvvt_v1vXuNy=bZQM2L`ddc&W0P)~HuGm&CY5FT$Hq684_x}g zxifYzo8a-)sM&iKHipNny4t<$nH2+C?s|Vu?l%kXTQ*_nAoP*Z*w#eHow2dGCYC-P!1kRFWnl0^P-TCx}vDH3}!r#_rQ!Bj&Q@6Wwm9ih1qU`>y2=c z09$$3z`$|__Cs)20N#SdM*z+Wz)=B+VY(v*f@%;2gB7Jt(mvHJl}bngfgm1aUpQc9 zd;v}@hz2UGv9zXw0c)zPCh5Mz{A7ej59fp7YI8B9zWqw|o4W z*Y2LkjPCe(d)?H#U%KJ)C*1M(lT(L8(?9ro=jCVeS0g-+ucjWfriX3m3vcQR<5m5u z(3CK23BwUy*y71}&~PlmMR=14<7|Hx`I12p3&sJtQcxZ6heG-5jT;GzpQ2ZcaRIh4 zKDtn+5~Hs-bASK!y9)Oa?s=aboygoeb=3ni!uXc_)7!R;9Jg)7^b(#F^rmM+zojawTJJq)qqbV|gp$2>b1JTa&=et&Z{yBI2`EP&n{PXO!AiuNa9MY8+ zY)Mc2R)C*N@Tl}YUN;gDcp*qa?UEpTEka%_7jF_f#G@i7idI+#>mVZmY^)&|1d<(p z05I|>$chHU^U#3E){k_q@ra~Ud{JGeFc$1csNm%e(uyCpCEa)dCdt!8hj8;LNS9wI z1j(F}E#tU3xl3KvS6)G%K+t7Dm%5DH@vgC`vui9K@HyRc3@*%Pv3c44a`(S zM>X8q2af17wGSFm0W)#vh(kjhPLDuu1d0(jG7Q(2!q!rlDup`&ur&Y|`rtG-9PWfJ z#|Q^nV1|pZGG*ab00T}}iK`^$18%?Hp9r~QE|)C^LTV@&VnU(539>_vnJ?uL9}5_n z5`Vzw!7S=_0)%BuGWqc-wX3e~<5Sd(&fC#-nl!(;XG(Dva@aXzW8Xa>)7=Rk3;ms1xJ5)^R$|kH$c~yT^HBQ|L2zv z4jGBYZav((Cpz`ox7<_GU-|W_>UtiIgJ2x+P687l}OZJcXaL2B5 z4~!rEz#o6K@pt!KL;KPEk@*Cp69=dOOVXno<0Imzv1~*c>K_;xK>gh#-3S}i)0Bk@ znkFn1kk99cLc3kd4~2@?&*uHxf&j0C6Lx!yS>HHVxv7Nc018x{s6&tm*Wkl{H%9Y~h%_g3vc}(+HLnmtsp0pk}TQUd?4`RhEP($08Xe44hz~qjKsr zS;nVNVS`UI_8LeuG3f}y%?Dq8`QR^p!927B3b}voAQ|YntC`O*%1?l?={{S_V5@nZ z8BI4ss})2mD*S!qh$NL_<1gq({>p$smLh(;OEUR6Gtq?v0ydv2Ldp90M|D4sOS7m8 zGeI)13UZD4tZwP^>oY%C*1X^L_K-!Re}^X5E!W7Cjz z&n;bb^p>IhRvg$cYt-OH7wSNE7 zRfjjEYg(V(FsotkqOpnisQH7hT5(NH^~7a&j#xQ+Ld%d)?NwK;d2;^z{kM)Dv+b2N z^MCWsXB)5Onro(LqYxjvY~;udcohD>({}$qu5xTSHdt<(YC|?8h!PJa7zMl?e*#!1 zk>nK#l}k{RJXm2P#xFQ*l4NsW$Zz1Wnb*m&07^s&pTZJFbIzQ?Bj%|gbB)z+M?U+5 z!wLxlU<=jAS^6r>s#rZKrz}=TEap&{2{B=qv@z98IYu%(!?vBiIj64tCH&=E<*6{nyOYJgKKO5FMHntP*sut zKQrgt%Y}Q-xlctA^`d|%_q%XpOQr2HT#KscEmH*io3+PyjL-k7E+G|50m2GEoMI!vM?7n=YSlJ(H<@}7A2$$`VF-kX*CTv=M9Edvi{&mRS+pvQ(h z_FE?{$y$`xZP==VPb~PyGlM&1%^DQ;VXw)*9$)eMqApK944TYGaz2ct0W`T+T#Fti znxLos8I{8=W~Z5%&A|;Kh{0)KhM;IeP=ja!BUi^W1$&qiZ-Rw&uN|HhbR%po!)m^) zIaU+5?exTDW1>6dE+7Am{6Nj|nlEZTuQ{~3bHQ(lidT$jExxxaw}h4$$>6wF27^(S zX}U3vp*4}>!UEMolAD6*01BKVv4EPzGJvYtls z`?`PByAfDa)|qd1_}c(j1t_KT#)ZYK>4nd?Nzu{=HE*q{F+jPRHf;rM3IlCQu53&r z8q+7>U~I3nvw0Spr_cEKHgDdrsTyuJheeR4&Zcoq*EE%zHjLok zlT^*kqQkHqBcpub2!pBN{JSZw6|K(AdzHJ-I zo44n2Y|qTNUIB2@3z8rU0Fr|cfTSP^aF&oDLttP;kmLxGV2=^xxQgjP+bOtP1<|H$l0^(z`#jKM9qy`a;1?vMM_dE8p+_>M2L9d~jJP4d zVSp2`F~Sl^0|OQ29^HkEPGYkdV*&i}WSr?S1I;y50Mcn{+G+G?wA|f-DfNU!TTtE2 z$EJ7Y&u-+*9T&F9o3^tQ`4uVqd^L<9 zWlOQKK_=SEMEevh$&U?t?xVSn zeY7%jucd@n_`++QNBb(A?Z!HN#oT=t(_OqOBV%z8H%)PN0g(4G#OAkpp;O+(?atM zGaGHDgUqy-nYMw(p{Q-CB^5G$^fA z(yXXF=^|B__s=2D_SVOO6FNA5!BqRkZObtEuU~u^aZlfrj%&BE(A+hpu`j(aYtn@=wD6-l}&vAw@Ctz8D%qT3K2xX(hF4 z)$yLD;h~|1aMID)k#+2CCe8U7LXnhiEka3COHvX>%~vOXryPC80`H-o!{)%HfOEcNuDjG)HiVcBR%ddt|2`d5ead`i}0?ETlvK)~$MVXdT=jcF6d963XH1nDt1y_?n0GC7zs` z#svn@2v}p~Xgy!Y8DGcwDe&D3f5+_qJxPP-PICtlcG%#a$9PEikOl#8oA;sweemEWN6;NAw-lZ^Dc|*8ioSb}cU%KPaYC zdSS`LXtwl1X>{hG`1TJ| z6f>a;1?Z#>LWlB+Lm#8GjM7|6DKpDN!g<29jTD^r?!gBhc;KtOC5t-}Z*Nb$H$Y*v z?F=U>ZKajR)^yxebI)ICSA!|YpkoGynI^~&{J0nvuU!pVgoQa;CPYfTxWtjC`CN)AaITX_~3RM)-d=o7&(N-pEGHwf|QNc7Mm>PrW@<7V(HnZTy zfyQ8CU_|3!N8{kY#?Rr_BgshH7-=KefHY2NOdE%^gl@N_5!iV`v3s1j_^)SB~KYTkc!y(3r;jW(;g&;R*1Q$uSI zmGySZWeX=*V->hSHkm^fR`k=IQ9vmQ4rtpp$v+&0)5G2DMwsy>a1|09lsl zKA9>|XM|C4z_!3@#b+>f18nkSIMqQL{;V)dG{4RDO{Y$r_$v(BAnyqWrx}9y!nhR+S+eSHDF8&Ku zG+*~m7O6Z9oSqz5JA^dkzY#A7Fe#o=`BfdewJX0bGzm&L$o?$_kbe_$p(P1;h47YR9KRJh593a`<3inWVNhx%`xfH9g}4YF z*V-uJf+61`_HU>$mZrPrVvSfR#?Iom1k^l$oVkljH8(lXWYyvv0zq=@9c?>rz1qk4Ll{cWACtqy1#4nvT+z| zm)xWOUuvGXt%Jh8zLyGZxHnk?8*P5R6`?_(GXvVgqMBbA9B zC9^}U7~`O~TAb{TYvXAV^cLcC3zl}4|62Fh#@Y_qPdQ#}Fs8wnhUbp7at-I@rw|cHFSA5OwC-+-bf7M_0*IjyT`B|>_ z>#zFj7QBx4m-{!Xzv{30tNyCL>aY5%{;I#~ullS0s=uz}N@!hw-LhB5`m6rBt*;Vs z{b7J%K+b^50jCm^uk%`+_}0K-NovxNq&JcWC0C|6Q+}87Qp%Q;9Vxp~-c8w`awz3! z%E^>7gPu)|Or4y1d~nCXqX)m5R+9D^zlJn|tIv?NLnDT+AG+^eE|=rX8bzios55F{3|myb8O~6heZv0X4ogge>2=QV$+B{S#7hP99aO@??-<7%U{`s zyZ8#uj>ztu9iKfkdrbD^>{;1QX0ObCA$x1~TesP@Kl_X9@9tyuSN&Cg)nE13t$q>w zjYE12>>>0Kq9@rzBH^yZV0U+Y40bHo4&rbXkZ>YFcsPV}z9-tfT${m;MSdit3@4+& zZcWUtreO1O+C#3*{FgK#O?%AM9&&Lz7VS|G+Zob)47R!EEZE@?-W6-_3hBAs3vJ$# z?wGPWmfxLNp#1KnDe^p5chU)C6EGwZ?Ig5Qp_c9>9rq%oE9oQMc2a*Lkz2Fs9)-7@Wt|nFN%LGq}&hfn983}NFCU}XoaO@Gh?3G4G zOpeon*OPz-?%(PRARRqv45X3$Il%{#0Sy8KuLQ6LsZcVNCH@J$&@|+Az*|DcAg{;p zV&nrbd?xY+8ul5^x+oCPVo4mqR&PO|uxA3+_cR=0_ye+y9@(!=`4X}?I-_R?(G3FhL zd=sooE3>Irn&w`7IHuR+*#`L{T@-%q0-{4i3$t&FC?#m0rNji}Zq$A8&C&?rQ zBoExdq=Xa$Rgf8^6hr!huMBu@=RkNNrf3H-@uUbYCrO8}36Q^nl%p>X+&oA<73^G0 zslb&4zHtzmN2Wo@5G=hI>UGzX3Z>70vZp{fPAIno$}A+~fgcZiDa4g|>T!Bn-vJQL zNg_SI?j#1=kOL)@LRu%(n*;UmGRBihB5exz3LuonPJuR-d-}xXP>B5~x@3FuQ5H_p z5B%dGhKJ^$Bz*g*l~W>m>cm>6K-Jx8r z1GOa$hL-)ZdLD#Suj{vqu3xMNwWE}PnlKI07K1$aIOfAuDEibEWnTjADa0DmFs1-P zxHQXgY=)vHm0?UF&Y=vjYx~Y+))DqQJzzvH)^OhMDbSWu?4{Pu@h(G z43w!Rg9^{Mx>NJ864Wj(ecq-#Y+o*>D;47(gY&!?>nX)nYk9|uQu2g92g@$S9!!Q* z6&S~Jjl*`h$J2joDnt%VQ_3z1$@laj#^bNmjf4GamdgLQnX7)as4#)Z^a+ zZN#RboW|qKsY_&WjK3gp#JdR@GnNh$hl-sHQmec(JF1`mk3+y zmBw=nDiuh?TRYV=e9fhbzR`^1X_CmB`20 zT!g)JOW9YeV{l}0kk1u*a519!&x9yMcHYlkaAs?dG3~I9Nr+o{II1}i#w9cXQn=&V zi!$%`L&?@+_ST|BxkqB|)?CdxbcML@4D~A`)m?_OsYjb9LYOvAZmr3~HM2;p7q!}b zxwXu#m6u-6_{a_Q%$#zsoq;yqnik}Vx+b757mIO^!9JIXbwq@alQ*ub{dhU;BKf_pfB$R`FFA-~w8$WU}WD3Yenim#c7@eiJr3KFCswK{p0V`C z5^W6IixDr!SyJR_gL_{4>e7`<)q924dg;B=`08}6B&MMpCtu?@x>p0f8!Q%*$@6MK zF50}#TDeSw6yv?tj*83Rv4;G)*aP>9*UJaRbD+c$M4Y`heU|i`Z54pz-w+N`l_Yl@)<5-_!#l_rNUL)t9X<_61JvRe*M86 z3N7d54}@5ro2N;|QPfiQ!~DFDytk?7tL>FGQvI3QXOWmEHV+c^~_DQ{d^ z-ZbZs(!AnKo+mYDM#+>4XHm(7!tu`WC8aaUcpfJ&zC&kcBzL;UIMZ{AN(-DxImP2k z#!rIKl#+sCXVR41a$ZwrL1DSG$h(~Uk}_w%!f{1~<8z9fqDDwl0+l+;OQw{K&jTmF zVp>jFo^wiZZeE$Qg7+gi)0tX0KCieuueY;2FVC4bd0bv@ZeFglNDFo5=9P~xD=g(5 z#5!{GDsl>o%G<@46+%T&LyoheEGIW_a!%PKXG#90rQ;6lrR7Y|n=qv)r_32OxNv+~ z32$2K;dy1{yqfOqI&{E9gEKuPq2LnAa;6m)PjC*&&xh7I+d9)r#uXMj(+bBIloaKZ z$2f=PRFoBtFU)afgf5#W-^d%S%DZG0vRgTxV%nA;gY{BzfTGlsilF$|e_9R6ud#W}r0P zGOK_H5Pg}O$>%l1a2I9nX-;WbN$!;K6){e(E08ya=W$m69h+7FJ@abWG^n(&czn^6 zT&`H|)|V6)&2UB)w${eZD>;;Kxz=h!&E;8^SI*_ehpDy#p50ScZ`s6fS_J0(yR*i$M>K~;0}csF^Pg1n+qUx~u>DV`yc^8tYZ zK|TeA;|ih8?G%M8R(?rQQ3gREdg zA-l6=9L&gKE|eTZ6StmSc`tZ_a>~m~VCHcB$SoN^WipINjyCBFi$F3_yhNYgIx_^E z>}`!L%FW|=pp8SF>73IFD++k9m%7CWb>ppfM;8@>o@#aTvdT0-g&J@Y@gBxFCzs?F z=5sd>1yVW%I#gbO6BdddH-%5$avmtO1bWyWI$oX!2n{9hu@+LQv#HvIhbpy6BP5ND zm{w3S`7(Xv(_l(jF*GI*3&<@2*u}O?%o|_fR6`ukARx!>I$(cz*hGjY-MS6T%=1Av|L}z^3NavvBw1gPv zfUKeE12Qt4L(-kegNLRj4}h@bwEn5X5|YyfI{QJsv>~wgB*RRELNkXrd4-~=u3XOLTjZe=^?msLwKHWKVSo+W*83UmD1SmEw zIV~|AY8fziKw4%ys1?GT1BQdgnUNHqnu=A$4};dHWBd9K89FjOd0D zHvn1|-!FB5Ruy!re`6xCaBa$-)#5m*AlQXzL64Qr3@m!pcV+a-k`O*exrEpO@eMSV5aR0E3 z0kv&O7!aQdWo7Wp-ih16zNQ4vNc_A~jAxN?WCm5>#4!>4U*T!V9iJi2H@SEw$(2@0 zf0X{8^nXCFO53CjK2L&vuBT7+_uA|4wb$QkzxnstwdbYv_uFsJ`|aB3)!%ckzvo_m z&%OShyFZ%O-*@-D@9vgP{k`}4d++u4-fyvc?_MX^99$#Z{?G74o9A=F&GR|K;we^c zCU=wv$phtk!0rjDa{&4HK3&7B0=kJlFA>B~e!eZkx4?KA;{9<#T&+kIsmoAEVF2W? z5}nIM%>1qE!R-H9u%2=xA#n$E+raPCZhE_o3k>P&s)Sh>0!d8N2Jrh{~(l;>0sIts2JkcGnZVDIp9X%Z z{0#8Rk-GT3+>kWLYK7mmE06o;JPt^|wK24tie5QUF z@Wb^ZfX~ur0Y6fIAMm5}6~Ir?PXT_aej4!8^^X&#uhc&Q{5<`9;1>j}BQ)T50lyMf!|_?C(O{#Fq=q*2My3W!^4JE!2jBC68L``P6Pi#Ak-2#GH@D^0;d}T2{i^8 z6(Si`V-)bMjh%t-V*EYu>y0l1zsdL);9ocH1pZCq-++I|_;21O9#U zap1o;pMW&qn7@UP@66vr$Z3m2s71EOM6&2CI>Ib^%S;HFWtjybvn?=omgN>0J4==I zaYC(?Rv1(3GAo2zms_hq<7AkXgZ*cz8H6qc{)fq(*WaW$TEP04cP|hg(1f< z9_)NWDcDmDGr*n+qr=DLQLyJ2=0M0}hR1-PYp4YN3B!Eg7Z{!dehG{nAGPnq7%>=~ zXy9Xv?LoF3j2%$^Uju)_cmienCfK`7yFkKkftE7P>?sSjI1iksVFAvNhpyMbKAd?2xtW++wem$4-d(%dVA5+i8< zXy*>0q#=~cQZtjBq*-SAASd9omXZN71d}k~lVGRPh_nzs8NY1Nl7x>hEiWYp(EbAL zlW3piHf59Y%8IE8?QpbX(auD>2<^FOFF<<<+Er+;;t%)eTC_Kzy#wuc(EbSRqiCPt zHX~^FLwh*d6DLoaJc&Job|u=2(5^yzHQLo^Z$x_w+B?wRg&1rDX*#Zg3j)bD1erAg z*@VDYg~GTr`vtY=GiffZpmXUmx{hw9JLx|91wGAV=3wEh3rk>`ESFWY zLsCO2O{$c3NGIeFIZ2)>SISj#wfwexKxfd^1Y`vi1WXS&V+b)UGHl1MbagPs8HXCj z8cU6j7}ps$8$U9In37CcrUFxysoJy!5N5yWsOgNNR~jmjN;f4@8Ls3jQKj6lv@B8}yGY0((#>S@oqW-yU!aeGh67=ZDK3;wx=Iz&mKi$`FFrnVL zYodW`ef=E{`}#XseEnVh{7EZ({V5B5{e#{nfy~RF^$rQ7q_#bUy-1+sZjI*72oThrUbrHBR$^VLXJrX@ zx^PzrcWa%g-xlt1&7Cd!F}sb=T%xo4`S}m~&X_sp&@TZ7I*5?@V{L?+E!-u(WjwY6 z{eCTaypM1*g}X+$Coy#+Ke!HHxwsU{oA7P5ovBJYy@ zNwIJ}JVV+@QG&#r#+}Mz~^rEj)|8Zb4Gu?3a!AzRJiowuNr-Soi5XWI!^ zlX-D^m@IJ+6!^Q6|H-r`r`TYg6PqU3BsL;}=!mqfo_+OD}9gzcDKi&noZ=ISOf%Qn4^se6;zWG-1qmXTFt9oaxOlkH?Dd57#H2gw)Y1UXI4Q<<8m zgND*@8biC#SeignVYf31_B%zeVE1zn_CF`+X?h;^ zKqlA)g~C2426jTRuop@dZ4fR0<3pPJN{r_INucSU#EP+5^!U#LFSiIu{EtAdtpb-` z6?(c&Amz62H1~gtg)8)WyU>jPCuFn3BwT?s|0l|St&?yCQvF5Do7crWdP5-58)60A zsR~zU(wm|mZ*J7wUBfl^EwR48C6Hvd2;VK{&0j_P-X1DkfmnYN;qQoc|6TO+??OKB ziuv{K7n=K?z=`+8yng?@=6gOFxB|D1%D$s;)LZIs9i96V{yDxo(4!0d{EPhj%l!N+{rqeE z{MCN`4SxQOe*R5<{#X3`oBjM-{QTSe{IB`>clr6>@$>KT^Y8QXAMo=Z_VXY0^PlkZ zpY-#e_Vb_f^?ynH{CYoske}b;=Wpoe5B2l6@bicJ`6K=OQGWh5e*PFge>*>a2S0x& zKYtfLe>XpW4?lk|KYyH`Khe*h>N{V)O!xJF?R%D`->mTUpPcRMKgIm~rM~{}Q+)lW zPx|_Q@I%r65I=vhum5ZZU;lp(`1*f*$k%@%$`@T+20wqUpZ_(V{EUS9`dI;CZ#3ek z`Zv40Jso!Xt4Y1NfyT8M=wswQzRpq>B;H9dBHtfhs({Yo4>N&2@woXxEkHxm~60-OFp6 zJKFrKIa^yIx#JD*qpRBE3%{Xde|#~j-FfEvdnxvEO>sxo6t05W($L@3Jx2jo`EOq0 zzKz_5#&ra)k9i+>A6?r#;oGjW+ys=}X5ZBnb-Q-2yt!72{ouz}?$-zH;N*=GrOx zQ{YN=Ej*DbuXaaXvX!y#azAd zHm-@@cTZe;ci2zldWzg&b32}TZvK(RbxE%_%sR-r%qS*ob39aY75=E3-Rtoy%C_{MIGVuePws+ znwz&)xc=f=?E2F6CGua^5&xw(=j*F0{FgVbzg%^WpIh$=>hjgr#iv+8clDsUgjkrky8oZ#+>$ zTh~xnXC`8v<~;sp7@z-*`1s21xx42q-_c*so7fkfE4J5tuHgJ7&lNN7-gAZP71t}8 zTUVTq@1J$2s4M3$V_fsDAM?`r+rt$#n@&NZ&Rb%g1!vW#ikPOPr7?i^G59~JJsXKjD$-xslBd~+~@iV=>LE}LF&y_8|X=71&ZudqdlzGqYuyd0;|@K8{t~jg zV@PS^u?^*U*kTh3)+2PLE4^z;;&i}54@49z!pRT8uu1~!7zw5A7U!vsJ)!tv>rshGd zC0D)%|176iciFJx#r5GSZi_P+UoFHQ+3Q3;*7yJFFs!k0R>z<{m$(;o zs~NY};n`JdGybLw$a1@Do3?UzCBH0gU%TdA={@(_-*dmha;wFCg`ek4#w8UjbZ%X3 zsE6B&b4Y7}cK)iyHmyT-r7Mkag~P z=6eqfT}>vfiG`00xs8k)>D)5$jQCINkv(U!>(-2m$Kv*S9({?{{_^|!+v+~bWzCiBb#H@v zP`~^$#$V>X<1g}j_J%*(cHeP_y_|bZ?Tq2;@LA7SzYK2QuFq#da$nXyYw(1Mx#D-{ zJJ>7NCHG9%@Vw#*&t$ij!KXT6Uo7t5X*=L`7fExSukS|gH*ZhbU9G80V)%TPkURV> zw`(!(|K{hK=05Wh&wUo5t$XoAteu3vs+XbLxSwyMrayJ?8Cy*X3^jd|*I~9BD-wUq8T;a1G?QN=h z<89n}=l$%(_o<|KqPx9*h4nLSJ=)}XBIa?mkYFFptjD`6Gd^;v*Sd?1&-2H+_aF7T zc3C6V**fkNyOFS7?=EG0-(>Z^C+RP{`n_?TCirsx8~skj#pY_C&)#g~(KNmT_Pq63 z`-a&?&%b|0qurOiDsxkj*!})L%C7d_r#4T&ti4lEo4$U|)|$HR&Rx7#c-=Lqmz^+P zYwJbtba|aAzN+8fUCvxecBJ0?q`AyHclCSYYc!rOn$`bG(j^R_S+M_oW3dAJy%AqO zi~Wt?e|GKl=F$EI&SclHzpFRGi0_DA`MZl_#kctCcK|oYT<+^Jch@&(uKar<^*x3`*UdB6j@tEj=l7kct1#MkqOQ*H zJU8$AIyc(5zt@BOUGs&t8)N*x0DI+YMeSXMtElZ7^4z-STAsOF`?uZh%sDH*v2~@n zc3x+#*O~uTHLg@2-zD|ZrN8>8)I84}{{4zS6YXo^OI>df_wVuuO{xDswaXdT0iWN} z+V1+6Xz%v@ncVKbkn#(gyYmV3b(^Y7M_upReRu5lD^k6G9|eD_rS`YICW`;0uU}{D zG_HO=zK=+~xpEWivx^Y_$$ar|^wyjb{~>-Adk&uG&VCaW)>iRWlWRYz^S1-9_g7DU z&RuCL$4qI7m2YP1=*r zq$}x8dXip{Gnot{BS|(HMaGfwA&c=^apx|{)hgT{>TWU zOveJ4fd#T4W?~96vtZVkHDRHwIdifw7S1ABYZlF7SUc99b!1&wSJs{NU_Dtc)|vOJd03fM$8i50QQteBOsQdZ8UvT1BOt7P-oLS>3FRhh3WQkE$z zl$FXVWwr7f<$2|I%8SY$lnu&D%0}g7}MscY`r7BY;H9!qgO{$_=RlDj?8>t~`sM=I*raILywS^j~wo;?iHfppQqqbGs zsqNK{YG<{J+EwkQc2|3R2L1c46qB1X`oSYiTQN`^9q z!KH#ujU;B!t88Kc-5Lcv*Dot**l&mpwaf~7_9tQoUHdcCxdpBUpmW( zmlgDHH`s^aY6N=t6~ulGS7XpeMy#NdGS~`LNC@br8Eh-Hl18ATjY$*G(s-rL2qM7Gtk|(q&etsd*TEg?nJ^sk2?cjMXR99SLv%z+8gu@DB&&o7HI*x z{Q+qS`n{Jl1RdWGX+EK!K**=`Q?NgypMm{3{TyQdMgK*@LHEBU5upD+kVu#VXGklU z2mc{aFcFh2rFJD4K|(jMkXAn5>eC5Uu{`C=lS zV9qEc3g(TObcVSTOuE4QX-vAp9BM+k!8{5j-C-^@Cp}<3ISHRrVWcO_t8mf_=2j$x zv}Ucrj%Lwd$FLaE8|GR&5)1RKJ-G+wTu0Ie=3N)k7v^495(o3IJBf!m*n{+gdDs(T zd$C@mKg`G8Bmw4REExdv@*a{1bF&W_2=lWqNrE{VN0MQl#*-92S0PsdOCW<_#ttB< zFl!UZV3@fBAv}pCku;dW$-t+u6fy*6@*px4W^*dJ7iRQek`A*vjby;g9zrr1-e3?he z*+RCEQ~=IQAslO_5{@_X$uz*6MPxeQ&N4CsuxACC3HY;;Gyx1+MP>mGttPVpi+)2M z1U!14JOr5ZJMu8#(#w$d4do38*{SRT9#9ENIHDXOj{r7(4r#ttzJYSSSI$5=7gRzX z1ZIWE$X85P1|Z%0%V>PAR}!RV#T6@XAi+0%kcNq>yW zOBMp=wIfdf?zJb20Q)+U#T@_05`Ze%GSVR!NjpkYt43=pvwSq`Y!n^XZZ z#zG1AsP~X(0U`T9$$iznkUmb0gV_FRf8YnI1IY?N&1CW`K+Y7XYmhpKtONv2g)#@L zgUNG%q-kUopy?1OCtXbkJ44NYHVjjTfeq*iC5%)@l3xSHK0u}brp_i)VG^6R|7=hhGaNg!6XZ=Mu^Cb5s^a>k(-bg;0h%# z!qt@g0j_4`k8m|7ufXLbo8e-J&S8koEfAesA_})eR1HT|4M#kUKs=44?PxndI*zJQ zv;*w`NY{~egb6ltL(kH)q%9(2J4D9zh>0B#6C)4@J0cEh2-q1B zunV&=3t*p>SxIYVV>UoQJF^1BqE)LarYs{jY5nYjTkouG46iExUq z%42|o93N*XPbyCW0xnh-gS}K)3OM+z@+|PbQho(CN6Ci}B_BqVd<0SQQRTPFZ^;~G zow5$nR4diwF+|K+$|hwKgltoGfX&hKQAE#K%3I3Y5VA-45bQ(BA*kh;avb>Yl^>w| zGk~FU5kntA41E+abe3vR4S=43Y9OE<$J56VNgqcftwaoc95J*K(envJ&v}TR^ASB4 zAbLKD==r!Bp+*3%aSW|Q41EeQ^hvd~+8V++l0JnPx(Ly8F{0-ZwS(FL5R+r*Qni!X z3DB*x+8GeD3*hO~h^LDXNtdfV)E*GR@w5u?G!}S{q010MpGFLQ7BO^%8n4Df2uISV z)dV#G(hN`&f#;~YSWN;{eHu}9F{0`sMAhYps=q>1U5Tjrv^rEB3OIW&VC&O}t*g{b zz}BY$TZcm}BLHEaR!IsSEcyZZLByd?(`S$-`r-N!Buqb2e;;XSTyK1t zL>hlI5zp^PD2%q`53B-Y&5+?T|g-#33q`k4=!kCP;eY>~+b%Ph+*l4V(LSx!b; zE3MA}mNL?i{hOVFx|>Ta2$Nb#-H2X#R60!>>Y{WpWPvVL-G+H)F0gzsHKrF0+fRuoPG( zv0qsxTV}FfTb{BkV}G#bS?91nS(jOtu@6WAA*K^R-w|p8NtkK~QDj1uAaE@}4TZ}o z)QfKc6a~}{s0&ap$P)){B2cQJbm3+R8UvIoi1QO6PpOxG4EU!CcQ&|lfaU=$0$K*N z62jMjTMe{9&?`t(*($7a64Ipy)60sk5Bp9dZYPSFNV z9={5HP7c_QUXGlApfP}iJxmAHK0pcJPXYf>;D-Z^QpX_`C}ZKYTmdKJN02JPzYzRO z)fGsqAuXqM>WfI5Adb@(bvx4Q>TaZW)qO|@AU~(W>QVKCGFSahJ*(E3Wpj|yfs=du zOef72(>ZfP(;{;y(i~+8jK^4W3!o^q!rV@M#N0(OnR_XX%yHl*!Wefmrz-u->F%*K zXF-{q#t6zaoikhUrtk#+#jX(#YNwYp+{8|Zy6|9(#9gOK)Mo#~HoG9NRa zJ%YI#VFw9HjISr(WM zT9$a}CXl&>rOF&-sdD=*t1MNVJbsv)mbIXdwdvPG`i-9W%@Ds?t*~rUA3=Ie&@Rh6 zNP8?FadP91Ti-2*)D=iy0MF?-@SIKo52P-&oIzS`InRk>l$F)ul+^%!703a+gX5Sr z1UBsV+EQ)lwk*@zwlP4t$}Uds zxnP^9p0$;lWw&dZW1EV!$Tpi3tOfZK1!A((Cp%NblM^APKqHyQ%w- zVwJH-{eb6`1U!)cx?=}Cvb%99t+xO6OlVK0a;jEt_G~0SEcK)x3+XxKLwTHvOly$J zl#NK!6_foT`&|11aF^KUT92Ae+p82}Uu9p*3H|HAzY+W!x!=Cobk4rbw8;J%C;Kj? z1JXN!_Sj_mM>dQ75V&91EMDz;pOdFuupi*$@dMT%ol#fV&#NmO%yf{G>7>J8I_FSL ziyRJ4?s4+O}N3o-V6W=GmJj&t9=~n)TNFWIhpo5HgR%nQSy76)$YBN&t)R- z;dV_JgshgI2mW}C4f*9@ualpJ^jS5d!EU41fxXNX1>v)0D2)}l{tNt8&eIs(Z+UtQ znXOCZA^HViuaw|CNB6thgS}9i4EFAt-}9E}|A}L?6zu7or!nLK9v%?IL%2=%%U|&l zYQDzwJp7bP#`ICx7n#TEB6!L2S{|bN0Mq;zd-pu@c^JL|`9olTssA;^-p|vp5M4ju z*OIQ7=3NZ=0qc5{_u91>ZC=`H-ALX$9hXUOT{Ezs#9S`@5H1;cEVp?qtC9y2D)(}& z=RJ~nUF&#Ckk5k{`xx*AGMtsAB-f+JzlyTrB_GDRLS(Lohc4{LQQ-P{9Qhdee{j5# zcpCj^Y}Ilsc{%Dful->;1?&J`4mqVaV)*Ag4VHXdHx2Bvntx*~%2RKG^op)Ow=qqO z?o+T|<{|WDS2m`33iu(?-yn_Tn#pA@_rx*f>A%wDbGzm=*0qSYR?dNtNW$mWmpZOj zEp#9sI)+%djXJr~6$GWF$vB#vC(~NHP(V5A7QRi zY!8>}sen;v^R{)zwms_7a}DJ4j((-*Blu{I3wdpf_}o9vr9>kI0t^Q}i0d;=bbZ2Y zt~p?zK>cZj_EX%}x5l1+jUjxLZG61|?czALpW_(Jh2Np3eS*@Pj44}Vnv*EiIMgCd zx1GFI(#v4KR0HV5a@Z4`zwldZ|2Q5Zf&R!Aml5Z97>@EcC;>jEQq2NB7KqrJYi8hx zH^MP;qC9_x^5pgq9Uqq#dagfXxaNR9r(hfO*q#i;xI0|poe2Z-xhOzgej{O0ea<2YOQ47TkV)UJQy$i9ia z8;!l=wq5=+mz%CT;!Gbz7_O58wDApyK|RUBksXbC^;^_@tV_2WXQCeKP2=GK4Cmtx zoUI43-ubA>xaw(Zjs8gtc@jtP@3;!|MG2&0$a@$Pj}l8l+lh8V)CwGHxdg|qH;!Fn zWE1t{X5Pd!RnNh|+i!_YX&qe;RXj!?wMFZQF#sYl>?MM_m({Ll~LI`hji# zneNUXC;jlg{Aj!{KL+p1-;ej@`C3AafutBVK(7JuS^f^Vdw@8u90K6eKEKjo;$o-EkUi7h$gh zzZ=|lFOlEZ@6JQmzKhcO*F%1SD0RY4;_lw*H@n<^pFN=C8aB=~5#K!EEwPF8eYp0+ z%z{~{@1pOj{-B;w|Er!ecQ$u1cQ^Mi_cq639@y=ZaUu^#zeuU8)J>W%Es*{#oszzl zzSAY?lJ%YRop~wxUi#kpKKj1y($ouTjk%RM%DYta>*hDiyUcG{%dHi)ZQ#%8$Zj_A zCO@YOk{*^GhPr={&J(Jiu78{a8s9Pgodlb{H=QPp)z{V6NfUEpb0`Tle{DWNoEDu$ zM_O2yTdP3tV1`OdfM9f_Rp71#g1wcr5!}r{+l0&MH7_1!s|3g+0rE&60RgH@fG`pu zk911V8R4GSNCwoA4T3livs-p}`C)wn&DLB%AQ_NI1_Y8jq3#kH#$N{HffE6dhYA{w zMCDN;d^q-nx5uA&`>>6$FCjAYTLu)BVLW9x0my(#Sa*nMgPX4uJj_iQ5J+BeiFCex zcOD`GTFL9`h;!$AvCeRBiXf>l&bfg3(_!sd2=izSc@bvo4zio+rkdBqrmNe`2Fk%aI4r__72+!+=~$ZI$$ni^VlND zy%M-8h~LH50$0IivUPx-jLm`gm23xa+gLtZ!peZ#0`Zlw1~B#tOJ}p$7~nRtv8;^c zW66D30iY&h)hv~bVd=oFWo=j{>jvCv)`O+Mdc>Fo%8g@dfNKWzc4KWoiB_=H^dq*8 ze!*U(r(oriA^kdR>lU`1%YiWZ5k15Vuv#*Dl%Ak9^gEEydRomk(pNxYJFwmj(6X(- zZH88_r|$!|l&zo}VGUwD4y3ys+YIrPw_qKVzX?_vnKfjgYz!U6w$cI^jS2|CvQUO^ z(@}IBgsfz1z`p@Pw$cVVV4V=;ZB` z=3)s)^-G|}*GXd@g5g_ujWmVubJ`j_Js#z!Tzc9S4t6HDA)K$$o4HLl;#nev+fYIr z+EAvHA5a8#I@(U2QtA^B%G<+vb^t@t`DqR6;+yzXgU7xaN6N}kpWZU?rLju9GA z#CNL!p)%~(b<_FoUp}I*Ki!jYGEDGJbDj1gx3gvD54a_8)c@R?dM^l9Vi^ zNQ3yw3F~H0U2j-76ZHf2N%~}cihdBRpF{LR_4n#C_!_FuhSl>0>)OnEr4~h3Rly$Y`wP1fbTVN?Sd7UuhQ$ab)21IXFS(tcHVC_@m@!+ zb_KcOwd1E&ii_5WORWxK^>Ll3T^IaU1c0_ow3nd0A8n322lZtf*#gG#-UYnR!vh}2 z*yG5rM>~wyi1z_yj^S|5ABpLUu>FU@uEP5oYqSu4r+{?A^@3!Gf5W64kOiZ&Ic`kw7*yh~c)fitg)+nQuG3H1(#q2SEX#S`9fcazdA@dRQzsyH1 z%dPwlmE*EGywmdkuIAqbSOl~TXeH1ZApT1U8-QLB;heSt?GW)hh3lrb{rsHXCl1(C zKSbt|1!M`Vf2+t^vYu>&{mwS>8renOfs6mQ&K`oZ1ovXv{_72~XSryXgyFdBscUy5 z_BAi@Sia7ab9^NSp6^^pO&_$opk0c#33G*D8mIqvcIPdjq#I z4LQFNN2!!Zv|7r7bD~AAfYT0f-ay7$tGGwKO}#mpU^MJ_wqz}G9bn}`S*Zh+vUGdNq$G( zO9SO&^4HWVpV3LQfi7GZL0jq<=(p1d{cHNa&@BBM`Zs8{ez*PudY}Fu0eYGj5Eu|l zmj^TrXhzosgatfIs{%a}HN#M(YZ?ovYy@3bVz`#!e z&#)nZKL$Bip)t@H$R0DQMl+jhv>Ah0rSVhaF}BcDYFf>zOg|`$y{R-&ny|e}Q>7)_ zr?gSpu#c6tN?Uf&Tw*R^pO7L#Y{!630-XjrN0=Q%Xx9UofM7>uZzTN9fWm>=2!97b z-9(yLpne{r_9Q4P4JZ>R8(m@_3oe|k>_uJ#Co%hUpoawUu(?1BM1D?71XYRjtAOBq zXI~Gr(UZQmO`CzX0VM&whGpNCWBZQIL0w zy`8-a@V$6x_BgN~vA2V|w%8LPWh$iHWKXwe*~i#(?Gx>#ka8-9%(l<5&$Ct97ulED zSK8M=`77f$z(E_Ox}>D zG-66AQi?QU#1tvUl%^?-ktS(Y0R>eA|lHoMr08YSwv*{v&iyeS!7wnh%Cj3 zh>;?Th?KG{Qba@+5h3|M&-dJ!%nSpC7W(4)xu5f#=RW7$=RD^*@8_PE?#x<(2Qq6} zZ@$C6W4@E#t-dq9^WKBLOIccGiSJC7!+S7ud6tJ`5d%+W`G6D53W7qh%PJsxe~Q-? zFsn3ckZ*3*(5w-ehrCC!DzZistn!}9s>!O$+?+KrtC9Fz1^T>M%~`Ds;gewI2Efzw zZI^dtR(sYA@2;%bnI$B_ysQOTi?iyomU%B^t;|}JwLWW8W^LBitnC!jOIf=pO=o27 z$=a87FzZOx@vKwi^K90Ite(t)e#7s|to5g}2Gm!6zwe|!q$vI(e~Evfe=xye{&N2) zf@A#E{#t)M^NfQH{>k2h1g8KpKGi?Xdn)s^x7k0FQg*L@j(@&?Vdhl-6901FQvWL7 z$*g4*qyGN2oZq}_I9~n@zGME)nbVYn-evx6ln;c(j*+a62`_^TT z%&yGZGbWa?76;v*&W%7 z{4+Dpc^etebW!XA{w2PC{)Vg`??Lk4pTb?rc=n3y)r7Ch-biV5I(rMH>9MSyY>`!( z-I=|Uv`u*S?yQRJ?yU8`li3Hd4`(0qugyN`U66ey`+WALfEI92D$LG4AMgZxfgtk? z6a*+=Dct7`lu{m}Zv%q@LjxoHYc0HjaqqmqXz!XpRiGwN7nm4m%pA!1A^Sj}nPU`a zB@T;8s?~(I2WCX^*@1afGjKld@;5L}d5`eG0>%T2fiI&Ng#s(HngeSB6bqFufi>P; zflYy}f$f3*MBfEz_XPH3ZVns_Y@*V1I&g&W-pe za4H=+F8`9OHJRsf(sTSdp`4qH?M?76sN&{_n{w2{dzBP+kR|GbM9L&Q$NpoKZQ`a%Set@f)1m zvkzx^a^`0moFB5!=PdNi%~_)KO#V0LELS*}L8X6`)6F@nINY4IzOjKdIU8~|XC2Sk zmb1fqFsF?=k)o|S#93PwzoD^&dw)px5+j7!_(=*QnX9eeS%^&Qb+I3`bk-whs zrS$Cz|Aye|%rU`rL|+%&n6o5vOlCRJw`6wZObu)bb_RC_cV`vkbY)hP4Bf#k5uD^- zmsQ|5f(Iy9n_0f#VG8#cg?lo1CVQv9K6pN}gtS-3IXQTV;^jr1HZNDp791AWpSh0g zaL!T6IeT(F)Hbd0FUj>~rssNcgWff{1-bnx_4ntN`a`*ca)){s=Z?s&AT2G+EzLgQ z-IY5!Gn8AETSKj3doI_;)N16`c^h*lg3=fmL_V8yTXWlUXOK@%?(E!oxeIa^=Pu)# zDtBe>n%wo?Q@NWcEv5!m=5FN{Do{m|FCkysb9d$L$zA5%7ub|7a`$B(&82oT_XyRo z7jlnhmgJtwJ)60i;&OpnT@V^YeAb1=P_4Bf zR82Jo*Mwe{GdL?$8>*)~a5U5qnjD%Eni`rGni-lCnjcyiT9VliS{_;zS{vFB+8o;E zy%5?F>I&@*?GGIa9SxlboerG~UCi8;Co;S8%)FF5Z(bm4US62WHR+SvYbw{v^7;|J zfy;Z|fZ!$X*1WR3A$h~SyYfZ`wsQW-tMu3BjSXX6*3yrtQKTZFt7*_FXdexh@0n>QeDwYQb( z1)qO|-(Z~cm45^AU*`|0{FBqgxN0ARNjXb6?*&%oZRFa3 z@yyeNcM+d0ln=vsoq0R`>5OOY&Fl1uz=FKpk^Gsnqz}(D^48^bXP?gh(m(=P1cvOpmdM-aCPs(;pH zhr^@2Gx92_hP=pmK3oM@Lw@SQ6T^++5d@pzzd77Wu-!j3JR>}t%33L=Sj{Kth5|U(n{=odf-hKJQ@+l>`Oy!Tt z9}_&8KRCbIH=W=Z(&W6%&H17HTGA(JBWHfNHSaKSSdm}vTOA&qyC7#iwK7MELh0o{ z%`m?qb5)=*e=^s@`IGYplOM`UoU$b6uACCza0-2j>Xn6C^QT(!Ov|5{KZhiw-f8}P z$_exG$1pu-Z@4ahVg8b=nw*PNOQdJk=TN#+E7_GdGJkpgD(}ktwfP(JH|KB5-;ui@ ze@^bQ{I2}HIo00g{Qdcd2p-Kp;oX&gn##+({BxP*R6A|;ug$-hyR|@Y8&O~uq+~8E z@a7GmzW1o?lhm*7%GvLo5u{E;rmG-KE!~WQe%u2u7~tntua)95qd;(fzMu^F5a7eJ zCNj<~X2Hm;8t;t!DNN6v;PVpB{r^n`m6VP<3cQRH9e8#nk3kB?a&O;XpL4Nb9QEyw z=1&d;X}nOL-Ny2887UYac90Ac@=h|nU{aLc1bPebHpcU(5Lxlw*kl<=Aj=Zoyo_I|>$g8w-|#-of;O6~I?Bo}XT@ zE_YAC#)2&commSC))njozMJqZ1>FS)3Jw<>D>#sMlFC;(x2OIMxm6UelfL29<}Lz0 z9(X0=;UMGrQ?k|vCvmAys&`q{!A|{EgY1)pfGp^J{0~(cP6B!S0r53Zau#s}{XvaFii1=OnI!qsXGkMN^8VQm;UbaMjorquSUJ zs~W4SQEJh&qM7kyRW%wdno~5tXkpQkqUA-aiq;lwDB4`Kt!PJ4SJB?0{Y8h0ju!3r z3B{-AMA7NYB}M0oE*1+8x7b9uCpg^V6g8U0*!cvHor}FJNpS#@oamFJIILo!YDgX_ z7x&{aGC{6U~aT!yJhj1Az4i^v4Tw6R+al`2QL~&*D z*y3@;cy;l*;*Bar)ck-ogMs-9{;HsQ+*xyJf7F( zZ+p-8V#d{m{}RRz0rvoY6L38IFThOsc2E!&uO#d}g|**4z{vlNL(ExGc-1|@9e}O8 z;?eZ+KU;>{GLDP(EaS!)P@Vm(+|pA#%(_MnG4Fd;AcH3TbW`^hyMb=d*J64 zxW0lFl0}Tm7XXXk`e*p824y!WQ$gv%SG7zrJ<1RGEB^)Xxsai52PH+xtT-^V2}t{X z@L3I?os8R?;Qy!axf-tD0{%5i4|x>IS3xi2RM1y2)XLym2zr0eXMyfj6u4G^{!`E^ z5Z?}^8N|*B`Gaunf0@^%S1?_?4%d2xBVWA=ybXT(13v^m(}2HuL;ODpS(}Pfc4Q{`N3@1Q8 z0?s|~`Fpskv{=Y%+9^ksBd76-*;f#wZ}HzM$}??DfuBFaPXXhUyULMvOj%(=E@i0Q z&wsQu$K`{pVf;~SRA~p?0Dd2Qo(APM$gmZZVaTQZfR`cl4>NAKK`CLMc9s9{Le6Pp z++2y!>%gZ8@oGW}wyHeLl29C*5Ic^`GAq7qhy_9WcU75!t~N0Tdp5MR2|OL(bI#HU z$NeFT59=ogd@JMHkJ(k*ixepZWiGqQQdOpyA_Ep)f&9jQ(k{YhfA%RZLWTlRUSUWY zW;q)aAEaXk;)qfv4yn=sN%p|cIq2pcuwUyXzcwe)^M%h80jMrN*mLSAn2*c zC+85axvV|PIh>jU;Byqybvt<8kFYjD|7nmpTcs~z@h5Ot0zZFJc>rngK_x%%x%jHw z_B(=WkqSRkC6QeX4L-N=A5r5w;8u%t?1HXd$G0IxSCZh{AA^23D3>7H?U4M-h~w>u z<6it>K900#L23OVVzdF29(?;1_-s-&E$=rGy{fKL<&G)Z49JrUN(VR`MChqp3XGx1 zy*1$TBwSO#c^@b`>b>R2U5K?l3HUFSX0Xa>3^Z^DTs4IC0mS7Yl+&%q$w#4qod|a< zd{$bTL5+vBtFyjBoeci{QBMB=pMQh=^D*%GvC_glS)a)gdjz`CMZq8u7vxJ}j1aD{&K{|B8cwQBfYE^E-+2jObxJt^jH zl_$ZiM74~j%2A*IFGJb?mC`Wa0@V*eez*sGP@mXls?b57g>?Bxgf$C#`-Q59@$K*6 z^8&a9fjdw~eFa|)QRNz`R?FOM^FUvxYAwk59AE<|8=%j*Chuw~2megelhdHL3&=}9 zfX^Qwly-1?1hP$0H4OaBfPWouEMoB@a`k?d?o4r@es+y#ojV4j1w>ABEK6;quKLAHtY~BWK3|Hj^+B=2R zkUz~>2YJ_=~sRBkLsjqSra3YO&KO3uquQL9ho z5`TlJPEZant&sFKbu1OtmuhKB;+d&Ao2Kj2NN@Z}~t@>l2q(ZBA zp$B+W(NQYD0sNQP<@7U#TB_B*Wjutke-UxK9X|i0AVQxCZoh*65K{!|G5HAc-Z*^q zF@#bL?Ue(sgbpi_579d7Ps7!Nv_1rGPlC^A)n`Unmm$gP2<43G!Gc>S@Kn$jp>+Hb z?d%yvK|c8gQmP)VccRa>9+IC|J$7D)t9cR1Fvzpm(h2(Tyf)1?O0|!wzJlv!l#$Ov z<}V|MKr_alk<-46{L^H)_Cr61SIFLl9KHrVC33}=fd`?hQ!2MBeWKkAseTt^yC3xX zfj@}+a6f9-8Gyq8R|AFs7Xog8{~sgn>(H`~0MET}y&Ld8)s_LD2>5G!RRQ`2P@Y9g z*Mzl0uVZ)WFBFbCstI~~82leb`l1|}PNXc_ZgU*)k0M3t&_>jO5@aPNyKh~ag1b} zYVeNB32KywaO;uJQ3`0p$MT>S(p5`=+CZNN4bOx2CMs8q8WyVY0_Qi|c<#nde`1CaTVEpYU@R_G@ za4tiLPKM%Dj3y=le_f3<0e=bjGc}Ix89)$oCYk_cAb8e+=Ro+}%rVl3BSvV=wSQFQ zoo9rM=g@npK>Gf{d;xs`-plz1UjL@ugEE`OCnsq4Fh%|^%r>DF;<^E2RyC7k^-4~wa?Er+7df}HuNS8J*;ww{5w5k#VhoDLY$O7SWCqxL%SX?wlBUOa}m zxZRYhN}q?tG2(tkoEMj*CLPiveKN?qHf5av- zAQ#JJa;01&*AuN>ZjxK&cDYOLk^AI9c?A26CdNGN)^nE63Z|z$`t+zrGc=c$j=e;F z*{Bt1C9+)`NZ4S?apl@5ZH!h;Z?#&z)}T%1xkT;v+=sDkraU~~SW9K3l;=N<-!g7| zAM_U(+8*T@RHKJ++0IZO3)ioM@__9>s2=merv!Wk9JYgJEnq4r?cnoG_;CSdGPI8d zx7Pp_{}uWQ&S8N6s8gxeU$JfA{Kpin+SWz*F+NX2|1MKxsloeiKEO~P0=z%_H@y~z zeRG>-sZ z3qQ^5-!MTr2@ci31CXHr_$-FT44rpk-;1wG8QOji{@n}>P_$dTAMCLt7eR==Is!0KhZ23Eh5 z*TCw7g#D_gyvo#c{jQV?DLrgbjZ_yK;OVLU)KF>>XeFrwQwOIG1HC+TRO*=2YS76Y zG|OM~y9i#JS|8=jbpDnN@rvTiJAyZ)PKIH3wmF=LTf|IBoeKBJcZ{+6Aj0eZvbJvEJ>W4CRoJEFRc@MgQq zl7aEPsrz9cNx<>$IGjj5eZ}{YxJB%9sTV!MV|r3N-Z(j9 z;&O$o(-QO$u|qMkaeN~BOUw(aV(^$ei*O?OFp?$~4^O}ojte7V8&`>cB)&#M{3Gw2 ze;AK^M`3yTDO#c(@#7ibDf0~R4EK!mQ~;GgYQK8Mdd6KvZqBPJY)D&MHg4 z5j}?z((77wSKqs5ycO@O*_K`sb?up;^z=q{G~K5qv18*AoA;UjBu`VM-te?|+C0-e zvw&N6q)v{MPtROWN7TnH zXxfOhinP&bRcSR`ucy_eO$4nmtvRhVtsVYmP@Ty2WnvnW4%226|3o^K|Fn5&3pj3R zi~GWexTh^kTgh>VmYX-qd#e)4c4D4NtgjO5B}+#vQ`(xe^^rOxZByFTwC!oT()Oh7 zi|T4xLcJOj*Q9MxY@K46w1a6!pl3G6(@v$GO}h}=PNnsv8|kj}^mKoED2`X8oh6fA zlwOiPFnuuaVd>>)b0fy`pFS#m4D9Ok+VuMLhV;qlQxN~FnDnXX)7V7vUHZ)QIk4xa zFN|$V)0d<#PhXY3Hn#0a-;j>BD1BS{H0Y7jH@0mbtnxwnj`S{$FXFg2eSi9)^rQIh zMEdDieWjmEznCF1%#4%_Z$=;^oY601Kt@@{kc{COBQq*9#%7Gm7@sjAV^T&_MoVH{ zn6&MSv?;Om@YU>TZ@@3&&h6N$nEaNk-tS7nKT;<~`Uh4yiIm@H*^i_-@yKYi+UJHo zJ7c;^?}!~WZxqj~)U|PWIo6Jp8zZ3{CA5FBbt%eyj2&(F6Y8Wm`)cyeOweaSc+s{p zv0Y8H*T&R$v34X)jK2O8>pNps#@tAG%jn2h#N{AkX~v3-)fwwDHfC&r>CD*4CfW~= z@Qd&!ld(IaJ6eW0oY?+xr2Iwt#@6>-e{(yLaUkPxwA^#qqjJaPFP2}%v5b>R``#Ac zj58VMmgC7Y$wi*YYTJbVN^B#r{a5li%j{lYVd=)yo8m}>o>~%)y z>QDXiN)mR}9s>UX_-ZZi8pd^eC1)VqSMk*u&>^RUoCelKXp`aRN5GG%xIn@~kf#~0 zYX1Bm5o>&$NiIq2=6e+ZJaf<6p*5AYWu3I2fV zvw>qRhu(p2&jSA}cw$c8bb?}l16(z@>TbmM^YHm+&@Y3-K={vwpMQp*SAhRF@Ux7& z)*!u*c21Gznb&Q+NTn z2&-}ACxABt{~-K)1%B=a-UNIIV)r0ocRO(86Fnb(aHflP7jWcKP32Pj>y$3wSYaZc zfS-BrGYa?w;AOx|fct=tgML&lDgfna;CDk~NK^S$;P(Rm2GZiYkm{Gf@vV*==s?~u zY|z-hg3o{9+t1+J?}FY5`Vqt~gl|2dj|TnQz?Fu-fNziD+uuXBp`eFUoq%$I9A*3f z^a=P@<@_zcQ6jYOK{GfzLAHU8Jfyw?z~_HK3BgYp{4B;- zYM#1Q@OcT+@rclbJ8mxSv!Y!L7Jn;V6hp*1dhQWFrDvGsHpt0xikwQ%G&vLJlPttDST3PZ=pk;*k>2D& zHgY-nb;-fh)|@f;?8a~L*%kT#hDOlVEO@O*GuLt!h2eTozR9@EgZ~uJKgZDa9Plyl zGZb(EU=6r+0-tR0*@(I5jl9+l_yWM&!SiX%rhWyX^nmgeoJ!KmP)`B=Dnk4re75(^ zzUs5sReRF7i*P&UkTY$c7QB8k$bbLA;U2(AfRzfzw<~ZW+a8?Zq4L0Zb>gOjPsk{u zJkVd1hykKh3=|&_cZxycZpr~46hkR>M^Va-7GuO%@d@!sQ7s-4HR55)L#<+}m@a1E z-@?x&P6Q!eMP#ax!y3e7F@^lpi)mt}m?P$kh4@3VN~{$d#AdNg>>$`B_KN-DkT^EP@I?bKtZZh5G0rRkV%sff7Gv;~ol3lYq z>>j(%9;Chm!~XVC^SphKeW*QX9}Uyo>qIi*=C<{JqG93zHhAY;Ek_9FU;(=N#n)%|IMN+{bG0MnD2j6Hbd(@=v0;;O40H^p^y=W)l9Y@^49B0pWS=b8 zjhI=EVR7=u8FE`{4?4;nqa0%#)lms!Ofovt9JPIt$Hju^j(SdI%diX-MpXVb3ik~4 zA4e0MY@Q*TU8b9Djw$w5J|)I6(=o^FaLjisbS$B~u?YFJ)Un*$=vYO*);cygHrv-b zwy_RLuR9!FtVzdS$A04PqYx<#9fur8iR*613Hu<&X~#LoMW=9@&J?HD8E}T3{hR|R zUv@alkeU>NbBJ>|8^}i?Of;F=-fizbW#ZYoI9Pno!!m@^c=RYxA)kC&STD#=6UBC=XvKPm*#S~ zJT4zXXfrRlNT03(SATmAhv6!94RQ^2?0{4hvTKB^g0#2WvC4VOHQG7ARpqL2gj{vz zM%P46C0C=}2VJz7XI#y$R#&@ghP{qrMJ3QRo6J1d4A%mxYc{zSNArx-Pi(ao%zDxDAxU2u`_(BtPYLxzimxTn@*4x8EId7r9H^ z1EV>-+g?F_RXIK29%de<{LAK;%6H~T=Sg?Ddz5<&mF*6qt#*!cS95Lau615=*ShQ7 z4erVADfXdUACi2l&E2kp6w*|xpD&SK)=_OTi2SSCn9|2RjdT~}l&4s9n`hiJ-E-XY ziN4Ui#J$|T%09?h={V$G>)znrY_D@~bMJ6>x%ayFyAL`0xsSR}xKF#cQT?--QfnvI zxs)DMJGsxfFG43IcZyIoCFfwmMx>Z2d{R_N?|JT3sU85}CbZTU81l)uy#5Zj3AnVc zoz{lI|60sCtbyws_&*K`_A2Rh@Tqzd3qfy&&sCtz1s(*x6L2-Yngx6>xLpLkmDhRc zlR!a#LVUZYowxzlrzkr7Ou>qG%X@Gi<w+B6xJ?=6mBPaW}VO^!x;?q zy-FKM1f9A<1}9;A#ex<}ni5#n2c7c~+`?f|adv6@_(3I%hdo z;HwnKnF7vJnc_se9A}|_kOMQ_7Jd;}F(Xr2$C05n5_P zN~Ht;d+^x-o?b|@Ta_K)h@-w3+&a*2-i4b70)Q=`*CWJ6q-hIyj_T_->nFfxo$6r& zR#@fE$!k@h;WA5;tdsrlGm-flrt#E068VB;-DN~>&=77|({RHJ` z269?4BvG{}>K_^r`GrO!a)Lrq`^9z8|E)DY)b6Al5i;dC*8a$pv-({r$5T$hoV5(& z7s&Le_f&(7OVLx)$@ndQJ(k~|gzqdoHDu8&I=)R;yrQ@fgBMxGW!e51w}>gR+>Jh7 zkkQp=;^K2WM%J@Q_$8AmR<>A^etiaU9jL-g9o!f9s9ZhDo%ItZZ-Pk-A93i3(f8Sy z^s)4Z?_5@xuD&=&=~fy-1}l#I#Og7s&-57o5u4qH#iVhJTQm*XF1PGaF*2Nu4;$|# zF?Ou%hGmaQ^8JPQIHp#UsU=gNAZKixj$b7{Jy)?4!n`6c#G05q8;L_SKNZFBXt+jL z$>M)DxhzqBp_p`tlo^YbXh;1_CNqW1R5H`9p_g}w9o4U8Up2knz>dcI4eTp)Z0YHZ z?6~sfy0W}oA%BGb%#^;eGl$H43!mTTFD@@HjPbL?;$NQL~c#L8dFEuPjFFy%vxCDe-m9g_OQ}{t%g?7Jh=vX)@=?Tuec|?h%US zG0CJ@{LYop-r&z`_#L_bv&u}s$Q{vhwPbHbLWX2`%D(W+y@nj}g_#~A! zQ4`_QWZ5lb+Q>{-@xPk!%u*(j?>uuYyCWs8E%huSvos~Hp7yM;?A4YYy(w{Rdn6xt z)~Wa+jvL8rvA*k!*OzA}ncZZ%$s8ban9MOUC&`>4bDqqllv8Qib<|0**OC-HyT&3gQ97EHQS%uyrCR#RQD#+U&%G>Yt^B#c5Gdg%)XKIiPh6p+RUWk zC$S@G65D@b{iZomqU9~kqsl>=k4%tEfo1wD6X~x;`(GFO@^V^fN?#c^QsVo^k@6Sm z8%M(D`kUH`v_X9`pzJMGK3$dLw9$R> zORI{hcP)QuH3|8|(sx>2N?e|e>L|T0u4xmMJCC~63Ll1q{{Z+8u%ZTMyfd`D%+Pp^ zp?MEO4bXtA@f_%D7}~$cP{O|kiUWS^dGPr<=nny|WvDCuuL8%n#w(!w2s{q~zYn;g zKMLFim;p*T@OFIL3fO}XQveI#dKNw(2JVC(P;@uwe+GRZDE|!j-wa)l--)<58USB} z>r!x@kFV|kw>r3vg6nkfTm|}mz}cYu1~3(rG{81M4e)o+$uhuZP`(1#gisy?gdU7Z zfJ%oxz#oG$4{!ot3E((D=*M^(vZ*-U3;Dkb2v>*F!@t7yGr&6$VhH$XK*+2g1-GGq zKL8y%w?Y5f8Q{>8Jpe!72A}&u-v|z%+Xe$)4!jodI4H;=wlanyMNA`jN}d*)@LqW@ zfMcYiS{RO6N3FtVOoT>2j9k-bQAw?2wD- zSqjLu!d6&slgL+__3dibLpa7c9;Vub@9ePQ&JGvu>_~M?b$nI?95Wp= zsoczR%%*ZP*YWqFz+LM8fGBdGbiXe8r|eAGDN0haQ?teGsX3`RV!$oqdk0VHlSxT1 zUdse5J4~h@nE^4TjLZ-+!z~lG%t*_wjN!$8#|pmNMwt|gcf_#U_%}3nV8u=Rv+WAX zwdu(odHLhL(?#S=LV;V_1@3T(oI{A)ldO{oIp5kWdMn^3v6A+;z>zZ*H=akn{Bitg z5SK5&Po2H6E>}s|ITQGsNS_{gSAJ5sm;;mh*HlTo=3#{DP__r zWk&v0TTzV}@(%fa%EZ5ye-yg>la?l29+zi;C={CE5H`xtf7R1p&0o#`U89Kyg!&^o zQ8bDm{(=^Ywc=kyvDiY-ZDOa`CrZQ-dOj$Q(lb;Xqvsy+KlBU}zoF+|@j5-j#d&%@ zBrb?Qi4o$@^puOs^n6%qQWGQjNHg&fX;N>cLOP^Vd{nxnTYOBW%2ZJ)(`1^sUuMV* zFFJ+*Ips& zH^cZ&wOUal8U$PIFXn8bZ=@ub`&H;G31 z@ABWpQ}RFLe~8KQd-8kYY59HmebFR;Ab%j9kw26_6wUHK<$sFL$d~2IVv78c{E>K8 z{#gE4w8)>xpNQw=PvuWVtNfY#nRs6QT>e~4mHXv>@q#=+qoFo=P#zSYmA{g|67BNW z^4H>TJ?SRWPevEmv&J_;WzuOY{%csbH4;e-3|LCXm7v81ty z_fwfv+ITg%Bd z>01%QkR`vgq_0V;bHBw+TD%@kBK?)VYLiHRrLRYlNPnfT@kylDp>rj_N})%SNUuW! ziktFPmqhw2eSIp4^jG?-Pa^%5zCN8qdfvCerCcWy!1-N5Oh}q5uJrd<(tL5Hzllk6 z#+CjaPntIZmQO9}uOVr=U+M3Oq$z);ze!2c{z`vOCQbc7)TgxkH6~5jEB!r{G;Oc+ zH#uqQUg_`YB=Q-Sew9y^51Nw5m(1TYN#slBuQ`c)$^3oh3i%>CUj_@F_mLX!j7`)2 zN&AlWFWLs}-?W`>r~BXCTipNQ-s=9Idz<_F?oM#(FVe{L7o}nl^=L*=`!$;C#TqJ8 z6A3noW?_y0rHAJWdgf!+;3Urz_4e!K+U0+-#xO3+@XdmE7#wa_xJ37q-?V!rD)?{}H#D zFPJX~tP2w#p*VGlinP;dzo*v4gL}KR7{l)}!7yEqF-)tX@$-Cbp|*rVTcxcfjcwMp zX*;woZLhXpJER@ePH3meKBrxz3}fmkx>pbAVZEO|KrhpW=))s+)P1C0sgKpi>ErbY z`Xs$cZ_(TI>H4e)AAPRgp)b;x>MQis`Z|51zD4iUcj~+KZvB9MSU;wp)X(VW^-F9U zG)Cp`4Uge7f<}SS-zYT(8AFW`Mujojs4{A_RYsjL(P-3%8_h(oaieIoM4h6g@JjN`^B<7_nk7mOa8VRPBiZGKzGR%9!&4YUom4YQRS6K$hx zVTL}Xx^1#;ifyWGnr)_Sj%~he;Z^lw$rUYSCjp-Xw4>Ct0>}Dac|Rz> z0mLeMwXR(JBj9}ud$F=!t&f&}0Q>-4vC3YpRFz)>1*`G}*3OIf;oJWN48xBT^x1$| zBi#EHaKJiu`FTKmB^ChB1l|E?gZ~UwZ-9ao%>rv_d$CtQtv;5Z2*kH{ANV6I`7OYY zz;!)b!MW!f3@`tR;U%R9tj?xh2mARGAlCa!tnZf30zwio9r$)Y=t}$=5Np`Q-{9LX z0RJ)IP{0R3e-xpA9ijgdI5fibzX73*UaUjcCc+h*<##~AdU*}Hl79yrtHq^~|2!yI ze@$Z~hL3^12RPQn)98*Va1{dqvA;oJFC=a*m%Z4{pms2bUxI@5{yo13e;uwf0I_33 zd=YfDBLO=qcrKjiUj-d|FXStL&x4MY+B)K{_k*7aaQ$20$VC$QM-Bo7-)d?X1$Ic# zNSMF91o%m~{u^Ak0mr@p?P)+a=)9K#a-(G{K^d7sZGs-xUT{m{;ub~wn)4B7z4LMB zWarb)Cg(HGX6Li+bMEsN4_{25{#k+=aryFfepi&_JKmY{R=!?v&95WvIaZvL??pG* z7IJv2sU?p;#g%WE-)WsZx|3S*oj84Tb>I1;>?VWco1X*p@Epf^q7}eIx$zmLqFeUA z;uO;;4>^eNp>hPdcFGEJWf|Bn@g>)xVv8ItgOPgAD#h5}Be07|ycCnqQLe?m0-}Z# z^8k@^dQm3`>?IPYRn%T0fjU!QkBGp&9D!X#0yTr`lk{Tuj6@9}v6Dm9MFQ*S#lHip zokOq37XXg-hYf$>(&-WuiU(!Lq(z2;Hxb-(DZ9ng-7GVK`kWbZXw%~o+A`m^Qe&wd#F z*^%ha@*jva^Lgi^&N}C(oS$}1a5gw6IiGTV#@XV0&N&q_#A>R;(p2kPh|$|6aU>NM zSgG({keT}#qit#HP3mJ@Z}^eca6+^-e7hxh zBVBgC!@BH#$936#L%QsUw&L%dwrN4MhwxsIIg-u`(T9AmNX@NZW6aq5oo@Xm$BfS3 zIax}nw?2rTCNh((S=4t<8ZN&zH>Lc(nU=Knrj)`P3prAj#^02(bmL)9u*QMGn^<3e z`?@7iW5tOWE7nDG-`gugSlH>yepD9w;S|sCJ0b<=!1|aug?FYOG$CeI;O&+mGH(#c z4ex{uw-=p*YiV|&A27yQR=1eMknv6t{{?d!yCd(mwtlt&wlXq9Y{P9MZI#Ae+gSSQgl(K{ylsNzbCRve)?#ZTY&w})wz;;BgzpyF zmfBX3Sxs;2=xw8I3z<%O+iBZv>$V-R9i}gj*-jGAGsN?Z#dDGEJn=klyJTvnBc7+} zF@3i4rq2wT1!jM<)ON-kL}sWt!q#C{n4`@qGBu{pm~YmRzbY~l%|^4?Y&A}p?PO+{ zv(0(t0&}r(+FWcdGgsP%n`;PLPn2`!CUdK~-P~oHZtgPonET9wwnfHr+X^zP%_FvT z=5gB=^Awr0Bw5hBU>j@p*v8royUU(#>u2}dLu86b&X#MwCF&*S&0Z4Gi+!MdaQvHD zY9D4VCo{?%VIRY?*sJZe_Ij4Z-oTRCC!1&OQ|wdWHZ8f^&B-D5nf5vM`Sv;HZ2Lm{ z68m!dD*IY88|<6y+v4*?yw4lR6LGoVn(2P6l)foxYu{n-vhTI;w;!?}wT-l&u%EV{ zBXiLq%mRn$NU>dVq&U2efNg>!?C59nI{MisQ;u!2?RE@sl-UkDh9E|9xxg{pF@Ssy zAX#_VT_oKe$4J`&N2P5erOHv;SjSkBph{`pG0rib%mkFpMYe9oBr;8w&c-@gY=_Nu zgb?xBMm|xF9Hc?VEX41EW3Hov%p#5vX>cj&c`0dUgkxz!99B41JJyle=-6T#XL=l+ zj-8H9Tfni~(ampWkE7FWICk69MchgN>pViWRs^dat#b|4svET?3TtE3Ih5*@Q0W51 z38MlhgG#I#vew%y0mRv&);bw=mN?b}s8d3%byI3pj9LSLGaA);80!R0b@r!P(}MH= z1msjJi`4oAwdzTxfr6C`>eN!T-bp?L`pe*k^+obifNJ$o%=wPGIyZDIpjyLVoiGYl ztk|IzNJLik$Z4Rc(;2aTN#g9%-rGUJ+AVcTtM)4B-vdvzVh5q96=K%urz-S&;0K(o zGc2nB)mpL=xMDSh-UX;684WsCWoTH>txoY&XJiVbg|625;G{{ZP6@>d5bGq@{{ekC zpgO}9eyp=F)#pWMi{jko2M~bMEEY&%kQmt!Jt4Gw@65z%uK%4<7mIEJ& zuhd$vA%K;D>IBXI0B5XL>J1Vn*5pQLl5n+#O`UP8VO5*N+A}kQS1M`$k8zt?wS~1_ z+V3oFGl#jBPnP7npnn2>vVg%1h9mIwe%7H7z*%Q$voTyn2XS z)#-`dy%qKj>uKRDm$UlVziaAOou!MR>$judd~`L&5R9s z!$4U!uu4#^@=|Bh8d!~{P6*afjts0TGd>H5^^H1GP}lI4Is^FUkY^@jP&LCjNUlyG zR{0tEkjm`F$T`6htLCuoQSAfa5hc=-%=6J6&Idh?;T3ANi{^g9%OAV!OvDoYdG$G&ClB;#6j6Jni=uUAC&P)&`x zkU#e?EcZ&prs^$)dL`G z*QHnBU6fvGcW7l)8dY1rd3{er`RKUGv3o00DXll4lq`4`$rWj@-&(B{X@_nex5)la zwI^V-#qYD?&GiK%X~6ev1#pVef+)wEmj-WEF8-4;iON8bpcD(=MUt5~Zy|(-(`C`S zNHz_*gKJf3^$gqJ6u-CQA2RP^4WsA4yHdu*Nz1!V7LyL&eNyv|VD8s-5*8LS5_Ej4 zM;>oax`d-~lz)*iH1LNwh&;#V-p%}rOvSz!@_M|Pf05}}gq>=<;(dqUU2C)cB2$w0 z`EcK@i^3~G-JYzb{L4tul9<@azv#Hy!~lADog(*tcVp!7mz=V(apm21^ZugKwv?VQ z$;M}3wo_cbCC=(;dFQ0KT)S4%|x)e(P zw@Mn$;e1Z%V#52@C0^d#*S3w^oMk>r8vN4(X! zfm^M!f~dsWdqCdE?2G!Vq=}k@(fYel!+rAVSdTsTU9V%_NfEaGkm=Y_G4EY2^Sh_q zb-%~TUH5yg+;zX_%3b$+u-sj*mU(`S|Kl#kTu8lGAa2%-)2*)M2E@p&{Z;a}MRGMi z$$!_E2z6H1!uN>m8rB8yYB(L|)fU zBYKYgpTAJNlmGLtS8Co#SBjRD`x_qX-0q3-CwDtr-F$ZU4c}V9yEfm1sfxR6cf4$h z-m1%Y>9*k3+!c6VE!Pokque4pL2r^f9`@Mrak!~9^hI{1q*$z;Ymg-dcV9w!&e6p<$)OAk=uwNqB@)y5uQ zwX;+0=~R1^b=)JNU52aL--#WWy4rhXvR5AF*I{=!jze@oEN=4)TlI<&7weQ~+wv+V}p-%|3M1(Z+TtIRoscbAu2 zhTlh7W{hR3VRKDvM)H?bxe5D)#jrRpVX_?FI>A^{k|OD zx4v3P%jhvUpN!A827IICz6Jh0)HZjBMPjLCudwXZmc1^KH%6Jdzfy>w6zzGL5B z5$P51?1cAWlW zu?qgYsG*vvW@@Bbsg>TiW}1hm5l=JLwc%tAtNm5?YvBZx=-sFc(F^U}0k{PaH-=qC z@39Bx%JfW$X<1ZTQx;Rd6~weJ<5BxC@6U;wx3^E-rSu&Uxw*9t^Q;qZX*j$hyI$zl ze%o6aQV=>b@P{U7^#yK4Se&<29{^`1Xy2ew0oM>t!J`Hbj6_*N&ah6`agmG-z5l~L zZTAv%2sJOsZ<mO4e##gqB6R3rYy}>d?*f0I*a>bR@iw8tQ66%~} z)C)VWlS*>-{PvbmC~kj#?n)W{{CYCU{`~c1)Ia^#byBYko&23|PWJU5jbzkw?bk_7 z8q$S@N#z`njCy|gby7)xmu4qP?=4C7SM@H<1@A0{HS?2XI=J-Fx0K&_oXq!MO}|Pz zdt-e@8cl2IR44QqewPVGv+OE)LY|iAg zGunCWlCJ3v-J=cAeR@zY(EID9`XGI%K7yX1dWAk(uhMJuIxVbE)Eo6?y;X0Ig;ux< zs&D}KByu^d7@7T#90(8-62X6d5JPz$9sh5F;&%ns?K1 z@26B}nxR)=-JYrLm&Dwe#C@GIm!UOBhIuQAnJnuzNp-#)#$e*NfVlNi;?_=eikejO zV#fg0X>1a7oX#f@qPox2x|Z1gTjY9jTyw6F9W0VLnJ6##cC9L&yE0`uhRZ*@GX0PcnH~+E~Sz3qs|=E=lA7lHM^{efUvAcs!*txTsZQck>1|+^ zPJgX0Esgb7n%$gRPv6~7WKOT&O^x$kZ+c~Tb8dQ#q{_hP88SR8u|oT{wo3c|w13go zYd@t{_2=3v+QGEbh+6@DQGlED`9A$Yg!BC2P{JyramU=BxIZS2syux?Myg^fbU$W1 zd1XrEoXH!@7do-2g5mR8@fUg2R9Qb&~sT4C_ z1^hVh?eJ3z{375yxGo2M2vcHDy6t@obaiI!cY!~KuMPsA1v~{DHUaMjy#x4h;L|KW zF|9OCGQ3%>bSLcYXwAiSAlGpx$;TzG!^AqPy`~3k`eD>(4)w&;fz%Q+w0NBaA8?8C zD5Y9(DB5Qq+Gr1zxx;`bsjVK$ZMB>z;{G>Vce~@?mG$Qpy-MpeY4vAI-IA|PbylZ0 z$DGovPGeSotgTa#G5cnn|Ex}&R)3n+t@=1gSe+QG&IDGc{#vK|#+>D={u!%YwCdTa zQ+Cyvx>lN%iD9DeWX-GBj{JQ;m^Z8;wT~k#^xssEQT4{HKYyRLG`2CZ)pR!AlveYv zIp%tD%<@P%CO*rrnPZki!sUsrDNf*f%dM%V&QkwLUyhMD(_B-d!TT&-snB`%d#;XhaQbCOaC3-p9!*RJkc5L&t8(wvEyo7nE25R zJ<+j^HP(siIa22#G5!`fe}Kj`X&`3W)UgfWPLe`B`WfPeU%O|xi<4dN%7W`mN< zB=88Vo6_xyG>e}}AW8*&ugY2-g@hD~mbSi`gr$ACSo?WQnjsgfm1~x+x5T7bXN*n{ z#H88$nDW$`m}aVM8DHp2IU@}Gd|x_gYGwm#)~tCSj0p|g`C}YNVfWWl7zFlDeC6Mx@UYKYxT? z2>Crgl$bh}LMlM&Pqeu7l~lBy)?B7q>8EbJP-`I33)4|UN_F1?`X$zE5lXOH$7tOm zqV6YA_l;m>qneYl)+=I$$iN*AYTclY+STfd+y#iXP|X_Z=)0)7EdzN-S95{*i*59a zDXEd3saol9t#ps?nO^xs%Y(HtQ>}`uzo}uBT6RISr9anR=!dX^W z>h!U-;(FIF+*tYHym9KLm@8}317xfEkLOZ%#+EATcL#ZHD_X7&qi257>W6dv*;kuZ za0@9b;^w@FOAL=l4LXs0o{^2RS+>e{IYZ8t^W*}#ST2(*<(g=@!WtJ%^*JWS=w00< zr1~i6eXG^OYTdB9*+)}({qOtINtGp4GIjimS2yXXd2g$xR3%x>zp8&Cs*j>-Q2Ync z)qjT}mJEDz&326PS^`W21$66knC<-3`Y)g~a`((=#PmA-7PfTo);iku0o8>?e z62_wNfXeTOXjD-vJ|&*9TYrOcO7O*-?MGNT)u-V+6G^<0=cp z;BnO-=No7h4^3o{p88WgI9hx{j1vvwDe;_W6MrjaiZ8$quRHOIJaL=2SA1A}oN~)U z;t4TXw2IG)>Ed&|rV73cktxE|I~b0B-6yG!FiAWuo)_)nMKMeKotXRhpywXfM*vm= zRs+@nPI~;YrdE9l;8ehAfHMK-JpTAIQ}p?OO8{2^ZUEc{*af(cp?3f90OPlSljfO$D3^RfDM3?0jB^?1)TQG6Ae$41K~Pzy!RECMV690)iV za2R0ubCfI0QGjCrs{v~P>z{k(@hN5lU^8GF;7q`I&plUmr@07lDc}mg)qv{&Hv(<} z>;&8ixSQZ0vm5XL;9un%)bWIs0YyhI_ztO@u=7=c2OVw zgt$Px>2b1w`pmPar@UG2k_Y4oc|mh%0j)$Es#R*W+J5bf?$U$$0DYJ~S|6`Z*4y>D z`VxJOzD4iS59%lNi-yYx8Uu`B#%N=_G1+K0<{F!AM{H+IVWyh}W|>)TR+$sbDdr5b z!(48zx398qvhQ>(bgXo2be1@WIxC&EG@fa5&T%ewu6AyA?s6V*o^W1pIa~o(iEF5< z(pBqf6n3fdD{`oFvz2H0oq8>sqU#z zyuDT!-ZIN>uwt{!xdwYU=8{Rdl6}=XRX_md>3YRX+J{!-| z=S_GI#J^|HkAKfO75^R@9rI552sOp)CvR=Md)}@D_ha$y;lXfE!C4mrsZJk3{nxS7 zpR1=HT{HF!Q7pnUl${^6>|vIDM%e|WmVHFog_gg<9%UCbD!X{1Wgk^`zrBfid!NPm zePbYJtV@+;C#2H*7R2Y6_pOh4Xa8#x+;=6sA9{oLGx6{Jhb6q9h=0F*Qo{ShxA}c% z{QH1V{CjCQ;eBSp``-8*GjLDB`+mF|)Drrw6H-Xa0}m?u10l<{QtJcF@SR6JgGw4r z)Y90ekw!mlG`g81I>chJoJM@>sb=e>x@|AjZbztoJ3}>`pgJyH24sQ7XN+ZA;gn5Q z_MKK)zH_N%pHudrg_eC$*>_nv@2(DI4>m1(pk*(!?DNXLyUw!bTJ~mT52>~6PGx^^ zh-I&}Y%9E>R{V#qQuaN=EqkA`hqWmCUMqLsyFNY@hF8XGeRxH}dsV`FYr^}on0Mko zVr;zoh@}bdYvbP^SQr03wkH1l6AAUoCr-!Lm7h3|_dt>wWjc*l=Zi&RnOG&(iA`dg z*eUji{o=4VE>0_HKe0pERbk6sr|eIbTehXeYAY41n=Si*vLCcsga@q_;K41*9%pHL zT$5#6xn$f{Wj|!qTMxBaw#BbzsAXF%NzEl?KWydphi6&#%6P5U8u41HEyBAmX-%6< zFN#ZwWzVzhW6FNSirpiY^y95OHr|TWqdv>FV*BVRW!G8Ssk5~FDJvGAvdYk>tTI&J zYT3J${b{QVetM;{C-jSt?SzCpIH3#g?zonEj2I{CM1!zons7qdkF8hsL`(CJTPgLp zRjwLFS+>=}JkhS~Nmd*uSvlm%%9#EJAx|ERZ!MlY79%5#LK-~@?+fDN@RUE{y(r;* zbi#Xc!u$F7_sQ+?;Z0r{FW=;K@%6yu&GF^xiua8P@9Pu7ODNZqwEWZmX^eP4{;Pc$`SBX9{6~TMkL|o3)%c3g93vgo!f@0&YDJc#-7!u09WOdw z5;=~~IX)*sjxRX=PUJZ{97{!k<9Cj;;{ER1-FJvP-DU1FG1z^|eM;P&vLod`#gLSj zQ(hKBQ!`Ti;-1t%YJf(VJUX!9jHLNum(+Ndg?+7^*T05jksKfg$zgJ&94)KmcsWr{ zmQ!S#oFV7P4$LaAlI!FqxeY7e_Q^x?m^>xV(U+anhu%xQ=p)!ca9;LkrsmQ7T3G9^ z4a6Ra3T=!wPOH-zw8>hF)~?Oe=4uN>NUPT-Y0cVHZ8}!PE!383E48)Q6|r6G(z>;S z+EMMKc2>KH-2>@*Krhfs^fLPLl5XfJx=#=N|LuJTSQNaED!K+l7c(YA%wUdyIcHSFj0$GNh#7O%cj`=w=<4p?UA@=$zQ=t0 zr~B_vb*fI)sp{^kVoO6S-2vL^4WOm&&URpXu>Nca8;+wBqS;t>9=n8H#javvM>Xf42X+^&?ihdc2ewlFgD%Dv<%X5l$=N0WP zDB4|A^tzSM>T|)Jshn^-|MDz0{0RFEvZ_QgcSV)VxtIwP4gstupGRRvYzFYlvQI6Qf>g ztx+$v&Zw7KH0q_6jC!eMqL*54)Jtt@)Jt8!sF&KzsF&K@sF%7R(Mw&(sF%91Q7?57 zWA3ScG3uwbFzTl+N^(zaY1C3(OwqEqqGbt1yD}JGD@D7KigwnDcBK^UN-NrxRrD&S z=w+kmRbJ7nf}&SN)XP@UtCFIZouXG|MK6P*mp$s`py*Xa(W|PWS2aa1M@6sdsF#zX zR}Dq4nu=bvl-#SW=vN1G&sov0uA*N(MZfw+{V38uB(oscPz_+4rR^9CrWAMx7iiIU z0$&~k?fFP*0-QApYUMa;0ksO+FT1HU>NK?Ka;V2}72~*QQZ$SCGxPT9spHyn?Zt^3^{MZo$8B4>TUT54tiTxkWCI8XOf+xw_$1X&x-GR@p`295Cq>fm`pSIEZqC1MgDiDCgPLq2J{Um16h zTi`F>5Be`AZY8{T}OCsCn%L9_;>uTD?(ud>s$CUf!M-k^P4;klUeyh7RmEl%bPgz=ihor=najHaJ zXiOKFr@e7HlK4y#Y-HandI_uEd*Rj`53QJ-5Ka7L_tcr#;LUUme~Go&eHe?gI2Idj zey=m@ZE;g^c^SJSh0#s87+%Osvcvt{yeDj$v`u`g2>zYPYSCTea81 zvo)btl;DtQ_3nb4HJ2CsiA!t;=5gO(d-RikRp0#f;U)ULR68mx$!?(S{G=TT7JfS5 zb>Zu6CzN(Nh`Hsr8G4T8{Z%+3c4P2t^A6dYLl~ShJa|^)O)MOl(>JlXc`x|{@Wsg) zt=i>2*S)uSV)iBTixVErS)ab2e$sw2&Ai&HS3=H`Mh{_+xqQ=lTG~G!#=Y zqaLwuwllibxU#4q1fslvp9~Agh+SAm*pE7)FfgU@bNUQn3-nI0$>8-*Bu){OAX?huwqMJ);Aa_b$!{vV7L)ZL}xIK9BUr+td7+FEB3tFhb4 z4OGT)tS(w-oWUmiiQ$Qwx5T;RvV?B9_0u8C#Q=lQS=~i3Eil@-vK9A@mg20?O1+wV zA{SdgJH@`Ae5F_f(%1ndm&Z#ksxf~{O=K8O-llhSX-R39Frc6vw%U%q(C{d3*AyZz z9+KWN@$~b_71Dw!2`dYK7ycpKKQqYD%`sVDWg$H6U(^N02vymW7z4`HZfnEqCj0cp zm=#yEFDxE;yHd0z=?~f(H`TPUR>g>59&udtFpIDp5qhBxF9~)EJO;5$XaWZ>neOJi z`8(lZ!KXc5moMIALP40DefJbk?|qTG0+hDq#g^>;FoU?i>-DMQIQCOn+| z-Xlb~SZmqlMd&rmZ=h=fhFsr`nl50)fIK%xR8jF&Cxb<6xu{AfBXInOrs{6FRj$)t z&gm8(Bb&u3^!>&wWlxHZcp<2ccFNdRucJpxf;Ed~q7~F-3v@xxt8Ktym(n!Sw*7@y z9VQ3Ugc%CU2#?K7)HhjFTlh_j7KtlJKlxcbn$1aRE7M%4-h*qdsfAMwKTo-9wl7v6)LNVhYCYA+0()&5ik; zm+}@zKlPD@&k))}l7xIE)+#DogNKt)g=dstM>@zmX~y9zEIUVE;E=+4K}~*-m?Qv4 zqvSS(z0r{5@G=&C)&=&FVw(hb!tq4-An=6vz~eJT`FDzwP!LyFvf@{FvVN$}dqVC1 z9t+yLms48Ybw8GCzu`1^#H}KUoX6<3^6Rq--Dtpdub^(xzz;FQkd6`|_QwzB`Rwpz z3}&YKw~ z2Llbu7Z%AK?Jte8erQ3tjBt4A_FqDmz<{FqW_CDSpeV^5+x2c4@p00=ogI3?$Q>EO+ z!kV7Y9{dF7fTtCo-K_T95^6zu+OvOrBE6ShXGwsT3@-01>rrMD?r34FhIvy)hXON} zNcP)4eM$+0XjgnrY&(aankY!6+5^&_!M?XxIX`nA!+u){eka=0nQFYNAHsWjr|nWi zBjf@oG8k!}dAy}aSGAattS&2^(Y+IfTb?K(UKlQPRUsJGK&jq3OiUNn=Zn1yInjRo zfl^;2(8EX4GsvexS}pkDse#IURZ0yHR7N1Q$X?XBtf}(q1?fXOdiv;s>nM!(GQY_q zxY=Hb31g0=FsAEtH1swo@+{?i-$@d}$M_x;Y9s={|^*HKNyB`32)1Fh{i4 zNS}T@#!kRUnJG5&+zRJFr?U)q1O2f%%P&w&z^f6M@=f+G3H_-bTaY!yJfyqCbR6$} zp$~tXlJ4yq+tnFTA3fUt&7q#dAe~$xX+Wl~kZuaiqKHE-B85gKv5?0iokKk$zDRDM zF^p+z!>IZye};`d!&64CKATyj>UZLP!XUR>{Kj{S@OmvBdZyGA8HNIzDLsp94#RY+iqyvMsDu_uoCX|gk{nx(R1>6-+74IL?WAA0PsvsNtIsC$B#`uC1{#*i3(=N^A zdH42H*w^1yTAfDv(vO50N+t*mWtYMND1ZFvw;fPFj2EIo%{hNTY$Ta zc**9B%%7I$KN55*gcQ+1uCOmWU3ke7Ho;}R>jy9P2*mIc9ykYyx-&Kh%rlo&f4FD( zb(j!(ShP@z5G+1DA<6|>#HU!OTHrrX7JO`FeMZ$!VwOtHm6Of?$1O!Do|->0y;&ea z7Z;OnCIi3D{mJ*A3Qxx`0|}PA)V~bmpwDbJbK*FK3apK%pQo;?#qWemo2Em|h$_n2!OX+5Y#)j~4 z(yS`-oLf|FC~kd&3EKr{h)D864$ycPaV%|FhLN8ByqdKTqBY1G?j>L6KZuX9$DtG> zioPCk|9K1*BeUY+42TGg)BI7E*WZG0uokFB@AA>& z6zxtr?R#8#^JOdM;!Q>F7hXAfQg@^){+@r!U)&q!W0T)B&A-2TWxy9K^lr{IOfesG zT6!=4itT~NCqNPDaU_?0-{}hB0pJtdi82=kBMfh-nd?4{muQ-hd){mS8XnQz08aee zV;(#DSvQ3|imw*$M}&l9>KuIAv;CLaYSqL`>1GowIRY2PYw6Cpr{ie@Q2+Dbg`2qK8WhN(kjv%(6!EuLEhKV)@-*&()B zQ;(VXOKMo;SHzPpE$^?$8vuu#W;J;{r~W|WQg?|J7Sd0ck+hJ+4V?2*cZGK5wJ)b( zZvBrN@aN%o^4?6H@jT&pEa7w;6l@9t^rYCak;M@94GT8$(JvDbyO7Hbg!9@oYSTz= zh^}#ryKXzJ(3hM@7D(y_!+FJ>;&1BYf)tJouk%0&nescWuTG4TD(QY|gk1)ReVsp0 zsB(#8`V+p({sY@33E;|Q$ryyQzy7XI9%rx^aOA=pUTBhA*xhD8AD&|?)bsgK3So<= zcm-FyEIa>E!0RC6SymcY_R?1E_tHkQm$W^eO>*74!VJ))z!%Q50stTp>>5}{AV`z&u0Vc z(mVc`_aS76m)4#Bq?p{OP!yR2GjjB7oAzEvn9#>#qw?2z+p}m z&90lygsEvO|5SZ>^ju&Oz}bOUbul?rph32-KhF-w_fPu{O8^v z)>7sW6-@Dx*gTGI<@D{1^7{hVzci`lNDRX)Gud4)ysZh2S-G675yA z^z~ZZ!Osz=gb4Q==jQE=3ydA;Vmnppw`w`3P1`EDCZHuUVto z)R(6jee|ap6=v{Gg2$jadiF*;-vWAcTY4Dg~7?XJ$Fy!jtFoV16TrH z%o|Q7lVBP0cJM@!2Zrmq%w~5uY3Yxfgxlx#*DMmnn8hg*PmjQD#M)X575PohrB z!5_biZW=(El-@+$D?5k3qPlcWyoZN_=a{Ueoj`r(W%cmx=I@91h?W8G0y%nq^#Hlt z6~c;Gei|x#`}wDc?5D5-{!e^uY|&{$_ILL07QW^ae01%_Hxq%-;ziw1FMNehxyS!x zMi0T+6OKXTY*h87<_-6~e$;xQQtVBK;X#LvRGTp?O@db4Ua6@{h+m?db7v zj|UzO+&hY{ytBo}>z8z@SrM>BPKDZRxjG^>o9H3Bf!l@z*R!2YJ^WMe#Jn9k8SMu7 zFUH$Z-<(KitL}zEVPxHyoB4OHPv(*L ze=!c@e6>P@jMm=P))Pc{u%lhGmG#umWVp1)_0BMk4XX>Ov~swVB?+iZx zDb^C25G@e)%~5OL+pUv`YHV9wT#N8sM&Fr|>r=Qa`#bOQpRJ0x{uA`@i|h0zBV8)- z{#bDTDK7B-qY%p0H(z350w4!j-^6=@!HG>gf4y#&O>EK1jrofVQQNJiOJ(efwtM&| ztT>A&WFh!1ix&Yuge}kupC1zNKL-cO1>0+aALd0x_eaYJoDZV3U*47Ap&+M_Gksvl zV}wqLA;5Vq2aw#?ekn*?XXJRlC}NMZBGUM2B^ZNTFo-#T7D^gWHHiddp^(6T2av~S zl=wwV6ZLt(pO{Jlm5sa@#i3Uq&M63?7Z4{Gq~5C&H`FU-#1F0Lwf*-F`h`M)2ks)x zUWW(sqTF7)8RMcfj!NL2hmt#E`-giy_cBTqwazx zjb2B zBR=3zYBP%gL@QeQp|8984k-A|iAjV@wMoP4mv!+;!3kV##**$TYC#v@cM?Q^8c8K7 zqGK4JiXb8|$uq!{9y)ZObxj;sH*-t;4w}{ zlm-F(1$aYMM+Sa|5{vA-10comhK-5>F(?xw>4X7xf@X^E2mp5Dd1FTrfXw7haNtO&p2Q9% zAT_QfXp|brOyxuX9)SWW5`*P&0h)0w0i!s;+V~+U8X|BRR8Mk;9xxx*5;DpOtfX=x z0UttFM0OAX+;J`8qY6M>`s zQcaV9PoP&KMMwa_xczW>C7>teW_T1WARFpVu^AkN2Uvr;Q*A~^VFHApcjTLqQ7nK? z=pDsoXcQUX1$sxd87uz|00;d*K8*@CfL@6eaRWNy_oL;>fDh!;NMI4*`*>stnvY;| zU_czQ6b(N3Cr~U7S(N4jxD$FMS;PX!g9cGzN5~5UA1J0V!K}dEIAn3e3dz8^fHZN> z_--^2({})KVZwSc2yrbb-_<5Zkm%@NV}-K*bX+#+NX`meD;)?i(_FnyxdoO}z1Fm4 zO_AjPWoW|&XF-o7Oz{A1af`vDwm?^^IwEi}R6ufv4loh#5i{xpG@!ivOc20Z5L=!t z64w%)Ca!V(eg_VK8Rro;Dh?#2OpKIg2DCs0#CEU%v2h+zqb5L7s>=^xTIi>*b!PEz zw}_g;FxXP0MS1KS_i)xlF5i#UZ%V_dfJ$z`z~A)~^%LKiZVsWUgj4f0PV@2LF=%Gw zRwQ4oA{p2ydh|lH)03QCutkMKh{f*wG$&i+4h`}?hq0T4Q1rJ9`F>@G z8De_U90Y0=D+ex)kk7epZ67>aM{*H<2l#qX7MdjFjgbQmbJ5Agg?$-7vIH>Cgxhem zr%?Ei&DLdLJwf#Ak29q?4hop4{4c7%ch2CaL3*X0q02#Ddmw3y)FTIFbibMEq~fpF ztUZd&NrnL<9|59gBjTNV>#HD#wB@?LNCQSf6H=#mp`ckI!c&_9e_98bXUm->$!bE~f#GV;ESF8?u>Kok?b)$N5lY>S({_PRx0(?~H?ZRAe!LX&5))smu;0u0-ikbd{U_E=8=9J! zb@sOWuc8a-x?`<~eU$z?p2^2Vhb$#I@6<$LG*0$J0jwTcG7h2ppJ!mCvi*+@*r5}= z<>$Q8blxXw5B!5x^aXStR6uXDOZI+7#EZZ{!oa7cXP|>q?(q91`qNy&GhI=WG06i) zrt5pJ{wK``)k)35qufr0i{kkg?6-vi1}vrT3x31-u6f)%n}~m<`s4nc;^I<$P4{Jw zm8tqk$Iq0SY=YcNI{8wEp|HBItFgFhbycv6(dB{S)-JV5!ug(pLW^Nv^CnR}lUHimIAcrX}}kiE+;v?Im8ZBdnD8&w=X{33Ooh zHzC~S-)^r;s+oP>H6%)OTLjeo(*9HauhoAMVr?43PMhSSSC{KzF%xlx3MDqSm1TVK zaHls#Nc_Nb*D)$Y1HaTLB}j!M==JnY@Ksj)bKPb{cGed`{ny}ZL(9AUmsc-AJiqxT zy{G9Nvj@!aiK`rmiES9CaO!LQy~hsH?k@O^utipGcA@lp_nxeL_x`O;4QudbzPA|J zEg{Ry8FV<85U~L#X>WCu)h5MB&~XHmjBi1hl3+q zgb7~lynS|`(%{Y}b~$A&PN6Bw4@Ul+G2{Gyuj8qsckr3cpCU zYb`kCwnC}DUD`n`Km28eJx81Iz0|QMr$u#tdGO3E`k9?vFuJbQ=*R>XbmrXo7wzq( zB-Hh}^51rXW7vu&M)D)UNNy5cR`U1=MA$nW{b&T-1kL2z(JGza;948w5t5Xfvtu9k zH}%F-So%wrlbaBya7NUu^>o6N^5A{+(c?A>Myd=1(XVok4CP!iLBmq&fbEFJ+!8Dh zgRl;)M{-0;M2Z{gk8V7XCe+`pD<}+r{pj3!()ma+)@c1Km{CqEFY3a9Ml_Z~ZLjzc z@COPFFn*bQlse4-J+?`5c+D_G3uIglVEf->(>3#O;i#az`KgTkEN7G~shnK)y~rzd zz1su2XTpfC?!C14@>oSYs_7-&`1aRjh*1oi1NA0+Y=ZcPMVQ#bLF|2LKSp`XLk9s) zxkUy8UpGoM+raRnSbxeU0IA@^Q4*R|kMZ{YhN`b~nGHWH7HZ3x4!ikk#;vIu36|5} zz74Ch%Y}&`AvJ*xV`a!kYFsf{gUrU7)k8;8uKblwE>VJG*+^cULu)2vbu5dw#Dida z&&p3`L)uPUnIxLCJVJ1q?Y&sYdMwNk9z=hlK1nCGR~(ibHrjkFS_(y}%m5x72c6E9 z>=^t=9(cBsL>$&StW7NJPk7|a5_&<+_zuV$DiBcE2$m*!rG#E^Ga(xm8!{V#1Nw#z z#3k$yi<{h5VkM}VzyWnb17Z`ljO9jtBKhWu2xuldf43nDQGtukx10HmF(KJu*7SdBkY&LO2M zLwv)G!tkBO-bq9WFK~*EDlqL7;X0{Cwv_a*%$!K91UD0%t19$~yv?Fb`ahA8zfHd+ zy@T%X&ymS3RHp>Ry*$M_n3T6-%^VkhXOitsAgl{15WHGL`T0+UM16-{^Lz(v%Mf1CeI{cErDmN@T&btvw}_K7&?RJNW0`OX z-};g*1vNrii#~Fz{Z1r+r+Lk3%4}k^Cr1Bib1X`U&0< zk?AZe564%YdNZwedOxO=1BlJWBE0$5n8_!LRhFx>{V6mmh~~JzurMz16I$YzE^Aip zsEu`EAMtH3b4F=hiW?WPr^RjRus8aah1`J93!}aIm+o(mHm4^_^_LqpqL*7|t+fjM z^AdXcYkUh!Z_YFal!yPsZfjm!9Afj4caIEylF{8&GE6UC_^wm*i`$6OB0W7(eXvEk zOv^^1X}4uF0LIP8+UA>q&Q7~<%TQDY{o{D8630m&NLR8Lto-qTsX4buS8|qVf~$7*h0n@RERkmd{CSoH?z^;IMeEO=qpzVp+Ug zLg2LdXK!caEIX7=EgTe#?owH^u>0$J&*u=S<SbA&s*I_WDv#bgxcv5k?T&Qsa zAxZz^6irk}njP1=rL%foXWrJ@=_SOWB~oEnk=-%K0(x9V2(BFS7LGd>YH+>h+O4DI zinY_sGZWGGQAPAbzv}(215ejW6d{^`OVvj@R)s_6d}CvYsXJ}Bf%1XoE0Tf$mm7|b za*c`}mU!OBOBHp)Y$rElwid(Fv0vPW^faesjf!0LRJ2L!I)v#&=>y#1cEq!j!in=@hMSg<02*#O+&u zyhhzIMP04tjek2OF8wHjTs&86T(=fWdAD^T2dA1&2T3j73b$hua}#B(PSZOW;e*V? zySNkbuKI=sf`?hT23?0!J;kJ}EZNNqwnO&px9MC}n#pCW*NRj*^w07t!dG4A^)fLt zoi`F)aDByBvgJY^A|f?}3Yw=Zex|B}zq9I|f44D?f3Mx+sI%v8QlcsJy|Bos=VGEUSQZ?ls#ohPE{ltET?jIt1f|r>-+_V4o>u} zn{I&RfJPJKBv@L6O3$*R>hJsa0#Ef)(-kTJ`2}TtBOQf!%2sZT3Pc2IotI&uilSIb zjjQa_YtLFlk|GgkY#Q94?=FeEsk(pm+Y^R&$|^C;+++CG@&~doeZATWifwgUEON9n zd$NeRwsVVfc!+hg>6YHavNB=nxV1f`xDm;2f!mgp0u z$)$X14y9Xa{eSZkxOs$O*%%nJr4ODy8VIe39x(H8*95A4%j`Ut)a zAt)I8p$+6|s0(abZ1}7-u#*q;1f`k)9wVFuc0-)IGPouB`_k?KKZ5Q-oin9?kL-Kj zA!Ftb@Pqh&SR&YohD6M|l*3VvZyObvW-UcC&PE?uU?i&!rnmW;l7c5q3h3s&IWoN7 zrw7a6tYSBAm)gZKZmu?f)nwXnCj*Oh+sKoSrD~SSziW7GL!sY%{iDQY9un-&5XKRy zknKoRl9i=`%en~l!HJxiyayrfk*|jw&wuOUAGT*5u`5(*lMMjI-Wt3khn@Wce9J!O z6OAj_9DucdCl4;kx?avWb6+y!bVjXIg;yJ*dO+JKHPNYjQO+?gwlL?PB{iq>dzdk8 zdCUu@e*KC!SyopO`iip!E-}JJ1^WsuB8hH36|E`f!|aQ75uY{t+rzr zlC=W@XL1&;^%wns%|mB?hbqf_y8cF`zvyAkzG;q)D(?p++B!Ym!+FP<-_hp2r#+k8 z`ylU$dr=kP(zTt6zHp+ImHw8Q9u9KrPbDkpv*_yR>PIA{>TEN=hF5z?-OBKxC$E(V z&zjedPeDRfwam?m6|4nKt1OHBl?KbgbqXbOWwHA78yY&k_Ky2QxMh848bxmEW=jez z3miq}fhFM%`e>jD)ea}$We2-*SzihVhZd9ABp$uOtG$-koCoct&gbK~G-Eg07AE-x z)l!=!$b59Hm7=p8U;N51-7`y>91CAC7oPqSjdWJC(WbGct-4y7;i}8L_A++|jS|;~ zXy2*7thd+IQ3QvMvg7zSfYqaLPt|3S+v{lB3Ae&@&T~#C%{8~hsKeqQtTEhn!iJgp z@D>`!mp_kPAzj60I93%eSyM!MCw8rR!ysMRLf6a0h|u{aGIyY>Mn(MeXHNi8M7Y&j zXIe*!km+jsYN+N@XNSg8?DeDU_xM6Y-l~Cr=!{|B*Y}#bsRa+rG)}||hDo9<=3jD@ z<7m)8_*iD;8Pf7xQEB)_%oPzwKPK{5qKH7FYZ_243;vGHYe{5-NY5Ah%cvPl9sO^4 z+D{v^Ob;&ZrGUCiwt6nU;3C8`@pJUeL+RP)$K#FmzPwMACBuIy>PL1z>7g@+NZk_$ zO#4mI(0FoitX?UoE3Y4b_xB%0REKQe{)i@T4sm4}~d=*50IFMU9WG=-pK= z-~D?mQ$K37pI=QF-vVY&L6Xx^vaiEV-!A?2;z2 z*oC}K^(?nv|d@@kxCC;s< zThCa&WZLxXBZu>iUqpA=M+~OOj6T*>rJK4k#p2={h=~;_D>cesel}*IoSPweAaIyK zOSo3)?zOBsPt{mF!6#AwO}D4P`Bu?QfRwpKz{yr;Y@LK^I2gGI6ESIpliyLP#g%CO zs+66}NMzz#6xmeHwZc3>m;7#(CsfD^X4W8+rF;-~8q?A*g?DCppeB33V{v(%z|Hlw z*rNi|ZZN0PZr)Q}{$k@S`EbMJQ8zP#Z>hY?1r!Q8$h{lK9zKfjN%!+Tf(XZ*Me9>k;ec?oo zuc_U5$zACNsv29Gu;1 z-LbLNK@V-yxl3?oX_+eVoHj6MEB`#l227{zln zE_3Ay=?5H)KDI%}?A)<*)Md$wnp99P_vH$wt=X<5LYKRJ4KB-#$deY@6*q-2f({?I zp=!Wt6J}c3~+wIxb6tp5VFS={4o=?HgvmO5@34%(Kp3@ng{;9G#tJ zhI{J_c}=or$By8Z*M9~hH+WKt?en!IM*G8ihEuM_w)yQCMB86V0$Ejp+-y zG3yjYk^?*kr?;??+lFLmP-D(CXkLUUL;4o}c2T}IORdVYOmMM_n=s?IMa}EgMo4mB zQP)RL0^8Z1xpJ%6{klwDbpjV55BYy1mn+!=G{6!LoQncNm22VKGC%v_doO{!WJ|zJB9SfI?rQBRqoBE8?aV@H zh@#Z46lrc_gdG7)pmg8wY7%LfDM{yBxkpyQNeKNa3Gw8Z%gFA|3VH>)?fr^gVAt-> z%hrog2-c;{Rz))wI~tWS8dcF2bpnYMKHHS?o1Yd<|Ie_YOI>?l$AiBqoWGzrl1K1W z-6bX}$IM6@oXH=Zm7Y@k*?^0@r$5ypA1rF) zJnBe~o;u8IG&39{YA>JnL{A9K2x1}^072RbL--Z?kbx%jrQTmjdixBQ1Y zX$7-Ov%vdaS~$FRS8YvQf&8+GKSSb!ZS^nL<+cZL&XGGQN|xOCr@oZ;`lnXo^lcY) zc5`&CcUK|C@Ftde9mhU@eq^2X6lSNP>jQYLw;;u#BLHTco0w@k-TKV=vCh-iC77+J zcW^6?r3@|NYvi|ioZgf6T;WC$*?14)B+P0Q;i$z@V|h z0_RgxPx6ChdS1d-Ib!HRjEqIt; zwp~qUg}*HdG#{znuSM-5>l;s~5Fj)2^9g}#w5C_oh1q{YkV~IlIP37{Z3L>_8{qd* zaYxAn79JW7uRb%tIOuTBt=C5MJGeP>9IkC&?IXS1N+*cb3hqOrTne8aVe+2Ou21?l zkgQ)iGIr>T>PrXz3js($;Hy9)ql$mON28&@)RoQyy@x<2z1XfSp!@;l{pGriLK~%DS zb-*T~FQ1R_me?VFakF@x;K+iK;f-r-1YJz+78;6eus+f39j5Vf`FV{TpK$A8NrrRX z44;C(r1N^8emMvvy!ua}ALEi1$!mW}%l6|({mhQPRNhn1ys0SjXG>1sT#v^wv6dbN z&0xavuLhQok`(}+amcZ+rlY2f)63P5c>$QO|M4zEVbtQ zspsh5W4f`rhNCB?Mi&&Co(a1xsV*_CT^_&b7s!jO#cWrz7;Fl}R~c#<(k1H9Ro1SvvO2C7k$i<<3tIHL2sJ%q{9!KuMO5Do@%2@mY9WqjORV z=&Xh^iCy+PJwZ(=`!pkpojF>$jg1j6I~;?9v%5C(VLdC^??za06m zW+dlTU1lR@iTdc07a0XN(~n|=?U#scl1AHrv0s#v~^*8->CO&xAIg)s+2jL zw@Xkuz9n;_=IH$4yjb*dc-qcK;!^Chv$+74S^2FG${s`I_1L=kOH{`IBjPTEq=(ZU zSi9rtHqVdO`?|U3=uVX#WkoNCAw3p$#Wj)<^77CX{^%jq^>Mw6;;&u%H&Y7gPw&rM z^Zn-z3HD5}ZIV)WI35)rbco$rA>U>8d0%F24NLv~=N0~+S8o{#$QJ12>C3gM$St9UqL_sg+rBofE+g?@fkBE5g+&77Wb(#sWb6DN$%$N-{QsNx z4X5hiUwUL<<`5XDaPQ=9Q+{wBAm+=4UQWn-A06EY9B`6x% zfc~E&AmeOgZDAs6Yi134Q<6pX%{~os?*D~Q^RR#8{(oxsKX$0P7(4$@+y5TR{}=%% zEdQ4ug+-H`_kTJO5+c_}VUe^ou><}$QvZLenZCaX`#;_M2YeH*W~Xjz@x}-uXJh?; zYw(}k|8rgeZ;k)wyuO*}403$a(%Q%wBmw#ljlv=ivNdxyCuak2^K$+N{NLAwd*-o^ zLhRSJnAJ{S$ttA1`c51;e01j~7$@s5yhavQ)V?yLD6*(hI02$pABI|hSZI8dnr|Gz z@S$gS{|L}E3;VN7xbgQS-YxUt*G_OC+g0D~C2Rv9b1hlveipg2hBe z6RhKgKfKGTalWa>$Osw<6ovpuc`St9z5KDkE!g#%~;y#qe(dE8P zz6|A1-shJM6-SA57Jaw>W^BXkc<;;M1o!3fgrDCdrr(0yKI_&`@%xvm!egH7WxMWp z%#+K$95TDtO<`PVeBUHEnAEQLT@LK!X}78C*+aMP^3%_#5`_=E77rX(nJ-Bk+0g5B z;dJq+{vV+lNIcx6F)4BOP=`+EKo-AKheL9X)sF1co_Sj2hx5TMSP%Y{ zyOYuFu#<653X>4IQZT1HS4hgoq>Rb7`<%iKTiN^dDNW^J-A2v+lzM_*LG0VT3+XN-F1l0Gd6Ita&BmRzN_%9Nc^aMBZ2>9(D6&e0{KwBP5>2itk*&DlHFX$b`K?dFO zqHGVhsOL_`*;fenzn*Zr-^4zj93*_ONweSZcGqJ9jAhzqV*(Iyp30?3Zc!A2j~^{N zk%`SZ2~N)yK1jfVXgtncrVAIG4Q2Wud(N(<6Ad>FY;a~#Qny8AN0pg z(YcX>((A37eNQ8Re6HYbzmf4xnoNTD2P`O*wln-f*JnD#kAGLuzDJw#A`smBM_wb7 ze1Mwv*Ios$|JJBzpMIpG#Md%Ka~gfu4NTx_xN-!ll9NMk?6tt zM8PR}!wc&Y)jdCXu3F=E_rI9)j&rBFRWoHnu?3E`TyLw*e|w@SjVs0|h(t=h-6@~H zbuj0006MCj%ZLX1SP>qPlQC74JGr+_YT7A&Uxcg4+^<3UwDe$erp409N;)xoG|_`( z-{r7mYf!(9HFPL1s|-X>|71hAi<~RF%L)@G>3K)B-h8tTY?L1!zcc9@h$^v-n@eTr z-z(1X(P}_i3^^wFfD8u(BCb}8-29Z>5i7><}_mRshTn$ZJ&eZ zrdFZmM(=l0^kpU5WBWxcZ5H}Zh?|;;ML25k$qudl{0`oNPK?eK&S%5QD=&u8q}0gy zTKZELwnH`^+G!Y^3NLq7wo$|pqX!j&%D94sS2vMGBoy=P>Wgfx_Fho|Xs<|qlUa92 za6&c8p)RBE>Ev%>yIyh(&+5|y?X2|59@r#xyN_dQM}jQrrCabYIp2VAiUO}{7*|>_ zuJ=#s0IM03(F~PJ;SZMN=2wpL(HBxulI!my4)#>hw8B5-kdqAXl+&%ej6}owc-pvP zJjn-su*4Kq_AnsIZcB9_-_)-K{=h6)`6ImyA4p___3}$eDOVyRIG@yl;DKwp+2Uih zNiB5mH+T+$E@d}ulo|4CgSL;g3-^Ki;-_f~qhY_z(U(vXs-Qb3ojmW$6X_7UozN;+1j{m>Lz5*`FCV1FJMZlt@4iy0l=#zg&5Ii|zmwAa77l=5GzrM{|Vu?7oSB$ z3Wk6EI6M2$cuLO35F)vPY1}gO{;`pFn)+5fv*ST_epS=LBc-X2KW;wSEqXvhCrR1W0iZ9sFx|R8m#P z z?AM%~iDJQ?VSV@MJcBBNsz-lP?y*F~;I@g?tCPYHjSA^?jgRhEOZ%`#r1PAX(P6T& z_c8j84e7_KeDRlPvuoNz_Vk5TZP150KQroi)*pZ8Hisl zG{goky9I~W#z~3pDDAA-Cl~l7^N6qP2@3ooYbPc>^tr2+QyQ-9nk?D;tNGoV&L%~J zv~Px8M{$x)8yh|L_Do;bIruz2?6&sjC%5R9uPv@|2>f+~lc>XP?SIdu)4Ng7< zePpw`0|r%o*$t-iG0lxPwrgTyksU?vIk@;=i=^MtzA& zCnJkdG4 zwn8Rrw*@EVuBKoWb!T&$*tzw`et26Q9Z_Vq&svS`R;XKFPWdYFDh}UGwGj6+jz{-! z-xoDnX`LbL{!*-fEdeSMeE@)q6M0Htto6lIhib1;sF8 zm}ZV@nT>!dr^6i09JN{NCzj$W>=QSeUKm|EEgCrw4pKgw-dF-hHqQ9qOr0f8(JBvB zoEXW``;w;^bJ*@#9?O(UO)rga&)B4#2i-KaPq--f1(S{2=;U#5RJ4E0rJYe!7L!x5 zTRqHJpu9jYK!LQQV|!5(KI5Skl&Z*;BMXr!wiRZwDWRp3VS5=v{qy7ZuWnW5i*_;P z#&z=3Gc*RDeXHKkCh190`_)a%F+kAMpK`T4B(YmZRTw(s8b3Zot;G|votnOtFB9uM$S+YGz6n)S(`Qh}<~%F!Qh?~H zOh*D(Q()}IoN8{7fdw$EqqHX{U;)dn;o`vf(f#1N) zQk_CqfXE8*U|f&w@zsadg^Vfy(A({~q9e<3BafKb)qUxfJVZpM6u|`-f?6+Maqo-Ej6={?|cc z6%+>3CR-xq5guVz^Og#1Dk*|EP>_Obva{oYIg_`D83G@TT(x;Mn%u$0Sc=If=1(o^ zw3%46b77mnE;6NT)=1m$hoiL_(@S(H1Bq}?sWjUtK$=#N?HuE5$J;T(J|>l$1H>q` zyhK{kAlS49$k)lsg@S?2y@_&-_>QX`*grBrf+m|HBWpKeS9Z2tv|DLPX z4?psW+RT9+BSp7Xmnpb#hIU|P{AV1)z|k)6r)-(CmEQ6v%G5`B7N4tTqm;`~8ff$| z5Gg`WrjqYk;d37iczwoij|99@f!D`S#!nGVT~1jABoQp=p(~JldpvAt7p^y2!3Y6k z)!bq4$0@5LtaB!6p%QF5SR+z@dJ0(?RB%ZVNxJ^8Un8{9k%e&(($@OX&0i**TwjzQVGIHXxSPDnM-c#&f_kf)DCtG)W zKXpR+Z>x{z_o?hF;a0Ri7W+7yi*+J&{_5>w3`fhJ_&ddEt%~9}ee3EVAsK?`1n;@{cVJ z+r#0=5yL!qbpI7mdF34gpU>;t(O`A#;M_k}UvR%fcIC6@lTQB_Vfn|+bQ@6)ZhVZ* zTJ&BM-md29cCk4g$IZj9lm3B{du+kOU!e6kJZ|dN<2y}Kw;uCRoCtTnnUwA{)ROkY z*7n_0rgY8|X-k~=m9={V`WCL6d&zvsr-54gGU~8X)G#3Q{~EZZx3#+%`= zA4t03n>TycbB)KkL{k5GlN>?fQ`OM`OO7PYg{WPSA%23-ZLsZ@8!eNl^(uNdz1G7N0D?3oeIk(#sGwu&=(8~O5W zMA~HXR?l_}t}{?eno_Q8@8lh zi~rSZs+u4P4D7GSa`i1iMn+4OD&`3~1mjW(_dI_YA%9N)^k{=lxXqimP_+h4AwwP~;;d%#V6B>QTxL%-S9jIm{e?V{un4`-#g8gWh$5M-I zxT0?tD!bI;emlhGMZ$2%#&qtxlqZ4=sV@f$ith$j`y{At92o2K|L&8(vMR4DmMr=( zOUItjtlrmFU;y4JfrYoLqIYW3w!c(UJYi@>zc%4>VLiTJ=C7AdZ4F@Sx3Q`JRJHHh z&9!m=bp`Ow?HTp;66NY{PDwDA-rV9#KBrsYq-47x=CN^B!g-^BkHagW+FoWudfKhR zd_JtmG>bO6$;N8;WL%D)&s22mC_*jf^l^px8N9B^rON&DI!;3iPyCsE6KVjGj^n}o z1T$TAfe*dKN~8OZWi@$`3+Pb8fx`T3v(Xo%>c7`JKI1HZrZ_~^^{K)7n^8Mo?wb*? z^4-&qrisVb`RDx0w=H6OB$OTzt+dD+bY~1LsTf}@4o1*e987S1mSFreFxT2;n2O$J zDTBr%{g!-JYE?~3dSF9VV#DU0wWwlw+d#!t4FSFgP#(`A;Yy2f7hnJaiLZzNySA~H}ad8nklPultQI%4ire!8H- znfF~xoJsuI5=ESX<=Urg`nq?s?Om`BERXE9-y`k3dX-Ief8?<@lDMe-qq+QUy9Vie zCH3Y#HLg9VHoJJZHtrp36t_?2}t?QOl zM)BkB4qxAu6s5RaxQOCz>+c91$#E&%sspz=d|I-ON>+aUXGS0SL{Djk_X#cglSY=v zY$xsB>xDS*SmCvm62&h365c zenIfuDc_Pf7vlD(pT9CsmLVbwdGG$}9gfmcl%HU9==GA%H2ij$TgT+Xg*Neg`E|_| zm!yr7_ATAX?G(FT9r?dZ`+jB3=(?=_>p{u$8vv#*=MRuyzX2w7Hh)(Y3A#@I9c`dF ze<1nEvxd{iMgf@u!UrWhIm{wgY^!g>@RH?44vzvV-HVaBzdV5wDcBo@m($qWysdAw z@-4xDS9&9$qHV;>#w}5Gp6O&Os9ZC2zp zw(GV+`{mLZIZ5IEAL)NIHGEI&b{Ypnw7@UVzZrl2^BtMb!b?%Vd#<^?d*EAiv0;XE zx%8(#SJI*JH+}DjL-CSP_3Gs!T@<#pVoyL7pyz)Ww-s~s5|iEN4@Fr7tGhi#zVrl`@c={@-4j;;(vL;LXF7Vu)f`o z;fpcU&%H-}NBm*4n(hAh)VbP)v|N4(f0Hwse1~W?WXIdNCS}SXrcw-2f9|8XHa-ui5>Cd-UFlKJu(W#?)Gz~Gp&RC73HDQ>P0d8AZ)KE!;$W;HlLQf=$d!l%~u;BVAfesh>rW0xnr7&j-bRq;nL zbzH@>!jH{vGM-wjv}cjJ)?)jhkcIo9WTWnwlj)Bu5@)t}cIkC)_ND07HgDa|O`FYJ zdBFM}eC@&Q<1ae$4C00)+(<1k=_8|x(4rX{+`HQ(VR&R>%Pc+Y*>kYh8kj;e>J&Hz zhb`^DxxRS3BOQ7#SUPqUQa$SGtysrk?T_lPbdo<9{FaW~iQm#8n(+QtI*Wr7o++ki zj*mYn3>EDDE$IQq{MuR0Z#s4{{}|`$U$9zNHnl-y9k~(5asE?NTg< zAN4A|SIA_+))q?Rk5G)*bR?YOixIOsT!X8G_j!weA^7XLxW?m&6b}!QY$# zzFbK2PO5W|R{Lu%(^9JV`ST%JsgrA>8L11FlcCjP>Y1sp7Q4&RW8J)i`$DL#HK+?B zQ}EP_El4?jvxk?d<#3&C&bneens;#S-i4P1?i2BIONPX*IJ-@B@jJJQrW;Pi#OPQz zjdJiu4*wqdsi8ShQn$Ix-8TE)+D8*&W2!&C+JJw`vA7@nF8&gIqEOY((+t6{S3LYH zi6yuB&GgTZ{HV1$+sH*4oerJ?D<>xRHIum++^4(xheA7+m$kb4k+Z&QUq(WTWZtc* zv*%RgloSOWb?JIUmf6NG-6!_*$;9O>q$DtUIxf3+DYcg9aQ9yo&B%EWyDT&1 zUDK-kh(&0cX7R%ZmzqL;-=3k#hW>Deo{m(!0O^tHMV(`h&Slw5Vgjsq}MGJYWYOfR*mL<^RIV!8wsJtr^Zcpy( zy|@}IMgC&ZT>dy=n~dgQ9Xc_s$Y4)bJWBuAjG{i4jvhB3u&(BR5q$$I_X((3R^4DJ zi!$iOFkt8+5a$N#x1{Yvl83<>bPc%aGQO$YGQdK<$R^9`Uf9}JGKL&#x=43M857Sv z#)LU8@?w>>IEnbig57^q5AeHEaJ|+<Ujshfz(S=-Ta?wGWqs9k_P*f~asC(p?j4^q&kOv1vV16e zb;kbVy%$whCa>Hja#j1?9&Vy(8lpDvm9L~%g@J_Khq+eWGqs-hmiIjt>~^^H5*G0- zDXJQZ;aE^U1dc)*4^CgHAFM`X&!^xUh6S__vNT#xJ|1hozH4&3k|VQFGPXODmlQvf z(Ys8~4s4LA{I#t5H#{6bmzZwUt96weJTN@ZjrC6q(wsXRh9$%+JoJdSFl+NANj|r#Q9JuJ44X}tD0|cN^WOKyY%i(QATUzv3jN-ZtkRQZ000JYK)VgH(A#7+`_bt;J}x74+gK)N>HGV`&CYX90W z`{!Yu(vBdii@%J~jz`()WxayM!|Ndy7ZGE|>ADsx&fv~0-isF|$?*EQ ztNH1vgItE!1x!Qf`Cp7oUmAYra0QZyt6NOWxnm*R1dYy06aRj`7d7!Fvg>D4+yHho zbP(D3>fQ6lj24Vr%bb_j&-nw|A}m<`BR1+EtZE3%klN&<)w4FZK)tba+=?lLZG%_) z8h=kU`_%>kWDp{hy1@_kDw>DP{f7Yw2@=Q_k9GTaFbcmJ!m&2=2FwHFO+RU{np~DKfQCYPN22VEG zMjL}yjh>5{`R}Fmx3{@n&deAhiXz_djJ)xsfn9hz*Dq;ua-K>uhS~Lyvz}f&R#KcS zH<>ge9K5MBJ=;q*bVOBDr0S%cbqQXMf|~47$^d zS?8eu)N56m#*`e}Ei2Aj@5a9vf9(F2T$njD&wj_{;b-AtVY*hwl*iwk58i);V8kjw z2oY8X#70yr>X3!ie)vl9D2)1}rM+4EKd3$?w8n$DkgmNNeO&EL#>Q2`k&&;aow{?4 z$&$L5@8#NuhQOWWP@Xa>Jc3+H}njALv_8nEL<PamkXxRn3yWZjwyp`eS|Ld2(rEuDY9 z$x4j1S7JNwWA47xJrM~GkWKHYdfNx0dNZ_S%Z*&v<>kMQhVLm8(>0k+b<@2lt~{^T zAPNLsQyg?p*H;aK8_Du`x~ul@tCgeprGH8V}_7s0j4`L{B+*iDIK26GZf6 z=_p=5xISGBIty+#*{jmA-nVXt`Et_IoN$#}q}O^!JpFB^H0P-ea5dnIO2XhP$ia9t z$KT=!dm44NnfC{d&+Hq&UBwXk21i9WSeHDoD@b!HJ=_E`+$kVcg zAMM=uoM|G0Mvkco=_aACFt1kiZ0_Pf`ZF)-Q#+sp1DJ*gtyjD^;7&l3~J zWX_(IgS^>V*qimPsV)8% z3{WTq3s{v<2+Pdp_G0bjml@LDa%0yhjzq@UfHO`N04MP+ghQe_#n^BH!eQr2)Dfv3 zJ)}Eddl{Dy%lsptkO2_$t=u6tiio)S2qIP=1|izJow670=ot9ap}|@?QmX@Di7l(7 zOr*aY4A6wEc7u17Z+=?(t+F`wC6x&G2FE0yVZbhWo0L z&W0F@1BiCyuBs&IHK2y0sxvQVFW(h53a-A2lTU<>maYIPC_fDHJL$?q;3&)A;fe`c z=^piRHe8qk9(rMrBU0RIc{P|4RGDfLB~>p#VHd5fLyN`0O$5(>%)ZjSzy8QIB_XwS zEvBgBX^cZhYGCNGr=Blo-$QC7iMXpwT1f&}3~r41w=kN66S^*CEXvIdWZUkF;3{+VC=7w+32T5V9E2^%L6V^^k zMz2@?d&zK%AzoVxP8FJF2FD(4`9{$a!w$V0#~x`#DgpInK7Z&Yi=odq<51xvivu<8 zp7i!~5(meFA5eREzK51+@D;2eOKnegMM06{*FMG@_jAiFbW0*A;$YRip9|hLQq-f- zYy97fK`F{kSI#lnR^42ozx8T<$=y?)N>#E{H$N`3`+WUMN3JfuWoy^W|mb^z%&c zQvD7ndCIe(R;8HTUT78F_HB-5y}XA)J1tua_vre@Vh!2P;3Rx|3Lo7$Q-;^UYB40| z7n?mufnsVtf>>(q->Y@g=xpF{Pu}e8X7VnaGPAh-1U-`bQ zUy(TeOqkgZ6%cUSU9IyR3b+B2VWyLLYN}UaQo-PTT);B{AIzcZ0i~J5i>oG>(m?DU z*3+|N@7hpt^WWTuR<^aSbv3w76D(Xdt=@*(s4Gf7oQ(Sjejh@&&!B;>L_}I&6tStp zZ;B@s3K!%ktS75R7*ZYB3;p)kK9Uu57gpsqKCX2jm3WAbzI+4th|-7Ky9x!a6)Q)a z>9}^Q?x44|jjxdP)?l_QZE^6Mvt>ja=@&xIxn;H%Wt2+NIj6o_Bt1 zS#;gkzzg0VCaL6m!S$E*X-eDbEx24FFSs`n! zpMW3v3GyTD4?NgDC%H*JwpXve$zzE|rtE;4{UN1)Ig)BIM|I0Tt6hEg*m|5Z z@vy-iNqEp1l^{abfhbA!7UI=DAh=mDknosBDSb6oJ~i7avB000cF?*x>jw>Z<465m z>b%@vDrpzR!kZHXH54yge4FjE;>elJaU-XxgPu-`wSysvt)etkEobF~DR?2d)c2_) zV#$rEoiVkl?fbp?3k}}6CFX`wj_H-nA}(F0qGPe`Qi83b@r3qMDdUq3r#yZf`-n}Z zJ8srU7K&LpAIxlO(rLuySBmaKRy}N025@KqSBKX2eBH}=b4*AFBloCdl8>#{Mv(NY z3YDL=wAG%O<0$SkQBrF#Jq-ejQ~d6k={a)IeeJswsOfULyqd}PlOp|oZX*@!X%%!> zVn@K2czauq>4U%fBtAn=X7e5k$O)0bG>5Nm7|scZ?|$Ac;4lQ;lb6P{qp=D1$7hU& zy%(j}pI+^Zn^upbDk7v0sYx(^z(KM&&rPlkL79o`Ev~6UXEihR-Q=Kqau}6HNcnp4 zUsfB!b8a+J7d4`=oP_LRrIvN&p$>CZ0aK@r#U(?h8sCK6tQP~h%pJ!k>xwivWQUW< zENT@#qboy05qs*x+)I&pJyp}*fR$+ysphJR`VV;@+?yipPWsFYHv`6U8jY^Y&HdMc zPyQ4Pr^E${x>y4KFL8lIb`tLY7!_DDAe#N3q5{Pr7(j^%&>LRInTCQJ{o(^~qZj9d zZuF&PU(*i`FFd_KE%sU^tdaSr8Bt#CCiZL9pH3K(Wgd6 z;6JuCeyZ5MoZn!@zDO)8{8(UVh`iuDYNvI#Ovb zDP#Cv^TBra1?uC+r3R0Q{&f`pscf=icX;q5z29LTDFsp9wU?_l3k7oN?nZat=TtQ- z4K5$<)b80h*!!6U(JDVff1En@ef-cBpAT8p7Eh$p6Ij11T2dLU^H*+3mE20zKOGyU z%g2;>`bWqX!*JwaM|dGmd`{Rtb?zW9nS&M>{v#H(bzAC51M;K7AtotFe1}Uy4pz(x zd2-8H?7iUoa-40CVVH-R=3+v0ME-2&9swq2Ym4i+CCiJepND#?sd5WfQPG#4*B>~S zw|-gfCZ0yN2p#V-bhnlBOv>{bCeM7wOENu^PY*=vFuNT`Y3A3vD(OCYt$k8r=1B8K z>9cji+l5$lSp(!mkW#I~tE)eKU$m$0f8$x9{69z=N|E|IX9uJ`P_mq4Q3y(smNF#Z ze-eEDTp1|<3o@Z>Kx!5W%KK49prFUfP*#zYHOUgnc+x^31wg_O_%AGk5)eTnkwOTp z2vz_CYA6Wsrh&c*@&60*7SS5YyP{Bn61r?icGh|ZHVD-36fcr3$TNa6rQl?zTSOy+ zKRBo4E&ZP6?<^z~?$0D7C<*Mkg$YPRyJbz%Q!%hb7@AnYX`~c@42+AY@F8~7tGXo`9*;o?w46KP3Mg|CRB=|@m#AU%p0s#`V{_`A%gwgI9 z>KPM3Y8!yY0B|G{4Pk+n4BWZ`x4;fQFe6 zfVf8f#7z83^Rd)JF&2oaIlniM1X^(v?Br>jIbjDJdCh2Y5dN_U?%V|*E^HO?}!y< z0>2|xm)BaDDvY8&D5o4cy(Sh0e`^>^ zk|l&s`TxHwYA9BAvVI0Oa*`b40D#o`cXBvdFh z6atNcYiI--4cEY67`P^cK!foh3@{iLu7L&)uHgXzxF(E13&S-L1R4~;3kC%hh!;Q_ z6iN*+f4P*8!M;sH@u&Uio+UN^iD z0u;(qECLV984o~#r~%J-z&emAs0jnJ2@05zFbXOVhA`-c#{;4Uh0=#b2xBR0E(`zw ze}DsFJX9cA2?L?PoC=E|K!Ki$prJykVGtr<6ws&rJBt@eQ-(JhKQw13o0&3skn;Qw z5R`}v0Rf2Lcz-7UN|Ee4R0+h)8+nisvvo!|U**rGJCKkE8koRFgv^61FNJ9<*kF+Qni55a)k-{h;ECwTV z0V%?bL~;YTn*032{qW77TOS#1^Dz z^QcH&leu~M_H`)~LKJ}(KuBL#xg~#DgZB@vA6gq29!7!#N^=;p&hQ6;!(uU5gdyCA zLSb;gG*ccSEdJ>O?$UqyP*`wS|J6rn05t)9e&a%QFgo<(?>;~SEA&6~fsOSqIH&+DSttLlJ*buADrq>B7uzu*Hug$LB=``S^*ndqO~nW{(zjY2>LJ=myDw9;r|8A CuEu@< literal 0 HcmV?d00001 diff --git a/Document/Schematic/3.COM_PWR.pdf b/Document/Schematic/3.COM_PWR.pdf new file mode 100644 index 0000000000000000000000000000000000000000..f287971258c63e39b93cf7aeb38cbc3a4eb7283d GIT binary patch literal 113814 zcmY(q19WB0@;)3}6Wf~DHcrk=G|9xaZQHhOdt%!*Cbl(k@@4MtzVH41Yn`=E@9N(B zR9DsWRQ2wCD1L|m=$RQf;o#VanTW0R%;2cM85mkQm^!$Ag)_7=_$y)mr$_kn)bL+1 z*MG%)d~l2aQws+}J7PwFg|364n4!M4fgv2Dl%bWeg9$M=Cl@R0KWEIutlUhT987SG z3a&PW#Ed^3>2Fhu^gUZegq7v0W#67T;B3mqZ*cihI&HdVr%SEw!1Nj z!eeKz#CyT@+wXPz%eJw$hYjb8mWPZc1Qd`f;x9UVN2X|lmd~C~BgF5e;KarH>qpG` z?4TR<-O64_-LeFnhBpl?;it;o%F)o1mPDMEgC&jS$xeP;o|xT=-aMwOceP{iQ*kw~ z#C&F3d&hHw*&WSKmOiVXAW7L$SxWLo*)|If-MuLS#^29J=8!e`@-U-6ATE$g@%T%< zMkgfSVVT~RQa*xjlcqGE+LEn22)Ocn?y)9$tsPBnqnfNCsD==- zq&=9}=$aP9ZGO0ZB`q8~)T)_fpTq8HV662pdGcGZe`@P*Stgo0e#+u^e)7vCJJ^J6 zw_+2zUQVP`C2MphnCQC6fTX>&dO1Sd@^A-1pg3DK0jYAKupYCpzZW#jMnHdP zSN;KfQ?CB~vQ$mPUjqbvQdnQ8dqqDo2RLMc{ets&J6gi}8YBI!?~X09_7yu#*w?ok zJlG4r3KT_Cg)z94&zpV)gmievQjeRkJNf2mvqxl-COkt6l?zT68J)_x7qYk>lekE> z3~{?wvC96XsGl^$Tl&}!;HbZaF^q;Ke)Em9##h;piv|42tC<_sNl`Q^92 zv^)mg(3^YhS(Jjna>&psH9tg?&g*%K0P^mIP#59D<=_<^opKtIIXkCM@beLQ#N|ik zt?Bp9_?vBVhb7{CSz&Lw)=-sV`pm{8KKLc0QJqJc3UB&I2~HlgXwN#Ru_c`rzKAZk zzTX!7Ai553LIWSpF|Ac)A4K) z*ZiUD`~z-7^2jUr;-^D(o;$bTF<4~=4-}RbR9m=x}RiTR1+EuI5e(0Cirid;+G^PX3>$j(dhie3&a=q4Ch{ng;3Y9XpVdOg^S%V70k zDjRrShR@$SF?p1Es<1a890HF<=U(QgATjuZtlp-c3IAi00dG(`!9^4iq0uvQ zHdK=WIyNEBVJt+La&L%`*%q(zO*0JZTj-^;6_Y|k@(`pXQb_omJh&V)MR7vFKn2DW z$XVJ_ek7HI)HgzA99cL8k7!{x^760`NQKe=+P(wX)7(qmc#RP1T&MS{%TTH(`A=w) z93iJtlF!C>QN;kRZ?MyEhr+m z!p{_Y!q}z)d!&)1Z&>I;5T=5|qN_xCKzN);Vc{EiY>>AYOlCENK%gXXPy>2c43gNh zEVaL0!Tt|F2b2U#!Qh?`EN;p7 zcY+-z^kX1)kOR5`S*7?hCpKbVY?SX8;E;&4onVAN*X%VD>M;a%;2k-DN`!tkUYBk5 z8xzM9PDbyM0d}whT!e%hjTF!e?k7$r6ctG(5?cI=4wRIL+R%}y4cx3-O2#WKTQ_$YyzU`Dfd7z-QZHJ7FKXrGeg1oMatXUOsmMkGeOA%|u=a zudI9^c(GP~L}Z>ZGr?P!1;~AsKqB94;*Ig6scol%=w8`a$}OaVN}zTyM>Co!?|NVZ z_{FzQDD^Gl5y2iM0Fl3YtW&Qiu&L(_*u?tGWg+qm!I$z%_6kNQ@+=8(?(PFR_mTm# z115mke%WN6xP;Q3aBalCcgoXgui$)rNA=S|cT3Y*9*|z(1i);6dSG_&=a2ae#asl* z6m$hz0<{h9D3+t{oUb5eH~Sdl82iS6-pJM6jIr;aPQ;!VPL$rz-UfHFSLm}#Tc!oo zeGCQOMt6vh7_*$)I0e={^&`n!mVk$D>o~?<-YfiB=WW-5OXgNAEum+DGr%+GE9P=e!$ReP)lVW$sg>^uB#k!On8!!24DyyK-B=wr?+q*-emevnHFZ*a{TEK}6q5@n-z zS;@!VFN~jur)b0HA&Hn{B5#QzZwH9f_ibl`XXp=Ksm=7n<3oJXxlLX_Devf!yN6D) zxlKcsw+$Rih4$nN_2AA3usN6%AWy z=AyqBeY7GEI|zlsC#~Jf;J9SHLyE(pGJ<$tmEsVRKZ`|H%$SgWTeQg$rpccJ;;I(M zvR5F~w1WZhL8#`2f3y{Dj}9|X%V|o{r?EC@lZ(o z(udq&q0|DHWSCE9(tz5=F7wkxCaxr|a3*Jxf(0`C;@j%SnEpFo1`uXr#^2Eg4#N`# zs_75PT13#Q5u55&DQ6m6of55igBl_ALeU>KxAE>#ka+SGEJM)h;#j|+r4W$3<@`v& zo7cX8IJ0!QYfb!tJaH(DA^40Q#Q!8Z5%Ej^&yE?i>5%zB5q9#(;RG6lTvWr^usWqa z3s$y3SyRn8se9^g<+1EvUm~Ks^mkNpSB(@(9<2js%0=IUDiFm73AS@A0Xb8WU?yJ; zGLxVy0o4oJMdH_b^CtC;I1^oKMi6G{Gk0em#h(}Avq$N5%3HDyA!p3M|D%HFX4<)I zg<91P@hm2e^dd)oZX-^nDwUbzI0u=51#6uYLH&eI%(>j!crC45nI#}F>_aI9{8`k3 zRZSQ|cv2+Cx>m13rh3Wro`=w(PRn>2=Wbp=f6;8kgHn{ITFR0YfI}v(8nbfC!N2PA z?k&T8Cd#XaEI5{*m%K}TKuhrHoUL)t{}u*rL=Dr)Ijcr)@CIMYWei2#I)3LRJ3yuW z7A|^DBEMqk_@F;+5IKS33Nlco#WQ$XPAow)Z$xR!hdu?tpm`=vi1A%Ds*9drUW?!e zZ?FVZb7J4|_-8?PES7L?6)m0I8+9a27^ehw9+3OcF|9@X$+0Xl&Q6!JWTlD1Ewelb z@cAi{^Ph@F;ZESLaz*Rc#2uzd;k-HYQ5}hYj}9x)%|B^XRxFJ)XR&b3)G#w@)d&IG zz+FxM8S0T)_}4_qkLyi1$*Nc{3`+C#nNZYt4AYRiwo7PB)*X^Y9C&#(0Q_;gno)W# z6y7(?qZgS~wEJ}gyy{?2*`IIF=a<}{4x053+l8Er``1DL7b7{@-qW*FLPtnJ2vGSO z)W?xu*CH4U(`SyEa+ct8;t_ciYE-@`6i2=JR-u`v$F}}s5eqrAUlRt|)-ylXA_U-L z-|>iiW=vRc5RLL7039nKco#U49Qi%nqdqd#bEg#3xpXM#1eh@n)HX-XW^N7F5?S5_ zbWbv2JV{-fTwzb6AT&`}T<_=Rbcj1G*vpEMqcYNzI^) z?5QYjils;+g##WV+JJX}TCrfuH}yFhjeCzPW-m*8S6GXH!x%!6$QAiG<}pua2I9sb zr~*r}^McISQYR?$_2Rk>YEsbS^*C^(0Kp>$28P{2`(Y4nv8zURDnj`ZzW#cp#+Iy~ z8ZNu=pTR5QMm|psZ<>FiYyjp{OBV@}{~`WVCc-TJn4W3a?N1qK*-w_gN^;OziF15~ z`t-%uJQF7DNT`kxYCmCP4tdD298O%il#i5hf!anK>HpR}L;-E{-@D-Af90mIv6w`t znsf)?rC@}MGq2I1QUlTlik9iZM)aIgF<9yKAt=8wF^+K&~-U3k|{ z;Qt9M`xqSwHK(<;MO3Q`FEF{!+$5 zTF5V1MKZDA(czlZf7alejTk9?DW*rewcBTKwbo;QMeNh*s*#Z_5FvIm~kGj8GvI;yiMQY8c$rYry|EY}m z7~rs9eqg(IyWe!~T>6VAq|ZnV_<{fb;OQ^ts32m7Ct4|$`+il#wrg2`vhwfKFVxn` zc}@~uRH@`>Q?y-P8H05SUeK+l;V2(6 z%fVxZwoIpLb$FTbsdGoS4VmDzRQG8PQNyWE(@TzW%+*hY61u+shXsA|YbLn{hG|3Ks83%tvYE2FSF0R) z7fSxjY`HsK7*AAB!o|Fg(QGoApbk-V4qN?*e1zFkyQ9~pJ-aZ^c6{8;hoZ+jb~7wU zHM|x`ZAkd@9B2+X-_2`I@TukL7C(Lbp_k5m#@T=Xb)OyE^&hJtep;>nHD2iN(o>=2 z$kRqd+wU*FZBovhpci8Bk+RFFj+1k5oLSYd@EaMkkQGcHy*Y z$>1K<=XHkckhv^di~TQO!W9P}b$vD57qr2dFgA$ zy25&X)lwUTWJvDYXYrGS&Kb*x!i(P!;+L2baJAWc3XWX*yz`*JiTdWQvTed&KSTf3 zxcB&9^tj|O3co$1{RT_kv1`?^a=^B$jp0Q>KtiB-uL&2}kiDlDueNXD*Gld?T<~2Z zyn5CUh#uQ^43ul)IVh7oD^`*Eww!hq`ru7$why+fm(XNJnoE-y+w4(vv=?QT=~e z)FTo6Q|E#m)qi!qtK?-q=~}wObg3kaUfOlc=P@+=gnjS!dQVG|P*!pojlyOfwu4sn z)TJZjtJ@V%@4FAx)QdZsbnvsz z+TY(to@fe$|Dnjj;yGr-_W0(Tvxx>bn~ZkjN8(Mr^#Ji&B16!I>iEe5wGRKV0D|gb z_ItYgpTxnK6}ST-Orv>OInjwRTIykVEX))V#vcklQj|m7AzejDW0~mDe1y6sZV#rr zCKyJ0zD=I69zKG2{Qk>YmxxU2C%S@8`oI31aoQ-{+2Id#1Ze!QZO_4}L->El;7!EL zTDUtl9&H%*Q7+StsSr*S67tRLd4((x)D2IyhpTM_8z!h1RGZu)Ag7oZA6CqU5kDl? z%5hu~Z(FQ)^`ErB>~IhABfM0D_l@AjR2V&*PG{;*X!5b z>zDg914EDI_k%k40P(Zx>qe2WYs9BW0N=WO__Vl(wadt!ej0Jx%12TGasIif9c=ii;Fc2{?2hH>+P2@t#XfV_CID&d( z+keO;bY^VPj)w>3#r}{XSHOoOcqzZ9`ty^n@n0%iH6M-XLVhQc_ML!je5JGa;e&^c zk(h*ePo!YxpZ6LzD=hABQ{7;qP*EaCACLU>)WT*h@V0hV=AmGk+0yvRkLfUPpz__ zy3}esHD?JdQ$E5^cVqAhn>Q-sPcvR`xAyvP7$H!SFGDx_%}avbOeac18o$7(P$&OY zKNOGl_>)qI(($&geDay#p%JW*|&b7|_v_rDn=`hC`m=lzlY;uKw2+wVDW z{0a`MF< z06`1DoLd{Via_A07@zwTGnf5GIW2500o(bl#Y*iqY|6VfL|bK{FT_u66!&axAmB>G;r1 zPtFJ)!i#Hf3in8V9JBtYGo5;R=>%~(eSZipp4_JVk4h4O>u!=IW`Z<^$-`!hZ_n`BlyR*v>B2!JC$jKElZ}{-67~BT zApxvoTx#*6{$tQgY|sxqB6wN7ZA3bYejBa`ND=+@aEV}40~r%3y))Nv^}%tR$YAq@ zQ!}z(@DAeOIf}(YIfSCH$_9qCwvohi-7SL%mis~+dikiohmV+HWewOYh5e?8Se?D} zMoaJY0WZDVL3yCC^1O_8Mqs4*s0Y|%V81HT0u<7xYs^H9XX<@VMJvIb8Y@l}w#K=c z`(B4%Tk22HUe}@2f+>RTqhy*HR!;?*!=alN7pu>+PIlD?o2TGrHj2*8S1XncwgqG*RMTsTnttU^I1^~n{ zo9I&glLy!0d`hu`*4lqRHtrE#MZx}(s*VmoWA6m^t!=vPKxVG zI0YR1*KiZF>oh$)iJG?_aq!90J<{03?=bvl0x5o;yiK|$uuk4wQjAyknYLVL&ZrZ` zi^YFLZHlT9cPMIuAUK1I&c+M4jHVL~q`o&kX296lL8GBW1>jG-kB7~17+p_8*uEo= zz{?+_6^m15@ze{j6&cF3T2sjg8ZahmrAkW%qc*O({T?b5&4@NG9ZsmPOFfq9AR*b? zmDQ8t@A^qbrd)@Oiktr9AVPKqN|Wnz8{tIiqPQ@{xkjZmH8i(Y^dXp_&Kr1(K+nga z5@Lr|JY``zLl75KkyK_QvML%Ce0;zC!xGGBYj}J}+t|*S!V$4k48N@+$w=s3QoPU^ zdE`hk7!M_ZHykQLTzF}gg##6LjivZ=U%2jR90&|;u-MJo$ud*rHj)Xl<%wUbexMkv zGVktGlM2E_44F0MLygx*jO|aY1#-!EflL)TQe*ed9nR~8 z_{&v(u{$rVw6!~LNWEV}&zP#p)Y=^UQsp#R612!CRlbSYTk1Dp$Cd<`%|zMo4TWec zii}tF+HB+!VwJ>Dfmq94`sOn*lF9{k%hRHe5m!b%N)xuLW}sCZ^F7qq4j!ATcqi>= z%S)mCSW4b>!g==$2BEZ6E{1c_(vTy@b?&w9^%o7qV1AMORVE|e0|G#fCqyYprxM?R z0_MiIH1*&C&$Z>)q9S_^eHq-PjL5#EIg1UXfcrujkHH?+6z2L)Pl&B+oB{lN>XL#1 zfCG`J6qB5QAia|HmKvpuC{jUa>-X#`b-NHusTiQ1)1Ds_f18-*UM~{>fJ&0Em{N){ z_=KmXCekckqkOQiP)sdN3jXR+5F~b+n%XWPc+=mb365##v2an$Lax3xkh(hAvuct< z@l#Xpx2}2LBGvG5LLHI0*C|R!!O4&+kD}>VMN;Z;^tKpMCD#VhI{Ope@|PNlrkW%a z4(^FQ_8t+QBoT$-+?0rn`O!>NeF0ssv2U@=x6IIvT*pX*;TT90);TSt4%0sd1@$Or z>CrNQ%RTA|x~cqezaSow1Cahvb9J6JB=`Qo8MRML9B5b|mS{Lk#Q33lG=* z^xdfmwnSC8ZRaO?OpP`2Tz_j=kWO-<9f&jSkVn8QWT&18^T;(#C(gCEs6_m+Yc1+u zsTD;EW%11k=>?ye`^F{fH?Y|wY`oA;|NDtYQO=cwwbd9K z9sFJ06Dn?kG5jGz$dB!`oY$-q&s6}ZI`rHL+LpO#C^51w+U<~@5uXPei8$2{WN%vi z*cSMsQ7aP7WqKezcTG!$^U;ilKIt~pc<+9FXMa?muM;K3t=ds*t*s1#d+)5CC9LAF zEgx$!RSL1gg$dynOnDJ~(KGp}`)xv}UWnEuAL#Ff^9OSgDp_xNq5HLq0O|K0>_6&X zBn^{R=eH~v zmCX_NOodOP31UV}g#~%)V9(azEo>vtNUV{`aBD^8Z7gwoPK$N(0@-NR5R#6Fz78yL zfq3fW_)4`r@?aj_>d(mE^AOB`#MSAoPDj-o$+%^|8U(qU=~1w8Ld_aYwij$KXMfv?HhDlu~|^nl8vKo~nakJ5^9bRpIhTy(|V-bIhD0KmK= zq&w~T$#l!`h@go|5Pj~TTgi|TVa3sU|2dGV)`QImvUTk94o%sG{jSPu6Z^|f%4_%I z=Gt`?5$BWA=aXgzZRf@81+U+Vi(Y5YJaf0!Wak>Z{VQ{Jj}gRS??VO>oUnQ z+tFlaLlLz@6KvYLrC?~eAj5^#pa`0nT-S_$e^v zs}v+-x3AVea=dV~SRJ#(P&8aqAy$e*g1DUnO=cvh;Thv;*=+J$AmXM8Q^w^!u@%7j zHE$=-MDI;pm)%zRT%kktpyf`D*=}y|^#P82S`NOCR^^r!MT8S_1K)vm<{P#fhG?}2 zYb)Z>g-Ehcutt!AFxF0%=mS`*axS_i{sy`O%Y!_g(%eYLGA02A{_&pI7(YD2+4aVH zb?OJ$1Hx_gq1V9cW)6|i2lqv4qYw(;4ks>$N=)&Wy@U}L2a!8AZ2;#z{wMQAua!qy>4!(BCDtzb}3o6~#@)p80>wDTI z$pk#`*0A6SZdOA&ZHjn;dG?HI0(2IiY9c!R`&pO~>Lr&!6U_zX#8m9TL_td^o5VMJ z0n zvOdo(=Yi~{Z#%h$v^I}?k&xMgV^?hLkuu%zcWiYtb1pH(*C0j(>_)ab;sJ*zl>Ly^0lxysc`didw0ach&_|Vz)?92mHb6ffxXT@; z9r|_m>E25ZDQ3jiz^5GKkF;~Sc%uXA?xHtN*K6{Z2C`r4k?U?Wtu2VA-kxj9)U6}* zmNP^CWCVLdC@3+vKn$^O0?&!l{ePhSwTs?~uk4ZYwHFVn`}7VPk9@YA^zsTDp}KA^ z5xveX_n01IKd!fkL^Hum;om{^34h~y40vl^aZ+Tm4Dx+BCqJ@8uB}*mP3)PNs;yAg z>9w@%87paGcPaJOuqn-^paW85%mldG+S`~p7}@X$Jm0x3H`13X-X0jDrPQ1;9Bh*(&73zoB?cBe#bZQ-!e@Qmy{f=mFUJB;d#LW-nVh0qou*tv1D2N~22 z#W_ZxRH?@5$l+P2{4Fk}E<%S&d}kTH&c{Q1hs0Fk`d&&OA0|L*Z-Yv1@hWDu(;#P{ zr+3Jg;$lR7J<<7@NHKfas(sR+}N?|v0^E|D1 z^qaBl+vyt=JBYF}A>uUrF+k#|nOtc;ozRDG0mr{gthUq~)<>>9y=U7{1*fJAS!u4} zfnN@fT`pT{ov5oIdR~#RIeF|j+-Pq@AUIlDf#2ieqO$CnYR$`_$>A%o7{eq6polm! zk)IjPi)Dii#*}7}Q#a%p@m#5?vF2S#kdbFvMa6-vT{z^(guh@mj+A*zL&5&_tg*WN z71x{xmB~_yRKVF`&det?wAU03jlJZx(Tok7H#kdP&xBH@nrJR{JvEGOa5$GO?yw%m zRD(To&TI~+%l&Oi`sJ4cI-7lTQ@o2$MNkoxz?T%ROwmv#eoOS#D0o^PWj6LLSdLh1 z(@}h~jWZPl`4^!n?p>wqbfm%;J;znq#C}rgRE7~hCndTy{qhxERp&vYg=n^G(MMG5 zQ1e6iyYOj7kuHQcmQHf3NGR7T(vn14Kevse8~`rCIQ}mZs2!)PoZB#DABm7zytNVJ z8Bejds?XO?W*koJvvcOpq{H({-Ww#Q*4*O6cM_fM_a42CZ$QK9W=nq}ovq zYC!+AMPq&s?vFq7L2br69BtF(Jm5!U@IT)ozt|hH;jY-$9+WrlhG}tO`KbfBE+iw9 z1z*2qxlL2z6TfYt@+~d?egrR`lj<_?MF;QWbPsgeAM0Sdk@uYfH{LkYhPao$3`#iwert`{wta*Ht%2iqGL3 zXcq%2W$onT)kaP=3Eq*tHU z@HT{|u_xnz+7UUOUaywWKOC=cze%*@MJVHWssx+-+WZBf4JvGjt!}sJ&Mwujj;u*o zt@aVt!(XQYf&;A!GOGB$p=rfZze0Gy$)b{FnTD{%(vFnx@mD3KPrL=VCx7H# zXz-n~>Ts`vAoq2JBr3%H962^%ZV9NM;EYutvARKh3c(wZK1{O9z7Bs{Y!Zarypa(t^g)gGzaW4EEX>BCrlCh8$@FN(K%sG;BleY@ZR-UX)qD{0eb4fCC#hqj%Xyf%BRKXNB?hw^h}^VPP?U|J&-J_xJx zZN;}2+}cri>6a#6+iELM+@%kAHOZuKNuQ$r>ETWzMD+t;uUTT-P_Mi5Hco`aFC&7V zHJy&6d>C>$UO9ek*t6nXkV;mE@h#RP$dbd(YwfGvpr&+nB z+7+?-aH8Fxac}54@)gXB-J7HS6mB-s~XyE0qU4PXlCcNWq{@ zFiyLDKj>e1o1}tMK~JybNZg5QVpaPL6a*SsF1~CLcmNsSHxcxgXPgU}3wSGR!B8pk zIUU$!FKFR9c;sAVdOpOL0II8XuW*S@A(oi2<|%UUhHbxcxYJh*ZJPea!)?cR%e*fa zL4xo+JESko(2rk-A-hljA@?W;*1_4g<->(Ffq39KF95Az4-Yt3nEhS{c|hl0d^{JuDMg##GipqzTaG@yT0XID1}d2svWe zHL?1>&&z1{)q2)(CArJtr_=4IH7_-rt`CY8 z2ogI#h{C$lW`ve+cDN*E>mlwwW&Zf>2)C4mKUUp;>xm~LEf9l%NZ`T_ zQHg-~Q@h)&uUSb?%?*8=Z=tT_a$Gb7*3*?`$mWx!Mu0Uj`B{N1eMV1{{oyV?=mqUm2Lnu(rW7 ze83mX?Lf1r{>UWZetngDAKswI(3wSQXuZgV3OP6{=(1Rp@Ly$Nt-giin70FnV$(-L z2|vVz@B1`==AYRqCL&qXT>Fm^cyQM*W13cmLEa zzp3A_>xi3mx;Lhte7(gGnwXrJn4F*^c|*V5qbu1$aR#H#HTx^9!aJnmQtemtdVA<| zdh28ny=%!Sy&cA6I!}tMdYyPM*0pYFyi}+JtoDShm_(WI&~-Doe4){iK>{tUO~QI0 z`(|O+3ub#nHm6soa!-=3w_MrTPo%T_jllD@yjYkH{oShT3x<`*NSYz9TFEp&5>cAQ zi!3`e)dduvnqu+ZEb;v@MBzoS{;^L1I3)VYJNg5-P6X z=dm4;qtHKCOgFpbRm5?RHGldMY!xmnLj@C%wY(SDk71VKPzvsq^(SAK-2TMxquMm9 zSGLU@Y1$!iUx;??y>`BdzQOk*oU z#t9&ilQ`s$&&-iX(Q8Sc#evbZ(7HaG-Y^&xF1U<8XsPd@Fl^Pe6WL0nrlvpDg?VD9 zd%@H-5S4!xngBos?}7VpbdSnkAfhkCj7?o=yCO=+XY`6LtTv`u-nICO7hke)w6Tu8 zHWC%j_p9@`Q8h|6tF*H)t?=2k(J-noi@OuP<+kwl4l8x(dy@$VO_y!gWCu-0*+>0K zy5%g8;)xr(+b81(bk*Kw?CJgSRdO>2Tb#?f{Gr+GU|+0op&FPPq{K0h0CUt}e&;69 z(4#`8jl_f*8zGbYx12Ha@qMX}1)E+@$K))8gA3jjkR<2ePD&|ePL(9l(VV~nhR>ZJ zL&W7Ivepx5T4Rd=U)1KuR^>Mcp`0EfTY4EILO8IQy1qFWc-JL$0ZPR~%frg^r-4Rz zX?l9goPCw|DK5$okiRt`w8jFO9L8RohHWS{z*EpZe%!!=E)%wgDP|c~-)<2i9tyBy zpN%MF+;r4hJCT#r>U>Frw_g3TMUl++xMi4}F+bcP1;O$fyK=5Mveeevn)TabOnJs}RO#(QzU(Hb8mvC}ZsQm7)hn>gRPd9f&UFvme%`W{EJ=xLj zRvcNP>`_*Te>8k(b@W-;^BOP*jv7}AZ~#0xbeNcrY*mWr2#{xbK1d2!6DTm#^FEsa zUqQk7`-G?-xEjA5+6DTB1@4LnTYMj(Z4jnt%MD;rsR)|YG<1NmUx7nZq8*}%FrUC= z_&Jr;{M&5T2;z(_im(k4SsF4(YigpqTYI;n30WDBV4*=k4X>O|z$%4H%1vXPgulvF z={-`LwP#u<#Y)XA#kzIaE%uz}6m9XL(46#=F@c0+V&~T6r&_x?O+Opm|KdfhPsG`oyN9F(`;!5Z9T;z#|Oz?#G9fbwsd z#+A<3jWO*A@2ygIBS;QwLREh2L&LV;+Rb;L52Tj0JkH}M?veRzFMruXlTs~G2%=;R zhce%YH>rwT+72DxJHGf%lc2F~AsrLV;7mZ-3l*PU!aN2Fd3P;uVl(5e6M`+qh(PNC z(F!$0>LnygB8I+VbJi$rn?W8j^QC%DQBSFqCur8PoKSlwgaL|eBd&4tnFj6B_W6he z7h0rL^|CMdmRxe*aP`CAcs4x&nZI?|U~&EKzl_4%ZAT5kDh5Xy*aY`%p{%d9xcBtY zN14|;l5$L$@CPtfY&`a^TH@AlOdwUl*phG(NaPw#kOZ=Az?rsU%(98Etbd_EQOv{7 zx<8DbxtT!}zmSn%IdDz2ph|2;SjJg0HV#4r=NXwWJqSD3KTE`X&mO|9x9|4taKPRU z3%XGYTc|r*pbT=s&m0CID&WT|CWQK+kmxa`IS%uxC#6ojzk>x4sxNLH*|xnQ4a#{9 z${AAZCzKwARl;@H@$f2e9b0rafBzYaXoMYMml7l&vIjWj@e@>Yd&+;%Q1hzWD6>9k zHyTkrJtNqb_44Ymm&BUvvJ+jBs1`^iS8lP^OmDu4Ydd$g!P4Nl94)U#vUGKlTYFt; z!h-g)-JPuR_7Yl}*Glk#t15N$X{Fz9r|OP*mlqf@QiCd-AQim`gfKD^9@gsM0V2PF0jv+TN6$Z0vk#LqH>Ym-EhrD!iX#ZfU} zr#W$?@OaY#u?fZRkTkIseTQQ(C=%Ah!O^iXdHb(r=mqQY7a=Mx@Y@`O&1o;k$XTvM z`Q}WiouYw;JSt#}j<(MWXSzCy|!y4PMA%Ip^6dSh21>}WMOv&w5}u*(R?l4b+l z96ZxMMQrd;&J@Yc0Xv+>U`WB6->FnPR8hdZ@lP0JeiaO7o# zubC{^&S_Fk8n6rzkZ(i`jf1(SjtXTU>|(AGk69Q7+O^baeM$IG32I42u+PWLZRU0c z1hsGmRLI8k=uiswW+Yk`eg4>x89=16i{)U9?>QqL?+DYWfq^bMr*y`&pny#G&6OlVy%mOhV zHZl<`djVXydWhAEuK*;x12V?BUnW$U%&d{*4i|p(Pr+BRUYzeEmxF>&(r~*(fj)kD za8s5a`VJG77T7*4Wp(^9I1auMpRZ5Ndt?w$36<>(;x1V%!7c4G^b{7b>R2L7bA~?^0Pq);`hdwd9v?m6L5?jN0ms%blYMBHs-hfq6jWubZ@n2~jYuS+O9MN(}oa`qS1w z()fD62eqG~PXnqst6$`jf1RdJ3R+WU#~52f6BcckTyK@w3PXHvF-D3?M*@EY8*soC zeG5vL)388}B*h@WyZ6W! z>}%v)L&mclV~^h;j&F99V?Qlm<|etuOZd6l%z_vzkGL{+MtCsJmR^-TsJpf1!Vp=o zFdK(N`9g3eg`A~b#8MHSP=FU>>o6~idq)12iI#zuM}#fFfbpwvPrf-pgR~+^`FM&s z!@Paik-s6vv6uY@kITxJ?jfvK1a{Zgt3AMd(P$w_z-3AU>NZZ#Qw9a_- z`K;uTgufr=vORWgmNK{DFU~emh~U{1VFLzKN3Ga#Lu?W!J+g^Qe%k#}z)o$t3dh^%S&>Qqw>z`0^hFj+H%Kk9)=X!^)25 zwY>tw_)F`~n_TIb#)p|$3?C7z1L5>u{b%CIizm#CwJsftZ&a=vjLoIF1w{LjO@Yis zfh&@9R%1RXo!Z?NaA}n3F7YEsKVfvg=g8FpSz$EI_T=}||NN;^(Z`8zHmvB2H9jVm zKYG1CsIGGgvWnzt)(FF@yN?Tu93Y!_GtvA|QvCK1wzC`LChIgvR?I6KPPdoLo$QV! z&TylJt8&;OV3U3;e2Mm3HiIaj6J{ld_tM77=|=QrD!JLqccf^=L36)2F($dVz^D&N z&Cfaq8iz z&o4?zCv=q^%*i?ur(x>uuT1=4v#;5jb_5wf&+kt9>M26KVx3NFxy~z|ilC z<{?5nvosZIQ)}8VNO?hvl75mk_xQsdjRy-5@2b;}*I`pcbPz;bDqA^eu{f_5{tSvC ziDukW_wryi+aj*zqg7`&&pL-Pm2G%GSfwl@@UH}|#qf9AFZY7G6 zewnj;&H19P!f#4sxwpMG>`xwwZzrYk`oMw8lJXv)yNPr#()8`!^&+gQ<-8zBp~;!P zzdV@_gqS0zu^JR@NkpB81^QPxV_WK3=S0x2jhz82T@tj5qJBvcrF2eguT+K`M>7~b zj&Ic;hOK9ZsldsYP;zK83e7>Nt#RxM){|TT{jlj{mOnvKLgu4vWN7){2KbaDq>KVf zH@z=`(47YI$@$^2P>oSK=3ucisD%ouQu6S zyz4#&UlUPQ>YzmUg)W^o>P^!+FAYdm^_y>VZuf-Y}At0L~I7$6~05d?$zv6hF z#yMyl9}*09ETs*Iq8yNTsgqk7*Me;LIL;A{+fMYzh5ESF5P}67e(DtAMd59M-yjg7 zlaRQ6ieabbZ3Dl-Kn!$WF>7AbaF=VEHJs)L=2!oi8g3qR_;VxgcsvO1@cx3omWvP=N7&k1FWgs}_Wdp&{Ra0J5!_3skw-HiKB7B&)h52ZK zq~W>p-*NSCK78OOcfUsdcI((&f4R8*>#^jCuF>2~vT@t_H(ZbMXCtn}KSo}*Fx~I1 z)ZIN~uy}uZZ%YuyM(QF9BTd??wbEJMbH$a~CjC|7ReGtwspZ`Tg#l-d7FS^(b>sJs zzI)Rt?yl2nZPq|xVgLT%&k5iK3I+leklk3eD_hpxZC-NY++$#6b#?BjWT1;+sWbUO zJfBXvl9f)kl0+Fnl4(mN#(I*SvZXXV|B&Mzs_|uxtJLL34SsrDgN`1}K$+PHNFIUbN-QIF+GjF>O70Zr8yT{ueaXD-)g$ezlq$- zZL<8qWCJ^R6}#dU=xyq@epPJQ7wT7~m~jC4YykuB3kX^zYCZ{~AzYt%gRaa)cV#ZR zD}B**b*^YgCMcKLuCY#LoFaPy^Jb-k%u9cPAds4=1;*x|&ZXR9}YhHPo;L=3tf`oI}t#nBu zbUZb@>*@FRb^Y-tkCNJ_-XH^p?I?fh2M@kCYxxJ){Onzh8~E8bPm;5L|2~<~y65?# zyKcUx>$964@A|mq3AzHg1Lv@rIPT4OT~aF$YbZ!+<<#i3C;>Cbu0uxAq4%9LqbNu_ zMoWV128)&r39XLZhj+6WHmKMP;SJ^}nQm2dX)C3YS}x+CQvTyX^{>pJ`Z6=90*4t? z>D|UdM$*92+6&`Dc%Md=WKkAmLGrl0ZcftcPzLCD$>Fp+ZBAbD@vbnjnvl3#$a`Rd`Bmo=@wH*n0PA1^y)bJq*vc1O<1XLh}~>w&JW2j`Y;9x`yu$M=8mufhOb9o>VB zL!Uj>!#;Ms9FiE2WsL@SfnGA5HlPPtLwVe;SO;mQ^CyLLAtR^r8U-zvo#Qk26tcoh zdlbSP|;E?d}os zzP*EweftOgYH|DKu9`=>jGJlSpwcL;!M@SL%dBs5S>LSJkZkv0*W4cBLVAw#>i>`Z z5!a`lCrOL1uJ81QZrH3N_Qu|nHHvCAhxEi_tSA1h3#|X%1Dp6azkQ!e9GF7Se(2@{ z3$dlkQNC_N`I=82VCU}j*?kUfP60VXwh=3zmj_|1i_3=q#{{5*ww4gd6)^GPfTSfP zT9BW2$VkuYHq!I5Bi&e+hbwLD!-6^Np3Gj>Gi*_qzB%(c;}o_iG|^hPbD9fCK~AQ# zb26QslNG8t(U6YlvO-nIly;pbI`8yDgz6-v@r!g%Dy%E%k|%4|!tTWV)Xl{zYT?5% zK3a(Q{9eDu&r61=lJAHHqjJ6wjpVzHIbm>`ZDGu8w}mvgmn-IniC>R{-EKh=(1yd1 z$J1|pG2?2CKDAVxU5L@)j~c{dd^|5NY+^>lEz1ZoQF}_+W6LD1)n+rfm`FGAhuo#K zuxBc$TpyfoIYhEMU7BJJMZ2Uyb<--KaIdiNCv(f!cfEAipStesXeU$td?z6{M;{HJ zxpl>wr_K*ot|i<}m+c?H)jUED>^Y}t8#&`ouac&YMV&t^UD-V8)T<|7d*`mMFPrC9 z5DW6iy(sN-sq7$QQ!6|pa)Q(57$ookt?n+}OFB*`a-3d9sdxx0$lX{$&alFkIyt1# zNc0XKTZ|zK#Pw`J#;pGmwb47+qKvRbS!1)27&(205A+#6(5Lv|u{wPy{VdGRxDFfj z9}GS@J@~rK1brIK?=}xY8&LYjDRJS7jf9$<7Tac8XP}xQRWER@GR-CJ2H{ zZC;00HEvwS^FVO9EsGo*kTnO_+VqwTeKatF^xmhqub+DAfFy1|a6dQm>#fk}8a zJ5VrPfql-CDeUeYmpOkv6W}!Ij^Hsc(wJ7`zRGquWWtzLG^8d>9s}8|-=wYw%bE^v zt)sGzqmKr)R}N>72(_0FO8G^lDZgL;lpo1Y`8k1<@Ajr1DJnE7gF@m4@lg@SJFZvO z!(EU7p#T1JAX-BhxdC`Fb*q+M8+ZDe{WWvVKFwUSFXBo{iDTF79^uu7Zj*KG ztopWQ)YKXqR-IFQAgcn=d$?@rl+}OQQ8;qx=L2c)?|6!8GuY3l!H82SZ*$X`{0s28 z6;-l!Xn}69G(6@d87#ai&?j5> z#I@G&AU;G1E*skoRaP3?j{9=j7pR}Z{GIJqCyjqAUhBa+^Ye$xT90SAQ0R7#LiI$W z)oEp&$O}S9)Y(N5_i*G$sLDz@9f+JjkOh#xbUgR}czg2zC(65V{CS?4$y~`~a-W+` zHrZ@;1AAp*2`p?t?i+=0MnC~!0byBgP(TIbP*CexTd~@D)GDwGtWYaj>QO~(>rs8Z z-oALWmX>c{YFj1Q-}5{(lMQHp@B97Z_uFIUGs$E!^E{vXdSnRLZZt4st>@qY?jU!P z`-Ee;u>yHbuIFJGo2CbOhVMo@I{z&tVHPTf|8Gr`zx4|7$BEgS?A4p{x%P9!>qHn} z3n7!17V?j2fY`nyTL|yHG`P+SYKzc_A1?j73)yO~!Q5%K{P`OJ1nEKur@&Qrg4hN;sZI1cA&J zfUJTO1q61jd58HGJe1}!<}p^Lnys|-QD!i+*|nDS%1(oqsOsWX*kSKkz#4JjNb5ZvoX2h%%&X~8zP(F?yTY)k@7j@&E6@sBsNeBT@ z{lQEGJ_uV^s!;7l*<)dD9=Dalk_0{8X(RI5N7CVQE#5pqVCr|nNB)w-vpIslEwr-0 z`1Pyjs6TG!4b&ZncMbKR=ysd&957=6DfGMpP|rKm-8Sx^8NZh(WmJ})e;!gK@}{06 z^w!ZxTImy^c|u2<(@JAA1&{Q_uj;u#$(gI#bC{cu00bcOWg+~WBPH0#sypb&uO_vy zCF$wk3?o==fKh0>560l^dbh71oC~=Vh3qqh8Qk%2{&vUc$=_!#em#0h*BlvH5|l(;d?urwabPAC3wwl8Y=#xO9w7wqBh&GX@Z$<5ti$`fW?r2 zc!m)~79o)rSO%+p-xO6prbP8)jMtA~9%V9dhM4DysvoD(I@ZWCeoAn;unfcUWO<&v zQr;?avQTPk>DE_R)A=m;mj8D)7yE6suV{0XXcfs^vW4PHuKmL0dY+wxQ`704EJYSW zE-^iy9K+&B2;&W)={gPdMEA#-&?RI>rtuJ-JUTKhWEwTFG0kIXL>x;;eHd)iK=Nu- ztBR1LX480-`;qDkF^oMVi>^!T16K)> zxD5N?Xx0@EW83=|B?SmP#ziu{yrCHKB(;D{Do^65WL|kAn9Gw1r%ajmZ!LpmRzqZ3 z4HU8#El6veU{0|%K{q8GSzs#RyT0JHy%xFQr^^+QWy0kJ3%Oi-;E-&m4mw$6eAJp_ zn35U<5NTwIe}`Ie%_>MW0$US`M=QX!@K)IWH8}F8U4^61pD7%F{1sUC?tj3*hCkl% zM&VubYq%W#;Kjm^Klr$C;K(a*)-MYGD4c;!FwhC*y9%Fbo;fy;Y25<6uvXJ(7uuFO z(KvOSbGAC$$;##sX5_%*)%+UvOD5zy(}Fv*_PB5YPmkt}SF!^2cnhj76fb1#WSj(l z*bnia-)k{O++vKlrKqG>{%;*5-*y-IN_CZ9FL=8)CHiz2{vv9W5tI9nVy2D~MInw7 z?{_;&8a*L|=Oq%cVYujYL)CXqSa#>kzZ70A+zL1S{D-;m4Yw3_amN+=!lTPi6!Q6} z7`S)KoSR)1;v1dOb36AJOg}D=Kn$IMyXVFqiX)%5*@fgFTTYw{&T?neRKxZ4B;$j) z7SF)=cy7FBOgzU;i_VCz;5IQExxLI@?r!h^^C z#6rC2zXWOgB}n5h3B35@4lkKqhe5OsDpJf8I8TYzVGymOh(?aF$RDoRT7zmL1{y^S zG>Q}nHxkDJLN3y)>?K!|DZR?R>Rx*7CD#eMX<|?-^{?w*A5R+CQtGSft2y1_4ptGN z+`cN1ad80fR&P8W3nkp{m=$FhhL2I&=0$6W(zaEn?UYvZQb#s4>5`fNm2M9ouQHW5 zYzXP&SNrQUZzBw#IbOy7ednt54}b8;uU;0h- z;yJSy{vem!ncg(}B%Jg9dvL+=7YaXq|48BE+v;);!t`M%-BozEfKM*GQ8ma%@bO_R z{eOlzf)`YvEfgOkc3FY;{=r%0i-hIntVqj(1iIzvHim^1ohVv-Ljbb@$Od5V?)gKf z-QR@w=Q}H^nr!5_tg1=X_f~z6-~WAQSzLP`pRekB@_uFv1}c@Y!Li}1le!BRu%K|u+8eU*{n9JS+oaG#P60&c2cjyc}3Cf@%uuaU-X#}Q>}5$3Q_%57;vSma@}`_$_jfBm}& ziD~0|F1$GH`ssZmc-w_Dk+}pq?2@b1Q71swDR)UIG`hckz6w`V9IEz>k5U<@7vDNle;Y0?Q?E zumlbmFt*LTIzk`=fEtiVWUpneWjmPFEL&OCk4XowV8-y{%SMzBkBzLlikZpJDVv_y z zI2)Z-xlC@eEL9dc7kW3y8!a2HH>hi39hEzn-SRHWZtHF8_SnspcUtbT-s1}CW^><2 z+#ZPg#dtN015oX^vyBaLun@BzOWzHFT>%uRbX)p{sw!b6=jMtbJucK&429ebRh@`? zJE!^K$u38eZR*Zxzky8O%9vu2xk#`q6cBh5%ODf1j8)**Ok60?*PkIYv=8eZ=iHz# z4OCErLWN;C8P0<%;eKd>-SA+>+&2_iY5F(>`e(3 z50b(#_h!NA)m4v9Kc@8Qn61ZjKbHT2QP9H z&Jtmz>QP}slF`sGDJN9(x#U^0xj;q=6FE`uNo0Q}Nl|L=rEyq#Kq)?O3gmRxt^-@f;KS(w@VyyZH}3f62QL@?`+oSYdi`zFhjk1e zab46iKiT}q!WAzpSn~QdWzX%~W=~3`mL>)rS-a*;$7))yUyrrg<0NMadZ-0!GFUEe z04Bp5&i{|zaFgK;=l=(9IOg9R3Sndew86gEjXFBRS_;rHQy8K;lDiAx5va!>zR1Xw z&kH(}|C`}&`-8#lFB;svpm}o06@{bsm%85Y)MJD5Z0<9aR`j>(VTIyPpbH2l+He3H z6_md=w(6xpw9|m2P{!^q1USpje)jc$ld*dk+jAs(v5bW zc91ZV9V@K0{)GF&%9{bQkuq14=)6Q=I!g$`X$Z{DtTT=biyjp%dZg;nyiYWX9_7Mr z7>2(DDXiCiY0^_NXh$kaPl?q%Xqxntiqcccxrk-o zD?K&O^aK^#XrB#E71vf;5ky38a3Bx|NKF%DqLrrT@%uxh7eFx%L9FD@v2 z^VS=MuUEb}>Ss5+bCf%N@z95bi;vt6Eq`PtT|E5!k!xP09SwkpwXl(-#t&UVF_`OP z6zUnGfyIC>a)e7_XkQm$aEVD=8e98YQREndiIgH8h&_KQN@2WJo7GLm$=`2(tO@5hs~4Ch()r1^t5denq}&DZf&JWa`@AdD24^j zDF__E#D_R1LY#=d>W^Uw2;xEkE<^$iE+x{F1-Mn%FW_ScGZvIdq~{{I4?TnsBCpuO z+++^bbMv_U+)3^{$8p{0)=qie6i9JPn}@X~-A={ysyiQt%}VoV1qUr?o@? zn|t2EUt`fzS#>y*%2tE8t=b;a(SIDm)C9<#gNO6LA%XtwT4xjQ&nwt(JXjfoYkgcW{hu%W4x->DvB5l z@I86$cAE_devikm4i(`P5XK`m1-S5k25@l7(J;wK^ZB~roz;;Z4ga11jBMG`C_jx0MiuT_U9y z8VOY5_i9T0=adq3r@PYoMyEN$Wk_ESNW(R&a-it$ERl&Bi`kBO0iH65T!Nn2N?hj} ztvEDVaoF+Bk%Cc3GF&12VPVtDAIJOyBvcF;9OzlFjW6FC6vFBRWSPgDK0w%%GNr4Ahcvh1Gz&vbJKDwbDyB z_Tq~|Z<01=Dw2-zuBF$SaCnUu#c!DynVKwCFn!Q1qiTD#V*qSs1_%Sh0hWPEKYO!7 zvO7pj+DVsFR`x*@3Oxa-c#POf6jg( ze4%`1?_;7(OUyQ`r@P5k7RVMe%zt(gK&M&R3|vmtE7?rM2LB?X5J)uvWWgc^A?lSU z_=?d&BhMVBOi_enMI;96V-Blgu|QR|*z696jHeA*WX9}}WN1>6LzEnjFc7hb78zu* zgw2f8Y-X@{VHkuQ7K_;oggO^=VM+^|GiHSO*xX}bX}@$*Vx(@^ePpgKNxCzVsVk#S zR?n!6iciW&Vc>JRUW^b)lAL&f&|l8`sqb9wTn+=21ap_r;7;xmawCPo_{VA`6xJe~ zD&1)mc4{US51N0oNE6g@mq`r4mxjb@_Xg5-lENBDJG323Dg*;*p(2nb&A1K+NnGk= zraYK-U<;qY{}#pVX>r)yp232MA%?|}OyUx?c6?2HS~izO218I5X^|xYAp)5l9{ifa zgI^;M!qBBuT1vJvVf*EVN#K}8Ady3)$18}t0QSl`gK9<8TrhtQrK_S1SHP;@rWM;FmA3b(Floje_G&ri7cFC_QvH#t;*q-(LRf0@xds(;CxuHKk2P+|mF zN{j$qB`Q`um`z1#2rtpfioF_GtcVVE==#~k68-G!5=*jISF;)k7>4m;{vV8&V_88Q z$gqR(ynWtj_Yj)>JfmQM_2CUeHX`x2c_}&nJ~IddYyxlWn2LoOsZL=R!^Nh>@<%3? z(1%IjMUzQ1F`{H9xwm0Sc1n_LVoV}K90hLjDicN!D_GEEmQ4_w98m5?zKkeIm}Ib? zr*tE4Ml_38W~8kW!bEW-W07TZ7%*2(Li;Fj9LbRGTu#FYmXWD}WY&$MKj>x>@*cG) zI>AuooY+F=)V#L)jMzdg7^dNFEU9+ZCX)izzBpPzL7<(aZbHSIaR)tEiUdn4CIqu+ zW{+d`%k*5(>b{7X45{-=q^TkJ9}~t$hkV4ZHS;@>Ru?vhp==`VE@_#J4TN5drJWk6 zDb|a%HZ&;z+Mi)$@`zzq!{8tC$Ix)m=28spBAv0D^LMF@|^DV9UQ#xl%{*=Y@ z2O%p;tRPA8vPe@xg8#y%Rv5BaEn%gE9BhJQL$Ie4?^%LH4)QAg2p8f_NR~pFCrT$E z>FmbhsSNJD_>Z2pRG^(JJl%)I*PX~}_8YR||O&qn0 zO}bs2Y@{vkVE<-f978_hsz!gEJo@4rtpDPxGnvP`n4c~f`?H^2)e|yTBnpYUapfGx);g>=T70H{Apf7~As&8)S!o1ZZX| z=r#I?7O}=>@z+#aYHHG!{;uZ0z?v~NIZLjl&9bCsUj1&%j_L>8-}gUZaV3nhhAP^H zjzB;0J()P_JCQi$JCpdW>%)XF+zms-gtjW_DaeC)guc$`V!8)Z?*{3|D^eEgz z$}`Ge6-GH>Fs@@+g?7VJh~JCQu|jUbNxE?z5-t7zqc~E>7FrrFo3^H={e04q;s$P=$@y`-odr=QV%2{Bd45Ts= zBkl*?N&08a{SadbPl=H{V^ZZFBT)-7o*4Lmcxf<1Z#ZW7WMbWDc1EenkPb%Sczyht zIF}|-5aO+iclW%b0VfQrr866ENYm_tP_(H&eKL&>q+!}ax`vR8djuo9#Z&36s52Vn z)ES0Qokq+VTedFtyy=Vym77{k$mBG90?uNu9ql@`S(8G;5tL_|yfh9$>-SAGPHIwU zOoAprn;Hi6rmT|IZJOUOnN%@}(QbR^irx?!J57H2De-`uO}3uHhiA3EWyKG-YhKxO zvHX#8MUnvr+5^V`lT4b;N_98WmxNY>RT9%kVMg|PJVB?{Z;3BvGPlxVA{rbcp)uk& zq+Z2l`rEImN^4Cr1W`Am+vRe)J<&L0;uWNo(&CetmIcqYJ@fNX9i#g#eg8U`8gc8E z4P^(t*T1>z)+Z;cqNn2LLC-a(R?KN!zGU%_;$=5a8~M}i6SqxtDi(jNQo6qH;F;~- z_C4b=^T*b%KmX14!2{rjiJ+R8P&azs>`8;yk(k*IOvfZ+Mg?WaPU(0P*N+>) zajoSC%TalGMKBc{7F=1rzuYv?(c*6Lk9Uvv=Y*VPhBfED+TSKDvn;k=@4nuDviv>s z`=0lGe{lTS^Jm|Gm3>m)Q|=3Mb=Ep(J=bc@aO16$xkcRjWq)VCR?VtQVKD;>1hKLs zxq^yJ%G`Rx7TyvAxmfa+smOX`Z^}@WGx9untITQ@H8Q1H*{kQuePu-OwBW03w5pUz ze-s)zrOs@EO7dzfx^yJ8X-If9YfAyUkt)Gjj8q9$%dMgQ%v90TXY`&>zARIT&`AjQ z!vpXjJP+A&*a{~>1`;PIAzDb9LzEHnf)u+U^&CPw!EQ*go0tS$gskYPZgR`eOA8Tb z!5;L5Mm1mJzok6jFQwsZy6n*VMZS{ z*k9wqVf+%svkXPD(G<1(6t%_#T?X@Z8K~{jnRg`Xik;zMIp4}7UZFWi61f0Rr7~}{ z(&EiJ`S1;arc*^%zlMe-#RaSM1qx}etpPLfyQ?mcY=u=usS0MDYVIulqY)mAurrGd zI7wZg9ZLv1>!lcr%@8|fy)s{{hAOEGqtsdW!T{Ve0q2+K(4nBa6NYq`$ysp)g~*YJX(LKmaRsugoenYRJV zDzVHNDqX@Vi&gU86a*)FwQ5jlvtGhgt>1}mv8=UHRo}Eh)t0f%vu(9uzDh_%xpW#2|dw7;$-z1*lLzIN6V!($q(xw%j}5)Y1}Ckd?@QtV`gPPK}8&fN~o$4CL}`TzIE%bi(I z#)4H+8qcyAO${wX$tE*K{W4-zP18XOwD`+O#YknmiR_ONwX+u|5*4d zbbjzQRN%!gq{G{<-J5?OO)(G1?%MnW%z7T_g5_98F~daRqr$(`@H593!@GA}vG^y% zUUy(L+{*n9c;MAqhNV-4R$ra3-k0&M^nKs_pydgR;I||!2Yn}ftdBa^{N+t$f`u_# zgA#P1q|?DNCLlfJgw7s^Hd)8AjttvdI&Lrok6z;}V^e|!47wB2wCB!-0ZlahIT;0& zA9~2)zj^KWIe`I8jgr$e+M6<5r9iEu@+f@JQ0? zDW%Kfmc4y%Ffi1oJ7F)DxFLFBt^{TcxGRff!}>7*lL7D;C|)#QqO;~p8vNu-8vOLe zU|D^383QmG0FQx^JMHyd_rhEW%od#|%~`QOndeF3e(`{KP&_GqES?v6AeM_O#jWB) z`b(dPJ)%@DVl|p)5hI$I6Fn#OE7W9}8zC@pCRQ@>l^kFnVh^wf*^}%itm!0so<)ES zvv1-fmes5`G>t8y8cR`)mB=lylohcCD`Jh@7?#+25G(1nO5I!`!==| z9rWLU8<*ig@zn2_@ylkmkJ_O)NY>S~%4)M=k4pCOZA?UQ} z5=79&hmp_J(_>^f>5~U0vX@RCnLXlo(ra|-(YNNR6G$4}>ok9J7cJM-xVkBhwZAB{ zf<|5M1dbA>tX@*T&uNE{R8ZeXZ3>aSC0RF0@0HvbFmqZQG8P(M+XEs_1-vp32Cr~+P z1(UGQAeYl9fR>#C)u>P*%fslB@}i+hUC218$kfoEDjL8StL%pBJ0pY?k`phK(t?)G zeYV7Tl`C!KM2_<`THL-$E1_;BU1?QPy-?DzLNPlXai`f9fOd<^5U7Tp{cl-fMp7j| zmbTC&V{@+lD7N23#uUQf;bkVxY1>Hhw+%XU(ezIb?e9qiFjdJ)Me)OpKWSTgZ~2YC z{^66I(K$m_ey?lBg7Mo1vhlko&b?;F@n??atI!XY%^i66qxpN$;q~h$KX6C>Jwsdj z4AZq6enxd_2gjHk=yA1M{V(Qo$9d)}hlwRMKouBoH>mJF^-b?5-X1R-7MzOHZO7Ug zG`TI3MKLRvsBB6Jw|H%`*gunWU&gMPuMJv4rya&A}y{wl(DVN*8J-304ZbN@_ zQx5%Am(8XZZhxn$CS9hg*-BJ3R_DhjGxp0>zlk2&Dzo^bDQ_33`gX}1Nv&Fj=ApKb zf|;WJ<~G?xNsxGnF{yEzNeMu!WY^J-v^Z(Ux?Fo8BU&UkMlOc4Q4KbDNFX15!_G@S^C;73A#0i`TxVJ$2u%em#^0(H54xqE?k9dwB%&N7>s%1xDKo0l;QxrNd)v*Zb~ybW_m zk|JB07JH#2UDjv}tY)3D0QDIKD7x{*<$8GPEz%vZhGU)rdMTsUKv^$$q`=9H602;g z$BHqp@?mVs7lTs7#rQGilr-~^I0!JT7)YiVxTWas%b}PCfLS3nBJGC~&l9AxlU6RwDW;oj_B;>oN#tU!aGI0^KXok}rDZBORDO6GEx;+Ii7NgPWw z+E>=o=)>>_qGYZ7gOD2Nt^O|$?;fHH~FU_)L^>=&R=5q3Zas;4H;?Ia`;Ue!X`OxbkYU3HUF{69@rT<-4}7d@ zRO`G|`L_rkZ|(VlIfTcso()mWx#-X+%5@(}Om3zWk|?2;(iX$%qjxW^4TPULsXBP4gO0 zHlo(Xt&OOWIA~+Qt7&qSe8So^plK8!cSwL^2Rw&uOlGLTR7Qq>A^eCK|!{WU`(3PfOIlb0h$i zBx{JZ)5turm`LRh*%dpNc{I74sp=bwa?U<+n`&1bDr2g!gabfK@Bzs6#hZ{5A4ZgD z08~URW}#XNz(kcOnUZV(l&fV#f@}TC=!PneHOXz;wt-${w4GSlx!xC`rbq$^%j9^x ztX5lYsaE#+{jM^~t6h9aBQsm-^0k(#;#IY%UsM0)Z`BszUs8@ulLT51TX${RyuM%M zT`%1~Y3P8OJFdFnxmmV@=8h$s+uZKDz%9?;n_cqK4QJkigM&*~EgU{L>aA=Xvu)z2 z4T0>`%J1h{fJOZQ{vdynf0H-SHVAq@gbS1ocv5Xdk^u>#2-g(zzh2yT6CGAPS+LHTzh9Pw9r^kzJ-*B*-|O>)sk}3z#+y> zYoZyM^t5Zth|8d4vDg$z>}L8NUM897G8v7Hb-K{5^k`ur;^4J4(-nBA5w=b{1Li#FXWdMZrhu`YeFACkux`AIr9lwUmYIPyt{r9Dsg$5 zP}t!n#l`0{KD)Ci>3}i8;Wk5uTgGDAhQ}YI+?QAg?h^IQU1A})E4?0~%KNFE;Gq_R z$4^;6ndqmLSHvvvpZBAc{saDl z{vJO|qUm~8s(}<{O>^iZKIWq0Ko;o?i*Jgbh^%NR4q{Pp(A~=tbt=;=PU=Xec7aG; zxZ*_LB~BULPy1~HKvN=Uu0%^(UjYTP89%Ek7ORESK#)$T*a%?F0bmhq0YFTEnwo7| zLUN!Bs}_unnwE_0Hc>QaHIgW_$Y52R7F2mCH|S4brgih%S3fdImAhoy^;4$YKB()# zuF=aU_3J=)<~wg|7&YapeYc`C_0#!@j~`=!gs%No=443$6!`7}DC91>-9U-fAoS*5 zkSey)yb2E|07)=GQ?a-yMjP4GB};3ZXo=IYejEf9wzNc~w#Akfv60#&kPX73suS;_ zzL$tUMhr!oKmu8G*g%% zE`p2D5@CtB9;}1w&<0_>xK7#$ccLB4F8)?wxA+5apLmD#6!?+!95}`wl3oSBlHLbz zOMeFcC4B=fNPY0*NM7KU5+E)$OOrrG61j}s-Na!uZZcNnB$ZtzqU;iMx6<-DK;<17 z3);Vdx|#5(&{q-1nPrkp_F)o_4gNo!Je>q}#S*Y)i5G-QQF4l+1Q>)WwbB?)l7OVu zxtMrKWB}yq%+OpRWHK3T{b(3ClHs;;h{I4u45JLJkpJ{sg3jmszI-m9^Lx*o&FPCA z6jSVN=}S92kpy5pk*)Wqv;>P(UD3HNt-7L>B#sn>PZyT`;%sHPH~Hsh3)i#p{4Lk5 zn7S6-O0hChn8N%8W2GPcit4~tZ!tbo%q1~Qe*>_xMlqhzYi8LO}O5Sp1|FV<-_Tdii*X18PP@;M!jO1tF5 z2UfGyX0DVatN=?6l0Yk)$OS-94Whc>^Fb8sMy*GzctkSJZZtI`x7ssyG}r#T9of6# zu%lL30Y(HQ@)jMlOxRp+o@8bwn|o+^$gs{jD;^=#+7$?I#sU=&VV*1lfRU24AM>gg z&icsey65~}^&EvTew{N)Kx(x_cG4G7(N~Al3qQskRIVC#(A%5SenRU;(DMaZ0F$;m zq@^Fuo{x?;r=^PKw1PRw5m(x#w`!P4I6G-wh^^}6B|iYmZy)LJmywqFtX4^)qe-z| zv#_hQ%*$q4M5R*zS!y*}vBf#4Ps?bJEzZe>bEw=OS4FQ9-=h{I|jDW-)V3-3Z+C0P}!s|oe7lRWdcGEuBpqk*D?1WBrd2=gWc z$s!YIK9|JLF3rb8N|y>Ia@LYmB-9P9U4p=KrW4qN0a#7MraJZKR9Fru5GWaCp0ZUr zuW&SZI!4}7Rs-oq2%iCP5?BFx00U?z8{o65t0S9FY2IS8A{klLod9Q(H1e+IiBZs^ zeu_1+PibzB&fQeyl-|}*SJE+39I1wJfmnCi=m}&j@zION$S9Jb)LIp{&!qJbq&MS7 zhpjZ(UfX?fERYrjcVMtcmf!ZIsj4Bl)5wYc{qACuOxk*^Q-Z*ZCH|3RgnMeM$C)(s zkGK`IgnUy}OUUn^intQ!(T*8~Nz8)$3oACX!7uM*1k;`C@>g#XzYky`-SaXNrEABJ z({u6xXl0O-VGv-t;SCuHL6W2oee4_0!l!^Y>C+PRy{#=1&ZYH2UBbAEp+9^J98BR$ zvlD*sO=sF<{?`S9k1yfPM!KrbCc4r9BBG8XsY7&aLhcb7Hv?g8%aO#QV#8XCR_uSi zw>7HavB_wwZkN#+eT3Cyl&*1MMfx+^ri$L0q)8b)+VxTw4MXC4`jmYVp5k`nd+MvL zbce7l(E^zk@EZHx->Jw0b)?q|zRF>+wPgMMlr6R5yAgW94}P3SvKqzk*pKO@9{F0rEvHU(uKyU4oys%+|C) zGUa4FqVkN$XIO@TkS8e|_%Z5Ie)Swl#Rs|}@Q8!lp z=4YHX7zhsJtDVmSOSMu3d}U88)~iqO?VcmXOa4L*o6UKfp31Jtk|SPh64?AeGo)wg zUdX@^i^VVb$+#SrZ1j1~HX4g)Vm26SzI^3rY?GPzh|6cHZiV4M<;dZ~hPv)8+qdK1 z+XnSLa8(rEgg#8Cv%d*dC+mmQU7@a6vUb|kaRWLA$2Jgs0lkKH;O9?*XXx|WqJrv( zsuQeMHwUZg@fknIkICUDug2qSF7s8*^{?=wRzLKU=Xc}h_mx5G0Y`PXN~n&141Cr8 zDp(DCeT+dkr;S4X0v(1`WE?JN3q)QdK7AWi$Se?YQPnETs>*TI_}Pz@`F%dOyR0~& z>e)uRz(k6~o%AKJdNZGSQ?%LrttGkXVj)D+kKE}DCkKtnj-GCIDS~^-xRyZ!T0Q%! zZ&<(o=22J7ochB%_kNFg*xKR_O>Ay#LGXImlB{g%GtkpEb?M~hti!!H?2;R)X7078ES5Pb;%I)8|Rbt;|HWri@Ymz2_8Ao{0<+lJ>_or=EAW7F zPzCBhGiU{)z$7po%mvqBak36<0k34PUA%1a)Twi3tlvDKW##HbpLq*n<42o?;TaYP z_%|4iwe*R_`m``Jf=%_5=AW)_YHXw@!&k_Ag*jyV4|4MN2*ljeNTgyz z0Ea+$zpC(VD%J2YK7jXPAWJT`32)$YjdlIn9a>417U8_FhwcQ!NGv zCoTDDz!+3G4ccIeS&EH-04P5Ss?DZqr}}fuNF?CJTNc!v``{d`JNFSmF|rD9*w)nC zKNV)0w545Lw6LMMKTM68^!>tVyn(3)ofY%vS2(pT{PNI(WpFFr6t=Ezo;!EIYPu!g z{VT8;w&3rUur)I@6XH!`^>zzfWLaxL78GauvxtSVnKMmiAR~99`JGVY0H{0t;i-IM z?i7|Ub*ECf)9G9;e+o~3b3bG0YZqfR>UHx51RXx?b&1|n$EEdc{c>pcgqJ(A!Yw`2D>`o_RBV(dqD|9{{ zC^9C*NqLUqq>VI8t^4R)BYvT=QN{a)`W$Sg!=kZ0x{xw&$bo1@RlM-#e*cD%b*bq? zMvlB~5`3qyyJFJJl@DDrYs-oWQ{iCylFI7gL#AYWaKnZl_S?T=^Z1de0eHlIgYUc! zkC+?N^TdqRW2@$Z_;S2^&y89)O|p*0>~}r zY$*)kyFQnyJEwkdE`=wT1aL^a)uY{H*rt+YW}uTY?`Q=qd&qw6gSA`MkGpdERa*+L z!67Tg4JoX-b;y)`x1wkG3Ga*?GJO4-(Zk_#_`M;i!t$LXhu)0G`Y(8n9eAt-faN~| z9H?fof{gF<5DUl+;Yob`-0MWVRM{Ow0JF8%?=Hjh zdBujcqlOpmDl8k)1n=Cr^JbD;PrB!M*ulVoF>rLI&r&;_Wrs`6aF!V^5a2ZYhZZ8l zb?o;*k0(;r5yqyjGbCC3A<)fefm~RZGgjTqX+MzENs;x!Du|zd2yz(lS(np|((60d z4{F`e*|Dy3T}$hR!yR|sx&Pif?!1E?Jnr^4Zn^o)?c>JXe&*&|-nf0-#UXFL{K}hW zUVZsZ8fV0}JBMw?+@Db*lmJ?Oq)!o+Jw1}EZ4kN1s|w-vg&vh(_Xc$3Qew>SA~XE!9>-7 zDpUpS9S+AN2%*DNQDwJRsUh!iJZ4qs#35|$(gnZLb@p@`Pj!wU@o8=J?Bqqf$Bc*2 zQLgwCiQutp9>Vl%BCEgfRYRDRKG3|v@Db*Z7w48|AK19!rV-9Sde)G?ySn)iYYtqy z@WHm`#I&1dW!H?2^XPE@4VyMLH;x`Mq$)PFzU+cy)}FcbwNuxR8Qqp0TODs{tZ|UB z8C+Pv6!6%zV0~iK_CauaGrXlg+|>woHNb28bo4>lK5$eYxTJbRHJTBFZ7$ehhYRd* znjMa`!(A4*%M2GWZ~<72ku03+^x>@~Wty3F1c00Q4lh@ocefIq;1}|6u@3CaAUO#f-V;ip+xa_{GeRthnGkN8x z!Ar&`CGm&8bZ$idX@kmdy(u#H_PO=_=Iy+)6|ZO1-24fWw&O=t@qvP zLkV?&ikcO;OM;7~^%5E*!Li~ju}x$~@^AzLXF(VUa2Hf_X=;Ec}>}~>&(3m z9KOwo{`NE;>1#00tL9#y>#*OKxvnWXJbFu%iAUki3b?ic?hL~*J{WUB&gF8UB@Vb# zg=*NV4G#h4kpVm!l@Z|SAgzjR_D~4x_FiX5 z;k+TiVi0`l+#8LAWj1OHI#ULp`wnc?R@g^cRZE1{?L{k)4VLgy=-u>L*Tt`Y^Si>k zSi1p_e&+3JczM^$aO4g5-+%MEr=CO?UMzfd<~N1^!1uTh?u2`v?Na|(_)Fov{LWWz zyY0j?`|dbHIcs4Ha{xCDnKoGJhN&+Eu4n`Y8KX@r3pAI0hh+$tQfqu0xk@}r9s%{h1*?lwF~ZWz;zC| zN!_EOjh5XOv_aY>p`8$}#flS9oE?5Y>QLkR<0u{%>cbt1(x;=Gti|Y-0qY4>S4Yc2 zHZB_Cic@mVlZJIgK9Vcy61nf17uM!vwI$A*`xTcy=c`JlrBvK80(2Dq&+pzXT)1z` z2VeaB`7hr+vvAj*g^PCXS+M2FgE!p#=;MrUM&Uo7D?sqVo--cyiq9T?|C5J)KjDgP z^B3&gK4tRMFd7?z=@8si29s{+cSF$) zS3BV%C!A@6v&j(Mq`;91Y*OG@3APDvHvVImvM6Zo0Qovt47<(%^Om>Ga1Q@2= zMHV6tiH3MDqHJ+|QbfP}j*;nI6PuuHv?5+blxDk)D;~UC^ZG+O=??HFrmxT?5j>7sQ-OA^%4hqo|`|kMVnY;EL z1U)@;#djNb5}8PC7Cs(?S?F}`B({#7J#*+QEda1QJ;Ozhvpt`&ZhGvd$Bp>?1a}-C zd%VUKBiv8$v6sG2xI_)Uq6zq1iX?H(2g#hGCtRur-Fja3~TbuXQn)Twigm(5Ki8jn{gjG>D) zV}*!HI;=GqqR!@Yh8T!-2n9<>(g7ArLvHy;R0##KlJRoHK8fD zt(d#_nnBi+&Y5@pX73N*-qxQJ?SUQ;Te4-}m}_qw9gI)8amMVQZl6+-8xWt^S~Y0- z_vg>rzhaUvP^aeZTHG8Rx8_RkiYHeM9C`b@cNTuV_?{J$h7Cp^n0-E|MUJkK07e&o9Fnko1R#F;G@LFZOj_SJ0})AylxEq&AMZoGi|TJ=(CSP z<%PB-FBZOhYSh-3c8>hTF*x?iuLi9@Lb&!%0O01}(FuY9naEmkhlsWda3Bi6k$BaZ|38fe!2gb#wR6zv+oC5`i2+YDoxK4x#b^wb4sW6C#6M)<)s0{@p5&eD>W`g3U=pAFd z09zOzoNH8x(RV3wfA{@+iq8@4eV-kh%-%G8&3&_?_>qD$+qaLNuxr)KGNEeWhCLIm z@4jX1N&NndHTTVoe#c{$k61DJie=*y+T)ga=QuSJ%$nM`j`M!cj3iZ{t2Z3;QQ? z$1fhc_4q3C{fn9K*CXFT>xHPpKcCYN*HB6dAxsKYRm&(B89W^Q%Amj644HH$Qs%Y4&QMuLwDh zbh8E9Gn2m&;Aav%CcTU4Mgjsagh^0e5`?cr$ct6tI&r6XOyoq-4l7_IWF&x%H3Y*z za^edBGmpZoXhJ*>O^7U+Naq@lNLs}=l|O}b!JSDJys(qBu!rp_FDAegIZW;pZa4)g z`9&g-%sE+Vjhk1vkhj11BKjDDybWE*o4Mop@u(|59uN4uo_Qt@#!D}#1Mg;TySWZ# zYoV(a?&u3g^_|`qjjD#(1au{!DFJ83pg#u17#tmi8_Ho@IZT(sJt5c@f{O!ih8K=- zLyv2e3oWw3C0LlUa0h@Px2Mcg77qY#Fc?fmym61m5eE@95{@vDNJEmWY-8oidBn#< zW~M9{3ivRJdffnF9+OIabV|)PHhy%9n$fx)-KcT%8+M*L1-<7~vL~5W|MsVn_Tr|j zCx#K9#abf|qp-1=NqKs?J>l$Z``ynyT*!A{yZAUn-(Pg>`!~#}TYVk${3(BaHeXk(fA!l+Lk@LbNrZFx|=7jdIc&E9)aOkmNw*eJn`zPuEK{43MXOT23U4*-1~d> zeR$u*vG;xcgDttev<(HCUDz+ngAcK+P4SD$sz?=nxv<9qmQfsGgxL zR?rM#v48>rS0!{hwMd3TU8$wV(D;tu~At_GrZrg{y9 z2&NjMd}tCb)#yp}OTnT^r#(9J@#Ad6or@R#c-_#UYks`&xdm)jVc5XyCiIDqSw3=9 z+o)*WNPo8qu>zlT`v47$n8!l$+=ihbh+#jqM+_LPRS&QypNd2w5dj5ta(gPIK zWPGCFsLa?Pu!U`(*v{Knn*#`3P&CVUX3sT}yKT?E(MTMvw5@uxN54s13J&I+)lqxG z?M++}oV&1&?}B}+21IQfb3hOpvg<~%q#zMnf&jI0eK9pxWK>xaDmfO(C~4vZ6CIUP zrwJLKK7|cF&DiTlqKPS26mC2G!V8Cg@eAgGJy0n8a}UXNFI>rdinaVC7@z68qXM>B zH(Jq5E414|Wp#D1uN;%4a%}vCgD6-NGRaab==4aIAZI1Akf?yIr%JSBWBe-(KaWSV zsEac}a=eOsjrp{3`O}-S-&@go(DA#WOU5SHA@{c}**mqO%hGrHxbaJemv{NDd2GXo z$}4Zm1s;!#+cfj;*|V?ccyJb4lmFd}ZBwfoayzFXpW(48!!r=VnpH<;{Imwx+%T#E z&8&j6B5;WdF0jGt%y5moT}BIJxX!fGgiN&?L%Tz0CKmr404N>%V=xwLs_h74{kJ+~ z!Wvb1$bpRm(5kDuMVA&PMdFYt75I=iTl)7;UFPFzZe}jWxc1$P_U6)#+WQyGd+_>} zp&Ng;e9f^NhxK1|X!D#gLzYbF(`Vw6!B<>ADOo#tId^ zMa1nQ4{US5#R}YH*<(S=Ebvxr`v8B({(4wnulBz#45x)*JPgBlDB>Mq6{^XQAj=>U za&Z)?dWRrIo<679M3)RgqdJXT@34B2bk`|$c%wX&gA4wV>mc~gFFX0&aub=s~poE$bke=;-Lg90q?|D z02?KeCL^IL35t>r3v9#$1(!pT94@T#n|N&Ib#W|!5)s0uFh|jxGpF!~`Rd4AWAVFF z&okk$K*9>JjY{MkeHG?ZES{88HajF1b0o?{m?%s+m|CU^Ycf2;wx7PCpsxNU{N)=H zS1L}`Y+^at;!v)KBMZmb_WWwh`1>Vm{J~`3e(}P85YNDU`1zCg`JEsFYQgMGJ*ZV< z0WOvZ2OvnO2^2^K5^}w(wi3u;8OgwvT-g`y?|Yyx>f5&>k~DWSHJuenSu&LPTsw(N z)QLRP6EP-W387{xE?V1#KNJZEqyv-YvYg+}xz_8{24}0GN5Y=(@KQs64vaoyaJ{3jKMFCMz@%gO3N`7emvQ{bmdbU?1 z`ozWcY;L&t_huauqFN`m$M>1XxK7Itg91d5nF#|2wWQr!(C$rXnnF_ybW{LM3!uX# zI+9UGM59I|Vp+txh|LjF#|TOyED_EKDIy{&G@?Zi1=S!Cjo=Co4mI<;rQxAEW8K|S z?O~RNW@G*DHqp9cA#R)lqFQ<8Z@2F9!y(y^{3dVyTTc%hUiJ4mxzCklG}$)r*yx30 z;1u-akSBlbq$Sx)^12OQb7cOafBbB4T=twn;qUgE`pbzGzb)$W^rN83d?XjbNE$$s zdnL5&VWLTT+MiK5!eVxsnb{oND3TbQ24)C~F$6V=Auw|FJX5fTJMku1c=x*DSw%O& z<}$qY)7sB!6Ly@PykcBTr`(kjzmy-UJz4un?Z>r8*LE)WRZ;P(ac#tRYUNhY5+fO$ z(Ar=y%5s8{b~I9Aw15stMk7`9fsuqdVJi|46BtN!F^sEGPz?2`(U)!=;-c&fqJS8ITBHEr4s+7u4j zlv>%8MmDAM;b3g9w6g^kny1iV3hfb;62wwv+FPd6C~eWAahMumHit)&FlSgo*t#$| ztZ^j&4x?&r9upqk%o++4DP~KvP)0gGk$cDN-dXXE(ACD@FSfsE-CgA}PV#4siY1@K$qROT4gcaIH)rw!B|?LkmD zo)yBao#pK9m$vNK!7{e9piAdKS9;RdwbE%OlrE8wgg^<@;B8O?2_Gx*@t~-P{5Jq; z=8hdGZ{D7#usyRAdIi8qFGzwc07wo(0Fr_vz*$0q41s}>L6Rd#f;~o%lOLCOtBKC3 zV>*dqyZ`70-@bdSJ?jP?&pZ4}*;Wd0YwHQe0CkVNXTGk91&=}eL=fJJ256_C&QyNt z%i8=++qbiJU)MHf&8lkW0Jy%%y3{ro`p4&?jN;%F*KT~ec9Xn` z>Z#4q%)pGzgK5(*rKe%?uDO^CQfu60g0sb>cy?5ba~6(saYFauFgXJFLyuHS4g9?~ z7;!^@!vH5>W27aJ1_mn3J-Uk-oxU)sOD~`LJPC2OgdG?#iKAs}4Mzy*Q^U>ppQ&*6?TYdv=}pjQr&A z=iZz5lG@F{?`M6RSoz$Xq2&Nxy{FGaD^v z#AaI;S(!e9*e$Tfv8&BY=18JCRi>I_j7BA>sb}f%fobf4J>1=JfB`!`LedtHJu@~I zcj+{xrlR(<9Xl>ATGTqFAo0BXP&+tp44RkQt9ArS8#|?ET8tGXT`ivnNjHQ2_P-KV zS9YdPb)pN~(}mHrYsi2Q*1hS#rmT0PL5hTX4(ddYHOfI1L&~>1fZf{ITd*&jA{)FFTC| z1?;3fcN^Q8|8wx=!_D93z3+P2KoO-eo+Bq8Y z|HqE7@VlMX2>v?1GgJx<4QkoM5UGJ}BZs3&Oh7=OGscJuL?A8@xRPnxBDb1!;;7ft zCU&sxrB;XIa4l;qrDd{o3pTX zGwt4OV2riaB0uCzoss>-^Nr<>t7*oF+#&e>dm7Bvrl3Q;$^Rx)Oz%mj^`NsmEb72! zwO!bj&5WcABj}S(n&+fhPFmT5&I+M3o6>v>9d4mdGpZPD5v_Yi5K zver`T)*bH)iwF%hM39coj;v#EGikxk5Q?O9YZ*$yEMY7xEVgBAuoT*jZx36B2D`V1 z+PG^k!fMabJr5FkYI^gf_421+G|IC}4EBDa&Tn>Wo3qyKCC`>p>KnN5u^ta5w+>C7 z{ouHD)8Kqtll$18pG=as$UR0+@0U=J7Ts*f((?S}V`AbE}pw=tZB$5M2pidGQX}?s5QU?Zc7J!ij!x6jr(`-^rY$0cjKeJgk(yihg4!fB z0Oj1dUNof+RR*!i+FcS^+$E7S0>k@EUQ;rsW=fBy(#tw~WZyCUCe7+&{a^ZF*Yc8y zgJL^n7M4toVaqR-#$*jj>=4p%^uy_F8ULa+%#HETYBTKrdXJ=Z1e^?v3Vt_{$&vi^ zc_v9rF%zm#fKKWlbSR%V^hrv~D9xpmGP6u1oF`1%NWp3EK78PT2fn&nvbZDh_V&bk z1N?&>o#8~Kt+ev^+Kzi`@B0hwYA^*Ebj;u|(2tXCNHNm3M%n~6AWhSn(xxG;pxdozBzB%qIh3xJX|zm3 zLu3{TG71TGgoMf=YfvhaxIA8EbUULf7@frEC`P+88qFx16fz@Zeu&g9WJCxHi40K{ z(v(Gu|n+VlEpD${)M)Po+e) zZl%}r`@Ws+e7Dm_>Td6B7o%a<_pW{Y#oF^0Re~*=T6=L@?b|PJa0Kh2(PmZm@jw4+ zYHSUnvfggl%Ew45=-Sh2PVI1U9c+g>XU>bV_U_xu!p>eAA3Z9wpDk{BBE$bP;98f9 z`@x?lWHxdbh{+mgCnlRE&>m>!Tu`9hNCO;thrvj7+_D=ShR8s>BQVe&4BJMBq7oBf zl58|lBW#~d4)Bs-qcPB7H{mYOKuKVoT=^RZ_;^6OA+cwVg?|EsqDXN2WHv)ESUlKl zMmUecugJ8bnqcrF9dho6AGGUf4s2Wd{sH=K?S%Kfa5Og>+R~ucYqM#G_$-H|Z|z)` z#lmX0hqkvVeQEZke|h&;CGiQ(^^rO`NTzC}lWYdWh1SbX*wTU+B}qllk?wVd& z6DJ`+KzH|c^C4?z{-#iPR|?xX{3jii1%yin>$9ZWrxvx%jU*(R|%MS(NfDaC&lh{SeZa|2nlCz@$V<<(H*cfaMq((-l&; zbe&-f*jF?_=|P9PDoNlUAtAtI*RK3N*c2$?2>Z7bK>kh0rB)F0J#9^ea*Hu%U;2QS)6>?rkn>T@nLx83&bXCPV!^B!-`NwNNJom2 z8+l4@&)#H-X}2@WC3}hM=BFNN@Yh`F~&h}wK&-w*VfY_=qlc?@M`G zli&eOEg`L&>6^VCW^P{Td??%;zQ2_k@xM{aTOW(A_FS(;zt;AJn2*}^X+NXG_PD3x zp6>W;rx*Cud3x6g-DY*K=2ws8Ucc-;JO1JO_VKIl0|~`<@%8H)xW05>iI!`=#wQ-@ zH?!etxEijX=(YR@xi&Uj4c8rb?d^B0--U*&;cB=Vu7<1OYPcG%hO6OfxEij8>sqcP zUBh+9UP{B&aNX6{AaTu4`eeX;111c3Gdc1mui44J9@uf<$&{FsU!}HAosfDl?eVmQ zY0J}Ar>#$WF>OoQj6`%E|;qvg3;V+MvGvfV`i$`wC z4$Pi3DrwZtQQwUoa2u{MKjCZ2=vkxZk6t;tdi0jje;)n!(I1XJJ^IoErU#ncWmnq= zdOVQ)z=(#c;cB=Vu7>MQzX<-OBRvjw27Qd^Nfwbvglj3--Cgg49S^pHI9vrJf=Cb^ z0pXnQiFPm7RK|394=}t0{ zACCM8hKgPQeiVAD6wL1kMjfI~(mWuG1tQdl!##h=+2Lzz%~lBV*t{@;%LUp_-HM8eSzLZ5{H397O+f|p1V zdqnWER~j8@!gdQ@59tpJK7izU(ilh_J16)+vPh>FyrRmwW$xb)rHXxp;3?5Lo)em z;g!A-_EF;-dxbZ}yhD+1hIMIWhIyrF;l)Q_dQF~fkuO5N9r7qogID_vUUiwg!cFeJ zyg;0!6Nw`oVYWH}qY6kKxPwUvDF&(_vq&k1^ao!V@Z8RU@Ip+{9%2$n5nN7^31O2U ze+4N=Umm!5ka{}UxtLOcD+PQLAT*E6fRG_rdNI`Nt|uKzp9N)4gL0fuZV8lGNG1Y5 z5%^MwEA!Oj^t3(>(9KDrJihKE7Tb^mC6q#1C)Aq*_3$z#k|`o>8u$tzl*dkkHkNz( z#N|+k{V2L(d-72hPSOwj6Cj3%=Aa~e`>2&uB6{k?TBbqlMC>p3CH+Qg+(i1~_9w!JZb9;V16Q=v{S>0Gp(qDAhsP7E)H5H7z`&j{A_gU44u zD+(cJIY=Q9Q))eO_aX<|#5EunYvgU7g1yVXA?@)`*~_O^u0xYR9z|G>lSD)MLhM0_ zM{aG%2$WU1r$61HT&@FkB@Kp_{kVD_gw&wxcZ;rHt_O9al!Tfv1JV|QJoq@~!&NBy z)DC4|0_`cp8Zt1Z07AGl%W-Umq9&DLOd-ypVPMzwoy)8v>~(s;h+eMYyx-HHEv48? zt-tx$-U=MQQ7B_4&c<0NQ%wdHo^f@j=3ynMU0nLSO?lY9TufIg#y=M4c`?>gimlf2 zP86l&34acjU5Y)J3aKhEj^~=|il?U#AHf0kd5VyWhV*TGbhZA{ zwKm+SY`tfKTR+QC_ucyD*8Tc=;I94hcJ=ns0p1g>FBMpy8ym}T_RK>4;omDLM#RXu zVox>Q%kj}FZRVEv_2SF2-jWO9(t6?$+vqWRB+Xhe42&t7m|>wq!sv5qN- zTX{IDIS|GrGzn6;<2r~kAM``XHe&YHp+&hzV(!*l&pLFCxbF=0DM?Y`Pt=GMw9uV;MZhI(dBx!2A>8*fbu@qq;5U;$Fm<`EIbm*!M>M>HOGw~xfn7H zWTVZI9G}&Z&uC3&qTOx0^4Jo-j`^(U&M={Ynv8OBl_~PUnalflmGX!aHNFDZQ!RD< z=oagTZf@E8=jKw=@YK8C?sk>=?Ci8Y)U8DBG35MITyYAat!{swmxgfM(nhEpN~>F8 zwN_8Sw&aPGYMN&(y|F|a!wzD^%W;+zdD`Hf*S@-R?Naq#;k90RuQa|oT_=edD95Qc zIF9bsfbRy2g=F%)T9Au2ud_}rlOe@KueGD%DtN3Re=hdGz2f!qLGc_Yu>=vP{{6MK z|8uW0b@D0jtP}NNif^uR#0qV!CWwC5zvkv#VMNP3y)Q>CEymKdS){GJ-YfczYJhuv zOCbX=b_huZ-$+<3GchC;!uY;56JkbyF9|}EAfz><7$)Ld<4BFfbtnbW4aYT3DmF6qEkr-c>O6y;uu=}IrhM-RyjsED%!m;A9v0^MUu^;}mjzmoy zfT;za{CvYo#HxncV-pjIR}>%msHFu&2#oIDJv~0%c&?VDQ@pfEGlwl7EUUtD0gP& zmFJaB&&zG^RFssw31xXRoI^_UinDm0^qg5G(<+=rC6fv#IwzKt&MM=1oV@tB&dwnGG_(vM{1Tcy>Mb)ad}>EXL(+pGjHmIyxiQp zTxXFM>deh6pIBB{$~%a4hver&>zwVJ znI#hni=7#T6AMa;a>`?!Lvt$13MUrkIEUq6AIqH`d-mw$sli!3t+ceL5PFwiQe4sA zIjUrub85~k=QQYN1(zrfc2<-)Czj>qROH1va|_E$LCUetoZ?((X;~q}PJ|?R;O3M& zOY_R67FJY1aT8{tG~F_*fCvzMnVZSyHN zs3`5#p+jDA`x%8(3QO~H3v=3+luhcueH|d>gF^A!!0@74l=Fu1V(Oo%_06#TB1Jk+ zae#|?atZW_izaV+UJ=Yb6u0kO=A!hO&5AOV4^BC1KlB?!kO#RYmF0kBa$}wOWiT^A zdnOj-lud#@a~XrIU_>Fivt$Cy$YL&(97Geho?Uw{c!P4v%S&M9aQ(23wSy$MHZLhkDaFXB1Wx@L(@>ixuj|Tkno8Dg-^%>gHvY zX@Ckf;3VQbjCD>e$t}$1ZXODxbQ*N1yZ|RG6g^=YpS~L~7E2BxmcyVc>5a>l~Syl`>>_mJ?EBCT3)f zat=v$CT5Is4ob~Piggai9-28|*f8giOlRugq3NjuAS^YbfBNvG)Qo}7evmI?2rNFS zFcYEBtRYTbp(rYKz%X9e-~pNaQ^1?pFEu?iYgDW=IW;STmzfNOCOU^EW@e@KAD*6= z=^Q#dbLfy^1EBgOC^jQCBRLal88CQ2Mpk>M6~deYMu5jTEG02L9ji(l4z16`_Vph! zbW~>Qz?3X!%8>M=0T9@40JJQzU-|&8D(F=I^u*M`vCgE#!HEM0V6GuhN+u=~ts9v# z0E3{uMEL8Ul{zGYcccH1jI2!X#zHSMvpiWxrVbkr>rBi{9mWNcoH+!F=i-DML$DCY zmoY#qg^SwhGa`_L`-cx3P}i2E0g35Q)-aygJ8^s1*OcHHiJw=B@hmcd%%TdMI3|Pt zb384%giL%z4nHC?G5+ZZ~wh^?Rja# z{r0=_e!Dh$4fos|?zuPIb8ook?vLgT_uYN(ySt^+aPPh0-h0En_dD#~yVnUe2iFL< z|06un=J}j(^L);*c#4&q%N^xG@<91MuzN!496&z4PuK9OfNrMGO9b(gpKr_XEihh& zcz>J_S8Gy5>N6Bl7yvn}MCWo5Gk@!PF#Erjtfw4BNWx*=4)8m*o8E5Y0z>+`Y7;Zl zGvneQl~xYnzs$=XV+#la1S4!Qq3jv<3nH=AY&G!DvFCta!`1-*OSTsHU$Ha5f5pxN z|6j>UsAQ9DM3U@MGVlYXLBOX=j{yIu^eAD{W71jRzm+Zme@UtZ-X*z+Bon!uP`N^` z0DhW03;5acY~bg}&jP<({u%Hqk-GT3+>kWLoK8aBM z06o;JPuC9yK0`kY_$>W!;79030-vqV27Z+O0pQ2zD}bM-p9cJN{S4q|>YpM^U#XuD z`~v+#;1>l{6B_WFfZq@)peEo?z;81o5@zUUm`fzX!v^S`;ZegH;D2d24g9|iXMz7V z5NZh=6*z-PfisPPgc^g43XzPeF&g+b#?HWZG5!|#4aS#%-)#Id@VkwBfPc;SSK!|? z{*5r>TgK0UKWY3D!cQB&0sgG<9Ps}!{s;K;Mv%Pmzs3u|e+PpnnW*ViLQQ*2uLHl& z^fmC`n9dSr`c|YHn%{C(PW^97QB^ zYjYn6>1*x_e1iG!z`t!i3H%r4Q;_CM^H&h^wfP$eIct#!wa6BkNEV$%N0>!#nGGRx zEOQ`at_8-A~PqIU=l8T66{o(ke0$H z<5xUdk%)<<<)!2>+Ml3(8twDkrff=HSur)C9f5W{+F589p*;`nMQATWy9(_!{NWy5 zkM?G?ccJ|z+V7!#0_{`WW(4hiXpca9^3*9)r?5xRu0(qY+Er+;MY{&=O=xdJdl%Y! z5rb_YO~-X`K_J=2AhRYQn-CbQP#BlyKSH?s|9k4Ub>K2+r3~EFeKM{q^~F%oEdJj< z25^HwgG`_eD(EHw-D&~a6b_mJ+CU;n6zoT%;S}1I#DFHXgH^NxzG>fybS7O$SJI7i zCp}0{I2-gP@#MZMlzOdUjQ?lSHMtg;G2iFTqd_!;wxzvj7R{v?>uq2kna#;;KDm9ieq)KU*bV?49Q{?G#rCcS~$ZyDpbp~B+Kz2Yuz|4Si zh7iLN!%qAnS)4J!IMg`aSZaLCSZ&;De9shON-<@d3QSd|8q+pFm_w!$rgMs3X{{SjaCzNxlUTv&Ksom6Mb%dI)PFE|{Dz!%4rtVb_sVCHP zX1%$wIm+D4oNOLp&NojtSDLHLHRf&Rz2-ya6XtV((~T`rmTs10%Lq%pWxA!(Qe~;J zY_sgO9I~9SoFn`{qbL$1#C(?U|Gv`vfEypa?d$)~IP^0Z8=LlP`WJQx_k>qU(4+7A zc=>^tw_gwbOkcmjgnH+$i3YCs^~W9a^>?!P`n&r1Q&#!<(-!;s2faZ8nU_ENO%g~+ zU3&_9kwD4IU-X_=ez=Oy`T9#A^YuTp2K{=FQwYqMwlFJtX|XfL2zR=0mkIYp;qDRc zQ7l0R`r3r>yF_s!{4>p+DGS#r+<4(;*Pm}@u5cd{?i%547w*9;FfmGmRtR^6aJLHgV7;kN zXzm=na7Fl>5OiJ6H5$Zd;$ZMCbPN^B?n_F;84TzXTZQ zAVTI(wiWJZ;V$zn)y zP9$qd4cSDtkzHgjd5at(N686tTFYH2+F2>atujNnVw@|*IL~(oSG0Zp0^tfhUXUi- zwZc8CxeG=3LQ&qr3!1w~^kUHl;R?NcT7*BnQF9lI`LTGMaK-#ud>(t>#_z8)QE@OpcS21uWdiLxY&0RiOxT0r2ix94m*oqY4 z3dyY$5~~vFs)VF|-d?yO-ztl6#SC2~`tgg|!ac9Kt4oC|H2OI)v!6SvxogDySaZ-< z8`m87)##ep=r^Hewy#I)v{W_16?62r0xy4iP`CmgHVFLLut>O~*BgY?UPutGXx$4n zm(QmcM2}uf67F?C{0 zo8%xlLOvm<$XRlc%G5+1G?YfrSlWfg(yPYwx-zkC}&s^B^EQVdr8rb)2gq_b$ z*!#Q*yPqSl|2aj^(u=SMGQln=6!t-}uoH@hy->PngJ}659?{$_v6}lwfu?^HE5=sQ z<390;l zDDPdHaJOmhq4Apg4^jU=MEH9XeCO>y4ZidC$ap`0nV)}=*WL$YcVwOK-tL1YzW$@d zm(Rox#aMqR#^l2{eDi#G=JGtp#Ekn$;Oj>fn5LdR*GEDFKN1o;F4l(QBL29L*zubB z=HhXY>tm7YW0C9QQeQcIyvn!DAMdWOv`@q+|Er&H1#X>?eMjMhx76V}G4Ff)Px$UY zPb~8DFY)uQ@bj!KflG#-`LL|>gR9i=a2C7NBQ}q z{rqkH{IP!i_J002KYu4be-}T0H$Q(5KYuSje}bPs+0UQuJ6}G{^!0z?dzPhNuJZMt zp6lyB!~FcEzW#60eEnxn`})82L(%^bKYy{W|9qUU|G$TQ{og&}>%SE3i!LsMpFh{n z|B6q3MnZl4tbnjroA6WpYhB)$2|N9@q`}-m<5~*zK63BhWGPGWdY_kbGi5cHJJ+~+ z+=4O+NQ1d9!)MoJVFhq)tzVXW4*Jf(yH)1iy>xB9iE_d`+){GS8`oH%3XkuG=d5dM zJz)jfb!~a>R%v_p^2X+lHvekR*Of@_dBgkYs`2<8Zyt`@s9?+TjV`ag*gHq3pK$uCA!tb$jKlby6gE zt#PlduJ=44%Wty8rP}TvE-$&DwCc3bb3SmLCU>m?$({CF>)yKIvcy{9N*p=l)fNt>I}rgZX?HVtRl8#h0NlURef zb>>#x3urK!alLan*I>MjYqIy<6PMl{_C2|tA-CAvji=sQCA;f6U)3+ChG!A?zPTOe ziXYp!#$P$iwbQkeG??3N#C>BNm4-dajTt_Z8_e~Yn}1&M(=|VhCkwa6{9yOoTaa6A zs$EI^x>B@jLp_1nHSQVV+NIH*ZCvl7?P=%jZL#Y$;ocpxd(F>( zTUzY;_!`sw(~JKL`H36X$C}*glU`kzf2`Yotuk*gx6{;}Wzn~TG?;5LxAOVyPtkn; zy}BRQxZd?&sYE!Qdg{(Re(ycLUtj3$G0+2kMsx2D?ub*`oxeZf=63GyT+hIjEO_p| z=ylS@|3%Iq-yE4w?_+`hHK^=H>o*Qc&ek^i)w_)on#UtfLUKfZDO`MPub(0W(U zpm*1AT<=3`?&*6_;=Ruc?i|ExbneK~&|<63Y7d2Z2;zTrH3bH+6TCGw(cM!j(w zvc8DexVFw$mqMck^Zlm2dHd5fH+Cj-^SApev-!Z7wtJ~eNS8K!hB=hdFqS%>6sgOw%uTEMDObS+--P2;NCT^1NDUIud|F9 z+D@##vhE#I_a7DRy=Ps28{QeensI&NC8-0h)76)C>#RHM*6c8D z{r{DMNkT+!hpqh_XuPWi+dp2kI{5nv;Q72#-GH7zod-PYLX!5rjIekda_=5x0V z(yMm8gEFjk?F9DB!r=~mVT%nZ?(t8H9?U~EhG?2?P8diAPA?}ysHADD3 z>%W%r2HJ72oGXHHwCjJb+V{VQ+$eWz*FsV1Ra$WenVZq+9}1W5mh-zQ5g_Gppj zdREZO@6x;FcGL2^tM_;Avm>ssxVn0;z(08H*SJ>PiN<@6Lbw+E*eG)koh$rC`g?cB z*O1}{%&onT@?#mFR@cepatQ@{kvqpNljEH(JKP!jVG8=I{qJh{u6vjF>1KNA`oL@d zTaB&y6eU+(cYlSO+K084T>BdQgPdaBWy6ja*N11gEzV?owGew`uM_!r-~X$_u$p>G zJaGMcS=XUD9fS5<;a=38X53nbXIHJw_?t2y%bl(r+REXT{HnNpSdAz42Ik4-b=Nl%wP!?| zu2B}eomVRB<_*k{YWFj~SA(4RU4m!u{z^5^Cv0(g_3HhNoM(UV`**kA zxLfGe_GiRcX*2i=JH1Rk#QrPTPB_n|DHXv=S+6fnsND9++EM3uh7~b ze_wxB-AB2qxt6`|U2qTT$A8B7eY~&vXONE3WZOc4rxUsw4Kr;{Khs1FpVIn$^C(Te;u7 zJ7sshrap<`^I1af@weP=#JK;PpKF@?%u77?S%kJ8z!R}{68^kFhHm42zKxReJnQDq zze(L)`U7HyX*=s5?4H~8KA*ka##hsPPZIT`(cO2i_f9a^_WsIG$#_43^k|{iDlFcq zIMDE3a6RT4pY>>OQ#BZGrC-^!~X7S=1Q_74d#2zRo=O4*c;!V@qE#&;a8Hb zU;xd6{qGx#71-~M_=Z{RZ~XqV>wq_p_K$ETyMg^(gAqo2NA%j?T^ujI#n-R{xJBk_ zUyu1|eRJm8zbDf0<&iq$I*#@=f8)`$N6Yb3Gp?nsf}8sW%ia1NNLQuTcit;+qMWcF zC(oT>e1D1fMt)&d}2T1pR-S^3Fe9nseNjD(7q`Ovj1@65B`fl=TWgvz3ZzRv%`PE?j1?xK5-S8X# z;J$S28@$_2;@1%&pVAoBn3=wcS9TJJ;OE zGnX6xw%ffqXT>+Rt~J-5o2>OF^WUk)mG0xaqF%c6*Z-87=eftfU-2iReJy;s>viJ( zT^^w+4gaThHRC$$^IKXwU0)IH-M&AOyZskZeq{60d;)#brs~R3H~V(qJ^THNbno9s z!QX1B`)#ku;y>vd*4cWEtDleWJYIz;OI?ZBJ;)zcsHS5t4N(Z2m5%w6;Ck2}NMo!|brGmPs6pI>MFod52u>%8`R z?)(>TNrSmobMO4_;*Vfl&-?uM!2$dd4*p6KKV{s^?`pgA+`Gng((8A%U%&G2YP-U2 z;66&&J%1mi?$?ps-vswtD{gkje6Q}fz4u;s+wU9SesgWVZ>-(>yuLYj&)@ru7w+OK z#8YY_5>beQM36S51L;h<7c$#61?j3#5q1TvBQifkmmCx0Y=BHPFgvWM&? z`^Yi!Ir)MzDpQ4;sg*XR&1ef6PGe{+ZAUxMPP8+vqA$}|>Fe|zdVn6HAJ7l!NAzR* zFZvbzmY$>kq5q}dF~TU*u>fXZfh>rbn8M5~m^Ee1SSV}3oGhG0uqf7s#jse`o^@ay zSr^uob!RgRw%2K)yf)Wt@117dF40Ci^}hmjmqzpP0CBktI8hbHD#}IO!-JT zu6(R~p`22_RK8KpD&H#SluJsj;!=r9Ri;X6fEuKlR7JI_cGaOaQA5;FHB4=;I@NHs zr5dHSR-@InYK$7Iwo}`y9n_9$XSIvkRqdvBS9_|x)ZS{mdY{@y?W-oJ{ndeLs+y(_ zQq$GJYKA&Q%~Xe}!_^V$NOhDtNi9$d)ye7NIt_IzyeQ z&QfQqbJV$n!T3sWQP2+sd=9uI(31!vgRZn8I?$I6L=QUCnFN5|bR`DRo$e$M^rt5Y z0v+l_jG#yH#00vO3S|t3O9h=8Ma-aAqlpD{YYgyQzpS8Pzalo&GAroWABi1w?N3nW zHn}343FzVH5c>sOO+gle z1I&{^5(jf7h;)ScVj`Vj&L|`r=8c(jhPe|=y1@KtO1i=vYDT)jJPIY=fn7IQXJcXr@44A>Gz^Ab^G6ZJwATktYb2_;nX7pf^ z39~wb41<|Hgk-_&9!iGu84l@(v0-Ec%=0WV66X4F2pPdfkZhRqBgrV3_t|7L%>7Xi zKAMdt4*&){K*j(Lj3HwI3&xUhfCuBqgMbMSlJS5G<4F!+Lk^h$_>fB`0!HMKT)>HZ zk_T8(K=J`ECX-2k8B<6B;6@QC1nihfCIfyHlPQ29C8P*&q?AkrEGZ|&fG5*Q31G?$ zQVO^-lRO02Qc21HUlx#ZwwNs@6@W9-2*;Y~gyYRZG6OJY37HAFvx3Y5>{&%-1OBWg z%>aYekU4-uYsp-|qF<4R0gs+1j{qk9hCB+m^b+KKRe2Rc_9%OS2ULO*jw{E>V}MN` zLz*v?FQJ@olygwdC6$ne0h=W92w+nHnF06|L>>o>GLa_$rxf6=s+Bwmcx5N^0J9tr z(nM_nAt7oAc?$3=lvDzSg^~GyW6j9|z%nOU2zVAwY=CJkA$^n@1?gL>t;r(5w`d4& ztF{F`MvWo80qbJP(|~vF$YQ{}_T(ABy$)mvU|&bFl;a;+1_;=dJPRn;jVuQw>`s0L zXxNji07UFXRst&aCRKop@le8j>V4$rfRKHlS9bC=HAK+?1w!q~iTj64e&f$p8EfJktAquxbRE*mr(siUAA%r7rTSV9x#83?@TOmru()08@X@|(z9+9yF zVqzR(VkF{VN5nx50XriCc3~D~0qnCfD`~@Q%mxT(XLdk92Xg=dHfD_h`#4JWVog{R zKst_<@hpUe0MgYVW*-*D!T|4@v*v(z96b{eJzKMutR#Ni)4|2cN|HR zS!>oB@GhD~LtPwIQ&?Np7Eq64Ycgxc+5z@;W8J{!h&c%HF&&X{Fd}0HqTvuk!=Z?S z_ahEwA_5LW1k6GN9F7P$0ugW|VBh_KaUAtVA<}6W_W)wt7{s`-h;ic(;~qqe8;=;5 zgBUjfF>WH8z$O5?O=J@R-8kOmBi>CyyenXn*d#zVj(U?>AuANvHwCe;2(fP}VqY<0 zUkPGgDPrG)>>>6LAYBffwtDV$NfNq`D&VZO*08gJqJY9lFx>D_-_J9zMr&WNb@xXHoU4a<- zEMn--5kpt0iE1K*a3pXqSc#f(|)f7P0XAxDGBC0MyR9%Uv`U^zW)rhLk zszcSGfV1}lwmys4x<<_cY<(86bp+Hh5)k%THCxRFgylHt_x9hJ#@W^ML$44h&c2a`eCG* zeuRD`3D=L(KR{X;HyB?cQO55~gtS*iD&t5Ob4zm+i8uE(_a%wux6SX8e&!?QlO)9= zTVyiQGRHE9WLs8RR+3THO6$)6OBrd*{>{!n-7Tb+gh{QXZbUCVE}bQfbHBC3oH9cWk zfV9N40%^5rov8+BqiGA$cGE7TJ*GEIZv!1N9YHz{Jg3iq=XBb1)^q{38G6N}*pwzp zb8sWTZL7o~byMP%eoBgxVLGd1LAue(c%*KSuAkDx^n{X+v_vW5q?9Q$l}D6$$|7YM zQWd1-v<7%i>wyQVYp=2asm{NNld{#c4rvGQoL+$wGN(5M?Kka0dQZ?%A494H|6=ejS63meg|wWi)fbUALma1V>Q1EH>OQ2m)PqQeAwQ>M>IwCf zGEe4LejX^A-$=?P^SjK_F$OQ2}A!rWec%-lsWnR_Ws z%n9Ho!x(onrz`!;neMSPXG58s#tF(boi$HZh`Cf*hBO_@;xrd{PEP<2WO~B90BMPN z2`6Qdc?D9Hc{L|b`gM?gomYP0hrBgNOUxTNnYWnMA#GPSA?*U5(;nb~>U72Y2GHAH z{zIJ1M|J#bV-Qv4Njc6H9ZX2uoX} zIMXhqZW<~3E%Ac-2}%)!eoF?V98dq$sJ#0$>&t3!q9>t3VFm9URB3A+Tu=F@0ur0!4v87W}cmcLM6+PH*mFI>O04->iMq3Qit> z68Mwq^IOx9Hd{6zy=5JWbXY0kWF4U%L>i+J#7#gtY+lXDS^(idbvR}%=47p~&bB_L z%!8GGv2{7nD(hnRTy~Rnt)OZ_FACZ$Xq%v&8gUG;?zZl;z6I_F{nufaW!ZmmtW1=%dN#^8q9EM9sxo>Lt*+FEk5MXOQDR*rSH_UZ^*7j>Jhmo34T zY)iLg+Okb=*v0|nDtkG(=YnmrdfrxQmff!D3EOm}CAPVoU@gc;S`TXmP~CcFdxDd) z$g}{d3V0yf0^1T!>S5aoPEgirq$Rd>oNP79GEP>&N=~+orgcbLluexKFu+>O$^4mZ zJ16B8+b$&cdg74<=w*>|#*f}pYus=9%y+JtTiQ;W zqpeY1^Jo*yqYbvRAgc?eH{A2UEk8Rk9pPl!W7nJBw(Hfkb`y}z-bCGr)Lh-iN!@0T zK-z6@i}aQ~4oS$x-c3D-6t9d&>IXch6ySmU*Bv|Hk=>0;8Fl@)XF+?ilrwd5vyVpd z!%|QB@sOTVK9t9)$g~crOxc7qQ!&{evCp$F0(Y5xp7n(3ti4Jh_BHnPoY21k{F}hP ziTmwaO&9DtOiS#qaI)`J;*j1HwBIJ%-?LflN5TEXX7Os*+nhY@g8cv|j~}oG>72UC zeo>KIVmf3u0ofc*(^*FppKH)oAV)0tJHh^_ z%D$eHqX+o=fPX#sf$H{2jwDWX`sqmHblHBxgU5i+KL)t=h*?tuA-G$jNlbv6+)&o05;T(~*R<&9ne%r)dS! zZeC z9$-hK?LeCdyFJ>F#&w(w;yi!82DFvi`dGA^a9fA5*g~RPhiR%XbxOm9#A#b4!GNB(~`mNF* zx$PQ2C<~D{LwH-)LM{_|KeubcA!M!mJn$!LZOE?#yITG^q|dG$3wB$*4(t`KXb7Jx zLustY^Q&UNdBCcQ2Pa@=iz5uGNzBlzQ{aQ7s*SO*YgnFJDBFb*t_SE&%^Ll z$R7p!Q~fU?_CcP8h3NVLzn*l(G;d+Zw^-NXyw|R!X!Fw6>PGS2>9|aK>zaf8H0E;Y zhj7Wr#F7{K|T*-?32J3$Z%GcQe2NC|1!#omwXKC3X!=U z9=&u3M}h0-N#tYY|H1J};c4_^u~jRv%GL=ir}KGku(YN-SH&~e1VZPdxtt{^BaL&nkMJegU$7sqHkmNOsi7QD^! zqo@^qQ7if)ACG)Irf-6p&;(1{%WYjAYTY5!w@N;y`gJ&_N0671pNkr@1~tD6*8$f) zENwByCSa@%V;iBIx8dk>do9LRa~tNxLDY~Q$gjuPmdKYv-V*_1vDbX`pXMcl-G+yt z9fsw+&PyQw2rz(sPX8u^F*SC*q-{mQ>Rof9C=JFW<{KGh= zJUqd*2QkEg8f(Fj_b^u}wuejgOu!hldE2^U+a7o6xd!riM?cr|5q!MXg}gRKeD0s* zQle1;0Y(5H#PyjbyFTDH*Br1zz{ylHojhfc5xg##BmJf!f#O1 zK0xVB#guI@&1sZs0&0<_+fLpp=_Rm#Ukm8Oa@c&%U-~t+e*zDYK!0S5%ZPJ497p*} zlmH)7sdf<`3qI0~=VeuZrtj0ea z<2YCMGi=+>P`mz(Bl{ZmZY=hW+jjX+TyDDVh%M|Ldg)vraZCB7Yz9&2V(yMf(e!7chfZDz~BKX!A7@O8YJH(TEJMp#%nC z?O&mG9p$_%VR#Mlf5r4Iu)i?BXg7}M)UL-g`bQB_TOdmCRsI;F=rI>xch1(1#I>n{ zV?u2$q&zO8^!N(PQgFV^K^!|)`v<p^4|I3_B{!ZXe z6YfxOM*xihngCP)R1D!2;LZkmOi(2fWs604CH954$Dernv^FjWS_QNgs2ajx^w?(L z(~zieHGZ3icgJb8Q-tjXejm7RT_L}(-<^lBgO{cCuZMgOQR;-9#7}#t-~4L(efE%! zYuE(WWPJ00x5OsW_u<+PGYe*+zKg!A`mK6S{jYk#+}Yg4+}+&6+}j+Fd0@9sCWt&7 z{i39(Nks=L+Q zq?x&?Ih2H&zc8O7PK(Z>BQ32ftyQ3RFhiwfKrlMe8gSPG!QM*R1nyR#9m3`GiWd*F zRRZLZ0C}YMfB@AcKo|*-M>-?uoNzB{Bm?Tm20@&M*)2P~{II@(W@|1WkPJv90|LpN zPo%@;@tUOtT)`7B1t-ob1q>1Ojvss!#r9?UWD1Y zi|ix&$q{k_(BcA>sY;vBmNb@jqbYPW&85Y3F0G`?=xSO`H_`3%4SIw~Y&YA--eL#Y zVRj6bf%n)^_6a))+zECH!oCJ>4|{{1W`}^=5AmO{qrh!u+t@L70=Qiee~29iZVg+{ z-ejAAdlBMy1LiWefGvUCtAVS6_`PgBa20Ges|NIB>TR>vFu-=W(vhBcag;sB%Zv(fSt)iP?4PrbFq`MQ_4Dpn=pc=~G3@eSy8naL~ zj*em5X#tEz1%zN(D8o1C7&-w$Rc6O(XoAwhm8^C-^Cso^?floyBbk z=d1KqZqrS8mPq3^l#qZnlquy06oH+Iwv(rn`UHgX_HdpZ#*j>YT7$ZH?VoX8_bjgq z`oIw-PwNG@1KUfV5gJg$cdG%RGVIrNGx_dcKCZ9i<={zRoQ~j0ax#Rc%W&Ff-|+h{ zQYrT9py)5(S=q40Z9H7o^ZrUpFwJMkm-5k+N=15&=Q0_`Wg;%|l?U`MMk8wN~>}B4htiV1G^|b+<)lt->{vpI#<2es5wVteIc4 zv+P^;A9fyA&Vf>jlq#i3gZRn`>t;_~Z&){z^#k=O`c!?Ieh{pmL-a%S_v?r8HB>(u zR?ioVFB*Sm+-Tfne98Eo@uKmP(PbjAl4dKTl+nrq${1KrTbU!wk+7D&V?JO$Xg*~A zhxt8NQ$H|&Xg+5C$o#SS6Z5C$&&;2jPr@2I2UgYj)&$bG`M%p^kv%e=k`}-)beSWML@80A00ZI~kRrg-ry`LW;_Vji8dW|?=;l;aY zw%1;NvETk(+rw+LO1SD3_RO$C;y$16>352KxHs+dqTOOof3Ti(-o(=!20HdV>FTE} zx_~YLS^;b8I$A?FYO4j^18eNt^bkElkJHcSX?m7kV1((JiND3kny}_HnMFWITNVdb zHx>_kKbFEWSQc%G+-O+&^7&hcu>Q?tkFa@c5v-F{xSFnK8?;phe6NUWFRZ|PmENGO z|~*kLy(3y5PSe0JLSHy$tO`XmjK_ zqA%mf7BGSLF5qn*9`F>#odym!Jf%d zQML^20L*n7`Ap=upq+ua5)jGxX>2m#Ee~ejuyeXz`b>VRG5+3ItBh5~nWNwov)}x# z`Jd*)=J(A<&Bx9EGM})lwDLPtj;rSIPRswhntvBy3D63l)j;cj_%9`F1ll6PIc*2p zCF1u8*G+Hu`8mB!9I&T;gv=w0$TC>}){ymN1K9-oogL&AvX{IG7yoaa{RC$T?!~nI z*Q;XBa@j5k!*SPB-|j~2YktpT`8rQ7@Rb~RzH=e9ebDZLb}8B>%oT!ZxE5Tvq}_w% zccotD_w*o*mNy0MjoijG~CQhH)g+kYkug!VUR`QW9a9ZkS6t7#=k|O}ZJD8P<^ghJPErCPR&FjBzB(c+z-^ zj4|yoy-prftV&Zd5$fnnBFKHv!z3~mb^{a02FjnwUr?)jPAAbux(Hn)ZKYqN-$^6&ujv0wv-Pj)U!|k< z`}FV72lW34(9^ttz<^-7G9WCVIjs%|4|tT;1U?#A$#j7W1D7);@aKW6S#aPl12?i} zfiDHV!D0dr1RiDs13w5n$A$!c7vx}t#z12rd(x;H&1|00W(;PP#t)63vBjoR(^^(# z`c`4=HKm!-j2%$IlveDZ(pG89-dEZw?bs1>iMfP*K#B;leFk(I=q%6$!t5YIyB^2{ z1Uo8w6X9iYJtByQ z%>!B_@^e}ys7j66g%dgW1mkUGxw@j|e*lyaC&$wU7JNE0PxP;4V9AE3g&YDr~cDkJ&11 zi*3tot88m+)wUOHn{C@{JAvN~vHKw8E!#n`58IB}PS{S_zP6pW)ndp_yKE1#TWsg; zjqRbfgZ7q?=Kr(zHtDG-66AQi?PpVu}=FO4F3a zNNGw_nns$YG-g>!5s_sPBeIByEF!Y}S!DUKEV3+OM3y2VVx-6-BBd;g6cLd{L`eS6 z^F4PaGs8fkg}%6c?&mz`xz9QGInQ~{`?=?Z{QFAj+l{{dzJY`fVQ#((g42EdDXfjY zk>qnU`CR9#_SO38eGR@zzRBcs3Mf;3GkmkXvwZV>3w(=x%ZUGC-%8&ag6oOHCf`=y zcHb`FUf+J-A)+7ko$#F|Kbw5#d>8$~ZxT%Ndzs=7`Xl~6{(k;){~-TR|8SyI5?t)t z>K{X2t@MxekMocBPo%G!{4M@A|1|$h{~V%^A)g)oh5jY}<@D_;!p9Ka;a}_D;NR@; z^zZQR_ILSe2_Ep(vfliM{m1+#y<7Ze{O7#~{g<*eU#b60w!?eSw=CPkv514Gv;Dvc zW`{tb*ku=D_c2v&JdW!Gfa`8H)w$ZjM)SAl+S zc5`+sL--`p>-Mce;mami~n43L6dr@{>_EPVK>=oIov)5&B^wnl> z$=*gWy_CI^(sX+Ep6q?u2eXf4AJ0BTKF?-f$nN&_4;TTLuQrg$8c<&a0{)YMu%ZNt z1Eqoffq?{v1S$d}362g_2WkWL%rgNt1SWY85}XXk_>{m@?kDVs z1oj6GkvvB!CpUUG22S`l`_=?b2hIg9=7=0KC(XAw#|u0N-1KhDiTID@^zlvMv6cTUGbm@Me{Ih2oXYH--qkr{s4UDv+1ZjaHfLPU_?)3R6UntHr-gJ+PIm>fa5xzEO1EtaF zoXwP`$FjR~M0QzDXU-1NHsLwDvq$7~Wv}y}%sG&AIOkYkP0mU0{G2m6=W{LvwV;Di zVOGxhpeN`LhL~rtFi81I;eKzhjPe+L8ypZE92^!{W8oth_s$KD@~#e61#5zJ!3n`e zUw_UIIR}Ex9HU?>aacrBts=ZVI6a2X3eKgPf%EyUKm+5H_XrQpXFRwF_)>~dIJhFa zIk-AVu~6v}T{*^7x?GoE>?Ob|C@4`DV)oo(m%@SrrebrZtfcY znBeN%^|_m}kLPa9-R?b@yNmp^<{ZwQoVz!>EO)=Z54j%lP0Br*d&0kp@YBH00q>>n z%DqS_b;`dmcdvJ6NKjo=88Ur~z57CG6r)N?$6X<>w>cCHMSKfFeF6rz0-=7P^3WjP z{?O3SaIRxRm4VFAQ16z|n9x{CN8s5tT=#~?g~o>_hMGbx{yw3$+|1B4-?`At&>XJ$ zLmgDR4i7C1)DymhzFi(zA6n%b9a>BDwV@5Ui+!Vg6-3|c+m$;dxG~fj+7a5FU6{Me zS4}c>g*HcVl7DS>VZaC-pj>Tc`$LB*++!5($<^eJS<#=amJ*c?0qWdl%&m z%Ns#jTAEjubHKYZZ35X8!0WO1Xtv3;T9@bMUpQjU)%C_=IzN_>fIOIm?QG` z`Htotq!5o#9eW|~xUV$tRNh(NCW^}iYLVOXwotB^5*nJck*>)jU)Fdh!)ZOj{$Bf`bL>Tqeee|TVcNcMzKTDT(5<(l-# z?KPF_rTKjbU(e+|zhCH*cT0YG{-FG!-ktfwgIhTN=P2;RX2}`3osOSanEVRsND3!7W1m@|?=hr2x^n zwaxFBzslQ6^@2aJK437;`6{rU_^%CwRsPA{#kgu8L*oJ?vquHbhRy`~NAc_dp^3SR zIqwBm80qWlPxX>NyD)EGu#tSOjFd$NLsRy+y zGK{#5p!#QRP9!qQJ3YUWYRHS6=Oa~sHRPu*G9l6!8Ah-f{+lDM1lt2sBGV(YsH~My z>QqMNGNjVCI5MC3FN!RUtniKGyhJrB!eSVqnwk1jeIn~ZGo#RV(c2!`sG#2rERJj; zY+K&J$j-=~$UZ=YAK~(nTg~$4R;!%muO!ZkBgZ4BeBs;~k+WPsbIuISj9iFx=Vp4B zM!G{Y^G+2Q{_zE_f=q^yEe!plAW#s_Eu~%`*BD!ajk)vu9RPDe;UEjq{+FyO$FhCTGA(JBX?e;HUBViSYA-?UlkdZH$QhC zwK7MELg^Ja&9I=sw=&pRFp2Bof=LAf$q(fvPFa$3XKtx~D1|;*^~xfx1yd|}rWVX7 zm`xH=@3deZ<%GEfqnVz&H&R!ypkQ%!P3}diB{F^Wxs>kIO76-ZUa+iSrFTWanu7HO zn+moTY|ootFgtH)!LEY6xz*n0g8c=D2p%ms;oVtqn##-Ef^)tKs-3n3))ZXK+fpdF zjVLq=(|ijGz4`s9?>#c-B=xIz2>8(K35;`#SvWkq#yh=WGShR$`@Mv7|9@j)C8gu`LNDV)2cA>OW01lz+}jV- z=UyxvOMUyJ1(Sjy8ZT7jw6Q!~MheG893;c|{F6*CoEW1wf!+eVjq!rXxi0VV+}S){ zD98+ClK=jN(|oS{p;UraggQAcp$&`|&II0vax8J692*JEDV#%iN8v(mW8o6eJD6U$ z9QZ263o;AW=ItrmP`J6UGkbpF+QJ>ccN4z3u&eMu;o-t#g$MFaQu(Uj_B60QuZrSz z(m#~i+=al$0k32{5@Nhya`w8yGgOK@{KNAO#^brvi_bYwc%E}|ZUxDFsYoku6*+)6 z0rzCDEAo4{6Z_X0)%NXqJC@(e$FZ)E_8j|JeughbH0ZC(wwbkn+h! z?(<|HM2ohuXntr%_Q9e>z^i~S&92FtPraIfMJtL{7p*JW=wDT|rD$7rVW?lx&d}ka zJw^NcqUd1Jk)q>8r;5({`xIR$I$P9DUu~h>NHtRKY#v1hPH>IwpHplU%noj`psP5u zI8YoeE-o%D?w`G}cwq2U@sQ$*;*rIpi>uWbxwzJUvbdf{n#Bz~iY%T~Jh^xZ^$OGo zSB-5ks*NA9s0KRin}3*~RmU7ZfipURJ!acun#8;!VX{i?om-HSe%ykTlryDd5SPJ{NXby&nv&s) z8%EzJN-9gnl#DGIS2Dg}u{Aq^8H19E-sX}f?>g$|Y$<6eX)Bqg<|5Rnzhq{~oRW@` zg(XW$ma91jr8hOJpvLbdt4h|EY)~np<_D}949r*XSA|U_n@c)Fl_fh$b{Ba{hL&`d z94I+la;)TJ$(h`#;Y=aBe_`tu^71cvg?9Jv`0rx(Zy8=5gB6>?SPl4N%!NmQe;@EX z;EO``{F~*cnc!0v{%@G2{sZ7|Fkku-AoI+yIBy0HpB9`1I0z7-^!y0$bAXtq@2SF^ z>g&Mg^1Lp8+jG7LGp;uLmoR<^xCii?faBnQK4!|dfr7AjC1KAgto`-_M*nXdV$O=f ztL_PI2W;gPkEWmh*)r6Ya$K}$88=3Q@+>H)K{*Pa0Z`^JZu7&>98kUmKkGo*!W3f~ z{1*b=13$08^%bm;EM{E309XvyKf`A=D7!(K0?ICYRm&99qx^us@?Qv_3mEElP|}pl ziUUKNfVA%ipH=YL$+*1<{(lOetKj-A;9s-!kWZm}74#xb1${X~tsJgJp!WrRCg@&8 zf$Ip+e+v2t#J59f2C;KO{t#UIUgmY_BbY8;hikpUk*{6_-UdH?fgggOslZ=FTqZIf z+eL&p5upzOeppG%&~O6|2IW5HCRe~u9z%H&`11;fv_6Eg&GrYZuw}|Q`1vjTbR(2s zgWInm!wJxjfO9u|{vNI>Ef(;acFIu|$Z5P{_7%kFTl}|*@=P03;OEcqQ^+{wt_q|b zQ#)QWE#VnNXUT~(%_tBuUTo&zmy z1WyO}oU?Soaev6-!}@|V|LZ{B1OtTnZvHKOqD67$e@LfKz`#tX&2$MFZ&c1 zAwwZ3uP`JHvz!fz57My%aYQK-hg9i+BzxfJ9Q5`)H1;e)Jg0IUH1>WKYq*Z!80o_h zN*mLS5a{X1C+85aIjlX(Ih>mP;BzF?bvt<8kFYjE{~3@uN2M=f@h5Ot3_pKTc>rng zK_x%%Iryr=_B(=WkP1IjC6QeX4L-N>A5r5w;8u%t+yz~|j&H+?t|Y;?KL-77P%c5X z+adXv5y#sR$G!N&d>m=fg3|g!#ArPz-T3w^@Y$qlTHbFWdQ@Gf${ka*>5wN6ln!t> zh|try6c~e%duzbwNw}tg^FB~?)O*X2yAW%ABJf`-&0v+&XlURLxM~RN1BlB*D5qPH zlaE3JI}q*|_^h-vgBlNMS7&{NIvM=?qMZH#KK};!=VRdWW2HApavNMvBVPrS@ z%JZP#rs^WVk0PuzaQhrww;`8y;oBk5`Nt9ZF;GscT0-R+(7k9+{{{FfaJ{5zHiWxg z)vln}Q5MSJGab6UgHu5}tz<^|Itb2psM?lAlpm_rS+%zO4_EW6wgdS6yfcexNZx~UH_D((<#4!`1CD^7 zpRymB5BaB?4>Atz9cNe49$cY;eEv#qgRWj>Tn~WH9l#5FzKojlHl*F-tV#Zxtm$w) z0s2z-cUY~)eAIGNImaSj-EZ|GDiAtK0qcrti3(_d;Z{{Y!xj3`{~vU+#H!(YxU4~M zAB3x&_oSG+Rh|U5Qq?k=Do23=yc}i!S4zWx^Ho0t`QaY$L49JIp+X0JCeq~}5!OuT z?H8&Z#<#zN&kNud0`5Q^^%Z{j}s8k%asmEGHD`b1eDpBQe*liQ*t`we z7^=z(w08=rBcTmH%G9@zA0mk9WW=!@;Z`9pO;P2`YAc!Ih3jg_FarEnGo5-G?E0YM zZ&Nib*BA|uvyT0{o`Y;#5O?%r%|^t!1)&dwJjhGZ0G}to`6!@iQ@ODMHMSq?C|Ht@ zD>*MuL9IT8OAu0F8+5f7p{#}G<2v{wPV5<09zK1A!RKMhw8()tj%JqbReRG%4PU4|sDBa}0$2McbU zz|%orh|=*(w6kXv1^MI~NU3_b-ibcjI!JzA_1JkGuI5E3Lm!{Glg(ii2(bRuQZcAH~?e-tTFhc==Plpx}@ zgDKRvB2uA)HZFTwv2_&){zjcOza`s3J- zIS5}(K}-(<>I$kH&aSp)s!dlq0eli1x>dUdxC8uAGf;~^mA^t8dJe7aZyDD+LFs^O zPa<~XjbkL+6oYqMj#r~Rgj17lM08vBtivmfyqD6cbx#;2#z z2jg!?g3nxqgL64TbTSmLVl*)k`0Hw<3HVFEpQ&+lcRzxdGtmSn{lT*iJp04vCXSIl z6fr_;uKlAb?>r-9Jcr)P2&C^H%oorH;JuuG;Pr3XJt(sod~$+z4^!m-LVmq30|RT@CrJ|M;yEcGo+PigS8>r zeK^0pQhPvqD0V*kr?m;%<65KkjP^XvVE?SvuFbObF@GiuAw(saF=WP);qz<95H?PX z7ZXL3Xdx_lbz(=-`b4Zu?D6qR)9znky{XfhGuwz(i0cN7S=CIE)hjuz$}!XRJmlQY z-d>pMkL&$<`_5{S7-t`1A0i&L548^!b@q?hE5)bmkJ{_R zr|tFjdhrtb%XWtP7`T2Nlm~48LG_pyJ|*BY;IIumYXQ?iX$PNg z!jB8k$Iw0s++G7z{Fm#?IfnuMqfVt>f5o<*^B+^RYTGWtkMVgL`gfTk%M9Ls^8tqX zAmDx3zv;C&{4>EHGF^Mo$S3?$`Wlq_y9xguzWNG7>b)~Q5pW6M{{y#K26m}4)ORs7 z>%sXFL-PpmHSp8S{tXk9li*MdJO~*IfzM=UOxJlQ_PzM3jG^uK;NQj207ZM2A(e-S z&}5pVT2a^?Uv$iKe93*Mdw}~c_iw3e-1hdarM2mz2Ww_OjWx56nVsejXZMu)o9_*jKRz_H|wZtA}_EtX|4%VD;O1 z4Xj?yYhd*|c@3;SK-jN(%BxJz)bC2Wkk-v6-AH$_0iKy2NDrqMgI1c}KYd{O5YQ{q zN2ZTXuLhmmL9_hD0Bt~$zrKs&wdwUS-c09j*$}T7&b*^|L;55bc4wQziMmD2(mrxC50Jp_`9g#xI|4(e(S=y8T+lY7*E z>^pYbn!Y`z+bD0gcUdwpzBhe8>_h2CV|tI;(XjcQ(=%#EWoLb{TpWiJ>8G#wJ{q^E zeJ=f?M|ez6n#Y?UXIxyakacR39-?+QPBxBDRDa2NVPza1muC@9G#^IO#Ny!zdLjv7 zL~Y|L@sGyWNQ!^-o%0Xl(eEfMPaj1~wxfPL{XFHKL7t(W;hsv*7|+Lr!E>pI9C$N$GVhdspwfXPg!9tJ#)bl6CDFuk`drb}Zeerm*AV5uf*&|3pty zwBGQvc-lPEJTrk?cC=28mQT+dPe;tpLeCNom-BtJzV4VCCYO~*J)1q9 zu{g5-9iH8uF5+>(b2wVgqu+Rrc}{xHc+Nxj(L71E=Te5oJTe>^o>+d3+E!hc;m-(V z6lU}VUY0Q+V{pc>j1d{5GO99axL(hw%a{OKV@7jEYeqZ#O{Y4M>&xUcCLLzXBL2yA zD*qXCGv;&LG8XlQ5p~a4nz4f85Gyxtl=oI9mF?s_m0VvX*Gra;Sf-5C8SA2TNXEvD zEg9P~c4q9!*ca2))TDYfF0LutqWC(+G8qRmjzG_Bj%S?8IGb@HzMabG&NMPznVFe^ z%y0s)Xgfw5Hfvng z_^gRpO<66;bz#c3FWRQW*TYw{r@jHds5`e~E93H8s(OD{3jWbLG1@<{%1N~R#>##) z&51`=o7Fxy^x9d|RC-74n0cdkUZt*0$jk9|wA>g;P! zcSe#vlfsL&mC5aDvb`p*zKge`X=3#DpIF~nGqdJI%Uf1Q)=-3>FY0hhoH z>^9Z}@aKTv1OKbx{~^ZhUj)8`aS8qc{5ANI@MEiS@TnCqGc@mE=m2K}obC8usn1iP zv#aqM!{}aTgs%S7Kd&TVSM4G2?}x9}0Iy+O$5(PX!hIEAodF$kO2}zoU4%9Xetrb} zn2HM|JOp`~;i~4({}HjqSGxM@QP95w`XPMv3ZM;sG|;nvJK( zfOi9b5t85!xIPOw)^g|_`1UOD&w?lBni@z`M+5Kyz+VJ@AHH3JZ}&jjdEoyt!ny-^Hv9{utb$le zp!HW_G42=%ZYAJ04cxvD$ydVX&k?)*z@G*_3;0Li=QqI50Z#`Gy%}mfi5++w@S(u5 z3PO{>)jx$7kc+SyM}7i$Gw=_>&sX5*e&9{O2O)M3B6ha}M?TRD;0I^AXm+mw`yModvlC<+=*VLla)Lbw8Rmljw?VIlZ1;oS2mUvJ zeh~7&zl47q{_1LrLB~l9a&LDXYQ;L#hjly~2|sHrp0)oFG7M*w{O z7nCskl*7*=e5K~8YXzT|ARUhgO}G>0;yx?d#X#}5;zcn?tfl83@l$$+h@Xiraj)1% z&xhoX=C z3!+;Z(j_y+J{gc)F7x3( z4fM}3v^@uWH2e$(oDWz7Zk@md*->J&7$ZI*J}IijL!w4J zOnInPOcB$>bo^WRxx|Se%n-B1Jh1?ONLGq9V!hZTwuuLqn1|4kO1 z{htG+9B>4n7vQBg_u_D0`K?hQevG*HI|U zbq8^XJ@yH9&3YQ`4s(gUnYlzC;-Q{ad%M|YpH7rn%!}~3_WAZIlBbEdvYBaLWM4}1 zZ>A915DGo^6%;~grXJc^n&xm&orP z`#$2TfkV`6AnDlfH=Xp}X+Ox^?MLj#&E5846k-_+ho;Oa`&s524Fw#dhGigQzd-gv z`ihKw1!;gDXrkL;n9J>j4j0AOZ}&Jd9RYKdBkU-SaqD!HB6jg%lX3KS45akx;MkIs zj71H{pTA_EEZ2>gnT{a|@+TN_TVfA6DjXvnqaD>T3FAyEI@27ry^<%yg6NKVPG!rm z3=~F8{x%Bt4D}yJ5u9Y6A)8&MnQe~A_EtV6#xcV&+w5@6b1ZNyro6Eb`LxWj%-rBu zNxs%N);l)Y*EzPb4oR=u9lKbQj=hfk#NSULQW`oAIgS$7-HsFX0gls-bB>En;WV9T zPOmfQj5zx^`%%8^aF!!ADFo*r=TJ6|L(XzaJAA=z&f(5VU4^c`_8JbuRpuJt8tm8(sVHREFxLpu-fqWA=P}nP zXFpe!tHu#_)tMVy6F8MzjdnkD(PEx)HM?3}?XKzeI*JvQK-VlXb6wM2^Qo@c=voxh z*GAV;*9z+4bL{6(onh(STu4&Fw)xjA5 z-Zy4YwjKLj$I0Kk-g+TsHroflpxnDokvZ$S;M&J|$JOmNP!6Lw&l-uRbbZmDy z9P``(ci3I*E_L^hhi;^DyOKHpf)HGfz5Cx+~lx-J_{&cMxrrbF90X zYg>1%^OC#PUGHviPjXMT59a!i5 z#iGkR^hdiN%KoqMZ$yL*>=uY14ykh72bsQZNb zw0kSnKbt7Ec5t0b=|Q!V`<(kCbV72c2~|^a4km0^nwiEYMV0oP=U$cS0RV19Ykh$s zpNz}v?|_?tOM2UBZ3z6Y!K}k-xXy63CbMcA>cazSK+If z!1sdNMc`X_otHil6!a&=x4YYk8*qKHqQlQ*tO%=zoJZhV4SW<_hk-H>E8TEIg&Yf4 z59oYin6x_}XB+5i)!CY$Ko7DQFdZ-m+16X3^B7_;IFDdx8_Liy0oQ?k0cUh=P(3iL zHkyi6NT27p=s#6)hg6M#72xAn5`xbG_&K4{3uBS77@e#^?Di=QP!EyPsJoNG+R2c@ z?L^P46Pjc=ouR&0X#=T%*&|&;xUWIN(}>pw#OpL983zhn&7jgeMnXFo8lxf43YAZ= zvUQH4untM*Ea!53l?FM}zEcB0jVs3)Y=dxzBCWiK7pw}ba1?cFxn&(u` z0nc5~^FfB@Qk5Iky&1sKE7jZK-+|LnPvX4PnMxkeRquAE%9++^Z#mMm4ynVG3Z%$b zgtbL!0Mc%NmfDa~nZW-Ze71w97n1B&Wd}Ios4oJy4)mLM;^u)MU<>H=2(b}q+5(;< zd;87$3Gi8~df0#?ta9h%wJOkXxur?g$$t2m!2FF`vvL9QsbatLm80bBseD&W)M zzpq*)3V0ft!TGa>HLl<@=Sb$|$brjIF8JFWICJizl`C+EiN#?b%H}@kb02cG3X3Vb zE&uy4!?zD3^~vy~*6S@t-sU(uprs@1$M_H=c?JF(0KWnH9nefCWUHXjmo&bJw3`dq zpwbBNGx8Am%tu&@py43!I!JyIsc;CC<)AD?T#kWKjntn8I0-NWI2x`S;d2#@1NrWh z$WdLZcBmK_RLA;yUR6)|ww`chZgsnRXm&e`MNO z{jRj*X{TV$T88lpWV+RRx_D7b)RbE8MlUbO=;}2I@i`tR>)90iQpprATfE7DRKanqF^U z$Kw44_7ys|^z=q{LiuuCS>CRYKgxebT5s8zO=g~j&+GMF!MU>>#gf9B;~j3@88{FP>k<;I!0zZ;Nlnu(ZUom|RC$by3EM zw8VaVrYgr7qk7|)Q59G3TK+O>lJbeA?~J;%gghJ5QD$#kGbSi^9(Ah~J`4%}0q`GS zMGek)XJ~twq463+^B#s8paECoInY-#w11JIgntbb2mILc;q!IS9|By%P*?n41&(ix zS3vm@cpd_NA8{U)=$2b#NUC*JCmvq1R`U^*xnfNg*p;P0T5rGU+# zd@4xO7@G69mGs(;m zd^?7a3&|`Yvz*K-GHb2(4P-V8b*m=7@372n%a6KYv)3Gs^Ao*slW*EQZ+&mwvdMJZ z#B-x2dUH?ISU32v9Js}&%nFN)bqi-|Ggwr}p>nvalw;&rIZlq36Y-3VdzxZci)@qA z&qxOX)`7!$tmYmlJbstqL8*w^{b-N4hgSPH_F~0|>Zg*(`T!HnF zxb=nk#BPuA!?hSV?r$-l0h|i>5x|#FT6z7+7RfhFdT?ilaEx_4OtlN&*mI+#RgiIeY{o+hHnL%WRS|(zd;g($)$BX@r5q!6eGHDj?sA0Ep zZ)onoikrk|>lKu1(^Eb2^2d9oiRhVx0=Kja+~E>EhY+_XStk>6zO`BOR=`hUCGBs4 zBWEgZJdb?&AyEBs9YzY?Pt@s;9r2 zzncHMMiUPR^+$AqXcQs*1uYV5#J`9Vv6-IR#164fl!_ztd{7*vXRtU%&pqOQ=oun@ zL(je9b$W)1^Yna3To8W}!^EHIsSuax`LNWaCWiBoX5u5#q~6L1>5xwGQR$X$@iCb$ z(?zAskQw5BnI*HtDCv_v@o||gv&CqcBXh(9GFRq`F)~l)iBHIUnJ=njfh-W8ltr>g zRLc@sA|90QlkXE_;&JYGiw3z)t`kql^>V$K z$bE0|q}(VsibnbG^54Z%@;~H%h)ME$@_XWG`F;6)(IkH$e;}TbKa@Wd&GJ9xe~Qn@ zm*vZ1viyQ@p*DF? z9u%LIzmmTa?ef?1*Wz#FtMXMbRlX))6MvijvGk9LY3YCGaf%mX_p@Wg37HS`iePgz z{{{E#^ze!X_7N7nH^~l2FJqM5)8ksPBeKMt{3*nQ`6$-#tbs{g%FH-t?Fv-_} zl)lC$`8t@=*F#Ctw<3mNOMYodUz1Yj0gIcocs-m#`YV0arjY(hUyr1a{z_ltQb?~u z=SqH+LXW19UWW!0H|47?h4feY`cw+(uk=-)Li#IxeL98oyl;a`xlSgC^Sgu?pE6fm z>F=?W`Ql1{6H?}kEB!s5GH(PepIXdcL&|i&(%%y)Q~pYS6H})BmHwVgnfk$)PigsU zOqsG*`gVao#510WRU4A%ESQb z(F~*ZYZTRsHB_c15Ns69!W#ce56>5L&%><2NuDR_>C?lt%l~4HVVc0nxoR~c`;jZ) zb0Hwsu8IxV%i{ngjrvjsh0wvHGwqAoKhSvgtJ+tE)4k2TUAWvA+!sVTx!JX4+V}V_ zY;Bi_Xg|^ZN8Dz_G(dXzL`a*q)zFc3Wuhlo`oApk8hrV0y(hul| z^<(-;{fvHIzr?mdV^sd$@ECq0WE2{GjWT0^G1wSpj4(zSRYr}r(x@{g7>)W+quFRR z8jW^ix-rX`Ys@zm8B2{7#_D7{CSTOH@@aJeD+24 z6{RaVjDyMgis>nZeiHO@o%)ETPgLIc@WAJYaojj%oQ=i*g3)a=Y%W`-Eno}VifyH~ z{P zc9fb{;8_1F?+4{KfLLX()|HEY1iX)74_4Nz_0jSVfFFP>R@tkSs`5*qU{$`r+IjIl zeEXk(5%_U}J_`_QgnPaM4p`?dKM#nn#C+gB;2nTA_|H=H1}Iq3EU=cg2YUt7>SGCt zKzw`lfj`2M-vayyT-U)BoV&ll@ba%1UQ&9%>TK$Du%ABxV!gk_`fm9wAS4mffNukY zuEehav4&mz4Zi&X@E-#X27CbYM-lqh5&A!YLnB=O8xY#)!8&wp0$jmaeg_n+m)D>x z`FFsvT3jmm&x3;X*EB|A_!#JWfMZ=ejqaENSJ593`x^xILgMCf*@N8-Y6pY(B`8?$ z-~D^=*Wo%H5IZ)+7eQA$60oC!=fa8pRnW2bLcRj{Jm^@dtt0MwANUy$*S`ghTqKcy zag!$V`fS-ixzrl4YaO@kJ0EvWaz5>Baz5j1c0TJq=RR-o@W=J(pCzafmoH!Ecf~2bkn!=JV$BLUW9C zj1)Sh{}f?Rnx7NJ?(>xLB^hTk{vi4!@C;aEqpF+AHAp?M2%hSj%C`@3=x5CfJV!X^ zd8$=VQr%b8SfS-V-ksrVaXP3X9n>WFd-Jl!^S-tOUAItzt}F6XeE2`HT>Fw;Mx)8V zHFf${(~W!N{ppzyljPQf(~tTG<#-yb8Kzqmk}5YQ_Lgyv;OvH*&pkvb zP)N^MGUIL`|3aKHe)IWal?-SV8% zm7@KBtDJw5vi-kVT$9`Xo5hzkFwUykTVp(3+Bajp*L>=|?iYQv1KLqht{tPE?7e2I z*(&Zsf3^bs*$<;XI~@I4{sWO=KJR?gS?Bze^V81p&Iad1=TpwlI9r_0Ij2B|cujR! znreLuF?zcsj;6wVD;3@gGIM`q0QE=$mc-ZU<6SRL)%$3S^*&l}dY|BWC5iS=CdK+E z@0=`LmI{-~k}7LARw8O{LW#JsaHILU_9o=(8;@}*#ku$jtwr1T8;e7ItSxQ5Nqvm# z4L{l%j*qp5Z?^<*q|2^%SeISzxGuYHNS7V4R{XuwHqDRq5Z((iN7H!$`jGDxsk!xQ zj2nBu)2-j6xY7ALCrcUi)(6njL}sEji~7zdSHyxkH+=MAE{;hm7-)}%t$JDv(%?}AjgJ{i;;eRQ2>d~f*cg8$eJqte zeVw?Ci{tkgaeRV!KIv=(-^t+oyv3WPzmC6q?mxQ!C`O}i@qozTGlXG;WhWccvJK1D z$!Kxz@!#2!zWt;z#h7Z$AT!&TXDl!l8_SH9WY!q#jZMZ@W4p17%wA)^amYAooG?z4 zIcHq737ct4vw6t`jYGDGt&gprt(?pt+fdtZTcxqrHio`BVH;~3XB%(%oM>yZwbAQutCAQ^cR?*v9dfQ;zOs13GcGz~?x@-q*hv~~>wv)v34Dmc;@my#- zPdv}tE}5F?NaSgHOuy~C={G}Wq1o3gvz;*qkQr{}GzPZRaZ7wpGnk#HW&DDghBg#2*qq)W0X7032Gk2PM%zfrT z+d^ZRZ8@1$<`LUk^SEuZd5X+gk}PCiu#GXhZDZ_)-DS_T^|1%+VKT)eXUjF;lJyez zW-pEE#opgOF!9YSvk$RXkQr$XvyWz3?A7*Kdp*lyZ(zynlgzXB$@VF5o0{6~=Hw9j z4Et>RJo{{OmVJSJv3;3+rF{*V_4ZBnt%-Re(dP~1iG*Bm&2+z3O5c>UwQslYvhTI; zw;!?}wGFqQu%EV{BXiLq%tD9hNV8pXq&d8fpl!S(;^<@ZI{MfrQI2i0?RNBYl-mwF z1|dcXxxg{h(T{xgBU!iGT_oKe$8g&LN2P58rOHv;7{?frph{`pG1f7T%y^W|g|;ro zL^4g5&c--eY=_Nugb?-FMm|xF9Hc?VOvLYkV~(SP%tDS4X>bYYc?oG}m}5y&9F{v) zIo6Wd;Mi;%YkC}=jvbCpThOuF(Zz3Ox1-Z;ICk4JMZ!t|>pViWRs^dat#b|4svET? z3TtE3Ih5*@Q0W5138MlhgG#I#vew%y2E^H-);bw=mN?b}s8d3%byI3pj9LSLGaA); z80!R0b@r!P(}MH=1msjJi`4oAwdzS`fP$3_>eN!T-bp?L`pe*k^+obifNJ$o-1&~W zIyZC-pjyLVoiGYltk|IzNJLll$f=;H(;2aTN#g9%p4&me+AVcTtM)4B-vdvzVh5q9 z6=K%urz-S&;0K(oGc2nB)mpMrxMDShz6(%EG75C8%FwW$Tb<&m&d3x<3tg@A!AX-+ zof3)_Al6B){{#9^Ky`*I{8(pUs?%F>x~hOY)_Ja2`(d34j}%cSS*mk7rCQgdR*$H) zCBThSfH(tEECW6qU#YcTg8(Z5)d`ya0nS*h)Dt33tjP_~B;jfen>yoG!>TrkwP$7) zuT;|hALBN)Y71+KpDf9DLH`8&WCMqPi8W>dsjSxcY3l4%m6xDBbxNpE zYg%yjr-mFwdG!#vs?%q&60fHR{`Yg}I@Z!?C|Lq>_8?`c9FePrRdzz9#V9LfnGSgj ztd7?Q^qdveni(7NhJmtdV3nX+<)zN1HLw~@oe->}92rl<~XpswL7bq4Uy zArBnZIvh#VX zZ(1SLDh?s0+%PANIaz$BK)%Wtr#CZa_2xrw6Kc1^sCOv*V4M~?RhA^Vk9o`5NyWA5 zCd4`wU$2Pnp_&qNA%E^)SnidGP1Rcp^-8Xztk_iFWWHC6&4F7In*+BfHfnE;AFW9= zJ}-!y^_M!D`uvZSTLUfjm-K}<_)GfMzhv!myoOu#TH6hmMCoi4YQIw*M%Q!VIJrpz z(z^C_8tbM*_a5jz1G>*7-M=8Rp!;&@{!Yj`Amg_gzZG{O9R|KhdDIS=dfbvU_gx_o zuWw*Y&wf`(qtYwzu1l}ryC}WX?$FAZG^)0K^ZK5E^3idVWA|31Qd)07DVhH+k}KL? zzqMK^+78`3ZqfapYEQr@i{EF(o9hck(}3^U3gQ%{`7w?+FAd(TT>K|xB9(yKV4bDSmIqKV;s;8bQy2ccqL?kd}9yEFvAg`=sU_ z!Q8LwBrGDPC+YZBk38O6&Hal`+cJ70BpaWZx(72O^ZtV4%k>iXT=}$@{?>ZH_G``o-Dv;zdg{r3qFf9k zzkJ5YU~8W2>QX5E-zsT1hx0k5iwN&smv}X4-uz0CTcOADnC#knN^%1$FUtgxgNK6^u3|?f%Npp$vaEveISjCBkv*2^GQOyGHN;DLns#E>#8~4T`4dm zE*9E*G7dH|l%D>Sd-z`spMy8~4RX=DGbQ5VpwruXF%~vzM~6{6FbMO`D~U2pv{3W2M%b)ApOv@44RC-&z^Et}_Kf z_+L5?b0K5JZ1Gm-25z;^3S$y$?*VzEvoGqek|t`BM(giJ4fo2cV?FkqcfF2zCq=~i zL#AU##oTwf%lY`x_E*W@7RlB8B>!DsEYw+D3*IBLYgiY+tKoE9 zdk^K+_jWl`t4Vl$>|0q)!r%Nv+}N5B?vLG!H6hm@c64ROEb&gP?6?sfMPic5cd?xD zt1P%rJ>y-h(Ox;N@B-yz<~bqhD5-O@Oj<$FNl#5Dxm zp3i*`XgOZucXB1bjp#Z4fBpjTPX5ooUa5H}T^U+Z?r(UkbGs)bp4{zhb@SQXH+*Xa z@7jD5rYhmC-Ep!hcB?MmrQ3pAbC=_Nm0U}-4RW*W1ieY_kh_V}B@f8M@|Zj+&&c!g zlH9CmnnUwwel4UGYJIgbZGbjd8>WrWMrl=AP1JxN8|DY2)xlq!NhO;$L2J~SDO{3^ z@dUY8rl>qoSyIS>_%L65M}AthcF5EscWBeKS=wA}KKWlH&udGy720ZTowiZiLMgFR z+mj#*@eN+Leo4q#E|Ks=c;7*iS6WL~M7XhT9(0Zd$b~SHqr84Le8mKLW=dS#^Vf zwL=s;AT_n;82d-n&R4aEQtiIwn^A-`GJ!9JtJ>#^ozT`9{OXqvz@u#(eM{#x_dxuV@Rje{f$&@)wEu`&)vBHc$JK)}ehh=8MZUpKaF<|CUnU zETDYyUS-Z9yt}-@GWi6aFzV%f?T1Jn<`DA>yHQ*a8_s#I{p|-h0EEG#Dd%0z=vh1~S?iMh|xOcb|>Z%fjArr(tKm zDy==xqqV1B?ea&S?3sjbkq^~A@*eB}R;8P?%=b`LVnoDx{4(VnuF(U8tGw~$XkOC3 z>P&ez18JIfmp8#k%LK5);(APzXc28<8uc}mHabYJZP0oP;j74fEevaNJVv^rH@@B2 zW8IA!<1%WbZk%Uu8T#o)Il7Dz+Ksqh#=RVuQO3Jb4_w9`vCGh5_ZpGvzQ1X)R`^=C zTS!qZ;%@+)Ca!&-1=lEV=BpnHbz77sMqnMlHSf&0svF0>;i^Waprys`!%`-CD;A$n z+e|K_=)INb?J(0Zend)j@9Rw$D;2Qo{W8v7=Tb0FRFck&rLPyfrLX_tEq(pf>;BqLIhD&*@7Hhq9fd&YeP!4$A?$f?QgZe6m)_FX9XAl~ zesS@B>6$sZ_dE9O713S+&rW!+==&$0(bYQw;8nSGV&x5dbAA4}cgLrfaE(3{QYn14 zWpm$ffrT%&?0B4e7AxV;iyEq#YNkf2m0IbIYo@t)8u2t^T^mm3u-adBzZOnFiQSFL z5WUcz?SPvBabwtJ^d7r$u1xpjxRyn=HRW;jTVY)LG7hy5^ZuN;d3*cRT}s~((VJWA zFwZ*SmWIPCvg?Iz?YF&^A%&nb1Ak~jR$t&&gvEJV^#O2Jg7ys>6>tsV6g+D1z(|xO zAg9n{;J-kx!|3pux5UeN(Yxd z`j+w=kCXZSt7%thXK$>}NTX>jlj?+C!|yW5XqH_iPsr2qoV=(B&D7E~uNKrIS|6>S zR!(q`HdGs~Rcd3jvD!Foyf#s5vY?{3Xl>dwZ6NI&043n zL))!&X$Q2!+A-~@@{a$J)YLBpz6dn$fjoEG{i`%^W{Da{9b&8_^ML`HFYyAq>aCs^vYXA)v1O$ z&d1Ym_o&3Yp_*~i5IgM&Ky~sPPQcUDJ)xMblb}n~0r9t{s<{}cPN;hr6h&9(D`GZK z!wsjJI$2Sj^QPulak89-J3tLU9X(48GeK(BMn@`W-vkb=YM2j_hw&9o*U)i)sQ!LH zb@t%L;8V?trdg*UVT6h~#2ek~C&U_<$RtQl^d3#n z@WkDtIe=Wc2r6D(%m-XzJSd#C;xJJL)B7+vLXMJE6jF_>l691W6_>JT`B7&M>hpT@ zw3=Pk)!n*kp7_VT`ck=E)oyLf~Xq~aB@)qVQY<+w4nKh?-qBl3| z$YDBe*;FU-sq{85OQ*lqo0i5pE6r}st*7tqCpxFs=cdN_uQ$Ciyg4_$MpLDK>$IOztNL^8742ZgX~eCNz9_^^`h1`M0K$3xa4=ybVsXdZpSV9R zj;cI;Jx;0;EA#+nJb7hG^qk2X%NIJaseoWAEc#LGhOaru|ePi+yr&aoA^3-}J;b--T$ zo(_B`@Ot14z&*fU0)7YZmw|r@_$J_EfVVN;b35Som?Dcop9()-;2VIC0=^n}1@Ohd z%Ym;1J{0&k#;FuDUIqL(@NMu@3;ZJBT(~X+eGpUPPrB`S40Ls7?RSAchOZ6+p9wq- z95w>)0=)zHao|%eKXI)zPBOe%t#l{su2{{*bs*PqC&|YpuEWGytG%WNZTex}6}?L9 zG->r`OWl&MPIXqNH^-gQtWINAf2^%jkum#bo&T&(oK}CD)vfwCNm!j2tj+{hr~X=} z`^KH+tNt0QUbO1js#A8=nYvb*m5U*w_hikh*N*&sADB0+A+?VqEcD-0k5To;tv`RC zwluaOxz%(w-jr7JuQ}#=a?G-5IVL{Ku9;(&LBeIpttn36d&{keK3A2D&vUPR)8>t1q{gcYdQ(A-10{Mn+QSLCKYncUwHsb_ zbiHGn-u|9OBbda|4Lz~3jWyOu=s8mUjvL#YRD8&9jE5TA5M>yBkC6@Ke2sE`4dwmD zX#X>&o$mwUpQ%L8QCH&`j5pK?>r#zDF-DXaeM&X5S7R8pVkZ}LwVFkp6s}H2{{?XT z*U+&_M^~rOtMx4yy=d+DR*l`&SoXgFF_O_S{xSX*IONg)85Hy@b^J+r2U55Ax5TW8Of=Y=#c}zrS3y_nrPSIi%s=Srg!%Dt>4Y(efq!GR+kk)g zolUb?iVff=mSzJ|%p~v#tc%j^iZn}{Ng&Dy`d*c_ItmFX7AtMNGYLz2bFudGxHLm9 zRx8&mU2l#{v(7l39*9e`d2!{bH95^x*)qP+n{q}3`1#&+($vfb)~s3cJ{S`kxbwsK zU%+v3DYwi@xl)2PsQIt{SNXl0awL4){-CM)bC2{@;y%6$yfGBZwEQM5v)SqB+=`E>fJFU4)wbD=BdZE@pq8Fy4hLq~Q z1@ud-*&>u+wT{ucMMT|CqV5~P%0@LOWvy4l43U959Mrl&9kr{~7r6@%ZK0Yq)X{fQ zb6W=Tkgnze@fX|Z6IW8BJyW&P;acgQ*fYKIiIoRyWu{scS$|W*DzoguSWAb#ms+K% zdQ`VCEY)LEvxGCPu+-^eYsB@gU%0XI!+GP>O)*#2rU%GY^&iir?uai{)b9@Q+*Yhy z9Y)Xmq}31S`m?t-9lRfFO`h_3!S46i8k@KVuttv{P4OH zugDj-iF?I|#m6bPJS3hFlSHfdte7S~$7`zK%Md;hq29qz^y@xJeT0ePY4N;h7cYvL z;_t+q#|J$3xIPT960jPu4shb*k2SUGlL4myP6eC+IQ#L(pP8)B16&Na5^z1>R={0= z`xqJqpa(G6(Dc-kMloPNzyW|m0EYvPYH0qtz@Kp0tt;SZs?SQ)g_X6&J>bcJ}8HWIm0-gXo4S0@3d(61V z(B=RP0QLbK(A50=GqwuAk$|HCs{w19KJ$2!tsbxea1!8Tz$t)JpLwF;DccOd*?{u^ z7XU6MHZ8VgfGYvl0Imnz^cfCiE8q^mJ%IZG4^L)z9Pkw2S-=Z`-7SwdwVDQ?3osKf z02pqe=$XZUrGWhb2LcWOtay%cg*g&%G+;GgEnxj~&pbZaYyfNqYy+GDIQO~d%I`E6 z0xkhu4!8<%E#L;g&48VNI{XUm#0jmG* zq1~VFx3bjq5AWq316ndG^%j}*J1O_7sK#1 zVcIpIPIY2PN|x~~CkRLgp)e)L27H~S{VZP$dDofK*^< zW}#VbR+v@hcyqEj-Rv-znd|H;?Hlbo919#P92=aa&cV(~XDy9q+MKhUi=3;Ro18nH z2b?FI7hDck&{gUh?5cFtx*CODs{D!^>fB`IS$?Npi)&Egd&c6pclt1^FTKlN_pD-i zcarf_x$i@D-$1H+MkwB1D-3VBWj9#%V$0sCY@cS?!{T^TSiV;9?tO1ha&N=CMzx~X z;xN^+mtWzs%d*cV^7MO?-h+wnIr9?Vb5A9{heyS|lRm;tiTcT3ljxqmGs*o}qI+Z@ z+|zK@MSrT(hf)7^4E5*gsYln0Jwp_W$aG~Fge-fAWuH-YVVPwgQFf8#uc%wu#f{1? znPAyRmEC7=vfkcjaem)u$QkcaW!Xuo^uGCtIp%%q;@;W+nk4s~N$-c=;QdVEd*2~R z?|s*;Y8^lazg@RhI8uV%g`EJz#-lUsU#8R?fStL)imO%kFR4OD+4nvhS|5 z>^YXbN!f#HExS|M9~@-aYb@IeZ?F~r!7G)0&rr+Wr|cmu%D&gi-S@6bOogG9iCP~z zBI&&<>Af}SeQDe~@gFuO(S6vGr1v$6?+>g^d>>Pj`2LBcdgT+R6YI)PoX2}GMU66z z#;fzhLa|h=6l=vsu~qC4d&GWmSR5Cpm9(GOuI#FaWv^BCCo3%5(qgrhiq*}QeL&d{ zS}nqZRtxapW@V4Hv^}=TvaMV)c8jtfvg)me+AQ1RS2NhMt(K(blCmGRa{I$GEqg_x z)@zMKE!7s|-Ji0iO{EvbCC#$uTJ|wzKVrr15li}URvsH?#p+SNWm~a*^pvveEbY`; zTK<$3i%(f)=u=i1s&BRI-OB#7RR%x3LfPZ{B*u1pQXU+?3-9iPmU^@pE9yjpuw)v4 zLfMb4Q}zT)^N(98^|)288b(^S)xtc{uI!0c94A^i3vkvdvntJ`Na20?TO({T9GKT9iMZ2PJ|s_aQvOfcXT+Gh(gEj9B0M*-M72%5O=!E-Q{AS z`;_~XxI1lo+JA~cX)mX}EdKxYz5^_ZWDB?R%rMj4gCM$yikMIl2UNs_88Iumm=h9J zf{2Q)0ns&!2@x|GFd<;h85J?3ViqwXCd^sisWUC2tGj!5^3uQY4j1Gh~#7DnUj1zgp2Yba~p5u0hwQo6_!dC)$(l zO$XCqbT~bZo{DdkC6S@8k563W?tjy0X~=PVJwjPQEq)Qyo{S+l!JcEUve|4d`<#8x37o`PaK*S% zoE=w%tHm|snse?@zxU*Nt2R)t zNwpJYvT7H_fZPpxiE#EFl&e*HQ6{SPp-fVxpiEZnhqDt^2VgG=&OV58wJH^5qUsRJ zBvl&9WYu9fJ4tl}_LAZ3qbOIaj-gCc9Y>j@I)O4-brQ}_R;6S36I7=Z{Z1?Tol*45 zQ1m+sXD6u6DO#Raw7Z~acTv&qlA_mTMXxK0UYUwsR~5aoP_Ju>Ue^`9ZYX-)RP?%~ z=yez<<5ebnoLqSr%3uUtj1M~YsL6}_IIUQZRho++vKT+#1^ zqTfqJzgL)guN5udC|bT%w0oy$_g>NKgHe3F1$5j%vo088Vzy&uikZjE%*-*Vph8Do6^~x9a%dDp6)~ zh4>(|er(Qp`bR&+m>PmVXrv$IKLfL>@5Zx=wwAMsdO!0smxqfBT8nc{S;R-SBHRjC z+UFzOC@@ZKFivG?mlIX$>GBTLHKs;1rpnTDH9f_v;ER(pay7-pnY_iTWFquo#hE_O zjwnx+)#hqqidU6J=qp6%&nYpPi&q^*=(A&VSkabf%1%{r6lO-|8W4(iwMO{eMm;I# z`%0M!R~H=ha>%Z*Cdo-(pjIm7okMr>p&nhM&3@yuX8S$NGD}7aam3VC!gjN3>E7D8)%t7g)tNiPxu3gHSxqa1!~H*j z=jOQ&0Jh#dE^<_xUInImqFmGv5B@>v@xE3PC4?{GL*Az(4t0(ZuZ3{{I!Q+SEvt)mY-3%*YJ-?J zOe2|rh~?-%Rq&{{UY{!R2$eMUHniH}p12T*?Pv5b`|O0=BA7lCPC#+bNo1=3>zR3C zs4j(S2YD2M;NCW}0Tce1Hrla$mu{LWh~3EbcQ~^Ij%;|o9XT6k^dAr^fg>gKb(d#< zk#Yvm47GL{)$`uy5~cF3jdZu+17UQTVw!sisrUGWvp4O%bwX4RYT@9KH|K4hpG%jt z2fHthTpICeL=pBg0pI~BhvL5C_5MSo52b&`#NPR3%Zut8#e2v*N%aqzN%&er z+7!58XxW?;W&0ipYKq@zHo;dvG7On;eh!PM_h=Q<%%UB^A^l_&LFv&ssM0Ibt6D2I zarrSWMs)~(K<|>&y4Cut6~LpT=b4c)F084psi!HcsktDvAhsZ~puM25AiN;HAi7_0 zOsAJ+TvN@8RJ>uneoj2Zf6+#x$NiI zNS|*gJ|9>y90QaThL15FtV%syqIow5aZ^nNWFFaaXo&gRF5%FS~~{YLFqv{3k?3zs>@iHRFB+Y ztBX!6-C8n{v|_&bG~Ho_+(`N82(1=*WNnGA5+j{v9Nkdju9cHKx4u3N)v)z$^p%EZ zQM;x9Nzstxj)|9`Z-9W*hJm1z;CDeS!Liwu*$uYt`YcQT8R5b%P)vaCf!vsxZ1wJU zD4k&6KMKI2n!3f76A?F-wkW+pJL9IBHs*>LA%qjQ`W{9Rx)UC6{HtaDPJ-v3OoN(+ zR99n<^FF+tP#eMLJ>HjoJ_Z6QD7(E6IWJ@anFd2tSJtFwO&6FC887;8pRxvsO%#Jh zH<>PyAL-vHvw8(LiLWOOz<2s2xz)wY zl(_O_=$lv4mDf#c9m^b^xF)*Jxv;ysx*)kkyNG>yuU`Er9WN7_N{#W7`b`^3U^7rch=T=OjT- zpgVRX4nhl_K9^=1P!Et}xnt^RFsQ=6_NJ@$BKGdpoa5D(zLD!YWz9$|$0NJ$Z_~_410BjmE|?A5Xu}U7;Hj z#9OhoMM9Z>C+OzgLc1mex3Vs)9^4@rE`Cr>EXxEm+zMOM1XEPtQ%Z z92_0%y2`sUbX7Of9~QbudQo@8txFLDE9gheoJd>Jb(P)X%7*85m#>_-g%y$(M$&dq zuk5(R73S{e?`KdZ6{jYcZ`n^x@PItsF4c$3Gx6^m%Wt3t<)q)?FKT{f<-S7`yVxR* z9Fmmw4f0YQBj2%uQd$T0gbrS5u3okBb+e-<@t2`VC$VrukV5CXajYQgp~3XdWQ*JC zZ-l><*?z0={Z_^65!;8NX$}GeYDjTfP~8>&xNabmyBgc(LRpR)c*_G;h~SySk@G`H z6DwW8rnIk7*oQ5s3_Nmj{&_dM!|fLuJAm1=xWnA!x2i6&<5Z{JjZdE!r|K1{iZEnB`y;8(j8Lsjx2eS$fjAu*y z3HHX>3I}}+tOr#aN>51y#GqIFp;EAdgFoZBbo!Q(Sf+Bn^NKl|t>6KPO>tnv|c&l$#~`7sgeCT&sT8B?YiE z1^62eatz#s)ZAVO&e45!B;7LYlbilZZW{2_tG3dWMTknuoT@Y_*Oryy#ebiOcJw$Y z0H0;h|77Ee_2B(hDWRiDX3;i#P5LUy(NsO}ZtU9fUDG|Tq+%9=dTLm;X*27ltxKk$ zcOHg%4taCJ9!-3q6_s$gt)q&+%bAO&?p-`Zl++A6!WdHXa+D5Rb)`%{7S=y9Zho<}2Iu*NLs|V2| z(i-b~9*%{)=MPt&z*yH@K0|Nn2WzT}R-_WfVGUKEI`gR4B!lqRb2Mbu>TB{@ZmCz@ z2ZPw{o2ctlpXHGp@Pg}p7UjL7M0!%yj(!FcCE)so(v-U=&0wmPanY4i*SQ7$`}fb) z&YI=-KFbJ&tu&4sv<5Ag=UKMpq&OSAQ%-PwhPz?2-*tQYVPhL0cB0M? zJ3SW6PY5k_L#r{y9Uo8YhK9xQRI+|=3^VO&URggzzbH_4bhG7i&^(A%G$PGf`v>D4 zF-G{*NS=SWMgNMTF?$gwoSWB+Xl(_ba(x zXPMk{zYX?`f&J}-$ln*K1RoS>(pNJrX8DUvE0ts{u~51$e`%V4MkSR@KG8TIciM$U zCY1*Fn9MCMBD7~ZR%Ni5{)mEM%B%HLh7i?^^Jt<>3vv{>_&i)0bqtn2c4$~v zxM;u8mV@I@9L5+7@;+si_IfpK%8IxoS-Jw-X&=kLdZkpVisVMA$O34~hTrrRiSyF< z`SR1WG#U{JSkmFsUumSZ$!(JwWmYIuB8#P7A$b;a46MEsSjiIn6&`4Q2ztE@1aN)2 zdQbAn84J9qWvlwRl-ihMPD8pOWS`F|{GfU`bAyx!SFf;E%;gxsrG$w7IXtKzLd~)i zQC))|tivjrfAX|MX2;@f{qNSMVn=U*fQms$7n>X&#iMlRFTs=hq$d^q?qI2$=}%wK ze#+uW5Edzj44ukS$e>M2Sz^pS7xp}Mlzg+I)5V0>L@jT_SMnM8nZBN+PZyzfa&P+?j^)Uy3)(OYoozWCSe|Z;N1shFHVk z^I%1vPdKTcLxu6Jq1k^$1XEEdmE{byzznYksnNQA@iFCb#XH&LaYgqrLH>B+5$=GU zc+C6=hZ*7$EQUnPPg2$=&C_TYOQg-fSR%AC+e+(9^-o&KOcxwXyWlP-F)AwN=k-LG z%{U)=-uQSybi~CJY#;Q0OyIa3KlH_`3KI_4Z+NPijSW@~PHz;vI6IORa!>*q2y44vCkN&m|s7-xk2Ch?d0f z(6T43=2NPumVjn;tw0ZrgG_}lS+iM_FFh6;gFAG?T0z{dv5tS z1~w~2VQ%+{Z_zpyq>xZXl=XjO(bA=>L|X_y?vLJrbxdg1S|zhXZ;Dvy&)7nCY-*NW zB|pQ|42$gda18J3eoc;u{U)r-c!r)FerR0jp(KYH8}{4}wPkeq(?g}5Rv*18lCw|8 zIL1S>ok;*SD{P`~VGBPz!Q-+vg^cLyOkds>%Vo2N3?F$W7HePK7WZYlhj2T!J{oI3 z{biwt&M4M-*nMB{n8cC5n1U}d*Rf993)hAR zoK8kuJH3}F=+caQ*U{;N#+9r?BaV9pJB=!y++!&dd!j_oZphDk{sqG8JcT=DOiqbh z3N^Qab#wk{C{c}egD%jK1cOncI_`Ng0qSXcbxwJ=sR0cM1VSgT&ercRr)#ub{Rvez zE-UzFXs0bylPM5OZ@Jw zrl7HNSe=dAU|$0j`c;b!$+yo~3Rd6Ad;ZAcb~FO8C?~An4^uuClC@86KYXBx7)0rR zi}%>}@!K)U*y8dyOW0Ib2sC(HU64GsGe5-kZt}*UpCkBfvu@CF6kc^m_PV-p?{R!L`J?HX)B+_L<+>3v zJ9vw{42ku$tj)QRD*H)Ojf{LD+TH2Td2f>E{ZpB%@s)vf_=2{-BLg>bN)tzKR@SaG zuBHlw@3OSu1EYsN@6q#U_o0q%C%D+$h zWne$}EJxQMq6f3zH|uxLhawM_ukf-IHWFpPY|5|6?i0BV6NsgV&M_?#xGDG!@2Mii zs4{asMYH`yv%N*LgGFp(ZGtuC#)Fa6+FC7J&zA4}y_Tc4z(RgSUF&V{&})+qxJwOq z6UL)x{f-M1|4c_c6eyecbI5)HSxdbAFC)BJTB%IGlNML#5gUhw^#uf}(+&JvSw?qh zurz1rUqYGv>FPm2-a1DE;E!#8><#YuRReZ30GVTvWY&MN-~L(((Q}`4*$usS6ul?P$30M; zi&R`KP5toS+-+3KI)WqC6rtLNl6~zFct2em(!LSAI$Nt=#|+|l=uq9>2tG4G+LxT` z>6Cl`FaQ2#)3^2XMq>Tup0m?4U*T{ou7dRoz;aQ9NEK>%dhIW6^Q+22(Aj4C_k|r! zk~ffVzlej;leoL*mZ08I6GzjsPk=n>yS+(tg$^)U^Ps`oaedr3j0XDs29N(Gt(QF? zNxl*=jFC4={Pjym0^m<~^Z2?8bs&}@3fwfSF>gb%OhJrtQ3=AV`VrR0=q}fhzdl1? zD{^d!kQ#MgS8#er>w?tEcq+qLw04N>!nuqf`HGYuI*oS2#q%pBcYm_Rg)gI9ZXi*P z41%;h;M*vo15?LD;^cZd?%{(l{QvGb9wA;#?;CHJ@&OvZI-Q2ZVNY`P9SLdExbfl(nsYM z5vr46k09`vqB*mh20l+pZX+DX?j2HIe};Ur&NfqA$NqFTbpvx!2KNbZ5*iZL>c^)b zu+2rPp}b(XUn*)hs=R;qTdGpGQZ3~Vvj8)aO`&RO3Dz$sKUu~D!t5DwPGzg5Vd<|~ zNXFH|=qCLMkgUp!USw3hz8>AzyePV*M)_vAEMudVC42$6JFLI4#5MLFvc%O+WknzG zNtjP+9_Sblb{+m{1~A~pPJ94)ij*=MZh^$i$mcA5rH;>MEQ22?28*31 zdJ5f=u`*KdgRh(L@3e4cKywT96{LG&8_X4Ka_{aBpKktL{U9GWmH}n@i3=-z$dYY@ zi(p~x3ypRpDeEvv-nd;)sB`FAc!QuSgy~>=Fel6*Y|RRCKJP~0IczP$N6;+r=I`^B zlHzU<>3HZ5fsJmqhUz`=N}a>VoruAa3ae>Wv0G_#*qp4{m}aFQ(Bzh0aj=l&@cnS}vpw zKQDqCSMc)+vc3_=^MtRkQI~qn!4xfM#ag^zw zJmt6;bU%42G?T4<@{|W-5e2kU@nPxz@L_CMkB`s&Eu$2#oJmRB5fL@Xrm0m5E*>^6 zRiOpYPHUJ}k&)vOs*lqG@M@AuJBN|-%Su~@34zv$p`{35g;F9~;yC@%^-{yQz*40- z)HtKkzokTE4chTi)djaiHb^N z{ucjrnd2rn_m*}=8a!H8S?g79Y$@I661i(gB{R{POJDvOC95uL@tavW5S;91EHE*VD zi7lR)+ZQq`W-6%)Y%lFB===1UdxENt*EhSmQomcM*ZPap5AA@E{O) zRLqPLFa;JPM~@z*2bz(xB7ks!#&O}oW*mUJcu@4HE^v>G6&v&&XdE9dPKgZS0&c}= z{Tw9&Vv*M2fcnAo5@v7!**L8qqbk58vN{Zq2XHGsT+$2|FcPN~GU^0OBCjI=VS>wr z%wPa?@mk@dGC&Q|I(U#e_!~(=fE*;CEM6;m)C%}DURsP24fGB8D^6O35*Gvn{)*QM z8pQ+7lhk2>8o=e^W`uy_IIYl8FW@|R9T5l#Y$Id_1;CGA3LBLGa*)=+f#kt95@xJ` zwD_eTqgcR3k~&OK1=vR13?Hx(w-hq!25cm+BLoqGPlU`q1H9vxKE7B5-jUX!fPBIE zBndy{-~rF^OR=MVKx7iR>k;4N>#&buE zDg$Fkoe@DMU;@cKF2G5}+^ohR|A3(ts1ir*wN)8a?`UAblXYfJm;2YsW6o7BsVWgY^@QDmPK<*O&0t`)t z9wtWu5C%h&qW_d*2K0cT$!AbNe&8EXN@x%&P$cd!R?Z*zM2;RIM-9jUXE#_(YNOUk z(|t_|1S5*Uo=OJYef(GEMMK@vLzu&swCODyzE6{xS=Z#x8B0f|RP_JSh@@aJZp4z+ zW=N|4e=xX{)uDqjz$YSR*nqaUrRY&Rpd0x$2B-+kC%(rGn27g`8I=Xi zHR@2QUN@i69s~d--ZN}e6zCK`BufOqr&z({zh zaEsC{jbBrQ$WxxHq>%cCp;cGlM_=D3J5-jO zKO|=@kJl2As`?C=Nx&E1e!kj!e>;Wa%L-K5OoM68BR37qdEsI_nF*^cQNzF#9m_Mm z)KemBz%my#p@z_Ix}2QWLuNMN9Ars-bLPY&d|Pdn_0pKB^xFrxVh z5jMRELY71-yD?$odbRs}%DL&gm;d;blhQw&AR#?A{>iZ?yQJic%@go5CFQ5${d+I7 zt?7?)}zRGx@L=)Z_RYE)i?p)wrt~ej)I_SbIM$udQ8QsT2`Ay}La+i6s+XLE}^S4f~@dJes7R=)I#% zC~A(MXZOXmT~VxUw=D_3DZMThwRmwm%g15rPQkGoDZ(Rb;G z7;|p|K47?FVDlfdD!5$1?xaYKvJWxaT03F6XaC7S+`r(eWc9#G`cl`8ZNY3q=^&SP zqdI!-hatv#RUI*eHPgk0E{CIy>piDQ-Na7sUpeAxTkyT`@ z0gzS-+88-80c6`*&$5b4)eWLdURSYwBjv3;SdyNaIW;(VEC|Uud+%CsK6IC#C=j&u zDtzC(k$acE(Y@50aqd@4%QW|M@XCCYzL9(D`AF3I%}f8G=VOz;iF&VmWPK|d%PRU{ zz&p2(as9}8V>cK7M73S_t(ojdG1D&nvKS|3wU8zz4X)wOfD(DWU?*Lkg^#(B@b~~{ zedU$OYHoPGz*u&i6jHDOUP$6-!}GTH*-OI1!yWvaOJ}^$9!kPw1u3cmm&4yK+C1c@ zN*k&1_?7uqn@7t)x7w<)?~l=1y#?1Qv|FToY<<09lBls{M525#9*~#cjCrG~GJ>`^z!Iz@eA9|B2aNvFdQbK!EalqHpLg1C}~1@S3Bkr4@zDg6zg zm6~d4lWx?nr7|1F{$HLoi@nvaANLbO>*fnRZb?q7hfFd4Zv3E@i;2n!|CdOIn(BQi z*Z4@)y^Sw(Qn`Aa&-fEAPv+*dq`2WMH@D34y=-*Cpq?7~U2TB(ft6fu+T&fOj~|6@ z?+C??VM`VP**)i;@L{=&LMsXS`-LS(HIw-|ne$PKNu_?|iU;TR0|tXu*BIC5)Jq+< z5=nobAel^?AHJKpH5)o?w~vcxSEsnoL`pzY+BMGWp{xc5a9ENvupQQzvrj*)1MQjQ zP8?APQS3w#^~?czP$q72S*RHtfzRMb7)``g%L469gtOoLTIL4ZD>whk{#`k&4o;+a z-1z_Zw-=rC&?|-G#n1s-moA#Lyn*8h>J&5Rb*;*rt|EO#h>D@<#xRRuDM-1Bg3#;Ej@y*m>80%HRom`(qpH>)4R(Sv@5+@QTwE2r8%huPv zg0Rc55!B?5*GjtK&G0M`j_k%{#$SyATX=m9VaKQoT3ABuo>P6|IWQj8tE=J;np- zl5&foZv~oLj>+iL7Re8*1)s+TbYt^A#xT9>-R-k5epGKVFEYIAChT0!T$Eg3jI8JUq_??Is4pdyo#7hPL+X24jvwlAUsGqd&dOFzw7M|<6g#-u&Mz8et~9_c zX}RqjFthrg&gd*G5MaKS)ntz)HEsfzC8OUE?>^ToycJ=%#$|pDu()T$!CVTysCSQY|Cj zz(1Ydic@8GR9OZargk?Gi(!9kk97QYyO4^!DcbfYPz6)(kW($4p5GKnXmfJl$#YDw8*i{-e z6vsiY`@!(y9SnVoas}65hl=r)0AreUmbjAIh*}}0&Ma7v(0-qIQ?JI>Vj{rscpm8p zHv;o3VLIX*(i}mlx@+apB%E8u^(mf2x18N*yW`5TY{Bk$d{$b$5Ga zzgB6hb1Y%2GjE~P(wURnGgqSDPm6q^xvVr@96P6ZYk5ovnCwb!BK^@gMBK%uSc6tC z2Vwt5Y?6(gsjg8rR%2@U!fwKu3cABy$A*nE9HjiXTyvxotCDwLJys?F z+Hc>=)*34I$?i#Z6s&-LCd;h~-h5 z`uXyrAVZCkNll;ifkxGefR>xNJrj@3Wo@5m=_v?y%q(i1ldAD=Eg*F7j;Qe7dfjm_ z&9BUUmH({Ze4)T>euprXWgnkij-QW){mm;Q+}YxpN5ETP)d zCKedTtzS@7#A#UpSZej(G#3rg?DT7gN3PE*dnoUZJ8>V(^n!PM)fa|B+;)C$=^}`- ziY6=$)I<-kT_7MKRVtULRK<1PK@iUjb!sXJ6>JK;{j9(s_`1WMt!eQt<2fXyf`*P} zGfkwx;(|IG$Ka_iKBMa_sv5L1=nKVKm~<5&90coo3b9V=@3gNJgbQbH8S_2cNmk=k z+zl9>xTs;dK&ABoFzeWMyIS8LOiN&wq%BGBZxdvaWqX}fNa!VKp7Q3WzOP`eS$^30 zxby_GYi_^1N_x52zr8xWG-P)?QnKHUK6~u0C-5+v_gsLUyxbql>|AB^%#&kNQOS+e zL3ht7{mQ(#H#4xL@~oZU`#C0Mp0{RsHtKKc=f0o&nlNEr0Di-ka4m6KA>SVh(s+IC z-Dn}gt3C2vV&%s_F#8^JVzs5jEART~X9JN;o+&5Ou`{!7X`8Cp+3B4rDK!MEh#n*9 zVmJ4626TTVOZb$GYwpU}qOpyH28k5BnBf!reK_NiDKyH)cOMGNnC8($9wB(~f>=du z5pNiW)%Wscu%o?V<>?vrkDfG(*{OwtLw9X?YR^(n&(x7|e2_F1AxUiN)uk zD$RQwP4B^^t?{Wm0;D=b+rzM`i&c%eu35Fh5d^!x80LvPaJkd#L6Xh zW7uV->nGHm_&iLv8b!xlf+H#9*s)PvEUlB7QT4&%he7A7Ng(+uzOtWb+anzXSjyJ! zjq>=I-z%@dq2qyn6&u%BXVzc8;{}UGA+lp}7DziL>?fy{9k!h#Z6I z#f@L;p>cbrRU_j%L5o?&rQue2pu03wlZ(wIn3Wk5qh9uK^Hl!D1>vT3DOC`&rdFxJ ziUS`qsR+r?%&+asixnIu#wxL_Ff(?wNwqPvp>fw5oEn>2nT5juS+#j5*@Y(5tojvZ zVD#G+1hH(7pr=@|uq33N#0)XlfRJx|#?lv9yl1R_rpApGo(5o*Hs9b!{ber*@t3OLN>ogSPitu8u2udkeqPtdbGSu;U)C z(B^2Y?x4%aQ$bXF-`Uy9zgsyvSZ|L{(5iu&9b`hSp0%|pmhul{Gb1Ofic`}p5_k&K zUcliX(+ax>48n8c_ZE6ovU$dhC3>PH=a z%ht<2QwGVz_;*S8JN%J%?csQ)b8$M*?Qp-jqS$^CTY=@5kse)|DxO4}NFwK~ud^Y* ztz$EEH(Y{Z8o^TRuem4c9!pK7Mgp_jx%TLU@&lzAkIoGV~Y$G|f?@-g|M{OY~qR za(diYxHcYQ?SoP7k{4VIrn4tT;oy9v_4fWbevGcAR?CHz28oZAC7swMRPcf&S zj>2*>NBOnrYv~0&>2yq?}Q=W;jcFvhH?*4i%bEJVjxE4aw=#Or41+|YKOBW~0+ChM+H#&SE(%+Gb& z{7KR1YCX2AcC+_V%&_`G@SLA#^mhCgw{G4vIfebjv~>ynwy+W6>=<8O??8gx_QXWH zF@54ni&FuPJdM$d-3w%C;w<0yXX@S`$y;%PW?B1{w}7*GeZ!?7|G4pY#3>dfCPLXh z^8t8uG&Rqx?-s~`fw|D`%i_-9J6fpKhEnz5>s`@6JaVs&N7Q2xFc4N-WF7L4>N~?#BLmJi% z-t(ki29%3gS@&MM&^T7~ zeR5i{+B+OZ9^-xGM9l1B$^<5ww%#~YV$73yuvpM5j&)VFcshBuCS9^cPvJ?IXVW*A ziTyZPMpmt-SpoMadm`>S3IsJ>R>5|W?rUoTaysu#Gq$2Ke9ZZ_2-j!RAV#1uV}Sv4 ztfz)`q++NmCf%Cf?Q;sbEnn^aXUSXn;`NuC>z+0ZETS!~v%k45yQN=(==o9R7_pT2 z$TYnt?N%;fkL@~JIc<7xDMm!g?Ce^)42B?0VXdrN6pt2$8Ui2hT#tW!OqGn~@1#Bs z;TJG4NCHc@2rhMUdWNc7VnMu^XExqPZhdDr+=CZ;ro(NCN9#0$+eR;mOV=}2(5EU2kz=&_V+{~qI%SzV1wpSSk?&im%V|2z+;JS5wzQ4twUWa#Pl^t}#(BatAv49bL8 zk+y^G?(aeUS6uhV1|GJ3;e;|Ki}UQuWuua}k|s#!q%od7rL&;=F6U%thKRllZ#_$4 z!e;}9Cjx-Z%Q$Kdo^jYFzhEn*r4>&r+lOB)(_lOvrF zNxcj|BLw}72M2ui-roPU=Vi*BxzC+>lb2+oV%V23x2e{^m(juWXQy~#MJ%TqbxpNj z{FU#Si&ckH-KNbD`x1|FR^{TZl`k>|SJ9a3I zg9YF`#-QnDw8eHIb@5b|rz=-v3sru4=^)0EpZ<|o0IH5~Z3Ls(#XGU}_R&H*o0^M$W^H_ zh_7m`Ay>X?^iG+4A5%16)38u3pL)i}=j+$JR+mIHBcsO9QRS7r5Z0)(KUC&V!~JwI zQ*n|q#MHW<>9E00V|8-3Mj;~W&ScYeDW#>eKg}|i#zRQlYUY)zK4ePgb9PI=&O6dL z^m4XcnRw<^D#nU^{~PJ___wjMBJ!c`q1@1MkKQgvWzc*_er+QaGuj%{8Dq1ZWc*AQ zN1GnMLZ@o&(A}Rjd}Lb}lZnnaQcY32m{gxCarE9=^?1-d50jji zH}}&1a;6r!e_NJg*U~BFZW3r|fC+yO-_QDo`S<&VM2Re0!K#r;;l{?;v(G1W8OEFi zb`2WdwSM+o0^&11?}r6Qw87&(Xb*tV)}Rcn1tQk{=K4~;Cy}dW5lyUmAH0nAHTFh( zxu>}($Al}Necy`=_s*?10q%*aMp?h1w(j)5F8y-FjkBMI6Rd>ho>!~hdT93TFMq0) z_i)MCR`;jdgA(gbvU`VqZI|Kj;AY@^1}@V!irdt&$iL+!3&h!zE{K?oS^sw7R69F6 z2(zoy5#Zdnv#_-QO^nUGd)u8Uo)KH&w8TNLr{Z&uFaw5}owW78E!Bm@=OMZZ2*l(t z(7vm)j?fir`cIAuW}AaI^?P1VUqzr0U9auBaY`|q>ltO(i`r=nN~z|Oj}&0Gc}@LG zvDtC%z<<#BFJGNLy(C!Po>WBoRgmsIdyGz}l%2xrENAgxA6c_%;@hUqSwEdTP4!NH zJ}x}FJhQXkzj87F4+SWnyU!1CNSC#gT}JdPzA)V_o2t4VmRGLl6(Oe`EI%zcw;qbl zFr;bmm#F*aK8NqP`&PCUpNx!ksJDTqXYeDCBTD^FV-|8k8hS&1`xtZ3`&k_n4=JJ~ z8|jXmXJl_Q0%5Asyf@ApvR+3QuUv=k4=3|A9i#mXi&75o^D@seulgGPBsQ@to)kYZ zSG#PD<=5UT>iUsUj5TIp&WMy&_?8o8Df?uZ{Iza*rXxCTH}p+7qWmm(NAx|z!};_v z5@xW$a<*rw#z1QX%{nv5*R#Jeq``l5IcED6GAPLweoZqOd+YG3krB;xRQtigy~_HM z-g@{;S@w@!JO+G^Iy=wv{^!1&&Irn7AT$w64CZs^R^STWZB17 zZ|Zs@v$BUz4OrbRGbDl{)cNB&EvEjEirVP6jnQ`=$#4JqJAu`{I%lq0r?qf~{H3lv zS=IJ#^Us(7u$=CYb5mpbxC#B-s@M6&nzDm^bw5?o%nbR<0ei*W&?6Cp&MCaTJvz48 z(RX8TuNS5DQM;BOP>LWHjmvlvHeXW3v3;M)g-GxTsr7loJW^! zsHN~IOoAxTIQBzk^{ymR3>~`uQ5tvK+U6$7MqFl(UrMV^f8wnDdu|$|AerieJZUFiDdTDDE{Hi9W8OoS6KhSp?Iv3j|&s*`+D%?UMr@SJMOn^WQ5E?*Bxty+7v}^#9!pdtE_^eOi71vH#+YlIA7p z>Zo-(h8eoIXzJ>^PuTyxr^Nc?$2WOgy1ku)k^8x_)&?m~Ex)VR9mt0I#M>q_F8<0! zLla$S6NI@0m8@wghcH8UNYjWy+($v{F#lIx9f7nHE9&%(Zw*#v}Wi}dgnXs#;;5x-VAcAW!^cP%S@?qeHvbvEs3*bQm4C`5yXFE z`DwZR{8g4pUjZ4JXFmG2lVg)IL|yVUwQna^ZJ$@nkM5AZ;9*XZj4Zk7`#@T2HA+}@ z@T?--m-{*oTP2t0t!cL+5wP>{7`pdLEAvW36_2bm91KemR;>Tv<+1cB!#&>OJ+r2)?UzNQ2ZC8f$aYWJ2^x6B4m(7?sEob=>@biZ2 z)Rx`U!SqnYu5x=h+ZA}D7h)Q)K8l?po#!Ilu7lEhu;4rKPFV9pl4cUJG!}x9PDM9Z?N+8zWKc|&gYVPAvKQVayZSIeKm0R zN*(bexNgYv6W-Jg=lVIwUxFrv;PmDq7%^6$fm5wYHRwTl^I?@0REq9HG4^EF?k~g5+-Tj#|cXpPk5$(y8l_? zWa;2y@ARLlh5y;*Lc-0={vl=LAZ2Q4Zs9`0$-?nJHoK5809Zaekgzc_cP9BD|Eokq z#NLC1>YWC`OmLH6!BrMGTZwvnE{h#X!_&E7L*Y(3i7gMJXD{YKiOvOz9Ny9VA zncA7VSdg#)*jNGo1pm8Vc%+~D%E!|4#;kSv_3Lpu%se4V2Pk*9O}ru^ZuWcNrSx}~ z!44qhS$&!q@ZV4|`{{x+1P&X{C(9$M4eYFn0QHm0d=r<(C{XArd+8!R%Ex&9yMJpg z@R-2-|;! z{h{dP{r$R-?$WiSn?UZECI2Cx10R{ zuR6YcA8S}c-(NQDmy0Fl&5r0y6h-rAQSt|7HfbB2J{^5{<10TN^>%D;e>Ax9c759Q zlH-q9gp=;}c<(<^nhK>u5&=~DL6$~Vn zl;7ehPMJcXMT8_2%u(WYVA9W&3Wm%si9QG(v@j339r7dToXfssve#vVRSlKn*&);L zdWn_MUY|q4&_+15YLU&!F%F3BVUAw@#&EMRjuodYPt8el<>;bog(n+(x))s>bk}s^voBo4zkL zGbm?j-y+dI#0-PGbJA=f2NG?O?-wZnVk^*S;kIOiwy2Lcb@D%)Ow$kunW2?#;}^^e zQ!QcOK5SzXF(kE06P-**`e6%sJIs+o(Z`YVw~r$}h9k^J%oZI_(FXm{pkWO4*b2*r zRqP{_u8zMD9X749Ywct^M6u=ieCH#K4w)N^PI9l{1BP?5*S=;i^Y`@`~~a7 zRLw>>2GT}2qz^}2>VMvYGw6iNR3C zPG;K6N@Tq93i$!UAezL_-j@j{e*8>eI zmC+SAyWXZ7!V&nL&uSfL895Q_b8et2EATtkQA1V18klTe{MkPi@PDPbG4vdxSy5GS z?lk+m&?R)VNp}2SjeQ9?lwbdMrBc}{g$Pr2*~XZ$4U+7H6d`0MJA;v}Qe@w$>^lh& z$-b3+-}imr_ceOYZ9MUCuf8cRSy6&i9=A-1ldOCg^rF)wLMs*TL6S zj*4DanJvF>sI2ArgnA_eOYGg0&PQS=-+&ijRQvoFh1Oo(pDv*Z!;hj0yChQ4L#mRX z8{bP89B+=Ox=9|_8F#H6`?TkmX|0uEQ|u*?5~2{(y4N`#CimTL2h0wVv?eu}S=nz` z#lFkZ^pRp%rySK>bKc4n2^vWkso&eK+I0Q6vo=<%@POBPKr`gGsk7ijzUUzSD#!j- zu4Jcq@TJZc!*Q-xY2|L*ZgPyB(+aA#IWuni`xiq-Sa#?4QiU>{!ZJa*_--0N4*X1r4Z8bT`AB= zU6>M|fyW(k)$L!7u@pg5EhI@z;l1KS-__BqtKHwU!q z!tWMIsfaG}m{roXI1%ynXJypu37>6V#tz2vuK)OY?RlfIQ|7g@UdnhsAx*k7rSk3x z0+*Z*$Il|}(snTD3CFB*VCie7^dem0?dB!y!unjtQTn9Ijh@b&w7KF;PteH;o9fT- zseo!9>kUOw{oZl5QDg}_N+mbeIVT4*!=-iAvA=KKyouT6%5fBxTx$~Lu%OhFLvnuf zSg{CA%)0c%I?$)4%4D=CwDk0ckZ_#O3iZlceBt1+Pgf7ea-4T739u4}OhF{uw$f!V z$BU)$A`I(_W#KIkm(O-a(Jxz)pz}n2RUhB@t+&7Ob~euhJ{l`7+}6sl|GG@p&3mFy zRKDp$si0|!5SNnE?7sakynRLOs|t^`v1LbVFGR(+F0AQqzn>l?A(=`%xK4`Tlvz4r zv@ctJ@3|3Qwq~=t*ebO4LFm4l_W*5U0qsce(YnoQB4;l)a>I-JIrHM2553;qB~y|K zMSg8_-v;LL9j`8jex?T^?I{hd7yjn>Xb1oP{zn+EMkshu7wYU#P5}xLl@-^ceL1wC zoK52dvdk14>6|35ykZliUy`}E5j0vM`6DM)`1)M5Gud`f^x6x~vp?R~4baRbI9)Ct z8`shre3a;f@Z%^Z&%>wrdPmCbZLaqYQ>z2D!l%mOUmxf9=>*Tu(DjJ0t_5I)+CRUN zsMk~^yhAkoR^!9$z^%;iYOG|t$L_{zwgX~VwoFMu+>qtN!kas9(_VdZ?p-HFKCdwh zFtWjWS}J9ERBSTY<tjw~TUKlk^=@N?-fBYjB@7j%JPX|{Xvnii-a{5v48Yf7r{OnnluG%Zyo;Ggc zpL+isQMcd?Osie*jDI9m6L>-(Kv9M9T(~LbszH6*jDP?;e50+BA$s*4zQcF$I|&M9 zoFmH4{e1W$k>tp#*6O_r6fotH{_Erpjocq!~@_Z8@9!JEE+`uN4jNL=iA z(bz0g@{-c&!8p!f+iO5JI_mJ-l;}^a>HL?wVdf${_}h056NO}dFbMV~-m{E1SKs3M zJ1}&xLVoUp-Y+7}daqCrF-fMy@WjydULH_B_{o~pmAc@@tKsG%dEpKPFpV2>W9qBY z(s{{Zzf}m-Zusd9l9DTVQ16*l^e4Ah0p$LV$)t8$db7jUKSzdOvMtXldHgZ(P00mn?)c;qFt@N9p}#+#F%ESQDfFzONN}bHJ@z^=^lTK7a^|OT=tYa z|BKg3IZY{iPOu3*Iy*7XT#(VSIZR8!rhFq{wwQ#bjO9;iQ1-Gqdjr$H#AY~%&!#c` zB+pzZ=3M!l%=F%YW!Ys-vFz$OF>)ypeGs~NjcX@}8tPBReT)w&0({+~1DAP~-`nnT zM-Zyp%C9=D*>M>xznMOKj;`n3b}UnQ%Gg!0wa3Wn|d`*4YCH5(euTV`}ZAWDm z_S-z0H$D_rZhyPsE?q;Yp<6bd6`4IJ2IjTFoi7n2{8j$@GLoMu7Uln_bWLtHe<_MQ zyG10BW54VL)`QlhKLyFk$}@sdzRg80uDl}n8Phx2JyH>Y0h5EI3b8HfDE%@MfgcA= zHiOCy>dEXK3t06v*ud$*Yn;CXL<0Aj zyU70<%!-6tDxftcP4n=noTDwpK^K5HK{LVN#3ofPtQt~TZCm1sMP@NICj$sdrXHg7I_&Gt!G5sBgQ5g>nI7$O1@f?Y2c?vM0m zh-L=iGA8Rm^HhdsTT{(nx(#dR-nAHEX$q%Dc+}d@IeTMO7`^%&)L;L?pGdibr`jbC zDVevjF<2c?qI<*3lB4_q@etoyIygH0=TsJv?&A#(C z=skg3D7m*q-nmBnY*1-`b3`q-i$*TSspgAW0@Q6@v;E)cqB$H)TU?*Efj&7}%UzCc z@yxZi4-e_p)ok&925mm#3CW+=v&+R1yo-k9XdSXpTK6c_{*1L0-kmi*f1kWU4`|PD z?eFKJjx|J^rwD1s$!;;#f`-bFe!pEKcQtmFiL8bbPxBlYlGn0__h36`>Yssuv$6bP zb_ioAwp_U|FDKnY^Q=s0ed(k;e_EzqH;Za9O9SmzzGF(l28M`TYaeBuk=Uz@6gHpU zy`5i(PWv-qt=*;iak6tvwGKoILN2QU_%+q_VbmUfeBdn#@6%(xv!6AIwkq1+C-W?F zy0BI2={E~T&KDE)*PYF8$r*WxFqilFfbI?+_KI}fLhqYU)mgB8RY3E~6Id0gt-YkU zbUHzpJ=`z6f8%^K6MBiV%&MEH*%o|EE9y>1TNXvZO#}7a5ZRe zxGm50zYuej4%*^eYL5)K-$);6?qYNBW?3@%rze-o4q<`wbH0Y0|_3lE!3df7^Wsc7iEA7 zcO`G{EO)Z$|TD}37y~&14xH#Plj@};AVZY2wUfVa* z)IXPw(|9g8D6rge^kmPRL-E8bALg2BC2E?dnhFp4wqLe<8yR{<;S z^S4*SNcL9Vd2SFP@t%ywA<--OD2IfJW&9o9XgE`mzGpT5TviYC&uS0GggvA=W*+AiB)!1e*<%LK8x4-Ia zh^3qTW3mN&ZK!&Nvun;y6Pb;>M%H`}g)w=-ST+!usisJ~$-`GEM>?q=U1Gn}w`lyR zCVh#X#>*@`_DqT;zJ|+=bfLM}b%Dpvzu;wSOlZl%N%?YhKs+9VX@5p2A+yB-gXmPAQs)u+LL0{C3%dYjd%ev-&~BI$d54r^IeL*-&ba zTCc3V!OnZJE15IkYfsul0%`Wf8&q4W5!*7F>c6fTU^oE`i*j}Bv@P`Uu4!Q9OrJGKRc|ej&W~&#M2Nm5bFC< z_homTgwhr*efx(jZXq@4nfcq*#I`Zc$`};(+NWra>7bVyvLnM3{xhwkQ|2kTordkMa zsJJJ4hj601SaaXj$1RIpDP23MkT=NiQ(9=iXj9G;OjisJU-sm7 z($30$V9gol#&+?1O$Bf_v6nFNBp6=iIb};RJ4X8VKsu^i~ai5Ok#&7X6<(d zn@IJfxFnVx8?F}xlo~vI8rZMzyXvOzsj(K8io=A|zr-c{Sv1?ju z5+$?ETm!W``pHT?Etc6WDIYWHw!bMih2Lp^`y1(4Ry@Z3`3c4)hThlTEtt#Iz`Nf2 zRe9wt)yAWW$fVeJBO`K06;)(yV*wY04O$m_wQ064Uy_dOo9p}KcJ0^6aaK18hK2gv z(n9St6u%~)c-$}u2InId6(NJ?ij1yEKZ+Ki(M6XB%%?2J+28OXl^W1tT2zAjsyOz` z@2VN;o?pN6RJNx}>zuB{U$9)SQpUX6@zB$1i#n|LwEdaj`RT{>nPlyGt^uXY7dcB6 z;Mf3cwYZAd!c+Q!sBbx}qGmhP(h+vn`nqPHzLPy-oxsnr6uGC$%kNGc}E|okX?vIHLtByA)^^Wf(4es~M)jEU0ccr)daaMDT zhyya^*Ciu-jfRY2!75jZg~QwSTqXww)&2&K#gl6M&VSC49< zm@x?D)44mFqaw2UpsuO*A$#JbZUvvAEBZ$YK^H8Q|vyUS6?apnbW5| zbhwkSij|Lq&U;n;(S;5lAA$1EbQV|hSiTJ?#ka1s+m|HXdRQ+P*S=zW_1KBx9f|di zL%T4VcFv1;{IBYsQ>ty;gqGnLesVoOQ44;pCL~jV^w-KE%c8#)c(IK(-#!`)>v+b% zxepk8)fkRokh6^s9IHu=)3-y`W6QUPc7GYkTBn#{`ad}ej#L^NPA+qqU;h^H+&Lt$ z`x#Qsu*T_rxn-UA@wNQwPbD%f1Uv?=p<Qcu7F=h`LEOdr-0W`OI z#!SkB;y&NEqjfEB7zfD9-tSo7y71(1BEmL^wkR`H@P)vOPPlwfQ*3 zidJY;jIDoQP}Amq;Lps5pLGWgeC|^k9x=2tIF0E-k1-laN_Y!B`ucPhU}>RN*v@_w+gl0m9@Y z@(->Dd|aX&v0cS}Ea~b{*2#L|D?I;|*imJCwf(od)>C+kz6;G_*87cPb%x@&Sw}?p_ zcj+dwD0^cYz2~Z zkW5Bp`lZKL%!Z3=#=$wCaJ)uh6iNBDKGCw60WP^U)s^vG03X`939;wd*&b z;ra}3(rJD0v-J28t@i>t=bt4%*DtDn7uJ~*ZV37bqj&AN>rJd!x^%NWqD*mFZplX zB`c<2SSQxB_$*Rqnb+0CAD_17r)2!wy+Q1UQ0SNPAeR8oUNn00>95(tw{v#vWrk1G z6794DCguXe1b4#bRL-_yZ>}3BRxgq5WhIJ*ulA`X9A1Fv6a9$48*oXLBda)H!FIGt?DgX@CaCyT-N!3@jQB>_ydeG;7|(d~DN9KlP<)xzUx_^p+{ z72VZItN%2UyedK;vIo~iGp;s!A1OqbNn}ZdxzAqY*b%Wkdb`ZDLT{ zw~BYN^&nDg@bqNP`Qn!7+6X1o7p?(X_L(U*zgQkUWo>YD>5PzwkP@5N zgH~{&2051W^CWW#8_Vxz)uY4?I_``md_(OHQzd!#Pju_-=EMuYE>loK5&xS-5m^4Q(V4Fw%#6>e@wEfvZ@k7^WGV7t!r(D`p&1o>|V_>4VJ*- zjD_5XiJ(Y|g7p>NkleepUO7DitV-WLf2H@^x%+*!^4l_s%JSZ;FE*vh{! znWr2SY70Ny$Tf}g<tvVv4 zcm}#Bg6}*O>CWogcs;g?FZ_Ox`)=@i0%%0At9RKQ(cD6_HCa(v4kv_Lq*YAHt7Q(_ z-$YYg+#*S$x{$-Xc4rH()WYn0w_mF-JXyszmYg_`(!8ZD;M$yY2kbN<80qNtnlh36k} zwhs?Ikt?P(&1ManDx+z%C&?4vj2IH10ugSQE69_>GI?jj&*6)vkeo_%%Xja_E!krY zwsl?ZnHx6DH@2c$*7pOM2MFHB)FEf|?Mjm?Ms&-_p+f?a;w<}lreyrWCC7h0h{R;p zj+dGgya}Yi(3aHfUdBokXedIr&a)Hw)?vTt4sv;EG71j++}X4uY1K`lI%2nP3O?qZ zT|b`MTXE-23nuua8#OPR*tpQhfsG?Sub|!1^dPim5}Z)X!hTg*+p+6k?~W*4zgxo@ z$C>lqJK>-ZKPIL}1%d9;&?zwmM|}gG)+4pbw`-Qy_=gQ{(zrII?>O(;NKl*4){e4- zSa~np#%B!KwzTQb-#fE75B>$8y5_ob%x){5I?kIjet zwqG25aNt_Xs#2-X`n$2YT#_#8^?oW_Iw&}oOJrNRmBR4x=f1Eq7=3j2x#J&7)!pPF zMU0>3EolpGIdvSM>0QAWe76#~Jjtt!k;!PVaCHoI{>i56Su`OtfIR%tl~6tH{%q&v zT<@mwLi@1d7j~m%1Y@v@`pQAEz&+)I3r>$p^K2z9TwZtoGb#QouY+6Xxm-xBL=yOE zK>jy~dwxu$k?$6Clf|9|S}if$xsVYe`>|*`;f0v&tw&nQY@v$xLyfT~$ZWOc< zqY}exH-oH&Brj%I=qO05>`#EnKy9uc<*jVpXQ5Dczv@NT@-MOtda6(KnT&Nr7a665 z4I9274{XNyCC8l`7Oc8LpUP3ZChTA~=7%2l#kst`*q<0|44pPog>Nh7zNYHxicgvg z_j%di$7I(AGmq|ao7{Jnq9-_G`k0sCGqbw4KiFtx+p(mJd0^^09H18hjNQ&@<`Um( zbwG?DWDmn$=65D1q-lQEW=#kf3xCW!eJ4>Sr`m7(aLGRi+f^*?H<&5Y?t`oVhq(41 zYiVh2&0K9znVs&mu)Jdi_fl~c$CybWhX=8e6p?23c6dS5R7^+LtHu`XC5lSe>Up_M4ri*xq4r7X`5Aia(m6k#8 zzDP@EL)f6F@tw2CK0LnJcZ#FiHq|{$#&2Q4XZ^r(u)VHY_Pu?^;(D;dyA?V5V?3X*FO2Pata&a%pg%VK= zcW9q5)PJvI38iQ7lc@?=>kU0`L!%{gH=SOfN9V2#cVd7{m8+A)1%^tJ&%tx!`kbH4 z(&(2ixyefpjFyHzd?Kyxg8D*_H~^IeMo$#4|A}LfK8Ak^(pJ81|1Kb;D>1PlsN>_` zsxtIpEjpb^qiTtP^ens0Wc8J(BDF@sS%ij|O)X?ZyjV zlqmuxi#-Y~cw{p;jrK_Co;?U6rAwMb@Ymtb*UAywsr(M?2hpo^J}gf0;2*orR+CXpu9~J~?L*3_RLfDoWMp7!Kbt7HP?s zzuGk`5s@FejK%*RyK!AOpY}7#x!Tn~N!vhNs53i0)zy*UX}eAdm8MGhk4b8|^2d1$ znHK^sR5^THcUembebk29Dn9VaAiS+Ji%c{*mjQ@N4e7XBt$%**w^Q3m&{O_y`jDO~F@EKor0^JU4*ykUHiN--pR$ykCM=trW{c`J<9*?)>^jEa<;L$%?PoHdx%>=>>CrdTcxyCUiMMu?JjB%wV|lK{Nv1X_6{ zp_gkky&zQa)4RuM3_MK1D_vWbx!z9R{_5meYVv4}w7z0WY1Gr}Rhs>(-$Z22?dvDV z#BM#i|9z__`77I~f@uM*|FOaT5fGcuNO2mbSr*cnj~^MI=HqYX7IRr%PQMu5 zR_EMM%Bwe>YP2bn6ZpX?`b*wVKAjOF&wN=4-W_l%)7-zhg%KQ{y4=-tbjQnaUe2{P zM9{P}Z4du)Acy*;aOhT@&vdgT*+j#amRHx@k$RK#9NR&6dk}{iceZD>MoUNOge~cS zIDY^4!x|731!Yq=kl=kjR&7r30tlMy-J~j~BVj#wiiST~OX~!6EAJRJ3wf1*%XZ9g z<}kTa-1AGVu^4zXM+iXE#5NIoSdQ<|A@kT>RKTk>z7G%V~c&A!(>P+DK!7s z(b{b@>E7*~B!cfL>r>L)vXNkyK$4HYJ~kzN1A4IMP~AZDDwt{EmBoFU0iT{O2b1;q zQsaSRxW%h=XJPu7sXJfCx6L~qGVIa_LARQN$+7QREXppA1*vitj0>%QmC7hNrg2Ej zL>;r*BAPN(=!+?0npBj=XEu?0gPiLLx@l*O-S3mTR0U0gThHJs>6H=a=w*eMnN1T( zpY|AUD{zX83VQn>6LT{xyWMGAY5x^?>u0=_E6x5!)QfaPICw#3iT5~%4Yy2)h0%1P zM!rf3Y7iFVh0)MO6DL=^oidOCWF_K>Cdn20>wIM-W8DGHgiMyX#DNJ5d%{9glZ(a6 z>qYD@ctjB7F8k8mZ?_I^3{NOTeBQJE=vEoy!Dpmqax?pnUGfi36%Rs~XqMmCCrc!y zZTnQCsg`GhC6AzgB5(`L7H_SEq`}0YlRHRx{}kdB(uWQ zaim0TZsNwbB;nY`a8tEeqs}H%Uw@>;9l4xcz*;W+%CB9iwr)Qn`%u_PGL|6J11uf4L?m?DLWDjPna=X<=r{1>m_wYcN| z1+s$pC$s?|I8MMI5^&fFmgO;oW%xG^;-9o)fEEBoAOs5>f=4`tP#0o0mR1lVL<7df z0RR=?KOYaAgP)s=iwB0}M{*!Q4h|8@8|a(p{C`m@>exWIloJ&Y;KkO`&PLC`76ylm zfgmuJ7=XiokR&)Th@y^>!9Pqs!FBu#y74cR2Am%Ok(`1wAdrl-g$V%aDB4)+DH~v5 zh9(yJHm90kx&UKi0ku)zL=SUXI;qn$hd?!e`9DB9uz!Pcm_-39$bbdL`9H87=BHxH zp!`pm4h-N`^bHJwAb`=qp=D0k*#CvrVFx__rZ{ONumy0yamGLZ6k97DJp&sZ3nK#< znhSh{VQ2~P5rzQ(%YR)*apTx@4fTw50Q3h?BLF!U7dONOO5(WE16&EnfUb$1{DI?0 zxF6z5+_-Bv5;#r@0!ISpIk|>_<0z515{?0m!j*6ga9&&q#{lQUm2eDjeq0I102jrT za13yqfe0J}9A_W`#{kC}h`=$xaRwrAB-}WY5U0(=spY{{a^uwUoHh)nmgm$xIJMwJ z{KO$RwLCZmZk$>k90NB_Ef0=?8)qjEj^WgiJU9k!oDn=Y5^kKGJf}^;Ig;nJDL4ap za102Xfjp-T!x@OgF(7aTB2Sx%GZ2YmK;R5So^}<^KqQXg)R9OW!>J>YIEGV4B5@1| zoVm!;uELp%Jaqw15%RPtIMJw6<8h)xfaf*0P-HK~8@2OjHYI#rHic`yr zV?g56^5QCyIJLZBFn~#TI@_S>2t|$mnd^FXHc)sw2`wiP0Gin*76$(leXK04ApVp8 z|2uj^h&L#>X}}QRA2%;A49){3Bp(6>M?&eO4h4gwUbR{;TVKWGOpXb$i*$nk*%7bMV1J~)&hIzCX1 zlfs9Dfdq{MK2Qy80owR@p#*u254ZU93}umaKIib^Y9_H6%|y)AG7?!a;%Mk z;T0}0g^8{}D?082Lm`m}B+L+32Ztlz{Gb=056t4VWkB zpaVL9L;hDC9E6eopo0ov@c&009Kd10l>DzcIGq2#=(zZK`T74#FBd-_59)ul15o}i zc?fQvfAC^#bN~d|<^+L#0-FZW@N}PO2?iQ2uWa%PAbyd!J)n*a=ET>ar4V?Rfk9kB H;>!O4lOxu0 literal 0 HcmV?d00001 diff --git a/Document/Specification/이산화탄소센서.ppt b/Document/Specification/이산화탄소센서.ppt new file mode 100644 index 0000000000000000000000000000000000000000..734583f8c330453a0af186133fb7a61f05892dcb GIT binary patch literal 118272 zcmeFZ1z1+w+AjRk-60`LN=ivdND0!?pwitff^+051R^z;ytA0096&03m=I z0Kxzw0HOe50O9~Q0VDt<0d4_E0Z0SL0LTK!0muU=0Ne&p1W*D{22cS|1yBP}2hae} z1h@lm7vLU%7JxQ@4uCFz9)Lc80e~TZ5r8p(34kep8Gt!}1%M@h6@WE>4S+3x9e_Q6 z1Arrd6Tp1{XMhI)Eo4*}c&+yOiQJOR7_yaAL!O12;-C8)U#csrcM03LtQ5W|rE zG1uWh9NaJ;qr}_2c#oE0Ij{=G#h;V$uFvK=e)1kJ5IBm!pZh3++#i5iJAl@-1+|6B zKnZ%n7PQEHP+Q|Om!DJly`2FD4+kZM004&U>F<62{0jv*D=Z$GFWNC1%hhS~^{2@w7^|M#{4Kb>g)$Ip**LULso6Z{*a9gW8? z;ie1I&V6ED`xIyYzUh9HZBFAyxmDpYl+vK6_CEOFPrKe!BiVVvchKJ>MyU8{EMK^h0 zx#m!3GVxmvTInSqzX+z>v31R=3Iir{o zV$@3mL51Q$+Jt1RstK;+J=RNK_gx~^H?OLvuMO4TC{e(D`2>?tc3zH|yYa}z(Gi8| zCcbzwfh3=!_wd%1foO$2{rHw*^If_i|0LW5k|V7ZMQ$rbIj!F4O26$`gOJ*h)sO|CoO7?1MqeUZJ}8`7uHw7vU*vvdu;;)caYN^G(@XZj-Y=In4=uEWy+Z_BPwlnV zO{)&ye3K9&_rIRiH0Zj1uQ(HToQAgCD1k+k4bp7qO#laf6>yU8jj*v7QgsacW`X2` z*vjwCJ#kwbCu18YT~${*V@GYMSrlb|p8&^{uKoas6#)`}=+Fb*2u2hsof}}SL5&Z3d z_s-cD$aVu72^l!J@O`KuxHvGp4TyxmU`;;Y;S1mg;13W0@CX2s`_teZ3=jek3h)>p z3?LjJ0w59~3LqNb2|x_MQ-D~2IDmM7X8;KRi2z9e$pFs*UI3&3qynS?qyuCCWCFYd zcm+eAlag>(>8!_3HmAHN$}|A_M_x1_xV0U;twiTAY2vJ%=~(`T8CRsec%_ zGj<4r!+~uf7yz-y25ZN`1itLB0UU@wLIwvmi~`MlFbi>Rf@gn)NE;>WV;&JSIsXIW z14HD*{Vgp~z|747Gq?H=(m9U;l`;bdh+>#GObXrCH8BvOl^qTYwsBr-_XWF2go(E&_KLY#V_PJkP3j_I>v7hMqXEJ`@HJ=`xHE@jNUMpdLW#J}KCRy-N^y zjS|xay`g2=Wf%dXAQTC9jKBq+P@#SX|1n~WR z7V1wPWgr=-DWR-!U_k`XA{z%5Lcn+ywh{+w3f;3mMX)SY1)B_f7re^2++^HG{W@4>P`C<4%#f5L4j(-7eqQhx2v-_rd1_5Vx@xJPy?G_m9QuS-?? z%`=Bk@KO3Fe68=ri)6ql;7e@IIh~tQ@1FRSAt9D!S2R*}ZQERZ#qWVZ#4{_*uxj;E zLK3-ED-yDULf8B+8I+Iud_v*KuTyp0cXKU#FNY>gct1rlylY9vl9T2kB@w}+q9w!K zdgCrX1-&x%l-lf-d)5Yem|B~wR1?EAy9JIc&#~u^q@w$y2yxn)uIENYMi(Q$ja>Fv z^vqO!g{T(Oi514vuhtNGXw_JKAn-B6?dq`6M5>El7u!dSM<)AD`#n#@l}{6sQXhNR zVmbG(x|@q+K34sJG$ydqbeO2cCQ+^nI`)stqqR9qS%@EA0rLd|%$J|2{NMBB|Cy=q zFDvRF(T&~+%@f~s++7kkg<;n(f?CN+weQ+ddW_N@ zmqAw&ePYr`0N(YEKIw?VV2Tdy=j+Dt*HX0DTQZe!S-XjE3LOl6d$IQ3xzEYrkUM(* zGEwxV{$lGBY37?@sWj^B0wzoMB8{moth{6U)3H8w92SuWe4sTFU=%PXeFH0F6(?6K zV@GK5iP>_s{0v1#?5FI_OY{oRF)j1zzE`^}= zkgL^Rb!50A`nb!Q=a90ZWlSr`P{DeC%pUr zAGWUu>Z*KRC>R{zoA75v2M*x<{hJc14dnBi|9Q<3{_%ajhCuws_jxPofs~=PIExG= z0E``QgGL8vn%@l6FW*Bzy>d1%fFIN+X9M)ij{6TL3J=DH_n)Lf_){wQKc#~EQ!3a$ zrGgF8r9m_V)8+SEHfp_l>j?N&fkHvMc7HOB-6K074)f?Y4wLL4f=ajKGvDgKfWz)^ zB{+cBbu!1p?TUF6lPX`B$??fS;?4(w!X`PMN9y^iSCNnj+{jziJ#BPy+!(V&78_c; zUkg%p+<)LPY=w;`z2Tgqu8^65^14HA(MMH|mu)yPVnh?Wt;zB(_w8BfsMinBbJ7q+72FJ`Qa(BhS?^NvrC#H6 zy@mdvxsPW>%_9{}1$)yBAym#jPfw2VVuq2>z+dKs8;4i#`5}(PR7t~>W>c&NU5zp)3r@x$E}~H zUlX9LQ(*KG^G=DhSc{2MQyFr;Tn|TIK;~!l%5t})w4yGbSh`J zmKr&$yjm6;TH<^NWiI6V zIC2vO#6(Z7u2g?ULO#vm$VH4F-Auxuw{zd0tn(nbYLviccc)L=x7CiOo8toF?wcvN zw%j$k$^LSJuiHlv$l{$8)lzrXbl)PZIH2$>+oA9rrVA`CZmWLfZuc`wd%6y{)nQ2Z z0%o6_sgU%xF^>~%Zer8t?aHAck)TJ=))TS(Fz4BJB2&LfTdKgk{O8IDTc5TnCjzVU z`N-#X6?~j^%atvXxd+*`Pwa;MaqY!v1;)ZX_=;Jp$iS;}g9ZJbL0hM6 z??5_&{FIlEo->&RnjS=P-(^eFvgc`kb#+dO5VNiPQ|FcrrAE^IxR=9m*`H(<{Dqy( zQ-%wyE;eydFnq1WchU&Ep>z4Ju*tjHs*$0+NQM*7?U%!civeM3<^BF@xjQqr#VFML zJalXbSKSKzJos~#?H8A~clA=@EERdTsI^6Lke9Q@oa#KJ`ZwB_vuwq@1n11A8rHIq z>-j6YulZ}XjbD%V7mpsf^wnj+>N4x_wBJJA=Yt=d@%Kj`ze-^+>wYqSB9nh*NWY4v zAF)}0u=*F&>etEsBO(D%P5*Z4|4g`E1_wji!2OZ)KWy+Y^s{)79)l8w!q0&>{%`K^z#Y0h^8{?g z$pEVtNNF9;8%9ZiI1zZJAnSlDGOP}4$l<|G!4nN83?v&W%)1HNLaPIIc-Y1E>`F@5 z#k`U(N?82><=K^&?s5Bg?Eg+=~nH(I*1_9b&1ocBi134mYKz;YU zt_Z)?)eqEF5`_6)*McjE6aT!HV2Am)TFUolG`ADu>eqwNKbgKUQQb1l?6_^va(98rItK;Myb>k%7LoR4J*k)0 zV?1cjG#^ZVa1v&nMZaXaaJos8tubLvcuk`01LIp(X56_F-jN;j8zZ+{C{Ps^F~dJZ z`BHG?C3J>9tifoeuA*QjQAXcWF1lnU#_B;C$CeyH@KUf<2YHBmRr-VK@av%ubPm~4 zvdCQbWhp$vr3G!a4@L0Jjc{>LW(G20;v}zIW2EO-yCPy|#}rLI>ooacxj*F)IeA~8 z)pOyZ2it*p8aJ&D$4k>B&PQBPbT}jomhb9Rh`WL@rthn(j7xgzy3=Pl&a`?}NNsx+ zPguF7AH=Zk2@ko0+4e`a@$0GYPqHc_vcaN>9rMw;UyWaXjs1IZ(sTve03BJBD)f8O za)zCnSFERNU$SdT+6pXRIJ~jBzFW?o{jA6(9C<_@BP7lE^C!KJEAE;e-qF7OGtE($ zlhS(AHFawWT>9C!I1g!al+#E^WTju+4W(dA;Ywl{k?*~(mu+~-NzARm=j%)Ba{f~j zk#fD9^lOn3HVDh^w}-z4QdD=dOvbf3^iA%4s7La9Dd zsylLf|3g<_+-;mv12=PRW2c@c^g=xu#4oeHTKDKqY2Ck)bRDM>W?a&Bpu~UTbU1$@ zPJV&xQD|vrLXvH@ZIR7ra9Cc05J%XM8FSd{hEGEuoXMG!hb{>s=ctuWuY^@{t0vsG zXRguZmL->*o%b}qqc-Q>{_GK%_{rvA)t+#jaoy?G?(v(tLgDFe?a0*=hpoomn#7V; z+8C%OXeo`2doJ&A3fQf6GzAs#;hoUB(c&ewsLYD>PsS+o8fmUQAVE{@z}uwyeC&MZ zYs=1O$Lsu;jRFToA|$TY_;5+B6gR{m45gj;?F`sqpc7zJg}NmnoQpb+;`1r12ud~(p#McDSSqLtU;lH<}~ zW)p4eKDpsKpUSjZ+;*rD-%T4q`A17{BM6TAicachhbOa=(h1Cbj^?yn$d^=m-h5N) zX!FHvQ*(Q&$H}f(u4y0A&Sc~o_CoATTR;*N1&B=OECiC>(9y;X@CJuCXOjQtvykh+ zHI#r7@`UB#KwA|5%s?_ZZOSgc*YCgWo{FMS^tJ1dxZ{dRo z`>wN6sQ$hD{h_%CtLKYg`9Diw=x7U)z?qwVByh?s1NL>GmMp;# zg6Q3^5;#w-TY;Pdw^n0WK(kgol7&`8g9SA*MU93K&jr@DLO0>kmcJ(dB6yqvc6$8udn{S$m3!Jds6Si$Xo*LDi z_KKV?=gknmhb8#jNb1$A{V|@Tu{yhVSVxb2ZlsBeB$Ga7*u=i;)8|W0$-S-`5tL|d zq|WEJ+;#0R+oTn3GUk9QeWJTRs7*k9W*qm5?5lumop)dLUS#GlpgvqJDc^H`A76Dx z@q!N=iL>d zmFgJg3!C+4B)EOs_gmxUe$SBBC6Rerd3}dAp%cF?i+5 z&2`KEkG%?#ZxNfabH#)cHMP{B#QC?J?W>DKNjg$2C^4(Iqh!AW$BD3Z7 zln1*et$wLk@y!>}bepvr-W5e={l^y`)6DY{SxJpz%I`K)YI4~fHP>4Yn&rCtUm4if z3ggkb>#+eQ(jSSAhw-TnbYbfN0HQ+BH2dBCNF~`OcEW(^fa5?rq-ibw{=#8nasO0Q z@w>)5o9`z}rxFsQjkNdHvE1w;0v-3UrwzE{)xXZU8{TO!O7f;;eQonrdE>aW_Jz*| z+#N&9XM{-|O%~TH@95>M1z%g^Yy@59{eeaX?SI#}yV!8RrFRc-{uTU*!L~E&#!PGJmp4_;r#0 zD^>mx``=uU{8h~Ti1=47NdDS;KVnCMMfop%`F%s+`vu7uXaxt%_Y0C6Xt;#u7bMS@ z&F9N$AcA3NfT=(Pi67V@f^@z-{bvLTTE0UB>Fo63%zplWNRj55^=DF)dbS`xCqU4G z4ea%wh51f~zAq>L8pTQfX^9DKXMEp+PzBcS6i0;p-%}iDnR~tkk=N*6?$kC}LJ141 z<{SB$>TrWF5Y?drrOs}G?j-$9b^fM0{{hwUQ$eb(0Q0O0?3eu+)mc^Vwjt-mt-Y`) z02Z4eC0omFz8XIBW9E1bxGbOHvb6I{iQ*=swbUH&Qrgmlb_c|_kgp?(Vheo@w)jkO z7^QVb0b2s^vmznVW1@I<7kaeM?faDtk`IrQzWKC_w``@j-^y^ zp`3{vLkB_S{G%I}ybfBFxH*X$jLjw0#NtNO)14VrPQ=$Su`q*i0^p}=X3FD z-8I3>B3!e1HE0``7wLql;UM(J(cIYZx zj|PvXJ?Xt_U0siMDO^uGBQkDHbhmu;gBw%LWFAa0JVn%gIld=#fS`9X=M`Cn1gpq| z_(W<9Y{^$$)}Wk-oh&MOlrF1MVetX?V60^b3lCaY;=~O4k`_%`T~^pu}^t+88vVu zC6dzpEuP<9?2@z+;vOliz?sR$l2fIrdX{Ci!g66>g32HM$O%npJg83fFaduvj0;WZ z@hnO9y}DpGKUSW@la5(G0%nC6DTc@Kax?U)*~2~x`m2*RNL~z5arv5|sKX-hZ^g(h zZmYL$V^llP5nZoHN>)m1??I>f)_R{@7%w4@l>NQ@oV68|wac}|`*v&_Yxf38-FDXx ze2A%{h0VPE?>|WiEnV5U9or}%%1<|_(o0W#!P6)5q&$)o`R2#UR3F$l-MubRpV4k} zV?SG=Gx1PWt>Eb_D|BtrZ1E+HE+^Dto(vn zWy>*h&@+-yzee#!tx{O1XKi|n`&B3zla`IUEbH>Y&eRsB`J{CZhfPheacCcxKyt!~ zJwZ^IL)3x!f%x8ri_6&cwNiyo^iAr5*Te5MHTg+fvh$HUzi76vdq7qrGR6BT2R2Hg z*%R=HDYueEX2%*25l$CPJA0%UBc`T6rS5alj{Bu6No==@P;(AUlNHhGzuZd=B|=V9 zb*xJ>YAHP`^7m2i-aRJrwXHk+h)=Hdax^+~4ylZ{t5z*`zrT?Dnb(wY!%OX5Y(e*&@ns4- zVr=E@eo>1A&j2P?QC`9m+h@J+Ii2MuQBadZ=nvcayNr1Z6TlFDV~Z5HSEqCACR8m$ z^!(@)yMSwWpABe+KT<8t+gcI-nrc}#LR1UoB+w4UEiv1W;I$;FuN<=B7}m-sZAa@B zK((6ho*w(+Y;W3OPtPQ>xeW$|C%w7KOoBy#+Z-^=9fw|9HxfaBdxO%_isgwfhN~tk z@&iY?QlahGN|qREv9VWKDd?&4nO`L&kdOPynhOOqP1&0lWwI%r(@b)0F-o@%=yh+V zHpZ$G5!H=%Ftl&?(v0t^^i&_Yd>MImX)Q5Z8E5Z!1@mUgjmg&BZduN$(>RSGNoEp1 zzG`hxt=Hv?2-lJNuO>*!MurOiqYeIBsMfDy78LL|^H-?Wuf6v@E)dy(NBtYBMF_Yz zWWG}^cA^dP-~ATlY%dDpE71Mp|NVPWkI!=dnRflW7X|G|{k9hcNX++Z7vBlk86ErG zZq(TSmfa|8z)-*MMxFhR6!66#7q_9N@Ph+Ee!IAR3W|XWLqq`l4xAG~BoU%sj37XE z6AgI&O}+l6UjG60vXm`JuLVO24-BtAqh60A@s%qzrN)fOJZnR6?drr0wk*TxFwC|r;=J;%8A+Dt zA$?uUUFiq{aW9GYw(mnbx^{>%6CO70wx+$7#lfgG?*;7^wNa=-i(l0lQU`z4_SB2GwHN}IWJWw42qDLIXFX2p^5)W;FCs1eR)rXH$es+1MS{Z2?B)8s zwp{s7RPY-)ZXw9ac;w&DBi|x1O{FKcB}a~s9%(Qa_^8w9@yfQyDqpCKVgsSKbS=n9=!>gt-CWp@iu`Md14_;XvlN=_Eq+Yt+ z^2F6qRh#%w_`w0V1@zyw(*Jd+fnNB{{1x@{Yv+BB3;t~dSULO~^+R%Y{($?J?@eMO ziUMK$dy%^I0jCZcITwMZ_$Rl~@}fGS+h`JdQs4aMugWA9LATL-+rrz@-0Rz)(Gquz z6}?hB+LIel70aR|wqhB-w)b4%lS9pAl+vd7q*pR;w#1leSIBQ^XHN6p9)GPK>_bK( zsGo@w|FyEVnt?aaTRJ#FIn!;y&Qz{QC_K{Pa%9H9As>7vxAPV6V{h#4`%04}E5T8G zpE|@x=Ml;ZC^Ut>%pb(l&PJA03iBxB$%v!+71u`(t!5oab`XoPzN?_$Va;F;PVK(a zsCqzJu&86q-Oru%fpQDuF@944X0UFrDJALEg*t)f!K)J^cdV+X!i&P)d33rI8cqrI zc7zuR2D`lOd4U%B<7~?udG)y-v=)(ah;2CfHy!&48XfhH0`xc$<{;0MDr0|&j}tV0yIMJ4%&5NucR)sBg3Ex`>s6G!?&#(C z=cFHbT}TN|_e^pw}P(Kj`AIKN{pkKROyD>CDe`XF+g z*RYB~!l0-#ziJmIoAZq}gk4R@AT`}>3Jqbl3O-%s4@Ox7@3MvvbyLVo#KpGTz!3UC-DLPJZoNyPfY}4 ztt!T~#n9+1HBGoa>rhNFCo^|z)j9~L^>8Tg>DzZ+)n%%{4!>n3H*4NmBeZ&fEWZgU zq%Ek3q?I=rjuGMRTd#Auz9NhCh*wi<~^jvX8ov*`*SPflq+TvuA8Cf4G4Y{nT`Td1E_ z@F~6M<^~du+3ZD{_YSG)90H`XM~(LxX5lZDOhz9%hE~Oen$cfNGYEZcGOFSAOxuM0 zMo!j^CrkPtvOgc_yiPvSfHCpd*F1bPk~@Dw2n&;BABYM4GPuqqpe@{Fk@9t5w(8!x zdMC2Zw(iu&$=&(hdS=Dt>52NiBeZbkfEpg<$<%=Hl_}0+HXEp zgnR7_UU=hqbUZ!Tq2y&r<5qTwlCAbGi_|s17lYZ=@{^56Xii?DR6F z2b+b#{-r)y0pD;{XdY|yYo)X=I0RAWptxTS?vr#VkdoxjZ$mPA7{ciI(6FnbdqEQZ z({QvWmQUrKK~IqjQNePE*NYA&Z#`aWXB9vfBkZ;sg9{d?IKmV5WeiD5lpFhq(xpYU zb!p3c_VrS&ctO+`-rNRj#p}rf7QXHD+s)CPx$&gi4+>HU{GSeqz_B|CQE#@~{BUY} z^8;sPInBCro1{$-7XRcXjB(raWe+NpWON+{PaMmy>lm z_lbv|M~yWD7LoQ90rKTvENCuUPqp1f%|s|nae0yZS}+iu`-^ybiAtbm(u)-nDy?@< zF)2vin)}9tJz?KO!<(VJd2MVxPJ7Ad-L+AbFI^;ohTaxshp{gF5!hpVLbb7-TUiMq zD7OrTb+)#h`5p!lYUjQQH>z-Jan1?vk%b@F6{Icck;7NR2tF)QLYAf&qB0}Kw=l8| zkQi)Cqnct9iJTtOouRcmqyYUN8zF4|$?>PcK#V9G=mB1Ip*Eccoy z?BSmZLU5|^PpMvd~eF?;<*G$=5?~`QkBn)1fPA3 z>Kn{2ZI^mgp0bgxuK9v#JJc_eE>XM^WoEzmddBt~u`lDoXeXz)VdD(w=&*(O`ju|2 zcJ*!B*X+hcxplg#jtthfoQTu%(iRP#H$IK|>@=i(31N+u{2HPvhO{~=&a0yOWl`=N za~*DF?0dOi62pw(GKC*==BYwj2XspfXZ0sni<%2$# z)OR?}E5*bKS2{g1LrM;D)4X!@0{27&D>sx~6p%Es{c-Tne6jjP)})okz3Ciujbst> zlU&8_e({T*-t`T+Oelj@r?HWvlijy5F)TK*mplJlm-W8D(?CVdnO4ebA0Nz$yt8Ho1aBr57??FCf`lHW4(u= zQ$EPR<#+d0-)p2Dn>zCSFq6~Nm5a;;qxr}>uSXe%-ia!1SkOt=^|K7s$Yib!PlP=m z^^CVR)SJt8H!AerVA83Ah}$1EH&%b-i!$It4)i+xFUs%yuGg75{7HYG{f`g-2zhX! zp3r~K_W`P{?O-Kt)Rn2AzSlzt{&U<|Ji@d}t7};KEU2u3`nAu@tKyP72n;ztaG2$g zq4F<1wNGfUe!ChjqD-t1JT72VpywNI%ezKo8YRmhe}z_=ft4fP0h?atNm5^k>VbDY zUwVZ49Ci9j(e|o7slEFiDd0lAdkbxi0j}FHj5Jm;;k5Xek1W`f?J}|UiIS+}cmNVZ zT5H!wn#3GA#CP{9E1RePQT5;NL;cEUL9n09UpVsnmEiq|%?{f0r@L~$o)!K|n0`bg z1x)e}4CJTg|23sw*~^cVM*b|NGcNOM8ov&LA88DNy_TO#^DlFt zWIzT7kso{s3WHxFP&$L(Cz#vX2vKvevQtwU+Zfs!ncJ8OQLCv+Uge{vbac|UG19lP zwJ{c=b~SdS7QTUnE~w9MXyqWUZ$}9duyN$q7os+EahDcb{;lseRCUXN&{04A!;ip4r)p#7hp581U3UxPRNZD zasxIaOJLJCGz9+%2ZZ>|^4$gapS%1c%(d?>*S?40`tHK@-G%$R3-@;yp6@O^)Rbmc z<~Ej~M$n6z(!|zE>fGVGjhgL70qhbY2B4?EUy8|xZ-0aSozK7gVNj<+Gdm`<6cdlR zYB8YigKHsxafum`TOw!Bmj*kQ8k!Z4ry#6Om=N*J3pFIN2V2t#{I_N3c! zsB$uD8p9|lnS4gBfnR5_f95tX^yB6?(vmc8elfcBMC8i!(MGbHqzmlxmpdfC)$KlB z@7t41#kO#mr>DM>fj>%+L^Lg;Ti(92GX=@8KT0{f4ZcZei|4QYG>Fm#|Ja*uybS!t zWt?Gmy}RG{qdmz&x7<|iE2V0Nq8$ZMwHV5FbKATXqDk9V-IoyQose&>OEt)0S{LgK zYmjlwhZx6tkD;hlD(|^ag%bDV-ciZ7!tN>{pX&+1ctQBE% zakj|hLS*7;vB&5g`CD&Z5LA3k7uzz!(ze^}jVUB?lR=l8vo)m3pot2Gz0TIJ6WL=_p0zN(`Lt|+MDwnRE95|d!nXW5%RY&5$VN~>%pm`le#|%A zUp{09QyTX3FJ-)KSkbwmGzWgMjyi@|* zG&;s%1wk(+2^A}8zk(=RX~J7%_K*FOH(STLn&ZduyO{9EJe8o}8LImN7qlp}+7 zyyAiZ54o|*y6kA!MDMThKVGC{4jqWri+M-VT+9?!lb5ZZ{j~>k(D;zR^PAd(C7qU{ zxz{WjQ}em170mgzyWIMno~6rfwP?!JqPQ4W;fFmUC57a_GVl97ue4o`kB-|YEnrcR zA2u9nhs%h9$@s!OCha%1=GAuDg{Ns8s(hwWTQF5po5LX}477F~!;x(7hc85zy;A#+ zMD0Db5IhJ=0(}DTs6{-Db*`v&f1IA*dO4TC#dhdnf7kugNAi|i`|yFtXxzZ0jG%mf zjC0>;ZheNWulj=RW}z3C25K+Qq1Lw@uO1?UC1R@Zo{*DrFUbne_O3g{ z8baTeQ5r9jUU@-K_$(29##~kP2A3@SrKZh*2j*!U@7hW?!N5PC7Qa{Yze-CmH-0jI zLDByzKz_vjH+Oq}osvHy5`l^OZzt$?r3(F8x&y>z0mmHR5;0H--G4an__dOMQZ4t$ zzf}Ts!3aplUwiZ?vi7IH7X3d|C49Vg?`8rRX)S<3{3Vr;q}FRW0aOCct}yD93hxq0 z6D{NFXMOE^vCa>=)$vvC;72dFls76fU`;H(4#ea^^Yx3F;}*RZ!#$Pd z7Ik$AXoPjQ+sclPxYuG7Gie&`y{#<^$D8*-rTJhvb6oSP6otfG+(jz>V@ZHY&Zf!H zm*kgUxiQM6n6R@g7vA6xSo3*`z~C#tjLqpEgaE5yRYrceFj2Fv-ZG>Yc_G${h>kb^=c6$DgigQ7>{oO#OtQxU-QuLNwXsT zAeru9d}Slia>urXCpJWT>GIbjgCvhrAL4YHp@IXEPfw|*WF(of6@MRPvy8CYU(sxP7kXcJa0qzxa5EE6?@H zm$e$=Z)a68q9`Jm0>;{j@-wD4Ofzx!Z14=YIv!1*kQ?u?yrqfK4cV}KqdYn;S3~JQ zZ;v^%$*wBoAdCMTW6{I%p}7IayU(wV|LcVMuagRr$>-)j66#;hj%Ff(P=E7>3xt0Q z^}l)kAO4|jj9}P^JJA1+z;X3o66$%H6E@@$B(=9@DJ0!OmEA_$H0^UTa7E&Iat2XI z-k5%(D=y9*FSK`1Lt|2tpro>IkPxM$VtSi{i%+ynz}+LT(XC&zl2MXRAo0Gd=i7F- z*NI7uquX;kPD9QJmHxeN_wGlq$c4#CD062ex?|p;i^S0dq`J6po6iz<9s!> zaAVT5qUVGwWH!!t*bg}(#a+TJfThL6}KM8 zC+RotIqSRf%^6ag`3ASt)v;bWmLe7wzTvfn;JVWrx;?E+_DoP9`mwn=$C0K<)2d>^ z>j$QR(anZf^OV&I_v{Q7)I>1--e<10A>pre(>z=K(sxNvY{3J^M_~B2Rhkfw25rd` z{p;ikUuqH2ohsF=q%`+;zX1ZpDP1^QylL zf5Y!JsbO7rNh4k7ei{*zQ@HALSAQ?2t=jT6-b9$nLd)EfTSoVdON!F=lVeuIhBgTo z-Q854N)`@u=p-2w&08!)`oHtZp3{8XAB!H-|CROO8^+ag+F0pEnDkmVr5nH3*2xJjA5HTOyagf3SPsnDFTc!C2ulOT z9fDvL=PlY7;7bk%d1b?#6JF9;rKC#Qdr#pjrkiWn!m^Yueso4Em|C_XAq+Fv`X=MX zJd?_}^{uwUk@kJ2`>i&CRtbMM#B9%-x5P{Os8=$I1|DNK*9vkFl4XjmJ-bSH|4{-` zT&RPq??UiO>x`;D1AX_0b{mc^F`@S24R%!{Nw}&oO7iD@OS*Vtrb<*f4$RAO(IN~; zyVNCGp?8CbgCZSs)0Ze%BNkr9-x{15cW{A;W3EVWHP;y2ZY5M`&ysSi_D$biv2MPu zdBR;tmTTuA@+97`gDf7EUUi|13;RK1ks|{?*<2+?|8r|j2eR)z zUE2+IrRY!E)YRpV;-nUeF(+Fp*nG;`QzI@h8u9?m6yNPg(~`OTvuYpbs_dS7~XSBS-rIjjz`;$94{e(1{bf8*6cdzbAc zCvJg)UQjGGS^EC5-kvaE1pi%Y(O=cZchUmxkNhWV(LTV-U@&h$TNHrp@9oLIwA0%*kitZKzoB$**_EJ!Me;Zy6RpZpTWZ8?vtUG z%}i4Y*CZK=yx7PvKn;gU(s4x;H$}4lL{a`&ytm7aRz{shX39w%EBo5#o*L6HHPgFcnI`*64N2hh-i<`n6!@<=1<5fuiD;JQHD&hf&Hs zw^zC%jy$F}Z-geN_k}u$Mn8n>E$GsdPtV8@NE@OXfb@!X8^0)ifuODZ>b#2hUQ}p8Dr9@n;I=Lq` zbNcU0*Re0ZY<@s`uOp4?ov;jvR~W1*rI7ttkyFWS=yuZwo>DwQ&Sj=Po37{aH?3`B z2yVGMndl}r_|a*aZXDn{iIdf%zm%kMqZX`O3BNMMs3TbCaU7DRQjQpF@I_%&utSQl zNok>Oqj#J_hG&86({1FNQjtflAD{AV(&Gd*n+j$*WDY87K5Q!~xIa_T&sjLU;FtEm zNq$g1pr9{n&7}AyxRBQsoE&i@c!~pK3C=V1Vpx*sVU5wnj4VOp9ACMBv|V(%iskp(!`!l~{P8Hy5cRUy8pzc05Mh=q4LrG;Xt1(U zbY{5vG7Wt?n5H9I>m zBQo~jMEjcQ3vJ?2c4y~1S`=&@y%Ge1-6PkOl63Nn#V#y^a&2lot^7O zd7Y=xiFv2fBwFJgDNf_UyxdpDk&AY!VilMBQr@K0rMKH76%HLrC-3jj14E<${wQvw3D^YhBQIs`l#by@dS+@ku0hqjD5a zcl3cb=39F0p=|lG6>*;Lgz4T=7RDeuA?shLThwd&tm_1|b!gkt(cb#TIdA8@$8v>EVNSr)pYJ4IuU(&@GwizKG>gmvHATixP% z{YO4|f3Kpc$VqR6f*I7+al0$c6!CA-h_XU$(l!(Ko_}`bOy-t}zRRaenmo{9CKuc{ zIrmiO)mQ!d9u5k@I4O>ilA$qWje~cb-MLDG;|{Ktm=K^oGp_@#C#l z^st&nJ|wsZn{Ee`-o@9n0u&Lw@xC&HI_uc|iD_AH-*UO9`(xiDpr=JlnvQ$AIjn|bajizieL{dJsOK5Gu`HeoP3K=c4G96!wOc6n)%0vl|4&siK=EQ(ci|Ytib6 zjYciyzCzC>zT-~in3mGmyxUgZJ>Oz-OlQOAXcd5pt5#QHq91P6e0k(nY>aEx`ij|r z?biYlCjS}ggIDg{>~NN`W$#|r_n{AD5ZdNp(GbHCgwa>aZcVVTE$r#J+S}pqlVaCn z+hDhJ@+7C7&aYxS@RPUCNva1Tiz4@RBKhSHyGPG!fr+~0f z+yWN``Q76U5aP#s9+qzRK4P7tW(a$O+?VqqD?q1wPw6a-5jBCi{yN?4GH&fVL z6}!tF?@DphaCtVsgIERm^yd1ztF(5QUMy9B;{12*jQl!e|A!(|?(9HE5r|B`zjsFd z7McHEWGZsS94LZ8a}E68l)of0S2bmACnTYN2jQi;@kNTZB@j&(?oe>3)UW*j&N8}a zXhSVJHZ$q*2%Im;)r5PB86r5ykLRLOr0`G{*+Ldi`<_v0WUy4w4Z};oX*1YgKkByp zoY?SXGI{?Jd6hjUx?eu+w7F~-)@mOq(k<Vhj zUxeQ~K0mm@XY7qfOS~@A#1)pO&RiIn-}^*Be-3%W8n^Yv?HTSH7S}n1;jE@D8Q01_ zY#lH}h8)``AJ6Eq7ftnw9gRKk<3b76j(DZ##d%R8SR`~gMWQefhPkH0#h5Jl(fT3& zB{^(`#^WYiTFJ&ul33$xl#>aMUVXSdef`~&)uwgfehYkx=KRoUzs)%Np@=UnwAH+| z7mB0&5<}&cbN3a4`YmAw8?Wvg9z}`Fju_z{)lk@o(vD>?i#ER8_m`h^pl2-JmZ;Jy z4Lo8tTATUIoN-SKPLo{&y&~KpB6dp2Uts(Vo5>p;PS-E$teyJnHfF+dnz+8{i(X$| z)bJ9UEppA?5?62bh2&JUK z|9$Q?KD3{np6B`ge_ih9o_qFrzt4D`_j%uQrcNtdr^TjJqp3E>UuFaS%q`EKoU95q zP-JL3ZF_s6$c7KkYwh^!7?~_x8hV|}9Jy%P^;6&6J9N}Wq&ae*#C^xA$~gH0*Gm^P z)Es+pUE=Cgw(LVrXFt87%oyqu$T*lnmaD=uKu)7lMPR4ect_Hwx;ssEt#JJ8Vdqwr zYxlh*M3Nr1B)xd89u>x8ZLzkgzJzw2oU0wJm*PCzIP&6j{?h&LN)2fS7tz+-VKEZu zOi>iyT=``8p#F*kFCNu9`m-!g2$UU{cxxoNsH~$;n5~S@I;^u#g!i2TL$t4LXR6x= z?lUVo6%;5{O2Vz}{L}~nyn5@!oJ+a+_NX?NMo-lYi{vF>@ zdQ4ZGmu}R~zj`5wEphEt(!Cj^SIi4nDR3GvUC}Uiy-yP)V^h{hX=+tw%-itsg?k;7 zyZDEfyWhSp6$oLnyH;te{YdU59kp=jeu@y`0_x#@ev62?N7xf%8~C>Bn9q<%FDE@6 zliqMQY^i<+i+p)Vxs$)JnQSj3rH5pWe&d0+D>5<-8cudJ6g>B4-=_}XAlSRBZ~t62 z`@Lsl&Ya6KzZ7xuX81a`J%>-6%Np9au)L5&Nke5zc#w^=kZz9itJMoSCz-X|)9w8^V==DQqg&7Wi|3K_4-NNOhtW9d z%%YFxxK_El^x!%psxBQT>F8Gt3&~_XD#gie8_T@=K;yoLf_%EzYeD{Z$M$L2Q?(ZC zcx~dO_?k-h-s+B_z*BQlL-Y9A)@)?TXFPomA8_ z8&l7d+9_1s$|ht~F5Ra$iE!6dy%BRaD+`B>yn8d!KF1R$Yf>n{N91OVW!f8C2h(Sfec#_hDdq8OxG0 z1#U)~a}t2fVEoq%oB` zf7{P6nR`!;92x85sp3hq59F0IG&GRdI-T!&p`Obw$)g~we8Hwp|Fy1QdE{#@50}Mi zd^&q=RF6F0NoydQcr8X`#>V?E_U*4V(_^?L^C@b|(izcAfeGv1GY<|%IiJ%FSldhzP?e!HGSJl`kEo;af8tgE9qI)T*)S&8|(nmIzN94?!gB^o|b}@-j z0a|ajFtAm51q8?nuc~#Pwx1--?1s^>J~ORG`^MgTA@?uJ?tS9S>^n8zccmyjS*uE- z!CQsJeIFw|M1!t7Dm^+{;P=XNWy7*n4@y!Pyk;zjmY0ufiQjc0`(oRN>s=-{NfRI;r=znxI(qnA)Ix&ARAE(er5M z3`f(+&plgBL;WybE3bTCta9Tn6Cc~>XLI#dn1?DP8SN8UPP$9yKttR6sq1w2h12L% ztW8N%FImegqRIbJJUhsC(IFa+sQuD>^r`$HD}Lp;o$7OtQX!q>HH)X zalovCY#{znVY*SzgI#4gcXvNnKkW8u^Hjxqc58<<_?%p}EWgJ=zM^Vg+wp#Ow*|rJ zd8_-?)-;H!WY&#uX7pdttDf9Ue{q#^pPYCIW$1A6*w6#5Qu0Fc3H^b)6%S&yCZ@X8x z$rr{@e2nGT73*_K^XRD!QQYvg4fO^Fv1qNtUg?V`*7}5Z6|Xyotz`QflL3)?dDiE8joO3YEif2 z4@6#O?3_29HhuAPp=!cAZnu;vNpyW2p(eK|&bkj8Ne0s$Fn3CzJFst9Z<`hfg6GnW&7QT)&(Bcj=zp-R@an$Dk?H9a#Fp{$f#nr?c6qil-K{8WkJv za+%enaFHh_0j(EjD>Jn}z)_4;iZ_yJ4qX)NuT+i?y#`HEg6k?69sv<@mZCIVVavDDziy zCr~ML$43e?o8@kIUbir$ZR;F~ZBF40W>1;|KQin7W=>U~}2_ zV{6HaC=Q>kyT8NZ`dtSls-7jQ9dxz$k6(Ox#!l?k#S_i#0sZ_|I*!gAR7coo&Q&@% zCtFOj^NUD4VJko{e|Fbmn#b9T7q-hVu*-+UQ(hMG*PS99b&gFp|415Pzai%6x~JMG{^e z!(JS#*&VX@Gq+#gv_GT##%x9-m--8>YZLAbGzxtn0XL4Q-W1)Q;U=r8i&q_W%DTt2 zlFRn-r#+cX z=s&G}^L~~6rRF^*kJy*@&$)It-v zn`h}?qHE*PcdemNj)DCqKMZtM*9%#k(CC^$&ZYa|l1oOR{KMDwRgsJCZj@D{-#0Vd zz(DWH-YnG<61QfGUDJw_?nj>qqwq zc2DMU#IR8DCFWZ1bM@1t{1x;vd_uyOn_OqKVa{9q_No2HH(b6V(h+@)rCl{?WF~2m ze95ZkGgXroN(3I$*(lEHEnBLdZ+I=0PtUTHgkpA!Z*OzJ(RW#X-XVJ)xp79<->SM^ z8_&4r-~%zfI}C0wYQi$4$!6GJD3>{~lQdfIEbD`Xc581wtyPfnm|3d6Me3A{#YXZ2 z(``s(X8DLrN~m?!+`UNyYo0!xzs^1QRHOR#2Fpf^e2MbD2K~KD&e962^4xjZ^5%Nw zs=H6}H23b+r94J!f9LLPYR<6KB<6QzS?@Cp1tSdyYr}SMTy7ZHxPK$}2o&A+nuVFYV!%Key$r6f(L%~nq8fB1FUXXluqcS{1J8C;q z+IdNj-uE+N&!^6%>RDNK!(+Rg(E7pQyB|Su@V_Okn%r=HvE#1B7LFL9<3=s0Ky7u8`JvL5#7_@R#b(y5JBH8PCOJ@OyDf_mZ%mowCxsU7Wbsn$~ZKqmfn zeq!h8x)~>}1zgEGNvL?py|f;wZgTO?50~Y7e>~tLrSaZwNtZcG%Xt&)#U5rBwKPrl zBq^Sz@=4Ontk7}oTp?S-%Hxho-My{FXX8$2RNc9_nkz9%+#n|9Ub>T&m`(Mq#;A)9 z+*3s(YQvS{MAkfd=Gggmi+s%+NqwdjFA7&&BDLJFG9Y<~q)W7_Tp=>vtM>Q}-3t%b zt$Mll(CL-l&8zRs3Fi)-qTxJj`cS3X^;DDRDeF_D>&!&b=dGh!BY2QW)K`Ad`COOq zWNzD=Gacqd@Ng++hx+9>%{_Utw6EdKlP-5>>4YL+x zdtC|*dp_u1m&)r6*rJvs&PR0jo@642zKqi7?aje!b9JP9_wc z4p%c6yq=dvQS7CbOS`&cS*0_VIwh&cY*lJL!=Hr&@St}c3GrIotLR7L?u zxjk|vH=o`aJVE(FZo9Bnrr3R#J^Ung1w~P725!B(`tDVA{`pO{W^p7To932AaJ;A9 zUp*+4pm3w4pxPww{J`}6Qt4YG=&tCuPF?sSMdR#Fau=x#@uC!^8QZjdeQ$+s6KRMt zEj_A>%*|&EC1UHgDWsiYA#bBj z^wrOp^89VJWMk6As}Tp)4YaaA5~cKK5Qns;_*yNnm}_72XO=EQfL`JGYc9a`q@XY-1LD{ff>W zU4yy*;Mt=P24xeSZDt8sjG)EOBqc>(`GW|5{OnOUN{!%5u%1EQ=z;BYoddt<>`}Do z?=7_X^weRJsjxW!@!6vqP(BBOJwcXW1y61QI$Lsd2X9{zYLZU!y`<<&PIS)Z-@jw` zc`UVwJ9Z=LH{H&^%1u}X`B_X@0&nD3(P?sM-_4ONm%xPf$VL|w4;37{V;LmD0HX!b zsd2~&@dZMVGn2yh3s= z$KMb)exCm1U!i~RFVTM~RuX*Q`T{aU|2XW#-QQE|zej)ax~oP1Rr*i)3jJjk{7U^7 zC!&8fIii0$oaOktdY$jlU;EyFtNv#I%}37=Kxh7gMkxd54fuk2;{0s#`C(t! ze&^4*!Z6|@7V!`!AC^Y6{m%dI=L%Og#JbFfQFu8}0>LC~6DSjX>z%NPA=)4tPPMdT zfm1E#_R*iZ#@A(OqL9>?VkXEoFG4`!0p%HotYYSCSxH$u&*L)5n5}MkkX3q=EEi3Y z*r228A%8%=Xt~&VE9uJ0yT!50M#aheL1iYdmPNU5jC!S3vDop@p1aT04TSD+xd*Jc zXm0texUZCB{T?$j*NW;(d)9T-)tRz#ig&P`sgG@E-s4ftroEzbi-x&n>J7a`s=N`F zT(j4)1qRG|tZ6G1v;M(`E?cSUOJ!o-F1#AkUcGGWZtmz=<6`4YJ z$vmD7EiK$Z`8>8t^BD8cl%4TyEKx&Kxi7`?-4VFh?7&#GQlXCXP|fiJZZ2|U{5!VX z4o$D`p4rd9uWwSN6maR`8}?0vM~ddVyOzhlrC8gvmh)cd79&PAZF=85LS3?{m1^4p zWxGmc^dzjkdo1f+GfF+U>@F45-*UQs%&Pm$>kaQ-wROmzyc7DTIr@9kQ<@Zb|G-sIo8WujO-%CO0LqEFYq~+ z>fIzUwcRc2de6ck=CV}MmHiHzrX5%?idS3Xl25EzR9)=sC2!+f@HTf_ZWch0dVa)1&9aPlm)fxR_cnoKD@GdDO%7 zjK2Sz8IMJ4`!+Ng+{z9ZoVuiSSCUCAI=E6sus!syN9Vz5hBi}|_wN#3UeD5`duLbm zJ`3e68*9_W0*j(`EYfvbJx`cD;#FYR%~*P*k;+T5)xez2y!}(+OaaEHbWT0T)kSp! zEf+?lY0Qn&XfyKKEI%-#-O41Ww}5X`yCvU&p;`1n+jLeYP}60%MRAs#$|&4cQX_bD<>bqNMFk$k1Qjd}HU9kB&2D z_uAMl=x7l;$B@Fdat^Bs$D5u{4r4ef}~1C(9lM&J4Xdt>(F)>5B6#O)0PVOSfMt@Kl%coq4GC z((%TVnyOc`oo1aiOw(q2@y1~=HctA@#>kgFo&!`zTYsY;g_!1VH^uQ_sm`5Kx5Z`of4bc_-M(-ST+o@9c9oxlqf?0h=;LN`V9fB)&z)Gg0fLTBp(u$Uj4Gt+1UH(v%FLPwAze^%BL^e>==2p$ z|3>L#LP#f7CRZi-eL&Iw&=hS{2G-COr)&_I8L1JPo(rjHxw41r~Xwrfgcf1sEBx?2r-XV za_;%x=LtLb#}WEu>C7Tf;6fM96}PE~D;8A$JXhH6+$zSoLUO10*3WZgoDWFuGR}u@ zQT|(Q-;&<=6LE$5D_lts`8BS<^lFSNF;vtf%P9h=e;-%SKFryVx-Jb>_bc;SWzZSP-rTudJ6lVC zUyb`bL3VQa8)|b|d3y;g*G^kJPTrhya(>gZr7wjSy5EVd`R`ktnEf0J^bUTw=I=y+hN^4U0VN@ z3b$;mx9io#5Bw-?nj(#S4X)Kcr%R`&zjSaXmEC*GjK=4))oxeKk>ctLRCuroffMqXcPiMaa%ck2t0>3kmdPrJglY-|@=;-~C8O(WF~+oHG) zM{!(eT-0&0mo2~2WBx`)&MAcnCIMk*S6Ae9So%28#py7pBvC%`XFJn>Oy8W4+Bw9c z6P9^&WMZ4x+GjU9vE3m&HnClTCbq+8i6^!e&&MXVGA>49lP9*_X$RF%oraty1)*ZgHpej-3eoF( z4VCSD;pkdQGpP99uRKPAUig@g0&VBs5aEtg!%Jr!js{58Te3WIz0E_P+BiM$?0zQ; zFX}b>OCNrC%u34<+2~^;d{16bQ?j9rt@@Ma;Eb)j2Nx*>rp+ihpHn2avtH}?RarNy z)Gf3-^O|pOtGP2avu)8x;97(IJjdl=XC&JovPTWDT0Cn>Ia)}?OgTT6mp z3O6gBn%8W3$kB~*CH=$RPX}uT^>pr@Wbied@^}-4tM>95>&URCg)bLy%dQc>zij># z4r0z>!#wz0%Z z=)fuV8Ok(E_x5i;DQ&5uwL0mNTomh!$?{ zS$ZgU$GyuN7OHU5&pw@HRYoByN)moqSM5v;>*(c6Jk!n+bKLdXv7_^BrtXxAT$8~1D)aHi zJ;4i$d)?m(axPS^WsY~%+pl@c*T2bW=*I08LHkSPqcrs!Dp|z?yOx{iT?s8KjW{CX zcd@VGxyeD<;*!hjALkY~1PhbC4hx;<+PyL)9NoVcc z?U3wRystP+fNi)e_(`CAknYZ-DwOS6-X9I(TX|#m3EI`}U*K^zR`d45vl%1_@prEs zrrb7X+fw!UR`z$_b-?S~wEPVe&a2ng( zi2GNsrk0WIZaSqQo?g4f#nf4(>c!&~zBOGvsT%y5i7Kg=Qlg!l-z|KHb^VYijHqrIKgWZ&1u>n?K_`xcaXs5 zjfPb+d*!^>Gg)WW-Tk;uV_E2nB8{S`b&Sa+`}0Zp9$3XZHt9XeQ}6DitZmE4H=yKZBbdtwI5YYmB5|cPb>ooq_gBtBvmpu7Z2ZG_;Jx({TVx4Q)qVA z^Z12_9q7@x)_Yz4J}D=yyN>8~c84%AQxXOX0fU>=%EzPUYh+5UjIf>0?nyKA=z+YQ zB$V$@DCfF#J!9p%LqA|$+s#CC(L>0Fp_GD4a=*L^rd)7KdzXYJ|eYePU_M98aJ1raHQ@+2#vfE6UW;YBlSB=`%OMudQc6 zf@g!1utxnAUhA~#=1FO`I^oyCXevT`<_1Ek(SE%_KH0%qtTHA zGD5WMuku!M8LOpCnJ>>Ya7O!<3#$#o`cFAiKADryEsmUGBGfArd&7Bs0UM3B|NNKw zkmW6pX3^X88HacZ$FI%EWnJ&&c_m{;qTH(mZ!0C;>5KEGdFC%v&Rl6_lk|4^3@yun z3o4>3>}E4S)NR2BB#l^0!B_AEWNsUgF+Bt>buW5}UtH#%OwQxtJt zOY1yy=gR}eLan#_77tioU0xz&z5478mt#}7 zRY+`Q^xOY7?6aGw0}n=O8@?&fjd;j-SMN*RB_ zEKA+Euy+n=hX_oZ=L+kVtBG!M?{0r@=9~7`ZTTjr_O0`d9+MIfErZ#K5Zx9ZyN4$> zUVW82=dxI>8YNc%th))9YE>INu6E7!J5Lw%u;ZBKt*iTv#Yo1L_WjBry6~obGH*U%;Ge2O6dn?3)mfaA>XCQd9C}8oX zGa%iX9oU~LL6x9EKx-(+ScEXws|@q7=l6dY2z0$s*u01seTOj^gP^>>M&mjz<6T5J z2wGy#lE{m6Q@JtwgK6A^=39$$b?-e%5+=|QXlsxUq(zDRBA>Xwssdz#v?}rN+F+0T zu7T%xc-*e4ATWuWu$17QHJTFPPGk|+4HSbI;pjaS*7~}Ak?36l#D=?})VT>=1)}j> zxyawqdop9bkbnCk_cS5!u^3#OvqbNX#$aEB=NrY+RkJG{&pXPe5TUrHH4fKLM0$xr z1l@81&|y?#kr{mGmis_z#5Oky$?xReYO10GS`ddvxmg4y8mAsAA2)%gg6$5j`Bhbb za*onKF(Z>tF7s7HAFewNg~*Q>f$J)wH;NYzvjXG8DDCmEh<3;a9@ZjG4?-#?BO0I- z$*+!6k2poTk@S8(G|D9%VYJ*RJX)zisbpPEDCCCKBRrSL2UD>_5pKH}aw9(Cg6AL2 z@6c>B6mI-FgFRf}mxQp85Hey*f}UuCM~=CpnHt=&47DI6owz12{)X0ZP&>irgrBef zjQd1x<8LS&N?<%3N*#YgZb))8?ly5v3C&Z--6n=ZjeOi~VmP#B@-?^dc`eH4#5iGM zNfd6P+xXk(;l7oZiDj6W&+)g<Lp9(e1B>o0yl0aZap}<5WT%8|Urk zG#ifvxqVGTUIDy~s&~+%MheLxZ3N=Ays9725dN0zMjWCYUHRTbOHGnTCPnxAq+bqL zY7@s_R50{q!n|CzJE(_5(k(%@>k^c8l^dOenM2e#R6@6`h#&lw;;;jY+Ky4OXpHuZ~t@%f( z%J>zkw$A-Es!C${#WCYidPG$lhJT)_NQeEOqG~Qsa&#r1X@sgs0#4ledw+2yA0cV9 zl79}W2AZZJ4fPXX{v*HrBftF%^4meKg`_{Rl7F2iyx4mR33FWS)U{MAZsosFO6hwb z*ckG?mHfWvv9PyJGJl|=>A@3Vicc=3aSXtLA&-!h6?&B`c6CPcMWb`o zFB`Y_^d`J$mASq7l<4K2J&w&oOuV!UAGNe5u*{Y^#>Z_F6(s(4=H>$z=e&#vG^-E_ zm`Ww&Rp_Z2!uayK#%T}64{D6h=q^2~X-sZ?Y0Fc@wZCs?@5BB_cFWrjGH1KK^QbbS zniF|z8oLsghTR>9cTMJxcgVe{%RAXcB4s2s|C7P}>4f<7;e2*Jt4C>7D=PbxwhK9Y zkTQ_%-M!{QT7U>grqHEoCo=9{&9h~4D;_#2>1!O_cJ7KrcdKIGs+9ihr4ezds@hDF zY%)n{)%-iPyxLg`m37y?oI(CN_ifVvyAzeMuIntvOg^71?o&K2vc}r?csLg2rM+0p z!&!Mve_5K+bMBXW6SJw>yL=^SpQdeZl?k7>KBY2~rB-Xx9P5aZbB^@3o{!BnHhPlC zHd9N*oRQlpAZ{RjL$zzGNsS!C!ixRbiOKWtTb5NhU0zw*Ew9oJSQ);QW` zu!$`4?QWyqd`u!ylunG6*~pM9YMJ!WM!Nf(7te5^_^8OfXG>tGArq|RtEa0Ht>hb{ zm3*HM_)7jB1zw6h8A=>9O^ei&GS?Kln%|_dS>47+*|ghNzaVo}iX2r$>eHT2Hq@TS zZtq^Vs>~-v-08N@Fepq>$SpjC7NpG8mu>Gm``um zOq%$@yWB=tq^*Id_dJspoaJO&L{ES0FictT9BY zy>Oeu`cKl6mDIbIJ*u5~?&dW0{-7nZM5ruvq{@>Pm?pN}P&Hg{we)$-kOdoSNM+B5 zc8z%Pg1yVPtTMk+`DtrR#@*g!WK5<4GL;t{1KHAU&T{!f)PJ@>6FJRC|7Ht0) zwA6_~H!~5CL1MnqWoPSo6XD@sS;}8LL5)|MCWthbd;#t61BrVPTP7%sMl&F0$?mbY zx3V0a%KRMY{#*?3waH-)5j9mGu&uM}gX@c8C7g;XN}k*7-{CF)O~o2%e6CD=_h;Ie%I-O82wKXs-m zD=JlKpS`5~yzIfUqjU0%Gf7`--P3)vE!=(z`*FwV_s-4`UZ_p0aWuNVd)6BGeDQpk zVA`F|6MLC6w)!mLe;xRi?}V!Uoex&~1}@|bf3z8R@q&Z7CR)>uefudB7uo(Q-@cdJ zTiDahHr>t%D_eT-cI^d%`x>?>TcYe&N#9>Sy`O(eq`j4O)$D!>dWLxiO{wHOvJN+` zTCwIC?NUdZeF;v_nAVectK)i}oa{D!YOzw+@9@k^m^S@|!+lSr=PBfIKgjKtl^)xU zmU!}g74QY)yu!`7POpz$^DTP==+GIVLlT4z>lC@-js0Ku?$0^q}=D4=NTU>tR!#n(p&101Yt_cH9t~1h z&|FmRdZqhRkaCynaN@;%aZI}lJZ^j68YB-W4^-yAzHG?_QE@xAG;_u>$^*MLi?jed zbQyt%>^v@N?HD{PNCbE&B@(Y6&>UsYIPgLHA-UaK1uoB5x|KF7)YZ5fcePBNeua-o zQuXOuM+#f-*XFhI7(5KVR~Ei_>5N4)w({*=c;jWb*Yu7|?H5~F?CZ@;ao^YS3>aciqdI2fQLJ`M;KKk>$s<0us%W-pQ4p$+%l^%oODymtAQa& zlDxIg+2_V?Tw1Nbro7j|!#+oU$Wx1IhY$14m!3%-J$`O&jw>Sv?+38J0^W+mRm`5>~x%#+>HB?$s%8D0NR}q+Cto-%z(_$kC1A zy@RAzPjC5Yx7}-1q?h=yDHd{0HRx8%3vzvx;OIcYG$$#yZV`W~n)A~~Z;k!ZY&cpr zR$HGF^L%hC48(kZl5~B3 z$kOB)#uvVP{j(Rt*vHe8Kl=SYv=>9rkbu`L05iQ%oxTiaa?v*{S(`gop>I~MoAM1@$H$CJA=Q+6Jxao7345cHlhtG}uz_Sq_X3oGU@w%F;C?tK;aU$^gX zdH2qK3r$jMp_W4XlI9m`@Xg9v-pf*1Y1v&|Y6dg!kb_Tqj%T7iP*NUJ(30vN@OxWh`S7uekH}2@X;rWH@Ys5iWVs#A zdH7~%9%-M;1MPuRSI(_wa#B^M+eOdmPRmaA!XS2!x6Folq#~tpl zenF+Yl=-@4czhztAcgTUom*vrr5j6 z+q!<%B4u5^uBlx7+zpDsp^JA#YH-+Xq@E$&UG2UnqIoZ45vXJ!HdtL(7 z-b*{|?)KTzit=d}W;_vF*>9mNYu!}+M8iOBczUpzU!|+YW4%p_I|_5Q zNjlo}l4h^l9cHY*swA9ZQ}e5R`cfpNYo9(`OnchQBv2r$yN~+0Q)GJnCe}#i9Btm% z+fK9}S8@sHY*~54n{w#Ua!yQ`@3}>L+xD^(0^I+kfNz6SOq;xp<59prvCSxO^4BtTrcI#OmJTG33=toyA%nC3_-n z(;A(v470RW_PA=I?|WuAMi=ROS{WN3%iZ%xk!Ne=^x9OyYTW~dX?Lyf z=NymXHjov+zkfd6X77YE4+}u`{vBtT0yt)?G3Y-p@wt1=M%LWFPk+oTviTf7Rq6b^{sy2r>~vu zD!=5C&i&VoL!yHc!>Sk7<&o$2J$^s)YK-W7>glg;eq8c+b%hhGvi!H;%jB*MI5Bel z2z;5$ywR|5uJHHai^BxjRsi)o7B^Pu|EbSZQiv9mk?tE>qM!Up1wr`dKdC@)WmM*; zNA1RHGwlIJ7iF;h`!@{i#ZsHNh`a{uMwdJ7i?r|Is#GcjOHlh7U0k*=%Dpvek2ZFs zBdh^cF|@g$7J-{^BW-G`eG!s_aYI~lu{6-n9Lz*Ypcz?yCWVE4^zn2og9iLgR11M2 zc0}0&Nya9+jVoUuw{f3nsT$Zqq$Kh+8CoV0_+%#npTv^MUQh?{!g87eaZ(Vz2R;!R zm@G+K42p3${0tz1pPpd;v(Wlyq4h7w(#$IQ(zv0JrLpTa_G@U9z; zk!xf|cBJ)4p$p6NFo&v47m!?ptx4SOMQK#3~P*)pg8MM1^vDcr&db%oiF z&8$@OX9jL64_-^pw z;;{D_|AQfSH^)DD&3jEDrvD*fYGzQ%D}RwC@+LmZlCOvbU7H!oKeV3nW#ilj-gX`{ zUF4?B3lTiG$#_rIG10w`n0rFVU#wQ@KH$BITrggSVdeHEg)NMwsxtg`U7f1O&a_>% z5AqM?*erUk$*6y~t6Gt4qVa5e2e4fN*bZP4s}0$BNiT;rrFC%clC)jtYjlRYKhFQ; zSt*Su0dPyB=p_0k1Tr zbpGunwD5I^ugw_%pqA$WfJ&3ny$cpP8+*03XmOSI(p~o9%Vrk>0Hyx~0Q3#{Y_2C_ zoRZ>~r6n!U4q!0{j@zf*+P6CP=uN&Y*4^7hxUwW2NFH7kPcS|V02JhBy#t4(rhxox zyBGk_OF;sm-Dr6=GX2&j)=OxCwWR4#KLVi30f17IKD4f(-3b8H&vf@gs{DBK?F2cQ zYXS{S;(YFTb1A)A_1aIk1#98!t6A9+NrfGEYm1OB*XIC~s(9c-J#^4tU0*FX%M)b; z0GjP~UsfdY#8X**%Rt8Wav^f`%C^sJ40l^FneUv(Ytxb);ngl#)>~d?FP*+UlyA+8 zEpBI7xsS}S61q7(C8V;5gK-hhjINa@J!#gSskF3;(<(Kdbzr)|<9XJKR$^GCW|h z_)IAumQ{?qta{w?0(Y83QaMyu*Ow;oosX0&O$*O+X<24Q|Keh)Sj)Z6@a=Su6HgnR zJ~rFKEapab&J2!g+|0hur@#G}*dYBW#3|b9Vz|)it{mYEJtf~oo2vXPpI!-Ouzd?- z?SBh_PVUWs6(iS=AkfL&8x2b!?E5|fJum@*I?^Hpx&Tvv{9h5EX%Qh%j;|n4z1csD zKo`Lp#%02_9%kM#;{#a@g5hz-dR0l+9U(o~~MtBs3mXBgdR80JW@ei&q zVMzhZeiQ|MOCk4Ls*S`5aoSf9qUfBz10jk*evyFk4?_I2+ByIuiCIy-LqwXID8pY{ zZEesdO!*E%Bo|w8wP~(FguAZ1(H|e7!Z#Is1ym1!hl@PkEAl z8AJ$i7ea_?7(#R@KnU^N2tpM6B0}U@vc*}R9}r?QO+4q56$cMDVj@cVN*_wtOec~N zTVh@IO2xtjM3fuLkC7fdnE0f*;|LXqC=WeV$ku%o8>ldhizpceW!>BhqggUmY#uDh zE$&@a0wT)0T9}AZ07R6}0~cR$+wPvoa(YZe`4L2vQyic5MJ#&jsqIdx5Nx>F3q+K= zBCnvmbUZC=L#i*%!bFsZ7`?(*)Z2x|1hU?F8~l*mR}(~(uA?H#r68iLx+$w{)~*_S z*7pn@bEgzl+|qv0N1+@qw9RF^meCGaW$p4fG(Y~0F`dGoA+ao?|HGGX#+Hq(WLr~n+S!<`bTuU?cOnMq`Q*3rMv6Bt0%WNVT<95-R zX*hGoXC*e7-0zW|wPSU@yG6ol#p%MV`r=tx!Fr}2g9VrER-e1r-SFu22Z8U^O0;i2 z5%oK$5}M`4K(Br({KWiLsl06qipE8hCLp4mwe5VOMu?YL4MUM~z_v;dQ6^WvAF@1? z;{qbe;e?KX=Aq}6nGss+^4@Xgx4nKfDx*w0xb*dE<^P_Ha&m75Od7d<1R+l5-e}mr zfDp-PFER=@oA z5hA`TtemRmC@dWPRtWz}^2@LgeO)vzD*SPEyL=)dPX8Jam;5{tmtw`jiKs#Mgl-?J z;cxs}2=*6-iP`H zxCuv!ZWJ7ZC;GZo2x9qhL9YS$9_PYDf$itQTo4cw34y1Ly?q`InDmok-x*&4_5L8V z|8=o1`nv89sQ;rvS!i3;^f!R|-B(5Oe+JYq;UNa~eU8QA+Z&UzOmF)R$wdyW7FpS! zA@H7IW@8AsiAO92y?&a(Q*|^O^giS?CwhDZwrXY43yx^%1$?#sR~*L z*a;Azbx_;~J7q3QMp_5z=k9{mffCX>AjP!~0%LBqRX5FhU|kwX=5gC}>pJdZNEHNehbY5>`liRvi zz1%bC-R-xWiOr=Nb`_K8r8?$B%A}^rY7~6;(`u)x7Xy4-cHXGx~dpz2iHdQ4y5Xs z4laV;f&GGXP@H_1_OLL=b5!pD2lXVa%K_A%xI7>94scN4-7%_nfPwlEy#s*}y@ME? z^DzfO@1PF@^^2541wrosf%;${TOMYK$M^KgPVT*1RV*dir<_XMqWj2> zQI<>bajOe!!?dCeKARtPNOdZ-&lPpPP@EZGqHsuPZk)E=x{la4`ab16O`=!REVili z=B}1rPI&fo^5KrK58B}S8nI|bWMXp(mO)q5yzD^!5@yhpdB&=udLs+2^1$g!!1&; zd|Ko?bD2wO(1_yX!nO!m$rU!%N9c_&NS@hkl(lm?t=7!`tW!&+k88~y1}Wd?pq?j+ zRs5$w{d}{39MlVq=pFndsGst`0O}|AW-wNcTt5QpCv$H!>|X%&^3>ssf=q9)y~Y1m zK)o{&9_9K99wqSpJRX(6=!oM{GMZ?H%PcIv@e`VKEVYSv6d`mq*yTqK)CthJ1o+-6 z_`W)$U$b+AAEfJyUr12=WwgHz?9r?M7ZW37IsW!JdPKVp;65k1p|`K85D@RtF!L*X z2%Glvd?5bbed|;i%KbBC;Y0$u-UpD!$p~H^Y|xH0h+1ej9r7gmv{v}`A0c=2u8_b@ z$ge~D6OD?~(H=YQ+1!L_w-JiZzrHOMNAbcCQ!E2Q=U<}*qT$3HF(#sO#EUP{@W*Fb zhly%7I@$9}Dm4i+f1aXJ5Y^~(wFNY#XEJF2MwOaWEVYR>n-A>pos?GOa^=btauY1# z8m^Py(JVk*;)K~1F(2^R6dM)~`eDUPUs~!wb!B`ug(!`bQ2sg>7(uO-8)AS1Y2cSY z=xA>ztkEzd=!D!ObRSwom|~bq2vV^HmpRzpL1@;6ZUVuU1Eowd@*$o-tTb-$MfPv7 zT|JN~K@Q6*fsG75_y*-04~ty?XRaZj3^jHP%ETbr#3uMV@-y{XTcMN$tk~8mi#m;y&xtv0bVhC zloxcNJZ=Iuq37(8cZdS#-&dcESf0T7tIt`GFiHcJB{lO_I(I^MavxUm)wZVk7Fb?Y zjEzkVcXAihr=}35fj?ENeLHPctxPTLxr=gdrsYP%L2YH3MFd)i3(*Xf2bD3SBj-iY zUGBujq&zI2sJzGxb!S*)s4%Dy$P)EcSy?Hs5ec|+qf+~@AjtK**SGlJ>T%)Kpo$6O zl@q}K1=kxyAvTRzoE|80J-AW6OyEXzK=lFf`3&5M&(Ux*!;R_#qS1Z0QP~i`5kGt3 zM)d~q8FqBW_)N^#Z_x`C8>OYLsk|N@7#Yxe?%O*Txf3d}ca$f|5jQS)Dw!JETG?3{ z+jEa_Wt z1O+BRr6vp204stE_KUbhgNlUljh2*)^cER5AYpMKKdWiDpbu&-Vg}45IHAZ(6Rlw{!ri#1^!Ur4+Z{E;131xp?Dk2DlBw&cWMG)r(FIJ#a`Cd8X>EG|<|98{>cgO#o;*B|vQF6@YKVTrLbwtdC ztd6n!yXNoV=kE{DzetDw24RVM!e1=U-x_?3IHLx!8}U936}r%3sJ2@ zj`JZ>Ko@p?RVf3{I#C zcc3za2kRNN9cN7rUSx;)XGsv2?)!cg25k z4`cqp8<^q3?LQCx{dzu@(m!Y*s&zjja~`GAkK`2fZ@=^U#rQFnbpUgrclz;c0-Faq z0H4&2vGv>4?8jsNn|+QEf6OpiQY78L1|S%fFe4hCMpGYY1*5Oudj2B)zZEs+_KWcY zTcIlkJkbKo`r)gmBDp1WYfGeIM+NH4(M3c+^fn?aLQNiX{&#-HYaNz7G?9Su1-sA? z3plQHL8$2K$yz2vY11$UTX#aMf z6UN`s*dddUU(`Dj!4hb^P%TGn#%jfAkZ(MHvH$!=%(&Ap#t&mC-V%Yu-%V@G1B8N> z3;!TP8Og>dt;YQPCk*49f+qRn`1vRD{)_bgZc4uxKQIVyi9f~PFXU>Rl3#HAx2(S3 zxk0v3&cBVWzll75cfo%xe(0X-BJJuT&0Depe}xM~J>joJ^LK~+E^#mqXpY~~g01-? z2m#|W49Xx6085miZ$|R{D)eh*`pfZyTn>r=_!b|GUcwNXhQKYpfoxveLJ7u zB*M3Y{ytae+|WM~0>CfG=q8&7dQhoB91U_Dpaw{%F`yNPiztEPC~ruV_l2+{abhw2 z)*}3D2 z?^uL*!N*=dH-MxX)e+RO!E^(gVhjwxx(vPw16>{112X+Q;D5pfc!${c3*+zaF2>K- zu9gn8Vgij6B<+7Occ`MH9uHAwco=m8(10iEB0?nrockv=R`ttJv8qAMgC5j{{lXNwKm`{Fce=_Lnc5hpsWb+EiM^;LDB(2 zO=#pGQb2;uf8_esxcS*wJTjjCq&@UrzqKfM{|t16UJ_f_>Ns$~9SJR9trL?e0olKi z0T3g16ovwL?x?`9#5cSWIU)H~=vJ6m^`~8++BI5j z1bZad0$}&^eGXCLKV$s;3H|P};PKCFrO4 z`-KR{DES4qf6WR*fOzU7I1pZdR)7IOgn?H@oPao3nWPN0ZwSJ z18f1q5H=EFk)k*!RT4b0zwsB3f27&s3RPI+fxMyoU@IoyOl}kjf2qcPGvEZr-(8I- zB=+MkW3>)5fb0V4uApuWo}i+G1~14t@Ch_n0t3;9D&PpHY zp`l1JfWZ}9IDm5;;b){6jPNsnuTlJrG_qi_384Uh!Q2m(VXV&J1)B7V>Hh%NM;u2D zkP0MU8iHsM zVgqJD2#^z?Du=0>gC)oiz$P#>6xUmWngD))z$hMw2=0ms@P^k+utXnXU?L8vmUeb_ zg;}84js%7<8GwKQ3el$+C|#5#u)*^Kbrq&oFc&a1G=%WTzNG`A#ib?S2loK>a6v#| zBE*lfhoV9m2o1&KN1slk&!AALA!~@Lc&LBocf$CKeSrdE0jS%MVcdXc1M&n!fFhvA z39?pfc@&&b)y3K!lo9ej!r8Gpg9V<{KO=&p#bop$3?{TgLMPxLum)-uPzEfaGXMkd z0wMrjK+MoUfI2``eC`9}!r1{di;Ike*uW4P7>F|k!s0wde1zCB{^Dl5_Co)I^A|D$ zQGp+1k7@|wH5ME69vu)8_={%)7QW%*cSr)V1oT1mumyEiP}v}URD7gg3akV{RR>m) zklG~b*#ZM0PCU0zK(PEruQ6UA!{3LWiOMjEzd#VwouW!IVgSETtHB1Z5AN2!7*LW2ovixKG zXDBdf{6*tMWE^xwK0bl)*#azGLN=hof$jys73eI%D;m!tK~Ra7LSR5a<8B4|A`hNm zgR(tZRgf90Ex6&&@4rBSF;1|FHe5C+bHj*C<@?pq2652JUVi$=IC4fv2enzt@ zgovOjU_mCf-?1bn77uC&K45|Mm|@}w1zyJefVYXRBhi5$+ysLiZWyx}@$sbx9y9I& zspEalSp1*o=SSikO9%3S#W`Z}Q(?y<`CAO*ohQZxXo{!}B2<9)x8s}wdpyt3PN9Jl zrw(KRaDw`0oWheBO7sd*jHL+vp?V;|i6Ib(AN3xgp@4kA3H1!<39uT0Qb-P#$^nZ4 z#D#1?iU5`%Ab0?8;0ihs$Q6UZc$EW7fWmkT@JTPE1C`L++#LT_9ioL9A7Cel2O@+L z{lE6^G+M5#ssng+hZe-4!2uPgfD@=V4~P>qAmRiBafk!9O=DW=v?d_7r6T&kfkFkJ zC=zFJK;s-0wH2p015Qyfc(+;f-f?I~hznZn z9N82B#rhFclCqz~{AIPr#hevnlVVR4e64ya7~dUMSC{^og;cip$rh&0E{FzBI~l!5 zLD{EX8MZdU78kkJtNSh1Sn_bNW=x~ibTvNDd$(;@90;r|r?yx9+J>4EeFlecd9GcU zzaXX%%Fjhvk&0|@ozUP2?jE~i@-8^U)1zSm&cs||FFi#aR2Hu=P-~_wCa25%_$5`< zH0R#12|XLb95$*J!nC5186wuCyBm{59CyznivoncdhOk%%j$$7EKaSGAB?axxnLKExmyvvzePJT|xpt;EeogMJEjkD|`@U}JFdU3cM zV*p)ojyIC&X};0zN9AAzu|@(d*Ytvc6sQa2MO$%W^oe&DlnCpd(b=V!b-jv8*)#3+a=1#}y*OEo~r2A|e!QZ#6Ju zTE**=1nQW&elWA-yE$`inKx1zQyFF-n2QTWQ(ppKyprTrwuZLoS%jXWQBu~Ic1<^C z%8pl&tJTyhO}fKc#y!YhIC5EVNO1f&#NTqum5l8n{+@&Cm5oE69IjTD?^7x4Ma?*x zx*9Dt!^IfqeS_VxH*L@dlpX$ZwuQdHZ=~fB#&Q>+#_!d&(lk!6<66en`$B<{@^vhZ z^W^osyJd^r`y+PfuF6<6^FNW_ zK2m5~YlH3Fo0t>~1at0h>qrMa4}ijo)iH3sBA?my2>Sl!Fqv?bOr%xTZ=7BW%vwu` zyu6|e54XqMZ87vhaC$$|%f#E3np+Gbg@J7;x}r@zqQqxP;1G1XpoI|Ij_ObTsj&?fv!xEoFseOutc294^^-- zVk>JJk+otq#(3oSSNg7R7aSOwUxlP7wZZ4I=G%6%h z5el2_2}99qt=a6rjMU~Cj^fVpg=~|;9t~v+!y@F#+1ga-RB0$vm^5B=cTHHVWnJ3F zfl-1YY<{nx;)h~y?^;G8OD8sh6i)XTwjt_=@#+gW9BF>tQCIFW8;i6O?k@7>(}*t- z(|)!+bHAZcF-x^swRQ;W4b(8*`tP1OFfzXivKMAZI%QR{AXd1sF_VHaNC}Cjgq<&8 zdo1is3o+)ZBU>$_%jr-|I2ZHX*?!4X|Mm={AK;L?V|#D6l)z|f|Ga03Zb94Xu&&mpsa(s$y|iV3;= z!ThZoYGEWTlGq-SnRgx=mANawctk~AGFS)-v_+b2z{aOG?G_3vgE*6;(hAnIF%=_H zXxjV)GzSDTwN42Mvyck?QD2ncdo>qP~Wz<1n%faqCi+H7MML7emkU`|1eR`Sgg zC0evG+pG;;@U^-q65XIGtwNP<^HC9$9Kw1Xi!~a(wIT{bOi}6DEs9E7RhB;7=@V76 z%@gA`5Mr2SuZ?MWDKQbVutNOyYvDYXq_7?B9&0QIPJeDeHta4Vldrb}(1s^jprgW4 z*CbSRjNsO0M~hX^VaC#`qSX`x)Ipi|$1CFy1T_en?|kOSG3f#6v6t#%5z8{5HNr`v6o_jo>SAlvxO4oi*7e7w z<-l_MrR01L^C1+vLEi|<^c0f2vsrEHfT9ut4bz>0kS~EI4<=ng?w|25HDJ7LbeDD+ z>(O`Pz*hSuCML-xGSvvW!W&Q6@1paEvEPa{@tDxIzNoX)B!*bq?@o-_m%1(SH-fkX zr&w$G?u#gQp5)Rqf1yGH1@^Rx2?0WNogpi$;XQhTz3tdS`=E;9j~H zmy*Pwz8xSsXma#oB+duE@ZdAIb#)#hiE4bGP15vQx#|l$0#no9y>w9+r-s=y?C0kq{u1=~@BFP;;MP5#+xjm1=cJ2uB&8sli`TMQ zX(T2RoHSGPw)}Zshc&%DF3vQgYzpK9a)3&GrQaSSCR;9MhS}tj0S9Ip)B*J!_0p#N4=pZOAK^VyoSggPh?bt6GZ*X9Y7_ZmqM zTl8|3MZ_+fCyxEh4Z9=-oVN>oGm1O zj)W?GvOpGrDtxo>&Z)w$%Cu43@+~WgIUZ_Eb`r;epZEqmeZ! zsDz*l-Jk8q-AnyqT{ZGJnm%KBrC|JYtXa~eG?rITmu^E1w8E?&A(He*tsVy{vX^@9 zPjRdLl9@G<9mz7uCep1iLSg;x9~WxnNQ*1Y|M)46?wnV|HX`UrRVaB|kfSzDIAAWy zqcukxLp;^mJW&I!%V<%Kh1PLIyL8+Qk@5DK%V&qkzGY}#n2!^L1XlY3{z0L}%%UvP zAn*xDmyqJdM`C^7g%ZTm6Zd$~Cl8p`M<`nJMV|RLj^p-?6WV&EIrPZu*6K5ymDXeH zUQ5@ktOe$UTo)_;&h0eXvYLU9nv71IvpRmPqd+G%)`dF`?uwCl$r(HF)zPyqTCuUM zfJ9t)>Bz{TkU<>Q@%C_FRve6&$fE;a6s96LiI|u3)mlFpn23{urg~!Kpp*9YT+mbw zSKbIR0_mitsA{xE;DyOoh*jx|8PrRm8Trhec^osF>ASR+Xqp%lygSdHJ|&Kz?{tfEbpam0Li8zU|kf;?} z0&Y(1Rd!0&XnBLHeNLmn>~P$v4%1+a={{u9<>~Jxf_~=*W9d&jrM6}G==5noWYpgn zaqf!}UAmG@ldpQ?yF#-ss%*|E33DcQ!X4KFff^e~3`2wEE31hD#AS^&^W30@ywHz@ z^IQn}UX6KUC`Qco6cr<2fH-YI1qZkk?y{2i|a}f!Nwjf z;j*r=;I6xnTPE$zSNZ>Hn!iK`hal9<(Rz^jIztun(c{FI7x8LZyCV8Q4T|7MlgbgR zCeZ|@dU;7k*?P^#^yEDl!Dj*^O1F-;tA&yIRe)>+)Uk*}og%5ZP1{MNa^^XXiFnec zt@VF(@Vaj)bdwR-V27LI)2Z`w^=9Kq+r_o zl78n-Rhj>>uLpq`r4`3~!fz{!`mw?M+MZ{2Wa253r@&JCJbb}NQ)%Cmnqtw78PUI*vx628m~YZ=9wd4Ov>f@zMqLMx&aUrF>Ll z`fP%ILNJj6-3v+OaEkuWroaRmjX>P;30*S|b?!p*EjXkQL9AxK=TpDSR7>*3Cw4(y ztvAG%9`<7KP=ERy|2%w!6Qd{;tJg&IWfp$xKHiBLHm2^_gE?c3)((-l=W1?8WAo)u zjKoZuf8TiLXNmdPvgl))hqAu=)Uj>m4Kgg3#|ui!3T z4qT9W^_7<5@9v8`hYp;hX&7%g{xTjL6Nh#9Pa++E8Xf-Ci7H8h(FCA=OJSh#>iyf#-+eNoUCVKg z33bWilDlG*(5Ev0cg1_?8{c{LvhS)`SsAI6F-DPZ?wll3#adg^fc15Sb09(_P~l9W zGnRQmxCj4yg|GcSKz{$!Mnt(SyH>Nl)*M)KV9kLw2QDfH4oue9<>kQRC(oN4^WV*r zqm$=O4o;piIj($c&qO_Ra_wYUCHB(s0gw*v1#yo!=R5x%hut&Ul|BDkuYXS~eK+vT z*S+k`k2-LT(pwJ1G9#z;b#-&#(BwA%#qyt69^lyI_VZfsLnhaa_8-l8&`V$VtXDnd z4G(_te@|XBdF>4dCV)`bj^3DeC)N|@>H`wzdTM#x6HlJ6O?b_nQoo? zrR7n!mLD;>_L>Y8eT^M%ZQc3q{;Ho=dOkt@(`%kvCpYqXw@;pof@hokn7MDnms^yN z?mSG@X8!vr4L;x;sAJQ2Ds8-`Z?BuIuJ!!rd9?Y5x%ayM%={k_mg|M!+rYPj4e%oH zV(`BP`%izr3w$?t37CPGg6{#}3%(D$47?nCKiC960A2xp5d08$CHP_RDsTe)2>4O( zW8lZZPk^5UKLvgoyc+xr_*w9C;O9YD^$Xw^!AbB-;FrO#fL{f_27Vp<1~>(N6Z{tV zZSY#~JK%T0?}0nO?}I-8uLFMw{s{ar_!ID_;57I%@aNz!z+ZyD0)Gwu2D~1;0lX3X zE%-a|_uwDEKY}yhpTIwZe*td-{|f#M{5uG5hwIJm|Hn-r^#cs;`}sF|{O%xghMor~ zzdd*$cn9!~;GMubgX_VAz=OfNfOiFnAqT)iz5%^+o7<>u%Qt)Nq%fU0jSAZMASAv_sv%t;Z+2E_d5pWCmYVbASIp9`s z6x;^B7JMBz29AU0g6Dy+2hRuJ0B#502&Ukhz&C?$0WSdG3NBav7a3fvYuQFF)|lrr z&Xqj>H5Pg;H~{Vkt^;oe?hh`fFIwj5x_s$4Fqypd`iGNseD{$5KX9J^-+bHABexwq de&m*;i3B%SCUnH-LEh#_ly{{sv;l9vDg literal 0 HcmV?d00001 diff --git a/Project/A31G12x_Co2_Sensor_Logger.uvguix.Imbis b/Project/A31G12x_Co2_Sensor_Logger.uvguix.Imbis new file mode 100644 index 0000000..96481b9 --- /dev/null +++ b/Project/A31G12x_Co2_Sensor_Logger.uvguix.Imbis @@ -0,0 +1,1896 @@ + + + + -6.1 + +

      ### uVision Project, (C) Keil Software
      + + + + + + + + + + 38003 + Registers + 188 122 + + + 346 + Code Coverage + 1410 160 + + + 204 + Performance Analyzer + 1570 + + + + + + 35141 + Event Statistics + + 200 50 700 + + + 1506 + Symbols + + 106 106 106 + + + 1936 + Watch 1 + + 200 133 133 + + + 1937 + Watch 2 + + 200 133 133 + + + 1935 + Call Stack + Locals + + 200 133 133 + + + 2506 + Trace Data + + 75 135 130 95 70 230 200 150 + + + 466 + Source Browser + 500 + 300 + + + + + + + + 1 + 1 + 0 + 0 + -1 + + + + + + + 44 + 2 + 3 + + -32000 + -32000 + + + -1 + -1 + + + 635 + 842 + 1795 + 1191 + + + + 0 + + 495 + 0100000004000000010000000100000001000000010000000000000002000000000000000100000001000000000000002800000028000000010000000300000002000000010000004D443A5C576F726B696E675C4769745F436C6F6E655C535F436F325F53656E736F725F4C6F676765725C50726F6A6563745C4170706C69636174696F6E5C616374696F6E5F70726F636573732E680000000010616374696F6E5F70726F636573732E6800000000C5D4F200FFFFFFFF4D443A5C576F726B696E675C4769745F436C6F6E655C535F436F325F53656E736F725F4C6F676765725C50726F6A6563745C4170706C69636174696F6E5C616374696F6E5F70726F636573732E630000000010616374696F6E5F70726F636573732E6300000000FFDC7800FFFFFFFF48443A5C576F726B696E675C4769745F436C6F6E655C535F436F325F53656E736F725F4C6F676765725C50726F6A6563745C4170706C69636174696F6E5C736176655F66696C652E63000000000B736176655F66696C652E6300000000BECEA100FFFFFFFF0100000010000000C5D4F200FFDC7800BECEA100F0A0A100BCA8E1009CC1B600F7B88600D9ADC200A5C2D700B3A6BE00EAD6A300F6FA7D00B5E99D005FC3CF00C1838300CACAD5000100000000000000020000004401000066000000000A00002F020000 + + + + 0 + Build + + -1 + -1 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 440100004F00000070070000E0000000 + + + 16 + 70000000870000002804000018010000 + + + + 1005 + 1005 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000FF010000 + + + 16 + 7000000087000000B001000054010000 + + + + 109 + 109 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000FF010000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 1465 + 1465 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000003402000070070000C5020000 + + + 16 + 70000000870000002804000018010000 + + + + 1466 + 1466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1467 + 1467 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1468 + 1468 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1506 + 1506 + 0 + 0 + 0 + 0 + 32767 + 0 + 16384 + 0 + + 16 + 33060000660000006D07000003010000 + + + 16 + 7000000087000000B001000054010000 + + + + 1913 + 1913 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 1935 + 1935 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 03000000370200006D070000AC020000 + + + 16 + 7000000087000000B001000054010000 + + + + 1936 + 1936 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 1937 + 1937 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 1939 + 1939 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1940 + 1940 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1941 + 1941 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1942 + 1942 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 195 + 195 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000FF010000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 196 + 196 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000FF010000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 197 + 197 + 1 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 0000000030020000000A0000F0030000 + + + 16 + 70000000870000002804000018010000 + + + + 198 + 198 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 000000002002000070070000C5020000 + + + 16 + 70000000870000002804000018010000 + + + + 199 + 199 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000330200006D07000094020000 + + + 16 + 70000000870000002804000018010000 + + + + 203 + 203 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + 440100006300000070070000E0000000 + + + 16 + 70000000870000002804000018010000 + + + + 204 + 204 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 221 + 221 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000000000000000000000000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 2506 + 2506 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 30060000630000007007000030020000 + + + 16 + 7000000087000000B001000054010000 + + + + 2507 + 2507 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000003402000070070000B1020000 + + + 16 + 70000000870000002804000018010000 + + + + 343 + 343 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 346 + 346 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 35141 + 35141 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 440100006300000070070000E0000000 + + + 16 + 7000000087000000B001000054010000 + + + + 35824 + 35824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 35885 + 35885 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35886 + 35886 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35887 + 35887 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35888 + 35888 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35889 + 35889 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35890 + 35890 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35891 + 35891 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35892 + 35892 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35893 + 35893 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35894 + 35894 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35895 + 35895 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35896 + 35896 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35897 + 35897 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35898 + 35898 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35899 + 35899 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35900 + 35900 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35901 + 35901 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35902 + 35902 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35903 + 35903 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35904 + 35904 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35905 + 35905 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 38003 + 38003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000AC020000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 38007 + 38007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000003002000070070000AD020000 + + + 16 + 70000000870000002804000018010000 + + + + 436 + 436 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000330200006D07000094020000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 437 + 437 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 440 + 440 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 463 + 463 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000330200006D07000094020000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 466 + 466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000330200006D07000094020000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 470 + 470 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 50000 + 50000 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50001 + 50001 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50002 + 50002 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50003 + 50003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50004 + 50004 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50005 + 50005 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50006 + 50006 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50007 + 50007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50008 + 50008 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50009 + 50009 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50010 + 50010 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50011 + 50011 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50012 + 50012 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50013 + 50013 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50014 + 50014 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50015 + 50015 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50016 + 50016 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50017 + 50017 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50018 + 50018 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50019 + 50019 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 59392 + 59392 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + 0000000000000000D10300001C000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59393 + 0 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000F0030000000A000003040000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59399 + 59399 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 1 + + 16 + 000000001C000000E701000038000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59400 + 59400 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 2 + + 16 + 00000000380000006F02000054000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 824 + 824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 3312 + 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 + + + 59392 + File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uild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ebug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pplication\action_process.h + 0 + 1 + 15 + 1 + + 0 + + + .\Application\action_process.c + 0 + 7 + 25 + 1 + + 0 + + + .\Application\save_file.c + 22 + 21 + 44 + 1 + + 0 + + + + + diff --git a/Project/A31G12x_Co2_Sensor_Logger.uvoptx b/Project/A31G12x_Co2_Sensor_Logger.uvoptx new file mode 100644 index 0000000..702c91f --- /dev/null +++ b/Project/A31G12x_Co2_Sensor_Logger.uvoptx @@ -0,0 +1,916 @@ + + + + 1.0 + +
      ### uVision Project, (C) Keil Software
      + + + *.c + *.s*; *.src; *.a* + *.obj; *.o + *.lib + *.txt; *.h; *.inc; *.md + *.plm + *.cpp + 0 + + + + 0 + 0 + + + + S_Co2_Sensor_Logger + 0x4 + ARM-ADS + + 12000000 + + 0 + 1 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listings\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 1 + + 0 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + + + + + + + + + + + BIN\UL2CM3.DLL + + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN2 -FF0A31G12x_series_FLASH -FS00 -FL010000 -FF1A31G12x_series_CFG -FS11FFFF200 -FL1600 -FP0($$Device:A31G123$A31G12x\Flashloader\A31G12x_series_FLASH.FLM) -FP1($$Device:A31G123$A31G12x\Flashloader\A31G12x_series_CFG.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + + + + + + + + Application + 1 + 0 + 0 + 0 + + 1 + 1 + 1 + 0 + 0 + 0 + .\Application\A31G12x_Interrupt.c + A31G12x_Interrupt.c + 0 + 0 + + + 1 + 2 + 5 + 0 + 0 + 0 + .\Application\A31G12x_Interrupt.h + A31G12x_Interrupt.h + 0 + 0 + + + 1 + 3 + 1 + 0 + 0 + 0 + .\Application\A31G12x_SystemClock.c + A31G12x_SystemClock.c + 0 + 0 + + + 1 + 4 + 5 + 0 + 0 + 0 + .\Application\A31G12x_SystemClock.h + A31G12x_SystemClock.h + 0 + 0 + + + 1 + 5 + 5 + 0 + 0 + 0 + .\Application\board_config.h + board_config.h + 0 + 0 + + + 1 + 6 + 5 + 0 + 0 + 0 + .\Application\define.h + define.h + 0 + 0 + + + 1 + 7 + 1 + 0 + 0 + 0 + .\Application\main.c + main.c + 0 + 0 + + + 1 + 8 + 1 + 0 + 0 + 0 + .\Application\dbg_printf.c + dbg_printf.c + 0 + 0 + + + 1 + 9 + 1 + 0 + 0 + 0 + .\Application\sw_timer.c + sw_timer.c + 0 + 0 + + + 1 + 10 + 1 + 0 + 0 + 0 + .\Application\systick_timer.c + systick_timer.c + 0 + 0 + + + 1 + 11 + 1 + 0 + 0 + 0 + .\Application\ring_buffer.c + ring_buffer.c + 0 + 0 + + + 1 + 12 + 1 + 0 + 0 + 0 + .\Application\gpio_state_led.c + gpio_state_led.c + 0 + 0 + + + 1 + 13 + 1 + 0 + 0 + 0 + .\Application\gpio_switch.c + gpio_switch.c + 0 + 0 + + + 1 + 14 + 1 + 0 + 0 + 0 + .\Application\uart1.c + uart1.c + 0 + 0 + + + 1 + 15 + 1 + 0 + 0 + 0 + .\Application\segment_74hc595d.c + segment_74hc595d.c + 0 + 0 + + + 1 + 16 + 1 + 0 + 0 + 0 + .\Application\timer12.c + timer12.c + 0 + 0 + + + 1 + 17 + 1 + 0 + 0 + 0 + .\Application\gpio_i2c.c + gpio_i2c.c + 0 + 0 + + + 1 + 18 + 1 + 0 + 0 + 0 + .\Application\spi10.c + spi10.c + 0 + 0 + + + 1 + 19 + 1 + 0 + 0 + 0 + .\Application\save_file.c + save_file.c + 0 + 0 + + + 1 + 20 + 1 + 0 + 0 + 0 + .\Application\driver_ds3231.c + driver_ds3231.c + 0 + 0 + + + 1 + 21 + 1 + 0 + 0 + 0 + .\Application\driver_ds3231_basic.c + driver_ds3231_basic.c + 0 + 0 + + + 1 + 22 + 1 + 0 + 0 + 0 + .\Application\driver_ds3231_interface_template.c + driver_ds3231_interface_template.c + 0 + 0 + + + 1 + 23 + 1 + 0 + 0 + 0 + .\Application\rtc_process.c + rtc_process.c + 0 + 0 + + + 1 + 24 + 1 + 0 + 0 + 0 + .\Application\action_process.c + action_process.c + 0 + 0 + + + 1 + 25 + 1 + 0 + 0 + 0 + .\Application\eeprom.c + eeprom.c + 0 + 0 + + + 1 + 26 + 1 + 0 + 0 + 0 + .\Application\buzzer.c + buzzer.c + 0 + 0 + + + 1 + 27 + 1 + 0 + 0 + 0 + .\Application\gpio_sensor.c + gpio_sensor.c + 0 + 0 + + + 1 + 28 + 1 + 0 + 0 + 0 + .\Application\segment.c + segment.c + 0 + 0 + + + 1 + 29 + 1 + 0 + 0 + 0 + .\Application\uart_packet.c + uart_packet.c + 0 + 0 + + + + + Driver + 0 + 0 + 0 + 0 + + 2 + 30 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_adc.c + A31G12x_hal_adc.c + 0 + 0 + + + 2 + 31 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_crc.c + A31G12x_hal_crc.c + 0 + 0 + + + 2 + 32 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_debug_frmwrk.c + A31G12x_hal_debug_frmwrk.c + 0 + 0 + + + 2 + 33 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_fmc.c + A31G12x_hal_fmc.c + 0 + 0 + + + 2 + 34 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_i2cn.c + A31G12x_hal_i2cn.c + 0 + 0 + + + 2 + 35 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_intc.c + A31G12x_hal_intc.c + 0 + 0 + + + 2 + 36 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_lcd.c + A31G12x_hal_lcd.c + 0 + 0 + + + 2 + 37 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_pcu.c + A31G12x_hal_pcu.c + 0 + 0 + + + 2 + 38 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_pwr.c + A31G12x_hal_pwr.c + 0 + 0 + + + 2 + 39 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_scu.c + A31G12x_hal_scu.c + 0 + 0 + + + 2 + 40 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_sculv.c + A31G12x_hal_sculv.c + 0 + 0 + + + 2 + 41 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer1n.c + A31G12x_hal_timer1n.c + 0 + 0 + + + 2 + 42 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer2n.c + A31G12x_hal_timer2n.c + 0 + 0 + + + 2 + 43 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer3n.c + A31G12x_hal_timer3n.c + 0 + 0 + + + 2 + 44 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_uartn.c + A31G12x_hal_uartn.c + 0 + 0 + + + 2 + 45 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_usart1n.c + A31G12x_hal_usart1n.c + 0 + 0 + + + 2 + 46 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_wdt.c + A31G12x_hal_wdt.c + 0 + 0 + + + 2 + 47 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_wt.c + A31G12x_hal_wt.c + 0 + 0 + + + + + Startup + 0 + 0 + 0 + 0 + + 3 + 48 + 2 + 0 + 0 + 0 + .\SDK_V2_5_0\Device\Startup\startup_A31G12x.s + startup_A31G12x.s + 0 + 0 + + + + + Device + 0 + 0 + 0 + 0 + + 4 + 49 + 5 + 0 + 0 + 0 + .\SDK_V2_5_0\Device\Startup\system_A31G12x.h + system_A31G12x.h + 0 + 0 + + + 4 + 50 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Device\Startup\system_A31G12x.c + system_A31G12x.c + 0 + 0 + + + 4 + 51 + 5 + 0 + 0 + 0 + .\SDK_V2_5_0\Device\Startup\A31G12x.h + A31G12x.h + 0 + 0 + + + + + Option + 0 + 0 + 0 + 0 + + 5 + 52 + 2 + 0 + 0 + 0 + .\SDK_V2_5_0\Option\option_A31G12x.s + option_A31G12x.s + 0 + 0 + + + + + FATFS + 0 + 0 + 0 + 0 + + 6 + 53 + 1 + 0 + 0 + 0 + .\Application\FATFS\App\fatfs.c + fatfs.c + 0 + 0 + + + 6 + 54 + 1 + 0 + 0 + 0 + .\Application\FATFS\Target\user_diskio.c + user_diskio.c + 0 + 0 + + + 6 + 55 + 1 + 0 + 0 + 0 + .\Application\FATFS\Target\user_diskio_spi.c + user_diskio_spi.c + 0 + 0 + + + 6 + 56 + 1 + 0 + 0 + 0 + .\Application\Middlewares\Third_Party\FatFs\src\diskio.c + diskio.c + 0 + 0 + + + 6 + 57 + 1 + 0 + 0 + 0 + .\Application\Middlewares\Third_Party\FatFs\src\ff.c + ff.c + 0 + 0 + + + 6 + 58 + 1 + 0 + 0 + 0 + .\Application\Middlewares\Third_Party\FatFs\src\ff_gen_drv.c + ff_gen_drv.c + 0 + 0 + + + +
      diff --git a/Project/A31G12x_Co2_Sensor_Logger.uvprojx b/Project/A31G12x_Co2_Sensor_Logger.uvprojx new file mode 100644 index 0000000..88eb2a4 --- /dev/null +++ b/Project/A31G12x_Co2_Sensor_Logger.uvprojx @@ -0,0 +1,722 @@ + + + + 2.1 + +
      ### uVision Project, (C) Keil Software
      + + + + S_Co2_Sensor_Logger + 0x4 + ARM-ADS + 5060960::V5.06 update 7 (build 960)::.\ARMCC + 0 + + + A31G123 + ABOV Semiconductor + ABOV.CM0_DFP.1.0.4 + http://www.abov.co.kr/data/mds/PACK/ + IRAM(0x20000000,0x1800) IROM(0x00000000,0x10000) CPUTYPE("Cortex-M0") CLOCK(12000000) ELITTLE + + + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN2 -FF0A31G12x_series_FLASH -FS00 -FL010000 -FF1A31G12x_series_CFG -FS11FFFF200 -FL1600 -FP0($$Device:A31G123$A31G12x\Flashloader\A31G12x_series_FLASH.FLM) -FP1($$Device:A31G123$A31G12x\Flashloader\A31G12x_series_CFG.FLM)) + 0 + $$Device:A31G123$A31G12x\Core\include\A31G12x_series.h + + + + + + + + + + .\SDK_V2_5_0\Debugging_Info\SFR\A31G12x.SFR + 1 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + S_DustSensorView + 1 + 0 + 1 + 1 + 1 + .\Listings\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 1 + + + SARMCM3.DLL + + DARMCM1.DLL + -pCM0 + SARMCM3.DLL + + TARMCM1.DLL + -pCM0 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M0" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x1800 + + + 1 + 0x0 + 0x10000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x10000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x1800 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 3 + 3 + 1 + 1 + 0 + 0 + 0 + + + + + .\Application;.\SDK_V2_5_0\Device\Startup;.\SDK_V2_5_0\Drivers\Include;.\SDK_V2_5_0\Drivers\Source;.\SDK_V2_5_0\FlashLoader;.\SDK_V2_5_0\Option;.\SDK_V2_5_0\INI;.\SDK_V2_5_0\Core;.\Application\FATFS\App;.\Application\FATFS\Target;.\Application\Middlewares\Third_Party\FatFs\src;.\Application\Middlewares\Third_Party\FatFs\src\option + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x00000000 + 0x20000000 + + + + + + + + + + + + + Application + + + A31G12x_Interrupt.c + 1 + .\Application\A31G12x_Interrupt.c + + + A31G12x_Interrupt.h + 5 + .\Application\A31G12x_Interrupt.h + + + A31G12x_SystemClock.c + 1 + .\Application\A31G12x_SystemClock.c + + + A31G12x_SystemClock.h + 5 + .\Application\A31G12x_SystemClock.h + + + board_config.h + 5 + .\Application\board_config.h + + + define.h + 5 + .\Application\define.h + + + main.c + 1 + .\Application\main.c + + + dbg_printf.c + 1 + .\Application\dbg_printf.c + + + sw_timer.c + 1 + .\Application\sw_timer.c + + + systick_timer.c + 1 + .\Application\systick_timer.c + + + ring_buffer.c + 1 + .\Application\ring_buffer.c + + + gpio_state_led.c + 1 + .\Application\gpio_state_led.c + + + gpio_switch.c + 1 + .\Application\gpio_switch.c + + + uart1.c + 1 + .\Application\uart1.c + + + segment_74hc595d.c + 1 + .\Application\segment_74hc595d.c + + + timer12.c + 1 + .\Application\timer12.c + + + gpio_i2c.c + 1 + .\Application\gpio_i2c.c + + + spi10.c + 1 + .\Application\spi10.c + + + save_file.c + 1 + .\Application\save_file.c + + + driver_ds3231.c + 1 + .\Application\driver_ds3231.c + + + driver_ds3231_basic.c + 1 + .\Application\driver_ds3231_basic.c + + + driver_ds3231_interface_template.c + 1 + .\Application\driver_ds3231_interface_template.c + + + rtc_process.c + 1 + .\Application\rtc_process.c + + + action_process.c + 1 + .\Application\action_process.c + + + eeprom.c + 1 + .\Application\eeprom.c + + + buzzer.c + 1 + .\Application\buzzer.c + + + gpio_sensor.c + 1 + .\Application\gpio_sensor.c + + + segment.c + 1 + .\Application\segment.c + + + uart_packet.c + 1 + .\Application\uart_packet.c + + + + + Driver + + + A31G12x_hal_adc.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_adc.c + + + A31G12x_hal_crc.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_crc.c + + + A31G12x_hal_debug_frmwrk.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_debug_frmwrk.c + + + A31G12x_hal_fmc.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_fmc.c + + + A31G12x_hal_i2cn.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_i2cn.c + + + A31G12x_hal_intc.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_intc.c + + + A31G12x_hal_lcd.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_lcd.c + + + A31G12x_hal_pcu.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_pcu.c + + + A31G12x_hal_pwr.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_pwr.c + + + A31G12x_hal_scu.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_scu.c + + + A31G12x_hal_sculv.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_sculv.c + + + A31G12x_hal_timer1n.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer1n.c + + + A31G12x_hal_timer2n.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer2n.c + + + A31G12x_hal_timer3n.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer3n.c + + + A31G12x_hal_uartn.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_uartn.c + + + A31G12x_hal_usart1n.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_usart1n.c + + + A31G12x_hal_wdt.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_wdt.c + + + A31G12x_hal_wt.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_wt.c + + + + + Startup + + + startup_A31G12x.s + 2 + .\SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + + + Device + + + system_A31G12x.h + 5 + .\SDK_V2_5_0\Device\Startup\system_A31G12x.h + + + system_A31G12x.c + 1 + .\SDK_V2_5_0\Device\Startup\system_A31G12x.c + + + A31G12x.h + 5 + .\SDK_V2_5_0\Device\Startup\A31G12x.h + + + + + Option + + + option_A31G12x.s + 2 + .\SDK_V2_5_0\Option\option_A31G12x.s + + + + + FATFS + + + fatfs.c + 1 + .\Application\FATFS\App\fatfs.c + + + user_diskio.c + 1 + .\Application\FATFS\Target\user_diskio.c + + + user_diskio_spi.c + 1 + .\Application\FATFS\Target\user_diskio_spi.c + + + diskio.c + 1 + .\Application\Middlewares\Third_Party\FatFs\src\diskio.c + + + ff.c + 1 + .\Application\Middlewares\Third_Party\FatFs\src\ff.c + + + ff_gen_drv.c + 1 + .\Application\Middlewares\Third_Party\FatFs\src\ff_gen_drv.c + + + + + + + + + + + + + + + + + A31G12x_Project + 1 + + + + +
      diff --git a/Project/Application/A31G12x_Interrupt.c b/Project/Application/A31G12x_Interrupt.c new file mode 100644 index 0000000..4c98fe6 --- /dev/null +++ b/Project/Application/A31G12x_Interrupt.c @@ -0,0 +1,89 @@ +/***************************************************************************//** +* @file A31G12x_it.c +* @brief Contains all functions support for Exception & Interrupt Handler on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +*//****************************************************************************/ + +#include "A31G12x_Interrupt.h" + +/* Private typedef ---------------------------------------------------------- */ +/* Private define ----------------------------------------------------------- */ +/* Private macro ------------------------------------------------------------ */ +/* Private define ----------------------------------------------------------- */ +/* Private function prototypes ---------------------------------------------- */ +/* Private variables -------------------------------------------------------- */ + + + + +/******************************************************************************/ +/* Cortex M0+ Processor Exceptions Handlers */ +/******************************************************************************/ + +/*-------------------------------------------------------------------------*//** + * @brief This function handles NMI exception. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void NMI_Handler( void ) +{ +} + +/*-------------------------------------------------------------------------*//** + * @brief This function handles Hard Fault exception. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void HardFault_Handler( void ) +{ + /* Go to infinite loop when Hard Fault exception occurs */ + while( 1 ) + { + } +} + +/*-------------------------------------------------------------------------*//** + * @brief This function handles SVCall exception + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void SVC_Handler( void ) +{ +} + +/*-------------------------------------------------------------------------*//** + * @brief This function handles PendSVC exception + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void PendSV_Handler( void ) +{ +} + + +/******************************************************************************/ +/* A31G12x Peripherals Interrupt Handlers */ +/* Add here the Interrupt Handler for the used peripheral(s) (PPP), for the */ +/* available peripheral interrupt handler's name please refer to the startup */ +/* file (startup_A31G12x.s). */ +/******************************************************************************/ + + + + + + + + + + + diff --git a/Project/Application/A31G12x_Interrupt.h b/Project/Application/A31G12x_Interrupt.h new file mode 100644 index 0000000..6ba7e56 --- /dev/null +++ b/Project/Application/A31G12x_Interrupt.h @@ -0,0 +1,52 @@ +/** \file A31G12x_Interrupt.h */ + +/***************************************************************************//** +* @file A31G12x_it.h +* @brief Contains all macro definitions and function prototypes +* support for Exception & Interrupt Handler on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +*//****************************************************************************/ + +/* Define to prevent recursive inclusion ------------------------------------ */ +#if !defined(A31G12X_INTERRUPT_H__8AA39883_A129_473E_A344_853E2B3D652F__INCLUDED_) +#define A31G12X_INTERRUPT_H__8AA39883_A129_473E_A344_853E2B3D652F__INCLUDED_ + +/* Includes ----------------------------------------------------------------- */ + +#ifdef __cplusplus +extern "C" { +#endif + +/* Exported types ----------------------------------------------------------- */ +/* Exported constants ------------------------------------------------------- */ +/* Exported macro ----------------------------------------------------------- */ + + +/* Exported functions ------------------------------------------------------- */ + +void NMI_Handler( void ); +void HardFault_Handler( void ); +void MemManage_Handler( void ); +void BusFault_Handler( void ); +void UsageFault_Handler( void ); +void SVC_Handler( void ); +void DebugMon_Handler( void ); +void PendSV_Handler( void ); +void SysTick_Handler( void ); + +#ifdef __cplusplus +} +#endif + +#endif /* __A31G12x_IT_H */ + + + diff --git a/Project/Application/A31G12x_SystemClock.c b/Project/Application/A31G12x_SystemClock.c new file mode 100644 index 0000000..d58a6de --- /dev/null +++ b/Project/Application/A31G12x_SystemClock.c @@ -0,0 +1,126 @@ + + +/***************************************************************************//** +* @file A31G12x_SystemClock.c +* @brief Contains all functions support for Example Code on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +*//****************************************************************************/ +/******************************************************************************* + * A31G12x Device + *------------------------------------------------------------------------ + * System Clock source | High Speend Internal oscillator + *------------------------------------------------------------------------ + * SYSCLK(MHz) | 40MHz + *------------------------------------------------------------------------ + * HCLK(MHz) - Core Clock | 40MHz + *------------------------------------------------------------------------ + * PCLK(MHz) - Peri Clock | 40MHz + *------------------------------------------------------------------------ + ******************************************************************************/ + +#include "A31G12x_SystemClock.h" + +/* Private typedef ---------------------------------------------------------- */ +/* Private define ----------------------------------------------------------- */ +/* Private macro ------------------------------------------------------------ */ +/* Private variables -------------------------------------------------------- */ + + +/* Private define ----------------------------------------------------------- */ + +// Main Clock Selection: Select only one of the following. +#define USED_HIRC /* HIRC : 40000000uL */ +//#define USED_WDTRC /* WDTRC : 40000uL */ +//#define USED_XMOSC /* XMOSC : 16000000uL */ +//#define USED_XSOSC /* XSOSC : 32768uL */ + +/* Clock Out Selection ( Monitoring ) */ +/*#define USED_CLKO*/ + + +/* Private function prototypes ---------------------------------------------- */ + +void SystemClock_Config( void ); + + +/* Private variables -------------------------------------------------------- */ + + +/*-------------------------------------------------------------------------*//** + * @brief Initialize default clock for A31G12x Board + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void System_Clock_Initialization( void ) +{ + uint32_t i; + + // enable clock source + HAL_SCU_ClockSource_Enable( CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN | CLKSRCR_WDTRCEN, HIRCSEL_HIRC1 ); + for( i = 0; i < 1000; i++ ); // Clock Stable Time + + // select system clock +#ifdef USED_WDTRC + HAL_SCU_SystemClockDivider( WLDIV_MCLK64 | HDIV_MCLK1, SYSTDIV_HCLK1 | PDIV_HCLK1 ); // WT/LCD | HCLK, SysTick | PCLK + HAL_SCU_SystemClockChange( MCLKSEL_WDTRC ); + + SystemCoreClock = 40000uL; // HCLK + SystemPeriClock = 40000uL; // PCLK +#endif + +#ifdef USED_XSOSC + HAL_SCU_SystemClockDivider( WLDIV_MCLK64 | HDIV_MCLK1, SYSTDIV_HCLK1 | PDIV_HCLK1 ); // WT/LCD | HCLK, SysTick | PCLK + HAL_SCU_SystemClockChange( MCLKSEL_XSOSC ); + + SystemCoreClock = 32768uL; // HCLK + SystemPeriClock = 32768uL; // PCLK +#endif + +#ifdef USED_XMOSC + HAL_SCU_SystemClockChange( MCLKSEL_XMOSC ); + HAL_SCU_SystemClockDivider( WLDIV_MCLK64 | HDIV_MCLK1, SYSTDIV_HCLK1 | PDIV_HCLK1 ); // WT/LCD | HCLK, SysTick | PCLK + + SystemCoreClock = 16000000uL; // HCLK + SystemPeriClock = 16000000uL; // PCLK +#endif + +#ifdef USED_HIRC + HAL_SCU_SystemClockChange( MCLKSEL_HIRC ); + HAL_SCU_SystemClockDivider( WLDIV_MCLK64 | HDIV_MCLK1, SYSTDIV_HCLK1 | PDIV_HCLK1 ); // WT/LCD | HCLK, SysTick | PCLK + + SystemCoreClock = 40000000uL; // HCLK + SystemPeriClock = 40000000uL; // PCLK +#endif + + // disable unused clock source + //HAL_SCU_ClockSource_Disable( CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN ); + + // enable clock monitoring + HAL_SCU_ClockMonitoring( MACTS_SysClkChg, MONCS_MCLK ); + + // enable clock output +#ifdef USED_CLKO + HAL_SCU_CLKO_PinConfig(); + HAL_SCU_ClockOutput( CLKOS_MCLK, POLSEL_Low, CLKODIV_SelectedClock1 ); +#endif + HAL_SCU_Peripheral_ClockConfig(0x00003FuL, 0x000000L); // Enable All Ports, +} + +void Systick_Initialization(uint32_t SysticTime) +{ + if(SysticTime > 1000) + { + SysticTime = 1000; + } + SysTick_Config( SystemCoreClock / (1000 / SysticTime) ); +} + diff --git a/Project/Application/A31G12x_SystemClock.h b/Project/Application/A31G12x_SystemClock.h new file mode 100644 index 0000000..32d7a42 --- /dev/null +++ b/Project/Application/A31G12x_SystemClock.h @@ -0,0 +1,11 @@ +/** \file A31G12x_SystemClock.h */ +#if !defined(A31G12X_SYSTEMCLOCK_H__CC394B1C_0861_4187_A351_DE0A4FCEDED0__INCLUDED_) +#define A31G12X_SYSTEMCLOCK_H__CC394B1C_0861_4187_A351_DE0A4FCEDED0__INCLUDED_ + +#include "define.h" + + +void System_Clock_Initialization( void ); +void Systick_Initialization(uint32_t SysticTime); + +#endif diff --git a/Project/Application/FATFS/App/fatfs.c b/Project/Application/FATFS/App/fatfs.c new file mode 100644 index 0000000..6185cc2 --- /dev/null +++ b/Project/Application/FATFS/App/fatfs.c @@ -0,0 +1,56 @@ +/** + ****************************************************************************** + * @file fatfs.c + * @brief Code for fatfs applications + ****************************************************************************** + * @attention + * + *

      © Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

      + * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ + +#include "fatfs.h" + +uint8_t retUSER; /* Return value for USER */ +char USERPath[4]; /* USER logical drive path */ +FATFS USERFatFS; /* File system object for USER logical drive */ +FIL USERFile; /* File object for USER */ + +/* USER CODE BEGIN Variables */ + +/* USER CODE END Variables */ + +void MX_FATFS_Init(void) +{ + /*## FatFS: Link the USER driver ###########################*/ + retUSER = FATFS_LinkDriver(&USER_Driver, USERPath); + + /* USER CODE BEGIN Init */ + /* additional user code for init */ + /* USER CODE END Init */ +} + +/** + * @brief Gets Time from RTC + * @param None + * @retval Time in DWORD + */ +DWORD get_fattime(void) +{ + /* USER CODE BEGIN get_fattime */ + return 0; + /* USER CODE END get_fattime */ +} + +/* USER CODE BEGIN Application */ + +/* USER CODE END Application */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/Project/Application/FATFS/App/fatfs.h b/Project/Application/FATFS/App/fatfs.h new file mode 100644 index 0000000..6f5c0a7 --- /dev/null +++ b/Project/Application/FATFS/App/fatfs.h @@ -0,0 +1,49 @@ +/** + ****************************************************************************** + * @file fatfs.h + * @brief Header for fatfs applications + ****************************************************************************** + * @attention + * + *

      © Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

      + * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __fatfs_H +#define __fatfs_H +#ifdef __cplusplus + extern "C" { +#endif + +#include "ff.h" +#include "ff_gen_drv.h" +#include "user_diskio.h" /* defines USER_Driver as external */ + +/* USER CODE BEGIN Includes */ + +/* USER CODE END Includes */ + +extern uint8_t retUSER; /* Return value for USER */ +extern char USERPath[4]; /* USER logical drive path */ +extern FATFS USERFatFS; /* File system object for USER logical drive */ +extern FIL USERFile; /* File object for USER */ + +void MX_FATFS_Init(void); + +/* USER CODE BEGIN Prototypes */ + +/* USER CODE END Prototypes */ +#ifdef __cplusplus +} +#endif +#endif /*__fatfs_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/Project/Application/FATFS/Target/ffconf.h b/Project/Application/FATFS/Target/ffconf.h new file mode 100644 index 0000000..f7fdd3d --- /dev/null +++ b/Project/Application/FATFS/Target/ffconf.h @@ -0,0 +1,275 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * FatFs - FAT file system module configuration file R0.11 (C)ChaN, 2015 + ****************************************************************************** + * @attention + * + *

      © Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

      + * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ +/* USER CODE END Header */ + +#ifndef _FFCONF +#define _FFCONF 32020 /* Revision ID */ + +/*-----------------------------------------------------------------------------/ +/ Additional user header to be used +/-----------------------------------------------------------------------------*/ +#include "main.h" + + +/*-----------------------------------------------------------------------------/ +/ Functions and Buffer Configurations +/-----------------------------------------------------------------------------*/ + +#define _FS_TINY 0 /* 0:Normal or 1:Tiny */ +/* This option switches tiny buffer configuration. (0:Normal or 1:Tiny) +/ At the tiny configuration, size of the file object (FIL) is reduced _MAX_SS +/ bytes. Instead of private sector buffer eliminated from the file object, +/ common sector buffer in the file system object (FATFS) is used for the file +/ data transfer. */ + +#define _FS_READONLY 0 /* 0:Read/Write or 1:Read only */ +/* This option switches read-only configuration. (0:Read/Write or 1:Read-only) +/ Read-only configuration removes writing API functions, f_write(), f_sync(), +/ f_unlink(), f_mkdir(), f_chmod(), f_rename(), f_truncate(), f_getfree() +/ and optional writing functions as well. */ + +#define _FS_MINIMIZE 0 /* 0 to 3 */ +/* This option defines minimization level to remove some basic API functions. +/ +/ 0: All basic functions are enabled. +/ 1: f_stat(), f_getfree(), f_unlink(), f_mkdir(), f_chmod(), f_utime(), +/ f_truncate() and f_rename() function are removed. +/ 2: f_opendir(), f_readdir() and f_closedir() are removed in addition to 1. +/ 3: f_lseek() function is removed in addition to 2. */ + +#define _USE_STRFUNC 2 /* 0:Disable or 1-2:Enable */ +/* This option switches string functions, f_gets(), f_putc(), f_puts() and +/ f_printf(). +/ +/ 0: Disable string functions. +/ 1: Enable without LF-CRLF conversion. +/ 2: Enable with LF-CRLF conversion. */ + +#define _USE_FIND 0 +/* This option switches filtered directory read feature and related functions, +/ f_findfirst() and f_findnext(). (0:Disable or 1:Enable) */ + +#define _USE_MKFS 1 +/* This option switches f_mkfs() function. (0:Disable or 1:Enable) */ + +#define _USE_FASTSEEK 1 +/* This option switches fast seek feature. (0:Disable or 1:Enable) */ + +#define _USE_LABEL 0 +/* This option switches volume label functions, f_getlabel() and f_setlabel(). +/ (0:Disable or 1:Enable) */ + +#define _USE_FORWARD 0 +/* This option switches f_forward() function. (0:Disable or 1:Enable) +/ To enable it, also _FS_TINY need to be set to 1. */ + +/*-----------------------------------------------------------------------------/ +/ Locale and Namespace Configurations +/-----------------------------------------------------------------------------*/ + +#define _CODE_PAGE 850 +/* This option specifies the OEM code page to be used on the target system. +/ Incorrect setting of the code page can cause a file open failure. +/ +/ 932 - Japanese Shift_JIS (DBCS, OEM, Windows) +/ 936 - Simplified Chinese GBK (DBCS, OEM, Windows) +/ 949 - Korean (DBCS, OEM, Windows) +/ 950 - Traditional Chinese Big5 (DBCS, OEM, Windows) +/ 1250 - Central Europe (Windows) +/ 1251 - Cyrillic (Windows) +/ 1252 - Latin 1 (Windows) +/ 1253 - Greek (Windows) +/ 1254 - Turkish (Windows) +/ 1255 - Hebrew (Windows) +/ 1256 - Arabic (Windows) +/ 1257 - Baltic (Windows) +/ 1258 - Vietnam (OEM, Windows) +/ 437 - U.S. (OEM) +/ 720 - Arabic (OEM) +/ 737 - Greek (OEM) +/ 775 - Baltic (OEM) +/ 850 - Multilingual Latin 1 (OEM) +/ 858 - Multilingual Latin 1 + Euro (OEM) +/ 852 - Latin 2 (OEM) +/ 855 - Cyrillic (OEM) +/ 866 - Russian (OEM) +/ 857 - Turkish (OEM) +/ 862 - Hebrew (OEM) +/ 874 - Thai (OEM, Windows) +/ 1 - ASCII (No extended character. Valid for only non-LFN configuration.) */ + +#define _USE_LFN 0 /* 0 to 3 */ +#define _MAX_LFN 255 /* Maximum LFN length to handle (12 to 255) */ +/* The _USE_LFN option switches the LFN feature. +/ +/ 0: Disable LFN feature. _MAX_LFN has no effect. +/ 1: Enable LFN with static working buffer on the BSS. Always NOT thread-safe. +/ 2: Enable LFN with dynamic working buffer on the STACK. +/ 3: Enable LFN with dynamic working buffer on the HEAP. +/ +/ When enable the LFN feature, Unicode handling functions (option/unicode.c) must +/ be added to the project. The LFN working buffer occupies (_MAX_LFN + 1) * 2 bytes. +/ When use stack for the working buffer, take care on stack overflow. When use heap +/ memory for the working buffer, memory management functions, ff_memalloc() and +/ ff_memfree(), must be added to the project. */ + +#define _LFN_UNICODE 0 /* 0:ANSI/OEM or 1:Unicode */ +/* This option switches character encoding on the API. (0:ANSI/OEM or 1:Unicode) +/ To use Unicode string for the path name, enable LFN feature and set _LFN_UNICODE +/ to 1. This option also affects behavior of string I/O functions. */ + +#define _STRF_ENCODE 3 +/* When _LFN_UNICODE is 1, this option selects the character encoding on the file to +/ be read/written via string I/O functions, f_gets(), f_putc(), f_puts and f_printf(). +/ +/ 0: ANSI/OEM +/ 1: UTF-16LE +/ 2: UTF-16BE +/ 3: UTF-8 +/ +/ When _LFN_UNICODE is 0, this option has no effect. */ + +#define _FS_RPATH 0 /* 0 to 2 */ +/* This option configures relative path feature. +/ +/ 0: Disable relative path feature and remove related functions. +/ 1: Enable relative path feature. f_chdir() and f_chdrive() are available. +/ 2: f_getcwd() function is available in addition to 1. +/ +/ Note that directory items read via f_readdir() are affected by this option. */ + +/*---------------------------------------------------------------------------/ +/ Drive/Volume Configurations +/----------------------------------------------------------------------------*/ + +#define _VOLUMES 1 +/* Number of volumes (logical drives) to be used. */ + +/* USER CODE BEGIN Volumes */ +#define _STR_VOLUME_ID 0 /* 0:Use only 0-9 for drive ID, 1:Use strings for drive ID */ +#define _VOLUME_STRS "RAM","NAND","CF","SD1","SD2","USB1","USB2","USB3" +/* _STR_VOLUME_ID option switches string volume ID feature. +/ When _STR_VOLUME_ID is set to 1, also pre-defined strings can be used as drive +/ number in the path name. _VOLUME_STRS defines the drive ID strings for each +/ logical drives. Number of items must be equal to _VOLUMES. Valid characters for +/ the drive ID strings are: A-Z and 0-9. */ +/* USER CODE END Volumes */ + +#define _MULTI_PARTITION 0 /* 0:Single partition, 1:Multiple partition */ +/* This option switches multi-partition feature. By default (0), each logical drive +/ number is bound to the same physical drive number and only an FAT volume found on +/ the physical drive will be mounted. When multi-partition feature is enabled (1), +/ each logical drive number is bound to arbitrary physical drive and partition +/ listed in the VolToPart[]. Also f_fdisk() funciton will be available. */ + +#define _MIN_SS 512 /* 512, 1024, 2048 or 4096 */ +#define _MAX_SS 512 /* 512, 1024, 2048 or 4096 */ +/* These options configure the range of sector size to be supported. (512, 1024, +/ 2048 or 4096) Always set both 512 for most systems, all type of memory cards and +/ harddisk. But a larger value may be required for on-board flash memory and some +/ type of optical media. When _MAX_SS is larger than _MIN_SS, FatFs is configured +/ to variable sector size and GET_SECTOR_SIZE command must be implemented to the +/ disk_ioctl() function. */ + +#define _USE_TRIM 0 +/* This option switches ATA-TRIM feature. (0:Disable or 1:Enable) +/ To enable Trim feature, also CTRL_TRIM command should be implemented to the +/ disk_ioctl() function. */ + +#define _FS_NOFSINFO 0 /* 0,1,2 or 3 */ +/* If you need to know correct free space on the FAT32 volume, set bit 0 of this +/ option, and f_getfree() function at first time after volume mount will force +/ a full FAT scan. Bit 1 controls the use of last allocated cluster number. +/ +/ bit0=0: Use free cluster count in the FSINFO if available. +/ bit0=1: Do not trust free cluster count in the FSINFO. +/ bit1=0: Use last allocated cluster number in the FSINFO if available. +/ bit1=1: Do not trust last allocated cluster number in the FSINFO. +*/ + +/*---------------------------------------------------------------------------/ +/ System Configurations +/----------------------------------------------------------------------------*/ + +#define _FS_NORTC 0 +#define _NORTC_MON 6 +#define _NORTC_MDAY 4 +#define _NORTC_YEAR 2015 +/* The _FS_NORTC option switches timestamp feature. If the system does not have +/ an RTC function or valid timestamp is not needed, set _FS_NORTC to 1 to disable +/ the timestamp feature. All objects modified by FatFs will have a fixed timestamp +/ defined by _NORTC_MON, _NORTC_MDAY and _NORTC_YEAR. +/ When timestamp feature is enabled (_FS_NORTC == 0), get_fattime() function need +/ to be added to the project to read current time form RTC. _NORTC_MON, +/ _NORTC_MDAY and _NORTC_YEAR have no effect. +/ These options have no effect at read-only configuration (_FS_READONLY == 1). */ + +#define _FS_LOCK 2 /* 0:Disable or >=1:Enable */ +/* The _FS_LOCK option switches file lock feature to control duplicated file open +/ and illegal operation to open objects. This option must be 0 when _FS_READONLY +/ is 1. +/ +/ 0: Disable file lock feature. To avoid volume corruption, application program +/ should avoid illegal open, remove and rename to the open objects. +/ >0: Enable file lock feature. The value defines how many files/sub-directories +/ can be opened simultaneously under file lock control. Note that the file +/ lock feature is independent of re-entrancy. */ + +#define _FS_REENTRANT 0 /* 0:Disable or 1:Enable */ +#define _FS_TIMEOUT 1000 /* Timeout period in unit of time ticks */ +#define _SYNC_t NULL +/* The _FS_REENTRANT option switches the re-entrancy (thread safe) of the FatFs +/ module itself. Note that regardless of this option, file access to different +/ volume is always re-entrant and volume control functions, f_mount(), f_mkfs() +/ and f_fdisk() function, are always not re-entrant. Only file/directory access +/ to the same volume is under control of this feature. +/ +/ 0: Disable re-entrancy. _FS_TIMEOUT and _SYNC_t have no effect. +/ 1: Enable re-entrancy. Also user provided synchronization handlers, +/ ff_req_grant(), ff_rel_grant(), ff_del_syncobj() and ff_cre_syncobj() +/ function, must be added to the project. Samples are available in +/ option/syscall.c. +/ +/ The _FS_TIMEOUT defines timeout period in unit of time tick. +/ The _SYNC_t defines O/S dependent sync object type. e.g. HANDLE, ID, OS_EVENT*, +/ SemaphoreHandle_t and etc.. */ + +#define _WORD_ACCESS 0 /* 0 or 1 */ +/* The _WORD_ACCESS option is an only platform dependent option. It defines +/ which access method is used to the word data on the FAT volume. +/ +/ 0: Byte-by-byte access. Always compatible with all platforms. +/ 1: Word access. Do not choose this unless under both the following conditions. +/ +/ * Address misaligned memory access is always allowed to ALL instructions. +/ * Byte order on the memory is little-endian. +/ +/ If it is the case, _WORD_ACCESS can also be set to 1 to reduce code size. +/ Following table shows allowable settings of some processor types. +/ +/ ARM7TDMI 0 ColdFire 0 V850E 0 +/ Cortex-M3 0 Z80 0/1 V850ES 0/1 +/ Cortex-M0 0 x86 0/1 TLCS-870 0/1 +/ AVR 0/1 RX600(LE) 0/1 TLCS-900 0/1 +/ AVR32 0 RL78 0 R32C 0 +/ PIC18 0/1 SH-2 0 M16C 0/1 +/ PIC24 0 H8S 0 MSP430 0 +/ PIC32 0 H8/300H 0 8051 0/1 +*/ + +#endif /* _FFCONF */ diff --git a/Project/Application/FATFS/Target/user_diskio.c b/Project/Application/FATFS/Target/user_diskio.c new file mode 100644 index 0000000..66c058a --- /dev/null +++ b/Project/Application/FATFS/Target/user_diskio.c @@ -0,0 +1,167 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * @file user_diskio.c + * @brief This file includes a diskio driver skeleton to be completed by the user. + ****************************************************************************** + * @attention + * + *

      © Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

      + * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ + /* USER CODE END Header */ + +#ifdef USE_OBSOLETE_USER_CODE_SECTION_0 +/* + * Warning: the user section 0 is no more in use (starting from CubeMx version 4.16.0) + * To be suppressed in the future. + * Kept to ensure backward compatibility with previous CubeMx versions when + * migrating projects. + * User code previously added there should be copied in the new user sections before + * the section contents can be deleted. + */ +/* USER CODE BEGIN 0 */ +/* USER CODE END 0 */ +#endif + +/* USER CODE BEGIN DECL */ + +/* Includes ------------------------------------------------------------------*/ +#include +#include "ff_gen_drv.h" +#include "user_diskio_spi.h" + +/* Private typedef -----------------------------------------------------------*/ +/* Private define ------------------------------------------------------------*/ + +/* Private variables ---------------------------------------------------------*/ +/* Disk status */ +static volatile DSTATUS Stat = STA_NOINIT; + +/* USER CODE END DECL */ + +/* Private function prototypes -----------------------------------------------*/ +DSTATUS USER_initialize (BYTE pdrv); +DSTATUS USER_status (BYTE pdrv); +DRESULT USER_read (BYTE pdrv, BYTE *buff, DWORD sector, UINT count); +#if _USE_WRITE == 1 + DRESULT USER_write (BYTE pdrv, const BYTE *buff, DWORD sector, UINT count); +#endif /* _USE_WRITE == 1 */ +#if _USE_IOCTL == 1 + DRESULT USER_ioctl (BYTE pdrv, BYTE cmd, void *buff); +#endif /* _USE_IOCTL == 1 */ + +Diskio_drvTypeDef USER_Driver = +{ + USER_initialize, + USER_status, + USER_read, +#if _USE_WRITE + USER_write, +#endif /* _USE_WRITE == 1 */ +#if _USE_IOCTL == 1 + USER_ioctl, +#endif /* _USE_IOCTL == 1 */ +}; + +/* Private functions ---------------------------------------------------------*/ + +/** + * @brief Initializes a Drive + * @param pdrv: Physical drive number (0..) + * @retval DSTATUS: Operation status + */ +DSTATUS USER_initialize ( + BYTE pdrv /* Physical drive nmuber to identify the drive */ +) +{ + /* USER CODE BEGIN INIT */ + return USER_SPI_initialize(pdrv); + /* USER CODE END INIT */ +} + +/** + * @brief Gets Disk Status + * @param pdrv: Physical drive number (0..) + * @retval DSTATUS: Operation status + */ +DSTATUS USER_status ( + BYTE pdrv /* Physical drive number to identify the drive */ +) +{ + /* USER CODE BEGIN STATUS */ + return USER_SPI_status(pdrv); + /* USER CODE END STATUS */ +} + +/** + * @brief Reads Sector(s) + * @param pdrv: Physical drive number (0..) + * @param *buff: Data buffer to store read data + * @param sector: Sector address (LBA) + * @param count: Number of sectors to read (1..128) + * @retval DRESULT: Operation result + */ +DRESULT USER_read ( + BYTE pdrv, /* Physical drive nmuber to identify the drive */ + BYTE *buff, /* Data buffer to store read data */ + DWORD sector, /* Sector address in LBA */ + UINT count /* Number of sectors to read */ +) +{ + /* USER CODE BEGIN READ */ + return USER_SPI_read(pdrv, buff, sector, count); + /* USER CODE END READ */ +} + +/** + * @brief Writes Sector(s) + * @param pdrv: Physical drive number (0..) + * @param *buff: Data to be written + * @param sector: Sector address (LBA) + * @param count: Number of sectors to write (1..128) + * @retval DRESULT: Operation result + */ +#if _USE_WRITE == 1 +DRESULT USER_write ( + BYTE pdrv, /* Physical drive nmuber to identify the drive */ + const BYTE *buff, /* Data to be written */ + DWORD sector, /* Sector address in LBA */ + UINT count /* Number of sectors to write */ +) +{ + /* USER CODE BEGIN WRITE */ + /* USER CODE HERE */ + return USER_SPI_write(pdrv, buff, sector, count); + /* USER CODE END WRITE */ +} +#endif /* _USE_WRITE == 1 */ + +/** + * @brief I/O control operation + * @param pdrv: Physical drive number (0..) + * @param cmd: Control code + * @param *buff: Buffer to send/receive control data + * @retval DRESULT: Operation result + */ +#if _USE_IOCTL == 1 +DRESULT USER_ioctl ( + BYTE pdrv, /* Physical drive nmuber (0..) */ + BYTE cmd, /* Control code */ + void *buff /* Buffer to send/receive control data */ +) +{ + /* USER CODE BEGIN IOCTL */ + return USER_SPI_ioctl(pdrv, cmd, buff); + /* USER CODE END IOCTL */ +} +#endif /* _USE_IOCTL == 1 */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/Project/Application/FATFS/Target/user_diskio.h b/Project/Application/FATFS/Target/user_diskio.h new file mode 100644 index 0000000..d4cb322 --- /dev/null +++ b/Project/Application/FATFS/Target/user_diskio.h @@ -0,0 +1,47 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * @file user_diskio.h + * @brief This file contains the common defines and functions prototypes for + * the user_diskio driver. + ****************************************************************************** + * @attention + * + *

      © Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

      + * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ + /* USER CODE END Header */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USER_DISKIO_H +#define __USER_DISKIO_H + +#ifdef __cplusplus + extern "C" { +#endif + +/* USER CODE BEGIN 0 */ + +/* Includes ------------------------------------------------------------------*/ +#include "user_diskio_spi.h" + /* Exported types ------------------------------------------------------------*/ +/* Exported constants --------------------------------------------------------*/ +/* Exported functions ------------------------------------------------------- */ +extern Diskio_drvTypeDef USER_Driver; + +/* USER CODE END 0 */ + +#ifdef __cplusplus +} +#endif + +#endif /* __USER_DISKIO_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/Project/Application/FATFS/Target/user_diskio_spi.c b/Project/Application/FATFS/Target/user_diskio_spi.c new file mode 100644 index 0000000..b93b284 --- /dev/null +++ b/Project/Application/FATFS/Target/user_diskio_spi.c @@ -0,0 +1,551 @@ +/** + ****************************************************************************** + * @file user_diskio_spi.c + * @brief This file contains the implementation of the user_diskio_spi FatFs + * driver. + ****************************************************************************** + * Portions copyright (C) 2014, ChaN, all rights reserved. + * Portions copyright (C) 2017, kiwih, all rights reserved. + * + * This software is a free software and there is NO WARRANTY. + * No restriction on use. You can use, modify and redistribute it for + * personal, non-profit or commercial products UNDER YOUR RESPONSIBILITY. + * Redistributions of source code must retain the above copyright notice. + * + ****************************************************************************** + */ + +//This code was ported by kiwih from a copywrited (C) library written by ChaN +//available at http://elm-chan.org/fsw/ff/ffsample.zip +//(text at http://elm-chan.org/fsw/ff/00index_e.html) + +//This file provides the FatFs driver functions and SPI code required to manage +//an SPI-connected MMC or compatible SD card with FAT + +//It is designed to be wrapped by a cubemx generated user_diskio.c file. + + +#include "user_diskio_spi.h" +#include "spi10.h" + + +//Make sure you set #define SD_SPI_HANDLE as some hspix in main.h +//Make sure you set #define SD_CS_GPIO_Port as some GPIO port in main.h +//Make sure you set #define SD_CS_Pin as some GPIO pin in main.h + + +/* Function prototypes */ + +//(Note that the _256 is used as a mask to clear the prescalar bits as it provides binary 111 in the correct position) +#define FCLK_SLOW() { SPI10_Initialization(250000, SPI10_MODE0, false); } /* Set SCLK = slow, approx 280 KBits/s*/ +#define FCLK_FAST() { SPI10_Initialization(4500000, SPI10_MODE0, false); } /* Set SCLK = fast, approx 4.5 MBits/s */ + +#define CS_HIGH() {SPI10_CS_HIGH;} +#define CS_LOW() {SPI10_CS_LOW;} + +/*-------------------------------------------------------------------------- + + Module Private Functions + +---------------------------------------------------------------------------*/ + +/* MMC/SD command */ +#define CMD0 (0) /* GO_IDLE_STATE */ +#define CMD1 (1) /* SEND_OP_COND (MMC) */ +#define ACMD41 (0x80+41) /* SEND_OP_COND (SDC) */ +#define CMD8 (8) /* SEND_IF_COND */ +#define CMD9 (9) /* SEND_CSD */ +#define CMD10 (10) /* SEND_CID */ +#define CMD12 (12) /* STOP_TRANSMISSION */ +#define ACMD13 (0x80+13) /* SD_STATUS (SDC) */ +#define CMD16 (16) /* SET_BLOCKLEN */ +#define CMD17 (17) /* READ_SINGLE_BLOCK */ +#define CMD18 (18) /* READ_MULTIPLE_BLOCK */ +#define CMD23 (23) /* SET_BLOCK_COUNT (MMC) */ +#define ACMD23 (0x80+23) /* SET_WR_BLK_ERASE_COUNT (SDC) */ +#define CMD24 (24) /* WRITE_BLOCK */ +#define CMD25 (25) /* WRITE_MULTIPLE_BLOCK */ +#define CMD32 (32) /* ERASE_ER_BLK_START */ +#define CMD33 (33) /* ERASE_ER_BLK_END */ +#define CMD38 (38) /* ERASE */ +#define CMD55 (55) /* APP_CMD */ +#define CMD58 (58) /* READ_OCR */ + +/* MMC card type flags (MMC_GET_TYPE) */ +#define CT_MMC 0x01 /* MMC ver 3 */ +#define CT_SD1 0x02 /* SD ver 1 */ +#define CT_SD2 0x04 /* SD ver 2 */ +#define CT_SDC (CT_SD1|CT_SD2) /* SD */ +#define CT_BLOCK 0x08 /* Block addressing */ + +static volatile +DSTATUS Stat = STA_NOINIT; /* Physical drive status */ + + +static +BYTE CardType; /* Card type flags */ + +uint32_t spiTimerTickStart; +uint32_t spiTimerTickDelay; + +void SPI_Timer_On(uint32_t waitTicks) { + spiTimerTickStart = millis(); + spiTimerTickDelay = waitTicks; +} + +uint8_t SPI_Timer_Status() { + return ((millis() - spiTimerTickStart) < spiTimerTickDelay); +} + +/*-----------------------------------------------------------------------*/ +/* SPI controls (Platform dependent) */ +/*-----------------------------------------------------------------------*/ + +/* Exchange a byte */ +static +BYTE xchg_spi ( + BYTE dat /* Data to send */ +) +{ + BYTE rxDat; + rxDat = SPI10_SendReceiveByte(dat); + return rxDat; +} + + +/* Receive multiple byte */ +static +void rcvr_spi_multi ( + BYTE *buff, /* Pointer to data buffer */ + UINT btr /* Number of bytes to receive (even number) */ +) +{ + for(UINT i=0; i */ + cmd &= 0x7F; + res = send_cmd(CMD55, 0); + if (res > 1) return res; + } + + /* Select the card and wait for ready except to stop multiple block read */ + if (cmd != CMD12) { + despiselect(); + if (!spiselect()) return 0xFF; + } + + /* Send command packet */ + xchg_spi(0x40 | cmd); /* Start + command index */ + xchg_spi((BYTE)(arg >> 24)); /* Argument[31..24] */ + xchg_spi((BYTE)(arg >> 16)); /* Argument[23..16] */ + xchg_spi((BYTE)(arg >> 8)); /* Argument[15..8] */ + xchg_spi((BYTE)arg); /* Argument[7..0] */ + n = 0x01; /* Dummy CRC + Stop */ + if (cmd == CMD0) n = 0x95; /* Valid CRC for CMD0(0) */ + if (cmd == CMD8) n = 0x87; /* Valid CRC for CMD8(0x1AA) */ + xchg_spi(n); + + /* Receive command resp */ + if (cmd == CMD12) xchg_spi(0xFF); /* Diacard following one byte when CMD12 */ + n = 10; /* Wait for response (10 bytes max) */ + do { + res = xchg_spi(0xFF); + } while ((res & 0x80) && --n); + + return res; /* Return received response */ +} + + +/*-------------------------------------------------------------------------- + + Public FatFs Functions (wrapped in user_diskio.c) + +---------------------------------------------------------------------------*/ + +//The following functions are defined as inline because they aren't the functions that +//are passed to FatFs - they are wrapped by autogenerated (non-inline) cubemx template +//code. +//If you do not wish to use cubemx, remove the "inline" from these functions here +//and in the associated .h + + +/*-----------------------------------------------------------------------*/ +/* Initialize disk drive */ +/*-----------------------------------------------------------------------*/ + +inline DSTATUS USER_SPI_initialize ( + BYTE drv /* Physical drive number (0) */ +) +{ + BYTE n, cmd, ty, ocr[4]; + + if (drv != 0) return STA_NOINIT; /* Supports only drive 0 */ + //assume SPI already init init_spi(); /* Initialize SPI */ + + if (Stat & STA_NODISK) return Stat; /* Is card existing in the soket? */ + + FCLK_SLOW(); + for (n = 10; n; n--) xchg_spi(0xFF); /* Send 80 dummy clocks */ + + ty = 0; + if (send_cmd(CMD0, 0) == 1) { /* Put the card SPI/Idle state */ + SPI_Timer_On(1000); /* Initialization timeout = 1 sec */ + if (send_cmd(CMD8, 0x1AA) == 1) { /* SDv2? */ + for (n = 0; n < 4; n++) ocr[n] = xchg_spi(0xFF); /* Get 32 bit return value of R7 resp */ + if (ocr[2] == 0x01 && ocr[3] == 0xAA) { /* Is the card supports vcc of 2.7-3.6V? */ + while (SPI_Timer_Status() && send_cmd(ACMD41, 1UL << 30)) ; /* Wait for end of initialization with ACMD41(HCS) */ + if (SPI_Timer_Status() && send_cmd(CMD58, 0) == 0) { /* Check CCS bit in the OCR */ + for (n = 0; n < 4; n++) ocr[n] = xchg_spi(0xFF); + ty = (ocr[0] & 0x40) ? CT_SD2 | CT_BLOCK : CT_SD2; /* Card id SDv2 */ + } + } + } else { /* Not SDv2 card */ + if (send_cmd(ACMD41, 0) <= 1) { /* SDv1 or MMC? */ + ty = CT_SD1; cmd = ACMD41; /* SDv1 (ACMD41(0)) */ + } else { + ty = CT_MMC; cmd = CMD1; /* MMCv3 (CMD1(0)) */ + } + while (SPI_Timer_Status() && send_cmd(cmd, 0)) ; /* Wait for end of initialization */ + if (!SPI_Timer_Status() || send_cmd(CMD16, 512) != 0) /* Set block length: 512 */ + ty = 0; + } + } + CardType = ty; /* Card type */ + despiselect(); + + if (ty) { /* OK */ + FCLK_FAST(); /* Set fast clock */ + Stat &= ~STA_NOINIT; /* Clear STA_NOINIT flag */ + } else { /* Failed */ + Stat = STA_NOINIT; + } + + return Stat; +} + + + +/*-----------------------------------------------------------------------*/ +/* Get disk status */ +/*-----------------------------------------------------------------------*/ + +inline DSTATUS USER_SPI_status ( + BYTE drv /* Physical drive number (0) */ +) +{ + if (drv) return STA_NOINIT; /* Supports only drive 0 */ + + return Stat; /* Return disk status */ +} + + + +/*-----------------------------------------------------------------------*/ +/* Read sector(s) */ +/*-----------------------------------------------------------------------*/ + +inline DRESULT USER_SPI_read ( + BYTE drv, /* Physical drive number (0) */ + BYTE *buff, /* Pointer to the data buffer to store read data */ + DWORD sector, /* Start sector number (LBA) */ + UINT count /* Number of sectors to read (1..128) */ +) +{ + if (drv || !count) return RES_PARERR; /* Check parameter */ + if (Stat & STA_NOINIT) return RES_NOTRDY; /* Check if drive is ready */ + + if (!(CardType & CT_BLOCK)) sector *= 512; /* LBA ot BA conversion (byte addressing cards) */ + + if (count == 1) { /* Single sector read */ + if ((send_cmd(CMD17, sector) == 0) /* READ_SINGLE_BLOCK */ + && rcvr_datablock(buff, 512)) { + count = 0; + } + } + else { /* Multiple sector read */ + if (send_cmd(CMD18, sector) == 0) { /* READ_MULTIPLE_BLOCK */ + do { + if (!rcvr_datablock(buff, 512)) break; + buff += 512; + } while (--count); + send_cmd(CMD12, 0); /* STOP_TRANSMISSION */ + } + } + despiselect(); + + return count ? RES_ERROR : RES_OK; /* Return result */ +} + + + +/*-----------------------------------------------------------------------*/ +/* Write sector(s) */ +/*-----------------------------------------------------------------------*/ + +#if _USE_WRITE +inline DRESULT USER_SPI_write ( + BYTE drv, /* Physical drive number (0) */ + const BYTE *buff, /* Ponter to the data to write */ + DWORD sector, /* Start sector number (LBA) */ + UINT count /* Number of sectors to write (1..128) */ +) +{ + if (drv || !count) return RES_PARERR; /* Check parameter */ + if (Stat & STA_NOINIT) return RES_NOTRDY; /* Check drive status */ + if (Stat & STA_PROTECT) return RES_WRPRT; /* Check write protect */ + + if (!(CardType & CT_BLOCK)) sector *= 512; /* LBA ==> BA conversion (byte addressing cards) */ + + if (count == 1) { /* Single sector write */ + if ((send_cmd(CMD24, sector) == 0) /* WRITE_BLOCK */ + && xmit_datablock(buff, 0xFE)) { + count = 0; + } + } + else { /* Multiple sector write */ + if (CardType & CT_SDC) send_cmd(ACMD23, count); /* Predefine number of sectors */ + if (send_cmd(CMD25, sector) == 0) { /* WRITE_MULTIPLE_BLOCK */ + do { + if (!xmit_datablock(buff, 0xFC)) break; + buff += 512; + } while (--count); + if (!xmit_datablock(0, 0xFD)) count = 1; /* STOP_TRAN token */ + } + } + despiselect(); + + return count ? RES_ERROR : RES_OK; /* Return result */ +} +#endif + + +/*-----------------------------------------------------------------------*/ +/* Miscellaneous drive controls other than data read/write */ +/*-----------------------------------------------------------------------*/ + +#if _USE_IOCTL +inline DRESULT USER_SPI_ioctl ( + BYTE drv, /* Physical drive number (0) */ + BYTE cmd, /* Control command code */ + void *buff /* Pointer to the conrtol data */ +) +{ + DRESULT res; + BYTE n, csd[16]; + DWORD *dp, st, ed, csize; + + + if (drv) return RES_PARERR; /* Check parameter */ + if (Stat & STA_NOINIT) return RES_NOTRDY; /* Check if drive is ready */ + + res = RES_ERROR; + + switch (cmd) { + case CTRL_SYNC : /* Wait for end of internal write process of the drive */ + if (spiselect()) res = RES_OK; + break; + + case GET_SECTOR_COUNT : /* Get drive capacity in unit of sector (DWORD) */ + if ((send_cmd(CMD9, 0) == 0) && rcvr_datablock(csd, 16)) { + if ((csd[0] >> 6) == 1) { /* SDC ver 2.00 */ + csize = csd[9] + ((WORD)csd[8] << 8) + ((DWORD)(csd[7] & 63) << 16) + 1; + *(DWORD*)buff = csize << 10; + } else { /* SDC ver 1.XX or MMC ver 3 */ + n = (csd[5] & 15) + ((csd[10] & 128) >> 7) + ((csd[9] & 3) << 1) + 2; + csize = (csd[8] >> 6) + ((WORD)csd[7] << 2) + ((WORD)(csd[6] & 3) << 10) + 1; + *(DWORD*)buff = csize << (n - 9); + } + res = RES_OK; + } + break; + + case GET_BLOCK_SIZE : /* Get erase block size in unit of sector (DWORD) */ + if (CardType & CT_SD2) { /* SDC ver 2.00 */ + if (send_cmd(ACMD13, 0) == 0) { /* Read SD status */ + xchg_spi(0xFF); + if (rcvr_datablock(csd, 16)) { /* Read partial block */ + for (n = 64 - 16; n; n--) xchg_spi(0xFF); /* Purge trailing data */ + *(DWORD*)buff = 16UL << (csd[10] >> 4); + res = RES_OK; + } + } + } else { /* SDC ver 1.XX or MMC */ + if ((send_cmd(CMD9, 0) == 0) && rcvr_datablock(csd, 16)) { /* Read CSD */ + if (CardType & CT_SD1) { /* SDC ver 1.XX */ + *(DWORD*)buff = (((csd[10] & 63) << 1) + ((WORD)(csd[11] & 128) >> 7) + 1) << ((csd[13] >> 6) - 1); + } else { /* MMC */ + *(DWORD*)buff = ((WORD)((csd[10] & 124) >> 2) + 1) * (((csd[11] & 3) << 3) + ((csd[11] & 224) >> 5) + 1); + } + res = RES_OK; + } + } + break; + + case CTRL_TRIM : /* Erase a block of sectors (used when _USE_ERASE == 1) */ + if (!(CardType & CT_SDC)) break; /* Check if the card is SDC */ + if (USER_SPI_ioctl(drv, MMC_GET_CSD, csd)) break; /* Get CSD */ + if (!(csd[0] >> 6) && !(csd[10] & 0x40)) break; /* Check if sector erase can be applied to the card */ + dp = buff; st = dp[0]; ed = dp[1]; /* Load sector block */ + if (!(CardType & CT_BLOCK)) { + st *= 512; ed *= 512; + } + if (send_cmd(CMD32, st) == 0 && send_cmd(CMD33, ed) == 0 && send_cmd(CMD38, 0) == 0 && wait_ready(30000)) { /* Erase sector block */ + res = RES_OK; /* FatFs does not check result of this command */ + } + break; + + default: + res = RES_PARERR; + } + + despiselect(); + + return res; +} +#endif diff --git a/Project/Application/FATFS/Target/user_diskio_spi.h b/Project/Application/FATFS/Target/user_diskio_spi.h new file mode 100644 index 0000000..0a95e56 --- /dev/null +++ b/Project/Application/FATFS/Target/user_diskio_spi.h @@ -0,0 +1,38 @@ +/** + ****************************************************************************** + * @file user_diskio_spi.h + * @brief This file contains the common defines and functions prototypes for + * the user_diskio_spi driver implementation + ****************************************************************************** + * Portions copyright (C) 2014, ChaN, all rights reserved. + * Portions copyright (C) 2017, kiwih, all rights reserved. + * + * This software is a free software and there is NO WARRANTY. + * No restriction on use. You can use, modify and redistribute it for + * personal, non-profit or commercial products UNDER YOUR RESPONSIBILITY. + * Redistributions of source code must retain the above copyright notice. + * + ****************************************************************************** + */ + +#ifndef _USER_DISKIO_SPI_H +#define _USER_DISKIO_SPI_H + +#include "integer.h" //from FatFs middleware library +#include "diskio.h" //from FatFs middleware library +#include "ff_gen_drv.h" //from FatFs middleware library + +//we define these as inline because we don't want them to be actual function calls (they get "called" from the cubemx autogenerated user_diskio file) +//we define them as extern because they are defined in a separate .c file to user_diskio.c (which #includes this .h file) + +extern DSTATUS USER_SPI_initialize (BYTE pdrv); +extern DSTATUS USER_SPI_status (BYTE pdrv); +extern DRESULT USER_SPI_read (BYTE pdrv, BYTE *buff, DWORD sector, UINT count); +#if _USE_WRITE == 1 + extern DRESULT USER_SPI_write (BYTE pdrv, const BYTE *buff, DWORD sector, UINT count); +#endif /* _USE_WRITE == 1 */ +#if _USE_IOCTL == 1 + extern DRESULT USER_SPI_ioctl (BYTE pdrv, BYTE cmd, void *buff); +#endif /* _USE_IOCTL == 1 */ + +#endif diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.c b/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.c new file mode 100644 index 0000000..2a4a02c --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.c @@ -0,0 +1,160 @@ +/*-----------------------------------------------------------------------*/ +/* Low level disk I/O module skeleton for FatFs (C)ChaN, 2014 */ +/* */ +/* Portions COPYRIGHT 2015 STMicroelectronics */ +/* Portions Copyright (C) 2014, ChaN, all right reserved */ +/*-----------------------------------------------------------------------*/ +/* If a working storage control module is available, it should be */ +/* attached to the FatFs via a glue function rather than modifying it. */ +/* This is an example of glue functions to attach various exsisting */ +/* storage control modules to the FatFs module with a defined API. */ +/*-----------------------------------------------------------------------*/ + +/** + ****************************************************************************** + * @file diskio.c + * @author MCD Application Team + * @version V1.3.0 + * @date 08-May-2015 + * @brief FatFs low level disk I/O module. + ****************************************************************************** + * @attention + * + * Licensed under MCD-ST Liberty SW License Agreement V2, (the "License"); + * You may not use this file except in compliance with the License. + * You may obtain a copy of the License at: + * + * http://www.st.com/software_license_agreement_liberty_v2 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + ****************************************************************************** + */ + +/* Includes ------------------------------------------------------------------*/ +#include "diskio.h" +#include "ff_gen_drv.h" + +/* Private typedef -----------------------------------------------------------*/ +/* Private define ------------------------------------------------------------*/ +/* Private variables ---------------------------------------------------------*/ +extern Disk_drvTypeDef disk; + +/* Private function prototypes -----------------------------------------------*/ +/* Private functions ---------------------------------------------------------*/ + +/** + * @brief Gets Disk Status + * @param pdrv: Physical drive number (0..) + * @retval DSTATUS: Operation status + */ +DSTATUS disk_status ( + BYTE pdrv /* Physical drive nmuber to identify the drive */ +) +{ + DSTATUS stat; + + stat = disk.drv[pdrv]->disk_status(disk.lun[pdrv]); + return stat; +} + +/** + * @brief Initializes a Drive + * @param pdrv: Physical drive number (0..) + * @retval DSTATUS: Operation status + */ +DSTATUS disk_initialize ( + BYTE pdrv /* Physical drive nmuber to identify the drive */ +) +{ + DSTATUS stat = RES_OK; + + if(disk.is_initialized[pdrv] == 0) + { + disk.is_initialized[pdrv] = 1; + stat = disk.drv[pdrv]->disk_initialize(disk.lun[pdrv]); + } + return stat; +} + +/** + * @brief Reads Sector(s) + * @param pdrv: Physical drive number (0..) + * @param *buff: Data buffer to store read data + * @param sector: Sector address (LBA) + * @param count: Number of sectors to read (1..128) + * @retval DRESULT: Operation result + */ +DRESULT disk_read ( + BYTE pdrv, /* Physical drive nmuber to identify the drive */ + BYTE *buff, /* Data buffer to store read data */ + DWORD sector, /* Sector address in LBA */ + UINT count /* Number of sectors to read */ +) +{ + DRESULT res; + + res = disk.drv[pdrv]->disk_read(disk.lun[pdrv], buff, sector, count); + return res; +} + +/** + * @brief Writes Sector(s) + * @param pdrv: Physical drive number (0..) + * @param *buff: Data to be written + * @param sector: Sector address (LBA) + * @param count: Number of sectors to write (1..128) + * @retval DRESULT: Operation result + */ +#if _USE_WRITE == 1 +DRESULT disk_write ( + BYTE pdrv, /* Physical drive nmuber to identify the drive */ + const BYTE *buff, /* Data to be written */ + DWORD sector, /* Sector address in LBA */ + UINT count /* Number of sectors to write */ +) +{ + DRESULT res; + + res = disk.drv[pdrv]->disk_write(disk.lun[pdrv], buff, sector, count); + return res; +} +#endif /* _USE_WRITE == 1 */ + +/** + * @brief I/O control operation + * @param pdrv: Physical drive number (0..) + * @param cmd: Control code + * @param *buff: Buffer to send/receive control data + * @retval DRESULT: Operation result + */ +#if _USE_IOCTL == 1 +DRESULT disk_ioctl ( + BYTE pdrv, /* Physical drive nmuber (0..) */ + BYTE cmd, /* Control code */ + void *buff /* Buffer to send/receive control data */ +) +{ + DRESULT res; + + res = disk.drv[pdrv]->disk_ioctl(disk.lun[pdrv], cmd, buff); + return res; +} +#endif /* _USE_IOCTL == 1 */ + +/** + * @brief Gets Time from RTC + * @param None + * @retval Time in DWORD + */ +__weak DWORD get_fattime (void) +{ + return 0; +} + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ + diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.h b/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.h new file mode 100644 index 0000000..6af958a --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.h @@ -0,0 +1,80 @@ +/*-----------------------------------------------------------------------/ +/ Low level disk interface modlue include file (C)ChaN, 2014 / +/-----------------------------------------------------------------------*/ + +#ifndef _DISKIO_DEFINED +#define _DISKIO_DEFINED + +#ifdef __cplusplus +extern "C" { +#endif + +#define _USE_WRITE 1 /* 1: Enable disk_write function */ +#define _USE_IOCTL 1 /* 1: Enable disk_ioctl fucntion */ + +#include "integer.h" + + +/* Status of Disk Functions */ +typedef BYTE DSTATUS; + +/* Results of Disk Functions */ +typedef enum { + RES_OK = 0, /* 0: Successful */ + RES_ERROR, /* 1: R/W Error */ + RES_WRPRT, /* 2: Write Protected */ + RES_NOTRDY, /* 3: Not Ready */ + RES_PARERR /* 4: Invalid Parameter */ +} DRESULT; + + +/*---------------------------------------*/ +/* Prototypes for disk control functions */ + + +DSTATUS disk_initialize (BYTE pdrv); +DSTATUS disk_status (BYTE pdrv); +DRESULT disk_read (BYTE pdrv, BYTE* buff, DWORD sector, UINT count); +DRESULT disk_write (BYTE pdrv, const BYTE* buff, DWORD sector, UINT count); +DRESULT disk_ioctl (BYTE pdrv, BYTE cmd, void* buff); +DWORD get_fattime (void); + +/* Disk Status Bits (DSTATUS) */ + +#define STA_NOINIT 0x01 /* Drive not initialized */ +#define STA_NODISK 0x02 /* No medium in the drive */ +#define STA_PROTECT 0x04 /* Write protected */ + + +/* Command code for disk_ioctrl fucntion */ + +/* Generic command (Used by FatFs) */ +#define CTRL_SYNC 0 /* Complete pending write process (needed at _FS_READONLY == 0) */ +#define GET_SECTOR_COUNT 1 /* Get media size (needed at _USE_MKFS == 1) */ +#define GET_SECTOR_SIZE 2 /* Get sector size (needed at _MAX_SS != _MIN_SS) */ +#define GET_BLOCK_SIZE 3 /* Get erase block size (needed at _USE_MKFS == 1) */ +#define CTRL_TRIM 4 /* Inform device that the data on the block of sectors is no longer used (needed at _USE_TRIM == 1) */ + +/* Generic command (Not used by FatFs) */ +#define CTRL_POWER 5 /* Get/Set power status */ +#define CTRL_LOCK 6 /* Lock/Unlock media removal */ +#define CTRL_EJECT 7 /* Eject media */ +#define CTRL_FORMAT 8 /* Create physical format on the media */ + +/* MMC/SDC specific ioctl command */ +#define MMC_GET_TYPE 10 /* Get card type */ +#define MMC_GET_CSD 11 /* Get CSD */ +#define MMC_GET_CID 12 /* Get CID */ +#define MMC_GET_OCR 13 /* Get OCR */ +#define MMC_GET_SDSTAT 14 /* Get SD status */ + +/* ATA/CF specific ioctl command */ +#define ATA_GET_REV 20 /* Get F/W revision */ +#define ATA_GET_MODEL 21 /* Get model name */ +#define ATA_GET_SN 22 /* Get serial number */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/ff.c b/Project/Application/Middlewares/Third_Party/FatFs/src/ff.c new file mode 100644 index 0000000..5766d55 --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/ff.c @@ -0,0 +1,4752 @@ +/*----------------------------------------------------------------------------/ +/ FatFs - FAT file system module R0.11 (C)ChaN, 2015 +/-----------------------------------------------------------------------------/ +/ FatFs module is a free software that opened under license policy of +/ following conditions. +/ +/ Copyright (C) 2015, ChaN, all right reserved. +/ +/ 1. Redistributions of source code must retain the above copyright notice, +/ this condition and the following disclaimer. +/ +/ This software is provided by the copyright holder and contributors "AS IS" +/ and any warranties related to this software are DISCLAIMED. +/ The copyright owner or contributors be NOT LIABLE for any damages caused +/ by use of this software. +/-----------------------------------------------------------------------------/ +/ Feb 26,'06 R0.00 Prototype. +/ +/ Apr 29,'06 R0.01 First stable version. +/ +/ Jun 01,'06 R0.02 Added FAT12 support. +/ Removed unbuffered mode. +/ Fixed a problem on small (<32M) partition. +/ Jun 10,'06 R0.02a Added a configuration option (_FS_MINIMUM). +/ +/ Sep 22,'06 R0.03 Added f_rename(). +/ Changed option _FS_MINIMUM to _FS_MINIMIZE. +/ Dec 11,'06 R0.03a Improved cluster scan algorithm to write files fast. +/ Fixed f_mkdir() creates incorrect directory on FAT32. +/ +/ Feb 04,'07 R0.04 Supported multiple drive system. +/ Changed some interfaces for multiple drive system. +/ Changed f_mountdrv() to f_mount(). +/ Added f_mkfs(). +/ Apr 01,'07 R0.04a Supported multiple partitions on a physical drive. +/ Added a capability of extending file size to f_lseek(). +/ Added minimization level 3. +/ Fixed an endian sensitive code in f_mkfs(). +/ May 05,'07 R0.04b Added a configuration option _USE_NTFLAG. +/ Added FSINFO support. +/ Fixed DBCS name can result FR_INVALID_NAME. +/ Fixed short seek (<= csize) collapses the file object. +/ +/ Aug 25,'07 R0.05 Changed arguments of f_read(), f_write() and f_mkfs(). +/ Fixed f_mkfs() on FAT32 creates incorrect FSINFO. +/ Fixed f_mkdir() on FAT32 creates incorrect directory. +/ Feb 03,'08 R0.05a Added f_truncate() and f_utime(). +/ Fixed off by one error at FAT sub-type determination. +/ Fixed btr in f_read() can be mistruncated. +/ Fixed cached sector is not flushed when create and close without write. +/ +/ Apr 01,'08 R0.06 Added fputc(), fputs(), fprintf() and fgets(). +/ Improved performance of f_lseek() on moving to the same or following cluster. +/ +/ Apr 01,'09 R0.07 Merged Tiny-FatFs as a configuration option. (_FS_TINY) +/ Added long file name feature. +/ Added multiple code page feature. +/ Added re-entrancy for multitask operation. +/ Added auto cluster size selection to f_mkfs(). +/ Added rewind option to f_readdir(). +/ Changed result code of critical errors. +/ Renamed string functions to avoid name collision. +/ Apr 14,'09 R0.07a Separated out OS dependent code on reentrant cfg. +/ Added multiple sector size feature. +/ Jun 21,'09 R0.07c Fixed f_unlink() can return FR_OK on error. +/ Fixed wrong cache control in f_lseek(). +/ Added relative path feature. +/ Added f_chdir() and f_chdrive(). +/ Added proper case conversion to extended character. +/ Nov 03,'09 R0.07e Separated out configuration options from ff.h to ffconf.h. +/ Fixed f_unlink() fails to remove a sub-directory on _FS_RPATH. +/ Fixed name matching error on the 13 character boundary. +/ Added a configuration option, _LFN_UNICODE. +/ Changed f_readdir() to return the SFN with always upper case on non-LFN cfg. +/ +/ May 15,'10 R0.08 Added a memory configuration option. (_USE_LFN = 3) +/ Added file lock feature. (_FS_SHARE) +/ Added fast seek feature. (_USE_FASTSEEK) +/ Changed some types on the API, XCHAR->TCHAR. +/ Changed .fname in the FILINFO structure on Unicode cfg. +/ String functions support UTF-8 encoding files on Unicode cfg. +/ Aug 16,'10 R0.08a Added f_getcwd(). +/ Added sector erase feature. (_USE_ERASE) +/ Moved file lock semaphore table from fs object to the bss. +/ Fixed a wrong directory entry is created on non-LFN cfg when the given name contains ';'. +/ Fixed f_mkfs() creates wrong FAT32 volume. +/ Jan 15,'11 R0.08b Fast seek feature is also applied to f_read() and f_write(). +/ f_lseek() reports required table size on creating CLMP. +/ Extended format syntax of f_printf(). +/ Ignores duplicated directory separators in given path name. +/ +/ Sep 06,'11 R0.09 f_mkfs() supports multiple partition to complete the multiple partition feature. +/ Added f_fdisk(). +/ Aug 27,'12 R0.09a Changed f_open() and f_opendir() reject null object pointer to avoid crash. +/ Changed option name _FS_SHARE to _FS_LOCK. +/ Fixed assertion failure due to OS/2 EA on FAT12/16 volume. +/ Jan 24,'13 R0.09b Added f_setlabel() and f_getlabel(). +/ +/ Oct 02,'13 R0.10 Added selection of character encoding on the file. (_STRF_ENCODE) +/ Added f_closedir(). +/ Added forced full FAT scan for f_getfree(). (_FS_NOFSINFO) +/ Added forced mount feature with changes of f_mount(). +/ Improved behavior of volume auto detection. +/ Improved write throughput of f_puts() and f_printf(). +/ Changed argument of f_chdrive(), f_mkfs(), disk_read() and disk_write(). +/ Fixed f_write() can be truncated when the file size is close to 4GB. +/ Fixed f_open(), f_mkdir() and f_setlabel() can return incorrect error code. +/ Jan 15,'14 R0.10a Added arbitrary strings as drive number in the path name. (_STR_VOLUME_ID) +/ Added a configuration option of minimum sector size. (_MIN_SS) +/ 2nd argument of f_rename() can have a drive number and it will be ignored. +/ Fixed f_mount() with forced mount fails when drive number is >= 1. +/ Fixed f_close() invalidates the file object without volume lock. +/ Fixed f_closedir() returns but the volume lock is left acquired. +/ Fixed creation of an entry with LFN fails on too many SFN collisions. +/ May 19,'14 R0.10b Fixed a hard error in the disk I/O layer can collapse the directory entry. +/ Fixed LFN entry is not deleted on delete/rename an object with lossy converted SFN. +/ Nov 9,'14 R0.10c Added a configuration option for the platforms without RTC. (_FS_NORTC) +/ Fixed volume label created by Mac OS X cannot be retrieved with f_getlabel(). (appeared at R0.09b) +/ Fixed a potential problem of FAT access that can appear on disk error. +/ Fixed null pointer dereference on attempting to delete the root direcotry. (appeared at R0.08) +/ Feb 02,'15 R0.11 Added f_findfirst() and f_findnext(). (_USE_FIND) +/ Fixed f_unlink() does not remove cluster chain of the file. (appeared at R0.10c) +/ Fixed _FS_NORTC option does not work properly. (appeared at R0.10c) +/---------------------------------------------------------------------------*/ + +#include "ff.h" /* Declarations of FatFs API */ +#include "diskio.h" /* Declarations of disk I/O functions */ + + +/*-------------------------------------------------------------------------- + + Module Private Definitions + +---------------------------------------------------------------------------*/ + +#if _FATFS != 32020 /* Revision ID */ +#error Wrong include file (ff.h). +#endif + + +/* Reentrancy related */ +#if _FS_REENTRANT +#if _USE_LFN == 1 +#error Static LFN work area cannot be used at thread-safe configuration +#endif +#define ENTER_FF(fs) { if (!lock_fs(fs)) return FR_TIMEOUT; } +#define LEAVE_FF(fs, res) { unlock_fs(fs, res); return res; } +#else +#define ENTER_FF(fs) +#define LEAVE_FF(fs, res) return res +#endif + +#define ABORT(fs, res) { fp->err = (BYTE)(res); LEAVE_FF(fs, res); } + + +/* Definitions of sector size */ +#if (_MAX_SS < _MIN_SS) || (_MAX_SS != 512 && _MAX_SS != 1024 && _MAX_SS != 2048 && _MAX_SS != 4096) || (_MIN_SS != 512 && _MIN_SS != 1024 && _MIN_SS != 2048 && _MIN_SS != 4096) +#error Wrong sector size configuration +#endif +#if _MAX_SS == _MIN_SS +#define SS(fs) ((UINT)_MAX_SS) /* Fixed sector size */ +#else +#define SS(fs) ((fs)->ssize) /* Variable sector size */ +#endif + + +/* Timestamp feature */ +#if _FS_NORTC == 1 +#if _NORTC_YEAR < 1980 || _NORTC_YEAR > 2107 || _NORTC_MON < 1 || _NORTC_MON > 12 || _NORTC_MDAY < 1 || _NORTC_MDAY > 31 +#error Invalid _FS_NORTC settings +#endif +#define GET_FATTIME() ((DWORD)(_NORTC_YEAR - 1980) << 25 | (DWORD)_NORTC_MON << 21 | (DWORD)_NORTC_MDAY << 16) +#else +#define GET_FATTIME() get_fattime() +#endif + + +/* File access control feature */ +#if _FS_LOCK +#if _FS_READONLY +#error _FS_LOCK must be 0 at read-only configuration +#endif +typedef struct { + FATFS *fs; /* Object ID 1, volume (NULL:blank entry) */ + DWORD clu; /* Object ID 2, directory (0:root) */ + WORD idx; /* Object ID 3, directory index */ + WORD ctr; /* Object open counter, 0:none, 0x01..0xFF:read mode open count, 0x100:write mode */ +} FILESEM; +#endif + + + +/* DBCS code ranges and SBCS extend character conversion table */ + +#if _CODE_PAGE == 932 /* Japanese Shift-JIS */ +#define _DF1S 0x81 /* DBC 1st byte range 1 start */ +#define _DF1E 0x9F /* DBC 1st byte range 1 end */ +#define _DF2S 0xE0 /* DBC 1st byte range 2 start */ +#define _DF2E 0xFC /* DBC 1st byte range 2 end */ +#define _DS1S 0x40 /* DBC 2nd byte range 1 start */ +#define _DS1E 0x7E /* DBC 2nd byte range 1 end */ +#define _DS2S 0x80 /* DBC 2nd byte range 2 start */ +#define _DS2E 0xFC /* DBC 2nd byte range 2 end */ + +#elif _CODE_PAGE == 936 /* Simplified Chinese GBK */ +#define _DF1S 0x81 +#define _DF1E 0xFE +#define _DS1S 0x40 +#define _DS1E 0x7E +#define _DS2S 0x80 +#define _DS2E 0xFE + +#elif _CODE_PAGE == 949 /* Korean */ +#define _DF1S 0x81 +#define _DF1E 0xFE +#define _DS1S 0x41 +#define _DS1E 0x5A +#define _DS2S 0x61 +#define _DS2E 0x7A +#define _DS3S 0x81 +#define _DS3E 0xFE + +#elif _CODE_PAGE == 950 /* Traditional Chinese Big5 */ +#define _DF1S 0x81 +#define _DF1E 0xFE +#define _DS1S 0x40 +#define _DS1E 0x7E +#define _DS2S 0xA1 +#define _DS2E 0xFE + +#elif _CODE_PAGE == 437 /* U.S. (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0x41,0x8E,0x41,0x8F,0x80,0x45,0x45,0x45,0x49,0x49,0x49,0x8E,0x8F,0x90,0x92,0x92,0x4F,0x99,0x4F,0x55,0x55,0x59,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0x41,0x49,0x4F,0x55,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 720 /* Arabic (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x45,0x41,0x84,0x41,0x86,0x43,0x45,0x45,0x45,0x49,0x49,0x8D,0x8E,0x8F,0x90,0x92,0x92,0x93,0x94,0x95,0x49,0x49,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 737 /* Greek (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x92,0x92,0x93,0x94,0x95,0x96,0x97,0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87, \ + 0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0xAA,0x92,0x93,0x94,0x95,0x96,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0x97,0xEA,0xEB,0xEC,0xE4,0xED,0xEE,0xE7,0xE8,0xF1,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 775 /* Baltic (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x91,0xA0,0x8E,0x95,0x8F,0x80,0xAD,0xED,0x8A,0x8A,0xA1,0x8D,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0x95,0x96,0x97,0x97,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xE0,0xA3,0xA3,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xB5,0xB6,0xB7,0xB8,0xBD,0xBE,0xC6,0xC7,0xA5,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE3,0xE8,0xE8,0xEA,0xEA,0xEE,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 850 /* Multilingual Latin 1 (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0xDE,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x59,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \ + 0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE7,0xE9,0xEA,0xEB,0xED,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 852 /* Latin 2 (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xDE,0x8F,0x80,0x9D,0xD3,0x8A,0x8A,0xD7,0x8D,0x8E,0x8F,0x90,0x91,0x91,0xE2,0x99,0x95,0x95,0x97,0x97,0x99,0x9A,0x9B,0x9B,0x9D,0x9E,0x9F, \ + 0xB5,0xD6,0xE0,0xE9,0xA4,0xA4,0xA6,0xA6,0xA8,0xA8,0xAA,0x8D,0xAC,0xB8,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBD,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC6,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD2,0xD3,0xD2,0xD5,0xD6,0xD7,0xB7,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE3,0xD5,0xE6,0xE6,0xE8,0xE9,0xE8,0xEB,0xED,0xED,0xDD,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xEB,0xFC,0xFC,0xFE,0xFF} + +#elif _CODE_PAGE == 855 /* Cyrillic (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x81,0x81,0x83,0x83,0x85,0x85,0x87,0x87,0x89,0x89,0x8B,0x8B,0x8D,0x8D,0x8F,0x8F,0x91,0x91,0x93,0x93,0x95,0x95,0x97,0x97,0x99,0x99,0x9B,0x9B,0x9D,0x9D,0x9F,0x9F, \ + 0xA1,0xA1,0xA3,0xA3,0xA5,0xA5,0xA7,0xA7,0xA9,0xA9,0xAB,0xAB,0xAD,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB6,0xB6,0xB8,0xB8,0xB9,0xBA,0xBB,0xBC,0xBE,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD3,0xD3,0xD5,0xD5,0xD7,0xD7,0xDD,0xD9,0xDA,0xDB,0xDC,0xDD,0xE0,0xDF, \ + 0xE0,0xE2,0xE2,0xE4,0xE4,0xE6,0xE6,0xE8,0xE8,0xEA,0xEA,0xEC,0xEC,0xEE,0xEE,0xEF,0xF0,0xF2,0xF2,0xF4,0xF4,0xF6,0xF6,0xF8,0xF8,0xFA,0xFA,0xFC,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 857 /* Turkish (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0x98,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x98,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9E, \ + 0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA6,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xDE,0x59,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 858 /* Multilingual Latin 1 + Euro (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0xDE,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x59,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \ + 0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE7,0xE9,0xEA,0xEB,0xED,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 862 /* Hebrew (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0x41,0x49,0x4F,0x55,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 866 /* Russian (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0x90,0x91,0x92,0x93,0x9d,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F,0xF0,0xF0,0xF2,0xF2,0xF4,0xF4,0xF6,0xF6,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 874 /* Thai (OEM, Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 1250 /* Central Europe (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x8D,0x8E,0x8F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xA3,0xB4,0xB5,0xB6,0xB7,0xB8,0xA5,0xAA,0xBB,0xBC,0xBD,0xBC,0xAF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xFF} + +#elif _CODE_PAGE == 1251 /* Cyrillic (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x82,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x80,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x8D,0x8E,0x8F, \ + 0xA0,0xA2,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB2,0xA5,0xB5,0xB6,0xB7,0xA8,0xB9,0xAA,0xBB,0xA3,0xBD,0xBD,0xAF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF} + +#elif _CODE_PAGE == 1252 /* Latin 1 (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0xAd,0x9B,0x8C,0x9D,0xAE,0x9F, \ + 0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0x9F} + +#elif _CODE_PAGE == 1253 /* Greek (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xA2,0xB8,0xB9,0xBA, \ + 0xE0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xF2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xFB,0xBC,0xFD,0xBF,0xFF} + +#elif _CODE_PAGE == 1254 /* Turkish (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x9D,0x9E,0x9F, \ + 0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0x9F} + +#elif _CODE_PAGE == 1255 /* Hebrew (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 1256 /* Arabic (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x8C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0x41,0xE1,0x41,0xE3,0xE4,0xE5,0xE6,0x43,0x45,0x45,0x45,0x45,0xEC,0xED,0x49,0x49,0xF0,0xF1,0xF2,0xF3,0x4F,0xF5,0xF6,0xF7,0xF8,0x55,0xFA,0x55,0x55,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 1257 /* Baltic (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xA8,0xB9,0xAA,0xBB,0xBC,0xBD,0xBE,0xAF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xFF} + +#elif _CODE_PAGE == 1258 /* Vietnam (OEM, Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0xAC,0x9D,0x9E,0x9F, \ + 0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xEC,0xCD,0xCE,0xCF,0xD0,0xD1,0xF2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xFE,0x9F} + +#elif _CODE_PAGE == 1 /* ASCII (for only non-LFN cfg) */ +#if _USE_LFN +#error Cannot use LFN feature without valid code page. +#endif +#define _DF1S 0 + +#else +#error Unknown code page + +#endif + + +/* Character code support macros */ +#define IsUpper(c) (((c)>='A')&&((c)<='Z')) +#define IsLower(c) (((c)>='a')&&((c)<='z')) +#define IsDigit(c) (((c)>='0')&&((c)<='9')) + +#if _DF1S /* Code page is DBCS */ + +#ifdef _DF2S /* Two 1st byte areas */ +#define IsDBCS1(c) (((BYTE)(c) >= _DF1S && (BYTE)(c) <= _DF1E) || ((BYTE)(c) >= _DF2S && (BYTE)(c) <= _DF2E)) +#else /* One 1st byte area */ +#define IsDBCS1(c) ((BYTE)(c) >= _DF1S && (BYTE)(c) <= _DF1E) +#endif + +#ifdef _DS3S /* Three 2nd byte areas */ +#define IsDBCS2(c) (((BYTE)(c) >= _DS1S && (BYTE)(c) <= _DS1E) || ((BYTE)(c) >= _DS2S && (BYTE)(c) <= _DS2E) || ((BYTE)(c) >= _DS3S && (BYTE)(c) <= _DS3E)) +#else /* Two 2nd byte areas */ +#define IsDBCS2(c) (((BYTE)(c) >= _DS1S && (BYTE)(c) <= _DS1E) || ((BYTE)(c) >= _DS2S && (BYTE)(c) <= _DS2E)) +#endif + +#else /* Code page is SBCS */ + +#define IsDBCS1(c) 0 +#define IsDBCS2(c) 0 + +#endif /* _DF1S */ + + +/* Name status flags */ +#define NSFLAG 11 /* Index of name status byte in fn[] */ +#define NS_LOSS 0x01 /* Out of 8.3 format */ +#define NS_LFN 0x02 /* Force to create LFN entry */ +#define NS_LAST 0x04 /* Last segment */ +#define NS_BODY 0x08 /* Lower case flag (body) */ +#define NS_EXT 0x10 /* Lower case flag (ext) */ +#define NS_DOT 0x20 /* Dot entry */ + + +/* FAT sub-type boundaries (Differ from specs but correct for real DOS/Windows) */ +#define MIN_FAT16 4086U /* Minimum number of clusters as FAT16 */ +#define MIN_FAT32 65526U /* Minimum number of clusters as FAT32 */ + + +/* FatFs refers the members in the FAT structures as byte array instead of +/ structure member because the structure is not binary compatible between +/ different platforms */ + +#define BS_jmpBoot 0 /* x86 jump instruction (3) */ +#define BS_OEMName 3 /* OEM name (8) */ +#define BPB_BytsPerSec 11 /* Sector size [byte] (2) */ +#define BPB_SecPerClus 13 /* Cluster size [sector] (1) */ +#define BPB_RsvdSecCnt 14 /* Size of reserved area [sector] (2) */ +#define BPB_NumFATs 16 /* Number of FAT copies (1) */ +#define BPB_RootEntCnt 17 /* Number of root directory entries for FAT12/16 (2) */ +#define BPB_TotSec16 19 /* Volume size [sector] (2) */ +#define BPB_Media 21 /* Media descriptor (1) */ +#define BPB_FATSz16 22 /* FAT size [sector] (2) */ +#define BPB_SecPerTrk 24 /* Track size [sector] (2) */ +#define BPB_NumHeads 26 /* Number of heads (2) */ +#define BPB_HiddSec 28 /* Number of special hidden sectors (4) */ +#define BPB_TotSec32 32 /* Volume size [sector] (4) */ +#define BS_DrvNum 36 /* Physical drive number (2) */ +#define BS_BootSig 38 /* Extended boot signature (1) */ +#define BS_VolID 39 /* Volume serial number (4) */ +#define BS_VolLab 43 /* Volume label (8) */ +#define BS_FilSysType 54 /* File system type (1) */ +#define BPB_FATSz32 36 /* FAT size [sector] (4) */ +#define BPB_ExtFlags 40 /* Extended flags (2) */ +#define BPB_FSVer 42 /* File system version (2) */ +#define BPB_RootClus 44 /* Root directory first cluster (4) */ +#define BPB_FSInfo 48 /* Offset of FSINFO sector (2) */ +#define BPB_BkBootSec 50 /* Offset of backup boot sector (2) */ +#define BS_DrvNum32 64 /* Physical drive number (2) */ +#define BS_BootSig32 66 /* Extended boot signature (1) */ +#define BS_VolID32 67 /* Volume serial number (4) */ +#define BS_VolLab32 71 /* Volume label (8) */ +#define BS_FilSysType32 82 /* File system type (1) */ +#define FSI_LeadSig 0 /* FSI: Leading signature (4) */ +#define FSI_StrucSig 484 /* FSI: Structure signature (4) */ +#define FSI_Free_Count 488 /* FSI: Number of free clusters (4) */ +#define FSI_Nxt_Free 492 /* FSI: Last allocated cluster (4) */ +#define MBR_Table 446 /* MBR: Partition table offset (2) */ +#define SZ_PTE 16 /* MBR: Size of a partition table entry */ +#define BS_55AA 510 /* Signature word (2) */ + +#define DIR_Name 0 /* Short file name (11) */ +#define DIR_Attr 11 /* Attribute (1) */ +#define DIR_NTres 12 /* Lower case flag (1) */ +#define DIR_CrtTimeTenth 13 /* Created time sub-second (1) */ +#define DIR_CrtTime 14 /* Created time (2) */ +#define DIR_CrtDate 16 /* Created date (2) */ +#define DIR_LstAccDate 18 /* Last accessed date (2) */ +#define DIR_FstClusHI 20 /* Higher 16-bit of first cluster (2) */ +#define DIR_WrtTime 22 /* Modified time (2) */ +#define DIR_WrtDate 24 /* Modified date (2) */ +#define DIR_FstClusLO 26 /* Lower 16-bit of first cluster (2) */ +#define DIR_FileSize 28 /* File size (4) */ +#define LDIR_Ord 0 /* LFN entry order and LLE flag (1) */ +#define LDIR_Attr 11 /* LFN attribute (1) */ +#define LDIR_Type 12 /* LFN type (1) */ +#define LDIR_Chksum 13 /* Sum of corresponding SFN entry */ +#define LDIR_FstClusLO 26 /* Must be zero (0) */ +#define SZ_DIRE 32 /* Size of a directory entry */ +#define LLEF 0x40 /* Last long entry flag in LDIR_Ord */ +#define DDEM 0xE5 /* Deleted directory entry mark at DIR_Name[0] */ +#define RDDEM 0x05 /* Replacement of the character collides with DDEM */ + + + + +/*------------------------------------------------------------*/ +/* Module private work area */ +/*------------------------------------------------------------*/ +/* Remark: Uninitialized variables with static duration are +/ guaranteed zero/null at start-up. If not, either the linker +/ or start-up routine being used is out of ANSI-C standard. +*/ + +#if _VOLUMES < 1 || _VOLUMES > 9 +#error Wrong _VOLUMES setting +#endif +static FATFS *FatFs[_VOLUMES]; /* Pointer to the file system objects (logical drives) */ +static WORD Fsid; /* File system mount ID */ + +#if _FS_RPATH && _VOLUMES >= 2 +static BYTE CurrVol; /* Current drive */ +#endif + +#if _FS_LOCK +static FILESEM Files[_FS_LOCK]; /* Open object lock semaphores */ +#endif + +#if _USE_LFN == 0 /* Non LFN feature */ +#define DEFINE_NAMEBUF BYTE sfn[12] +#define INIT_BUF(dobj) (dobj).fn = sfn +#define FREE_BUF() +#else +#if _MAX_LFN < 12 || _MAX_LFN > 255 +#error Wrong _MAX_LFN setting +#endif +#if _USE_LFN == 1 /* LFN feature with static working buffer */ +static WCHAR LfnBuf[_MAX_LFN + 1]; +#define DEFINE_NAMEBUF BYTE sfn[12] +#define INIT_BUF(dobj) { (dobj).fn = sfn; (dobj).lfn = LfnBuf; } +#define FREE_BUF() +#elif _USE_LFN == 2 /* LFN feature with dynamic working buffer on the stack */ +#define DEFINE_NAMEBUF BYTE sfn[12]; WCHAR lbuf[_MAX_LFN + 1] +#define INIT_BUF(dobj) { (dobj).fn = sfn; (dobj).lfn = lbuf; } +#define FREE_BUF() +#elif _USE_LFN == 3 /* LFN feature with dynamic working buffer on the heap */ +#define DEFINE_NAMEBUF BYTE sfn[12]; WCHAR *lfn +#define INIT_BUF(dobj) { lfn = ff_memalloc((_MAX_LFN + 1) * 2); if (!lfn) LEAVE_FF((dobj).fs, FR_NOT_ENOUGH_CORE); (dobj).lfn = lfn; (dobj).fn = sfn; } +#define FREE_BUF() ff_memfree(lfn) +#else +#error Wrong _USE_LFN setting +#endif +#endif + +#ifdef _EXCVT +static const BYTE ExCvt[] = _EXCVT; /* Upper conversion table for extended characters */ +#endif + + + + + + +/*-------------------------------------------------------------------------- + + Module Private Functions + +---------------------------------------------------------------------------*/ +DWORD clust2sect (FATFS* fs, DWORD clst); +DWORD get_fat (FATFS* fs, DWORD clst); + +#if !_FS_READONLY +FRESULT put_fat (FATFS* fs, DWORD clst, DWORD val); +#endif /* !_FS_READONLY */ + +#if _USE_LFN +static void gen_numname (BYTE* dst, const BYTE* src, const WCHAR* lfn, UINT seq); +#endif /* !_USE_LFN */ + + + +/*-----------------------------------------------------------------------*/ +/* String functions */ +/*-----------------------------------------------------------------------*/ + +/* Copy memory to memory */ +static +void mem_cpy (void* dst, const void* src, UINT cnt) { + BYTE *d = (BYTE*)dst; + const BYTE *s = (const BYTE*)src; + +#if _WORD_ACCESS == 1 + while (cnt >= sizeof (int)) { + *(int*)d = *(int*)s; + d += sizeof (int); s += sizeof (int); + cnt -= sizeof (int); + } +#endif + while (cnt--) + *d++ = *s++; +} + +/* Fill memory */ +static +void mem_set (void* dst, int val, UINT cnt) { + BYTE *d = (BYTE*)dst; + + while (cnt--) + *d++ = (BYTE)val; +} + +/* Compare memory to memory */ +static +int mem_cmp (const void* dst, const void* src, UINT cnt) { + const BYTE *d = (const BYTE *)dst, *s = (const BYTE *)src; + int r = 0; + + while (cnt-- && (r = *d++ - *s++) == 0) ; + return r; +} + +/* Check if chr is contained in the string */ +static +int chk_chr (const char* str, int chr) { + while (*str && *str != chr) str++; + return *str; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Request/Release grant to access the volume */ +/*-----------------------------------------------------------------------*/ +#if _FS_REENTRANT +static +int lock_fs ( + FATFS* fs /* File system object */ +) +{ + return ff_req_grant(fs->sobj); +} + + +static +void unlock_fs ( + FATFS* fs, /* File system object */ + FRESULT res /* Result code to be returned */ +) +{ + if (fs && + res != FR_NOT_ENABLED && + res != FR_INVALID_DRIVE && + res != FR_INVALID_OBJECT && + res != FR_TIMEOUT) { + ff_rel_grant(fs->sobj); + } +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* File lock control functions */ +/*-----------------------------------------------------------------------*/ +#if _FS_LOCK + +static +FRESULT chk_lock ( /* Check if the file can be accessed */ + DIR* dp, /* Directory object pointing the file to be checked */ + int acc /* Desired access type (0:Read, 1:Write, 2:Delete/Rename) */ +) +{ + UINT i, be; + + /* Search file semaphore table */ + for (i = be = 0; i < _FS_LOCK; i++) { + if (Files[i].fs) { /* Existing entry */ + if (Files[i].fs == dp->fs && /* Check if the object matched with an open object */ + Files[i].clu == dp->sclust && + Files[i].idx == dp->index) break; + } else { /* Blank entry */ + be = 1; + } + } + if (i == _FS_LOCK) /* The object is not opened */ + return (be || acc == 2) ? FR_OK : FR_TOO_MANY_OPEN_FILES; /* Is there a blank entry for new object? */ + + /* The object has been opened. Reject any open against writing file and all write mode open */ + return (acc || Files[i].ctr == 0x100) ? FR_LOCKED : FR_OK; +} + + +static +int enq_lock (void) /* Check if an entry is available for a new object */ +{ + UINT i; + + for (i = 0; i < _FS_LOCK && Files[i].fs; i++) ; + return (i == _FS_LOCK) ? 0 : 1; +} + + +static +UINT inc_lock ( /* Increment object open counter and returns its index (0:Internal error) */ + DIR* dp, /* Directory object pointing the file to register or increment */ + int acc /* Desired access (0:Read, 1:Write, 2:Delete/Rename) */ +) +{ + UINT i; + + + for (i = 0; i < _FS_LOCK; i++) { /* Find the object */ + if (Files[i].fs == dp->fs && + Files[i].clu == dp->sclust && + Files[i].idx == dp->index) break; + } + + if (i == _FS_LOCK) { /* Not opened. Register it as new. */ + for (i = 0; i < _FS_LOCK && Files[i].fs; i++) ; + if (i == _FS_LOCK) return 0; /* No free entry to register (int err) */ + Files[i].fs = dp->fs; + Files[i].clu = dp->sclust; + Files[i].idx = dp->index; + Files[i].ctr = 0; + } + + if (acc && Files[i].ctr) return 0; /* Access violation (int err) */ + + Files[i].ctr = acc ? 0x100 : Files[i].ctr + 1; /* Set semaphore value */ + + return i + 1; +} + + +static +FRESULT dec_lock ( /* Decrement object open counter */ + UINT i /* Semaphore index (1..) */ +) +{ + WORD n; + FRESULT res; + + + if (--i < _FS_LOCK) { /* Shift index number origin from 0 */ + n = Files[i].ctr; + if (n == 0x100) n = 0; /* If write mode open, delete the entry */ + if (n) n--; /* Decrement read mode open count */ + Files[i].ctr = n; + if (!n) Files[i].fs = 0; /* Delete the entry if open count gets zero */ + res = FR_OK; + } else { + res = FR_INT_ERR; /* Invalid index nunber */ + } + return res; +} + + +static +void clear_lock ( /* Clear lock entries of the volume */ + FATFS *fs +) +{ + UINT i; + + for (i = 0; i < _FS_LOCK; i++) { + if (Files[i].fs == fs) Files[i].fs = 0; + } +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Move/Flush disk access window in the file system object */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +FRESULT sync_window ( + FATFS* fs /* File system object */ +) +{ + DWORD wsect; + UINT nf; + FRESULT res = FR_OK; + + + if (fs->wflag) { /* Write back the sector if it is dirty */ + wsect = fs->winsect; /* Current sector number */ + if (disk_write(fs->drv, fs->win.d8, wsect, 1) != RES_OK) { + res = FR_DISK_ERR; + } else { + fs->wflag = 0; + if (wsect - fs->fatbase < fs->fsize) { /* Is it in the FAT area? */ + for (nf = fs->n_fats; nf >= 2; nf--) { /* Reflect the change to all FAT copies */ + wsect += fs->fsize; + disk_write(fs->drv, fs->win.d8, wsect, 1); + } + } + } + } + return res; +} +#endif + + +static +FRESULT move_window ( + FATFS* fs, /* File system object */ + DWORD sector /* Sector number to make appearance in the fs->win[].d8 */ +) +{ + FRESULT res = FR_OK; + + + if (sector != fs->winsect) { /* Window offset changed? */ +#if !_FS_READONLY + res = sync_window(fs); /* Write-back changes */ +#endif + if (res == FR_OK) { /* Fill sector window with new data */ + if (disk_read(fs->drv, fs->win.d8, sector, 1) != RES_OK) { + sector = 0xFFFFFFFF; /* Invalidate window if data is not reliable */ + res = FR_DISK_ERR; + } + fs->winsect = sector; + } + } + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Synchronize file system and strage device */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +FRESULT sync_fs ( /* FR_OK: successful, FR_DISK_ERR: failed */ + FATFS* fs /* File system object */ +) +{ + FRESULT res; + + + res = sync_window(fs); + if (res == FR_OK) { + /* Update FSINFO sector if needed */ + if (fs->fs_type == FS_FAT32 && fs->fsi_flag == 1) { + /* Create FSINFO structure */ + mem_set(fs->win.d8, 0, SS(fs)); + ST_WORD(fs->win.d8 + BS_55AA, 0xAA55); + ST_DWORD(fs->win.d8 + FSI_LeadSig, 0x41615252); + ST_DWORD(fs->win.d8 + FSI_StrucSig, 0x61417272); + ST_DWORD(fs->win.d8 + FSI_Free_Count, fs->free_clust); + ST_DWORD(fs->win.d8 + FSI_Nxt_Free, fs->last_clust); + /* Write it into the FSINFO sector */ + fs->winsect = fs->volbase + 1; + disk_write(fs->drv, fs->win.d8, fs->winsect, 1); + fs->fsi_flag = 0; + } + /* Make sure that no pending write process in the physical drive */ + if (disk_ioctl(fs->drv, CTRL_SYNC, 0) != RES_OK) + res = FR_DISK_ERR; + } + + return res; +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Get sector# from cluster# */ +/*-----------------------------------------------------------------------*/ +/* Hidden API for hacks and disk tools */ + +DWORD clust2sect ( /* !=0: Sector number, 0: Failed - invalid cluster# */ + FATFS* fs, /* File system object */ + DWORD clst /* Cluster# to be converted */ +) +{ + clst -= 2; + if (clst >= fs->n_fatent - 2) return 0; /* Invalid cluster# */ + return clst * fs->csize + fs->database; +} + + + + +/*-----------------------------------------------------------------------*/ +/* FAT access - Read value of a FAT entry */ +/*-----------------------------------------------------------------------*/ +/* Hidden API for hacks and disk tools */ + +DWORD get_fat ( /* 0xFFFFFFFF:Disk error, 1:Internal error, 2..0x0FFFFFFF:Cluster status */ + FATFS* fs, /* File system object */ + DWORD clst /* FAT index number (cluster number) to get the value */ +) +{ + UINT wc, bc; + BYTE *p; + DWORD val; + + + if (clst < 2 || clst >= fs->n_fatent) { /* Check range */ + val = 1; /* Internal error */ + + } else { + val = 0xFFFFFFFF; /* Default value falls on disk error */ + + switch (fs->fs_type) { + case FS_FAT12 : + bc = (UINT)clst; bc += bc / 2; + if (move_window(fs, fs->fatbase + (bc / SS(fs))) != FR_OK) break; + wc = fs->win.d8[bc++ % SS(fs)]; + if (move_window(fs, fs->fatbase + (bc / SS(fs))) != FR_OK) break; + wc |= fs->win.d8[bc % SS(fs)] << 8; + val = clst & 1 ? wc >> 4 : (wc & 0xFFF); + break; + + case FS_FAT16 : + if (move_window(fs, fs->fatbase + (clst / (SS(fs) / 2))) != FR_OK) break; + p = &fs->win.d8[clst * 2 % SS(fs)]; + val = LD_WORD(p); + break; + + case FS_FAT32 : + if (move_window(fs, fs->fatbase + (clst / (SS(fs) / 4))) != FR_OK) break; + p = &fs->win.d8[clst * 4 % SS(fs)]; + val = LD_DWORD(p) & 0x0FFFFFFF; + break; + + default: + val = 1; /* Internal error */ + } + } + + return val; +} + + + + +/*-----------------------------------------------------------------------*/ +/* FAT access - Change value of a FAT entry */ +/*-----------------------------------------------------------------------*/ +/* Hidden API for hacks and disk tools */ + +#if !_FS_READONLY +FRESULT put_fat ( + FATFS* fs, /* File system object */ + DWORD clst, /* FAT index number (cluster number) to be changed */ + DWORD val /* New value to be set to the entry */ +) +{ + UINT bc; + BYTE *p; + FRESULT res; + + + if (clst < 2 || clst >= fs->n_fatent) { /* Check range */ + res = FR_INT_ERR; + + } else { + switch (fs->fs_type) { + case FS_FAT12 : + bc = (UINT)clst; bc += bc / 2; + res = move_window(fs, fs->fatbase + (bc / SS(fs))); + if (res != FR_OK) break; + p = &fs->win.d8[bc++ % SS(fs)]; + *p = (clst & 1) ? ((*p & 0x0F) | ((BYTE)val << 4)) : (BYTE)val; + fs->wflag = 1; + res = move_window(fs, fs->fatbase + (bc / SS(fs))); + if (res != FR_OK) break; + p = &fs->win.d8[bc % SS(fs)]; + *p = (clst & 1) ? (BYTE)(val >> 4) : ((*p & 0xF0) | ((BYTE)(val >> 8) & 0x0F)); + fs->wflag = 1; + break; + + case FS_FAT16 : + res = move_window(fs, fs->fatbase + (clst / (SS(fs) / 2))); + if (res != FR_OK) break; + p = &fs->win.d8[clst * 2 % SS(fs)]; + ST_WORD(p, (WORD)val); + fs->wflag = 1; + break; + + case FS_FAT32 : + res = move_window(fs, fs->fatbase + (clst / (SS(fs) / 4))); + if (res != FR_OK) break; + p = &fs->win.d8[clst * 4 % SS(fs)]; + val |= LD_DWORD(p) & 0xF0000000; + ST_DWORD(p, val); + fs->wflag = 1; + break; + + default : + res = FR_INT_ERR; + } + } + + return res; +} +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* FAT handling - Remove a cluster chain */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +FRESULT remove_chain ( + FATFS* fs, /* File system object */ + DWORD clst /* Cluster# to remove a chain from */ +) +{ + FRESULT res; + DWORD nxt; +#if _USE_TRIM + DWORD scl = clst, ecl = clst, rt[2]; +#endif + + if (clst < 2 || clst >= fs->n_fatent) { /* Check range */ + res = FR_INT_ERR; + + } else { + res = FR_OK; + while (clst < fs->n_fatent) { /* Not a last link? */ + nxt = get_fat(fs, clst); /* Get cluster status */ + if (nxt == 0) break; /* Empty cluster? */ + if (nxt == 1) { res = FR_INT_ERR; break; } /* Internal error? */ + if (nxt == 0xFFFFFFFF) { res = FR_DISK_ERR; break; } /* Disk error? */ + res = put_fat(fs, clst, 0); /* Mark the cluster "empty" */ + if (res != FR_OK) break; + if (fs->free_clust != 0xFFFFFFFF) { /* Update FSINFO */ + fs->free_clust++; + fs->fsi_flag |= 1; + } +#if _USE_TRIM + if (ecl + 1 == nxt) { /* Is next cluster contiguous? */ + ecl = nxt; + } else { /* End of contiguous clusters */ + rt[0] = clust2sect(fs, scl); /* Start sector */ + rt[1] = clust2sect(fs, ecl) + fs->csize - 1; /* End sector */ + disk_ioctl(fs->drv, CTRL_TRIM, rt); /* Erase the block */ + scl = ecl = nxt; + } +#endif + clst = nxt; /* Next cluster */ + } + } + + return res; +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* FAT handling - Stretch or Create a cluster chain */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +DWORD create_chain ( /* 0:No free cluster, 1:Internal error, 0xFFFFFFFF:Disk error, >=2:New cluster# */ + FATFS* fs, /* File system object */ + DWORD clst /* Cluster# to stretch. 0 means create a new chain. */ +) +{ + DWORD cs, ncl, scl; + FRESULT res; + + + if (clst == 0) { /* Create a new chain */ + scl = fs->last_clust; /* Get suggested start point */ + if (!scl || scl >= fs->n_fatent) scl = 1; + } + else { /* Stretch the current chain */ + cs = get_fat(fs, clst); /* Check the cluster status */ + if (cs < 2) return 1; /* Invalid value */ + if (cs == 0xFFFFFFFF) return cs; /* A disk error occurred */ + if (cs < fs->n_fatent) return cs; /* It is already followed by next cluster */ + scl = clst; + } + + ncl = scl; /* Start cluster */ + for (;;) { + ncl++; /* Next cluster */ + if (ncl >= fs->n_fatent) { /* Check wrap around */ + ncl = 2; + if (ncl > scl) return 0; /* No free cluster */ + } + cs = get_fat(fs, ncl); /* Get the cluster status */ + if (cs == 0) break; /* Found a free cluster */ + if (cs == 0xFFFFFFFF || cs == 1)/* An error occurred */ + return cs; + if (ncl == scl) return 0; /* No free cluster */ + } + + res = put_fat(fs, ncl, 0x0FFFFFFF); /* Mark the new cluster "last link" */ + if (res == FR_OK && clst != 0) { + res = put_fat(fs, clst, ncl); /* Link it to the previous one if needed */ + } + if (res == FR_OK) { + fs->last_clust = ncl; /* Update FSINFO */ + if (fs->free_clust != 0xFFFFFFFF) { + fs->free_clust--; + fs->fsi_flag |= 1; + } + } else { + ncl = (res == FR_DISK_ERR) ? 0xFFFFFFFF : 1; + } + + return ncl; /* Return new cluster number or error code */ +} +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* FAT handling - Convert offset into cluster with link map table */ +/*-----------------------------------------------------------------------*/ + +#if _USE_FASTSEEK +static +DWORD clmt_clust ( /* <2:Error, >=2:Cluster number */ + FIL* fp, /* Pointer to the file object */ + DWORD ofs /* File offset to be converted to cluster# */ +) +{ + DWORD cl, ncl, *tbl; + + + tbl = fp->cltbl + 1; /* Top of CLMT */ + cl = ofs / SS(fp->fs) / fp->fs->csize; /* Cluster order from top of the file */ + for (;;) { + ncl = *tbl++; /* Number of cluters in the fragment */ + if (!ncl) return 0; /* End of table? (error) */ + if (cl < ncl) break; /* In this fragment? */ + cl -= ncl; tbl++; /* Next fragment */ + } + return cl + *tbl; /* Return the cluster number */ +} +#endif /* _USE_FASTSEEK */ + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Set directory index */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT dir_sdi ( + DIR* dp, /* Pointer to directory object */ + UINT idx /* Index of directory table */ +) +{ + DWORD clst, sect; + UINT ic; + + + dp->index = (WORD)idx; /* Current index */ + clst = dp->sclust; /* Table start cluster (0:root) */ + if (clst == 1 || clst >= dp->fs->n_fatent) /* Check start cluster range */ + return FR_INT_ERR; + if (!clst && dp->fs->fs_type == FS_FAT32) /* Replace cluster# 0 with root cluster# if in FAT32 */ + clst = dp->fs->dirbase; + + if (clst == 0) { /* Static table (root-directory in FAT12/16) */ + if (idx >= dp->fs->n_rootdir) /* Is index out of range? */ + return FR_INT_ERR; + sect = dp->fs->dirbase; + } + else { /* Dynamic table (root-directory in FAT32 or sub-directory) */ + ic = SS(dp->fs) / SZ_DIRE * dp->fs->csize; /* Entries per cluster */ + while (idx >= ic) { /* Follow cluster chain */ + clst = get_fat(dp->fs, clst); /* Get next cluster */ + if (clst == 0xFFFFFFFF) return FR_DISK_ERR; /* Disk error */ + if (clst < 2 || clst >= dp->fs->n_fatent) /* Reached to end of table or internal error */ + return FR_INT_ERR; + idx -= ic; + } + sect = clust2sect(dp->fs, clst); + } + dp->clust = clst; /* Current cluster# */ + if (!sect) return FR_INT_ERR; + dp->sect = sect + idx / (SS(dp->fs) / SZ_DIRE); /* Sector# of the directory entry */ + dp->dir = dp->fs->win.d8 + (idx % (SS(dp->fs) / SZ_DIRE)) * SZ_DIRE; /* Ptr to the entry in the sector */ + + return FR_OK; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Move directory table index next */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT dir_next ( /* FR_OK:Succeeded, FR_NO_FILE:End of table, FR_DENIED:Could not stretch */ + DIR* dp, /* Pointer to the directory object */ + int stretch /* 0: Do not stretch table, 1: Stretch table if needed */ +) +{ + DWORD clst; + UINT i; +#if !_FS_READONLY + UINT c; +#endif + + + i = dp->index + 1; + if (!(i & 0xFFFF) || !dp->sect) /* Report EOT when index has reached 65535 */ + return FR_NO_FILE; + + if (!(i % (SS(dp->fs) / SZ_DIRE))) { /* Sector changed? */ + dp->sect++; /* Next sector */ + + if (!dp->clust) { /* Static table */ + if (i >= dp->fs->n_rootdir) /* Report EOT if it reached end of static table */ + return FR_NO_FILE; + } + else { /* Dynamic table */ + if (((i / (SS(dp->fs) / SZ_DIRE)) & (dp->fs->csize - 1)) == 0) { /* Cluster changed? */ + clst = get_fat(dp->fs, dp->clust); /* Get next cluster */ + if (clst <= 1) return FR_INT_ERR; + if (clst == 0xFFFFFFFF) return FR_DISK_ERR; + if (clst >= dp->fs->n_fatent) { /* If it reached end of dynamic table, */ +#if !_FS_READONLY + if (!stretch) return FR_NO_FILE; /* If do not stretch, report EOT */ + clst = create_chain(dp->fs, dp->clust); /* Stretch cluster chain */ + if (clst == 0) return FR_DENIED; /* No free cluster */ + if (clst == 1) return FR_INT_ERR; + if (clst == 0xFFFFFFFF) return FR_DISK_ERR; + /* Clean-up stretched table */ + if (sync_window(dp->fs)) return FR_DISK_ERR;/* Flush disk access window */ + mem_set(dp->fs->win.d8, 0, SS(dp->fs)); /* Clear window buffer */ + dp->fs->winsect = clust2sect(dp->fs, clst); /* Cluster start sector */ + for (c = 0; c < dp->fs->csize; c++) { /* Fill the new cluster with 0 */ + dp->fs->wflag = 1; + if (sync_window(dp->fs)) return FR_DISK_ERR; + dp->fs->winsect++; + } + dp->fs->winsect -= c; /* Rewind window offset */ +#else + if (!stretch) return FR_NO_FILE; /* If do not stretch, report EOT (this is to suppress warning) */ + return FR_NO_FILE; /* Report EOT */ +#endif + } + dp->clust = clst; /* Initialize data for new cluster */ + dp->sect = clust2sect(dp->fs, clst); + } + } + } + + dp->index = (WORD)i; /* Current index */ + dp->dir = dp->fs->win.d8 + (i % (SS(dp->fs) / SZ_DIRE)) * SZ_DIRE; /* Current entry in the window */ + + return FR_OK; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Reserve directory entry */ +/*-----------------------------------------------------------------------*/ + +#if !_FS_READONLY +static +FRESULT dir_alloc ( + DIR* dp, /* Pointer to the directory object */ + UINT nent /* Number of contiguous entries to allocate (1-21) */ +) +{ + FRESULT res; + UINT n; + + + res = dir_sdi(dp, 0); + if (res == FR_OK) { + n = 0; + do { + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + if (dp->dir[0] == DDEM || dp->dir[0] == 0) { /* Is it a free entry? */ + if (++n == nent) break; /* A block of contiguous free entries is found */ + } else { + n = 0; /* Not a blank entry. Restart to search */ + } + res = dir_next(dp, 1); /* Next entry with table stretch enabled */ + } while (res == FR_OK); + } + if (res == FR_NO_FILE) res = FR_DENIED; /* No directory entry to allocate */ + return res; +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Load/Store start cluster number */ +/*-----------------------------------------------------------------------*/ + +static +DWORD ld_clust ( + FATFS* fs, /* Pointer to the fs object */ + BYTE* dir /* Pointer to the directory entry */ +) +{ + DWORD cl; + + cl = LD_WORD(dir + DIR_FstClusLO); + if (fs->fs_type == FS_FAT32) + cl |= (DWORD)LD_WORD(dir + DIR_FstClusHI) << 16; + + return cl; +} + + +#if !_FS_READONLY +static +void st_clust ( + BYTE* dir, /* Pointer to the directory entry */ + DWORD cl /* Value to be set */ +) +{ + ST_WORD(dir + DIR_FstClusLO, cl); + ST_WORD(dir + DIR_FstClusHI, cl >> 16); +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* LFN handling - Test/Pick/Fit an LFN segment from/to directory entry */ +/*-----------------------------------------------------------------------*/ +#if _USE_LFN +static +const BYTE LfnOfs[] = {1,3,5,7,9,14,16,18,20,22,24,28,30}; /* Offset of LFN characters in the directory entry */ + + +static +int cmp_lfn ( /* 1:Matched, 0:Not matched */ + WCHAR* lfnbuf, /* Pointer to the LFN to be compared */ + BYTE* dir /* Pointer to the directory entry containing a part of LFN */ +) +{ + UINT i, s; + WCHAR wc, uc; + + + i = ((dir[LDIR_Ord] & ~LLEF) - 1) * 13; /* Get offset in the LFN buffer */ + s = 0; wc = 1; + do { + uc = LD_WORD(dir + LfnOfs[s]); /* Pick an LFN character from the entry */ + if (wc) { /* Last character has not been processed */ + wc = ff_wtoupper(uc); /* Convert it to upper case */ + if (i >= _MAX_LFN || wc != ff_wtoupper(lfnbuf[i++])) /* Compare it */ + return 0; /* Not matched */ + } else { + if (uc != 0xFFFF) return 0; /* Check filler */ + } + } while (++s < 13); /* Repeat until all characters in the entry are checked */ + + if ((dir[LDIR_Ord] & LLEF) && wc && lfnbuf[i]) /* Last segment matched but different length */ + return 0; + + return 1; /* The part of LFN matched */ +} + + + +static +int pick_lfn ( /* 1:Succeeded, 0:Buffer overflow */ + WCHAR* lfnbuf, /* Pointer to the Unicode-LFN buffer */ + BYTE* dir /* Pointer to the directory entry */ +) +{ + UINT i, s; + WCHAR wc, uc; + + + i = ((dir[LDIR_Ord] & 0x3F) - 1) * 13; /* Offset in the LFN buffer */ + + s = 0; wc = 1; + do { + uc = LD_WORD(dir + LfnOfs[s]); /* Pick an LFN character from the entry */ + if (wc) { /* Last character has not been processed */ + if (i >= _MAX_LFN) return 0; /* Buffer overflow? */ + lfnbuf[i++] = wc = uc; /* Store it */ + } else { + if (uc != 0xFFFF) return 0; /* Check filler */ + } + } while (++s < 13); /* Read all character in the entry */ + + if (dir[LDIR_Ord] & LLEF) { /* Put terminator if it is the last LFN part */ + if (i >= _MAX_LFN) return 0; /* Buffer overflow? */ + lfnbuf[i] = 0; + } + + return 1; +} + + +#if !_FS_READONLY +static +void fit_lfn ( + const WCHAR* lfnbuf, /* Pointer to the LFN buffer */ + BYTE* dir, /* Pointer to the directory entry */ + BYTE ord, /* LFN order (1-20) */ + BYTE sum /* SFN sum */ +) +{ + UINT i, s; + WCHAR wc; + + + dir[LDIR_Chksum] = sum; /* Set check sum */ + dir[LDIR_Attr] = AM_LFN; /* Set attribute. LFN entry */ + dir[LDIR_Type] = 0; + ST_WORD(dir + LDIR_FstClusLO, 0); + + i = (ord - 1) * 13; /* Get offset in the LFN buffer */ + s = wc = 0; + do { + if (wc != 0xFFFF) wc = lfnbuf[i++]; /* Get an effective character */ + ST_WORD(dir+LfnOfs[s], wc); /* Put it */ + if (!wc) wc = 0xFFFF; /* Padding characters following last character */ + } while (++s < 13); + if (wc == 0xFFFF || !lfnbuf[i]) ord |= LLEF; /* Bottom LFN part is the start of LFN sequence */ + dir[LDIR_Ord] = ord; /* Set the LFN order */ +} + +#endif +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Create numbered name */ +/*-----------------------------------------------------------------------*/ +#if _USE_LFN +static +void gen_numname ( + BYTE* dst, /* Pointer to the buffer to store numbered SFN */ + const BYTE* src, /* Pointer to SFN */ + const WCHAR* lfn, /* Pointer to LFN */ + UINT seq /* Sequence number */ +) +{ + BYTE ns[8], c; + UINT i, j; + WCHAR wc; + DWORD sr; + + + mem_cpy(dst, src, 11); + + if (seq > 5) { /* On many collisions, generate a hash number instead of sequential number */ + sr = seq; + while (*lfn) { /* Create a CRC */ + wc = *lfn++; + for (i = 0; i < 16; i++) { + sr = (sr << 1) + (wc & 1); + wc >>= 1; + if (sr & 0x10000) sr ^= 0x11021; + } + } + seq = (UINT)sr; + } + + /* itoa (hexdecimal) */ + i = 7; + do { + c = (seq % 16) + '0'; + if (c > '9') c += 7; + ns[i--] = c; + seq /= 16; + } while (seq); + ns[i] = '~'; + + /* Append the number */ + for (j = 0; j < i && dst[j] != ' '; j++) { + if (IsDBCS1(dst[j])) { + if (j == i - 1) break; + j++; + } + } + do { + dst[j++] = (i < 8) ? ns[i++] : ' '; + } while (j < 8); +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Calculate sum of an SFN */ +/*-----------------------------------------------------------------------*/ +#if _USE_LFN +static +BYTE sum_sfn ( + const BYTE* dir /* Pointer to the SFN entry */ +) +{ + BYTE sum = 0; + UINT n = 11; + + do sum = (sum >> 1) + (sum << 7) + *dir++; while (--n); + return sum; +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Find an object in the directory */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT dir_find ( + DIR* dp /* Pointer to the directory object linked to the file name */ +) +{ + FRESULT res; + BYTE c, *dir; +#if _USE_LFN + BYTE a, ord, sum; +#endif + + res = dir_sdi(dp, 0); /* Rewind directory object */ + if (res != FR_OK) return res; + +#if _USE_LFN + ord = sum = 0xFF; dp->lfn_idx = 0xFFFF; /* Reset LFN sequence */ +#endif + do { + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + dir = dp->dir; /* Ptr to the directory entry of current index */ + c = dir[DIR_Name]; + if (c == 0) { res = FR_NO_FILE; break; } /* Reached to end of table */ +#if _USE_LFN /* LFN configuration */ + a = dir[DIR_Attr] & AM_MASK; + if (c == DDEM || ((a & AM_VOL) && a != AM_LFN)) { /* An entry without valid data */ + ord = 0xFF; dp->lfn_idx = 0xFFFF; /* Reset LFN sequence */ + } else { + if (a == AM_LFN) { /* An LFN entry is found */ + if (dp->lfn) { + if (c & LLEF) { /* Is it start of LFN sequence? */ + sum = dir[LDIR_Chksum]; + c &= ~LLEF; ord = c; /* LFN start order */ + dp->lfn_idx = dp->index; /* Start index of LFN */ + } + /* Check validity of the LFN entry and compare it with given name */ + ord = (c == ord && sum == dir[LDIR_Chksum] && cmp_lfn(dp->lfn, dir)) ? ord - 1 : 0xFF; + } + } else { /* An SFN entry is found */ + if (!ord && sum == sum_sfn(dir)) break; /* LFN matched? */ + if (!(dp->fn[NSFLAG] & NS_LOSS) && !mem_cmp(dir, dp->fn, 11)) break; /* SFN matched? */ + ord = 0xFF; dp->lfn_idx = 0xFFFF; /* Reset LFN sequence */ + } + } +#else /* Non LFN configuration */ + if (!(dir[DIR_Attr] & AM_VOL) && !mem_cmp(dir, dp->fn, 11)) /* Is it a valid entry? */ + break; +#endif + res = dir_next(dp, 0); /* Next entry */ + } while (res == FR_OK); + + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Read an object from the directory */ +/*-----------------------------------------------------------------------*/ +#if _FS_MINIMIZE <= 1 || _USE_LABEL || _FS_RPATH >= 2 +static +FRESULT dir_read ( + DIR* dp, /* Pointer to the directory object */ + int vol /* Filtered by 0:file/directory or 1:volume label */ +) +{ + FRESULT res; + BYTE a, c, *dir; +#if _USE_LFN + BYTE ord = 0xFF, sum = 0xFF; +#endif + + res = FR_NO_FILE; + while (dp->sect) { + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + dir = dp->dir; /* Ptr to the directory entry of current index */ + c = dir[DIR_Name]; + if (c == 0) { res = FR_NO_FILE; break; } /* Reached to end of table */ + a = dir[DIR_Attr] & AM_MASK; +#if _USE_LFN /* LFN configuration */ + if (c == DDEM || (!_FS_RPATH && c == '.') || (int)((a & ~AM_ARC) == AM_VOL) != vol) { /* An entry without valid data */ + ord = 0xFF; + } else { + if (a == AM_LFN) { /* An LFN entry is found */ + if (c & LLEF) { /* Is it start of LFN sequence? */ + sum = dir[LDIR_Chksum]; + c &= ~LLEF; ord = c; + dp->lfn_idx = dp->index; + } + /* Check LFN validity and capture it */ + ord = (c == ord && sum == dir[LDIR_Chksum] && pick_lfn(dp->lfn, dir)) ? ord - 1 : 0xFF; + } else { /* An SFN entry is found */ + if (ord || sum != sum_sfn(dir)) /* Is there a valid LFN? */ + dp->lfn_idx = 0xFFFF; /* It has no LFN. */ + break; + } + } +#else /* Non LFN configuration */ + if (c != DDEM && (_FS_RPATH || c != '.') && a != AM_LFN && (int)((a & ~AM_ARC) == AM_VOL) == vol) /* Is it a valid entry? */ + break; +#endif + res = dir_next(dp, 0); /* Next entry */ + if (res != FR_OK) break; + } + + if (res != FR_OK) dp->sect = 0; + + return res; +} +#endif /* _FS_MINIMIZE <= 1 || _USE_LABEL || _FS_RPATH >= 2 */ + + + + +/*-----------------------------------------------------------------------*/ +/* Register an object to the directory */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +FRESULT dir_register ( /* FR_OK:Successful, FR_DENIED:No free entry or too many SFN collision, FR_DISK_ERR:Disk error */ + DIR* dp /* Target directory with object name to be created */ +) +{ + FRESULT res; +#if _USE_LFN /* LFN configuration */ + UINT n, nent; + BYTE sn[12], *fn, sum; + WCHAR *lfn; + + + fn = dp->fn; lfn = dp->lfn; + mem_cpy(sn, fn, 12); + + if (_FS_RPATH && (sn[NSFLAG] & NS_DOT)) /* Cannot create dot entry */ + return FR_INVALID_NAME; + + if (sn[NSFLAG] & NS_LOSS) { /* When LFN is out of 8.3 format, generate a numbered name */ + fn[NSFLAG] = 0; dp->lfn = 0; /* Find only SFN */ + for (n = 1; n < 100; n++) { + gen_numname(fn, sn, lfn, n); /* Generate a numbered name */ + res = dir_find(dp); /* Check if the name collides with existing SFN */ + if (res != FR_OK) break; + } + if (n == 100) return FR_DENIED; /* Abort if too many collisions */ + if (res != FR_NO_FILE) return res; /* Abort if the result is other than 'not collided' */ + fn[NSFLAG] = sn[NSFLAG]; dp->lfn = lfn; + } + + if (sn[NSFLAG] & NS_LFN) { /* When LFN is to be created, allocate entries for an SFN + LFNs. */ + for (n = 0; lfn[n]; n++) ; + nent = (n + 25) / 13; + } else { /* Otherwise allocate an entry for an SFN */ + nent = 1; + } + res = dir_alloc(dp, nent); /* Allocate entries */ + + if (res == FR_OK && --nent) { /* Set LFN entry if needed */ + res = dir_sdi(dp, dp->index - nent); + if (res == FR_OK) { + sum = sum_sfn(dp->fn); /* Sum value of the SFN tied to the LFN */ + do { /* Store LFN entries in bottom first */ + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + fit_lfn(dp->lfn, dp->dir, (BYTE)nent, sum); + dp->fs->wflag = 1; + res = dir_next(dp, 0); /* Next entry */ + } while (res == FR_OK && --nent); + } + } +#else /* Non LFN configuration */ + res = dir_alloc(dp, 1); /* Allocate an entry for SFN */ +#endif + + if (res == FR_OK) { /* Set SFN entry */ + res = move_window(dp->fs, dp->sect); + if (res == FR_OK) { + mem_set(dp->dir, 0, SZ_DIRE); /* Clean the entry */ + mem_cpy(dp->dir, dp->fn, 11); /* Put SFN */ +#if _USE_LFN + dp->dir[DIR_NTres] = dp->fn[NSFLAG] & (NS_BODY | NS_EXT); /* Put NT flag */ +#endif + dp->fs->wflag = 1; + } + } + + return res; +} +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* Remove an object from the directory */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY && !_FS_MINIMIZE +static +FRESULT dir_remove ( /* FR_OK: Successful, FR_DISK_ERR: A disk error */ + DIR* dp /* Directory object pointing the entry to be removed */ +) +{ + FRESULT res; +#if _USE_LFN /* LFN configuration */ + UINT i; + + i = dp->index; /* SFN index */ + res = dir_sdi(dp, (dp->lfn_idx == 0xFFFF) ? i : dp->lfn_idx); /* Goto the SFN or top of the LFN entries */ + if (res == FR_OK) { + do { + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + mem_set(dp->dir, 0, SZ_DIRE); /* Clear and mark the entry "deleted" */ + *dp->dir = DDEM; + dp->fs->wflag = 1; + if (dp->index >= i) break; /* When reached SFN, all entries of the object has been deleted. */ + res = dir_next(dp, 0); /* Next entry */ + } while (res == FR_OK); + if (res == FR_NO_FILE) res = FR_INT_ERR; + } + +#else /* Non LFN configuration */ + res = dir_sdi(dp, dp->index); + if (res == FR_OK) { + res = move_window(dp->fs, dp->sect); + if (res == FR_OK) { + mem_set(dp->dir, 0, SZ_DIRE); /* Clear and mark the entry "deleted" */ + *dp->dir = DDEM; + dp->fs->wflag = 1; + } + } +#endif + + return res; +} +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* Get file information from directory entry */ +/*-----------------------------------------------------------------------*/ +#if _FS_MINIMIZE <= 1 || _FS_RPATH >= 2 +static +void get_fileinfo ( /* No return code */ + DIR* dp, /* Pointer to the directory object */ + FILINFO* fno /* Pointer to the file information to be filled */ +) +{ + UINT i; + TCHAR *p, c; + BYTE *dir; +#if _USE_LFN + WCHAR w, *lfn; +#endif + + p = fno->fname; + if (dp->sect) { /* Get SFN */ + dir = dp->dir; + i = 0; + while (i < 11) { /* Copy name body and extension */ + c = (TCHAR)dir[i++]; + if (c == ' ') continue; /* Skip padding spaces */ + if (c == RDDEM) c = (TCHAR)DDEM; /* Restore replaced DDEM character */ + if (i == 9) *p++ = '.'; /* Insert a . if extension is exist */ +#if _USE_LFN + if (IsUpper(c) && (dir[DIR_NTres] & (i >= 9 ? NS_EXT : NS_BODY))) + c += 0x20; /* To lower */ +#if _LFN_UNICODE + if (IsDBCS1(c) && i != 8 && i != 11 && IsDBCS2(dir[i])) + c = c << 8 | dir[i++]; + c = ff_convert(c, 1); /* OEM -> Unicode */ + if (!c) c = '?'; +#endif +#endif + *p++ = c; + } + fno->fattrib = dir[DIR_Attr]; /* Attribute */ + fno->fsize = LD_DWORD(dir + DIR_FileSize); /* Size */ + fno->fdate = LD_WORD(dir + DIR_WrtDate); /* Date */ + fno->ftime = LD_WORD(dir + DIR_WrtTime); /* Time */ + } + *p = 0; /* Terminate SFN string by a \0 */ + +#if _USE_LFN + if (fno->lfname) { + i = 0; p = fno->lfname; + if (dp->sect && fno->lfsize && dp->lfn_idx != 0xFFFF) { /* Get LFN if available */ + lfn = dp->lfn; + while ((w = *lfn++) != 0) { /* Get an LFN character */ +#if !_LFN_UNICODE + w = ff_convert(w, 0); /* Unicode -> OEM */ + if (!w) { i = 0; break; } /* No LFN if it could not be converted */ + if (_DF1S && w >= 0x100) /* Put 1st byte if it is a DBC (always false on SBCS cfg) */ + p[i++] = (TCHAR)(w >> 8); +#endif + if (i >= fno->lfsize - 1) { i = 0; break; } /* No LFN if buffer overflow */ + p[i++] = (TCHAR)w; + } + } + p[i] = 0; /* Terminate LFN string by a \0 */ + } +#endif +} +#endif /* _FS_MINIMIZE <= 1 || _FS_RPATH >= 2 */ + + + + +/*-----------------------------------------------------------------------*/ +/* Pattern matching */ +/*-----------------------------------------------------------------------*/ +#if _USE_FIND && _FS_MINIMIZE <= 1 +static +WCHAR get_achar ( /* Get a character and advances ptr 1 or 2 */ + const TCHAR** ptr /* Pointer to pointer to the SBCS/DBCS/Unicode string */ +) +{ + WCHAR chr; + +#if !_LFN_UNICODE + chr = (BYTE)*(*ptr)++; /* Get a byte */ + if (IsLower(chr)) chr -= 0x20; /* To upper ASCII char */ + if (IsDBCS1(chr) && IsDBCS2(**ptr)) /* Get DBC 2nd byte if needed */ + chr = chr << 8 | (BYTE)*(*ptr)++; +#ifdef _EXCVT + if (chr >= 0x80) chr = ExCvt[chr - 0x80]; /* To upper SBCS extended char */ +#endif +#else + chr = ff_wtoupper(*(*ptr)++); /* Get a word and to upper */ +#endif + return chr; +} + + +static +int pattern_matching ( /* Return value: 0:mismatched, 1:matched */ + const TCHAR* pat, /* Matching pattern */ + const TCHAR* nam, /* String to be tested */ + int skip, /* Number of pre-skip chars (number of ?s) */ + int inf /* Infinite search (* specified) */ +) +{ + const TCHAR *pp, *np; + WCHAR pc, nc; + int nm, nx; + + + while (skip--) { /* Pre-skip name chars */ + if (!get_achar(&nam)) return 0; /* Branch mismatched if less name chars */ + } + if (!*pat && inf) return 1; /* (short circuit) */ + + do { + pp = pat; np = nam; /* Top of pattern and name to match */ + for (;;) { + if (*pp == '?' || *pp == '*') { /* Wildcard? */ + nm = nx = 0; + do { /* Analyze the wildcard chars */ + if (*pp++ == '?') nm++; else nx = 1; + } while (*pp == '?' || *pp == '*'); + if (pattern_matching(pp, np, nm, nx)) return 1; /* Test new branch (recurs upto number of wildcard blocks in the pattern) */ + nc = *np; break; /* Branch mismatched */ + } + pc = get_achar(&pp); /* Get a pattern char */ + nc = get_achar(&np); /* Get a name char */ + if (pc != nc) break; /* Branch mismatched? */ + if (!pc) return 1; /* Branch matched? (matched at end of both strings) */ + } + get_achar(&nam); /* nam++ */ + } while (inf && nc); /* Retry until end of name if infinite search is specified */ + + return 0; +} +#endif /* _USE_FIND && _FS_MINIMIZE <= 1 */ + + + + +/*-----------------------------------------------------------------------*/ +/* Pick a segment and create the object name in directory form */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT create_name ( + DIR* dp, /* Pointer to the directory object */ + const TCHAR** path /* Pointer to pointer to the segment in the path string */ +) +{ +#if _USE_LFN /* LFN configuration */ + BYTE b, cf; + WCHAR w, *lfn; + UINT i, ni, si, di; + const TCHAR *p; + + /* Create LFN in Unicode */ + for (p = *path; *p == '/' || *p == '\\'; p++) ; /* Strip duplicated separator */ + lfn = dp->lfn; + si = di = 0; + for (;;) { + w = p[si++]; /* Get a character */ + if (w < ' ' || w == '/' || w == '\\') break; /* Break on end of segment */ + if (di >= _MAX_LFN) /* Reject too long name */ + return FR_INVALID_NAME; +#if !_LFN_UNICODE + w &= 0xFF; + if (IsDBCS1(w)) { /* Check if it is a DBC 1st byte (always false on SBCS cfg) */ +#if _DF1S + b = (BYTE)p[si++]; /* Get 2nd byte */ + w = (w << 8) + b; /* Create a DBC */ + if (!IsDBCS2(b)) + return FR_INVALID_NAME; /* Reject invalid sequence */ +#endif + } + w = ff_convert(w, 1); /* Convert ANSI/OEM to Unicode */ + if (!w) return FR_INVALID_NAME; /* Reject invalid code */ +#endif + if (w < 0x80 && chk_chr("\"*:<>\?|\x7F", w)) /* Reject illegal characters for LFN */ + return FR_INVALID_NAME; + lfn[di++] = w; /* Store the Unicode character */ + } + *path = &p[si]; /* Return pointer to the next segment */ + cf = (w < ' ') ? NS_LAST : 0; /* Set last segment flag if end of path */ +#if _FS_RPATH + if ((di == 1 && lfn[di - 1] == '.') || /* Is this a dot entry? */ + (di == 2 && lfn[di - 1] == '.' && lfn[di - 2] == '.')) { + lfn[di] = 0; + for (i = 0; i < 11; i++) + dp->fn[i] = (i < di) ? '.' : ' '; + dp->fn[i] = cf | NS_DOT; /* This is a dot entry */ + return FR_OK; + } +#endif + while (di) { /* Strip trailing spaces and dots */ + w = lfn[di - 1]; + if (w != ' ' && w != '.') break; + di--; + } + if (!di) return FR_INVALID_NAME; /* Reject nul string */ + + lfn[di] = 0; /* LFN is created */ + + /* Create SFN in directory form */ + mem_set(dp->fn, ' ', 11); + for (si = 0; lfn[si] == ' ' || lfn[si] == '.'; si++) ; /* Strip leading spaces and dots */ + if (si) cf |= NS_LOSS | NS_LFN; + while (di && lfn[di - 1] != '.') di--; /* Find extension (di<=si: no extension) */ + + b = i = 0; ni = 8; + for (;;) { + w = lfn[si++]; /* Get an LFN character */ + if (!w) break; /* Break on end of the LFN */ + if (w == ' ' || (w == '.' && si != di)) { /* Remove spaces and dots */ + cf |= NS_LOSS | NS_LFN; continue; + } + + if (i >= ni || si == di) { /* Extension or end of SFN */ + if (ni == 11) { /* Long extension */ + cf |= NS_LOSS | NS_LFN; break; + } + if (si != di) cf |= NS_LOSS | NS_LFN; /* Out of 8.3 format */ + if (si > di) break; /* No extension */ + si = di; i = 8; ni = 11; /* Enter extension section */ + b <<= 2; continue; + } + + if (w >= 0x80) { /* Non ASCII character */ +#ifdef _EXCVT + w = ff_convert(w, 0); /* Unicode -> OEM code */ + if (w) w = ExCvt[w - 0x80]; /* Convert extended character to upper (SBCS) */ +#else + w = ff_convert(ff_wtoupper(w), 0); /* Upper converted Unicode -> OEM code */ +#endif + cf |= NS_LFN; /* Force create LFN entry */ + } + + if (_DF1S && w >= 0x100) { /* DBC (always false at SBCS cfg) */ + if (i >= ni - 1) { + cf |= NS_LOSS | NS_LFN; i = ni; continue; + } + dp->fn[i++] = (BYTE)(w >> 8); + } else { /* SBC */ + if (!w || chk_chr("+,;=[]", w)) { /* Replace illegal characters for SFN */ + w = '_'; cf |= NS_LOSS | NS_LFN;/* Lossy conversion */ + } else { + if (IsUpper(w)) { /* ASCII large capital */ + b |= 2; + } else { + if (IsLower(w)) { /* ASCII small capital */ + b |= 1; w -= 0x20; + } + } + } + } + dp->fn[i++] = (BYTE)w; + } + + if (dp->fn[0] == DDEM) dp->fn[0] = RDDEM; /* If the first character collides with deleted mark, replace it with RDDEM */ + + if (ni == 8) b <<= 2; + if ((b & 0x0C) == 0x0C || (b & 0x03) == 0x03) /* Create LFN entry when there are composite capitals */ + cf |= NS_LFN; + if (!(cf & NS_LFN)) { /* When LFN is in 8.3 format without extended character, NT flags are created */ + if ((b & 0x03) == 0x01) cf |= NS_EXT; /* NT flag (Extension has only small capital) */ + if ((b & 0x0C) == 0x04) cf |= NS_BODY; /* NT flag (Filename has only small capital) */ + } + + dp->fn[NSFLAG] = cf; /* SFN is created */ + + return FR_OK; + + +#else /* Non-LFN configuration */ + BYTE b, c, d, *sfn; + UINT ni, si, i; + const char *p; + + /* Create file name in directory form */ + for (p = *path; *p == '/' || *p == '\\'; p++) ; /* Strip duplicated separator */ + sfn = dp->fn; + mem_set(sfn, ' ', 11); + si = i = b = 0; ni = 8; +#if _FS_RPATH + if (p[si] == '.') { /* Is this a dot entry? */ + for (;;) { + c = (BYTE)p[si++]; + if (c != '.' || si >= 3) break; + sfn[i++] = c; + } + if (c != '/' && c != '\\' && c > ' ') return FR_INVALID_NAME; + *path = &p[si]; /* Return pointer to the next segment */ + sfn[NSFLAG] = (c <= ' ') ? NS_LAST | NS_DOT : NS_DOT; /* Set last segment flag if end of path */ + return FR_OK; + } +#endif + for (;;) { + c = (BYTE)p[si++]; + if (c <= ' ' || c == '/' || c == '\\') break; /* Break on end of segment */ + if (c == '.' || i >= ni) { + if (ni != 8 || c != '.') return FR_INVALID_NAME; + i = 8; ni = 11; + b <<= 2; continue; + } + if (c >= 0x80) { /* Extended character? */ + b |= 3; /* Eliminate NT flag */ +#ifdef _EXCVT + c = ExCvt[c - 0x80]; /* To upper extended characters (SBCS cfg) */ +#else +#if !_DF1S + return FR_INVALID_NAME; /* Reject extended characters (ASCII cfg) */ +#endif +#endif + } + if (IsDBCS1(c)) { /* Check if it is a DBC 1st byte (always false on SBCS cfg) */ + d = (BYTE)p[si++]; /* Get 2nd byte */ + if (!IsDBCS2(d) || i >= ni - 1) /* Reject invalid DBC */ + return FR_INVALID_NAME; + sfn[i++] = c; + sfn[i++] = d; + } else { /* SBC */ + if (chk_chr("\"*+,:;<=>\?[]|\x7F", c)) /* Reject illegal chrs for SFN */ + return FR_INVALID_NAME; + if (IsUpper(c)) { /* ASCII large capital? */ + b |= 2; + } else { + if (IsLower(c)) { /* ASCII small capital? */ + b |= 1; c -= 0x20; + } + } + sfn[i++] = c; + } + } + *path = &p[si]; /* Return pointer to the next segment */ + c = (c <= ' ') ? NS_LAST : 0; /* Set last segment flag if end of path */ + + if (!i) return FR_INVALID_NAME; /* Reject nul string */ + if (sfn[0] == DDEM) sfn[0] = RDDEM; /* When first character collides with DDEM, replace it with RDDEM */ + + if (ni == 8) b <<= 2; + if ((b & 0x03) == 0x01) c |= NS_EXT; /* NT flag (Name extension has only small capital) */ + if ((b & 0x0C) == 0x04) c |= NS_BODY; /* NT flag (Name body has only small capital) */ + + sfn[NSFLAG] = c; /* Store NT flag, File name is created */ + + return FR_OK; +#endif +} + + + + +/*-----------------------------------------------------------------------*/ +/* Follow a file path */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT follow_path ( /* FR_OK(0): successful, !=0: error code */ + DIR* dp, /* Directory object to return last directory and found object */ + const TCHAR* path /* Full-path string to find a file or directory */ +) +{ + FRESULT res; + BYTE *dir, ns; + + +#if _FS_RPATH + if (*path == '/' || *path == '\\') { /* There is a heading separator */ + path++; dp->sclust = 0; /* Strip it and start from the root directory */ + } else { /* No heading separator */ + dp->sclust = dp->fs->cdir; /* Start from the current directory */ + } +#else + if (*path == '/' || *path == '\\') /* Strip heading separator if exist */ + path++; + dp->sclust = 0; /* Always start from the root directory */ +#endif + + if ((UINT)*path < ' ') { /* Null path name is the origin directory itself */ + res = dir_sdi(dp, 0); + dp->dir = 0; + } else { /* Follow path */ + for (;;) { + res = create_name(dp, &path); /* Get a segment name of the path */ + if (res != FR_OK) break; + res = dir_find(dp); /* Find an object with the sagment name */ + ns = dp->fn[NSFLAG]; + if (res != FR_OK) { /* Failed to find the object */ + if (res == FR_NO_FILE) { /* Object is not found */ + if (_FS_RPATH && (ns & NS_DOT)) { /* If dot entry is not exist, */ + dp->sclust = 0; dp->dir = 0; /* it is the root directory and stay there */ + if (!(ns & NS_LAST)) continue; /* Continue to follow if not last segment */ + res = FR_OK; /* Ended at the root directroy. Function completed. */ + } else { /* Could not find the object */ + if (!(ns & NS_LAST)) res = FR_NO_PATH; /* Adjust error code if not last segment */ + } + } + break; + } + if (ns & NS_LAST) break; /* Last segment matched. Function completed. */ + dir = dp->dir; /* Follow the sub-directory */ + if (!(dir[DIR_Attr] & AM_DIR)) { /* It is not a sub-directory and cannot follow */ + res = FR_NO_PATH; break; + } + dp->sclust = ld_clust(dp->fs, dir); + } + } + + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Get logical drive number from path name */ +/*-----------------------------------------------------------------------*/ + +static +int get_ldnumber ( /* Returns logical drive number (-1:invalid drive) */ + const TCHAR** path /* Pointer to pointer to the path name */ +) +{ + const TCHAR *tp, *tt; + UINT i; + int vol = -1; +#if _STR_VOLUME_ID /* Find string drive id */ + static const char* const str[] = {_VOLUME_STRS}; + const char *sp; + char c; + TCHAR tc; +#endif + + + if (*path) { /* If the pointer is not a null */ + for (tt = *path; (UINT)*tt >= (_USE_LFN ? ' ' : '!') && *tt != ':'; tt++) ; /* Find ':' in the path */ + if (*tt == ':') { /* If a ':' is exist in the path name */ + tp = *path; + i = *tp++ - '0'; + if (i < 10 && tp == tt) { /* Is there a numeric drive id? */ + if (i < _VOLUMES) { /* If a drive id is found, get the value and strip it */ + vol = (int)i; + *path = ++tt; + } + } +#if _STR_VOLUME_ID + else { /* No numeric drive number, find string drive id */ + i = 0; tt++; + do { + sp = str[i]; tp = *path; + do { /* Compare a string drive id with path name */ + c = *sp++; tc = *tp++; + if (IsLower(tc)) tc -= 0x20; + } while (c && (TCHAR)c == tc); + } while ((c || tp != tt) && ++i < _VOLUMES); /* Repeat for each id until pattern match */ + if (i < _VOLUMES) { /* If a drive id is found, get the value and strip it */ + vol = (int)i; + *path = tt; + } + } +#endif + return vol; + } +#if _FS_RPATH && _VOLUMES >= 2 + vol = CurrVol; /* Current drive */ +#else + vol = 0; /* Drive 0 */ +#endif + } + return vol; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Load a sector and check if it is an FAT boot sector */ +/*-----------------------------------------------------------------------*/ + +static +BYTE check_fs ( /* 0:FAT boor sector, 1:Valid boor sector but not FAT, 2:Not a boot sector, 3:Disk error */ + FATFS* fs, /* File system object */ + DWORD sect /* Sector# (lba) to check if it is an FAT boot record or not */ +) +{ + fs->wflag = 0; fs->winsect = 0xFFFFFFFF; /* Invaidate window */ + if (move_window(fs, sect) != FR_OK) /* Load boot record */ + return 3; + + if (LD_WORD(&fs->win.d8[BS_55AA]) != 0xAA55) /* Check boot record signature (always placed at offset 510 even if the sector size is >512) */ + return 2; + + if ((LD_DWORD(&fs->win.d8[BS_FilSysType]) & 0xFFFFFF) == 0x544146) /* Check "FAT" string */ + return 0; + if ((LD_DWORD(&fs->win.d8[BS_FilSysType32]) & 0xFFFFFF) == 0x544146) /* Check "FAT" string */ + return 0; + + return 1; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Find logical drive and check if the volume is mounted */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT find_volume ( /* FR_OK(0): successful, !=0: any error occurred */ + FATFS** rfs, /* Pointer to pointer to the found file system object */ + const TCHAR** path, /* Pointer to pointer to the path name (drive number) */ + BYTE wmode /* !=0: Check write protection for write access */ +) +{ + BYTE fmt, *pt; + int vol; + DSTATUS stat; + DWORD bsect, fasize, tsect, sysect, nclst, szbfat, br[4]; + WORD nrsv; + FATFS *fs; + UINT i; + + + /* Get logical drive number from the path name */ + *rfs = 0; + vol = get_ldnumber(path); + if (vol < 0) return FR_INVALID_DRIVE; + + /* Check if the file system object is valid or not */ + fs = FatFs[vol]; /* Get pointer to the file system object */ + if (!fs) return FR_NOT_ENABLED; /* Is the file system object available? */ + + ENTER_FF(fs); /* Lock the volume */ + *rfs = fs; /* Return pointer to the file system object */ + + if (fs->fs_type) { /* If the volume has been mounted */ + stat = disk_status(fs->drv); + if (!(stat & STA_NOINIT)) { /* and the physical drive is kept initialized */ + if (!_FS_READONLY && wmode && (stat & STA_PROTECT)) /* Check write protection if needed */ + return FR_WRITE_PROTECTED; + return FR_OK; /* The file system object is valid */ + } + } + + /* The file system object is not valid. */ + /* Following code attempts to mount the volume. (analyze BPB and initialize the fs object) */ + + fs->fs_type = 0; /* Clear the file system object */ + fs->drv = LD2PD(vol); /* Bind the logical drive and a physical drive */ + stat = disk_initialize(fs->drv); /* Initialize the physical drive */ + if (stat & STA_NOINIT) /* Check if the initialization succeeded */ + return FR_NOT_READY; /* Failed to initialize due to no medium or hard error */ + if (!_FS_READONLY && wmode && (stat & STA_PROTECT)) /* Check disk write protection if needed */ + return FR_WRITE_PROTECTED; +#if _MAX_SS != _MIN_SS /* Get sector size (multiple sector size cfg only) */ + if (disk_ioctl(fs->drv, GET_SECTOR_SIZE, &SS(fs)) != RES_OK + || SS(fs) < _MIN_SS || SS(fs) > _MAX_SS) return FR_DISK_ERR; +#endif + /* Find an FAT partition on the drive. Supports only generic partitioning, FDISK and SFD. */ + bsect = 0; + fmt = check_fs(fs, bsect); /* Load sector 0 and check if it is an FAT boot sector as SFD */ + if (fmt == 1 || (!fmt && (LD2PT(vol)))) { /* Not an FAT boot sector or forced partition number */ + for (i = 0; i < 4; i++) { /* Get partition offset */ + pt = fs->win.d8 + MBR_Table + i * SZ_PTE; + br[i] = pt[4] ? LD_DWORD(&pt[8]) : 0; + } + i = LD2PT(vol); /* Partition number: 0:auto, 1-4:forced */ + if (i) i--; + do { /* Find an FAT volume */ + bsect = br[i]; + fmt = bsect ? check_fs(fs, bsect) : 2; /* Check the partition */ + } while (!LD2PT(vol) && fmt && ++i < 4); + } + if (fmt == 3) return FR_DISK_ERR; /* An error occured in the disk I/O layer */ + if (fmt) return FR_NO_FILESYSTEM; /* No FAT volume is found */ + + /* An FAT volume is found. Following code initializes the file system object */ + + if (LD_WORD(fs->win.d8 + BPB_BytsPerSec) != SS(fs)) /* (BPB_BytsPerSec must be equal to the physical sector size) */ + return FR_NO_FILESYSTEM; + + fasize = LD_WORD(fs->win.d8 + BPB_FATSz16); /* Number of sectors per FAT */ + if (!fasize) fasize = LD_DWORD(fs->win.d8 + BPB_FATSz32); + fs->fsize = fasize; + + fs->n_fats = fs->win.d8[BPB_NumFATs]; /* Number of FAT copies */ + if (fs->n_fats != 1 && fs->n_fats != 2) /* (Must be 1 or 2) */ + return FR_NO_FILESYSTEM; + fasize *= fs->n_fats; /* Number of sectors for FAT area */ + + fs->csize = fs->win.d8[BPB_SecPerClus]; /* Number of sectors per cluster */ + if (!fs->csize || (fs->csize & (fs->csize - 1))) /* (Must be power of 2) */ + return FR_NO_FILESYSTEM; + + fs->n_rootdir = LD_WORD(fs->win.d8 + BPB_RootEntCnt); /* Number of root directory entries */ + if (fs->n_rootdir % (SS(fs) / SZ_DIRE)) /* (Must be sector aligned) */ + return FR_NO_FILESYSTEM; + + tsect = LD_WORD(fs->win.d8 + BPB_TotSec16); /* Number of sectors on the volume */ + if (!tsect) tsect = LD_DWORD(fs->win.d8 + BPB_TotSec32); + + nrsv = LD_WORD(fs->win.d8 + BPB_RsvdSecCnt); /* Number of reserved sectors */ + if (!nrsv) return FR_NO_FILESYSTEM; /* (Must not be 0) */ + + /* Determine the FAT sub type */ + sysect = nrsv + fasize + fs->n_rootdir / (SS(fs) / SZ_DIRE); /* RSV + FAT + DIR */ + if (tsect < sysect) return FR_NO_FILESYSTEM; /* (Invalid volume size) */ + nclst = (tsect - sysect) / fs->csize; /* Number of clusters */ + if (!nclst) return FR_NO_FILESYSTEM; /* (Invalid volume size) */ + fmt = FS_FAT12; + if (nclst >= MIN_FAT16) fmt = FS_FAT16; + if (nclst >= MIN_FAT32) fmt = FS_FAT32; + + /* Boundaries and Limits */ + fs->n_fatent = nclst + 2; /* Number of FAT entries */ + fs->volbase = bsect; /* Volume start sector */ + fs->fatbase = bsect + nrsv; /* FAT start sector */ + fs->database = bsect + sysect; /* Data start sector */ + if (fmt == FS_FAT32) { + if (fs->n_rootdir) return FR_NO_FILESYSTEM; /* (BPB_RootEntCnt must be 0) */ + fs->dirbase = LD_DWORD(fs->win.d8 + BPB_RootClus); /* Root directory start cluster */ + szbfat = fs->n_fatent * 4; /* (Needed FAT size) */ + } else { + if (!fs->n_rootdir) return FR_NO_FILESYSTEM; /* (BPB_RootEntCnt must not be 0) */ + fs->dirbase = fs->fatbase + fasize; /* Root directory start sector */ + szbfat = (fmt == FS_FAT16) ? /* (Needed FAT size) */ + fs->n_fatent * 2 : fs->n_fatent * 3 / 2 + (fs->n_fatent & 1); + } + if (fs->fsize < (szbfat + (SS(fs) - 1)) / SS(fs)) /* (BPB_FATSz must not be less than the size needed) */ + return FR_NO_FILESYSTEM; + +#if !_FS_READONLY + /* Initialize cluster allocation information */ + fs->last_clust = fs->free_clust = 0xFFFFFFFF; + + /* Get fsinfo if available */ + fs->fsi_flag = 0x80; +#if (_FS_NOFSINFO & 3) != 3 + if (fmt == FS_FAT32 /* Enable FSINFO only if FAT32 and BPB_FSInfo is 1 */ + && LD_WORD(fs->win.d8 + BPB_FSInfo) == 1 + && move_window(fs, bsect + 1) == FR_OK) + { + fs->fsi_flag = 0; + if (LD_WORD(fs->win.d8 + BS_55AA) == 0xAA55 /* Load FSINFO data if available */ + && LD_DWORD(fs->win.d8 + FSI_LeadSig) == 0x41615252 + && LD_DWORD(fs->win.d8 + FSI_StrucSig) == 0x61417272) + { +#if (_FS_NOFSINFO & 1) == 0 + fs->free_clust = LD_DWORD(fs->win.d8 + FSI_Free_Count); +#endif +#if (_FS_NOFSINFO & 2) == 0 + fs->last_clust = LD_DWORD(fs->win.d8 + FSI_Nxt_Free); +#endif + } + } +#endif +#endif + fs->fs_type = fmt; /* FAT sub-type */ + fs->id = ++Fsid; /* File system mount ID */ +#if _FS_RPATH + fs->cdir = 0; /* Set current directory to root */ +#endif +#if _FS_LOCK /* Clear file lock semaphores */ + clear_lock(fs); +#endif + + return FR_OK; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Check if the file/directory object is valid or not */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT validate ( /* FR_OK(0): The object is valid, !=0: Invalid */ + void* obj /* Pointer to the object FIL/DIR to check validity */ +) +{ + FIL *fil = (FIL*)obj; /* Assuming offset of .fs and .id in the FIL/DIR structure is identical */ + + + if (!fil || !fil->fs || !fil->fs->fs_type || fil->fs->id != fil->id || (disk_status(fil->fs->drv) & STA_NOINIT)) + return FR_INVALID_OBJECT; + + ENTER_FF(fil->fs); /* Lock file system */ + + return FR_OK; +} + + + + +/*-------------------------------------------------------------------------- + + Public Functions + +--------------------------------------------------------------------------*/ + + + +/*-----------------------------------------------------------------------*/ +/* Mount/Unmount a Logical Drive */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_mount ( + FATFS* fs, /* Pointer to the file system object (NULL:unmount)*/ + const TCHAR* path, /* Logical drive number to be mounted/unmounted */ + BYTE opt /* 0:Do not mount (delayed mount), 1:Mount immediately */ +) +{ + FATFS *cfs; + int vol; + FRESULT res; + const TCHAR *rp = path; + + + vol = get_ldnumber(&rp); + if (vol < 0) return FR_INVALID_DRIVE; + cfs = FatFs[vol]; /* Pointer to fs object */ + + if (cfs) { +#if _FS_LOCK + clear_lock(cfs); +#endif +#if _FS_REENTRANT /* Discard sync object of the current volume */ + if (!ff_del_syncobj(cfs->sobj)) return FR_INT_ERR; +#endif + cfs->fs_type = 0; /* Clear old fs object */ + } + + if (fs) { + fs->fs_type = 0; /* Clear new fs object */ +#if _FS_REENTRANT /* Create sync object for the new volume */ + if (!ff_cre_syncobj((BYTE)vol, &fs->sobj)) return FR_INT_ERR; +#endif + } + FatFs[vol] = fs; /* Register new fs object */ + + if (!fs || opt != 1) return FR_OK; /* Do not mount now, it will be mounted later */ + + res = find_volume(&fs, &path, 0); /* Force mounted the volume */ + LEAVE_FF(fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Open or Create a File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_open ( + FIL* fp, /* Pointer to the blank file object */ + const TCHAR* path, /* Pointer to the file name */ + BYTE mode /* Access mode and file open mode flags */ +) +{ + FRESULT res; + DIR dj; + BYTE *dir; + DEFINE_NAMEBUF; +#if !_FS_READONLY + DWORD dw, cl; +#endif + + + if (!fp) return FR_INVALID_OBJECT; + fp->fs = 0; /* Clear file object */ + + /* Get logical drive number */ +#if !_FS_READONLY + mode &= FA_READ | FA_WRITE | FA_CREATE_ALWAYS | FA_OPEN_ALWAYS | FA_CREATE_NEW; + res = find_volume(&dj.fs, &path, (BYTE)(mode & ~FA_READ)); +#else + mode &= FA_READ; + res = find_volume(&dj.fs, &path, 0); +#endif + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + dir = dj.dir; +#if !_FS_READONLY /* R/W configuration */ + if (res == FR_OK) { + if (!dir) /* Default directory itself */ + res = FR_INVALID_NAME; +#if _FS_LOCK + else + res = chk_lock(&dj, (mode & ~FA_READ) ? 1 : 0); +#endif + } + /* Create or Open a file */ + if (mode & (FA_CREATE_ALWAYS | FA_OPEN_ALWAYS | FA_CREATE_NEW)) { + if (res != FR_OK) { /* No file, create new */ + if (res == FR_NO_FILE) /* There is no file to open, create a new entry */ +#if _FS_LOCK + res = enq_lock() ? dir_register(&dj) : FR_TOO_MANY_OPEN_FILES; +#else + res = dir_register(&dj); +#endif + mode |= FA_CREATE_ALWAYS; /* File is created */ + dir = dj.dir; /* New entry */ + } + else { /* Any object is already existing */ + if (dir[DIR_Attr] & (AM_RDO | AM_DIR)) { /* Cannot overwrite it (R/O or DIR) */ + res = FR_DENIED; + } else { + if (mode & FA_CREATE_NEW) /* Cannot create as new file */ + res = FR_EXIST; + } + } + if (res == FR_OK && (mode & FA_CREATE_ALWAYS)) { /* Truncate it if overwrite mode */ + dw = GET_FATTIME(); /* Created time */ + ST_DWORD(dir + DIR_CrtTime, dw); + dir[DIR_Attr] = 0; /* Reset attribute */ + ST_DWORD(dir + DIR_FileSize, 0);/* size = 0 */ + cl = ld_clust(dj.fs, dir); /* Get start cluster */ + st_clust(dir, 0); /* cluster = 0 */ + dj.fs->wflag = 1; + if (cl) { /* Remove the cluster chain if exist */ + dw = dj.fs->winsect; + res = remove_chain(dj.fs, cl); + if (res == FR_OK) { + dj.fs->last_clust = cl - 1; /* Reuse the cluster hole */ + res = move_window(dj.fs, dw); + } + } + } + } + else { /* Open an existing file */ + if (res == FR_OK) { /* Follow succeeded */ + if (dir[DIR_Attr] & AM_DIR) { /* It is a directory */ + res = FR_NO_FILE; + } else { + if ((mode & FA_WRITE) && (dir[DIR_Attr] & AM_RDO)) /* R/O violation */ + res = FR_DENIED; + } + } + } + if (res == FR_OK) { + if (mode & FA_CREATE_ALWAYS) /* Set file change flag if created or overwritten */ + mode |= FA__WRITTEN; + fp->dir_sect = dj.fs->winsect; /* Pointer to the directory entry */ + fp->dir_ptr = dir; +#if _FS_LOCK + fp->lockid = inc_lock(&dj, (mode & ~FA_READ) ? 1 : 0); + if (!fp->lockid) res = FR_INT_ERR; +#endif + } + +#else /* R/O configuration */ + if (res == FR_OK) { /* Follow succeeded */ + dir = dj.dir; + if (!dir) { /* Current directory itself */ + res = FR_INVALID_NAME; + } else { + if (dir[DIR_Attr] & AM_DIR) /* It is a directory */ + res = FR_NO_FILE; + } + } +#endif + FREE_BUF(); + + if (res == FR_OK) { + fp->flag = mode; /* File access mode */ + fp->err = 0; /* Clear error flag */ + fp->sclust = ld_clust(dj.fs, dir); /* File start cluster */ + fp->fsize = LD_DWORD(dir + DIR_FileSize); /* File size */ + fp->fptr = 0; /* File pointer */ + fp->dsect = 0; +#if _USE_FASTSEEK + fp->cltbl = 0; /* Normal seek mode */ +#endif + fp->fs = dj.fs; /* Validate file object */ + fp->id = fp->fs->id; + } + } + + LEAVE_FF(dj.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Read File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_read ( + FIL* fp, /* Pointer to the file object */ + void* buff, /* Pointer to data buffer */ + UINT btr, /* Number of bytes to read */ + UINT* br /* Pointer to number of bytes read */ +) +{ + FRESULT res; + DWORD clst, sect, remain; + UINT rcnt, cc; + BYTE csect, *rbuff = (BYTE*)buff; + + + *br = 0; /* Clear read byte counter */ + + res = validate(fp); /* Check validity */ + if (res != FR_OK) LEAVE_FF(fp->fs, res); + if (fp->err) /* Check error */ + LEAVE_FF(fp->fs, (FRESULT)fp->err); + if (!(fp->flag & FA_READ)) /* Check access mode */ + LEAVE_FF(fp->fs, FR_DENIED); + remain = fp->fsize - fp->fptr; + if (btr > remain) btr = (UINT)remain; /* Truncate btr by remaining bytes */ + + for ( ; btr; /* Repeat until all data read */ + rbuff += rcnt, fp->fptr += rcnt, *br += rcnt, btr -= rcnt) { + if ((fp->fptr % SS(fp->fs)) == 0) { /* On the sector boundary? */ + csect = (BYTE)(fp->fptr / SS(fp->fs) & (fp->fs->csize - 1)); /* Sector offset in the cluster */ + if (!csect) { /* On the cluster boundary? */ + if (fp->fptr == 0) { /* On the top of the file? */ + clst = fp->sclust; /* Follow from the origin */ + } else { /* Middle or end of the file */ +#if _USE_FASTSEEK + if (fp->cltbl) + clst = clmt_clust(fp, fp->fptr); /* Get cluster# from the CLMT */ + else +#endif + clst = get_fat(fp->fs, fp->clust); /* Follow cluster chain on the FAT */ + } + if (clst < 2) ABORT(fp->fs, FR_INT_ERR); + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + fp->clust = clst; /* Update current cluster */ + } + sect = clust2sect(fp->fs, fp->clust); /* Get current sector */ + if (!sect) ABORT(fp->fs, FR_INT_ERR); + sect += csect; + cc = btr / SS(fp->fs); /* When remaining bytes >= sector size, */ + if (cc) { /* Read maximum contiguous sectors directly */ + if (csect + cc > fp->fs->csize) /* Clip at cluster boundary */ + cc = fp->fs->csize - csect; + if (disk_read(fp->fs->drv, rbuff, sect, cc) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); +#if !_FS_READONLY && _FS_MINIMIZE <= 2 /* Replace one of the read sectors with cached data if it contains a dirty sector */ +#if _FS_TINY + if (fp->fs->wflag && fp->fs->winsect - sect < cc) + mem_cpy(rbuff + ((fp->fs->winsect - sect) * SS(fp->fs)), fp->fs->win.d8, SS(fp->fs)); +#else + if ((fp->flag & FA__DIRTY) && fp->dsect - sect < cc) + mem_cpy(rbuff + ((fp->dsect - sect) * SS(fp->fs)), fp->buf.d8, SS(fp->fs)); +#endif +#endif + rcnt = SS(fp->fs) * cc; /* Number of bytes transferred */ + continue; + } +#if !_FS_TINY + if (fp->dsect != sect) { /* Load data sector if not in cache */ +#if !_FS_READONLY + if (fp->flag & FA__DIRTY) { /* Write-back dirty sector cache */ + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + if (disk_read(fp->fs->drv, fp->buf.d8, sect, 1) != RES_OK) /* Fill sector cache */ + ABORT(fp->fs, FR_DISK_ERR); + } +#endif + fp->dsect = sect; + } + rcnt = SS(fp->fs) - ((UINT)fp->fptr % SS(fp->fs)); /* Get partial sector data from sector buffer */ + if (rcnt > btr) rcnt = btr; +#if _FS_TINY + if (move_window(fp->fs, fp->dsect) != FR_OK) /* Move sector window */ + ABORT(fp->fs, FR_DISK_ERR); + mem_cpy(rbuff, &fp->fs->win.d8[fp->fptr % SS(fp->fs)], rcnt); /* Pick partial sector */ +#else + mem_cpy(rbuff, &fp->buf.d8[fp->fptr % SS(fp->fs)], rcnt); /* Pick partial sector */ +#endif + } + + LEAVE_FF(fp->fs, FR_OK); +} + + + + +#if !_FS_READONLY +/*-----------------------------------------------------------------------*/ +/* Write File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_write ( + FIL* fp, /* Pointer to the file object */ + const void *buff, /* Pointer to the data to be written */ + UINT btw, /* Number of bytes to write */ + UINT* bw /* Pointer to number of bytes written */ +) +{ + FRESULT res; + DWORD clst, sect; + UINT wcnt, cc; + const BYTE *wbuff = (const BYTE*)buff; + BYTE csect; + + + *bw = 0; /* Clear write byte counter */ + + res = validate(fp); /* Check validity */ + if (res != FR_OK) LEAVE_FF(fp->fs, res); + if (fp->err) /* Check error */ + LEAVE_FF(fp->fs, (FRESULT)fp->err); + if (!(fp->flag & FA_WRITE)) /* Check access mode */ + LEAVE_FF(fp->fs, FR_DENIED); + if (fp->fptr + btw < fp->fptr) btw = 0; /* File size cannot reach 4GB */ + + for ( ; btw; /* Repeat until all data written */ + wbuff += wcnt, fp->fptr += wcnt, *bw += wcnt, btw -= wcnt) { + if ((fp->fptr % SS(fp->fs)) == 0) { /* On the sector boundary? */ + csect = (BYTE)(fp->fptr / SS(fp->fs) & (fp->fs->csize - 1)); /* Sector offset in the cluster */ + if (!csect) { /* On the cluster boundary? */ + if (fp->fptr == 0) { /* On the top of the file? */ + clst = fp->sclust; /* Follow from the origin */ + if (clst == 0) /* When no cluster is allocated, */ + clst = create_chain(fp->fs, 0); /* Create a new cluster chain */ + } else { /* Middle or end of the file */ +#if _USE_FASTSEEK + if (fp->cltbl) + clst = clmt_clust(fp, fp->fptr); /* Get cluster# from the CLMT */ + else +#endif + clst = create_chain(fp->fs, fp->clust); /* Follow or stretch cluster chain on the FAT */ + } + if (clst == 0) break; /* Could not allocate a new cluster (disk full) */ + if (clst == 1) ABORT(fp->fs, FR_INT_ERR); + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + fp->clust = clst; /* Update current cluster */ + if (fp->sclust == 0) fp->sclust = clst; /* Set start cluster if the first write */ + } +#if _FS_TINY + if (fp->fs->winsect == fp->dsect && sync_window(fp->fs)) /* Write-back sector cache */ + ABORT(fp->fs, FR_DISK_ERR); +#else + if (fp->flag & FA__DIRTY) { /* Write-back sector cache */ + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + sect = clust2sect(fp->fs, fp->clust); /* Get current sector */ + if (!sect) ABORT(fp->fs, FR_INT_ERR); + sect += csect; + cc = btw / SS(fp->fs); /* When remaining bytes >= sector size, */ + if (cc) { /* Write maximum contiguous sectors directly */ + if (csect + cc > fp->fs->csize) /* Clip at cluster boundary */ + cc = fp->fs->csize - csect; + if (disk_write(fp->fs->drv, wbuff, sect, cc) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); +#if _FS_MINIMIZE <= 2 +#if _FS_TINY + if (fp->fs->winsect - sect < cc) { /* Refill sector cache if it gets invalidated by the direct write */ + mem_cpy(fp->fs->win.d8, wbuff + ((fp->fs->winsect - sect) * SS(fp->fs)), SS(fp->fs)); + fp->fs->wflag = 0; + } +#else + if (fp->dsect - sect < cc) { /* Refill sector cache if it gets invalidated by the direct write */ + mem_cpy(fp->buf.d8, wbuff + ((fp->dsect - sect) * SS(fp->fs)), SS(fp->fs)); + fp->flag &= ~FA__DIRTY; + } +#endif +#endif + wcnt = SS(fp->fs) * cc; /* Number of bytes transferred */ + continue; + } +#if _FS_TINY + if (fp->fptr >= fp->fsize) { /* Avoid silly cache filling at growing edge */ + if (sync_window(fp->fs)) ABORT(fp->fs, FR_DISK_ERR); + fp->fs->winsect = sect; + } +#else + if (fp->dsect != sect) { /* Fill sector cache with file data */ + if (fp->fptr < fp->fsize && + disk_read(fp->fs->drv, fp->buf.d8, sect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + } +#endif + fp->dsect = sect; + } + wcnt = SS(fp->fs) - ((UINT)fp->fptr % SS(fp->fs));/* Put partial sector into file I/O buffer */ + if (wcnt > btw) wcnt = btw; +#if _FS_TINY + if (move_window(fp->fs, fp->dsect) != FR_OK) /* Move sector window */ + ABORT(fp->fs, FR_DISK_ERR); + mem_cpy(&fp->fs->win.d8[fp->fptr % SS(fp->fs)], wbuff, wcnt); /* Fit partial sector */ + fp->fs->wflag = 1; +#else + mem_cpy(&fp->buf.d8[fp->fptr % SS(fp->fs)], wbuff, wcnt); /* Fit partial sector */ + fp->flag |= FA__DIRTY; +#endif + } + + if (fp->fptr > fp->fsize) fp->fsize = fp->fptr; /* Update file size if needed */ + fp->flag |= FA__WRITTEN; /* Set file change flag */ + + LEAVE_FF(fp->fs, FR_OK); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Synchronize the File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_sync ( + FIL* fp /* Pointer to the file object */ +) +{ + FRESULT res; + DWORD tm; + BYTE *dir; + + + res = validate(fp); /* Check validity of the object */ + if (res == FR_OK) { + if (fp->flag & FA__WRITTEN) { /* Has the file been written? */ + /* Write-back dirty buffer */ +#if !_FS_TINY + if (fp->flag & FA__DIRTY) { + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + LEAVE_FF(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + /* Update the directory entry */ + res = move_window(fp->fs, fp->dir_sect); + if (res == FR_OK) { + dir = fp->dir_ptr; + dir[DIR_Attr] |= AM_ARC; /* Set archive bit */ + ST_DWORD(dir + DIR_FileSize, fp->fsize); /* Update file size */ + st_clust(dir, fp->sclust); /* Update start cluster */ + tm = GET_FATTIME(); /* Update updated time */ + ST_DWORD(dir + DIR_WrtTime, tm); + ST_WORD(dir + DIR_LstAccDate, 0); + fp->flag &= ~FA__WRITTEN; + fp->fs->wflag = 1; + res = sync_fs(fp->fs); + } + } + } + + LEAVE_FF(fp->fs, res); +} + +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* Close File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_close ( + FIL *fp /* Pointer to the file object to be closed */ +) +{ + FRESULT res; + + +#if !_FS_READONLY + res = f_sync(fp); /* Flush cached data */ + if (res == FR_OK) +#endif + { + res = validate(fp); /* Lock volume */ + if (res == FR_OK) { +#if _FS_REENTRANT + FATFS *fs = fp->fs; +#endif +#if _FS_LOCK + res = dec_lock(fp->lockid); /* Decrement file open counter */ + if (res == FR_OK) +#endif + fp->fs = 0; /* Invalidate file object */ +#if _FS_REENTRANT + unlock_fs(fs, FR_OK); /* Unlock volume */ +#endif + } + } + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Change Current Directory or Current Drive, Get Current Directory */ +/*-----------------------------------------------------------------------*/ + +#if _FS_RPATH >= 1 +#if _VOLUMES >= 2 +FRESULT f_chdrive ( + const TCHAR* path /* Drive number */ +) +{ + int vol; + + + vol = get_ldnumber(&path); + if (vol < 0) return FR_INVALID_DRIVE; + + CurrVol = (BYTE)vol; + + return FR_OK; +} +#endif + + +FRESULT f_chdir ( + const TCHAR* path /* Pointer to the directory path */ +) +{ + FRESULT res; + DIR dj; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 0); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the path */ + FREE_BUF(); + if (res == FR_OK) { /* Follow completed */ + if (!dj.dir) { + dj.fs->cdir = dj.sclust; /* Start directory itself */ + } else { + if (dj.dir[DIR_Attr] & AM_DIR) /* Reached to the directory */ + dj.fs->cdir = ld_clust(dj.fs, dj.dir); + else + res = FR_NO_PATH; /* Reached but a file */ + } + } + if (res == FR_NO_FILE) res = FR_NO_PATH; + } + + LEAVE_FF(dj.fs, res); +} + + +#if _FS_RPATH >= 2 +FRESULT f_getcwd ( + TCHAR* buff, /* Pointer to the directory path */ + UINT len /* Size of path */ +) +{ + FRESULT res; + DIR dj; + UINT i, n; + DWORD ccl; + TCHAR *tp; + FILINFO fno; + DEFINE_NAMEBUF; + + + *buff = 0; + /* Get logical drive number */ + res = find_volume(&dj.fs, (const TCHAR**)&buff, 0); /* Get current volume */ + if (res == FR_OK) { + INIT_BUF(dj); + i = len; /* Bottom of buffer (directory stack base) */ + dj.sclust = dj.fs->cdir; /* Start to follow upper directory from current directory */ + while ((ccl = dj.sclust) != 0) { /* Repeat while current directory is a sub-directory */ + res = dir_sdi(&dj, 1); /* Get parent directory */ + if (res != FR_OK) break; + res = dir_read(&dj, 0); + if (res != FR_OK) break; + dj.sclust = ld_clust(dj.fs, dj.dir); /* Goto parent directory */ + res = dir_sdi(&dj, 0); + if (res != FR_OK) break; + do { /* Find the entry links to the child directory */ + res = dir_read(&dj, 0); + if (res != FR_OK) break; + if (ccl == ld_clust(dj.fs, dj.dir)) break; /* Found the entry */ + res = dir_next(&dj, 0); + } while (res == FR_OK); + if (res == FR_NO_FILE) res = FR_INT_ERR;/* It cannot be 'not found'. */ + if (res != FR_OK) break; +#if _USE_LFN + fno.lfname = buff; + fno.lfsize = i; +#endif + get_fileinfo(&dj, &fno); /* Get the directory name and push it to the buffer */ + tp = fno.fname; +#if _USE_LFN + if (*buff) tp = buff; +#endif + for (n = 0; tp[n]; n++) ; + if (i < n + 3) { + res = FR_NOT_ENOUGH_CORE; break; + } + while (n) buff[--i] = tp[--n]; + buff[--i] = '/'; + } + tp = buff; + if (res == FR_OK) { +#if _VOLUMES >= 2 + *tp++ = '0' + CurrVol; /* Put drive number */ + *tp++ = ':'; +#endif + if (i == len) { /* Root-directory */ + *tp++ = '/'; + } else { /* Sub-directroy */ + do /* Add stacked path str */ + *tp++ = buff[i++]; + while (i < len); + } + } + *tp = 0; + FREE_BUF(); + } + + LEAVE_FF(dj.fs, res); +} +#endif /* _FS_RPATH >= 2 */ +#endif /* _FS_RPATH >= 1 */ + + + +#if _FS_MINIMIZE <= 2 +/*-----------------------------------------------------------------------*/ +/* Seek File R/W Pointer */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_lseek ( + FIL* fp, /* Pointer to the file object */ + DWORD ofs /* File pointer from top of file */ +) +{ + FRESULT res; + DWORD clst, bcs, nsect, ifptr; +#if _USE_FASTSEEK + DWORD cl, pcl, ncl, tcl, dsc, tlen, ulen, *tbl; +#endif + + + res = validate(fp); /* Check validity of the object */ + if (res != FR_OK) LEAVE_FF(fp->fs, res); + if (fp->err) /* Check error */ + LEAVE_FF(fp->fs, (FRESULT)fp->err); + +#if _USE_FASTSEEK + if (fp->cltbl) { /* Fast seek */ + if (ofs == CREATE_LINKMAP) { /* Create CLMT */ + tbl = fp->cltbl; + tlen = *tbl++; ulen = 2; /* Given table size and required table size */ + cl = fp->sclust; /* Top of the chain */ + if (cl) { + do { + /* Get a fragment */ + tcl = cl; ncl = 0; ulen += 2; /* Top, length and used items */ + do { + pcl = cl; ncl++; + cl = get_fat(fp->fs, cl); + if (cl <= 1) ABORT(fp->fs, FR_INT_ERR); + if (cl == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + } while (cl == pcl + 1); + if (ulen <= tlen) { /* Store the length and top of the fragment */ + *tbl++ = ncl; *tbl++ = tcl; + } + } while (cl < fp->fs->n_fatent); /* Repeat until end of chain */ + } + *fp->cltbl = ulen; /* Number of items used */ + if (ulen <= tlen) + *tbl = 0; /* Terminate table */ + else + res = FR_NOT_ENOUGH_CORE; /* Given table size is smaller than required */ + + } else { /* Fast seek */ + if (ofs > fp->fsize) /* Clip offset at the file size */ + ofs = fp->fsize; + fp->fptr = ofs; /* Set file pointer */ + if (ofs) { + fp->clust = clmt_clust(fp, ofs - 1); + dsc = clust2sect(fp->fs, fp->clust); + if (!dsc) ABORT(fp->fs, FR_INT_ERR); + dsc += (ofs - 1) / SS(fp->fs) & (fp->fs->csize - 1); + if (fp->fptr % SS(fp->fs) && dsc != fp->dsect) { /* Refill sector cache if needed */ +#if !_FS_TINY +#if !_FS_READONLY + if (fp->flag & FA__DIRTY) { /* Write-back dirty sector cache */ + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + if (disk_read(fp->fs->drv, fp->buf.d8, dsc, 1) != RES_OK) /* Load current sector */ + ABORT(fp->fs, FR_DISK_ERR); +#endif + fp->dsect = dsc; + } + } + } + } else +#endif + + /* Normal Seek */ + { + if (ofs > fp->fsize /* In read-only mode, clip offset with the file size */ +#if !_FS_READONLY + && !(fp->flag & FA_WRITE) +#endif + ) ofs = fp->fsize; + + ifptr = fp->fptr; + fp->fptr = nsect = 0; + if (ofs) { + bcs = (DWORD)fp->fs->csize * SS(fp->fs); /* Cluster size (byte) */ + if (ifptr > 0 && + (ofs - 1) / bcs >= (ifptr - 1) / bcs) { /* When seek to same or following cluster, */ + fp->fptr = (ifptr - 1) & ~(bcs - 1); /* start from the current cluster */ + ofs -= fp->fptr; + clst = fp->clust; + } else { /* When seek to back cluster, */ + clst = fp->sclust; /* start from the first cluster */ +#if !_FS_READONLY + if (clst == 0) { /* If no cluster chain, create a new chain */ + clst = create_chain(fp->fs, 0); + if (clst == 1) ABORT(fp->fs, FR_INT_ERR); + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + fp->sclust = clst; + } +#endif + fp->clust = clst; + } + if (clst != 0) { + while (ofs > bcs) { /* Cluster following loop */ +#if !_FS_READONLY + if (fp->flag & FA_WRITE) { /* Check if in write mode or not */ + clst = create_chain(fp->fs, clst); /* Force stretch if in write mode */ + if (clst == 0) { /* When disk gets full, clip file size */ + ofs = bcs; break; + } + } else +#endif + clst = get_fat(fp->fs, clst); /* Follow cluster chain if not in write mode */ + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + if (clst <= 1 || clst >= fp->fs->n_fatent) ABORT(fp->fs, FR_INT_ERR); + fp->clust = clst; + fp->fptr += bcs; + ofs -= bcs; + } + fp->fptr += ofs; + if (ofs % SS(fp->fs)) { + nsect = clust2sect(fp->fs, clst); /* Current sector */ + if (!nsect) ABORT(fp->fs, FR_INT_ERR); + nsect += ofs / SS(fp->fs); + } + } + } + if (fp->fptr % SS(fp->fs) && nsect != fp->dsect) { /* Fill sector cache if needed */ +#if !_FS_TINY +#if !_FS_READONLY + if (fp->flag & FA__DIRTY) { /* Write-back dirty sector cache */ + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + if (disk_read(fp->fs->drv, fp->buf.d8, nsect, 1) != RES_OK) /* Fill sector cache */ + ABORT(fp->fs, FR_DISK_ERR); +#endif + fp->dsect = nsect; + } +#if !_FS_READONLY + if (fp->fptr > fp->fsize) { /* Set file change flag if the file size is extended */ + fp->fsize = fp->fptr; + fp->flag |= FA__WRITTEN; + } +#endif + } + + LEAVE_FF(fp->fs, res); +} + + + +#if _FS_MINIMIZE <= 1 +/*-----------------------------------------------------------------------*/ +/* Create a Directory Object */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_opendir ( + DIR* dp, /* Pointer to directory object to create */ + const TCHAR* path /* Pointer to the directory path */ +) +{ + FRESULT res; + FATFS* fs; + DEFINE_NAMEBUF; + + + if (!dp) return FR_INVALID_OBJECT; + + /* Get logical drive number */ + res = find_volume(&fs, &path, 0); + if (res == FR_OK) { + dp->fs = fs; + INIT_BUF(*dp); + res = follow_path(dp, path); /* Follow the path to the directory */ + FREE_BUF(); + if (res == FR_OK) { /* Follow completed */ + if (dp->dir) { /* It is not the origin directory itself */ + if (dp->dir[DIR_Attr] & AM_DIR) /* The object is a sub directory */ + dp->sclust = ld_clust(fs, dp->dir); + else /* The object is a file */ + res = FR_NO_PATH; + } + if (res == FR_OK) { + dp->id = fs->id; + res = dir_sdi(dp, 0); /* Rewind directory */ +#if _FS_LOCK + if (res == FR_OK) { + if (dp->sclust) { + dp->lockid = inc_lock(dp, 0); /* Lock the sub directory */ + if (!dp->lockid) + res = FR_TOO_MANY_OPEN_FILES; + } else { + dp->lockid = 0; /* Root directory need not to be locked */ + } + } +#endif + } + } + if (res == FR_NO_FILE) res = FR_NO_PATH; + } + if (res != FR_OK) dp->fs = 0; /* Invalidate the directory object if function faild */ + + LEAVE_FF(fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Close Directory */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_closedir ( + DIR *dp /* Pointer to the directory object to be closed */ +) +{ + FRESULT res; + + + res = validate(dp); + if (res == FR_OK) { +#if _FS_REENTRANT + FATFS *fs = dp->fs; +#endif +#if _FS_LOCK + if (dp->lockid) /* Decrement sub-directory open counter */ + res = dec_lock(dp->lockid); + if (res == FR_OK) +#endif + dp->fs = 0; /* Invalidate directory object */ +#if _FS_REENTRANT + unlock_fs(fs, FR_OK); /* Unlock volume */ +#endif + } + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Read Directory Entries in Sequence */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_readdir ( + DIR* dp, /* Pointer to the open directory object */ + FILINFO* fno /* Pointer to file information to return */ +) +{ + FRESULT res; + DEFINE_NAMEBUF; + + + res = validate(dp); /* Check validity of the object */ + if (res == FR_OK) { + if (!fno) { + res = dir_sdi(dp, 0); /* Rewind the directory object */ + } else { + INIT_BUF(*dp); + res = dir_read(dp, 0); /* Read an item */ + if (res == FR_NO_FILE) { /* Reached end of directory */ + dp->sect = 0; + res = FR_OK; + } + if (res == FR_OK) { /* A valid entry is found */ + get_fileinfo(dp, fno); /* Get the object information */ + res = dir_next(dp, 0); /* Increment index for next */ + if (res == FR_NO_FILE) { + dp->sect = 0; + res = FR_OK; + } + } + FREE_BUF(); + } + } + + LEAVE_FF(dp->fs, res); +} + + + +#if _USE_FIND +/*-----------------------------------------------------------------------*/ +/* Find next file */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_findnext ( + DIR* dp, /* Pointer to the open directory object */ + FILINFO* fno /* Pointer to the file information structure */ +) +{ + FRESULT res; + + + for (;;) { + res = f_readdir(dp, fno); /* Get a directory item */ + if (res != FR_OK || !fno || !fno->fname[0]) break; /* Terminate if any error or end of directory */ +#if _USE_LFN + if (fno->lfname && pattern_matching(dp->pat, fno->lfname, 0, 0)) break; /* Test for LFN if exist */ +#endif + if (pattern_matching(dp->pat, fno->fname, 0, 0)) break; /* Test for SFN */ + } + return res; + +} + + + +/*-----------------------------------------------------------------------*/ +/* Find first file */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_findfirst ( + DIR* dp, /* Pointer to the blank directory object */ + FILINFO* fno, /* Pointer to the file information structure */ + const TCHAR* path, /* Pointer to the directory to open */ + const TCHAR* pattern /* Pointer to the matching pattern */ +) +{ + FRESULT res; + + + dp->pat = pattern; /* Save pointer to pattern string */ + res = f_opendir(dp, path); /* Open the target directory */ + if (res == FR_OK) + res = f_findnext(dp, fno); /* Find the first item */ + return res; +} + +#endif /* _USE_FIND */ + + + +#if _FS_MINIMIZE == 0 +/*-----------------------------------------------------------------------*/ +/* Get File Status */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_stat ( + const TCHAR* path, /* Pointer to the file path */ + FILINFO* fno /* Pointer to file information to return */ +) +{ + FRESULT res; + DIR dj; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 0); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + if (res == FR_OK) { /* Follow completed */ + if (dj.dir) { /* Found an object */ + if (fno) get_fileinfo(&dj, fno); + } else { /* It is root directory */ + res = FR_INVALID_NAME; + } + } + FREE_BUF(); + } + + LEAVE_FF(dj.fs, res); +} + + + +#if !_FS_READONLY +/*-----------------------------------------------------------------------*/ +/* Get Number of Free Clusters */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_getfree ( + const TCHAR* path, /* Path name of the logical drive number */ + DWORD* nclst, /* Pointer to a variable to return number of free clusters */ + FATFS** fatfs /* Pointer to return pointer to corresponding file system object */ +) +{ + FRESULT res; + FATFS *fs; + DWORD n, clst, sect, stat; + UINT i; + BYTE fat, *p; + + + /* Get logical drive number */ + res = find_volume(fatfs, &path, 0); + fs = *fatfs; + if (res == FR_OK) { + /* If free_clust is valid, return it without full cluster scan */ + if (fs->free_clust <= fs->n_fatent - 2) { + *nclst = fs->free_clust; + } else { + /* Get number of free clusters */ + fat = fs->fs_type; + n = 0; + if (fat == FS_FAT12) { + clst = 2; + do { + stat = get_fat(fs, clst); + if (stat == 0xFFFFFFFF) { res = FR_DISK_ERR; break; } + if (stat == 1) { res = FR_INT_ERR; break; } + if (stat == 0) n++; + } while (++clst < fs->n_fatent); + } else { + clst = fs->n_fatent; + sect = fs->fatbase; + i = 0; p = 0; + do { + if (!i) { + res = move_window(fs, sect++); + if (res != FR_OK) break; + p = fs->win.d8; + i = SS(fs); + } + if (fat == FS_FAT16) { + if (LD_WORD(p) == 0) n++; + p += 2; i -= 2; + } else { + if ((LD_DWORD(p) & 0x0FFFFFFF) == 0) n++; + p += 4; i -= 4; + } + } while (--clst); + } + fs->free_clust = n; + fs->fsi_flag |= 1; + *nclst = n; + } + } + LEAVE_FF(fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Truncate File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_truncate ( + FIL* fp /* Pointer to the file object */ +) +{ + FRESULT res; + DWORD ncl; + + + res = validate(fp); /* Check validity of the object */ + if (res == FR_OK) { + if (fp->err) { /* Check error */ + res = (FRESULT)fp->err; + } else { + if (!(fp->flag & FA_WRITE)) /* Check access mode */ + res = FR_DENIED; + } + } + if (res == FR_OK) { + if (fp->fsize > fp->fptr) { + fp->fsize = fp->fptr; /* Set file size to current R/W point */ + fp->flag |= FA__WRITTEN; + if (fp->fptr == 0) { /* When set file size to zero, remove entire cluster chain */ + res = remove_chain(fp->fs, fp->sclust); + fp->sclust = 0; + } else { /* When truncate a part of the file, remove remaining clusters */ + ncl = get_fat(fp->fs, fp->clust); + res = FR_OK; + if (ncl == 0xFFFFFFFF) res = FR_DISK_ERR; + if (ncl == 1) res = FR_INT_ERR; + if (res == FR_OK && ncl < fp->fs->n_fatent) { + res = put_fat(fp->fs, fp->clust, 0x0FFFFFFF); + if (res == FR_OK) res = remove_chain(fp->fs, ncl); + } + } +#if !_FS_TINY + if (res == FR_OK && (fp->flag & FA__DIRTY)) { + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + res = FR_DISK_ERR; + else + fp->flag &= ~FA__DIRTY; + } +#endif + } + if (res != FR_OK) fp->err = (FRESULT)res; + } + + LEAVE_FF(fp->fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Delete a File or Directory */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_unlink ( + const TCHAR* path /* Pointer to the file or directory path */ +) +{ + FRESULT res; + DIR dj, sdj; + BYTE *dir; + DWORD dclst = 0; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 1); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + if (_FS_RPATH && res == FR_OK && (dj.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; /* Cannot remove dot entry */ +#if _FS_LOCK + if (res == FR_OK) res = chk_lock(&dj, 2); /* Cannot remove open object */ +#endif + if (res == FR_OK) { /* The object is accessible */ + dir = dj.dir; + if (!dir) { + res = FR_INVALID_NAME; /* Cannot remove the origin directory */ + } else { + if (dir[DIR_Attr] & AM_RDO) + res = FR_DENIED; /* Cannot remove R/O object */ + } + if (res == FR_OK) { + dclst = ld_clust(dj.fs, dir); + if (dclst && (dir[DIR_Attr] & AM_DIR)) { /* Is it a sub-directory ? */ +#if _FS_RPATH + if (dclst == dj.fs->cdir) { /* Is it the current directory? */ + res = FR_DENIED; + } else +#endif + { + mem_cpy(&sdj, &dj, sizeof (DIR)); /* Open the sub-directory */ + sdj.sclust = dclst; + res = dir_sdi(&sdj, 2); + if (res == FR_OK) { + res = dir_read(&sdj, 0); /* Read an item (excluding dot entries) */ + if (res == FR_OK) res = FR_DENIED; /* Not empty? (cannot remove) */ + if (res == FR_NO_FILE) res = FR_OK; /* Empty? (can remove) */ + } + } + } + } + if (res == FR_OK) { + res = dir_remove(&dj); /* Remove the directory entry */ + if (res == FR_OK && dclst) /* Remove the cluster chain if exist */ + res = remove_chain(dj.fs, dclst); + if (res == FR_OK) res = sync_fs(dj.fs); + } + } + FREE_BUF(); + } + + LEAVE_FF(dj.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Create a Directory */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_mkdir ( + const TCHAR* path /* Pointer to the directory path */ +) +{ + FRESULT res; + DIR dj; + BYTE *dir, n; + DWORD dsc, dcl, pcl, tm = GET_FATTIME(); + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 1); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + if (res == FR_OK) res = FR_EXIST; /* Any object with same name is already existing */ + if (_FS_RPATH && res == FR_NO_FILE && (dj.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; + if (res == FR_NO_FILE) { /* Can create a new directory */ + dcl = create_chain(dj.fs, 0); /* Allocate a cluster for the new directory table */ + res = FR_OK; + if (dcl == 0) res = FR_DENIED; /* No space to allocate a new cluster */ + if (dcl == 1) res = FR_INT_ERR; + if (dcl == 0xFFFFFFFF) res = FR_DISK_ERR; + if (res == FR_OK) /* Flush FAT */ + res = sync_window(dj.fs); + if (res == FR_OK) { /* Initialize the new directory table */ + dsc = clust2sect(dj.fs, dcl); + dir = dj.fs->win.d8; + mem_set(dir, 0, SS(dj.fs)); + mem_set(dir + DIR_Name, ' ', 11); /* Create "." entry */ + dir[DIR_Name] = '.'; + dir[DIR_Attr] = AM_DIR; + ST_DWORD(dir + DIR_WrtTime, tm); + st_clust(dir, dcl); + mem_cpy(dir + SZ_DIRE, dir, SZ_DIRE); /* Create ".." entry */ + dir[SZ_DIRE + 1] = '.'; pcl = dj.sclust; + if (dj.fs->fs_type == FS_FAT32 && pcl == dj.fs->dirbase) + pcl = 0; + st_clust(dir + SZ_DIRE, pcl); + for (n = dj.fs->csize; n; n--) { /* Write dot entries and clear following sectors */ + dj.fs->winsect = dsc++; + dj.fs->wflag = 1; + res = sync_window(dj.fs); + if (res != FR_OK) break; + mem_set(dir, 0, SS(dj.fs)); + } + } + if (res == FR_OK) res = dir_register(&dj); /* Register the object to the directoy */ + if (res != FR_OK) { + remove_chain(dj.fs, dcl); /* Could not register, remove cluster chain */ + } else { + dir = dj.dir; + dir[DIR_Attr] = AM_DIR; /* Attribute */ + ST_DWORD(dir + DIR_WrtTime, tm); /* Created time */ + st_clust(dir, dcl); /* Table start cluster */ + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } + } + FREE_BUF(); + } + + LEAVE_FF(dj.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Change Attribute */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_chmod ( + const TCHAR* path, /* Pointer to the file path */ + BYTE attr, /* Attribute bits */ + BYTE mask /* Attribute mask to change */ +) +{ + FRESULT res; + DIR dj; + BYTE *dir; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 1); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + FREE_BUF(); + if (_FS_RPATH && res == FR_OK && (dj.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; + if (res == FR_OK) { + dir = dj.dir; + if (!dir) { /* Is it a root directory? */ + res = FR_INVALID_NAME; + } else { /* File or sub directory */ + mask &= AM_RDO|AM_HID|AM_SYS|AM_ARC; /* Valid attribute mask */ + dir[DIR_Attr] = (attr & mask) | (dir[DIR_Attr] & (BYTE)~mask); /* Apply attribute change */ + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } + } + } + + LEAVE_FF(dj.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Rename File/Directory */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_rename ( + const TCHAR* path_old, /* Pointer to the object to be renamed */ + const TCHAR* path_new /* Pointer to the new name */ +) +{ + FRESULT res; + DIR djo, djn; + BYTE buf[21], *dir; + DWORD dw; + DEFINE_NAMEBUF; + + + /* Get logical drive number of the source object */ + res = find_volume(&djo.fs, &path_old, 1); + if (res == FR_OK) { + djn.fs = djo.fs; + INIT_BUF(djo); + res = follow_path(&djo, path_old); /* Check old object */ + if (_FS_RPATH && res == FR_OK && (djo.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; +#if _FS_LOCK + if (res == FR_OK) res = chk_lock(&djo, 2); +#endif + if (res == FR_OK) { /* Old object is found */ + if (!djo.dir) { /* Is root dir? */ + res = FR_NO_FILE; + } else { + mem_cpy(buf, djo.dir + DIR_Attr, 21); /* Save information about object except name */ + mem_cpy(&djn, &djo, sizeof (DIR)); /* Duplicate the directory object */ + if (get_ldnumber(&path_new) >= 0) /* Snip drive number off and ignore it */ + res = follow_path(&djn, path_new); /* and make sure if new object name is not conflicting */ + else + res = FR_INVALID_DRIVE; + if (res == FR_OK) res = FR_EXIST; /* The new object name is already existing */ + if (res == FR_NO_FILE) { /* It is a valid path and no name collision */ + res = dir_register(&djn); /* Register the new entry */ + if (res == FR_OK) { +/* Start of critical section where any interruption can cause a cross-link */ + dir = djn.dir; /* Copy information about object except name */ + mem_cpy(dir + 13, buf + 2, 19); + dir[DIR_Attr] = buf[0] | AM_ARC; + djo.fs->wflag = 1; + if ((dir[DIR_Attr] & AM_DIR) && djo.sclust != djn.sclust) { /* Update .. entry in the sub-directory if needed */ + dw = clust2sect(djo.fs, ld_clust(djo.fs, dir)); + if (!dw) { + res = FR_INT_ERR; + } else { + res = move_window(djo.fs, dw); + dir = djo.fs->win.d8 + SZ_DIRE * 1; /* Ptr to .. entry */ + if (res == FR_OK && dir[1] == '.') { + st_clust(dir, djn.sclust); + djo.fs->wflag = 1; + } + } + } + if (res == FR_OK) { + res = dir_remove(&djo); /* Remove old entry */ + if (res == FR_OK) + res = sync_fs(djo.fs); + } +/* End of critical section */ + } + } + } + } + FREE_BUF(); + } + + LEAVE_FF(djo.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Change Timestamp */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_utime ( + const TCHAR* path, /* Pointer to the file/directory name */ + const FILINFO* fno /* Pointer to the time stamp to be set */ +) +{ + FRESULT res; + DIR dj; + BYTE *dir; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 1); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + FREE_BUF(); + if (_FS_RPATH && res == FR_OK && (dj.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; + if (res == FR_OK) { + dir = dj.dir; + if (!dir) { /* Root directory */ + res = FR_INVALID_NAME; + } else { /* File or sub-directory */ + ST_WORD(dir + DIR_WrtTime, fno->ftime); + ST_WORD(dir + DIR_WrtDate, fno->fdate); + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } + } + } + + LEAVE_FF(dj.fs, res); +} + +#endif /* !_FS_READONLY */ +#endif /* _FS_MINIMIZE == 0 */ +#endif /* _FS_MINIMIZE <= 1 */ +#endif /* _FS_MINIMIZE <= 2 */ + + + + +#if _USE_LABEL +/*-----------------------------------------------------------------------*/ +/* Get volume label */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_getlabel ( + const TCHAR* path, /* Path name of the logical drive number */ + TCHAR* label, /* Pointer to a buffer to return the volume label */ + DWORD* vsn /* Pointer to a variable to return the volume serial number */ +) +{ + FRESULT res; + DIR dj; + UINT i, j; +#if _USE_LFN && _LFN_UNICODE + WCHAR w; +#endif + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 0); + + /* Get volume label */ + if (res == FR_OK && label) { + dj.sclust = 0; /* Open root directory */ + res = dir_sdi(&dj, 0); + if (res == FR_OK) { + res = dir_read(&dj, 1); /* Get an entry with AM_VOL */ + if (res == FR_OK) { /* A volume label is exist */ +#if _USE_LFN && _LFN_UNICODE + i = j = 0; + do { + w = (i < 11) ? dj.dir[i++] : ' '; + if (IsDBCS1(w) && i < 11 && IsDBCS2(dj.dir[i])) + w = w << 8 | dj.dir[i++]; + label[j++] = ff_convert(w, 1); /* OEM -> Unicode */ + } while (j < 11); +#else + mem_cpy(label, dj.dir, 11); +#endif + j = 11; + do { + label[j] = 0; + if (!j) break; + } while (label[--j] == ' '); + } + if (res == FR_NO_FILE) { /* No label, return nul string */ + label[0] = 0; + res = FR_OK; + } + } + } + + /* Get volume serial number */ + if (res == FR_OK && vsn) { + res = move_window(dj.fs, dj.fs->volbase); + if (res == FR_OK) { + i = dj.fs->fs_type == FS_FAT32 ? BS_VolID32 : BS_VolID; + *vsn = LD_DWORD(&dj.fs->win.d8[i]); + } + } + + LEAVE_FF(dj.fs, res); +} + + + +#if !_FS_READONLY +/*-----------------------------------------------------------------------*/ +/* Set volume label */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_setlabel ( + const TCHAR* label /* Pointer to the volume label to set */ +) +{ + FRESULT res; + DIR dj; + BYTE vn[11]; + UINT i, j, sl; + WCHAR w; + DWORD tm; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &label, 1); + if (res) LEAVE_FF(dj.fs, res); + + /* Create a volume label in directory form */ + vn[0] = 0; + for (sl = 0; label[sl]; sl++) ; /* Get name length */ + for ( ; sl && label[sl - 1] == ' '; sl--) ; /* Remove trailing spaces */ + if (sl) { /* Create volume label in directory form */ + i = j = 0; + do { +#if _USE_LFN && _LFN_UNICODE + w = ff_convert(ff_wtoupper(label[i++]), 0); +#else + w = (BYTE)label[i++]; + if (IsDBCS1(w)) + w = (j < 10 && i < sl && IsDBCS2(label[i])) ? w << 8 | (BYTE)label[i++] : 0; +#if _USE_LFN + w = ff_convert(ff_wtoupper(ff_convert(w, 1)), 0); +#else + if (IsLower(w)) w -= 0x20; /* To upper ASCII characters */ +#ifdef _EXCVT + if (w >= 0x80) w = ExCvt[w - 0x80]; /* To upper extended characters (SBCS cfg) */ +#else + if (!_DF1S && w >= 0x80) w = 0; /* Reject extended characters (ASCII cfg) */ +#endif +#endif +#endif + if (!w || chk_chr("\"*+,.:;<=>\?[]|\x7F", w) || j >= (UINT)((w >= 0x100) ? 10 : 11)) /* Reject invalid characters for volume label */ + LEAVE_FF(dj.fs, FR_INVALID_NAME); + if (w >= 0x100) vn[j++] = (BYTE)(w >> 8); + vn[j++] = (BYTE)w; + } while (i < sl); + while (j < 11) vn[j++] = ' '; /* Fill remaining name field */ + if (vn[0] == DDEM) LEAVE_FF(dj.fs, FR_INVALID_NAME); /* Reject illegal name (heading DDEM) */ + } + + /* Set volume label */ + dj.sclust = 0; /* Open root directory */ + res = dir_sdi(&dj, 0); + if (res == FR_OK) { + res = dir_read(&dj, 1); /* Get an entry with AM_VOL */ + if (res == FR_OK) { /* A volume label is found */ + if (vn[0]) { + mem_cpy(dj.dir, vn, 11); /* Change the volume label name */ + tm = GET_FATTIME(); + ST_DWORD(dj.dir + DIR_WrtTime, tm); + } else { + dj.dir[0] = DDEM; /* Remove the volume label */ + } + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } else { /* No volume label is found or error */ + if (res == FR_NO_FILE) { + res = FR_OK; + if (vn[0]) { /* Create volume label as new */ + res = dir_alloc(&dj, 1); /* Allocate an entry for volume label */ + if (res == FR_OK) { + mem_set(dj.dir, 0, SZ_DIRE); /* Set volume label */ + mem_cpy(dj.dir, vn, 11); + dj.dir[DIR_Attr] = AM_VOL; + tm = GET_FATTIME(); + ST_DWORD(dj.dir + DIR_WrtTime, tm); + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } + } + } + } + } + + LEAVE_FF(dj.fs, res); +} + +#endif /* !_FS_READONLY */ +#endif /* _USE_LABEL */ + + + +/*-----------------------------------------------------------------------*/ +/* Forward data to the stream directly (available on only tiny cfg) */ +/*-----------------------------------------------------------------------*/ +#if _USE_FORWARD && _FS_TINY + +FRESULT f_forward ( + FIL* fp, /* Pointer to the file object */ + UINT (*func)(const BYTE*,UINT), /* Pointer to the streaming function */ + UINT btf, /* Number of bytes to forward */ + UINT* bf /* Pointer to number of bytes forwarded */ +) +{ + FRESULT res; + DWORD remain, clst, sect; + UINT rcnt; + BYTE csect; + + + *bf = 0; /* Clear transfer byte counter */ + + res = validate(fp); /* Check validity of the object */ + if (res != FR_OK) LEAVE_FF(fp->fs, res); + if (fp->err) /* Check error */ + LEAVE_FF(fp->fs, (FRESULT)fp->err); + if (!(fp->flag & FA_READ)) /* Check access mode */ + LEAVE_FF(fp->fs, FR_DENIED); + + remain = fp->fsize - fp->fptr; + if (btf > remain) btf = (UINT)remain; /* Truncate btf by remaining bytes */ + + for ( ; btf && (*func)(0, 0); /* Repeat until all data transferred or stream becomes busy */ + fp->fptr += rcnt, *bf += rcnt, btf -= rcnt) { + csect = (BYTE)(fp->fptr / SS(fp->fs) & (fp->fs->csize - 1)); /* Sector offset in the cluster */ + if ((fp->fptr % SS(fp->fs)) == 0) { /* On the sector boundary? */ + if (!csect) { /* On the cluster boundary? */ + clst = (fp->fptr == 0) ? /* On the top of the file? */ + fp->sclust : get_fat(fp->fs, fp->clust); + if (clst <= 1) ABORT(fp->fs, FR_INT_ERR); + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + fp->clust = clst; /* Update current cluster */ + } + } + sect = clust2sect(fp->fs, fp->clust); /* Get current data sector */ + if (!sect) ABORT(fp->fs, FR_INT_ERR); + sect += csect; + if (move_window(fp->fs, sect) != FR_OK) /* Move sector window */ + ABORT(fp->fs, FR_DISK_ERR); + fp->dsect = sect; + rcnt = SS(fp->fs) - (WORD)(fp->fptr % SS(fp->fs)); /* Forward data from sector window */ + if (rcnt > btf) rcnt = btf; + rcnt = (*func)(&fp->fs->win.d8[(WORD)fp->fptr % SS(fp->fs)], rcnt); + if (!rcnt) ABORT(fp->fs, FR_INT_ERR); + } + + LEAVE_FF(fp->fs, FR_OK); +} +#endif /* _USE_FORWARD */ + + + +#if _USE_MKFS && !_FS_READONLY +/*-----------------------------------------------------------------------*/ +/* Create file system on the logical drive */ +/*-----------------------------------------------------------------------*/ +#define N_ROOTDIR 512 /* Number of root directory entries for FAT12/16 */ +#define N_FATS 1 /* Number of FATs (1 or 2) */ + + +FRESULT f_mkfs ( + const TCHAR* path, /* Logical drive number */ + BYTE sfd, /* Partitioning rule 0:FDISK, 1:SFD */ + UINT au /* Size of allocation unit in unit of byte or sector */ +) +{ + static const WORD vst[] = { 1024, 512, 256, 128, 64, 32, 16, 8, 4, 2, 0}; + static const WORD cst[] = {32768, 16384, 8192, 4096, 2048, 16384, 8192, 4096, 2048, 1024, 512}; + int vol; + BYTE fmt, md, sys, *tbl, pdrv, part; + DWORD n_clst, vs, n, wsect; + UINT i; + DWORD b_vol, b_fat, b_dir, b_data; /* LBA */ + DWORD n_vol, n_rsv, n_fat, n_dir; /* Size */ + FATFS *fs; + DSTATUS stat; +#if _USE_TRIM + DWORD eb[2]; +#endif + + + /* Check mounted drive and clear work area */ + if (sfd > 1) return FR_INVALID_PARAMETER; + vol = get_ldnumber(&path); + if (vol < 0) return FR_INVALID_DRIVE; + fs = FatFs[vol]; + if (!fs) return FR_NOT_ENABLED; + fs->fs_type = 0; + pdrv = LD2PD(vol); /* Physical drive */ + part = LD2PT(vol); /* Partition (0:auto detect, 1-4:get from partition table)*/ + + /* Get disk statics */ + stat = disk_initialize(pdrv); + if (stat & STA_NOINIT) return FR_NOT_READY; + if (stat & STA_PROTECT) return FR_WRITE_PROTECTED; +#if _MAX_SS != _MIN_SS /* Get disk sector size */ + if (disk_ioctl(pdrv, GET_SECTOR_SIZE, &SS(fs)) != RES_OK || SS(fs) > _MAX_SS || SS(fs) < _MIN_SS) + return FR_DISK_ERR; +#endif + if (_MULTI_PARTITION && part) { + /* Get partition information from partition table in the MBR */ + if (disk_read(pdrv, fs->win.d8, 0, 1) != RES_OK) return FR_DISK_ERR; + if (LD_WORD(fs->win.d8 + BS_55AA) != 0xAA55) return FR_MKFS_ABORTED; + tbl = &fs->win.d8[MBR_Table + (part - 1) * SZ_PTE]; + if (!tbl[4]) return FR_MKFS_ABORTED; /* No partition? */ + b_vol = LD_DWORD(tbl + 8); /* Volume start sector */ + n_vol = LD_DWORD(tbl + 12); /* Volume size */ + } else { + /* Create a partition in this function */ + if (disk_ioctl(pdrv, GET_SECTOR_COUNT, &n_vol) != RES_OK || n_vol < 128) + return FR_DISK_ERR; + b_vol = (sfd) ? 0 : 63; /* Volume start sector */ + n_vol -= b_vol; /* Volume size */ + } + + if (au & (au - 1)) au = 0; + if (!au) { /* AU auto selection */ + vs = n_vol / (2000 / (SS(fs) / 512)); + for (i = 0; vs < vst[i]; i++) ; + au = cst[i]; + } + if (au >= _MIN_SS) au /= SS(fs); /* Number of sectors per cluster */ + if (!au) au = 1; + if (au > 128) au = 128; + + /* Pre-compute number of clusters and FAT sub-type */ + n_clst = n_vol / au; + fmt = FS_FAT12; + if (n_clst >= MIN_FAT16) fmt = FS_FAT16; + if (n_clst >= MIN_FAT32) fmt = FS_FAT32; + + /* Determine offset and size of FAT structure */ + if (fmt == FS_FAT32) { + n_fat = ((n_clst * 4) + 8 + SS(fs) - 1) / SS(fs); + n_rsv = 32; + n_dir = 0; + } else { + n_fat = (fmt == FS_FAT12) ? (n_clst * 3 + 1) / 2 + 3 : (n_clst * 2) + 4; + n_fat = (n_fat + SS(fs) - 1) / SS(fs); + n_rsv = 1; + n_dir = (DWORD)N_ROOTDIR * SZ_DIRE / SS(fs); + } + b_fat = b_vol + n_rsv; /* FAT area start sector */ + b_dir = b_fat + n_fat * N_FATS; /* Directory area start sector */ + b_data = b_dir + n_dir; /* Data area start sector */ + if (n_vol < b_data + au - b_vol) return FR_MKFS_ABORTED; /* Too small volume */ + + /* Align data start sector to erase block boundary (for flash memory media) */ + if (disk_ioctl(pdrv, GET_BLOCK_SIZE, &n) != RES_OK || !n || n > 32768) n = 1; + n = (b_data + n - 1) & ~(n - 1); /* Next nearest erase block from current data start */ + n = (n - b_data) / N_FATS; + if (fmt == FS_FAT32) { /* FAT32: Move FAT offset */ + n_rsv += n; + b_fat += n; + } else { /* FAT12/16: Expand FAT size */ + n_fat += n; + } + + /* Determine number of clusters and final check of validity of the FAT sub-type */ + n_clst = (n_vol - n_rsv - n_fat * N_FATS - n_dir) / au; + if ( (fmt == FS_FAT16 && n_clst < MIN_FAT16) + || (fmt == FS_FAT32 && n_clst < MIN_FAT32)) + return FR_MKFS_ABORTED; + + /* Determine system ID in the partition table */ + if (fmt == FS_FAT32) { + sys = 0x0C; /* FAT32X */ + } else { + if (fmt == FS_FAT12 && n_vol < 0x10000) { + sys = 0x01; /* FAT12(<65536) */ + } else { + sys = (n_vol < 0x10000) ? 0x04 : 0x06; /* FAT16(<65536) : FAT12/16(>=65536) */ + } + } + + if (_MULTI_PARTITION && part) { + /* Update system ID in the partition table */ + tbl = &fs->win.d8[MBR_Table + (part - 1) * SZ_PTE]; + tbl[4] = sys; + if (disk_write(pdrv, fs->win.d8, 0, 1) != RES_OK) /* Write it to teh MBR */ + return FR_DISK_ERR; + md = 0xF8; + } else { + if (sfd) { /* No partition table (SFD) */ + md = 0xF0; + } else { /* Create partition table (FDISK) */ + mem_set(fs->win.d8, 0, SS(fs)); + tbl = fs->win.d8 + MBR_Table; /* Create partition table for single partition in the drive */ + tbl[1] = 1; /* Partition start head */ + tbl[2] = 1; /* Partition start sector */ + tbl[3] = 0; /* Partition start cylinder */ + tbl[4] = sys; /* System type */ + tbl[5] = 254; /* Partition end head */ + n = (b_vol + n_vol) / 63 / 255; + tbl[6] = (BYTE)(n >> 2 | 63); /* Partition end sector */ + tbl[7] = (BYTE)n; /* End cylinder */ + ST_DWORD(tbl + 8, 63); /* Partition start in LBA */ + ST_DWORD(tbl + 12, n_vol); /* Partition size in LBA */ + ST_WORD(fs->win.d8 + BS_55AA, 0xAA55); /* MBR signature */ + if (disk_write(pdrv, fs->win.d8, 0, 1) != RES_OK) /* Write it to the MBR */ + return FR_DISK_ERR; + md = 0xF8; + } + } + + /* Create BPB in the VBR */ + tbl = fs->win.d8; /* Clear sector */ + mem_set(tbl, 0, SS(fs)); + mem_cpy(tbl, "\xEB\xFE\x90" "MSDOS5.0", 11);/* Boot jump code, OEM name */ + i = SS(fs); /* Sector size */ + ST_WORD(tbl + BPB_BytsPerSec, i); + tbl[BPB_SecPerClus] = (BYTE)au; /* Sectors per cluster */ + ST_WORD(tbl + BPB_RsvdSecCnt, n_rsv); /* Reserved sectors */ + tbl[BPB_NumFATs] = N_FATS; /* Number of FATs */ + i = (fmt == FS_FAT32) ? 0 : N_ROOTDIR; /* Number of root directory entries */ + ST_WORD(tbl + BPB_RootEntCnt, i); + if (n_vol < 0x10000) { /* Number of total sectors */ + ST_WORD(tbl + BPB_TotSec16, n_vol); + } else { + ST_DWORD(tbl + BPB_TotSec32, n_vol); + } + tbl[BPB_Media] = md; /* Media descriptor */ + ST_WORD(tbl + BPB_SecPerTrk, 63); /* Number of sectors per track */ + ST_WORD(tbl + BPB_NumHeads, 255); /* Number of heads */ + ST_DWORD(tbl + BPB_HiddSec, b_vol); /* Hidden sectors */ + n = GET_FATTIME(); /* Use current time as VSN */ + if (fmt == FS_FAT32) { + ST_DWORD(tbl + BS_VolID32, n); /* VSN */ + ST_DWORD(tbl + BPB_FATSz32, n_fat); /* Number of sectors per FAT */ + ST_DWORD(tbl + BPB_RootClus, 2); /* Root directory start cluster (2) */ + ST_WORD(tbl + BPB_FSInfo, 1); /* FSINFO record offset (VBR + 1) */ + ST_WORD(tbl + BPB_BkBootSec, 6); /* Backup boot record offset (VBR + 6) */ + tbl[BS_DrvNum32] = 0x80; /* Drive number */ + tbl[BS_BootSig32] = 0x29; /* Extended boot signature */ + mem_cpy(tbl + BS_VolLab32, "NO NAME " "FAT32 ", 19); /* Volume label, FAT signature */ + } else { + ST_DWORD(tbl + BS_VolID, n); /* VSN */ + ST_WORD(tbl + BPB_FATSz16, n_fat); /* Number of sectors per FAT */ + tbl[BS_DrvNum] = 0x80; /* Drive number */ + tbl[BS_BootSig] = 0x29; /* Extended boot signature */ + mem_cpy(tbl + BS_VolLab, "NO NAME " "FAT ", 19); /* Volume label, FAT signature */ + } + ST_WORD(tbl + BS_55AA, 0xAA55); /* Signature (Offset is fixed here regardless of sector size) */ + if (disk_write(pdrv, tbl, b_vol, 1) != RES_OK) /* Write it to the VBR sector */ + return FR_DISK_ERR; + if (fmt == FS_FAT32) /* Write backup VBR if needed (VBR + 6) */ + disk_write(pdrv, tbl, b_vol + 6, 1); + + /* Initialize FAT area */ + wsect = b_fat; + for (i = 0; i < N_FATS; i++) { /* Initialize each FAT copy */ + mem_set(tbl, 0, SS(fs)); /* 1st sector of the FAT */ + n = md; /* Media descriptor byte */ + if (fmt != FS_FAT32) { + n |= (fmt == FS_FAT12) ? 0x00FFFF00 : 0xFFFFFF00; + ST_DWORD(tbl + 0, n); /* Reserve cluster #0-1 (FAT12/16) */ + } else { + n |= 0xFFFFFF00; + ST_DWORD(tbl + 0, n); /* Reserve cluster #0-1 (FAT32) */ + ST_DWORD(tbl + 4, 0xFFFFFFFF); + ST_DWORD(tbl + 8, 0x0FFFFFFF); /* Reserve cluster #2 for root directory */ + } + if (disk_write(pdrv, tbl, wsect++, 1) != RES_OK) + return FR_DISK_ERR; + mem_set(tbl, 0, SS(fs)); /* Fill following FAT entries with zero */ + for (n = 1; n < n_fat; n++) { /* This loop may take a time on FAT32 volume due to many single sector writes */ + if (disk_write(pdrv, tbl, wsect++, 1) != RES_OK) + return FR_DISK_ERR; + } + } + + /* Initialize root directory */ + i = (fmt == FS_FAT32) ? au : (UINT)n_dir; + do { + if (disk_write(pdrv, tbl, wsect++, 1) != RES_OK) + return FR_DISK_ERR; + } while (--i); + +#if _USE_TRIM /* Erase data area if needed */ + { + eb[0] = wsect; eb[1] = wsect + (n_clst - ((fmt == FS_FAT32) ? 1 : 0)) * au - 1; + disk_ioctl(pdrv, CTRL_TRIM, eb); + } +#endif + + /* Create FSINFO if needed */ + if (fmt == FS_FAT32) { + ST_DWORD(tbl + FSI_LeadSig, 0x41615252); + ST_DWORD(tbl + FSI_StrucSig, 0x61417272); + ST_DWORD(tbl + FSI_Free_Count, n_clst - 1); /* Number of free clusters */ + ST_DWORD(tbl + FSI_Nxt_Free, 2); /* Last allocated cluster# */ + ST_WORD(tbl + BS_55AA, 0xAA55); + disk_write(pdrv, tbl, b_vol + 1, 1); /* Write original (VBR + 1) */ + disk_write(pdrv, tbl, b_vol + 7, 1); /* Write backup (VBR + 7) */ + } + + return (disk_ioctl(pdrv, CTRL_SYNC, 0) == RES_OK) ? FR_OK : FR_DISK_ERR; +} + + + +#if _MULTI_PARTITION +/*-----------------------------------------------------------------------*/ +/* Create partition table on the physical drive */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_fdisk ( + BYTE pdrv, /* Physical drive number */ + const DWORD szt[], /* Pointer to the size table for each partitions */ + void* work /* Pointer to the working buffer */ +) +{ + UINT i, n, sz_cyl, tot_cyl, b_cyl, e_cyl, p_cyl; + BYTE s_hd, e_hd, *p, *buf = (BYTE*)work; + DSTATUS stat; + DWORD sz_disk, sz_part, s_part; + + + stat = disk_initialize(pdrv); + if (stat & STA_NOINIT) return FR_NOT_READY; + if (stat & STA_PROTECT) return FR_WRITE_PROTECTED; + if (disk_ioctl(pdrv, GET_SECTOR_COUNT, &sz_disk)) return FR_DISK_ERR; + + /* Determine CHS in the table regardless of the drive geometry */ + for (n = 16; n < 256 && sz_disk / n / 63 > 1024; n *= 2) ; + if (n == 256) n--; + e_hd = n - 1; + sz_cyl = 63 * n; + tot_cyl = sz_disk / sz_cyl; + + /* Create partition table */ + mem_set(buf, 0, _MAX_SS); + p = buf + MBR_Table; b_cyl = 0; + for (i = 0; i < 4; i++, p += SZ_PTE) { + p_cyl = (szt[i] <= 100U) ? (DWORD)tot_cyl * szt[i] / 100 : szt[i] / sz_cyl; + if (!p_cyl) continue; + s_part = (DWORD)sz_cyl * b_cyl; + sz_part = (DWORD)sz_cyl * p_cyl; + if (i == 0) { /* Exclude first track of cylinder 0 */ + s_hd = 1; + s_part += 63; sz_part -= 63; + } else { + s_hd = 0; + } + e_cyl = b_cyl + p_cyl - 1; + if (e_cyl >= tot_cyl) return FR_INVALID_PARAMETER; + + /* Set partition table */ + p[1] = s_hd; /* Start head */ + p[2] = (BYTE)((b_cyl >> 2) + 1); /* Start sector */ + p[3] = (BYTE)b_cyl; /* Start cylinder */ + p[4] = 0x06; /* System type (temporary setting) */ + p[5] = e_hd; /* End head */ + p[6] = (BYTE)((e_cyl >> 2) + 63); /* End sector */ + p[7] = (BYTE)e_cyl; /* End cylinder */ + ST_DWORD(p + 8, s_part); /* Start sector in LBA */ + ST_DWORD(p + 12, sz_part); /* Partition size */ + + /* Next partition */ + b_cyl += p_cyl; + } + ST_WORD(p, 0xAA55); + + /* Write it to the MBR */ + return (disk_write(pdrv, buf, 0, 1) != RES_OK || disk_ioctl(pdrv, CTRL_SYNC, 0) != RES_OK) ? FR_DISK_ERR : FR_OK; +} + + +#endif /* _MULTI_PARTITION */ +#endif /* _USE_MKFS && !_FS_READONLY */ + + + + +#if _USE_STRFUNC +/*-----------------------------------------------------------------------*/ +/* Get a string from the file */ +/*-----------------------------------------------------------------------*/ + +TCHAR* f_gets ( + TCHAR* buff, /* Pointer to the string buffer to read */ + int len, /* Size of string buffer (characters) */ + FIL* fp /* Pointer to the file object */ +) +{ + int n = 0; + TCHAR c, *p = buff; + BYTE s[2]; + UINT rc; + + + while (n < len - 1) { /* Read characters until buffer gets filled */ +#if _USE_LFN && _LFN_UNICODE +#if _STRF_ENCODE == 3 /* Read a character in UTF-8 */ + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = s[0]; + if (c >= 0x80) { + if (c < 0xC0) continue; /* Skip stray trailer */ + if (c < 0xE0) { /* Two-byte sequence */ + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = (c & 0x1F) << 6 | (s[0] & 0x3F); + if (c < 0x80) c = '?'; + } else { + if (c < 0xF0) { /* Three-byte sequence */ + f_read(fp, s, 2, &rc); + if (rc != 2) break; + c = c << 12 | (s[0] & 0x3F) << 6 | (s[1] & 0x3F); + if (c < 0x800) c = '?'; + } else { /* Reject four-byte sequence */ + c = '?'; + } + } + } +#elif _STRF_ENCODE == 2 /* Read a character in UTF-16BE */ + f_read(fp, s, 2, &rc); + if (rc != 2) break; + c = s[1] + (s[0] << 8); +#elif _STRF_ENCODE == 1 /* Read a character in UTF-16LE */ + f_read(fp, s, 2, &rc); + if (rc != 2) break; + c = s[0] + (s[1] << 8); +#else /* Read a character in ANSI/OEM */ + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = s[0]; + if (IsDBCS1(c)) { + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = (c << 8) + s[0]; + } + c = ff_convert(c, 1); /* OEM -> Unicode */ + if (!c) c = '?'; +#endif +#else /* Read a character without conversion */ + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = s[0]; +#endif + if (_USE_STRFUNC == 2 && c == '\r') continue; /* Strip '\r' */ + *p++ = c; + n++; + if (c == '\n') break; /* Break on EOL */ + } + *p = 0; + return n ? buff : 0; /* When no data read (eof or error), return with error. */ +} + + + + +#if !_FS_READONLY +#include +/*-----------------------------------------------------------------------*/ +/* Put a character to the file */ +/*-----------------------------------------------------------------------*/ + +typedef struct { + FIL* fp; + int idx, nchr; + BYTE buf[64]; +} putbuff; + + +static +void putc_bfd ( + putbuff* pb, + TCHAR c +) +{ + UINT bw; + int i; + + + if (_USE_STRFUNC == 2 && c == '\n') /* LF -> CRLF conversion */ + putc_bfd(pb, '\r'); + + i = pb->idx; /* Buffer write index (-1:error) */ + if (i < 0) return; + +#if _USE_LFN && _LFN_UNICODE +#if _STRF_ENCODE == 3 /* Write a character in UTF-8 */ + if (c < 0x80) { /* 7-bit */ + pb->buf[i++] = (BYTE)c; + } else { + if (c < 0x800) { /* 11-bit */ + pb->buf[i++] = (BYTE)(0xC0 | c >> 6); + } else { /* 16-bit */ + pb->buf[i++] = (BYTE)(0xE0 | c >> 12); + pb->buf[i++] = (BYTE)(0x80 | (c >> 6 & 0x3F)); + } + pb->buf[i++] = (BYTE)(0x80 | (c & 0x3F)); + } +#elif _STRF_ENCODE == 2 /* Write a character in UTF-16BE */ + pb->buf[i++] = (BYTE)(c >> 8); + pb->buf[i++] = (BYTE)c; +#elif _STRF_ENCODE == 1 /* Write a character in UTF-16LE */ + pb->buf[i++] = (BYTE)c; + pb->buf[i++] = (BYTE)(c >> 8); +#else /* Write a character in ANSI/OEM */ + c = ff_convert(c, 0); /* Unicode -> OEM */ + if (!c) c = '?'; + if (c >= 0x100) + pb->buf[i++] = (BYTE)(c >> 8); + pb->buf[i++] = (BYTE)c; +#endif +#else /* Write a character without conversion */ + pb->buf[i++] = (BYTE)c; +#endif + + if (i >= (int)(sizeof pb->buf) - 3) { /* Write buffered characters to the file */ + f_write(pb->fp, pb->buf, (UINT)i, &bw); + i = (bw == (UINT)i) ? 0 : -1; + } + pb->idx = i; + pb->nchr++; +} + + + +int f_putc ( + TCHAR c, /* A character to be output */ + FIL* fp /* Pointer to the file object */ +) +{ + putbuff pb; + UINT nw; + + + pb.fp = fp; /* Initialize output buffer */ + pb.nchr = pb.idx = 0; + + putc_bfd(&pb, c); /* Put a character */ + + if ( pb.idx >= 0 /* Flush buffered characters to the file */ + && f_write(pb.fp, pb.buf, (UINT)pb.idx, &nw) == FR_OK + && (UINT)pb.idx == nw) return pb.nchr; + return EOF; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Put a string to the file */ +/*-----------------------------------------------------------------------*/ + +int f_puts ( + const TCHAR* str, /* Pointer to the string to be output */ + FIL* fp /* Pointer to the file object */ +) +{ + putbuff pb; + UINT nw; + + + pb.fp = fp; /* Initialize output buffer */ + pb.nchr = pb.idx = 0; + + while (*str) /* Put the string */ + putc_bfd(&pb, *str++); + + if ( pb.idx >= 0 /* Flush buffered characters to the file */ + && f_write(pb.fp, pb.buf, (UINT)pb.idx, &nw) == FR_OK + && (UINT)pb.idx == nw) return pb.nchr; + return EOF; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Put a formatted string to the file */ +/*-----------------------------------------------------------------------*/ + +int f_printf ( + FIL* fp, /* Pointer to the file object */ + const TCHAR* fmt, /* Pointer to the format string */ + ... /* Optional arguments... */ +) +{ + va_list arp; + BYTE f, r; + UINT nw, i, j, w; + DWORD v; + TCHAR c, d, s[16], *p; + putbuff pb; + + + pb.fp = fp; /* Initialize output buffer */ + pb.nchr = pb.idx = 0; + + va_start(arp, fmt); + + for (;;) { + c = *fmt++; + if (c == 0) break; /* End of string */ + if (c != '%') { /* Non escape character */ + putc_bfd(&pb, c); + continue; + } + w = f = 0; + c = *fmt++; + if (c == '0') { /* Flag: '0' padding */ + f = 1; c = *fmt++; + } else { + if (c == '-') { /* Flag: left justified */ + f = 2; c = *fmt++; + } + } + while (IsDigit(c)) { /* Precision */ + w = w * 10 + c - '0'; + c = *fmt++; + } + if (c == 'l' || c == 'L') { /* Prefix: Size is long int */ + f |= 4; c = *fmt++; + } + if (!c) break; + d = c; + if (IsLower(d)) d -= 0x20; + switch (d) { /* Type is... */ + case 'S' : /* String */ + p = va_arg(arp, TCHAR*); + for (j = 0; p[j]; j++) ; + if (!(f & 2)) { + while (j++ < w) putc_bfd(&pb, ' '); + } + while (*p) putc_bfd(&pb, *p++); + while (j++ < w) putc_bfd(&pb, ' '); + continue; + case 'C' : /* Character */ + putc_bfd(&pb, (TCHAR)va_arg(arp, int)); continue; + case 'B' : /* Binary */ + r = 2; break; + case 'O' : /* Octal */ + r = 8; break; + case 'D' : /* Signed decimal */ + case 'U' : /* Unsigned decimal */ + r = 10; break; + case 'X' : /* Hexdecimal */ + r = 16; break; + default: /* Unknown type (pass-through) */ + putc_bfd(&pb, c); continue; + } + + /* Get an argument and put it in numeral */ + v = (f & 4) ? (DWORD)va_arg(arp, long) : ((d == 'D') ? (DWORD)(long)va_arg(arp, int) : (DWORD)va_arg(arp, unsigned int)); + if (d == 'D' && (v & 0x80000000)) { + v = 0 - v; + f |= 8; + } + i = 0; + do { + d = (TCHAR)(v % r); v /= r; + if (d > 9) d += (c == 'x') ? 0x27 : 0x07; + s[i++] = d + '0'; + } while (v && i < sizeof s / sizeof s[0]); + if (f & 8) s[i++] = '-'; + j = i; d = (f & 1) ? '0' : ' '; + while (!(f & 2) && j++ < w) putc_bfd(&pb, d); + do putc_bfd(&pb, s[--i]); while (i); + while (j++ < w) putc_bfd(&pb, d); + } + + va_end(arp); + + if ( pb.idx >= 0 /* Flush buffered characters to the file */ + && f_write(pb.fp, pb.buf, (UINT)pb.idx, &nw) == FR_OK + && (UINT)pb.idx == nw) return pb.nchr; + return EOF; +} + +#endif /* !_FS_READONLY */ +#endif /* _USE_STRFUNC */ diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/ff.h b/Project/Application/Middlewares/Third_Party/FatFs/src/ff.h new file mode 100644 index 0000000..6d18b12 --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/ff.h @@ -0,0 +1,364 @@ +/*---------------------------------------------------------------------------/ +/ FatFs - FAT file system module include R0.11 (C)ChaN, 2015 +/----------------------------------------------------------------------------/ +/ FatFs module is a free software that opened under license policy of +/ following conditions. +/ +/ Copyright (C) 2015, ChaN, all right reserved. +/ +/ 1. Redistributions of source code must retain the above copyright notice, +/ this condition and the following disclaimer. +/ +/ This software is provided by the copyright holder and contributors "AS IS" +/ and any warranties related to this software are DISCLAIMED. +/ The copyright owner or contributors be NOT LIABLE for any damages caused +/ by use of this software. +/---------------------------------------------------------------------------*/ + + +#ifndef _FATFS +#define _FATFS 32020 /* Revision ID */ + +#ifdef __cplusplus +extern "C" { +#endif + +#include "integer.h" /* Basic integer types */ +#include "ffconf.h" /* FatFs configuration options */ +#if _FATFS != _FFCONF +#error Wrong configuration file (ffconf.h). +#endif + + + +/* Definitions of volume management */ + +#if _MULTI_PARTITION /* Multiple partition configuration */ +typedef struct { + BYTE pd; /* Physical drive number */ + BYTE pt; /* Partition: 0:Auto detect, 1-4:Forced partition) */ +} PARTITION; +extern PARTITION VolToPart[]; /* Volume - Partition resolution table */ +#define LD2PD(vol) (VolToPart[vol].pd) /* Get physical drive number */ +#define LD2PT(vol) (VolToPart[vol].pt) /* Get partition index */ + +#else /* Single partition configuration */ +#define LD2PD(vol) (BYTE)(vol) /* Each logical drive is bound to the same physical drive number */ +#define LD2PT(vol) 0 /* Find first valid partition or in SFD */ + +#endif + + + +/* Type of path name strings on FatFs API */ + +#if _LFN_UNICODE /* Unicode string */ +#if !_USE_LFN +#error _LFN_UNICODE must be 0 at non-LFN cfg. +#endif +#ifndef _INC_TCHAR +typedef WCHAR TCHAR; +#define _T(x) L ## x +#define _TEXT(x) L ## x +#endif + +#else /* ANSI/OEM string */ +#ifndef _INC_TCHAR +typedef char TCHAR; +#define _T(x) x +#define _TEXT(x) x +#endif + +#endif + + + +/* File system object structure (FATFS) */ + +typedef struct { + union{ + UINT d32[_MAX_SS/4]; /* Force 32bits alignement */ + BYTE d8[_MAX_SS]; /* Disk access window for Directory, FAT (and file data at tiny cfg) */ + }win; + BYTE fs_type; /* FAT sub-type (0:Not mounted) */ + BYTE drv; /* Physical drive number */ + BYTE csize; /* Sectors per cluster (1,2,4...128) */ + BYTE n_fats; /* Number of FAT copies (1 or 2) */ + BYTE wflag; /* win[] flag (b0:dirty) */ + BYTE fsi_flag; /* FSINFO flags (b7:disabled, b0:dirty) */ + WORD id; /* File system mount ID */ + WORD n_rootdir; /* Number of root directory entries (FAT12/16) */ +#if _MAX_SS != _MIN_SS + WORD ssize; /* Bytes per sector (512, 1024, 2048 or 4096) */ +#endif +#if _FS_REENTRANT + _SYNC_t sobj; /* Identifier of sync object */ +#endif +#if !_FS_READONLY + DWORD last_clust; /* Last allocated cluster */ + DWORD free_clust; /* Number of free clusters */ +#endif +#if _FS_RPATH + DWORD cdir; /* Current directory start cluster (0:root) */ +#endif + DWORD n_fatent; /* Number of FAT entries, = number of clusters + 2 */ + DWORD fsize; /* Sectors per FAT */ + DWORD volbase; /* Volume start sector */ + DWORD fatbase; /* FAT start sector */ + DWORD dirbase; /* Root directory start sector (FAT32:Cluster#) */ + DWORD database; /* Data start sector */ + DWORD winsect; /* Current sector appearing in the win[] */ + +} FATFS; + + + +/* File object structure (FIL) */ + +typedef struct { +#if !_FS_TINY + union{ + UINT d32[_MAX_SS/4]; /* Force 32bits alignement */ + BYTE d8[_MAX_SS]; /* File data read/write buffer */ + }buf; +#endif + FATFS* fs; /* Pointer to the related file system object (**do not change order**) */ + WORD id; /* Owner file system mount ID (**do not change order**) */ + BYTE flag; /* Status flags */ + BYTE err; /* Abort flag (error code) */ + DWORD fptr; /* File read/write pointer (Zeroed on file open) */ + DWORD fsize; /* File size */ + DWORD sclust; /* File start cluster (0:no cluster chain, always 0 when fsize is 0) */ + DWORD clust; /* Current cluster of fpter (not valid when fprt is 0) */ + DWORD dsect; /* Sector number appearing in buf[] (0:invalid) */ +#if !_FS_READONLY + DWORD dir_sect; /* Sector number containing the directory entry */ + BYTE* dir_ptr; /* Pointer to the directory entry in the win[] */ +#endif +#if _USE_FASTSEEK + DWORD* cltbl; /* Pointer to the cluster link map table (Nulled on file open) */ +#endif +#if _FS_LOCK + UINT lockid; /* File lock ID origin from 1 (index of file semaphore table Files[]) */ +#endif + +} FIL; + + + +/* Directory object structure (DIR) */ + +typedef struct { +#if !_FS_TINY + union{ + UINT d32[_MAX_SS/4]; /* Force 32bits alignement */ + BYTE d8[_MAX_SS]; /* File data read/write buffer */ + }buf; +#endif + FATFS* fs; /* Pointer to the owner file system object (**do not change order**) */ + WORD id; /* Owner file system mount ID (**do not change order**) */ + WORD index; /* Current read/write index number */ + DWORD sclust; /* Table start cluster (0:Root dir) */ + DWORD clust; /* Current cluster */ + DWORD sect; /* Current sector */ + BYTE* dir; /* Pointer to the current SFN entry in the win[] */ + BYTE* fn; /* Pointer to the SFN (in/out) {file[8],ext[3],status[1]} */ +#if _FS_LOCK + UINT lockid; /* File lock ID (index of file semaphore table Files[]) */ +#endif +#if _USE_LFN + WCHAR* lfn; /* Pointer to the LFN working buffer */ + WORD lfn_idx; /* Last matched LFN index number (0xFFFF:No LFN) */ +#endif +#if _USE_FIND + const TCHAR* pat; /* Pointer to the name matching pattern */ +#endif +} DIR; + + + +/* File information structure (FILINFO) */ + +typedef struct { + DWORD fsize; /* File size */ + WORD fdate; /* Last modified date */ + WORD ftime; /* Last modified time */ + BYTE fattrib; /* Attribute */ + TCHAR fname[13]; /* Short file name (8.3 format) */ +#if _USE_LFN + TCHAR* lfname; /* Pointer to the LFN buffer */ + UINT lfsize; /* Size of LFN buffer in TCHAR */ +#endif +} FILINFO; + + + +/* File function return code (FRESULT) */ + +typedef enum { + FR_OK = 0, /* (0) Succeeded */ + FR_DISK_ERR, /* (1) A hard error occurred in the low level disk I/O layer */ + FR_INT_ERR, /* (2) Assertion failed */ + FR_NOT_READY, /* (3) The physical drive cannot work */ + FR_NO_FILE, /* (4) Could not find the file */ + FR_NO_PATH, /* (5) Could not find the path */ + FR_INVALID_NAME, /* (6) The path name format is invalid */ + FR_DENIED, /* (7) Access denied due to prohibited access or directory full */ + FR_EXIST, /* (8) Access denied due to prohibited access */ + FR_INVALID_OBJECT, /* (9) The file/directory object is invalid */ + FR_WRITE_PROTECTED, /* (10) The physical drive is write protected */ + FR_INVALID_DRIVE, /* (11) The logical drive number is invalid */ + FR_NOT_ENABLED, /* (12) The volume has no work area */ + FR_NO_FILESYSTEM, /* (13) There is no valid FAT volume */ + FR_MKFS_ABORTED, /* (14) The f_mkfs() aborted due to any parameter error */ + FR_TIMEOUT, /* (15) Could not get a grant to access the volume within defined period */ + FR_LOCKED, /* (16) The operation is rejected according to the file sharing policy */ + FR_NOT_ENOUGH_CORE, /* (17) LFN working buffer could not be allocated */ + FR_TOO_MANY_OPEN_FILES, /* (18) Number of open files > _FS_SHARE */ + FR_INVALID_PARAMETER /* (19) Given parameter is invalid */ +} FRESULT; + + + +/*--------------------------------------------------------------*/ +/* FatFs module application interface */ + +FRESULT f_open (FIL* fp, const TCHAR* path, BYTE mode); /* Open or create a file */ +FRESULT f_close (FIL* fp); /* Close an open file object */ +FRESULT f_read (FIL* fp, void* buff, UINT btr, UINT* br); /* Read data from a file */ +FRESULT f_write (FIL* fp, const void* buff, UINT btw, UINT* bw); /* Write data to a file */ +FRESULT f_forward (FIL* fp, UINT(*func)(const BYTE*,UINT), UINT btf, UINT* bf); /* Forward data to the stream */ +FRESULT f_lseek (FIL* fp, DWORD ofs); /* Move file pointer of a file object */ +FRESULT f_truncate (FIL* fp); /* Truncate file */ +FRESULT f_sync (FIL* fp); /* Flush cached data of a writing file */ +FRESULT f_opendir (DIR* dp, const TCHAR* path); /* Open a directory */ +FRESULT f_closedir (DIR* dp); /* Close an open directory */ +FRESULT f_readdir (DIR* dp, FILINFO* fno); /* Read a directory item */ +FRESULT f_findfirst (DIR* dp, FILINFO* fno, const TCHAR* path, const TCHAR* pattern); /* Find first file */ +FRESULT f_findnext (DIR* dp, FILINFO* fno); /* Find next file */ +FRESULT f_mkdir (const TCHAR* path); /* Create a sub directory */ +FRESULT f_unlink (const TCHAR* path); /* Delete an existing file or directory */ +FRESULT f_rename (const TCHAR* path_old, const TCHAR* path_new); /* Rename/Move a file or directory */ +FRESULT f_stat (const TCHAR* path, FILINFO* fno); /* Get file status */ +FRESULT f_chmod (const TCHAR* path, BYTE attr, BYTE mask); /* Change attribute of the file/dir */ +FRESULT f_utime (const TCHAR* path, const FILINFO* fno); /* Change times-tamp of the file/dir */ +FRESULT f_chdir (const TCHAR* path); /* Change current directory */ +FRESULT f_chdrive (const TCHAR* path); /* Change current drive */ +FRESULT f_getcwd (TCHAR* buff, UINT len); /* Get current directory */ +FRESULT f_getfree (const TCHAR* path, DWORD* nclst, FATFS** fatfs); /* Get number of free clusters on the drive */ +FRESULT f_getlabel (const TCHAR* path, TCHAR* label, DWORD* vsn); /* Get volume label */ +FRESULT f_setlabel (const TCHAR* label); /* Set volume label */ +FRESULT f_mount (FATFS* fs, const TCHAR* path, BYTE opt); /* Mount/Unmount a logical drive */ +FRESULT f_mkfs (const TCHAR* path, BYTE sfd, UINT au); /* Create a file system on the volume */ +FRESULT f_fdisk (BYTE pdrv, const DWORD szt[], void* work); /* Divide a physical drive into some partitions */ +int f_putc (TCHAR c, FIL* fp); /* Put a character to the file */ +int f_puts (const TCHAR* str, FIL* cp); /* Put a string to the file */ +int f_printf (FIL* fp, const TCHAR* str, ...); /* Put a formatted string to the file */ +TCHAR* f_gets (TCHAR* buff, int len, FIL* fp); /* Get a string from the file */ + +#define f_eof(fp) ((int)((fp)->fptr == (fp)->fsize)) +#define f_error(fp) ((fp)->err) +#define f_tell(fp) ((fp)->fptr) +#define f_size(fp) ((fp)->fsize) +#define f_rewind(fp) f_lseek((fp), 0) +#define f_rewinddir(dp) f_readdir((dp), 0) + +#ifndef EOF +#define EOF (-1) +#endif + + + + +/*--------------------------------------------------------------*/ +/* Additional user defined functions */ + +/* RTC function */ +#if !_FS_READONLY && !_FS_NORTC +DWORD get_fattime (void); +#endif + +/* Unicode support functions */ +#if _USE_LFN /* Unicode - OEM code conversion */ +WCHAR ff_convert (WCHAR chr, UINT dir); /* OEM-Unicode bidirectional conversion */ +WCHAR ff_wtoupper (WCHAR chr); /* Unicode upper-case conversion */ +#if _USE_LFN == 3 /* Memory functions */ +void* ff_memalloc (UINT msize); /* Allocate memory block */ +void ff_memfree (void* mblock); /* Free memory block */ +#endif +#endif + +/* Sync functions */ +#if _FS_REENTRANT +int ff_cre_syncobj (BYTE vol, _SYNC_t* sobj); /* Create a sync object */ +int ff_req_grant (_SYNC_t sobj); /* Lock sync object */ +void ff_rel_grant (_SYNC_t sobj); /* Unlock sync object */ +int ff_del_syncobj (_SYNC_t sobj); /* Delete a sync object */ +#endif + + + + +/*--------------------------------------------------------------*/ +/* Flags and offset address */ + + +/* File access control and file status flags (FIL.flag) */ + +#define FA_READ 0x01 +#define FA_OPEN_EXISTING 0x00 + +#if !_FS_READONLY +#define FA_WRITE 0x02 +#define FA_CREATE_NEW 0x04 +#define FA_CREATE_ALWAYS 0x08 +#define FA_OPEN_ALWAYS 0x10 +#define FA__WRITTEN 0x20 +#define FA__DIRTY 0x40 +#endif + + +/* FAT sub type (FATFS.fs_type) */ + +#define FS_FAT12 1 +#define FS_FAT16 2 +#define FS_FAT32 3 + + +/* File attribute bits for directory entry */ + +#define AM_RDO 0x01 /* Read only */ +#define AM_HID 0x02 /* Hidden */ +#define AM_SYS 0x04 /* System */ +#define AM_VOL 0x08 /* Volume label */ +#define AM_LFN 0x0F /* LFN entry */ +#define AM_DIR 0x10 /* Directory */ +#define AM_ARC 0x20 /* Archive */ +#define AM_MASK 0x3F /* Mask of defined bits */ + + +/* Fast seek feature */ +#define CREATE_LINKMAP 0xFFFFFFFF + + + +/*--------------------------------*/ +/* Multi-byte word access macros */ + +#if _WORD_ACCESS == 1 /* Enable word access to the FAT structure */ +#define LD_WORD(ptr) (WORD)(*(WORD*)(BYTE*)(ptr)) +#define LD_DWORD(ptr) (DWORD)(*(DWORD*)(BYTE*)(ptr)) +#define ST_WORD(ptr,val) *(WORD*)(BYTE*)(ptr)=(WORD)(val) +#define ST_DWORD(ptr,val) *(DWORD*)(BYTE*)(ptr)=(DWORD)(val) +#else /* Use byte-by-byte access to the FAT structure */ +#define LD_WORD(ptr) (WORD)(((WORD)*((BYTE*)(ptr)+1)<<8)|(WORD)*(BYTE*)(ptr)) +#define LD_DWORD(ptr) (DWORD)(((DWORD)*((BYTE*)(ptr)+3)<<24)|((DWORD)*((BYTE*)(ptr)+2)<<16)|((WORD)*((BYTE*)(ptr)+1)<<8)|*(BYTE*)(ptr)) +#define ST_WORD(ptr,val) *(BYTE*)(ptr)=(BYTE)(val); *((BYTE*)(ptr)+1)=(BYTE)((WORD)(val)>>8) +#define ST_DWORD(ptr,val) *(BYTE*)(ptr)=(BYTE)(val); *((BYTE*)(ptr)+1)=(BYTE)((WORD)(val)>>8); *((BYTE*)(ptr)+2)=(BYTE)((DWORD)(val)>>16); *((BYTE*)(ptr)+3)=(BYTE)((DWORD)(val)>>24) +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* _FATFS */ diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.c b/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.c new file mode 100644 index 0000000..984e9eb --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.c @@ -0,0 +1,132 @@ +/** + ****************************************************************************** + * @file ff_gen_drv.c + * @author MCD Application Team + * @version V1.3.0 + * @date 08-May-2015 + * @brief FatFs generic low level driver. + ****************************************************************************** + * @attention + * + *

      © COPYRIGHT 2015 STMicroelectronics

      + * + * Licensed under MCD-ST Liberty SW License Agreement V2, (the "License"); + * You may not use this file except in compliance with the License. + * You may obtain a copy of the License at: + * + * http://www.st.com/software_license_agreement_liberty_v2 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + ****************************************************************************** + */ + +/* Includes ------------------------------------------------------------------*/ +#include "ff_gen_drv.h" + +/* Private typedef -----------------------------------------------------------*/ +/* Private define ------------------------------------------------------------*/ +/* Private variables ---------------------------------------------------------*/ +Disk_drvTypeDef disk = {{0},{0},{0},0}; + +/* Private function prototypes -----------------------------------------------*/ +/* Private functions ---------------------------------------------------------*/ + +/** + * @brief Links a compatible diskio driver/lun id and increments the number of active + * linked drivers. + * @note The number of linked drivers (volumes) is up to 10 due to FatFs limits. + * @param drv: pointer to the disk IO Driver structure + * @param path: pointer to the logical drive path + * @param lun : only used for USB Key Disk to add multi-lun management + else the paramter must be equal to 0 + * @retval Returns 0 in case of success, otherwise 1. + */ +uint8_t FATFS_LinkDriverEx(Diskio_drvTypeDef *drv, char *path, uint8_t lun) +{ + uint8_t ret = 1; + uint8_t DiskNum = 0; + + if(disk.nbr <= _VOLUMES) + { + disk.is_initialized[disk.nbr] = 0; + disk.drv[disk.nbr] = drv; + disk.lun[disk.nbr] = lun; + DiskNum = disk.nbr++; + path[0] = DiskNum + '0'; + path[1] = ':'; + path[2] = '/'; + path[3] = 0; + ret = 0; + } + + return ret; +} + +/** + * @brief Links a compatible diskio driver and increments the number of active + * linked drivers. + * @note The number of linked drivers (volumes) is up to 10 due to FatFs limits + * @param drv: pointer to the disk IO Driver structure + * @param path: pointer to the logical drive path + * @retval Returns 0 in case of success, otherwise 1. + */ +uint8_t FATFS_LinkDriver(Diskio_drvTypeDef *drv, char *path) +{ + return FATFS_LinkDriverEx(drv, path, 0); +} + +/** + * @brief Unlinks a diskio driver and decrements the number of active linked + * drivers. + * @param path: pointer to the logical drive path + * @param lun : not used + * @retval Returns 0 in case of success, otherwise 1. + */ +uint8_t FATFS_UnLinkDriverEx(char *path, uint8_t lun) +{ + uint8_t DiskNum = 0; + uint8_t ret = 1; + + if(disk.nbr >= 1) + { + DiskNum = path[0] - '0'; + if(disk.drv[DiskNum] != 0) + { + disk.drv[DiskNum] = 0; + disk.lun[DiskNum] = 0; + disk.nbr--; + ret = 0; + } + } + + return ret; +} + +/** + * @brief Unlinks a diskio driver and decrements the number of active linked + * drivers. + * @param path: pointer to the logical drive path + * @retval Returns 0 in case of success, otherwise 1. + */ +uint8_t FATFS_UnLinkDriver(char *path) +{ + return FATFS_UnLinkDriverEx(path, 0); +} + +/** + * @brief Gets number of linked drivers to the FatFs module. + * @param None + * @retval Number of attached drivers. + */ +uint8_t FATFS_GetAttachedDriversNbr(void) +{ + return disk.nbr; +} + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ + diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.h b/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.h new file mode 100644 index 0000000..d1c7a08 --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.h @@ -0,0 +1,88 @@ +/** + ****************************************************************************** + * @file ff_gen_drv.h + * @author MCD Application Team + * @version V1.3.0 + * @date 08-May-2015 + * @brief Header for ff_gen_drv.c module. + ****************************************************************************** + * @attention + * + *

      © COPYRIGHT 2015 STMicroelectronics

      + * + * Licensed under MCD-ST Liberty SW License Agreement V2, (the "License"); + * You may not use this file except in compliance with the License. + * You may obtain a copy of the License at: + * + * http://www.st.com/software_license_agreement_liberty_v2 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __FF_GEN_DRV_H +#define __FF_GEN_DRV_H + +#ifdef __cplusplus + extern "C" { +#endif + +/* Includes ------------------------------------------------------------------*/ +#include "diskio.h" +#include "ff.h" + +/* Exported types ------------------------------------------------------------*/ + +/** + * @brief Disk IO Driver structure definition + */ +typedef struct +{ + DSTATUS (*disk_initialize) (BYTE); /*!< Initialize Disk Drive */ + DSTATUS (*disk_status) (BYTE); /*!< Get Disk Status */ + DRESULT (*disk_read) (BYTE, BYTE*, DWORD, UINT); /*!< Read Sector(s) */ +#if _USE_WRITE == 1 + DRESULT (*disk_write) (BYTE, const BYTE*, DWORD, UINT); /*!< Write Sector(s) when _USE_WRITE = 0 */ +#endif /* _USE_WRITE == 1 */ +#if _USE_IOCTL == 1 + DRESULT (*disk_ioctl) (BYTE, BYTE, void*); /*!< I/O control operation when _USE_IOCTL = 1 */ +#endif /* _USE_IOCTL == 1 */ + +}Diskio_drvTypeDef; + +/** + * @brief Global Disk IO Drivers structure definition + */ +typedef struct +{ + uint8_t is_initialized[_VOLUMES]; + Diskio_drvTypeDef *drv[_VOLUMES]; + uint8_t lun[_VOLUMES]; + __IO uint8_t nbr; + +}Disk_drvTypeDef; + +/* Exported constants --------------------------------------------------------*/ +/* Exported macro ------------------------------------------------------------*/ +/* Exported functions ------------------------------------------------------- */ +uint8_t FATFS_LinkDriverEx(Diskio_drvTypeDef *drv, char *path, uint8_t lun); +uint8_t FATFS_LinkDriver(Diskio_drvTypeDef *drv, char *path); +uint8_t FATFS_UnLinkDriver(char *path); +uint8_t FATFS_LinkDriverEx(Diskio_drvTypeDef *drv, char *path, BYTE lun); +uint8_t FATFS_UnLinkDriverEx(char *path, BYTE lun); +uint8_t FATFS_GetAttachedDriversNbr(void); + +#ifdef __cplusplus +} +#endif + +#endif /* __FF_GEN_DRV_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ + diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/integer.h b/Project/Application/Middlewares/Third_Party/FatFs/src/integer.h new file mode 100644 index 0000000..f254b2a --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/integer.h @@ -0,0 +1,33 @@ +/*-------------------------------------------*/ +/* Integer type definitions for FatFs module */ +/*-------------------------------------------*/ + +#ifndef _FF_INTEGER +#define _FF_INTEGER + +#ifdef _WIN32 /* FatFs development platform */ + +#include +#include + +#else /* Embedded platform */ + +/* This type MUST be 8 bit */ +typedef unsigned char BYTE; + +/* These types MUST be 16 bit */ +typedef short SHORT; +typedef unsigned short WORD; +typedef unsigned short WCHAR; + +/* These types MUST be 16 bit or 32 bit */ +typedef int INT; +typedef unsigned int UINT; + +/* These types MUST be 32 bit */ +typedef long LONG; +typedef unsigned long DWORD; + +#endif + +#endif diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/option/syscall.c b/Project/Application/Middlewares/Third_Party/FatFs/src/option/syscall.c new file mode 100644 index 0000000..bf1870f --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/option/syscall.c @@ -0,0 +1,119 @@ +/*------------------------------------------------------------------------*/ +/* Sample code of OS dependent controls for FatFs */ +/* (C)ChaN, 2014 */ +/*------------------------------------------------------------------------*/ + +#include /* ANSI memory controls */ +#include "../ff.h" + +#if _FS_REENTRANT +/*----------------------------------------------------------------------- + Create a Synchronization Object +------------------------------------------------------------------------ + This function is called in f_mount function to create a new + synchronization object, such as semaphore and mutex. When a zero is + returned, the f_mount function fails with FR_INT_ERR. +*/ + +int ff_cre_syncobj ( /* TRUE:Function succeeded, FALSE:Could not create due to any error */ + BYTE vol, /* Corresponding logical drive being processed */ + _SYNC_t *sobj /* Pointer to return the created sync object */ +) +{ + int ret; + + osSemaphoreDef(SEM); + *sobj = osSemaphoreCreate(osSemaphore(SEM), 1); + ret = (*sobj != NULL); + + return ret; +} + + + +/*------------------------------------------------------------------------*/ +/* Delete a Synchronization Object */ +/*------------------------------------------------------------------------*/ +/* This function is called in f_mount function to delete a synchronization +/ object that created with ff_cre_syncobj function. When a zero is +/ returned, the f_mount function fails with FR_INT_ERR. +*/ + +int ff_del_syncobj ( /* TRUE:Function succeeded, FALSE:Could not delete due to any error */ + _SYNC_t sobj /* Sync object tied to the logical drive to be deleted */ +) +{ + osSemaphoreDelete (sobj); + return 1; +} + + + +/*------------------------------------------------------------------------*/ +/* Request Grant to Access the Volume */ +/*------------------------------------------------------------------------*/ +/* This function is called on entering file functions to lock the volume. +/ When a zero is returned, the file function fails with FR_TIMEOUT. +*/ + +int ff_req_grant ( /* TRUE:Got a grant to access the volume, FALSE:Could not get a grant */ + _SYNC_t sobj /* Sync object to wait */ +) +{ + int ret = 0; + + if(osSemaphoreWait(sobj, _FS_TIMEOUT) == osOK) + { + ret = 1; + } + + return ret; +} + + + +/*------------------------------------------------------------------------*/ +/* Release Grant to Access the Volume */ +/*------------------------------------------------------------------------*/ +/* This function is called on leaving file functions to unlock the volume. +*/ + +void ff_rel_grant ( + _SYNC_t sobj /* Sync object to be signaled */ +) +{ + osSemaphoreRelease(sobj); +} + +#endif + + + + +#if _USE_LFN == 3 /* LFN with a working buffer on the heap */ +/*------------------------------------------------------------------------*/ +/* Allocate a memory block */ +/*------------------------------------------------------------------------*/ +/* If a NULL is returned, the file function fails with FR_NOT_ENOUGH_CORE. +*/ + +void* ff_memalloc ( /* Returns pointer to the allocated memory block */ + UINT msize /* Number of bytes to allocate */ +) +{ + return malloc(msize); /* Allocate a new memory block with POSIX API */ +} + + +/*------------------------------------------------------------------------*/ +/* Free a memory block */ +/*------------------------------------------------------------------------*/ + +void ff_memfree ( + void* mblock /* Pointer to the memory block to free */ +) +{ + free(mblock); /* Discard the memory block with POSIX API */ +} + +#endif diff --git a/Project/Application/action_process.c b/Project/Application/action_process.c new file mode 100644 index 0000000..ad690e6 --- /dev/null +++ b/Project/Application/action_process.c @@ -0,0 +1,50 @@ +#include "action_process.h" +#include "sw_timer.h" +#include "gpio_switch.h" +#include "gpio_state_led.h" +#include "gpio_sensor.h" +#include "eeprom.h" +#include "buzzer.h" +#include "segment.h" +#include "rtc_process.h" +#include "save_file.h" + +typedef struct _control_info +{ + bool isActionRun; + uint32_t Co2_MaxValue; + uint32_t Co2_MinValue; +}CONTROL_INFO; + + +static CONTROL_INFO Control_Info; + + + + + +static void Action_Process(void); + +static void Action_PowerKey_Push(void); +static void Action_PowerKey_LongPush(void); +static void Action_Sensor_Read_Process(void); + +void Action_Initialization(void) +{ + + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1, Action_Process); +} + + +static void Action_Process(void) +{ + +} + + + + + + + + diff --git a/Project/Application/action_process.h b/Project/Application/action_process.h new file mode 100644 index 0000000..cbc9f38 --- /dev/null +++ b/Project/Application/action_process.h @@ -0,0 +1,17 @@ +/** \file action_process.h */ +#if !defined(ACTION_PROCESS_H__793AF4F0_9732_4285_BF6C_F708ACCE969B__INCLUDED_) +#define ACTION_PROCESS_H__793AF4F0_9732_4285_BF6C_F708ACCE969B__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + + + + +void Action_Initialization(void); + + + + +#endif diff --git a/Project/Application/board_config.h b/Project/Application/board_config.h new file mode 100644 index 0000000..39b5d7c --- /dev/null +++ b/Project/Application/board_config.h @@ -0,0 +1,70 @@ +/** \file board_config.h */ +#if !defined(BOARD_CONFIG_H__8269C71E_6C81_429B_B9F6_2CA6AC082DCC__INCLUDED_) +#define BOARD_CONFIG_H__8269C71E_6C81_429B_B9F6_2CA6AC082DCC__INCLUDED_ + +#include "define.h" + +#define FW_VERSION_MAJOR 0 +#define FW_VERSION_MINOR 1 + + + +////////////////////////////////////////////////////////////// +#define FALSE 0 +#define TRUE (!FALSE) + + + +////////////////////////////////////////////////////////////// +// dbg_print Config +////////////////////////////////////////////////////////////// +#define DEBUG_PRINT + +#if defined(DEBUG_PRINT) + #define dbg_printf(fmt,args...) printf( fmt, ## args ) +#if 0 + #define SW_TIMER_DBG_PRINT +#endif + +#else + #define dbg_printf(fmt,args...) +#endif + + + + + +#if 0 +////////////////////////////////////////////////////////////// +// MODBUS Config +////////////////////////////////////////////////////////////// +#define MODBUS_BAUDRATE 9600 +#define MODBUS_DATA_BIT UARTn_DATA_BIT_8 +#define MODBUS_STOP_BIT UARTn_STOP_BIT_1 +#define MODBUS_PARITY_BIT UARTn_PARITY_BIT_EVEN +#define MODBUS_RX_TIMEOUT 100 +#define MODBUS_DEFAULT_ADDRESS 0x01 +#define MODBUS_START_REG_ADDRESS 0x0000 +#define MODBUS_GET_REG_COUNT 0x0029 +#define MODBUS_POLLING_INTERVAL_TIME 1000 //??? ?? ?? +#define MODBUS_DATA_INDEX_OUTPUT_COUNT 100 //??? ??? ?? ??? ?? +#define MODBUS_DATA_UPDATE_CHECK_INTERVAL_TIME (MODBUS_POLLING_INTERVAL_TIME/2) +#define MODBUS_TX_ERROR_COUNT 10 //?? ?? ?? ?? ???? ??, ??? ??? + + + +////////////////////////////////////////////////////////////// +// DATA OUT Uart Config +////////////////////////////////////////////////////////////// +#define DATAOUT_BAUDRATE 115200 +#define DATAOUT_DATA_BIT USART1n_DATA_BIT_8 +#define DATAOUT_STOP_BIT USART1n_STOP_BIT_1 +#define DATAOUT_PARITY_BIT USART1n_PARITY_BIT_NONE +#define DATAOUT_INTERFACE_INIT Usart11_Initialization +#define DATAOUT_TRANSMIT Usart11_Transmit + +#endif + + + +#endif diff --git a/Project/Application/buzzer.c b/Project/Application/buzzer.c new file mode 100644 index 0000000..ce02d80 --- /dev/null +++ b/Project/Application/buzzer.c @@ -0,0 +1,47 @@ +#include "buzzer.h" +#include "sw_timer.h" + + +static bool isBuzzerOn; +static uint32_t BuzzerStartTick; +static uint32_t BuzzerOnTimeCount; + +static void Buzzer_Output_Process(void); + +void Buzzer_Initialization(void) +{ + HAL_GPIO_ConfigOutput(GPIO_BUZZER_PORT, GPIO_BUZZER_PIN_NUM, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(GPIO_BUZZER_PORT, GPIO_BUZZER_PIN_NUM, PUPDx_EnablePU); + + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1, Buzzer_Output_Process); +} + +void Buzzer_On(uint32_t BuzzerOnTime) +{ + isBuzzerOn = true; + BuzzerStartTick = millis(); + BuzzerOnTimeCount = BuzzerOnTime; +} + + + +static void Buzzer_Output_Process(void) +{ + if(isBuzzerOn == true) + { + if((millis() - BuzzerStartTick) <= BuzzerOnTimeCount) + { + GPIO_BUZZER_ON; + } + else + { + isBuzzerOn = false; + GPIO_BUZZER_OFF; + } + } + else + { + GPIO_BUZZER_OFF;; + } +} + diff --git a/Project/Application/buzzer.h b/Project/Application/buzzer.h new file mode 100644 index 0000000..7498056 --- /dev/null +++ b/Project/Application/buzzer.h @@ -0,0 +1,26 @@ +/** \file buzzer.h */ +#if !defined(BUZZER_H__4676A357_207F_4D31_A901_C2B23A663560__INCLUDED_) +#define BUZZER_H__4676A357_207F_4D31_A901_C2B23A663560__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define GPIO_BUZZER_PORT (Pn_Type*)PD +#define GPIO_BUZZER_PIN_NUM 7 +#define GPIO_BUZZER_ON HAL_GPIO_SetPin(GPIO_BUZZER_PORT, _BIT(GPIO_BUZZER_PIN_NUM)) +#define GPIO_BUZZER_OFF HAL_GPIO_ClearPin(GPIO_BUZZER_PORT, _BIT(GPIO_BUZZER_PIN_NUM)) +#define GPIO_BUZZER_T HAL_GPIO_TogglePin(GPIO_BUZZER_PORT, _BIT(GPIO_BUZZER_PIN_NUM)) + + + + + +void Buzzer_Initialization(void); +void Buzzer_On(uint32_t BuzzerOnTime); + + + + + +#endif diff --git a/Project/Application/dbg_printf.c b/Project/Application/dbg_printf.c new file mode 100644 index 0000000..b8dc653 --- /dev/null +++ b/Project/Application/dbg_printf.c @@ -0,0 +1,25 @@ +#include "dbg_printf.h" + +#include "uart1.h" + + + + + + +/*-------------------------------------------------------------------------*//** + * @brief Puts a character to file + * @param[in] ch + * Character to put + * @param[in] f + * Pointer to file + * @return character + * @note if you use IAR EWARM, select Full as Options/General Options/Library Configuration/Library. + *//*-------------------------------------------------------------------------*/ +int fputc( int ch, FILE* f ) +{ + Uart1_Transmit(ch); + return( ch ); +} + + diff --git a/Project/Application/dbg_printf.h b/Project/Application/dbg_printf.h new file mode 100644 index 0000000..c118f94 --- /dev/null +++ b/Project/Application/dbg_printf.h @@ -0,0 +1,9 @@ +/** \file dbg_printf.h */ +#if !defined(DBG_PRINTF_H__3735CB34_D9A0_43AC_B22D_005B5B83B450__INCLUDED_) +#define DBG_PRINTF_H__3735CB34_D9A0_43AC_B22D_005B5B83B450__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#endif diff --git a/Project/Application/define.h b/Project/Application/define.h new file mode 100644 index 0000000..3a26b6e --- /dev/null +++ b/Project/Application/define.h @@ -0,0 +1,95 @@ +/** \file define.h */ +#if !defined(DEFINE_H__8F668E66_FF75_45CB_850C_2513B033D139__INCLUDED_) +#define DEFINE_H__8F668E66_FF75_45CB_850C_2513B033D139__INCLUDED_ + +#include +#include +#include +#include +#include +#include +#include + + + + + + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" +#include "A31G12x_hal_adc.h" +#include "A31G12x_hal_crc.h" +#include "A31G12x_hal_debug_frmwrk.h" +#include "A31G12x_hal_fmc.h" +#include "A31G12x_hal_i2cn.h" +#include "A31G12x_hal_intc.h" +#include "A31G12x_hal_lcd.h" +#include "A31G12x_hal_libcfg.h" +#include "A31G12x_hal_pcu.h" +#include "A31G12x_hal_pwr.h" +#include "A31G12x_hal_scu.h" +#include "A31G12x_hal_sculv.h" +#include "A31G12x_hal_timer1n.h" +#include "A31G12x_hal_timer2n.h" +#include "A31G12x_hal_timer3n.h" +#include "A31G12x_hal_uartn.h" +#include "A31G12x_hal_usart1n.h" +#include "A31G12x_hal_wdt.h" +#include "A31G12x_hal_wt.h" +#include "A31G12x_hal_wtidky.h" + + + + +#include "A31G12x_SystemClock.h" + +#include "systick_timer.h" + + + +#define SETBIT(ADDRESS,BIT) (ADDRESS |= (1<history

      DW{%41wSggrgNE4 z;fKHS(fCNg_}Gsh3=Ws=vyt4;3#Q`5;&F9&*>!_zGawNSoQ&s0y}?g8j{Y|L|3lR` zhF98jS;tAo>e#kzr*mRk9j9a4>ezNVwr!go+qU_g=b3lroB5NU=StOGwf5R;?^+v* zJYw4mgYb?*9HTEhS}3sn7XFtcqYS}Weg1NGqTLa-8*n_4XC5l?PG*E~JM6Bx%x~iw z1A$s$!PJ%#=A-U!`IMtzn`TP?A73mZ0T)%s0g#QYl9KAARNWPx+F(0?=BH zjF;n+f7$v}wl?J5GSEp8$n`DMDV<-~K4jxA>R;844uzS`OpYu~#$IJlovV1v2tHZT>Ps^}a~14y)j z@|%KxnmZisy{AfT@vo(onvdyW!%TIEZQ;YIOG^pxux{nB^1XIjI!ah4O*1}5iJDJL zU`~+lNOzVcE_H z%}27_r=f>!-YQ5(f5%F-^GUmO7|Plhc^xE97#G{^nC*uEa{7Mo z3oEUs@0D-I`P=lzNvu8}FLur=0T^yZQIsqJ8Hc@7e>^$s!C%VVT7OJ1{<~L*f1k5o z&5s|3H{Y}aS(?_rnB1oMOJ3wjy$sZXM1lf9KU39>r6qR$u271q+JI|+loW&YdvDkY zYX_3Oqu)q$oRz_S5kAbJl(D%S351IHqsT)Ie6n3}U73vfQEcUxfnQBB_kd8bgV98X zmMIHOC-RZqPmcD*%>QxezP1H-84cvwbgI^t~=@oPyE|7nmjx&O= zC+;Kbu)<8Pr}k6*YqGAfk-|s5N~e~whoUl<)U=jubS>Kb=4Sgf3GyO`K_i44u~vGU z{2A-nO0p06i~TZn6_V#mnJ<=R^LZ;V8WtHg?BT;%VU^p^dIq_0qnqQsQNnWRX`qo3 z>e%AaSq0UyOT2qs(}=TU8~J{k>I7>n`4mr7e7lo-D!xlS3y@(X_je^X>fg(j$ON?# z#%Fm;>0HS3U@k1rrRkJf!?qOoo@-2dp}s`0^buZY!00O@4>PNuFWJl}w zO5=l*VpUk#VK~!!eahe%M8F!lhG+|84L3<;K7TjAr^D>e zndywOY}y$aMAq?$40XTJbtByjW-aN3f1tJA1`!xDjA^_gumoO%MpMZPupcEKhccNoL&f!km_gqpM%d%h?X{=XZ zd}ENTlyFu-7kbN0LTpjIV@kj>8Mbhx?wU3sEVJfJW20xvc(Grmq4&nF-Ks$W-6b60 zximM${2$P$2z(d2e3{o^dgLWzfr8d@9NXiGw?9rV6D7;svbT>|<&05DSU>De4dWvb zdyOf{kB9|UIbU1c16!kdDiGUOEZfDQk9+mY5izK>Y@fYMSJt^}@^F0V$dxPv-9yc* zvDUdSb(oM6Sz|H0r6Pi&PO%_3fuGJN1bTKj(sapW2#Yqlq)4a1yLa_v!K^=L@2D`AD7^JzFtR^EBc__&tWt2 zLkxqSP7ks=`w@z%9voBs`vE4jnU`13;MER-4G;QoU-&B8t9l)9X0hWKY9;qWAkT50 z!(8x6^P%uoGQyNR45`BW$=6EBRw4b2o8L!PB&|7uNL5D7ztVE65eCCH zI3p&U)EfUA+uzv$$)1x9>g(UW)ryg2WQ_-B{INA(T_aeGSc6n!0cbj#O9@mUKlF10sF7CEgkA3_+qAU8)q#Ukj3b1+^LQYX-ZI|j8O*Qtp7g1jHZ)CB)cTGJgbC|` zX={ad;P@-)pHix;B!MBUFgAW8K&*P8=LZb|J)T;sMs;^X6=`0H$(wkPN z#VGx#u>Q_o&j-LoHdq%JGcnYRJJtKC3iuAcdtiPWSN5v zXS#QS*Wwe{3U?{r?%b)v5|~%#b0gr(O#h9Vp4iz$4VRl{&yJWv<(lly{rE$<@Qf?U zvv9Xtyw3UCWo3|}qw1@+(|Iu|ic_+$_5vVzZ!rA#@J_5`-ZrQoEZ_TnR+s!2x4QIt z_Ij`Q-I91qYR#2ID?(sbI%Muz&&R%)O;G}e?5nA&{H7W`okEHz`%Y8#YEySF`|~&R zG+|cP?^BJL0bY?qw#HkDqPMQ4w!>x>tkc852A1aV9c<;V8F8q;%>RD* zEcj+*SaGWGsho%y$-HF5wY1_(jWl@37bGiIlXaaD&4vJAs-rETyex&N+|T(i)Mu!0 zGkX8%=bD}#XUP0PwzwCBc}=s4<@@yq zEC`Hb&~s;(ZouZy_kg?779@iX`X^eYfhII8A4_nZ{LEszXmp5OyeZYXY z1#Ox?9EJMMoBNz2Hxe003~bmwFB>xncDHAc9k1(y3Q=G$uPwNj{8ckfgjd&)Ifyy` z%Zx5I^etkgV>9rnc# znDg>0#T_h{7ENq2)sxhl(#- zX&3qfGJ2WoJvto6AnE_vdewh%MWGK%qxRMkzM0_d*?sIU9n<0=QIKr~l2Zqa+?54D zoC+lmMi@bvE^v|BTCHU5SwkK&@sWxa7xjira!%o5n)A9UE~434o%taqG@0{ z5nKhl7xl%{4rSqmtS`+@J{3~0FJ}4-UIaW&-@ge42TKPJ2mQ(Y#FHKz%Lj&cTigC! zV!Q<}v?lmZVHB>#ZTQ6;O77g0n z+#v6`DM9c^y}GOh&E09 zBLkxp&wF53L9m^4Ezc4f+#1zm2D|8Bgk3LsufVH94ta<+N|mCI-cbkuPbBa6iU=T$ zDU(%&uLyBh`1*F24jPUyvc(md{hSmg^Y2<&1)RPF|3GP@U{ZbS(ig=r1?qi2`|~i< zKm^+_>}gtNhaSmhlDd34E2=^6gLdg3W3LOfAHj0y=X8kO;PA7pKaje3}OHa1vsT`72cZ9OJ@2jxOJ4Q_g|Z)uobE(N_c%-H=9`5}zC3(* zhu6rAEv0j92eztA8435{HU04`<%}Sccts>IGr(7>Tt}lS$IDO8m3TayIR2+ zQw4QIR~T23JfSWH6^cui_r5ExgkyvMq{q20zneOC|zLW}t&;Fa0yVX`cQq zFrQBDlA_kZ>LQ$0>9RKw>eb#q8BLy+lcg9Saqm*+!x#k$Y?{wxZQX})8TtdhZ4X-s z9|hHwr*)U=ECio(`HN3YNIpkqg{GLVV@us53P1mgWlKO|ugV*(c_Y}4grkj>H*689 zm{swr43r7w_*_>?DjgNR-tqUfihE{XePv+CQM9!X`>^uD_uVZZ2qmDWJLy>W^w6~Q1*BUCb~5O@RKI-zbHs109c zb_lBws)OSvns7fONqArZ7w~l?ReS?|cz=+h+#iR$zoP9SC?T)YUu|1DiS@tW7f9>! z=hVRSIsm+czg3)zX9n=6kv_8A#`xxK6%T>{vOztSR}-*5jZm5G6vGPGlQL6;{uTKB{M} zcLK*UM16<`M@+hl;G*ZlVuV@Ysti6kvfP4{7rPz6@UWGc^>H{+(R}nN%fqky{Zlb? z`32mIvNJ`!cdXl$u?eN;sD$=itDMhoYBD8cTcz<+iQ#L&MkE#OxiDF-G6sZ$6Hj zSxjmny@r;J7B)|YhX<2!ImEaxW^bg`_-_6?HKSOeE;2}8JKlgwug4`rs-h)zI z;UtTBYwB}@1)Dl(VHQ&N0CIG^YRI~(($km(gov4P+=nA-&(aRLl$)VE*D6k8?c*YD zu!ScBKmVWXp$)f=ua-pM(e!vfY+(JoBvx+>$Qkl)_2b-0?2neVJRXTflmS0Kx&h3-ioN z4txJ;K2plDs&p|Uz+z!kCqQhA1?Med9vQuwFj!v~&LrA_%X+iJ`O;gHZ%#qcX>FTx zhQVOJR{@ypS(xqKFh4WuW$zQb00s0+wg;Zwrwd~b=%RV^^5-n}CH3(U{`T`>c9g{y zk{;*h`=F$T&K-gX@j`kQnEzr0Be?`XS)0C~x&jSa`A8=$xR+xicY3fDd}iERyl>~9 zkSFGzIt$f_EJv1a_!h{ym0(0h+q5YCDmIhwv=`i_s5u_>9(30L$y4+03&Ic52L28^ zgP8mKj}iMLX6Oy~3{LQsa2)guty%m}L~mI)_CA#0WuUwFX3}HpO64tt3;nY$P^b;esi66%yx$hcEBJkps*ng> zZQjU88t{DHZ0)nwqw<+C6%6MPWR47fE3hBOua%14v*g?S<(GD+Jd%xqXjHzZ3oNSL zC?R8-ix|ZtB#vbhHh} zoj3#2(osxIBJ^qqVk8V8JXUrTa6T9R0@7_~l=ro0QPYi8@#1 z0RNvI$PF>0xQaEziXvKq8d~lx$sz-K|0&q&ST|L_;}ii|HurG}GF_#xwylX8SB6+p>_};Gkgx7Jx0F? zV|lp$Wx5Za@XYU8(aeuJ(*&Ic)N`-(Gx=0@L9-zLFW&O)3g~-;GWd!u2_!uCaXLDX zG6>FXyXc1)x~azvv1a!FHZPltCSD?bWRltsutVs7S#(eztxjYq?kptQXOr_<09OAaYeaj(c6dXdeTb9)P0A5(MoGt6>@MGX!ND2ts zlCRB~iAx&5kYfRpsJ{AVv%RVYm>0i{4WfLzOd`_-s0zxXkK~e+T(66|N^KQSP{N4k ziX*g*BwwZNM8ckMWBo?cg@ z&&P_Rs{FabV0Tr_QI+S;hg3~CJ;07lgO$sslAq3|741IF$G>Ndw*AvU_A_H?o_P~E?I@ui9XpN zpRG5TvDn;Y_I>Q_KS;=~6EKCDxjEE*GiRj0T2v~38C&Kc)08`*!5;moY5^MAaFed< zpm@L;%H+qOVd`0bK1NL)f5i#>S$p5mWlf>_$wha{7JKg8)Ms0UM{H+VQ=Z#LgT}rh zS0Ey1k@3BO<7sfK?es?m(9Lm|`tCWw6xb9nD_I<}9AhZ>dKYzopVnR{3NM23=6xN% zCipPdNe?^(+XpNg?vKTlT7@6I(7~4;KF79lM^kDHF~Fx^a-LF*e|VtWQmXfqfK?Fl z|JfoJmLE^~;*5tKq9zB;l4Hgu?lr^c#N!5~(zdO}=xAq+ViEAAmbZz((qONBwHlupB zVx(HT4U^~?`5|oszBN~=kbbGPK6$)g?$cz4J9hWX_OuK4^u5+xHIRX&Bw5%FTnt#C zNmWI{;0Pt}?zsgH366;=>)k%76 zuRlgRv25=)xy*V12R*f;R(BquXI{PeV==GRTKSrTfSF~=KQqc|*GfA2NRYSbf@H8D zU~VE#k_6wZ?W6qiVg>Mz_%uW&m>zu#r=Yz^S@`CL^U$Y*oUw#hSgbK<;2TR6G8$~B z&-v4;x)G>1;&AZ~Sq%6iXNK?!;8=d;e#w2=;Cg9FG4&F*!?s3C6%h9^7YECeoOyPF z`N3v=xTFHsJXaRsw>^VLQ=U6c>o1^yJrlhd`yA(Qmx~1%GX#i{D#cRtAZ0XGQpBdO z+E{h_=z2do+9b4qS2hC2DNgwBe`=KA7Op_dZj=qHE{6J=>q>7m2CcTVY@e3hd3Sd< z@$G^+2#yD67oT-sfOw@enXUh288wq?ZvrXw$r{so{r#ND(uUSu&fz@Niq#AOQ50H@ zZu9xq#{}H|_l2XXne}n|H#pQw=(`Q!5nPS(S#sD$UDsd;wxC7F`z~J>13VGVMB)WH zV|V$NIK88o$naFXy9;JUK-No2L2M8g~7a5@ZpU@TOZ>O8D%M5qk(r{S*F_P2`l zQt<2E{r*1Ir#VM4)0@1Jn1}CMB7X%d$iuA_Eh69pnEoS5u{7iiHN62j=;xZ(XKCZc zFUQ{}xfXyoQW1J}cQi@8eOzy|beI){`#B({;=e!t9ADhyc6yU3O<;++l zxC7Ny_aD;|j0p++_G1+KSF9~5daLg(mmREbd3~A6g%i=Enhb&^vE?;!~j7c?W8u{7>Bl}MHaR(=3JgqqX z!gwyr~oJTGUS<_RVJp9c$P%O(zPtG?V{cS`f80&T|{MH|kR!Ytt(D!Z7Z4 z3Nqo6z~+P>^DorPAy$c@3O0pVUW0~K52hd{cdH9^3)l~z%pO!Hb?5oy7?fdiP{(Xn zb7xC{JEyJVe>iQ+UV*)2Hjo72=Jb1j%P^A33*3Z%Yb~h-;O0_v+FSs;c?j5|r?2j( z2Fhj8iO_M@obH+4ogE=BXQs!PoF~EHi>^9sHg@Z0nMc(sKP?@ zJOBkOgR{i%znws7ftBYmYQ506?1P@3$_3BqnaL+5cWQA%Be4+M|AsCqLjZ4@gfysm ze}(DXBbCxOkyR@WP;(hx6`Z+X3Our8B|tmzN%iY5U_#zy7AEDH6Hl$a_0(wDvy*Qg zHutGuenAd!k-Vv*3WVNT3dlq(#~vgmHMpk?h6xrM=&hAWO%{+);wym>%=HOiSVO9x ze+GAarO_O_@m6cWq4PrhK>2;=%*(hTLiU_u3&n$-Q)!GsBiz(|iwC(yaed}14x;wWg|pX9a?z6$d}vux zla;42CVedzXraa2ihGeNob!`x70B!*9l?}|sCJ?Yuxb%u1k8+q( zO)q<$nFo^RH`48e5m?59{cg^A-A>;LsJ;T=VbH%o9&&>2X#t-pYx!sUlliq6x?gLp zQ+)neIYTRY#$l#@Y`KZ(4?%j0-c=e?^L;?j?XgQMa&_@>+$y}Vt+@0s`}n%FIS)?w z3dwf+YpV&}aW-<#Q$eQYaDlsC6qLpYl|q0DRfr2A7b8)r$t9n&c&WS z{>1-|(VfxF&5QdL-KC=+qIW5J%uxNF`M-%%LtNe+PU+`x@~Z>FSEcC)dOrTWkZ%AY zgcWX>(zFAfAXRmpYQ&sc-}qL|dYCgW;Zex=yZ0MA!@(`$n|&nOInEyo4tsDT_0#zf zsw=d+?^jw27=^mq5BVy3@Q=3(q%HeZf|F{i2*>a1n;_kw_h82o>T6&P`8JgIpfqsS zq{Xm!9d{f;g*0d+MpG2_M@raYEh2ZJx_<4@ z{l#c*&GGA%&SD9QaAxp_%Pdw5-taI1r`&aBFJ1)Z&-LPQ6G27;FU+MhpOPP313!W+ zvAK72rFzff4# zkyP6n{_-e1abZ@X0#{z|ChF!uLm@=DH9}KO=q`Pqw9b01j}(iKEOe{v5668h|IGZZ zBJeo#FE|Gh+;`>H>y|E&R|Z3Z5J1%Y} zDXxBbl58OMJJEVuyM;syGeL(Mu%cLFty1qGK>zNM0`U(HFKzfaq$3Y{01vpj`96J? z@EY6iSiJqX0)!%u{qd`K{SozT_AE!EMLJtJwMqjUQUvQK?ra}cy+`@Q7V0UiDks4&_~~KajNRMAC1bOr)zzKs`?1YGJA_!&!~H+iIK*(r|)3-^#(Q@HI}9_cb4ui9mlN zDXx#kPb$1$GtM3zv|*4Zj! zj)AMU`whX6wzn|Vo;_$~#b)Hwf7L7yo_SB8n&r+VAb<8pxufr;EmYH&2*^&U^f9jB zAFe$bXW=@~fTI68PGavL_Y}R9t5zX>fTkr^#2KRi$nnT^gfbXbIH8{U)GtLP>~Nt? zxV&&}Ej?U=83`;S=!7hTSClO5%LCK)M0V};tsW{H-)k{at3fmJ7Dt~1+lNIdf z!90H#&=0FCE`g5`aaCZ(G_CDq1N!}zv6jJ4lRfN)=}5tip${(-LVXorx(&nVpI_(e z3E-{hCi7oI%E;JUWlkFuI|x$! z169sF1%HBXY4n;k z`Gsv)TiN!XzOmyqJAYoX{{pL9wQYf#d3i6QJ|FCUsm^x0TCxGbCA>Q8J!r z^O&5C1Xk&QIoXhVDp^)`nv1<&Tl1i2HRM08^4s}bZO;*}E9{o%IMP3_C|r!JY9*p@ zJg+(>2KBHcBEywBVE~5o!W1sO)EN#(tZ14mu+Y9-doV}z*(9=<_Y(pL;0(5j^rek zxKST}liLomS2ZWY#>l#djA`e-=7ggZP_YK-_I-%Wc0~|Uc)oiSTDT|>fh%*tYpS+L+~7za->_>6WJ#Z&{pWdMKCd44(9 zeG*Ux?1Ps;U8qlUXV|g%KPeGI;V<*%Gw2T`!Jza4=8LK*=$Aw8r9gR>G;RAtj9kIc z9Zoc1f|u)k$uVi9hENw_rjvbACtQ^6G8fCkYU9||cR|`fop4&OaHQLA!A>92){DYs zR;aBbc41`r;A7=2zs;mE^bnqgnk^jS2&Me>Ku$+7<8S(TGj$^y0rT!h&Uk$C)7Bx6 zEw3IVCDJ^#a#SHo8ia;&Zp!_0>oEGOvgQx^mU6+z)ya8+N?QUITOx&EKuA*F?o#$$ zwb z!^P2KakIT-X!7lN6-F7Irsu@RgH`wtb&Gxzs@PA0dVXKcB|JkPC`AbRfiWuPzmUiC zFAX*O%Hh^e;t&}pcRd_T*|f&*$2sGzhz$RvS$IzgNg+@MjDqkt)d)^c)wh5tDPK?2#;UvmJR#3 z-$&+!^vn9~PEyltHfx?NZ(KTX{LUHnVo4L8f9EyG(1EGiNiVIZSz_ zPDK!+ThJm4@0SCRIYY!Yp>j2>#zCb{mh=$3pK|(u;1KtlW+Jc_ypuU2CFUf(^^Kvg z7jNpNga|-1yb_#!S47y^5DQvfVV03y06GhPkL|@&Ms-iMblywd7@U_%1S<~R1Hvnr z5l>s5kSwn2F+l!~_39P6Lo$WH zAD9wA9mB_ZYMp@1wnNQOhtFXJ+07RcdLJxyKI25`UWGn+nJocZu)wbtPHl^e?kP*b**cxF-z(JA4C)QIG!nx5`44jJB@e--{6`*|7#j_9R2Z~ zcFk0_+JhXY3ifvP(w{YV${5L;ffe~F?Qj|w$#m&b3@dx?gk9cQE{@HEK>&sk8N(0; zGwc~q%Mu3D(Ij0Ci4<{AOAoonpTWfwvwUoWk%>KpWDuHd+z}LyaColMJ zKgE2SmQTKTk?@eXaXb^(7;`jpL2oHh?&PasGT$JWd)=t*sS5pEwVjF|4^wEl+JX>7 z(bOPI2YWzSa>ygvI;+0xWG1=60)3UPtxO|MD}oxM?^{KHDy^P+8YT0`V*1Ya9C%q& zkJsp%XQQzizPKHD-2LXAVG*1b17{*QE`n%kj03oU;%mX6_4miXN@k zm&N~1f3`^1gj{qT6GwQvjuEkB+6U!gD@}H3z~Iti=?1fM0p4rooe7c6prG8zSakCY zU?!iHPI}^C4b@-Xu){JV{up;}M6TAJEg-(bQT3}8(^I|)8%6}{G|z${e2cF+o+!R? zSsJ%4R{SN2M#vuBij&FnaTA0~!B!+-iPEp)Wem(@D4O?uJKgCUaGUYkoal}*8-j`1 zN10T|lZdo31R~&d!idl;R&k!4e3(CMwk(y&d|gM+ae`q=tQc7c$^xCe%l%o7gBU_O zTtAkI2s_E!j)F|e>V1Am2uFMH406?&a0vB!%Xg{S$QgaT&AquF#e;NhHHd|FdV3@L z=Gywmwd+3$F$VB6ix6Ow?bR+l8)9!*MZc=0n~+H{y*cKEf>g^fGQ|q(K=H$vq!?b> zE-uhVx*mT-K)Q}ZS<;sVyHelLtKCp}1P z@%lu6d!fu|qWJufS-tT|+b;w6AdLpkk3m+{GB7q!b5Z^_XUJnYOtVkWnYh*9Uo!OU z$HE!Z>=#FRdtLpr-IYgfpILN46wqwP&6D;6OZ}1gayH5kK9|;3*f?u1%`0}L5ob{Z zyrj_X{JRtvdt3h5NbR;U=H1jSzrFpq`T?#i!iXC>Q6!v^mO>P_nXMV7EGDYF4#(%0 z#mexg7Ymiu!-NI)BS8;qtpz>mw_C$#90)DyAJ^ZToOE4&wqak`1y!LYs8P4c77d&e z-s~O^EOpJR7I|JXZu;(-1^VuF4sUFXE(pVDJy0eUBHwf{c`!EUN_ktwy?Wc5xCY*h zf!7OS_JNsC<)OBTxzM|I9La)X!>51}5=8F(c6lr`&{~DRn;wemF~f%U>O@IiJZCY7 zj^4x_%ZNp8z_yZGpFD?8K>W?^Xlxj(6}bwZ&}sW^(Ac=98}ADJX61mup;MltW6ulI zI$ES$WQ(D*$5NkvZv|0fhr7K2+ha%8TB{^BQjb8Oxr6)0RonE>_Je6~OHpN~ZCKHU z_xPYRpQtd88p>#)#oiVaEh9!y{B1O5TG2ti={(IGlE>s6Z?HJXD)>8~vTs{CAX#d_ z{Eoxr`EL0B<{IJ&RMmvDY>4LDnoOXhZ!?KzHM_PsPp+8Aok8fZCW+oMPNEx(t-iQ7 z4of5I=vE%BL^=yGls6PJAX+6#5nZt!L^K+g)!S>~$HI8T1WeoYae@Lwe;#TJQDa_K zgyUQS$~hUy3_ZY$Dq79z`SCci7YT(%AhW{I-AC7MrD79!w28@jw!sXRQ0*M0_#_L~ zNK!_s4#Kxhdc44~u}loC!kk$qZXRcCR+FuL!W&HC+#gw%#u8}fO`pSt>3)W5BOQMSlvYa2U ziOUmym`tWynf2dJ{nu=|ml$W=`Dw?h)GUgY9Z4fsGk%@MbceV&;=N`=-%KauT? zlJbScS$j2g+Mq?i$qn;Bb?bRwY!v-qQL8`u#*^wN*CBbg$RN{RVB+jM@W&Jta&l=; znJb{7gBiqwYjlcQ7KAvf@*QhrF6!(F9gK^w5E1Yh`h-eK^*uoP3yWQ*-=v3Mu+HJ@ zM((tSEzxF4aRTvZ^E=3GE%P6I9v%1KCnUKFDYb3^r>(g==#gIixCsVuWoE$r5u($x z)LZuMbttBLGB|AlXrc2_M&*@?A6?2kkWRrSyS_7GTh#$aM~o=CfT}jxFC>Auoma0J2pSom0ENOA%G}Ee z7~K%{!ma?PAx?$)O~EFa9xs+BR%7vTgJBGHy!7_T%3;-v&H`F#)Fg4fd4ub4XRZy_ zJ02{#zxI3NM4vs(G%l-7D|R9uJxT~%PPw8l^v6!=uMM_u1@;osk+4tO8Z6KbHN5ca zMM^$iqMgafUn7h7TKY!yVxo&YXnNXWF_Gd9p9p=XqKh0`j#uK?S2O#l{6&BV4ge&^28r zsZe*!Jm`zfSzfUzS>zjhS{SfDapwXQ#s?efa=*``#f%xun9Tw>gHl&x!r+ecDU_rlkc$myVO2(NMM;?j8ZD5 z^;f$p$gAP5>PRr$O7m8k$ zf2|f={#f#G%I9C?xHnQ$Ue!$^C&pkhg?&OOdK~~`8<8DXMS^m{-VUveZRF+5{LDJG zYr8QPYM6GUfn3p-b7+Z=^u1sp*PG_TRn5$s>NCPY0Up{9@5{6w)zjxJ*XRYQXm)D8 zm+~XF!Em%~CvtM7@TdMeZrx+o#H}D3C~UK(qp{a9e||2Q&Tzd`d@w1#iu(6Ydx<=# z>wuX1>z`z_VaVOj>#)*J8Gs^>;s|xdUwd~~*g5M;-XD_f!9yzcb=()Y|Kp=gR)-^G z4g3rzX41c)$9@X*3^7xMZk!hIT@obMs=+1LEQqB`mK=b2PFnUe%AeApYh9V+=x^e?o+J1>|9Ybo(b ze)F6>xY9oo2J$euh|bsAIaN6}a-u$kZwMf4z=?3*0&-#5x%UGjSXy6@#cIrbvMqBFHP|Dr?7a1~`S>q7qKu1bNz9G1=5c#W@B z+B{sCvdsn3&D)U5Y?n@kuqAMO4GbP^+q=;>*L#QW7C165BrMEgbs@BpOd_O+V!~Nz zAXyRM6&I;r2cy^?XcDt8L$!%7-*sa$X*ydK@c|wmfZGjmmtGDE-#&j}oi z#&dSzxw^(0kBO=!NuyCSz)52Ukp!>;kybvUP@(VXFTy5Mt{;eL{Cc%x9RzM9c zBH7>FFO3$rAjOZT()dI5zg}{~8*41ldln@Kw_}-QMSi}mvnH9HCaG_2BU~-(0x})L zonvzCdGh?x|VE*j$)kXH0MoDtcmtoNqANg2t5U0x$5qaeJGGYG8c_P3Va`j|p}#$`ADo8?%I-D!3#tE&&eCFFmZ zYAhyD$0v9*pwh8}@AX3=_P0NE(nP5RA-6*i?f$|7d{n&!n>C_9M_Cezam(-*x&~Ju zvrVBZ-}IQU)M}P`g{jlcQY=T{5!&R_IPR?KaK`~rDY)&Q(A{sk%z1=?-n3IaYQ*MU zR5Jc&V_0Tjz<}OmMOyS z_^QosqL8-Tx4c52g&FcOMdmhzTKS4(`^4IUXijPwFlioZInX7hng4Bg5E@H!hhiDY z?^Xph7wfEQE}$@3oi*|3o{iN%!P^~k*c#>-G;FHR%{rYFFf7DYTEO`{0*zp*Mxo(Z zkbPU-?Qf32t^#(amsuFypZ+~No-M%fmr$Sq`s*b$@q8v#BQO(H{8EfRXQ?nxAZNEK zaAB)1RSk|r)&dC>Vjd!UnMTx`-!wBt=-8>E^>7a2bT$k*c0Iz_g_EBuI}vO~yzI#@ zM^v#kHi2>3JvoAv%}P?iZbG0N=(Fo@Wo%BsV+yUBPU5+|Ik|mp7)&*OAugRm8b~R+ zIUvE%)sFLWSUrIh%t=?qO*wk=#r!QZ_}8O+A@zOQND9rBzU6OnJbO_uIf}??$aYa9 zR5Mg4!}QB<;lVn~Rk9?{wVMJ;^I-Xu8*zIwQgjPs|K1tRND?FRG`V?1$IBP^hJ5T9d!0Tb561&B#+^-iPlIn*<1}**sCAt7h%GeNTW-jDW2xz*36XV z9m`J;AGH@0@EiV|9edQxqx_i#uFd>i1jB^=#P&WGj%v1qnFSiMYi#h@5gw(Sv{+l< z@Dqr*Un9~Twy9zv4Vx?FLKo&5e9|V{)I+X2_p~Ost9L`s{yeDx7OEhf-Ti%b&ckFp ziLdmXkCLa0m8e2_@gT%&lyyva@9i-P84iZDF`!=ABT@nj#xOD3pvjA;GrL`@-yM3s z3^uN~<+fLgqj|>iSlrxxqed`uhALY9U&ynD8%3#J1*InC>vaVO)*~ z7v~L@I~AAbZ!kaI?EyB82oRo&T0+kED$O}R?PYn{H>m!^_EjWY3zk`6;tugM8o`3K z)dKY1nuUdDP3*M2W}`9q(i9w;-Hai2fYhdS20K`eGMsJ<_Z*w%}<( zwqsQ_wYiA{a-5N>0-Py7BzcOWi|USal`b!}b=}ib3`B69;5n8Mc=0a{ zb>*9QwF6n*{z^tiaYcHQirY}0!`_o3?(aVdzt?2%)f^6g%51lC zL=;UbQ3sg{{a=B0M}zM4np7SrM&Q^HrLW15@?GB9gv$hPE!n@ii2MCWAvjM0V92iB z=PGrMxRpc~Tm6Jq)&|Tr+_;NH(b#LVeYD~Hp81|8mIOMQS~dXFXrtp3b3C0_n)>Bh zfr5TKeMP+bL!qdM-pL#wi~HrXw(0Z3T}a=i=8p*|eEcTyD|4!Nh=2|8q*`WhTm3N^ z*H2YeV{7QCO`?J#dMOYzrkcz-m}UeoFonwRh+ZwM{O2dN76Tr{`}_Jq`4beP7-7bh z=pP0O{2Qoe^7r_<^7%jMoW{y5&O#w<#Mp+3sz&kB2!W$k5?F4Z!UV3QoVva(ZOChX z%zD=+INIWem_$M+|LkZgbj58n9|d*Hc8gYeNu3E)AdX$oz>@OY%IqiB_Ejx>b#TXK zr=s;y#fXZCXBoZaXoHG#%-%kKs2AR&Daa84#ke}aL6FJ zuD_O)Z!G5mX7{;1RDmURYJsBDiaGqQ_JVRB{go$_QPisQYX6U}w~VTz3)Tj4cXzko z?(RW?y9NmE5ZrNOm@R}nIEJ+M~BG<*Z!ow*GS?UeQ`o3AaPZMtxJL}LJQ3&7f;?%lDQL! z>W)+%vvr@HIR|&Is)MKgAnTirLsW}RriCBy_ZLSRmt7EjAtO>kM10C4v?is@Y42vr zsX)6xeJ+G2i}_(4b?Ut{`Vu1;g-(Xw%bDQ&VAGGw(I5<>YO3w=Gu3<&9C!~U9WFaa zwe|qzdSoqf#I&hf%I?sK7M*G)CEBj}lF=ywwHc}L(wslshii;)<&{$=?S3mihqPW< z&Ms<^E#7_zw*5rU_ClkFm78j4$-0JX1UX~Y#al&$d>=-mSW^B0mQAXrrtB2fStw`I zUt%V=&EV#dN&Sed7leVjd1|8~f}AF|x=+-aZ~BjCi}UnKExd6V2)5E!&S~S2&o?B9 z!j(QL&1k}5m0C?Mem}!~FxQ2?!s$QnBSE}7P#E<{=Z4Xgsx_HU?96A|^S<&Y{S5|l zYI4$eB}om+#m~Nq>1Y+C^@`6FSj7giCAkaP|V|vpj_Ov5RSwCH`?Rg{h>8W97{-0IV zNS|$|I3b(-bT`d8zf)){hLO#MkOa7@^d{*D@X6jkx?^s37DKDJ2*@E6l;QGT?MZkN zj2@1DzzD+4te;;Y4idYty5kfr=C)&jy2A7FwmVdc1!0*oV`71oOL!z`%&-7yz&l-C zi12-1>)>I5l0P#TwPhaJ_us$91;}ol964CrgB?ld)s>!{Xt8%CZ~9=q`<+Z!At7Fx zvng$RZ#NGgAe?k~u@%l_>lN>3`H}kgk}&Y233*5>2vs312^RqnOq@)=VDHO^(}i9( zQ6ZnYd#0J8fc3GXuspa|Gk+9mkIGJ(KZ~mncpi~Xaf6D^EY=EYUAU{w`a6vSeWM!$ zx7Gbe{&~x-4OPdW`0QpUvYK0F!_W{5liWSmp|$!~uR7xwd~vrFjGC)GF}ZISioNk| zwXArCQU`Tcr{;8Z1Ud1anTNtk@8G#qP5VKnKO1csPIvCk$7*L17pj5ExV_40 z2MBX@N|vL^F392w=ty{V$S(#x=6$zxW>I+EWW=y=3CdU6QwR4f9$5&LN(kGqu)mWCN?Q^-B zO&Go(yFes5_!npIA+@~vheY=C0>+x20bsilxBk(N&uOe3Pg`rqW>to_$NedKhx_^_ z(aLDb{k_Ia>-L@h*`G$fh(C0_0#nl{VXrFlnWV~ZfYXC}|fg_&2vzs(^X zhfq-$va(glwv~`CKC}}_z`{xWn%!D&(t#xGXo0M}c zs5K~6wabmwWGywyB?oKgiYAG|BWV+d^maJXy04DM+Bo=VlO`WwUE+6bUN>ZFBr7rv zMsD~)V?=8jUHRI;>8vbwLoaZ!!_!-Oq5&MbaNiIN)m8JREdX8Yp;?1j`)tBM;6RHjeM@ZRVjq^R^|H% z@ssp7k$NZBq$vSwha~rLx%@I}b_i|zo*QIuh6KwzA6kizY=V8uj#vy%lm5G_nV+_5 zj;%)t67ulUm4i-#WrIFRa}^L_KO!mni)#d!&XoW4il)ifr+bM+t=RAoL2XipfnI}S zkSI1+W-K%xzaEzHg#X@KZdI{qx;32U7M+LPeE_jBUm|XL zVG3ONj@I1?)T>t*{24@^Q;DdN80n_az|4@+zlpDL^*px_V|LVeXN*L6QWkwfANFI#AshQq!RcJR{xQL6F7e|-_#c<%p(`3mNDco-mf)T z`^Au1Tjpo|#qQnnyAsO--&9jiNRcA*^1Ih?HNNm)i=`#iGPOH@kzY)5`A33HRAZIm zIC2~IF}|3NmU4bh{$a-ti*J%X(z4^kEUlA<1}N*1lM9dR7Y?3aL}DM>4D|91BmH)5 zL|SuwQmO-kpNq?Fym#_lfaH`*#ComF2pd3p}>P z#_^q1pbDNo+%3_hME{{{Z5O;DzwV6mshryTZKJmKOv3Mwn2>$}A+0-79Qv&*vXM!KDKM`Cw&G?JrcfWh!^(oIjCRA`BjH(S(B55;z|Rw0 z5;oAEPoDqL9&{h6u#*B)Y}<*LyKZ5x^6eU)*wX(CPdlbWU$h+(?bckp(=|hp2-Nyb zS=~ipyxLBfo71qK(iU24&2H}5uPFFhG5GP{Nn+$k=D5HH?5{`>Ay-^P6~*HzBZR*F zaN@I|{@;>2SeI$nECJ0y#n%W!sQ;0`xU_ZWKKdnT%$lQmL~blWF?zy~J3t@o@etJe za_G|Z4O=ec+I{s|fpLUd4}-rF-;wNzH`c&yre`s|NgR;2#gSYzn0iEDLpv)>zxX3T zjK<9Tmv6>?LWJKiPA~1_;Wn3HWRnze=(3(1#sOaq_ zRoCh4StW$e3B;bsyS1|BKIR`;WbPGYOdNujn%LZ( zJ|j$DH#>qx{}W;&2DuAtQgnI_H`LC;Z(l^uhqh{5S7~J27i^>xjA#>$P`^Qy{6wCB z|C-UJT2OBupT{U_5K!!DBU`TQ*VwMrRtEOM77g^o=ekoI% z8AIXc{jWN==lHPJmZ^ra-^>^9{&ZQnE!5IIwSQ2Vyvr=TxP+WsjgF5vQWI832~ED7 z+gz^4$}SS@aAHSYZ@)GuGuS9Cf zbqR7iLILe?o@d_XBM-RtG^v1`8O99C-}rWrE-~BRZ#vLm>O)lg26k-|pZ83CJIpQ- zR$P0vP-&wJOj)NNY@O%R;vB8ZZL-H0n&%ds2}L{H*5~lCHJ~K_xCLdZ|8ommtVNQR zaFxINye-*N84UW+8asW-RqOb?x!mD;-(L~IyvQd@tY68z+02ul19y?cOZ$tD!8%$% zX8`(e4#*`{qLzqd7x_99FnasZ{9z^(kJ7webf#a8VG8=>#ZrNVr8dIye+fYGo@q_l*b9znkC;9IAC!p#SFew zIybMJjFy&sLh}l*Qq|}xf@!EfF1nZNWr?xyTPFZ{-)DWa1(HVL`|TVKnO+veh!8q) zqLAJ#xinYJe8c(eplT7bP^LBb%((+D-dt9b1!pC7`EXrSbh)-|NRpAbbFDQ}hVLOS z$i@ZSR-v8|B>NNg%=~#-_^xMd*1rBtj2xKIUiC+169Ax1>~z6BClK2Bj8S60l{-UC z=NkTLh3pXWn}puRuiUVfSc65YL_uzsJH>mquB)Spyu*tf{^Rxe7M4FS`~w9r>;&-m zJ3c38LU~92@-t^G=+b8s!iUN+!)rQ&-o2$ltUkC%OJ5jm@7;fpe3@EX!^Ec$ zQ4^sZX=i`Z2_+))!#2lE;@obR?ZwsFu5UobZ2hS^**X;aruTi@^d(k{$_)c1@5J|t z=hS*IX36YIgZ&2vkb%T{8>e}Lq7%OKlxF`L3SRCL2-j>s^V~b$R#oU}TcjM@Wij(K zU0dLOCdxZU=QR9VFp@%mU4AZ(`ue{+z&%B&t`mvpT^32ou?JeYbTjr3K;j2XL`YxL z%G&3V0a6m~<79)h{9;cCtA;ZY(mu1i^Ykv`a1lMvQn#KBUzTCC-%uGBMy~{$7~rD^ zY)x@NjwilR#g7BuojQIrcYP6FwLv*gm9vbgLPGe;+6JZxgJ}AHW4twWtOVbd+!rr8 zN{m-?r!(G9Uh^)3{yRzde;=?qt+q)$Va>w^4)Zs%z~3QDm_1edxR2U>T%A%ie=Lr_ zJzLa;8!O7Q4->qDxI#l3e+D@aiO~*^f^m4r5!_LZ+c7)?En3ZMVjPf$H!Ij7s7{L) z2$k!heXEzsNkJl$T>X3AR>C5}z z6wv1CWoeJ^_=t6|aS?uwg<{J;@Yw=Cn5QwSjcm z01Xrn?cz-9qq3<65vx4FxLPo@o=4=bP8FQe%|mwZ1|+oKlW40G{wkDnJ7Grr&rucD znR(TpD*Z*{UrU}1`-c28r{^QYvP^~!Q!ve7vW0U^j-7yGdzNYEv}GtfJM3++L`kknH$gkcN36|5e3oPHTi)Azbt3N zJN^>1nY>0pd*=r-StAihsr+_V;gpo>UsDnL5sr-}Ku@O0xCq`K3XLxI8#iKtM*wz5 z^v`nJ^788T+B(N1y8y@YgtxcLiNAZvSSew%#g1AR`tMG+h>O1#4;@w93p_U%g%p<# z7HCjnaY#Egj>=ZQPO|elM%o1iSOQasTh`P^x`+|rjyLs5a_NsJ^#4I=Z@AbHC`*XD$DyQASc}QkKB7{ragECo+)QN#X5OS@f(v>5mcS zReT(a+@bv5`@qhe`@Fr@&1v7!tCpqW-bvcgiPwfU57T0*xYgd@F4&r~Cecx(mDdfW zoG@3L?dKskeFgc3uO6dp3#%$??j*;z-qm7o6IL!H`f z$I75k$uD$XB-(`xthx6yL0z;eg)r9f9C#aVo@24Ny2Vc(R#N54i&ZN%_16RYJmI63 zMpT(7>Y{#}2|*(K*x zI7E6;fm7*&%nLkn@uer`No~G7cu{cd&LMXK)#6bc@Y3*x(mw=i0<2ZJd%n;vY11%t zkjgVr8Ps^4A<57!pM#JkzFd%{#n~{*b|vS;)1Uq^n1^0;sGLt6RejF?6k5^nsamBB ztONY2&^^33e(kv6p*r@E?@#dgf!s5#rU++|W_JTfrg#B9eQu*r?bkJ;UW=lG==ka_ z$;jZu5y>}>`$4}suGPGtUxYTd!+?ySdFE|d%hvlDxC=iN7{fG50HX@XeBYQ`Zgu~q zv+(d#Hq)D!A~4O=95MwQXUSuV$h6AsCS86yjors}GcW0SV0|Cl((1~W5hiBBYnaw_ z%t6b+)&Eno`J)@MQ5wtUK;4PA_Et>S;dQCQe=FwYJGQH?^n3Q&Z(vGkFd1Cn5aOI% zhR}sNO-PkyUXj>o+@JgKK$g5Z6;RIbanK5sFXt3ovurrbOEddMY+s`uzOoWt%5==# ziJta9ov%anC-Ro-8mY9cIix`vpr3q|%>`sXCo4Vtl+v{&1Aeh) z7<|%Kb$mR1^^+w_YAq03=bcmw-%_C#DAp~yfo=R|#WoIK5G0h)1#Qd#Y5okCv_BHy z)mxhAHn6}`9@kW4RHDETwWGwUsP}X~Qu%x#)4*hW zR!4=71L4F?G>lq5I3o?;5=V*Bx&s&p1Ob+mR&3MvclBMn9UO>jKne#MVD=qfS|Hanh*AfTN?uEPE_k162mR6bppsL3SL7Pk zC^||0+qq#QcPTOiy&aR!acwUHCCS6#OdgMeYjYX$QSD1~kMq2_t%7%0V z1Gw{wJCNk(I=TBVOwWc}@IUAKp9YPcT~>Y1#nA12J-zbVGx(?tC+zS5;x>Oq`0r^f z24!p7cCNaCC4(t?w(E|6lk+y=N?k7;NziOeZWw8+{C*lTUZGg?smG`cnn1(xlvJf#H-*pR#b+ z5u)DBedZ#$-To4|*HGXozFN6-?74QG+xzDyXDommbVO{eU@P!{6L~*JYy4c>2C*2qm~>Z5@NjE_;de5O@ufn9&0Pk*;(`GlT2%oQ~JYJ z_u^oi?d_?=g7XcMMjAn)Be`9%2Xm&W>60Y|mcx2Wl#fy_^Fia+F(jWA^GB;%Ludp2 zS)4o6$lbRJB+hldLUHoDWAFIvqEy50lTx=1I3ETjt?#m#x?6#$~#ZVoo5sZ#c;*cChwBV~ijoblVAQ>D5mI?i7xh)dLoEy_p z6ZZ1_l(Izr^n7)|7#nwykmG%jh%d=>RrERK@~ac)x5Xb8K`$gT2IhqW<6uVVY82~ zrBd?PkW}xCF=mY%QQ2TY8ml2Wy+`EfFNsmq!9{vAiLP^STm6!?~)IqsJ! zI}aV1vogq+c(tW%^^Mx0wa_{M4hJnn<{vtpV6M4I5^_P#+X)bz)33cd>cPm5o zu8UG8Vfc3;RZW21-X1VO4hNQx?$kY4-9>QBJnk)hBFW;!ziJ}T_8g>+S?`V4QRUI; zkJQnnyrORwT;fM*;(KcE{g$^jvVYy`ZIVli1}NS?xTN>7U%_0K;c^0IYws|rsbDvi z{Kr!hv`em8=%>~X@p0^Z&3?X2%p%U-bx#E@6zopxbc5E1O;>j{k32P=EzkhEI)Zqj zq)KW#y^7hTS#rxFUjfpU7^!8@GzKLHbO?223BuJJX&Yd1^%Pa@9hx#WI7F(GqK zKdiIWIg*5RT*b#E zd`n*8?Qs|52=j*xLhLPArJN&S-sh-A{HL3x{+3;W!jzi&eb_MN;QyY zeWAyyXHuEyHIW50dPMGc{l>GVre5!}ZM5}{GePc;$=Z>KdGHIuR~HWBpVo-Z!hQ5; za#jqo>Mz9#c354E%M@s5*8Mmr8}d;#LPB!*tr@3_7Qlu6MMGEH1jB-)1IB>mqKHZa zZ25Re$yGHJB)V_G>o_ydn0oxa8F(hwP&=sTj?K8?MV9T$qN z(x8%(Y-g&xFH~b``rli8?bn9lvmWoo(TUVS6p+!d4kvmcX#IgQD$8N>un$hwGcPJ< zzLvF6r98^+kG2npOT-1N9zQ}gwkkGY(5V!9P3VJXiUjOo8<*PH}cawk9lySVgZ6ag~ary z4Z3UkGpk?1xb~mD)|)PUZ&Kbd0SE3Dh9M2pj^}$am)gDHDvwzuMB!Q^g{W-B;Ns;3 zi*C)ACTTs195_vR%)%SbhB@AvVRT1z!T-XLlrG|%Ap>gT7FHHA@O1-ga0^v+)3-cT za1UC$6!LL;j+)dSF&SQxSaOq6fu*+tB+yj!Rpfe+nCTi~HoaF-@$M*`878)U6Xw#u z^xUSVj?H&aBwonLx-}ly9(v=f{jY$%7T(*%i>0bhJf0masfitCQ}8=`X58@!F7fc1 z%D+TQZXG2mZ=-K$IpP9~qX8{5fjP%@CdjEeC(iik|Dj7)*4U4r2x3l4qKj?iAv@-} z1sh*eKdRtT$-Uz{TPjKi!TR)s#I`!zcdb6Sh z{?zCx-u-#_8FEDv{m~=bhga6{0l)_7{GWd16vDj_j=QJ+L}-F`tWPu(_|E--Hv-NY zKit7|{+2awKG8U13-8G{>51@PZ`AIcicR~f8Zw-WhXAEnQSfLZ;B_ICpC>d z;gp1yXNy6xfRQRu{rTDnd*kfjH2L{K%Y8P}U!?%IKShTxbEu+k-GjOW zIp2IYW((0^*%IAiu~~;G&r4UKX-}Trjy6fnVbTS%DjVnur+u{OG?9{;tl90Q6~GX{ zQq2#uC!XCU-@B6WJ8zG@Gwa;ej9!nWfRi9$BxwcPuE$x=SLvqJ87U&1qT|@aP*Q{# ztK*-pge$D@jQrMpf);joPxqIv*A4~3kJTL7<1lZBm@k+iD&gUmVB^#9?}i_Q%z zreq0R$gL7LIx(CwmI`5iV99^^TM<*XV!6BJQ_R&VeybiK<$=|N%mAp2iGZp?Hm6Mx zDVWBA%C^tco$`uX@`v!2md#I;uVwhEE~m$2!b^JYZt9ELc8(pLhvllz&-CzUs)%Gp z%d%X~Oa`mki{s+{gxVB0Xtn!VErb7 zr1h+Q=8M&F&wq(8x6%iTGdr73f0Ntpv|A;9F23ds|GWvNAY#HZd_5_fpf(m@;V{ji z78)s!NI>fX#w^mVM3ExC4neWzKFz(0^$^pTOy9}?>tvu_ti$Jub^!A19}Z}U(?IgY}f!7l5tARLQH1gK&dT@50*u@{1v1|Au<^s!}dwPo&Qvo6;_$v#B#-<7Qb`N~{G#>+s!KU=y3|8~SWX3knGI#R&;M+{vf$|0sm)H$&-e(Q%j)JV0%zsIX8wX@TaIA4pK5hhJM2c{(Z?Blwy(j-l@CS<{2y`Gj(D6q$>E3$Wb3Ri|3kpG7eckVbv@3M}kEGl|J_e#iHF z28o*Ji4@iBV_RhbWvlBSC4@XY_mQ`a@`Ai)fPLD*(iy#~>iPV*xxXEKrqZPMIisGQK0*`+@IRk2bbeyHPq(mj7@znOJMc zyd<5F=mYxJDwE4%r9`#3@MGrp3e5uKVk5 z$rTSF-Mnxm98vDmu|tugkx9$HDf@qQ3=W*N*yl6NtS)753i>|8VrW$Nd0SpbxJv0v zBB&iayFUihMsVBqmvRb;7QXEghzY9aH57?2PF4^Lt}2i1tc;(g&*9iZ?61AA9Uc3& zy~lV1Q4>k+b!VeY@NT&>a0aF)d+w{PCG4ZM|ADo|ZAGD8)0M$CUPdBtV}4<(ZY%5z zbH$?LhZpsb&3AGgOH&&Tr6OT^!9~GUF!PBM+(|2T2C*aiCGpU!08i7E?lF5)v@G`P z7ebYNRBPwUNk8lk7ky1=3S5hltS!;c%%e_Kr^Az~<#5?mAt*}U;@2pq*0=aLs-idr zS^BTXwWQwIbaJl$V7iscSiupeXlcxfKvtlD?S1}7V)&h zoE9^<^fZaeivs0d z>Aj%|jr%a3t4eeIB2H5#badaOnNruGaJcsa<>j@XB8RWIAGI2oYAe4Bw>~RT+N&5e zN+a$1;sffMk4XQP_B>Eb}>!Ck4$JWm=(G zh*I&!XgK==R8ut&Kh9JZ2<#p50Pcc8)E$f=z_2p*7A)Y+_TFF6SC;S1__+JM@auEG zS`dbrP$^4BUhdBk2{C!A`U3^&Rzcd-hZ0X87ou*7)|9qrsw- zbrv9!zj&!g`(YwtE(0(MO6H>k<0j2yq@5CfZ`%t?uvT}Ge#_~bJ0IL0?=OIb#z$ut zKnh-7@7=ryRs{wEcZE5CF&kke%=g4nj+0!)mPdST92F1|bj(KvAjZg_qaeR>2!nty$7$0K z-TN=P@qI=c+js8=GBSsH9lm2{X-tT7X;y(8_bkQ3aLROJ~zCwIGH|^ zcc)N#JY?se4%Ppr>L9tAANP`;liv7&xZ?B!Ua(QH zetmQSMPM0x=Ip~V-~$RSj8pp2p!&QOah{{s_wDHtHzl}|V*hInObnTv-k#!rNmr=< zxC1eNbrQkzOC#%uh4->#QsUru%vq(d^V+~Yx~Nul@*+o|df_L4eZ5!7aS8r*k57JK zG@aP};1vxB;~NXRE)$)RGb@38lN&3E8J?yph}u(88Ih*h&|`LEA^rqEObt?%bz+Qq zCeU{wisw+$W*)}c_bm3CbIB9!4w(T2-6ox^Wh04J)bU6&`Q7~^>V=g45E$-?qFOSy z5y+dx)WhH3CX@2s`ENDZQ!F=NPyLo9qW1z88p=Q*cmR8X(ydG7JxW1BfB6h&*G?eHTG87{6ag-89rPy z)_+g^>F98jce(MnI{K@StjSmg;xo#cV6Iosye&o)s!SCFcq-S-IV})KEHz7*ZXl7{ z8NBv1_-TM?9Xn{?a$6R?9^R~1_%oCyUc?Ko4*1~$LIy`c_1~jgbSpIj$8l6%>1`BLLFt-zprzsujPQkto}_Wjsgmc^wsZ> ze#8rPkVC=UPU7=fQ@px%$=GE)sA6b}&v`Lxw%+OwIrRs?>g0)M4*ZR)Zh;E=M_ zKp|Mhq$tZ{{P*YdU0UzKsqTjIx8pgEw=arA8IY>pm!yD@n@o4bt!0T^1O`*Za~Qo5 z4txASHgEsiWC71tezrSMIJaCe{fp={O>qsf#u}`{;>v51f}ME$Yk(R}j+GkQIooIS z=6i$+vmqwf4;Mw~!w)9^1t+XtvThYSf_!`|cbJplC&E>jxLxi+_optiqptE2uXW{b;+X&zo&g8|(%QI_H21Q_cdsP=@6U}4wC>8LoM`p9a z?XNrfB^Ojrn|qE{x%YPdyYxPFus_=U*F|NczkB|zd8KVfc~UjeE$H>dawLxueFXaN zJpw@1a222lvK0*+W6b!gPi5h^e!BziVBf&YApj?VI0ctW9YDQ2zULPlCWd!(kJdf* z)7yl!n6{bgN@fqHUlMBHD93erb!ayhD*Zicyu&GNQ%m^J-jKx%$Z6XQ%3VbQsSUBH z59^XfXBU4w-s?MWvCG9HB~Ft(_8$EyBIr*2VJ^U|LJ z4nKG|+XeG6=~f%9?PnPOD%?E7TPk8g{lKzdn0n?imMrXV&BqENlJ4${++;gh0Vvj| zv4Y45LqpT7jr?QPuwRf{LPo7pmwBs(fZ8h|{42(13~QLB zZ{WEdtVKl4N4r68z-7` z@p)1welsgnBT$YZ>tzgg5l8$K6HszM96m)Z!EHLE8&y(?9FP6%`;44Rh`0(~3FZZP z1Mz^Jo5r~MA;m~ofD~ILxdrR3Ya6vS%h0MlyUK6JpLIn7J2-&O3yf}zTp9Dn}D)awI61O(f zkFJ&L*d-muK$g{MUTqfayfwzsY+sh2w*tG6G2w~O=a5UhL!-g^ra@$s06JQBZ1N6a z3bRKOr1**$WX@H~lHZ&M zLHY>l;OC}(9Lt>Cf6LP&DEahJ)+lYxDERs8yG0;1FYRZD8Q;n;_zfsoB`y3)HuO7p zzKkxIR!5=`05Yi%3A^(&q@sRJNiTjflt7LSdnU6Htm2ltGd9MLY`THuw1r^bRG=|% zOD$FW?FWwh#98m>w+~I1$?0gkH%fPk8eG>6HK!D#tA*fF;#X z`IYFG5Pj~WKb0|q>0-`txiiR|eFJ2ktcCDD6c(Y2W#Ca$pQLzJpJ*v=U%v{sWHVu}{3`TtbTL!aXrxvQOE1CT)f2zwZnl%g-(&k>CK-7srd-Gu+ zZleDn&`P@pNmn#zl;lp&H;Z+cXs_-4MHFuuL?4aD?I~=^^v+mUSs`f9Q->UBxn)xl z;)z{l{-7uPBD~BI1WuH-8Y~ntx0bso*S60tWoBoVKrwB<`x*Uc{0PVflp%kRrAfW8 z{VH=~jo_>a!q=xL%yxkb_^vUu&zCC*uO}OxTv7AUWF*@JJW;=IU9_XDA8h{i;l~OH zh=gYrbBE-fd(OTBUdxC$`-0ziYgA%;`z|VKNk}2stDY=z+KoT058;<;ker@1t}A(r zz8jx#+rJ?bd`C+jKWU>dp+;;roxf5-dAdw)vYZaei{6l9Q`7a zv;>W`yF&?ZHZ+hXC5ge_A8^|x1!C2rv$_r$t#i3FoX(4QWnQlm>Y$|sh$&q}9$tJE zD?wcPsF1q5_mC@7?JZuQ@&dM++(T*~;Z99+Ck!OC#s|)sSlv*0^xCR;Qs(T^0HuIM zxP{%=E3}8Jo$Qnzi|Gm0CzD)LYJlfUdU}K*R{_2oOhJfP;L}=4&$>z8q??cdcpnG{ zt0acevO8&F44Qrn2ibShBTF0VE`<(O;k8b-@|Bary+2 z5dal37i9M(DiUC`Nq(1Q|I7vg*car@Cy@Qlg!H`9XSd2j&G6gN52K962Bc5s@0m{6 zN*_?#>VDZkV3pw(?k&}O1@-4bX^(-P#c5IdH9@EQ3=}{|Nrdd?R)|!omQwDt^v&OF zDDLlu%OPM=*yVb5gRGyGAGq`BR&Ebu;JW;+0iMF;GtooAH>4wH;fTj#PdQ32$nDB* z2@@k5&JT$FOyc(UM}klXIU8GlPhG~-*L4oM`tUP#S%>uU9IXax{OeoqyJ;5S4FR*l z)=G@zutvWKTw+Au)>NMpkYO=o=XAorbf9rFByYr$_9vg$NaH~)AF#W*=RMso z4CjoqD;-4@88jJYXk#1)>uy(n8`_i2I%S}CN*g!r*H=#@`Y9m7_U9o8I#=bZrTL=V z@)(83TzIB)Yz+y#vZR7PJ1ch)aklWd2^IOhj-2ZgUI(0~K#tf^5%ta;3{Z;}T$0PS zr<`<)3pUsZLG?V8!SHRtN@s95@GQQcw3{~y5`4u<@Rskwa0slA`6>Eww^f@?ib|Sm z_@@<1Hw)II;WZ5f=fcfQJkFo9K)D&=Pj<9 zbbrlxTI=mY*yv}y6PsrY8u(038|sUA&G<)@a#trpXW75-3gM&4fgS4q9U%D>_+tMU zXyM9+@eH`7FY{HGD844z-V|x2`LA>)sjaTBIr6VP|J~vIOLqa?Id9B6xLU=WSHrFS z@9%$#-*j*XS&0G-I=?5_a{oY z^DX(@>_WARI$e_PRJGJ?R`xBX#KEnMq-pjA^(mzim-(s9FSF?}mF4l3m${_9ORTU_ zc6hvg63uGPd<+9up2A9xM^uV+ZC?@7LP+)cz>axR&X30BDqUjJ9|J64NIUBAF7#Q} zuiWV#{#KG5X5NZg^}L6b)@2~OVK=>J+AFnznEF&kK1{1{8_)FLG1E+MGW|5K+3Qj5 z?qk2$b>&8EWHj}p&k=J%(|1VTlZ`MNqptn^8GT(OrSX-{k<)xIupU!vWhLABt|r^G z`R}i@6AZNLZGs)9XQ_Ji(yNwjeacE>>*S~%MKaBn3=QA!!hQ85=C4PsMd_TOJ}GY)El=4TpOrwnA z<_$e#2wNGMu675-w7vXBvR}}KsjtQ?Jd7LUXlgM)1S5OMCqPF1q=6+7Mp2T{Y*THA z;ubAM5TTDAcHO{`$g>@MPNg|*ukS_D5@?!J+ z3#%nCFbC(|2-yT~IdZFKl+T1<0kw>wNw#{Js&W4pdv6^TW%u@v4j`c;q2iz*(gqA5 z-J!IofHYFl-6@T9hm@p*baxF1(j_I`CEX3f+2G^zJm2^Cd*5~bIP0u)&N^$k*397S z>)!i{&vkvSz30A5-lK_)*@})rARY@Z8$zYu1=Ll49X5L?-JPm~OV~&K4e3N@rlO4jZq_<`HBYi|#75l&`wmii4ypCID*{ZtT`sm5 zrzZR2C@>+@q}lJ&o@_qS9H@~oI1Hahkh3@_XAo_cOnfny--}HQuT~AuleQ{O{bZXu zCX?smL9Tx~GVnTUbNsAqS#1T}MWME7-Cd(G6b)h)(p1owJCfN)nl^7U|KaS@36JV8 znJF7@ZB`0>fzXnVddnTg6LjBjf*wFzKjt9ZVI#I{k+ngu*Gq>3Q)}O?pGvN)sdE!P zj^X@hK5~n4eR_LYgw&#Tj0ysAZ{*Y$8RTui0QZ@VyKUj-;uv<2u(mYj6(^iEv=3Vy z3Jj5$^B4*#`PlN9OU1y1tde?s*n(M*mOF1FIkWCr!@#Lbt@?wm8FV zw(L$Q*w2=iQcNGnhdxYcdcbc6wi0n$Co#lcc7vruXmK zjBNZkVy*1HlNeom>Qp7dXH3y&v6$6xb-n!4cq_+~^|wU(u-b`}G>VyJ_8AgNn@Psnv7fdNyAylQTZ@e4g55csoI6D)>eO9z`P^w^zU-zAutp`WoiR?VuRtJf z8a#xE(}@}FRcB5`hndgGBU~CQX6ntOZ{OiW4R%%u5Q$aSs02}>^fX_00r3+gSier# zQAFDU&viCfJZM@heSF%vS+p-5`a!tMo2^oX974(t7ipwjjC1yUc{ir9S9SMAL+(O3 z?%0`MZv7~xtWU>IuzN0yDGKMifry6`ovFt)uuT0?OV#_ zklLdsr(aFC7DYmSmKMfM)+FVq@cn9B9Fng)+ndMKn8lC3@Uz2V;TNxGwYQ5}SeGK?2Gy>+puz z-bd`z=P!}H=^rY>X}D^KIbMwi^62a4Q4cs2Cm3ehbjeY_yAQl@HnSWCHDs#MGJ^5S38W!*~=2x*xHrKWBIJ74-yxYfO zw_nIlkS6SdQ0QU|S)<7wAsZw1i>sLPA9_*ln8?IBdcA6$a=mspLMclo;q7X~XzGA) znjF!W(=GDVsGw`U-M1)>NjQJmpx6Z5;U6_9iEGF`q=F4a_#6ORS`)=)irm1RN}b zA4oNEgR>9ssX5r7_ph!rwd|ab`twuWxmZFby*{=!$Xk;Gb_1&dD{b{!bLHM+ERnC@ zRDqrm=1-^FRol7pY7EX4;HU2Iz&udf$V0&Dhcx!m*=i4j#`fsP0y>QMSHiM|;TcT7 zoW_U^xefcrTW z5%t-_&;=F>eFsdCG<4<(R_j{*ak7ol5R5%7eVdi`a*^8-awHqlOm+VA-7*l!wU`Al zg09URN@|CUw_^{Mx7ZDDFrJkA%-s$3OI??xT!(D6I5?Yqd8_uMw4ugqE;dCxp)%*0 z&3$YL#LTN{S|LMblOxvptGLJHJQ*IXPZlGtABR$hMyPvU`6Xnl$$>6ntYi7GqY_tqFyZZ- zx!6NJEyHs=bH2(X@3?JOlWChki0iZurGD(i#A%cJ^Tk7+ePde%u~dUvaSK^+8ZIcQ z@m{!1Mvs5Wxi8}pxr!Z$7#GCxB;m9KLWN=dIW8MZHB}Y>#lu7(@o!Nzcjp4#%wMFHnlj(-ix{c?p*{hlO zOD$T2>W~llDeLAj6X?S&^gpRRC#lZvr6u=-Cde4nuHU%I>%wtsG1-26a?R&92pNO4 zx3Qf9+Tm}fs3TGa9t)#EAP+R|2WY=aFdy34HVoVsBO0g}4DFG9>!tE?-_ADPWJ@IU zf_qYM-H|@b@T=&kuWKrJkdvk?2WO$plXAmrkZa!7eZ0;*k*O+uq?Q*wcdVA`rnvqp zDTEzc6TLgu^V@5ecj_tax3HHvg{oe&Jmjh~F%p}N%5{EneKg=wzU=ztoK+Ud>p0?%e&w-HHvaif3SL#CsCk>~5Z7xO zw?sd5E2tK!GP0?e+$4T!F_lovCM>0_NX+@E#8%{;u)U}iFcJkX`!fh+8-L0}I!UIm z(n2zm+>(6KG3}@D;QKW(hxIIC73Zbl#LsSH02IHs2nD}3uf7K1NA=Zh5M$My|Jf0- z7(c()?=M8>)51gUBC`@*EKAK|bi6s)m8-_~f|3*XB?^Rp>pRoTFBSUv4Wh~tv!k$y zi=+9|ZzK!2(d9FRhls!|kZw;A{i$OdVLO=1(y!kdwgc?5=^c$#$@0F~^$f40sB<@bnP+OjjvK#)?I6kfp z|HK)TK^Jq6sNj1PMdDJ>{Pvr~)t4V5)dEl=jUa(5r=7}qDm=r%+ZJ-PtmvnLH8Qv zHDpH`-_I~W?qmFb-B^{*5Zzl#CkNZ&u!bn()!27W*Zrigk6SS}y}mI$(K{__*}q*v zVU@IwKOS6TP77-(!ElUj;HJS5A=E?Ns2BS36lWc8eEv%%J?_%CrlAooI?SlADh=;) zjR!`L!_kHFLS*88ZaPlsRlI&jx9k5)>sG}^$i=qDjS+2M zXRBBCdtcZ-jucweZo8$I9)RL6X75$jPWoNM-6`eSGAE9RvRKJhN0&iynd-S!b|mWN z0N>6Ij;F?5X53xw_Qy@LLEeOiKejUSc&%Oyr?Q5rZ@m&!Pj0v-7#z(lVK5rt+jHxw zKluf5@cU3<*7%RH-1ib&h-s%f4*h4M$%wi`@6hjq=N&*&7Em;4b!Up0U(a=O;Zr;- zN!EV&YP)lQK8-$yf-%8Ex1~3f{QGrc`q;yc{@n&UDFOCTRAJP(h8H7=UIJYXPaU)G zepSE8^@y!$h91Z6wPjNQ3A#&@XP46F0lAfG!=U7of@pR)BAx&@xI&Q#9z9hbOUR~i{Q@Y#nLB7N3 zMJhi8zwWx|!!c7B3AWe2-L`8)8@9E=oiRUOR6I}a_`&zff^_DYs}u8c(WeL*4Rr>E zoYmV73{llir)xN5fz4`v4YqA_6%at3xR`C)Q+^skHaaXjKjuSfldwSXPUtxKv zRY46;bNkl4q&Ygry5z+cHKlz$Mcf z*lP-X;2rezqu|Q<+nm+~RolMb3HT!Z_49q1>|z?u3)XH@b8cSVN0=uQQ6fTU zdn6h8>at=mOQNzGXD`i*@ss#+e^RwOV#)pkX2?|M@PgTFqiOoLCnXbIr&ZBk1ZrBN zcRe+mJ}#TcRFL8Ftaex3z!<2T1cC{ z*VLvL)V9&r)P5`Sg8qfRm8GP%g@CECnW>4ki4`e3y_gBW88g#MoFUbDZ)B90SjDnC(?vEhFK=p3=N^=PergJ=%fAn{UjD_EEfoFolPNj$@?}yGcKK5CpAsGcjthZIzt#e<4ylj* z{|8>GjS;?V%}~!OA}_idtf^jyH2dX#=~YDauaswBBzgb(Gg41&{J4T@La% zY=;60&MK=HCoOv`JA#DOCcOJMUCw8k#YrwA&JOciJ|lNSALV14R_K{cM4hNAD;_8w zQU82RjMs-uxz2WfOznnA@!D}UMWn9z>QDTGaP)hak>2pAM&yERX=g{8rFZT2uL#rg zx-(_n=BD;B#EiRzL4$u%(AePm6a8; zK%ipPTe)6-w&;$P9qV8_$M64Pf5q1zM?vZ~Vx@zw8m=)XPohttXSv-(&_VV1O`J~= zMY55~M3wcz&Km+xWc!1kHRGvb;T7$y0pS99-035ehY7w43L|5hFEGxmkOJSZ8-|W1 z$`_={E~oX06v~ggpoo-i886(-V+zppGH-nbTVwgIT^9k3LOeT)D{DdQlpB*>j1`)g zxAI4+e$fAZ?0CEog-LIE*W5a4YMJYO0@7z>QNHdZqNb{5eAwvT&VvuN?{j#KYiv91 z)?K%qQjPTnGD3|mkhkXeyrfnx*wljTkt`N7neil_jXNE08A}LGR4sPJCURsaTDWZO zOusKKE_TAE4xs(nj_inb&^`@9-)z0DYs_@kCW>?SXIW8a-J*E2X~w6rf@5z1xM*mh=)GUr;^w&S-Hqe4EZ#K2$AU>8 zcXniK(e`+%LoI%)_}HFIWo0Oz#GIGSX!u#d*+Q>vTSwz!UI3yhlJ;G*^^o3ZiN4+G z5SvjXG|@>T)qe0S#p%=YWYc*21edR^S+Cs|z!YU^VKG}}7~MnR0p~7g zv2Ebi5OlLIRV>!&Z3#eF>xlK#6ssURtIxd6l}hw(-78b6w%PvV^p+Jlu_Z;uaee>8U4u4U|M!pHty8WSluLj0ByKLzEi`qEC*A7$C4g!$RU@xUSgcv z$izlL-%&Ulcn-s=?J4rav7OIaH>ifE!tMA){8rF6my#%gyk&EVPU}*W38k{})04(@ z`C#6YpA@HR#3j1huJlV2rJM2T6lHZQS=kM8PAkjRj!-p~+QFKKJW!)E5vjf0j`nuO zYFo#nv}ySp=q-^r#GKLEM20(>k+rM4J=$}XQ2&nv?NnVWL%~J5ugcZ->$E!f-<-zq zy=UP*EfK;dL)h8#cRmNj{7MM=v~%LAgb0blTH&;(%c|PazBf_bsdsi%VE2d9L;3r{ zX_YlyO-pBD7cQ(doLUF0Cgbe0jyPw%$a%3TwFZ%(2lo+Ghr*@G+k!#LDV1BJhWU3x z&xY(nd&0Yh@OefSTj-T9!qb)f3ptWAM`ntu(TvFvK~IuoyY9UsI6HLV$h#=o)DM_m zLd~l~epuBu9hr3))#yzY`UYJH1HmmTpQ`^xO)aC+vXAR|Egk-B6p!jCw{G=z%bpwlOWwDR$kYp+U!HrMMCfX zlJ%K9pW3!XlBu;~z2i`>`N8ITb_aJJZRv%ngZoV7gyFm#9LSWE zxu4FbLp|g!tU)p89wCpnDqpnz>J{~Qmq)nYlk(iyU2T|T<;P-3ZuNJUeg6m-=+q7U z?M2OZP=2TdhN{^HrLvs15pzJUSFiOJ*72C%jVW;{Rl9=Ni?xbc zJYx?%DnUasN0%y7`CV^>Jgfg%_sApb9^8Zr0%4VjLd}+d;vT5N)Qw%17ntW!!KZw& zPhQ*~th2QncUqj<)rM3)vRfU+baK~geRn%=gX_8K1hR+EdUKMYfIIIH`rrv46;aP; z1-u$by5;d|Qx+b?z0qVZqaXXrwwgF}vFAHGYDziRAdul8IK)3J2Cc0pQHj{Q^*J?P zED17udrkyk2)BKoa_G^*{^E|bE|Jwk#%}p~+t{vbG?Abv`3_zOzM5A4`2FfriKB~R zQb+3^?p+Zzst80BWz(q}cQ10$^h;nqq9dP)-rHnirl%`=#*{6@;rro9Vp6W*Sedc1 zc1Lr$O$E8xzSS{KCFMmAy^uk5qvN0qatG~89mfYV$8^GXQ*jR%ExNHICozD#ymbR} zjq5^vcb4CGT*%F*#`N=9P@KwH?e^eWB!X|r|IXup*QRfx2p-WY67|_m1ih@RNpca{ zU1{&~o*z`j1}xaJxvf*tC`BOaN_a z>NJ?Jc?d~Qg(W-D5`)2YTEjp6@lfLP#k-3YCaJQG%;)hv>&TC@J=Cc5#*9(XruJp3 zHnl-cPV2#E9W^IQTuu@^MqIl&%}*~3UAil}E+!03Nsbva4r6NNm#5OO4O{m&*rIZ% zcbtsB`EMEpAq0Mhwt8r>BLC-$K{2wt5P`Pv33np~I(cR5#hZtZhj`Xc$z9d1#cz1s zHFLX=*X12W&L;H4wrR*PO|k1vLE?zcn&hEniMNxVrw*P!VY{F$4m|#xXz1|^E{I7s zdZJbuzP!n};C9;3ks+P_rcfmf=S|YfC*jakUC3M^^Y^z-XG4T3k6fBi0_s2`;|Jy?>v6deaA-1G81SV zqzH62U)VN`HC#OO1pwk*x6o59f<$USAW0aC5Ew@Sdg_Fi`R)MrYqoT1r<$9wI; z9|^4p4~jClUMX)EWL$)7Hlzs%HlNR4?A^K8AA9fO%u^H4Sq;^`-&C^E)20=C~ZAC3;#lGSRtmIHqg(y0e8#iu5!WAvfjbHP7 ztXg{S@dJIrfd*VR*a^|Fp2J*)0RQ?yETRb^16K2aL+m0mR8RH?^QJ3Kgq0hDz`X=m zXaf6gcl+7XaJ>P7UNc&{9;4~yR#g{7RF~N}mC~^1A><+zl=Dy7eo{HbQ{VH8h>D_D zuK2M`efzik`r;C<)0bcKZO~f=qu@yhdc}H*NII3#bP1C5Y|(2VQ~+J<>DySNi2)Cz zc_6P=`K{k3;ufzi&eu9IkT+9yYC~N)4MXRiU5qvTxo1NL zttT&nOe>DYJ5lw^SG&)xh{GhOqTtiF8hZZ6d33@HTrQl`p}s`_-8ASkGBTbOgPU)Q z$K_F({C5+nQ3pP!1N${qSJz+qJ@88VuDbfR2NAE-)^7x5(<|~jfpv+<$ja(}sQzt` zE%3xO3FrUTD2+HgZ|Iz8H4O3Q?#+GX zT9rS>w$W8<+q<%M82Z2=71YlAYdQ2URlHpEyfOM8u8@Ai>%-?sI^bM}Ad_~I@EZkKiG^IT;jjgvq1lt=YOYNTfP4a zRQtd9D%bx>b^SkQk@&y?Ot!vZl3+m|%7F-))^zV*sE}f88K$h}5(+^N`OryH4>)sf z_~CZ|oMyA%ecueB)}t&|niT7F#nFAIy#a-;6HE3N6Bj`)g>@^{ekiRWGMPd+fq&y9 zD7b_i7Fysf_63DS_@mcxbS-y{`0g2eu%d$Uqb%Z1C&^~ZwVh4_`qi0jkf4h>&00QT z^KP2-M}`!|QjnMl02}8^F9HR7v>6c?fX{^Gc(R*bnuQ2>n@B{ zBch1dOxBFVAaO}6FEvwk#jv~AD*VYFcm{KyjXSFd|)t|{_=p;M_E zW*-II+vovpRZ&qayCf3Dog~tL8E5#PT>C2r4IhPKF4=9$s4+%w7T$8dqN* zE!}Y??eXZ!P6$M+{jeW4mJl0j_(x7y^>%A0McGXj(@E|oHb3PF8FH9U-O2D0~T2b4h>AyUsqbt)c38fIBMo2L-GJbs%PgwifnyB>* z z{j$1l+A8gi$R;?VqJmumxL=ZMP0C=VbPG3oiC%BRvIXSVOmPn{G%opU2G?b)TYy!u zUJxeP>{#b|7IP+fdbr6Z;&8fN9!}DgJW*}i5{EHZ`W^|n5p7#?=kXh}U;*oBA|KH= z0f5b;({a5EVAMjnqsUK{%U6|^oJ_u09npsB`Z0^k6%C~mTM(a{p@vDU74=b(>q{kF z6wAOJBR)4)fUPJ+5Dg6zQt~2KE=-w^@T9kdT5gxKHj;k(_BP^~wh#*YN4RS=xReik zx*KJX6q=Fh0L$1|MRQ-6pU1y@*AuP%{=V+q7)J`k}}#7(+3T-bPE zO=itbNb&a-!Zs91nV6XP`1n4=`lyLDpP}H33c-deEtjUheMC!SAm5x$5eZIU)(<;- zN{<l zJ{~RWIZRUQ5U#F(^m7Zja~=2%|Bhn)P1G`c>5iVrFX|Nk*28=}TvARBRzw*ggt8^Z z2#ro8z(22Un#)ZW34Ul3i1F^<6HnV~MV(P2!JE`M-dP|Mf2)WONz^K;&r_+^%6}cp zI-(GKoYk;JPvY_M->L#0fkLCk_Grvw9{qAMjEej=%M;b*6L;?mc;hT=Y*LRu_{T7x zFcj6N>)q__?R&>sF^UwoZeNaRP$ZpgaT%dH^L+s^5rCO3xf%d8d-)MAsh|)YA5Uz0 zsYmdwOGF1kUq2V(74W***4irCI}I^<{_g?G$`34Sg@lAk4To@48_rN(kvE|l`j%() z_4VN!NIMoBS+(rL0O?@Ug2&N39>DF zZ0X)RUBZi}oX5R()pAOTiefOx?ZpOoNMd4Qc(`V*Q_Y-s`Q!(~RtFzQ#f?8keJZ5L zzg=uWzP5@+UN%y0s%Dqz+EV!pT4FT9xaj>+ww&DG&(BW-(Szmsu@!aWfh0Bv*31r6 zmJPKh7<72&Na~IF$0vyS)1f6H^B!gMC3tVP3Cdq&Q*N`)dB@F(U!Jyay6p`p17+O;*KB1oUBbTB?$C+aPEEh#av>zF9@U4PGc zITRhFcI^i~lRCf^Dl049vO7ui+M$X`02Tl~luhzZZew4ZqR%=xfO{4FJx)IyU4u8L z!yEZC_Z?;)qf1hz4ENhq8B}fC&B^n~cP75JG_wYLI-U0>xo%b{0z2(9v{M=7w-^)Y zg_1&_XlhO!j`VQBlR|(u4lU)JjP9QC6BHDn0YmP%+ z!=8l#CzOT#Qc#~OU{E}+Dl95uG<~QLqOd8TbYW13z3-Z(S!2K5evWz*5o1&!!{{QM_aDu$8jE)h)&{E6g=*CWC7p-)~Qv;0QBv>)(i>WEFXx;j6HC0_*nF>>EtYMe=a zoI`w%8lR2F*onDmXJ-fF<~_XH*Cr+#Og-G1syax15s<&4q~(!TRe0H90Q>SetyhK> zBw|^OD>kdK%d!5M--v^n<(BYMYHGh@YfVt*7!T#e+s7QE5i2@+b@POEqAn~f6wRUG za;u9o;PUK+JXk;4o+}H$sMcdn{Brw`-o#encr{f`{sb+`rzQIRmu>q=f*(RACV6pH z-=>n*EyQ$C=GNFg-Xvm$x4nGmv?s;UJz8oQ{s6OVPA|ac-wt`OF+pUxp6IwEwxj#V z^`qC#`{e0)XUkS(aw8VOOjGM)Uth<1h*a!$b1h(j^}MvZmWm^yDJb|iWW~Y@Os5dh zhPd6(PLf}(j!5wntKXn>SFQa35`%G1<$PEIkHcqd4BUq`hC_MNh(JP?unyCzN(D}3geTjdMaXVBCuP>?PPydB9WKpLlnsFpKC#!;49XbI38QrXC;P) z-a^VF9`byEzbk{k6r(%SOo}pp_pd@^ZK7hczmX=Pz?k`+XO0P2W39tsS)bw2)(k)s zgYgRU1Dp(fnCP$$ROpn=T{#Xd-a4xuC-ctA*HoD?KE!)W>xayiOgVsLhGp=TiHoJ*AP$LN^`?Lo5cCiP(Q0x z45Dx~Ak2hGyt-$UlHTg`o|_S#c1BhfHHQ+Y1Xi+nDI9THsf@?U&iC_O;`yh1I{T5c zvl=m|04hlDJO@$Gpy_@V(TP=bp8+K^(oe+i(@UulR>rw{5bD!bWDge>D^W0g*)QZ` z=KmA(hfK!HjAQK+S4K;-Y0;E!sn#g(%y^TuC$0|V2bY`HfD3_YI0hBKk`_4@N6kQ< z$~(Hwo}MUdV8us7@^W&ID&O+w3nYRSvJ+vVPQAp4Q08Tu{uBbAR;T(+4kC=k0~fEG zJ%8?|f~KUXc@##EIMO&+8`*gwD=Vw)WK1b>3hdDt9TF201B#j3cRCNBa$lV7(#SX4 zK{4>ijaD`;^cr5Lyz^m*d4c>Cg{_&$6d{x>4tr*2Q_r!HNMv^Hk9yk<;Gm4WU5!yv z)Eq)Z%xR@iV^>)7qr!X+FsbH2`9Q$-TBU=o-+9DrJSx+C%`zP@3SF>Q6f}Ug9>-;U zsA~I2?dNsMu2o0uAP%{yO6GRd=8+z%hm2Z2{hoIIFiW(Rze|D3W%+9b5lh?NzOCvA z;#@f3-mRl`swm~;oM?{-P{>;1?!rylKRtaDg;owtIB}K{GyS`AEwW_`B4lZA?$z*9aI}7B1v<<(F3NHBwuw`;wY6)!Z{0%g*%}k>3X?xP zcN&ga5J4ieN9iOGId{KkR{rUkUyj%Y$WgnP0=(OCJy(} z^vA_Pkcjft>YSy&zET7=5LX>>=UH)Tdyk$cOz?-(fltlJE>{no?c%9Aga zu-4Abd3zLet2iP_{t$F!z*XGgNg+S088o)#$}&nyN&tdeum0@n>caND2^jj$wWNZA zf{cvTZTefRYH*piZ)er9&0<6DJC8m)Ia`;upYdv2ov*7@OEAmGYc1(Ul~;3OQRGJ< zUc$g9{ZohN*Ah{{ra&tM9SZx-B_kx{$-S)`orV0h*q>Z+vZRnT5ov2bI2N%e`MVmG6Hey3BYC5aW>w*zO3*uDHkU&Q52( z6jo$-I0eDt(ozR26%`dwJZs*>mg%D@E?3v9O4F}hE=kBAruADJq?FLqlY0xd%ZBF4 zuuDWk(DC~|unEJReF=D9s>4J>95wIz2dFmT)=gVw<8YQ>|W`sFsiX3{rY= z+PF~B0_YIo%b?3&chNWeon$NSEAnHnKf)Nm`<+=uQ{dA`a^g(0!;J|>^qYjtPmU;f zKw;sunp@t!kd~I#5=>Ig_{O>klYXkkes^o85j1P)TrhqPril|cOsT~^+w~92t@-wx z^<9P87x%Dfi@D~&Vq1QHOt*@Ms^M!3pv3u4P0feh0I5_~MuxKgIp`9+K!yy1Qk}@8 zVkWnIvc0wS=zPrX#=zx z3^xVaNWHObNz7)`{47-8xt-@tvyeY95GWAp3B%-L=apw}OL<|&SP zQ$!ZnL4Rke+%$1LaaTVd@STna__cwZFF|}6thmL7qJJWTW_-DHnkxwPk}s-1%)Y7l z@x9T`1r19;Sdt47_8i6BoavVh{Ij*guYz6x!i zVgg#F`BxFw!BgwSI*Bx98axRn6huQW%Se6o^E(+iqHvRU1PcCvEZLy>mDvZ2KdV7i(wFxIBJssC#b6t87DI zaJ?x+?Wj_i_JY@sOTkx#x7$_W4ffu`|G_{YvbQ?1tUAF*JJp#g^Y#;DtSJJ7ei!f? zfa6c|>6A(`Ku;kk62?{p$$7^2p<~;$zc>gqwf@suUWB(F`A4On@92KSz&<%xr=hn3 z4uh-#YAqe314GT0pvozt{qU`b_iIc6rZ?vb-hUzz_Pkh>_ty-_(!i?1?)TY);id7V9!gWLkp>Ci}{Jk2ygT% z`xues-Ac~I+wtjdcPaJcexhHI*4<}r0=jg6wdcZ!3`9Ev?lXZtFF_5Z-Mfv7xgb!P zQ`1wM1EHxy%%UA|(ma>9Rt|5@bh3W!Cf|FeW}*~$O5k^@3Onq+bJ#g(E*{_XP~e|# z7TZ8b^j3SLZtJ&?n0Mtq;e(x_K10G(Z5Y;2L+fr@VER@q7^mPF-=yVm+ z?m#mV@1?{px5a9|q9{Tb3jcg}CKO(<^QrKS_S4V@TsE)d6l7%=tjK&bfL+YZ&9}m) zYgLq#vYKvQC;i`!RlhfdslrRVhmyS0@U)SA=EBGi?J4|CV-MwZo9TKK#pBb>IueE3 z!y$kXw08i+DrAbF0_TtX_da6)u>JmLd0rw#{6u6Xx0C%Z((S)ghw|wZ9&8FN8NH zT&mLY%y*oQB~&rIOjEf&|( zSqweYpV;_V89vFad9q@nsO=UACQC8Ivq~n*C2$UM%CdX+BGBAoX_9F(rY5d4d_+n1 z)nP_?`IDnhqA9%W2P(jJv;7PCg;03!Zg|@zUo7W3p_^`ZieDqy@JD4a^ZOHG}6zl~P z=K;nOS9m>WU|fm7u)hmZ(t9;%YT8^Fx(+`~^wF|zp#J_RG^Clo8;ur90$P?EJjBTR znVvb*C_lJLrz<=JT*a*I+6oix!PCZ}p07kp5Be@f8Zmh3B?@~;5i8eM7MJyfB*j`L zA?P9CK9Lz1Mf^p?4L{PvqYCm-Ykruwp)!#|Dd*1jQ6=yEmkEjT)C(@)YPW$WCs$dg zSn;g_!m(5j=*1gAeTSPNv1#kI9uwRfYT+r-q6z4TyW~wG%#oh5Az!T4uq9nX&=tVt zzN|H$?8<$@JqN7zzK$;CdS zx6jFt4}TEcIe&^|U|9G4Y{=fPw|r^BB1jL)U;!2M!Bp^yvb=Ri^HHp%jDf=xk#RHK zja+6)1JDC@I@uGe*qs98T*x2i%!f&(NY!b7Fo>Az(am8{MJ6XF7c4@&UhZ$n;!)>Q zjq0C-?Cqlx1byxJ`r~f!4>jE%ftEq{&Kl@96wK^4k$0I)z9M9Dude=|4z$*anuy?# z@mZ(#M~V2EuLgQ}px$4RQ89Dg&sGb3$TNEUY5tn(3C9TU4zlhQ%60#D-Z;c1r(4?3 zB#O|Lq4#T4)u)JRgM!bix%8*w@*8Q}`7e#Y?@U7Q1JKpRFgPiFJNe0c@54fgJWPt3 zla*|+FY~^^$)ZxoM8d)7brHWTa2k*Xa#gBXEl|wV1z>ij%1cLe#RvUAXYP2P|0rbR zN4fHy_hPUL^|$ZO?7uQt=9xVCIXywWR}7Bfy>Ij)cG~Ne-L&g4T@bdH5*9#@K+mG4 zqwDVIFdWE`8r+#Lx6l-xgB+Cwmdo8zXjHo3h6IBKycFPPNUE^>`rDtc3 zOKKN;*e|pHEiAi;f5F6DxAo#PUYi=jEM|>Cv_CK#m@5_cs<1N+8^+NK6?DZU>LJ08 zQ4a;CJ3CPmx%;S)5?@D%rN@IB1+K;P{~FOE(B(fqUU2)A8QJGC+?Sk%jD=J6Ip1AaLc=(Qy-h+#3?WSZI5{RtwddP+%STrRHtzzZ{zrioZl(l`GpMT{Xs9W9(e9KXSSI zw4<8W4&>KULSD4l|e4k}(stv#HGnx^6ji zbo71DG&pIw_#)sfjO4cWQY1xD{cw{PoPbvPC*;@KQK#nX*nipHJx8mwG60vx;!i+3oxt`@!)EkbtOqjJZpVC9&mtW3-d~w3SsCtQ+pibY3mb)uC*{li!!ivzDbj!?LAhf ztcBuDrO59i;W149eP}yh?MC4npI}b~itj5&nW8?pO^CD=1D4Nc&!1hamIR4Mihz}7 z$>%4)ADX*9)^gJdL!|4aGYAs<61Iy4axE<-@*;H$7u}Ao9cI$su9s;=<;Ik`3VP14 z3|6THe~hdwI}tMM#n!3S{JQRo$N}@V_SU55AGOYbU8D$5eL?0BJAd$ncw0>6yaBet z8WH4Et0mn0ag4A(yRlnFk{_^?Q>+ zuPuNLWFrs)1G1@K=E5Q}b8>P(Uq)C3o$>`hWqa7s_hYpNr-*b`U-5^E&jT$!$zZCp z|3(#An5a_@%yQloP%7GYWdT)w%vQ=pjv>-^z-dzQP;uNrk}86mQA$lIF)ytMZ;R2| zu&%H_hjjmyk6c@b>nV=MY5+l%k`MI)TEpew;E0>*YJ1a)+D6%ddZDbsIPFRQIoO#A zA(}W87F1jM&3s4sIC-ooZ-|D31#+1?rUAciMSW-s37$8#Jm%tAq3#38ZRZQ%^R9~b zyk5xJ)AqjU_b%Cdy7FpeA$~T`eN$8Ve6UooE<0`J70SJrt*GzwrCwcA#Vx=7L9i8d z(gqR?GFWKw6I$B9svDBu_uytm5xa#$4d5Jnw}qn#B|qeL5x+-7h6jAQ01h=0FGm{y zH6~3fsvH9s)KPiiZ0Wr`n)>}e*n5w|GOvdDu>EUZRM6^>vg(J4bEX$GUF5B(InfNz zt=2X03!$0kSL=w>a-%I2k8LGxPB@ek~`OJY)ndfTJb1dwsm>A^bxQE22I%Xts+Qjnx?5M>Td^2fKS`m`0F|L&{$2j z4m(H=R0f^Au3IQgr6-4w{52%>wW7L+PujiLe^(A(#)^;zFL=G*{bES)S{v%n5ZA)G zu9ufmaOOXd2r#AKj|3jYY2Q*VP0R6ex`D8O)W5HfrU-HO{7VkN?Wr^IPqg@aFdrvz z&!b>aENy?m%k~~0JM6=tbXLf#lI;2i9{FLe>SWLYjb?Z~1w}D`7@H&ev>>)FA?;;R z_QwhE;94khozduaT3WCQ>u*bqFw<_8ldfo61j(W5w$o-*fLd8UN8m|Mxhbjih8yk6K}dTXsC-kAB@62}5VS zzMYGg_G0b!tO(h`^%=(`*Rv`%MA|DKQ~bLI%vaO!6yrt;o=s$nz+A6V2as{ZF4>}% z(~61%P_s?2%eTmP^p-W{$}21VGb^#PGa z{WwrxfvOu zlIaXq#~=6jg8K#~h&iV#Q@m>I*5c`hR+y4zM_SUe>F?Ha|Bm4-c)?Q_^(6y(&B}Sa zQO=7;EO$qNo&PLUdCei^kX|$UPz-I!k=R5EQuaGK4z%1Nr1QDWHtYI5_AD&B*qnx(AJY+QA2P@3=p#-& z+qJDI|T{mJChj^|p3jrc8Ass*=n#-|-{lD!P6NCPf*P@MVo8bD? zanhJMh)!3??-~@Gd*yNkrO9tdZH4pS6}IBmusBuH9Q&~avl`O3>Uhdrz(-fN)tWhO}{8zvI=HT}N`;vX~zkp#m1Zt3PeW-Z-gQAE6%FX5y zQ&ZCQeuJxZJ#74ONgv$(Y8KCYTM~Wh9QHx!xF77BzvM%_tC7CBmw*jZ+p=31>{h4T>P6qq$Bg5&ODv*(?frXF*NgZWpBB{* zNPNF=u5sCEpSZ*Oq}s(k^Xzv32QdZ0Oc=}numeI1(nC=74Me&>3F(TEmCl-B)Kd%b zqcp{9S04KQHYkPOYPsQyECW_I14EPl?bBs&$a{g`==&FX{Y`w|zlIGz5>#wYIlR8@ zRIopM{U1Rg=mYh%7g+ zl=y-yyCzaEeOaIg{)__|^n)mog!Fqebu3#ut;MNU4S|){|0ZRG0t}utB(rHwye?B| zx!;Xj&dc>1V=oh$>ww9yzlHGQ+vodF2q(!8_P-zbIju$X;}hsZt}I<4g8!b1nheSg zZ$r)FqJVm!{1x&spV3p%sb)J*F9cM+{%8~U6^7YUb75SG?)VP@bc0Wy&>sEC;5WNa zo1O-XJ3XcB`#b%;*M%uq>4HKvA_(Zp7#1jYi%ak)#|=DfVUs)?`hklEMXhQEy_`+pGj z)d5kh+uOsCIueQ!0s?}dNQp%=C@P8w2Hl-f(%l9ih|(z*0@B?`Hz?iR-OaZK^PGF{ z?>qk;nZ5TL>s{+v^}KSb(>r1Jp7)Q>U!7!&;a%Jn@=E zQ6D(`%h6zoByCxH)fi{Uzk6@XY24vU%+2LrI$sk@6qQAheeFR1fWFLaZ|G2ZyxnxB z+##x;t|-t$K1BSd+QQ(fKZ62mUdOQ>pHQa#Qvm@w`}Sv+7{6iNV?*?r#UeQ>$tOyX zRNak4!K35U{#Fjj2J1GcuphwYd@8ydN>V-2|49FBvS>G&JCD3#uQYQ!bG&V?xTC=K zN0{M?MT6&-p@M*wtqK+WhGKWvgxsZHh5V=d$$^hGFI<%Gd2*!bT$beP?$4c)`j=h1 zygyhnOw1(mD?EDmQ+-+QJjaB;G@&RT-JqqA`p%L)j6(Z99!6MP0P_Bdet{s~wqKky zxNdv(vo|v0`ng)JpKpM$((56fYn>w7LJjeb%}=l$lZNlU`?Fl<(~9F}H`{w1AKXC3 zwyuZc_c?u*9cV3g`C&|gK?Q_p$_=P=uaoZHYtY?vD~LB#=1IR{k0q2FlzO;6`Zu5~ zjbTk*uWyW+SUL&!my|!N3lIZh4H>%6Mw4F8wQ_LUNt+POkqTk(2VUMJ2Tg!Sj1An?tsBR zP(ttPk#>PEpCt{Y;9Kd8E5A?REIkNRrXp>Xs z42>k5f1um#5M06fdcjM3@f)rd*Ayf6Kk%w#J>cjgZ_Po|uXb2wA(^zL|HxAO6#D3uNq{oWg~dzJ*Dsn=>f*;|eS?2F#DS6FzWUZW9G)ml1O*k8x?AY0%V3ZTCqu7e^(=dqZ8!rk9#irgqJrxI4 zDZZOroA(P&oja1m$neAEKqt$glb(u#jPnYbe>nG38@;z6)BXOj9tyBx62IF2fV(6@ zMFN|J-CXs^cn?|nvh8d63}sG3pR9)Z9Vz-7rfz03qBMQwI!tT2l|DLjtS-jXeoUGa z93GCm*sgp*$Dx1xs$#%?Ye1ue&E{*`YMMvV1}uNRHqntXTo-cj-S4k8?bPr+-C4w; zf6;5n8c2SBy3dF_hnS-3-H{p)UNqqT1OEpGn!WN(YjFtYJH;dLcw*55LT$zPE!PGf zRy?MddMt{sgQb;UcY*&9?ve2Iqs>PXEo!{DPIAt+oQ|@Sg0zLp4_CzbR-Y5$1@}ta zmIGVF%YA^lTXk6G7o*J9#mxIe_t^ucIQ~0il?Id z%U^`FyLG`YM}%a?`8sVxzi8zC(CwgzHVGk*i6uT}T)WoGfBZP-TTm9B@9X!|cY#Oj z)W@l+jOX?487#4PUr8$BufFKJyScIP1BLl<2j=+kw8XGm*n=dO#E%v3AYUG zG(?=S&8}N&y14NzR=v2G@tlZx>(G5RPqw%p((G|Ne{X8hBB`(c{#{I36QfhjO~@8Z zqhA)u#Z?0>bHD+Ag^Z%i( z>bf(H@8?)cU?ODFM+pm$f{lY9S%$h-jlTE~Ys`t!qE$%!A9@Z=N34(W*Z}BH*-1x5 z|Mu4oKq6qfANa+^rhBbG{xsSAF_o;r(d`rn=^N(r=o79cm`(bDgQ1J3tJ+pY|af=gUe+L2l3{e|ye^4)!3PwOT zU5iw(#Gh!$2f1ir@|-7&3MU%H+2oGHDvB9U(!(hpBnZ0J-S z_irwl-`&|6_7Wn@;1|QFygvaj$Rm7Z{AJa>DK21A+xKPE1b?FazXDa#P@FTqaymvu zYGsT=B73ZQ;c!~bbz*^*IH`DuotBEl>ozazg+PD_L>ZwUeYIHX8rietV*!4+)R~$t zyYo{0YaS%T30rF4UMN}f)1jfP4RZAmh{H=oP#gjPbIsWKNA3yo2Y%$@6XwAki^c(~ z9h23}QJ7+|cZsJ7HL*C4VW3bS;wO?1WHostF zB6Dam1o+-)`3b_G{sL&&Zx_RGEGTXrw|l!lDMF>adP4nqYS$6J&GYW*wv7&fAtw0A4!+(4mLI!sI`3&1=9Bxnc(2e4_RNAkJ z5>Ni?8&g@6B@FFjYQ&y$=<^s==ds+m2wC!%n0OYbRK2%Xi%mZ_`F-V$r~U|B9O+6=0EJxBp6eLe}bpfb7%UyK?T#*qg^55$iUKUAr9t z)hUoFAQswLa656zcH%8Z(GFK}eA8&#*g0>7#^GQHjWhh%GkBdn^~C5|quHkr`(#3e zcVDtm~P1oK$Z5cUfk&|I_jSR62Li}?H z7*Tcgo3qnampSs+6ZXA%$0II`7hX;5-Co}njvU!M!_eTkO#5Z@!?F>toK{AcFj?&L z6X&J5*Jq(@DxWqWEKH$PB)axXJ!vW;7{37Pw-4!@e_!s4CCrkF+a#L$3$&c<5hdAQ zD!FwUvm9_L>M&CHD_YNKxHz_0Yk5yO$F7TaaYcIZ!KRD(Lf5IqZ|o_mL6Fu&$rVQ> zB(U+@kw=h3AxKR!KL%fF9d$#3IZlr-Vd9~9$QnMqb2ghQ2!i=vyxgkHFpXY0$lA1$|lA~kc_jF8?KuQ>U z))k4aUR!929+3Dd5JPbO{vo+yH8rx0w@O$VXa zvi-o72WsNluOcFpiX0vKpX!v^I75?0?dpR)Ayj6h)1HlvT(E$hWlM%NG_xW=onz9E zcB#c5cU&@vc5<>_?_|z9q>biO8cm)R>GXeU^z5;b_4Bwpgq1}K*Xoflqc@dC(1zDP zDEKBYkjXCVH0H%^339^;qgoBOQX ze5cu`SRi`&d#%J$(ehRiH?yvMQ++8v(9Z^NTG$OwOGjs$n-hJHntL|=yE~B(s)7BP zSMG}=bkOh)b`?@n*mBWJvfz03w|suM+3!E7g=O;ygv1vcyB%6n%`FH8sK_l&P=b0# zIQ^ie9(<=iHtxmO4UDEYSdhwOZbf_#=tO?KKdVL0?qSER#E<)6WsNwGv4qzTB zo8I=usjM^mc;o37b3RMy4tvi2GRB?zZ9-*J&yOfPGJqbTL3=yf&urhfB79HysqB*B z&bT+1o~m52N;-wvFK8_kBswxoZqNY+6XNbP!*O#Xv(<1tSHM@0AoPW@^j2S?hbd;su>um2u-!4iBZ%RsXQC+GS}%`)V`6#J4BfJ*%f zw`N;>V9!KK*yTW)Ti?u{NDQE7W4nM-LNorL(Bx##V**R5}(r3FHKz|A~31; z&jXg3f{GKuD`%@KL46@Iu{YnqvOd8PKERN%lBHOG!cJe6~O;B z+!9}+_^gatJaAs)PF3PQI%>jbH_&{G*5^Q^{{kO(YmmiJ%kNK89*$vqHzubFd{<^q zp{{=51=4cFHyg?~0S-Y8g(&Kmi2!VLwqj5tM?V-hTnE2C>?%i9s57l+lDQZVcl<$2 zFp8-gd%Qy@wXtmO!`dOg5ODv)fI&J-wCd#))J?j#&)Lz2AQu`-@E{{?ky`94v`1mV zru+{G0|4b16!)fnzUg!FM0Lh?0}{F{D{66AL2`~Uqw*IksD9|KKS&I6Z81YV?fxpQ zDj7wr$>x*aVh`i3o^sq!V@6?`$EM`-G5Yw|X+?+tVcGpx`Ct5eS(Ei!;|OT0oJDoB z=IH-Y0YG7!|3y+y?{m%h`b(&pzJjn0d&!e$-}UA`{i9(tv7RYPO9u|&)t*H`dAU%R z4Da7qh1qJUPH$GygnEIY?F8jK$KrtA5ge>wP5(t`&79hr(2&kVXT|4n%cAQvn10js? z0|k5Rr^Z9bnhKg@_$l5hi%mJ6;ba(=kZ@!{droz@^-hwVq=cJraUcicu8 z)T@iiQQg@A3*$VJe$@TbWeppjA3Zapw>)&8NhF0t1+}R4$U+(jF))Yh#f~6*^yMGR zdIbu9JMEzC^@wFhjbkLInk#=4^nT22sCvdtD?f~B6Va)Z(5a53=M9RbX|`E3mSEt0 zRdO2GDgQuI(Enpw>+`hM)PC5|gxhA5e~g3FQ z@M%+kw(C&WU0dRv+^Ad;m|P=Q-eZsZ*7GmLgFq!w&=ApL?- z5ZV4n`PyvLdAa!t4md6|t1;56bHli7%j%ip&-p z=7)i?7I@d%yGNGkkuK>ivg}M06x<$!9@J#S}U2D)rlR(|4Zw52!j2U`Efr zE_%^o|2r9qu|ZxiT&sQut5vOJXv@KrIZk2kDk*jKa^*tj%E61zjz$UQ|MomFJ02#b z(i3_~@ATD%NC5@Mh|30nJ6!-pIqq8YLQX>DMk`Wz8w7!LN00{eEP*6@wV>1#8Kma1j{xuH{D3j26w z-6`gG`#UCeWRB5r&3zrtr>5ZfFFQQMl$8*_^ti-m9oKI#4oI;6C51WKSoD_Cosz&< zQ-S{DwZaK_QjNT|u767?EbKe0ljQg62w$Nf{qK|QHawhW|8Xcnria!#x|ANz+YN`C zdZksehOgb9ly1bo34}}k(03SF&JHNN{H@>Rl<#3FaeZv6A7QV*lJ5ud2BPzfXwgd5 z`POEhjPK8JZ#pQ_L8+g@_ODakN}i>~PRlefS&Z-nd+GPjMgE}B115ED8to(#lueb2 z!1)^^B05-Cht{^d+sBVg&ke&*WE`$)ViEl7u&d~6dwbJJu7_D_ui?nBeSquxCuS+tF{kUWM*jzFCC zp0EC5vfUFVcV@lxl=q2QMRrmOivc7ZBL!742W!tdt|6f!8k-$xStWnR+8(+2U&mC8 z>-<2~5K&Z&tIL#*mkqRzS_4S*InFE2Dg($%r1IE`K)J_df)#xnGxfhlTg03NRDOHF z#;V1hm@^i-vSMCcJb{GObI1Q{l+zdj|y;YS3-WOLid+lc|HnZss<~Q$oft82CFBL!}25s8xRjH zb=>eaR-=kAO1~~CFFz$n77}heyz}W{XIdYHyy+XGmMePmG}d^Y zS$vz?xohglR}jvroY{zK_qO5zWDUs@9j3hy%nbc9rp51lC^(8$fqHs+{tV-uR7QSL z3#apjPR&cu*-Nhgvr|5QKwPBoLK}ktwg;haW9Ld3zfG#{ zXCASt0)=YeF5`SMR;Me2m2a9?4D^smUu^aXifq*@#51BqokolbN2MC}HyZt;qI}n3 z5DnPB2eCZB8wSPM@@*=c(L{y#>(ER{S_|#-{*=H*!q5dBbE1x)s;jF{zXz72 z)ZO)dCyp-Plb7!}k^?4Ru{-896D?plL-BeW&s`xYJh@`-3m(oP>t=%-?cCUPX6gL3xrQs57|!_+*Mo|2NXv`quyGJ?B)e#MV}wt0D4HE z?vTlAkOH;_iE!?tjDAQHERtj1gahQ+hG`>}pAHBNI&L}(Z{D^D^2UBb+ zF`qf}+|Sk3wMks*nYzd}bCmr9NAfu<{JWtKXs z8rFpAS@oFS;v6K|`oW>Xb{uur&o#jkN^SbT}xh!@XZqp%mWf}@jRp-RU4)?d% zT?g;)g`U>aZ?lQ{u%9ReDenzGC_ef16!Rc%s4cq(%GnR2b7hB-EuOR(LlR9iL}zI> zxUTE@2^$XC4!4oI++^SgSiRi8tc^48LMcfN)(cih7tKstkw`q!WMiH&Ll*+#KvKVX|+p((Qw&)EMm zV$npA_*tO5e5kGl`ewW$D#k@s-|ae@v3v~jMd{9{ zST{=&pb41~43$x`IJj}(qF<}an>WYTPi7;cy=_X-+eu1rC}!2b(=RUtuB6wN_y`lc zA>0-R<(j%Wca+~943MxO!M`8IW_?P05ZM|h^;+VZG(H64J_xv@5H-O9M@06Z@kd_4 zs5LIY+Inf^_QQ1oL^6j2|DyZ$`!~>^9~kAZCbw*PYu3UKvS0Gt-*9K7zG&%^aXM_7 zCyn3AM#D=-mq}V&E*rExTLVUuahM_%%G0IehP{1$W>3rw)C9P%91t1I-u8y@(V0L< zOg)}D^|^rPK^U_LD%+X&*(Xf9UQhq>!&VP_7FXV6$6edzy`ueH4n>4yg{zv$){5Sk z=@(ASi^wI8b^yhQ>?uL}>`uNjtGv1uK@6f6lBYbB=qguupB=ULpFz-UOn!niuL@Wp z;RH&&Pxm5@@f{*>ekjXn6Pn5td{i z%(pt9A^I80eyJMjOHFA@!r$|r_0?x@>biViF~X**Kzp#eF|S)^B&aeoMM`J%)&7F_ z7Yp>I>2DuUnEBskwZu|>i#?ZgOa)pJDPADXiY6%+^z5%^8TU6Joo=Czk~bx$vd=LD zzkY;2dcPjmUWoVfDd{;uPEqVix_M+zChr1JZB0#m1VB{G-Ij+)YH}$n6S2C8aCXfH z27{p^$p-E0Df~c{RG@QgI5>!h|Fkl32GO0b14wVsz4&?q6e2~^39=<0d_Rg?&^1w9 zfs5Gh(a505KN7joRcD+>DLtvb49&+EJWnGI`v(R}!>&U_i)_jYX8>t_Ox|sXJ=tkE zXlPKTv)tt*_o3#bMxp)iX`WEa7A!bWcheP%d(p`zgV&bz;R=cyeAw?le)KfdR>oq| zBJzbIhZ|SuVK_12sIrx_{kB7PvxDCEvuv+8&gbNnl{#`XUM>(ZXzn99V(f3oM+1<% zMx-5=TaXUNxr={m;vF|VPUXyNPT^p6_Jui_PIZIIJ2NX+C6c0K=WuE8cO|-8#&^<6 zRimUV2Ab)!15ro$qGVAIl^s%7$F_ z-~P}qcRHn+h1)Pe=ruQ9n5E=pa+0zm*4?H1U3NDwtohz3;u#<6h6G`2yo{BCv!#&J zp8ex`z*Qp;J4Fu^A(0&}Gv7AXF@ro;i}J6y3BDdES-%j1E3?tA>~tI1&iz@M>s1`S z$L$h!Qd?SL5}5e-y4m=R3pbgp1lUmI>@987{MM2^PQZ@2bf|g?4a_{_cQ87tRJo+O zq0qamD^XP`#RO|wV-QKE$>b`|7yA))*7eHle9Pz82;_^QCnMC;f9irPSLTed3mrL@H`(Zz(H}vLn3z_bPtHr5;9x62M~M>XVe= zWs|8H3&AXnWFt(bFU6U9!|J-tvyDj}NLe))E0(t(Bl-vet^9M}pf znz_W&G4f>e!rq=Rss4*gp#;w+)9s)2-A)y^K!6Zy^vf)uxj7D|wVH<~@#gcvQLPg* z((gPD8!6|^;}pG`S*+aZDNJ#?_9`wc%;0JvPeo81UpYiip;@^ zo=>}JRSjf>q>k2qbW_ zr}c6m%eJ~FP-4jolJ(|)OIConOT=(j)bOHU_lda=DYGJ~i8rJ?Z*;)e`t(;6{mPUN zUXU_>-kL6){6J%DDY-G%v~=j6puRG8=4yuFVc2Qb;&7oRTLqH*t}8nGRK1)-UZqsr z`#w)hIRfPh9MxwF#3$Dt%?EiDEbwOo@yYRZpxb-peI_3J!i5XU)7U;3-$gfRU!<7v z|2ucshvgN@(Nj$WYg|PxtG3U5sQMLDl^?5VNaAESmWYdrlAxg3+<(xr`TrJ#*iclu zWx<(bqp1Ba{bK=@eci5#IvKRb?RFL`eHa%7vVki@JtsC+lnYyq=9!O=MN+eEJ6xpl zKQ+KhUVI{IQ3(lhnx1sMhv^2*KKkqf4_s6o4s{mWUOMU*bqfD0MyKd5O4h}mc}>!S zHOLj?0NmZ_Pt`Z0_IE!0Uq|>|0}$<{Kd%H*N77k&b8Paa?qc=yoGjC$11`iyckg=l zT12O(KT0?5&SYEXb_GyI^0dJ9b%X=u^9-euT=hX@Tjf_=)+%a?bcu5W5F&V|mtTc&~l)I z*QD=~XR)4~%Gs-nq+yWPRcPQ*b@cEb85@%yL-q*s4rGv=!$6AvZp4t__-5(hOFh9V z$&a|r)Nx2TxH^#3uY0U+7*BIkGsV?vNG_WVhNjQ zhl-k<$nnaT2TH*OtjBe=kDgYq&?nh839@15)M=pJMB7bbRssM)3<~cM!68NT z<(4NdkuyVfo&B`xt+Z;F@sdMtk7mhZcS=d5l4*2gklxNIhGB`DVU>KB5MrY>V4zX8 zEj;}Nm5tyeQbdT5A2~*HEZbQh#FXCYw)Yzp!BMc8K98<6x@~tG7d_uT%5bylY4;8M zbOsEEHJomcdIXyK$0J-R=Dg<>RiSJARn3@%Nm^=Z*)<3|+T*42CR@(Z3Q>J}j<~vg z@tTnMU{QWUn$Dt9TCH*3_(K2KQvY~B|9p7$RSAL3U9pm{E^**NBFbD)v3NgLRonur z1mc!-f#v4%f`^1Lcz`T#1B#Ezm!KT$3&f~i(}{9Rl0n$g8T{H-XVgZ;x5J2=r<>fuWG}d`Mo-4$B`aUW`%9T>$r{y*^kHUsmA5P_v1L2SRir7wr`)XBr7S3`sS=``?<*U)L=4^}Nz-L@U&4-ujFz>ZSgfIib6H zteFjy56`#;VDtW3EKhxQy5$STyb+JV(}8pIJ{32Lk?%S_aPDe_ps2U!w36^X@R8ri zb*_~4deR$eouF42Y^~1m+;GvE0K)1B(*b1M+ep-kdeG{*eN&e%-;`X5H~R_bf{`V= z6h$4AO=H4GbF~cxr8W9)O;85_Y;HGL!q(CWatKy-xUb_Un7Z^02R?r)BtBogUe|6E zrZRn|t>>cU^Sc{OrVesnx`lWv#CSNy^1YWk^F-V}Hi}c)doJ5ISl!ArM`I%92b%}N zKI1VVs;#Y!lXfVLV6WmSgj-IMlPI=rU!Grooj7rxl0A@k`_e{X2hVkK93(`q>4-r1 z2as=$(p1;hdZ}J10b&eSfC}wcwTkG4ikIJvk`nVwx7K?e(xD%6Ql}$jN^^D%fkblQ1a4x-Cvd?Q~uEhoTE-E#Rl{Q zYppJ^xy}^)d75AQd;FMB?QQdCnprPPF~~nth+3|_H9*xrelu1Uef;-gG~wc9wJ_~P z+;j-ocbhf{qvE0696efLL}E}bT|0H;&=Vz2A=l1)GFOd z!`j!kj=zTDnG!=~6n)A&qGyI+shd8wFY##k-L~$H9Tia5EJ8`vZR#*@Obsn<4-p(E zFa$mHTMevdo{c*F(YR;cDjw$k;>yQ>kHq)yN=r-Qq}i}lsq)b@v7*0YZMt*q@Tjqm z~C=lMY-=hNuhL-APR%XB{>-xsPgd=i#Q@6 z!%u#}p%^xWT%|CmkVR@drZlLOwW~j{#)j_QZ33^w-PGz%$gu3+1I#jD`@@+*1)nx}m{h z%3mXgqn*4J^fk?CQ)nk~J+pLPKTL$`VJ#}CUqYw;%CY(N0iu(aMEUtGXM#14VctS_ z<%+a|C%D>wy@76mOY_y0ag`19<3bxQp=^;JFRjN~zK7!3;vc%c+i6;w$(oC5+3mse z6v{t7F~D@@OmmBi_Ys=*?j#_%2Hr+)MMM*rn;)}3ZjL29pXI2XfVcedqpY#Ghj3@5 zZ+=veplU@#KoXCFEUUrAt(uV!?*w=2MU`juCVO(y=9e$379;d|=|s@!`sUfW`hHJ5v7=gIVoq_Et5l4Bx|zYknJZe~L+pH(NrQ$Y&*p)@t-qLU6=VV^d$G&XZhceckj zw-$#6Qv$9#Dy{ehwA$V;+q~EC)WMNc?Q6UDu2%}4uQZR-T!FgzRDw2r61;qYl5c8#S|^{g`Zkv^O;aHsT}PpVT~)=u z2G>=zA=jQhZxj+@Q`z&lfLyCTYEPkvcugQ>Yhxi}JFI8J_wWf_1FZ~Ux#imMJaWON z=u>>YDPFR*pS(x>qZmnBT%bD9pi&!dxhYZ5FTh;fF^F`g`|H5rsJG7uc0EdK_8Z+~ zdh^~*z-x_lR%kmh^y-_SCnw@VTGV%@MxSnQc#=+Ux$y|o==Nl%Sb78T7y0`TUBAFU z?TT$a6D(EgUrXwYS{SD7-M;#G%z8Mymr3fqTbi+WOCrzAYmT*>ylL&%ObDi&x34P# zQzfd;bNJ#lXTUr^tx+cGjpM2zb9>$5*ESA^hlWP?Q~7sqD99>+oh`A$RGkDF&E zN4pR#My$e=+#e`0Vs50tUzt#jv#oRQYD`Yt%TciNX1d;Kd1AC8p zlMrU|S`0gmaOdp6EpgG51uMr=Cob7tmz)09r+VCRXyk<@@!biYt$qFseWX9a>OUV2 zN6pwStB~jNN3XVS7i2{Y<_Cird{Uplu5W!x5py5Uh{mC*;?;JQ`5%b&_h&;<*+upz zYdeaRghnk;8oiX$b+Y*F9D5ZPrG?@mBEEmBiNU%sFQ~llM(-o&7&rMstzOzkHwA3W z`s-mb!3VXX+DUHb=Z1%dMoO!viigU*1Y{u31)1ufmQa8^wqEvpMWRLhZjwdx#{ekOQD*N9bbzvV103RBHC@U7sH^_wz&SPu(ZjvG&vHSZuhj z_?7NHgs}cz4@i;venV58zD&Ma&+xH_s0nMGytJ2ibp1?-(2KtmG@hdKNn&X=H+5Mv zfh+0sb}TOmjq7e+)oEl(Hhmmy!(JTm0eensljb&)uR6C0-tYa4M1^N2zDzz_R5qz% zq!BQuM&4h`p5v?3_EgHoPs+(%X*2dSdoj&>Y*4>TTQAH-{x$7|naiyE-v@g-aBc2> zU|nEJQ*!3JhJOhat6abfp(>nTY87NUFviCT_Ilo3)P$U@lME3eJuZ1k4 zP$h3pLfqG$Ub?{nSQVrWS3%~N@Ul{I?_Cq&q7UAe$xv2`#i`o;<(tIXLFCl4yw}bV zc2s?wnUo%tAvw1GE;JzNcAQ?hj(ZQ}%lPwnAOvdav}LYY$O$>K3Ydw(GcT|6PD)`Z zb9*cObB^0V-F+Qq%iiL>%1TzfVk#qKcIn-D32&!AVB+>K<-a&n9Jn01wO1QD8`yJI z_!G)HTEfnU$SGCD<)%HEKU?J5bb>fd9=lfT__~u*>`u+KnWze`>B5eWG#172VYhGJ zR)3qF-C?Yu1ZxN&y!d43WdkyO{x=T%`4Q7v3+js;0s_4;H3%C3=vd??LUoNc!}&#A zNdaxc7BuvY>PPl0x|au_14fRk#*%k{&l9>TNM30i{y86AxH@KGF4(_^*8q>zlN&M6*vJle#;Q6`SwpJhjy@S^!hQIT25cKYQIa&vQ2-uRPv7}MNUqn@*rVsiL!JpsQA9%U(9I{m@k3~FUCsYz8r z_%zw@Ab$n>#F_PG;*u~)5<&A2a2RPM^^=vq>l`b5 zBd@81Hrd%QWgB-tL|XP07Lu`4Zwd`jAA)miyTe0bE)^ya0+#&_#&vT<`PYIUBPA`j z&R%$xL;lIW%AYI^e=N1{V#ni$dy92uNr6yge2guKRqj{-FP1RINeV^rEBEiVznXfi zB1bi9fVVlkx}OJElD7sC#%(t@F-7^jB=>l+{X^#(+?=oV)+GB3H+0krL`H%6_0qb4 z9aM*w!|x%6fS&UGeGOj$rl=lBO8*daNDq!!LkY%H-5elZO%p>}VjVzs=UOB$V^Uph zW-6Tk=NfT^k=ppKmy$h4d`}Rli``jA%fbB%Z4V8(0y`nRcl)-fGf`PZ#nxKGJZDoM zAuW`;+nZhexr2DK8Fiec=kSe_7MH>1`3UcI8fQXkIqP%sA%B46PABnS_M#^}KPb78tXa9P`5gRa5Z zbju00fLEkXYZKhLb0^(npn7yW9&p;hacgokn2C6^VL{4kzi2)!aWivg> zu3nYl;`uoxHRkbY?*5V7-Qvxg4efc3N~#tY`iH-76kz8!Egi;^J2*m;PyN`7XOpny z1-eq}IGfCi=jX|{!OyCA{sKiUU~3N735?B>BUJf-Pn32dgBW&_B@f-{8B|_BqrQ?# zKil>=ZN9T$zy+dSBOi!KuBI$>4?VSNm`~FhQ@Y6|b`U$uRYaSN2FP%=H?mjh5E0w$ zG9#JPTu>w9B4lN#_jLn@`af(<_2$Ls}tX{8v3!P(tf=HMN7a!Oy3*@c3dT@5`DAf*L8WLcKieGz@5(rjhyA@JB8_#V;wrOQ~0{IP`>o;%Sz_n3cCAi1kN^qYoqQ-5)$xR%)9Bl43)Vi17GGb?W zU0KK*9Uo?-4_$Z#wieZOgTf}sSko6U>0J(1)*eXx^Udc!sb$O3)YcBoQb9P+l6>-j zIymKU+81609I`N62)uNbQ_!d05}s%5{K!iZiPD|@bT{PmYaae*#8*@arE}qiTX(Dj zfzT$`z`3Xx4ZS@}HZ!rgf zr!yo6f?0igdwV|s1%G4aShw~P=w{P{%T+K?4Q*zYI$o2kgo&?VMo58&Kxma5@?$c= z(l-pTX>Ztca8&swvHc~*VO}uTX|uRso^e*L%V|0x&qs4jARDTOF6+1WHKL{QA3S)_ zW02m*CYua-7y?%{C=nzJN9e`;#3CjRu|+SZgUZVs9=8`{x2n$^u3*0u+-V>TzA2;( zmu^>06+``B##410Xq&MuI$b}AEI!701nR$BN$JENJ#o=VQrnCo8sB*nusU(=xJnr~ z_`W8Ne#_Y5^H#fC9ZBIjlnpZ((e#SZ`cgFQ4*`1_v?Uy!Wxf|)wZC&hZ&Sc52*t?A zh@*!|^_6f!6)EbT^Cd`~r#~NZaMFUX(rBpG>r&VGnT@%aIMn7N91B%w&W~{bnrt6? zD{jb?h+<=OsV5c!EoXv!|6HH_zk?bNMixL#8%MCA z%5VTjl(bb5%@DM_SL>v6f?qmdQJf=BFqqUKW)#_Tl((2r@zw-zjUPFMIrM*q`yIEZ z9*2BIp+;6C#iSCK)nH92d|%blhCVKLQ7?^4?5;meG)7L1jMxgCyI_YV;unuE&@T+`Dth}>t9(2@rE$h6;sbwQap znyfCs1xk0&cW2+@K1r*sOXTE^alR>$bR5VjJEpB3@hwWRjPvXCraRJ#cXRQ>{ zI1E#7YjX@Tui^xhO^7 z-;fi$l{9W&Pg$57T;${z_0sY&`tna1vUXTk5sAxk(0cqPiSqby8IF77`K$A{;&pNR z9}Pb*_axoZ6UH+zr-OupBYBBMF(Wy}Rip3@mN|=2^E7DbJL=f|I14TS<;p)&OxZE5 zc$gXud=(d_5Jx$W>&1X?$J9#WFEgg4Am?Xfx$HxF*x^w4lyB|M(e8cE)H*UJ0zyGnKFt||~voQrcm%$ag{0_y3 zZGyMP8-&Dfijz9;&5Oo4aw8Pt{CZ(TuQzU_6!TlrQd^I1TTRzz&KD4@OcyS^+8p-xn!1&D zDVmxa>l+R`nsDDKz_NKfwMwyHS%KSp!cUe$$T8pE6LU=+RCX5bE;kBsSS~`gWRfKn z>M56IA3l8OP#I@}b!tw>9j;ub)Qs#_C|tXWafvN zQNl`f`21Iuk^0#Szd>7VZcdkTobBDzjeL@6033K3lU- zJfJeIvw1N|Mf;SR&3HgysCAe&i@frO4?~SLO^ox{X?2fSl4izV4ILfw!H*s*;$K9w zBq4o|FJ5CmdKXK$Y!lJZQrB*KCgN6A=a>CBo}7LMVy9io*OD!r4f3tS2I zMHW`77S*|<377nh?VE7K=OfTwQ*B=idZzA<3uHHTJgzX}3apWR=^x;-r-~nY&nN`< zl20tdiAiCJ^|wO~pGBNlCdKzR{c4Cm-no9J4teg}In7nOR3l6vj5*7{y`M4EC8LTQ@_?1@6PWP>t%LTKh1do}o|KaIZH!mh?VfjC93hg^0y7 z@GIc3rCxc!(}j5^oS%VPnRleG@uQs(Zxe5!Ln0(z;Y@-T zWjT7Q8BD|jxYq%CClgePA{X$!+<^ge3GcJznND~q+-&Q(GB&DG(RyKbfoIyD<#sB; zy5p7J?Piy@L)|)%Nz&9D0s5Kz{Cof(8n%^>a(NL59q0z{O_u;ZknXbQq%w($HoIFE zo>z47MA+AL6M zFjMeV%2I1Q_g1FQ*^#iPO)nN$an@l)~;H*KhK6{7{D8U5g>BYPoY0uk4Dp z5Qv20gWYHus}(qu_ENh+z|pSLCX?y;n@{aN*$pjS60;mhmx?lf*63Z z(5BonEgx(wS2!egHS&aw!iz;e+=MI+>+c0J$1#6Tb!O>(5!}_V(C#cFxUY~a{OVtS z?ovFmHmSd3SzI~Y9$MdUIr71!l8{$0SL6NpvD&_*k`BrWbvOKi8ap53t(uI-rT9g6 zA0>o@2SE4_arTHD`te=nqf4i!uC+wQp1IEu!z&VCdW!z&j6?9NLsrjE21{>GtTHos zGM|*OT<%TrP+@~SEuvTeh^EB5X^AXR{LRLt)+53bCDRS-VtbE^W*`=bpVYBL>5r&&{iQm4o7u`_x7;s+`#-JiwA48a@KmX z#>I~2$(c6x+ZETz&gMJ#G+NiXl%E~@v`)cyMn@0x%PF`8?B?^g1+(6Yzw>E)1Js{3K&&&e$9*0$J%C=cVn!;&aXtc*=t)pvNiI>*EN zo9xDzzliZ(C!Mln4kY-Q6ImGy+mm(hbrL21rYH zcS!e8(%s$N-7&zsNALH!uIGNg|G{^Dn9sn>oU`}Z>s))Sz1DH8T%wPfU4{s%jU}8o zY;lzylTQ$C6*>)1+w|;}Gl3ogoDvdPp2vAtYcb-(PK+xkOQPq*s-+?^}84-^A}or zd6U=Qxomzc+TF;nh&B=`sA3thw1yX1+$w>_O-qHg$@ zlnB~$q#|v9=wPj>!>}1GyA`>+w6&STMRrzPyy=o}&==9KlqPh_kHn<-k#`g>$g33 zxX+(c4>u)rB4Rl!QVqHvG^%U5J5K7T2{by5lNG&cZtCL5DGwWLdQeFafz6r4t#2LWA z)${Ui_gMcbt5rJ7y51Opf;1N2!Dec6d89vQ0D>Uq(S^q5+TYPyj?eFydMA@itJ%O; zJ)!^kuC(d+(QgLwS1QIfw=kzUgJy3u=Fw!BaypnIgcVU$P~fB0&svL{5B!cou06}8 zg>mzso@>?o%h#{^xxeRpxN4reje5f322KoE)2bKOd1Pb9qU-Zz({lgH*a0qM`VK^o zL}(MrJgdVuYq8hjk5vx|P-hrzviw2?QZ)fI;{)ln?OY@F6jR-XrxlV46gvVz5@URwNn zZ95UCm-a9tC|J^(ZrLrZc2u4vRqJ(rj(G&fO@hStL-=*iGNz6L>Yz){+-hs;JsjUk z+`0_!l}47H5lYmO4G#e>9`Fzm0lT3RU7#mv2H+#${`-pN zRE0Sd)O44yppGOSOkn?H>B$r~1C3b5IYlp4woKRr$0 z`Ro>|MGe|iXPQ7A_Ui!-5O^wDfJmrnd=4;mK)@!`fp|r-_L6IU-CS`S^t6rKg9Bs# zGjrR|Kl8(U9)dp?02}1ZKFbHF^LRWx6HINl zpJ=BpY{FOfqKf6<*U5n21emG|IQ|cR%rjE5xRUC6Ok2<+yAT`vK7Pt)%uZ*R0sq`1 zK*5F)CE#m_67Fs*r{T4+cll&GA)V~#P=+s>d%bWcV|}8_v4TD3mv3+q5huYPDW5># z%L98=ukqW8R>R|{;B|5S_z_mq{YjU)K3gGX1>Ui*KS_XG(0Qxa8bc+=}B{h>{2Y-Z&zzy-zbfW zmM`FvY}OdhU7^9bL8Q3?xt}_1-t4pBP&t^xC;!K86}VzL5CyFH^OU%>rm1dbRRnsh zS~OWljcV^N_kP-e3RuclR*Q_fbr0pV@~KQ2I7uLZugW2Vg|S)iF8N(y<)V31LRG-`rBh$2D)z5^O@OP`Vhg``&^=`2a*Ns68AX8K0w+vZczBMBO~{xYZeHtd*-Kf9PSJ-w zsUoB81CnHqZHgv=+&tCE^blsBB(_XUOn{!j7=h}f2Lo_dxVPf$g8A1@yPu{D0)Lvi$4VDf_aQwsg}MO8-{v zDR|Sf<|Qgb1(R?_dt}u7JIvf0-Ey=SlL`gy!ZZ=*55e!rp(VW{Gl+D%`H0TwH?Y`; z7dQNs5)^O>6y1yC1D~z}xOIr+-+#M+e~KeXtz(Nk%_9aYaAz^8G~ zl?6mv27-MOZMjk^jAZQw-+8QDz~m8^#oX_XrV#4& zzrPr$Zt6gjz=hjl_{1P>^rhL8u4IMgKOp@dj#TS5A3?KhrQz553?F^m?fnQA5zmql z_e?EEwfLb^_&ZgPOCTFB2Dj5HC|*-*z%7O6HsDa`n=Q9D49d%ee?$&+AKRFjcz&Yq z7JKqYI$zSA0jq&4ml`ck>TCC~=llOrP0v33dYT2ow>KWC{0Jka2&d8Fv+G0CHrdOz zCVZ-!Tkwm)7n9q^oB+JE1sn#p=+o@rEh|6pmyo6ioWSeFoSt<>< z4tg@f$ydPR1aJ|;AA(8$|NF<@CAf;Uij+ z(K6;3{t(sd9wgWUcyB}jB7}7f9QG!#o5v0*QCb3U<>BEWGg@LqM7i-WdCYx>zgJ%5 z+4Z=+6yKzV22eG3M#n;QT;&$PvcB)^0GWB>(h^pcM&2?>dWatfnrLyvlmtuenN@IR!Mr-8CT z8ha1;>}_q!hLj+l@UcTUX}P)8)~|oI`s1Sd zY!k4X{d7GLxPvL+!4e$iLR_udfo+3SVQFd219C$u4P=N>bS{7Io^w~=4N4_N@V1yMHj0n!lqm?$lSemfg5N#KO=6sN(Bs= zBRAwagMiV5SYd$tQVgF<1$=}&ZzUy%kBgJaX`eK>b`PkPAJ=mne4NdJD#uq|+ooN% zX*qI7ao@ZQPzl^P8PcS6$@-cxE$a&Gc&ENP10kjU-Zn( zI=Hu@1P9qo^}lGKIF0|dOkh}{?)oKhgN9Z|?qQ9?20_~=LX96^TYpmD(I=-gOU6iy z4ir6iE)ld`YIeov$*{!^l9ZAH9B7dd_Z?3WJilGgza}MZl0d3g0PTD~tMV1-)$;%; zUMP_%+~2s|IzfsXPJhv`&@+!`3Z;zoJ6W?yjPPg=qJ2q+Mp)L(n(v)RJ3vrx9r==* zy^^JSD#e!0{_Weh2L}f~Vfzjc$opa<{IVZMTBiBW%9A#6_iXP|nz>4{gvl^G`%tKe zimmz>u*isKzGwS;rMQaHCf}(?(6I#!n$oa4g&NXnAz@*s^0~RWu1K9tJG!;gg6jHM z8kL;CPZHQW%y1m=>0<(RsZF7Dy?XDwz*8vo`o*Q8Ci-K7_h~YkJzH0y^Ef%U7HN1C zrHvfFI=#a3r_yuH=eYlw0Y$?BtFw7%Q5fMdVglMuuZrK0DE1z}KGb#qV??F#<1DB? zelxH7OT^Znr|mOUPpI|seTL5Yd96*MSGx7ye7?*Mxb9XwlVQxkeb%30K0yaDg)5?- zpGPd(s%AsQpUAgz#vp$~SF^Ds8kVP|4d1_iPfEb3UviFIL}(p$Bnn<5k7LB(`Z8tf9!)_BFrwT57KKN2DsNT>ZexxNy(%BuSP*uOo4IhOWj4>d z&h3dtw=qOi;z-I(?^oO|3ua)xL~VyfuK9`ZHkJ;80=Eh-fAY$5@lN2O2G-bHH;BdM>)U_U3?f*=}ou#7QOh0Cd}?QOFEntONNc zrb61eHPAX8{TIeG*)*Hhup66l(fhwo5!4e-pCaFbmg69|fI94|ycyfHv!wEFt?rv~ z?%5l+W)Z3S$nzI!-*Qkx)_)cqm+VySGLX+pOCIk&k8!yQlWuEhR4B~)-oJXg>KgK$ zas_vRlpo?sPsyFUe%M-ft2S}dQCkpFI*+N)vv0$FsLAxkjiG=L4;MCAtu&W~G++7N zChKQI`wLx-VZjt6%pYnI|YtaDJ{eP^uz^P;Lxb zJBk@qX)TjGp{&uFyGzoLk$ok$+y}WRuRJqNcW#ArPQS5benB)CQM1E zt)E!@{F;2j(oP{;~Q>RUuviFza=G5317iYc9-vMla9 z+R{oS%xVdcw|NRqym6aOemj@q$|ulpP_~VZX1Cr)c$>Ltg&(vuD5_mP<~FOt$*R=9v^dbfi%GW)?3ir^EXUngfGH&V08cQNwlSdHQY6Ck zI1cxV)OjLW!iE%%9MZTR!k*Yixj_%E3slxa23E-i2t!%7^*XHt z&-Abq(vCrxV=K$Qo;BCM7d!E?NLH19*0AgTxZ-Q9%TmFqxrfr4{Vvm|*|5!;2;4nx z)%1w_ky{ShQin>DeTeIb#7Pe8hxhOLII;C=Vw@KUa!Leobd?0|s@KwM3o9`m@-TE3 zjpdje-)D5-HWHktAo7Md-z^VR>19ZkBqD=!s`M~OcRc0N783fx+RDeDuW`&at89Zz zGy3-_O;r%q_pP$ldfD5Un@jbOZ(A31h3UDt3}n8E!V}o~Bv&*4DN5-zR&{Bka9!P3 zT?R=-JrXoc;ep_G9z(Tn78dWN`XTU{SP%>w zS@)~e9y*{LB}sQ3nv*X_r5)GY%ulodhob9tl6tZz^3R;3DmhG685YaQ67)7tKiv|z zCq8It$an1a^n@7uxwxu8*Gy{)*B*Vy{riMd3Xw&y-?ewYIaMAmn<{v-`|Ngd_ zV(*nMORyeoaNTZKt{$lyPRG>K%{znUT8>$X5gY5|;jDM%)hA|cCa%@xb7h20z4FuM z?LiYS!~z=}w^|olH%_y%ndIG$g`Jr$n2ipouk>2E)HB+iH{Dlv^DG&e?oP`w~To zkoH|1a$Bw0m2ji~FB}-vC{?H#{Y}pi2Bxt0#~UY=wZ7ubY4I#GB4;NzlBjQ#BYexU z6^kvx7%gQ2+;_XBG*_>E(5tIWKN?(oBY?JPJiH!}$jOR4m6=(wvGccV3u1!#jN9w< zdJvmhyVCokexmwKYfc8ONo+0D%feY9Xj!ClTCFx4?oBS`APjaqqg6a&LYRrj7?%W~ z#mxe6KzhhPa~y;@G&2~*@-H)A9*w+n^-Bm;%7_Qxkw{e<4K{&Dp`zx67p-NNsNRdpv7YpZ}#hLx$xs1>w>z3d&&IKEASiZ~OCS`;yq+a^-`H zro5wgl0-@J@AhF|-R# zC!=%eKx6K=6lE|SBDJ}8ObZOJiv!vb+U~B;h5LycN@X9vzo9vHLpr#$xZDg5L~6L)AU9soPs)7>eR?4@xwAT zbj8HQCFN$8b*KLK{>m1M3^+$b+565Nq%bfrCbvom=0hHdpW2^-3JNS|aFQ#VSTkZ* z^vWEk>To;zIwWk8SmCn40o|1fHZ#Y;tjLu^sVscC7u z&*x#+(&L3%%A-1sfV>o>Tbe|%s%BC{lCwximv$x&07KL8`O#rCyiqvEvA|lH4_YdLo$X^Gc_3Jx= zy8qtTt7)G+c_LT*N%U|R;M=56vv)u1rlh3QCIX7m@wuQN!on%Gde^Vhl0meLq`b$& zyWExn?YIkGd``rwkDphIM-dXtVhouX(Qhi8|nQyPa`O@u7P>s5ivXl#JR`w7$k ze|AcGR7Yp$tLy9O(eLA70Jlq4i}*WJ<#{ibc|2!GQSt5*7R9@c9o`9Csy;wN z$0-h{T&A$6XR`MyW(m0I{Cw^YG-K+`&7D#TR|LUxllDYti2*lqD5kzSYO|y`Vgqw02b4_D6y+ zJ#wo990P7V&7R_`aq&9dKU7ZZbmInLD!ZUt3B9GP~v6PU8Z|Z?S89cu7s|7YwhZ zJ~Si^RRTOLgd63bTK#~5lhh0l<>f2fYJvLZAavwC9$|(Sh!6WF^_cUmal!;{;`h zj=_c_(`sMK@+h;TNj$s+XCsFql1HGjtkvbhH~SgCl!fefwt{ zd0n-gi(H45{JqRL0`ZDfoBYQ-${G#Cbm)5EF6q6%tvQY`TcA@tuicbFqsmna@E?nzdd6qr0~Lpk!od zdOB{N;OW!F+@btp#jNOY=-R)$e`=+o?ZaG9azs13JyDqypVedsl0ttDQ8%fHLfBEQ zh=j*tS1Af@+G0*AU_v8tng=)YhqUYdWeW(!!9ZDDA zI%CskM*CMf@tF%yzPFG%Wv+W%-9F4a^NiMRB@z(IGPqY$=$N#Os*1BXsTEiEWpp8W z8z%3+Z^jRE?n$FB-R!l@UVR0^?rOQt_Ip+YV-CN7-qqLF1NFwWPY%`MpU{crx%!;> zFBjRp1ady6Zh1JB37Uc#52b%OCq;b_M94FiB8)P<`%(0Ww(%ymGn26Ip^SAs_n>40 zmM#qX6*uC!;7E+@F&BF~ttef$oGHKjmskPOz*d2_mX<(MhEjZ=VWj@Gm21WrBnETU zQqM576%|U)#Fz%by}7z>%OGNbdQj$T&>)oJe7Z#lXsCXZqW-rxXcvKekHxT$b$0;J z`!|3F3_AQJ@YX!0pafb%`S8aJ)rAxk?=bzjtMA44+PASPPdK{Ymp)8sB1XDsaEX68yYhU3}%wQ2PHOZ9a4qPBC`Chww9QL(MR`nDPB>%mqI$!pykt} zA^a6@oxSjqg$I{Kx_?)*<|n;I11`Dyk1i;?TpZV>0Ljh-j*uvH+6bJqFR01O%Y$@1 zOG8j}$tffnYP%Ua^xAWJNj+*4<6gnH*?j3CL$99MyFN(>gB++sK)tSK{gRE?aKlRc zN;m3QRL#ihXmIxxpntO`MGe=QDUCl!m;oudPhYOYP)^F;=e8TtNDVUts%Uila6rea zFVcP>;lW}bTf7`_qm!cs-O*a58|n1GdmCHrl1KUPa#>-5$HK1D6(5F~* zmm(6b=UvZ`Rle^vM_edaa7E~A{(_7OPF~iH7$5_9?lIEuikgM*ro zWF{E%_RorU^xrDfUKU=+@XYo6NIYD6R_7E^%N0c#>hwwwPx@qu6sIA&^`@^`C_t25 zdQV94!-I@?Dq*KCx#EsiH+^n}FVCIju!t5sw9>`A_o(Vi=dWBkXcP1y z;odqhPoQGb8ws-tzh-mRus?CvNId?zdAX;afRR37Mh3whu9-N4T~>)(4h(X%BVKrt z-bDD?JhA5)qCf79Bc(K~%`X3S6jPO9)sY zeLcQd6c_Tdso(R(7PxTE2M{rcy?qtDTN#1lbNmTo28r2RZQememoE8Qn!8rXe&{;l z#w48htgX9V|B*qz?l098#bxW7Mg}PTHsXH3RQ6oHNJdoz5>bvN8(zsnr4hbELKACfc0cI|tfQFYPN>l}7xU--D53JTJ6 zoqH+u8D${D$Sf}`)miRd#Nl=uBuE_v*Dl~%@XE%OG+#9ErJXK@TQ%(9CnH0wXgRe! z!>m@juxHVnLEbLt3>ngUaCqp2^HW5fj?r3_vY%@&&V*dSiC1>jNs&7y0X1M&dnbgA z;c{H7O8kUsP}#@w$K^Z$k&SF7ODoXBHGSlxvhaNq`}ckMPN(m3zMIpaTsjt;LQ9l# z^8@9O!gL}GGN@beQ9M6d)k7$BTK%wV#4|zmifalN!kPwZY-^CN0b_8`S*z3F2h@*l zN5%*@Yet?wl&^nahUDoAeteyTkB<=Ll@$xzcn6yp zCXUYH4}1Wy4F9({?T=FZL_BQiOAT#*2|#PrR4I_M2fPWMa~d;c`Sg%3aSE+|8*`MR6d|Md-aFWOc1`JCIo%uhD2T$>VhPHn>M&`ukeCc)V zm8OQ-TMiM&3(EpWMYx+(`Wwgi?HT@EpN9~yWS^e2D!z-$YH1v5P5bp+d}BHE4`|d-7g;3-K>m}Gs3km--@qQ^(`&Da3GEB(fIol)<8XFkkQ{RxG!V_>!Bvtj@&jj z|Lm&S0(vfGN)q`jf2ebZmy>hza50CL{6~V;OV(N6gmX&gSgE)VY&6U<()#m@LBo|E zBCP@`p;#PA&**BI4a5WVGGZZgkk8N3=CjnNeV8K`jl>iG*F>g`z079x+Y!0fw#Ode`ZFcws4pj{oTAvpADx=))JOH z^iKK#znlLzrndyUyiw$e@`LAc?`z_}^it&0NZWD=;bq_1H?i%hw{K35R#CeSa&jbT zs5N0mJ_;jmzsKiy!qJ692TCP{!ibge>bZ$^Ld>MjP^Jp?v|+DZ`d97(+V z75gaS=Jm~5CH-xBw_sS|npomUQ|Hd(+_&y*U9tt&SLx@zcdLuUH1Bv^Bbf9Ravx8A zwx8U~H{eCp-SbC^?XqLz2`?-kK$}eCh&aUNH+i-#4U(0HurLvN1T{o3*nK=lc3@-I+G>y2##4vg^u%3fC)NM$zTb=WUfz%!>Um z(xg>?!EoP9~z(d21fW!-`PE1a_>LE=ogbGQftLawo+WRe{coKZlsPQ}G@eIa`(NLp2WZUx=OYf60dwY(oA;bhfxysP$ zt8jY8WY-Xq!YJ4!9Y%y&vcuO@HfaBF;gMC6Hv`@(T!iWYL<^R!H;D{|*s`a=!~#M7 z{?mXj3zQhZ(aX(5RVbXHfA2i=a=}fn-y+hEYy7V_&c;%_(Kgv^hlK8@9x?k<8Z2Bz z>!>e_eSa-|ah~a+XVMtr0%mtc`uP(pf*NE+C6V_`Yo4vl;1})F1Cz-)4|=Y0{=25t_)TcYMv$2;$nE%58%CW@A3sFgZFg+U<`)6A97*qQ&98T9Fx% zWOs~zG@U)&Vv?mszMS@r-%Z6gg&pjr(j&t-Ya6V2xQE&t|Q^5YOB~tbiu>nroSCR%+6?)YV^IbBv$lwcQnL zsH)cIksrROjm#E(lPfwhWIA#6XSb1)z4N=mKqwr!vTN{V`U>foTtGyh!ANT(sKFia zF=WLOkG_vsF^1?I*{)wd!1UIAoHx&;Qa$Z?pNlU0_%Vhl@3P=<;}7yu3^!J{I}`m^N8c1vwM*BdvNLD5seZQ6dwr-`B5=N{mo z_-z4Zc2CzFS4>4+A`#~;sQy|#L$~a!zIG?n5tde~xZ(}lTq!ac5AC{c zU^u78A|42kGQ+hHf)D+a_XTAT;Xk4$kb4=p5amX7wcj%9Kj52;(`2I|)7qydh#y++ z!DV}xm9YVQshttC+j0MFiED_u)A2@Au+kBh+dBq#@e`$O!z?7Z1L2#J?Gg{Yt#}r* zz0C_5B&ZcCvU=~I6$AANW1K69GBVyb9theBJ-Z4-4N1lKOM{=3kM3T5H`jWW_{xT8 z1xM{=LNU5O#_;wHl_gCs^TKwfx)ob)+0now!SHJJ=nw4l3=c5C#ydzE=}}E`vbJDAQ&2jS$k5a7PjC zi^y?2jGkDlX5eHq5V;6@n1$BpcATENG5p%HXU)#Yktjz2`y<4Y$OvJkX$C`5ZDA6Oz7{^yLY@IOLj<)(6XvGx42V09)I5LC9^Wp%DB;~5V=jx6F>q%0Of#YKlt9%> z*R50hiRjZoEmCULcbuw-r+f(-YC6nx%PTLwmQM@yeT&#iK9S>fAiH}6EZ z?VRl3QdM9!0{?xHxA1ef6jtqQ_t(7VyIAxXJp=PLB zXL4~E(&8N`L|lW{i)vfOi=YB1KfrM_UsYBDd{RA#$@HiQXEj%;h_Rf^&i9SNZJm1= zo8R;CUQSVnWH`uf(;DMc(R*K)IqqkOzgYJj4#g@Hl#?5CZAX6e%xE+h8v_)+tN@VS zs3?Fv#%kHGs6(43G?=V>5@5xJ9P)H`9qL;e*pJ%!RHv%%H++$gKp=l_4uLNTgs(3d z85n;GS4v{m4S^6)Knlo<52Asyt45hY_G$T%2CU*jG3_zQ%{E>=l&6|T5qHj=N;(YJ zxzYGHe?*Ic+Dn-T_5M^x0dVfW-FE}JAH1}u$#aBHQV5!bXe-Uz;4XZJ5XHsq_JF1l;izoF7pS4ghV1v;hIv=kyg+7>KA&cP15*7D1xjI|o3^ zui)siqtnyT^_6!xJ%~|aef$})q&`3`f?^nt^$Tptr}<}YPABrmQy)euuLzWeWtx#U zzBK~Lud18Q)|9{CN}m2%SSta0U)M80&2Y+a?iw6*jF+jlz18dEg3r@AVcZtPg40Q~ zt6y2!aiaSAkIYVfejOOC2bg_cry~`61{M|t;=aTbg{(O~XVy9 zx=H-=TQ+TN{=uu8fDd zS_`)Po~5fHXB*Hj32oV>9*Hn?pR1x(F#4lX0a;#d>_2a~yM?BkfMz_o@>IgG^+MOI zaQa(>{)2g?~fnFm-T=PmaY?XTD=T5o2%t& z=-YTNo4_4GqfAePj)B3^Q*AlV&nEsQumb&$MOIJUDbOhx@v|`=G5C-{Vp`yvr{q&O z=t0QoL6bI@ZhjR{(?7q;MT3sc^umbfuZ1j-cCTgozFX6OmR?#H{OvXG*Ik#M+sr&o^FSkvYnRbD(bgv99eh<_44uUyXp*kx@%pLV`KB&uE94 zpqjej!5^DZ3Bu82O!)S&qwvlw7r8*}`{5|x`hHw@a?iWY9$W@ZR=>%5Hz=1WC=zA! zd-&i1Xu=v&E)&a2?~_qnX|D4D{g3*-KrMq5QB7op8s#H*v15In3mo{>M{t;-^INWg z-L)KghZ!NGwg99g7Gu~OtCTHA(mm!V1%ScsY+RtOAY@rhnZgK^I6{KJmH=r_{e`1a zd5+jWdBEjdyYKN-;hx4o(f&t`_v?tN3Cy8%aX%N+k^ zwXZI0%p*Zugw;$D`p&NNZ8|cTQ1rpvN|I}?mv{B7ckXgmucdtl00z(ZU7QR=f!u1SO6uPYb=a<8X}eLYyM6sWqZhYt*lO1Qr0GftERq4JxSc$9 zadtieSgbKTH3Ftj_b>nqp^-7mLU0f?LNQHHT*y_A{r(4y1F^yeQ>Sg2c!SEw!f*zN zg+84)k%F3%EZL+!%`vbhY8cqiEq8;IzeV96kt;_K2L}ghJ3uRx{Bm~fMo2_(3H`}{ z1zG7IMFQ)Y6`rz@1dQIDmF(6_B8g=V`<8rjhg`A z%zy`wZtbyq{(_*A`itMIz`XYFJs>WFKdK&CN)> zJX~j^%8-9#QdbV4prZqtq^`UV2yul$0VH6bvN_8ppNbi+OdOmY{wVb?vo_s3iw#B0RgeYEIVG z!DqJ~%E_N>uR@LaA4Mx85~mE7dGxCNp7Cl5?Egz-oShRt6UK8UZ4>XLQzPb`OO}W6 zpas9eWc2^HbhXp!n|X*B_l;3}zljMKV% zlEZj||IxUj)96$beAAKxPVBuFIz_T6o;6{6!!QYoUvxAm#Kgp{N=B=>F46xCc@)vm zec$SJ`glSE3f}}D?{Sj+&HyJ4-}i)g90y0RZ9a?907EQomVRU+LTL@#9of#GG+5qpD8d$sM($nLv zgNH$R^tR~LEm8EQnDrVC)z{uDbLzLpv(BaKnWnr){+ENm59kNp@2ZiP4+im32f*M_ z=e3qtOIwlrvmn2&QH{PVP2Ip?CgTNk?azY)f-2O~qs!9fen`gsGsQ7P6g-~R3DGu8 zJW0Ksqp(%Ks^|R^_{9Rn)D)`{~v4cvpHnaZ0;T7 z(F~>bXQe*xb<(aIy-xjlu^5A2bg2w@@XT>+aBy&8VPT2dtH9|gv#t8#VA31a6IOd} z&>4+}mcrl>(^e04vsl9)5qRb;{6rCwHp?AFtai&*!9CiLUcCw%$56DE8+)&l{G@r= z$;2X0d|xpQ82|xRRN`0+f&XV0K1ymlGiKoH_auV!h%{H|B(jQH(&o)y17ai-G>XVB zq`LbNIhxjpnHc~F!pvy8kDtw*lWGey zD*H3{3BPNN`M|bTFx#KK-%gjRdVn6+g$}fJ_FN?c{BI2O;auW^Hx!=(L{MxgWHwAn##Huqg$Uda`MKgcl9CETIm$Ja;tZvp9R4HXlFkuA&f4$+Y6qewFsn zH7)en7d~lJ*lP-`ST>IpY^s1K*{f)S78oqZ*k8P$3-odx7^*73PCX{ z9jK@@(dUSsrbHBifxQq38b?&_NjIF3Mztc*E+8w?r_(Nh7Q4REsOy_Rx~+1zR2~Rm z2gDk&;Y5@gY3WVQ2WCAPk5vVpmw9qgKN)>>rNa9$4$JM$3D+QnY?(SC?!1^aaVx(n z7QfGBWkCxF>|}Z^m3F(Opn3=%scvB$42mfz$ZOAohxoafPOZVsgs7(^9e@C|h3 z{n7Qr$*AZ)EQ(bL{Yg|9M$x_7PT!Fi(F5*VLQWctnm3 z?deCOm?CfZMc2zHo0?t2%5y2biye!TtU@o`HgYds@6}wKmw2^=N*-y^9r-r6jQ;j1 z{|t_+Jk!9?UtV@*c@6&-PI~vYhOyRpEX@1M7I|X=&9hSj-$ye)5-ss;jyDifzW`g>%va_~L@Fx|)y8^MnGnHtW|m_i6(6#+ z9D@Qjy2`~^J$Z`OjmGKEVS_xvzFSX6Z;VW$(`rjPZagShpECHpt|?R+WrYu_G(@)? zVkinDvz7`lJeuzvdJuTd%c$Ji1JH(BH(j<zBw%| zEyCCf@Q|vTdJ)rL6RQuKs->AUxTdrSLp+Vr#8WbbW*I0wbVBY zqI{b6KF1FL)|8g(BP#D=&A`dR(P!&Nv`Q-K*PtUEE$eBBnM8p|;>v5mw^Q+u%2FhchR>7f8y0#29#>b? zrELn$P2rXFk+!F>qrUO+b2mKpFT2FOQP)s!jfa~VLp!ER$s928Td527pU{{KvqPLK z^t|NtM-F@KlcuXr#i5`@=`^KuP@o>mu?H_E8_ceaKDQ8#t6sMHhVxcuCnd)*EX2SZ zY@F{aaC_cEh*lu3azY&sL zz)gAMct7f{o%9vl?(!2sMX{WGuhne!sMLK`P;t0MUBM;5;8cW`kLnmDSG$|3y#Lv(3pCAreu z(oy9j2XU4aBfbuBC%#>ePV!{3V-$%)33s0W;iDBb;AG!+U)6*;u*JA#U#2eeg0hJ$ zr-frD@pLT(Q*d^4|N1ySL;W#Z%+{dm17L7gqTkCwb#BX8OMijJvcO#mik+oUJ$o%r zQ#V>T&oABkNJPp^HT^8F?)IkuH!p}ugO=wy9H@ABhoq25!Ee%k)xAc=Gbk;^>7beG zULuZ@Sv}-sD@^S~TrrG%f!gue1e^g#mp7hoFK_7HJy+xysU+bcpbV->pE7g4=-+?h z#Kzy#13T&Jp+;1LWRrCcqEGl1XfCamEZ$3MrC5*G0ckVK>Xm0&tJb`ogLB{rLGHV7 zd80Lo2}0-CK66~VA;W6{8*S}mA0MCRrh4v)%Z zaGqC@7i&0lE!sXx&03 zkJQnf8AxEw+X-mz)K{6d&;@=udQff|?mO=Xf3pYe+l3&Gd3sp?=wlqvfb5?d)n*3!9wVDUw$u@+<2X^(C2S$+Utk25Y6D z>ng`duD;{_`W^)cpOYw$2z$k_BAUfry1|6ESy`dkmpV~(B(T_qV$II_Cr*_K`Asfi znP+9?`Al`BX3jgcWgO6kYGvFg;t=m}lO(42t|bJikkNkbGlHstbP$f6a=Gg3s$v|x zdb-=2cRZV=R3nb@YxFTKUy@#Z*Dw7T=C?c%MRDX>5{|1|%R2dW#1^wWQ98jRYtLh4 z>sYzo-SiQfnsU+pGp@ZuoAjwFjT*DnA8X~*_5!Zqwt*G%l!Q+MF1_2Hx=Ey-BAZL_ z!VDkl;^s4d+Ks>mR>M@sgYKpMo4lzj2OY?r^kJ~At|KP)_36Awo>}e)B9`*Eqxj|e z90TTv_G3`;6GVkvzRl9!(vn>ajyC=ePv00{cN1+L^u)H)B#mu5jcwbu?S_qQ+qR9y zc4OOlPoMkV`|*4^znTARthM%@J^o8oS`#&YsP>x~-kLeu1W@x$_qJySTWaho2+EbQ z>2BaiP728`1Qv`mU?=$=+z)?=DIsn@#7ZyH@=O}JcNSu}Q?RYJ(X#EmTuCTpd*zom zP7!zy(oJTpDZA;s*1yZ2M1st;95Gg)+WVJsBKm2C6Z^d-l4kg%*nUOtv2~T;|F|W( zx!d})s#m{`YvTI$A8UIW{?y!QTVjTTjO!d;=~UOyt7%#UTh8vR=3%HSb0=JKI@^Yp zah6yzOT$%sfVWgJO2emfZ5 z)VsSnHS@l;yO*N_w76`njeVeAuO{Sq^LKdo)9sVs$B{%-b~AV!(gMPb((UhBh4Q2g zLvr7@2B{&c{&V80^4RUJOa3-e_)T@+w8d18^;<{)w{2qGR4tt9-x2wIId^HP7ZRQg z7XGKbDI-}&f`9HF`q2bp1f-y2D;jlUV?XQmw*bSk%&wzU8B{R&N!fz&&~ZZKvJY;^#b6n~>7N8&bv-wl@h6@u#d+VcKZ4H3x!^|87W<`2R%N(>4KZxe zisWc^?nX6?=p((;nl6d_2Cf_*nE!Ve&T9aJTJpM|wOJW3k$J>zrN6O^mOsI`@;yH3 zO(Se99uiCF&G3`#`tuVofNFNw!9bEU6LV1sh|+#}s42AOcU&Sh0-Nq3*A0^n!dl)F z>njqB?7mME8bvp6O{ck&^#FI2EGWx9MK-Gy*U{OT+OWWijhPRocjb>pyUXk81!F{% zt{U!GLj)NNNMuF*fR&+_zRj+PQ#h4#esin%5UX%}wsGg^qaz3wI^y=!*wg5Xy4T9g z`nNrTYp|Y9vGcwdGZcdJow!WDJ<{SRAdB0XKYihhW-C1P?Fa3Do!_3KDs1Hg{n@HU z3bSEA6x$xCsmSj6ixca0v$`JfV=twZJ~@?E+sEd!R43QokH+&V`yF`7bO9N&z8zjZ z;KzXDKcV2nYj8R3Xb9Bt)94(*sBBNGkEQNSfXS$C59DnLlvY0)!~FHfZ@nT7lhz6h zq`GSSa8DZL`+s;ay>{(sRe^nfPxWE%2Goj%6#pWgJc@o=$qla0#TP&k%` zT(1h%USF!*QRy?T$)k6YEb+~^!2`ce>>hic(nM39iSRUFDHTBWswhF(u-Jf-` zbe7M~w-p}mIaQg7YwDtSso~jDB~_Oe;J&&K5Kp#%#`Gy{FQ}QZ{J>>zJx(% z(j*EL2s}o#>wj8zD{vuFva@FIxm|ZNkw=f}3suzmlRQ1w&$L?%{L2XRoa(SFCB#|B zN!iNH^eR~5Dxq`hUj}gJfVYl=wM-e(pT_&wBrSlk4@csH-2QSEt;&E(T@uc_a!rvQX4@-V_S1 zZQbd;+l;rxz$Y6_w7#X?P)V<#5IS19}V+)bc6$+-Gnam;? z2!~YBUT?#V8 zc39KOgZ9+9)HMt@Ud1oyiZn^0)wS8EMsjL6DkEE$vNoDT-{5@&ceTr?+EA3)x42uY z;n?ClP)uQkey7*#*1(_1nOU#lcv|c?iY~rjR+GO$G-S8@HSgj5gFulG>BgwCm?OxY zHGh0huvmh2y0!?oJTxOe+UvvcrdRt+!FM@7x`y#$+D3u<#>4gu_r*TkOX_-%|JL~z z`>+piDG~P=FhOSuyo*@Jakl`(m;@y5sRU+ijrN_Ygzq1(99rxUIGr!=rL~2W$doM*oQnk%MHlZHhwrMMz&Q-H?B>=oKxxJIgWu~2 zG-dt?;(Fw<;p1FKgDC#I>uLJ2p~W~MOOxF=liO$y4#oexr5iXgjg->spr5h|fIFuW~xLv6x znSIH%M=he6Y=1Gg9&@rnLmPUmTzH#YVtydbv2tiI!wZx&7u>$Kej{p>bMWpXgEb=D z7N`%quo-ooCUOx0Oo&jPF>HDZ-~icUs6ETz(HHain6DM7q5;mqldKS|Npo4;CcTUY zQl)~FYx2}VCild>O#*@>WncMnFD1mi4-NFwj2xUHk>@lM01yz1)2ptW86<*@#u;W-dR!xx~UfZ zzx5!mTElwVZ*TAtV(;o}JC;XExh$Rn0C&Cd(NRm^gDE^Ii z-;f%V_()T(Dn*CUg4G|)lI~Wiv|4$Q#h~R6Us?|a)&h&iNG@(hNV=s+7O6>qsUvn(IrA2K+o@bSyP(S-Nv=n6h+hv-b;5NunH)Hn4-b zEvNmTziID}ShWKXIgia4At^63l&g}pX^4lp0l%)?q0&Tj$))CvH_%$z@D~%K!CkCD z`*rxJzb_CAo2`L46DJGH`FBUbG8_XRa5G&sYbE(J>i$7zd-H9ZALlHQ!p%L>1+(3{ zXLX&ZFlYYba~koQO@DhJ;EfQT6OHXvWFa+y{{KD?aEPC=*@|6QZvU|TA0lc-E%QQ z9fdv0!*kMX!+s7jXUv3hILCgaKg^IFbVI+K$JGSnl>} z=A@vAkzzLCn%KA^UzG|a87=>rFuSI0Z%bBAaf_LJ%ccXvm!CiMC3q%r^65*kRMLph zhuk}6n0jwGk~%mV8~iWN@@B{?)BAgEq9RlCI&(uJ(r_+%sc+?vOrGjM zSa`f)tLwBA8F!U$Q&`sM$hop^ezr@=LZ3xyr_%Ab@i0n+>6F9YPaeLAY#&ivFCceu z^;R-nZ=^wsaWH7L@-{~)3bB244t-6Usg$7k*q~t2dc;rwt%^A6d~qXCQQpdm(4S4G zmX4*TThgW60@k|i>0=i6;1l36Ocbl`yobv5n2n8P|4iF|^QM=(DW}N3rJ5f~iLRJ2 zCMfBJp;Ez%Y30(ZrTT#fQ(Mbc2%iLnBSPsJA({7 zEBSA^@agO1!P0o(dGA8SXRzkEO4ul13&a_80xtfR#uYIB(G7l}Fp+bT6Azd_%ff(5 zqgWMUGqEMD_^NC59uSN zB;~V28lgq2z9Yk5x+Trmx8m z#!H1LVfi^6H~{k@-4A=yQJG|#B7bTfbC$J1F1yw!bTD&(gB@V!?dB z>x65NV2-q8ESDt2fh$e4CXZYoim`-+{Q@nz12}@D_Y^di%hH181|GAaDJ>t6Fl@5s zoQ(B5b=@Fu?K8v}?4h$S_#b!UgK~j86KSdKF_ci>6wUuu60)Ys^p1sF)`7q(N8GXd zLqPf#xmXb8o99*ygQCY3UEQxDkA!oK7D=Jz7lS}!1(GaUJB+o&7W6=CAWUuAQw?xx zEoY=Dzgy-L1KMvq?ri6ws?8Fp!YSGi2E#)%{?U!+!HpRiWDcC8N7NHXl#xA86Cha7 z2Gr&|9?{GbCH2RaW0bN2`XyxazOfKLH`Yb)MF_N_7UGo^XKY*>T)YWp!Dm?G# z+$T2wcIF8*7$=;-?pF%j=0VtJH@M_JHw)^t#9oZdy&tbxrS0LT z_w$%fC=RMi^q5zOkS~`!GOML7_J|>_T0gB$ww0|xw6(dB6mr{Z#vtRkaZFEva`!1# zl&dH?IE}hZZg6PbC^$Pl)eI^mZXk^F@PG1@w`298s14}FkE1PxwXsp+HR8gxX8*=@ zyqcL#9Fs9fd8}M40ZOJbm3#I%ul{&Yu0+shpG`}z*g5INrXyXc!^EnfqS|JbCCWN$ z(92Z38$1PIy!eR>+*m=gziT}3$*cTZr4WvW$Q$MvKWyO1^md0)xe7tcGsxf)_ALKQazsA9ku@c14qHctQAyV_ z%3`{6uk`_&+^vgd?icu9%QIeJigZ%rNBBXOMbL@0SJf1vAq z+B(+I%2%;ZV}CtIy&tTc9faw~$q<=HcI~ z>3<3G>~Mj|fTS=;W`-SJ$Iy1$FiSojq8z8vxIcJF~_{Gir#&3`H zF?++`xhpVQ4VpH;-Sf!O)!`*_9g`QJ1p!#OkE5bn!4Maq$mS3l2@r`s#?{Op@Mo%T z1|Eu&1c;KlB(wyU5-(StPD7}N9fZ6nR(mtqcMC2sv%-P2=JN*iJ;$A#C zr+e~(++3RYk8VQuss1|09TMsf0F zHRsC=!_9Upu1+PQfl7I??i7|1wwX>^uB&&I45JFUwG8vslTzts_=DLjMK?f(Y#_(P zzt`NqA1K`Bw$Q?@58PXw``QvYSdLUJa~IhurX96V!VHNut{!h2ZURUqm>-hG{SJ|= zVeLoWhnoFw(w#2YbWZ9&OrLaGJkPr~o#gE4-?%?AFEjAGnfBBR9kp_=OtDU&_7Qsr zg(ulg81^sx#udn-_TQ}Mr0HAb2W$|YY`%}94<_k1@k{8&+t||xSi}U<_5E>7*a#}% zG@chI5fRyHSWV*w|CgN{k=j-#PFz-(n~kW!ByZ*T zBkBot1+3bPZRXU5(&U>?YmVGQ&hyCT|+Y2w$q;RO(DERi!Fi*&*-f z>qIFSL-%=hzU2! z?|z7V3nz|zh6x|6WI?Td_wXkjhGPO9p*r9tnnUxzuN0xRJO_$2&Vqvx%7^TY1LaPs zC{*!s-E}HKkI651zRbfVkj+i_I7od?5x7NCt=wjiS0C)5Oc96dNsP0;V17KY8pM42 zw*!4G){w-E-MwF&+wU|zZyLV$Y)QUnsh&3r=VOb=h zn^MGK>#bGENGMShFB{}~2-^|`A!_rj*=$W--pL>W@W^E? zezcvSzV<|uXIXD%RmGfZwt8iin+ed7g5W`}Nnr%1!-x49L5X(MRhC4ZoMzk%JgGQ&e=hsdn8z;~~okso;4I`zkY zt&@+z_3VT2*_o3c*h}$g?M3qEF|3E}+YlvHYwR;G45I_|sWUYx7M;Al9u5y%;o!oNnU&W5`;gPS+h>~3JN3mT55o9i z1@0DlHQwbWgV?q+(s697(BLh7{#CPnu=lIqHkXCDIj`)R1~HJ{b-4L2#CPf;>``Eg{r6+5IP!Ems*u9M5yW%P4 zcg14)BrNVQ8R2wFoKr7R=4y%HFzEUAmBsb=8p{kO?AWHZ`P@Fp50QIY&04USocJHI z6~*{SHT?q1Jfg!Q%NOaxOmSo ze|q0(DIhzCwTs7w5DgKU9Hg&wbC`t|j^RsDQE`_EL#LSr`Hy%9q-=wu%nqR4^PvCq z5zYg0fRvQ8lLNM-+(T=>w6vsCZBOl`D@;q{spGH)XO-^Ca<;6C^dA%X4Ku1bzAZPd z{Y!8BcsWdp7ttc?1n-UTvW@MJ7G+mbmwWY3Uuy$m=tbq=7$}jAZxZEqPR={%T(1ai zzVBW8nO5E2<2In5VfE3Dg|CBms970rT4{E8SFw!S-;8+W1KD3+`4gQ(SNBtov^9AR zHv^lR!1VDGaD-~Ap5Kua(b4~ilt3M9P@#|{9d!jXaK1{?6u&dWj7)Ac=kT_p6wv+; zX9z-oZEugZLmAlR9hL7j>Zc`ny3K+~2X+E*Yg9pSNH> zR%j@Hd6x!&3UL7~qeqybr#X{vIj|?*!nGxGaXDhMs4sW1YA_Y;IyiN|>NHIWyWQU; zKEP0$#}F{!*7;-xD}{PEEZ4L_XaW?Iu>eNjy*lZ42AL zS9?B`rI6GzThE$pY}SDcVjbqXyO)$SX?@{F9xaF5RFgs+QcK@GjaAQ7M#_y0Nm4FQ zDPiGxE}gi%C?C5XJyCcUP1D3X1@x+yJ)po?Q?NNPO+u?-uzo(@5-(;kiCR+k9j< zUAAcbd_QG=e0M$@+Wlf{n$TjeLgM2ej&QWfRiXi%NwBw(!tJ~2Z8~1}820FGx#Q@J zin?vXdB~C@RlKDkRFqoD(f*HHkZatV@lcmf!DtE4tUkANpU4Jol3oo_m(Z)T4J|Dj z?c0>4{SvImND0w&62*hLP?(i>{H)o4OFKmPYBjW#|3)Q;z2ja2zVP8`7FnpgE{2^i z?v=K7ARmyKHe?I=7eQsTv3@btXSOZH8A8wuy|?t1r^Tp-bf3?3TEGVMr4@q7S5J<9 zcALA91)(uDlP#>}ds|FO|4&>8!}&IS4aJf_p{RV08%&hJ_Y_ng6ljEG{3}BQgIl$` zmshuuHSFJp40L>+5*9w_v3_onV9>6$2K)Fi^QxemAC%$VgIyS(?n`nSI1S949%@ZuS$&7&7 z&(nDK9}R+$E2aHTH-0AFxe79VaM1zoX;%SGI-4S$?Id9NS<~4zfQ_BnHh_W}?4anC z5ox`0wrMxIOiMzEN5nLNh@r|1<3YFf+@T`BI?bR>MAkb|X~%(D@l zsC(iDzGcNMocqBZ*0a9AME7ybiZ>7HUCkewcEv@Ll<{)yft=NO6P0X3r3?<(!KTiu z(&JW3^VD-#GMYupUtg@`*Lsht0r`b9yw8rN{mc0c9PyYJDe?r@3)&)`ji8aae+ z^3ZELaTx8#^9ubU(b@HchJxu-uk2KIYjv;T``_d5o3X9k-v07fwj#|`c`3S@02D`! zD?5{6O@24gnM|yn6b`)I(dXXImXMn;%An*Guqf+TR|Z>7AR4*RjZ(hRmBt$3StC+& z)Yv0k3Y$=z9xNbZQ>#;8_|6~92`|V`Pe~hS12LPGRL!AwEg?W}FHJ2)!Bii)iH^P& zbHhS8sjOfX!(H=-_8sZH%jmssWVRnH_cY26Hk2E2Xo*uHRpo~jSJLoR#lg%^G@%vg z&&2Lg5QHB6J*8^ODiGAPK^{t#Q52KlHEfB4T4i%QF^m7)iW^9+R_p^E%IB+*a$A+4 zhEVKqdq!b+_-nhRl8gKKy}RM)sNh_=kSH{N-h_2?n~8cx$mXM9mJyS2VGTcwdf zO&H5hvg&)cEr(6BDQ)IB9-KAbwU70M8Exk5+pNUR5_H&^Cimd@`+}M)!f7V?vl*^} z?MwDGMgUAzsF~zy3fp|!{z4>u(^gFjKuY_aq32(kKS0}@3`H5aSS5071)0mfujg*e zkK}W!oXQ&Gad-6;i5>l=p>M?xuIffiUJx|T9H2rWbp3>Y;Tp|j-h#o8qpr2Z-YI!n zLco7V{f7?sWk`%!sX^%plb_eIjQY%jTjKwSM|i2Ul#t7Hok`QJw| z{>L}<9aZrsKEIy=b(}Q;@_GtIZl8WH+J6Yvh^gF zuAbTYu6llrY>5vm9L1RrtC9bcp89S$SUUjHBzwK)-poHT^{xl}POd7b|G-bQ;wHak zksXZ{h|~>AphooG1r>b5T86WWL7*F4u+yLBJ<_2q>~VX)RcZ=tfig(iayJ6;SNK_9 zh@)m3?Hr+DVw5SBjZF?S{rCT2h|0EL9u-_Rrpq1ln z^^cHQoW>XP7{*ozvuIz5;4I&B2ESC4#5IOjGjWt>!>3d++N(R_$D|M?+v@5iC}$b* zPj73j_<{(ZOnfVQ^rln4ZxEdRfLOnYvy7`O^{mO`HU7J>@^HZU3oqoHRWzkXY*?8BA>ZI!JIxU>@$ zkFg-bylRVH9ml69AT>S4y(Rl35^7O!1c~4#S3LTxeSB0qZUN*LTWCPJ;6?Fyl__#T z{XEZRtYvwE{^MQpFPsP|h}bp*IK+u`!2q3u2Y+6xV0X>vMR#)EMv$+(XJ@1noE&a^ zA6r(h|6V~wJSA1}8wJ*yIWrxc^ovnFy=3LRhyTRnpXUEUkVJUh_OuYob?o?kf+`BZ zPjca@TnfR}E^jp>kcF0}aB8UYO1B;>NpM^7IMVMK&$U8clJ`|@4%O0f|2soV${KWL zm6e``8BTqZ$KGds9hdvty6d19-Y_EvL5XRLzs0kUmlI|lxpBTYMcUFW2xFwiw&1a6 z`7L_AcX$2Lw}w#wTf<}2Ki%H!J>`MMTH5@N{ zB%Vk5zA`u|>b75(4OHvtw%ugB9U{xf{^wwX3mD=@ydHv23Lc`eiOn(03soJhvROY_ zEhp*pd?o7)3rLk@q)(*?UOj5!seBoD=ELJ^YdTfMd!tR^Za=D}#cj3pE!PdJQanY! zqy3VHjGS-alJet%2%L(zd(j-jNhPLClJ~{VZ-GyMT@CSyNr0DWW>u*+3E;P~Q z^yLM&CcL$tXaXlu0OZgmvWQg{L@}glLHsf1Ro14i3Hw z0#nZ|C#(G_D_L4sBSZ%tS#&8`R1hZN$L1ZJm2U0NXw4Ns{H{AgGm`fDY+OOOjeWik z$J$q*!{^mAT3t}LE6}0;Zaqb}psK!hq0>YaDx(~bN`dQ>c5$paSsbcWu5+d*mX8^i zfiV;UCkake58h&n7X-+TouvyD2rIDBdU*er(8$`hFx-)9+vh7CCk4X1jn9BWpODM# ztI{{3B-7uw+2Ew2bj&oIhUvyha5$5S`Z~}mnAT$T zjFpr|3A6p~_uSca5MxZ>5{&J%t;y~_`HiK6{00S&S$|rmld?x)O)f;$5gUhc*lXdn zg>wR_vc5Od#^D^~y&wL4-awa`{uSFO3BMJ!uvI@))aUWs@)rQ@IUyv=Py_$kU_Ptn zxvt-JWVdDXfrJY?$n`CoMqU7*rGHw7l(hFB8*Lb!Y8Kd-iVm6gMWGgqUY&JaJ<)9L&$f}aK;r!FJU+TQt07lmemeT4$~BF-B7-)#l^Yc zBfs33Q{P5+I6CA6J}TLoJW814i&nIN(Ho{1B$jQjiFB&1I>tj_TOA*!X$yPJpQ!at zE+iqsYNjJP{M#PoCW6$KFo(!BkXD%1|AS;4Ifv6*0+Z~ong3sFR~c>!NKvU)skRv= zinuaNMDG-~TSj()xHsi1P{={rv!{m1=QLwI(>DhM9|tp@4k~?NdF_uy%u$-%QxA~h zlu#fH%-w-Mu0T9eG=fV%P5d#*WO=D{)-X}Zs(m)n6ovDjq6Yu290JLBgc-txIO28t zDP3u)B5bU+Vy8VOr z8r28)&^zN}m)*hnV=S$0vzXck?+StQKKG$t4@ z56-q-3LmSw6LK!D*0-lW2^WhWu{K%qFC|g~dXGBZfW*Z({gYy)JGxv0( z3tNl^LSe%U<0ZO zyssjSz}-rmbK132(6%XhOb5LYH)hv5sdHQO5efo)q9Pt|mHXmZ$7D6AQu8px(}!SO zY5;7xqNOmj+v9KgU4<$ut%_x)HN@D!x8T|cl@V`j=`|Qs1jA^nbg{xpveswqwcu?y z%nDmOCZFydCyftc6p+&tBYK-LL2N*s2dSI+o1QT&MY>RS`jFh-`tr!|lsNbPZP!$8 zqgmCr`!!1!sXQxu0vpDKkrDI`YDsiabPy>yBH`^0@QucczhcW2%YtMNZuBy=kW;dW^6$s-fa@*<0Spdpa8CPSZsudmpXNUH_htx~n-+Gt9-ObfvT7#Bn?m(waYA^ON4!v%&ZK z&t9v*qDKGf1B^xjl>YX0y*HT>knlT>sf*f}V!NL+h!6dbE#A8IZ)3=#VaQi83^oI> z+#(sDy8e>;A$;e*Y6n(#$c5ijtb6c%URTs(X)0GZ+b04+w)HlGa5;jMn-uZh4 zm@oGttU(8(XyxeOuU`+OSK+SEg4-(oB8DmZfre%KKpw-)IXA$^pw}h!Su7@pR5!mv zzLOjRhhW*to`LOfmqV8oGHR^hjw~E>!#sr1Kj==zY9n7z#deT!4B1l(>DAd|p8J{7UiOmR`n zV%x1Z;bO4{D@LcBs&rQ^TM%kom*44cQO36&QH7EFXFHJ?gwzqDkf>T75UflZyKz zzS*o5ynroU?F0M_5=3tDhQ;@CCc64?5n zJy?CnNyslj*+E}+nOhC;i#|(KO(Bn9D6BrXZr{Ebe;fCOe2$Gn!CxgmJig$3OlaU% zK~tL;v1V*RoFuFN*+;AoikEDn)FAR4&;Y!mn7SrKERYMFdjs4eX;}V!O7+q$Gs7oH z!E`jgKjM|I&|8kYGyLLSjUYBYy|PbxAVP+7KA}G=3;w{RXs3BBLg6J+uaq znh7aWC*K&?km8jMS$WOm6QKp&ma%ryIX#j|{;wD#rY4A8*A0t z`w%-%^e3M2Y3|c6eAb3FWHQeh!NW1q9GN`7peSCs2@nBxP9RV1Zl=fDm2%BlhsRd# zx(;Ud3vyh&UfUiIdNAJQk}qKlRbe9p`>#{KV5%q0m2``cQ|C4E7ITh%F@aIfXgG!W zztX-?gQ!4qtf~7IZ`h(Ax6SR5Lf?KelPY*ia6@^YBe?o9nDzQ$UxthoS!XkLrRAje zQ<}!l#4Vq_PPce;@(*$7dOogd%U8%?!RX2lUVchDIg%_xbGX@L4)hCInn6C}?Vw3o z3prEU@I|Em&=Jta2Ay0Cehzf1%6w^T=cl?j^@73%|+L7cjKao56L!vabwHe+(6sLip=Uv4}jq@j4HY%OKg8~~N=VPSS zf6d1X9FS-_ekuL4VK0S8VK`tl0?(1c8rU$x!E-J?_&sIB=V?pnl|;xUAaf!#m!qSD z-o}Ubx|#D}=(SgUOj&7@3?meuz4@f04&M5L#a)7=$S8QOHEb#@oG|GyyZ2PZfTFxy z*>W8$OQc4LCiTsFlFt;k2{Uw^`Hu3M&6)jwdoYWJUI-%qXwvgRBUdg8=`Uwlv^J|7 z?F}eMr#vIjxZH$YGTR=~H9;g9F-H_{IC<_&6Y3G$KeCM^^gjh^q9-kK+$m{oSMVO#9u=KsE z0tp!(bAR@*K2PIs6NJ;l`M3vFbeAVex_5v=38CY5DtZME)rn~BZ5mC-y1|cwxQAEW z!RE`NRI`F6RsqfgLw`OmiX^0YGdCo!)CeOgS;_eZsV~f%B(n}^ifL3*cV@320-#4T zcK7`jQZ?fu-T&IvC?}ui07G7Rh0K%5?l421xv|y9KqzX+A7L*Jy*gP?Re6E-KQS~v zQQI1p0(br;Wp+Xw1RLBCA9!|ld_N^a`>W66Kqd?#j{&halpjmdoEO`+c z&(4cu4r>4=7z3lW+bXxH1gJcOnT3pV#2VOt8i!MPN)HWU{BtX94TMzX{~dsTkB2PRp89-6FOnP~{yD!WWR zBXY-Ukam82i~6{)u7n=~U-r`N#lp=i<Oc_1eq`biq1yBmi!NKIa4QcO=at zSVVZl3OYc!aFU%Fw$*yfv|*LBWAZCSFV&dIZtI5z6MdRTjaS@wp#^WH_B6ig8@vR5 zYww}h;KT1HR1{SZJKI>YjBI3cqt;|*yJJxk^HINqK79tP)dXuRSo`jo39-NmQ!A|< zg;&)=#YjhxM0}afiAQapA_^9(&lw_@p`M01xxOl4-!&7h;qAP=0BDs>Yw8_v*QiQj zaq76Z(IJ#ojN={Re0x%b*7cglf|!hRaR0R{u~)~90qEQv>ZA|)u}r|3QAT1(HE@tF z`%5hE`>A5^bBwx>=Y3=JECnyCF#{Hy=%Zi;?JOtBMfr{y?x$vPthzzC73{fJ93EEe zG-+OBnK8&aDT-O*H@vO@`EM>vsWvtNf>yGNL4@+hbIXwDRo}N~9|R-XS_7b$wg37~ zEXLF;cH>nY4&>O*+MuVwt-FzEcvdQ$*4zrFpyDQZZt`w;f#})?#< z$?_kK^4_ZwuBO?;drZaQTKbERgzJ*nrPUj0ao&oDzpC8;h`v%vKli@-Z?OU=0b9hx zPhT%Ye-$ex=iwIV7R5+$I%2~?1jX_GdE{^x)Jya!`siM`&vJCbd<{nrY;6X~Ijt|D z^%wUJ&}tK%3_*5M+wQJOWPKF)(dbYGgS5+wMG9G#%sPv`L&+w4xJ7ZXFH70}+g&S8 zEb~6j!koZIj!)oeDemv1W$@(fL=exdx6W6V(hl!2<7CdQKC)gwQ!*=!H+%{o||=9Axn=d9FHdD$s~_W5#Xc``M+B+w2wv zjd|@?!e2qeT@wi9El1d z0VN#hCqEFG<6_co=2q_EHkujt8HJ3Hr+sZ7ts=wy1CVFXaC)LDw&`{fc8AO6fFf5! z@&^6f;gwq-HseH?Sq7Lx*fW17AT&c zB*Yc2!!N9Wa`Na5rM1&RrpXjiVWR73!d9xp5x7DIRiIx?QtraTOc;Y|IAgiEL8@v` zGXG8zxsTPPfzqZ<&SM6Grp%j0UmMELGV4NC79efpfKwaZ$n+>YYX8C+L5E!2j%m9?uV$rjXpymfd|xWFpo2KDXYWR@atnd_K=Z4V9@u zI8^G1YoRnxx*vqS z4)*<2T5(_%tm9%FKTYv$67MV$)(_Uz0%LDY=x~Y2S%bQ>yRr1dU?d%4uGYTi;W<^1 zzqjf^NB0~zXgSFIH+ZL>zSIu^Ro2sXFHB3wMioc6iGN3B9r;+@-;kx_v|kVr0~L;< zRC$Tjiy@x5EPoT^u^F`xzkL^;Uq}fikG&HXKbyzWNGEg{sct+@%UCa)mBz*fd%Acj zDyJfww3kLN)~70d7$`qDf+`EbAL0eyTGxzvU{lavwZjO^}Fg$7JQES3b->*g2D4HRijg@H6mL4-cMWsb(P(3(xcwkUskcPX2 zya|AQxB>lnx&-IpK#+&U)pAMafu3ov2=q^+O$;n@KPcfyY{4sG4qW#NOz-BBKYA0`kP-DK> zNjA~UO}@bsTJR6L&#Eqil)}qL%Kj>qG@TV7wPZ~CMlq@svx}SLKHZk|?zd?wktO)g z#>7FYK9I&^L-LG$DY8*vzz+8A5aeH*d+&AzZpA$6B~_V_0|{@CoF2lnCDVy@{6hHF zYlp(94y_bj?_=oD6SeLi!;LH`cqhN|*7hXL^ffx(`^Zgsd<*d6rPbWCSDLA(K`+8M z7m1}LJfqjEcxqy$g$EcDSVqmNtC=M-=yj#L%J{8dk(n;9ILXN3C9)%%cB8k}gn8Xd!naG~5RG%1jVRxM^_qC0DujPJfbTOvI{2r%&9|J(oynCH(HHv*dM57R1Qp(V;a* z0Z|s{J9(&GL62JOt0zup9l~8$y5qm&tjq?_w=Zy|@(J#%CmMEt(t@sn#m82SOQoJ9 ze{lSCM3NNX_xO^J=(ei?*Ow6^Z2~JTKI{D<{Jqt+fc11+Le()KyTlvWQ>N!a zWcE865yc7KtGje%1Qrk=7_^&vU2f#M_By>*p8;e<6vQ@&UVr^V2>wfE)wN;uBJC-! z0vX@eJDmrAdDS&?r}Hb>UOh0(K@L}bTmQ?jg38rVL0t{k9ew`zQ`zwqGgn6fq@}qC zU_r_>*QWmBRW&?*4}j8n5R>-bjBd)RJqe~{6Yqe0&cO8&u_la?>RMqxTm*evhQz-P zM0H06`mG_Q21Qp%`&S+gd&7I%4TgeWz9S5v!bL1;n&h>HJjSoRa%pRL`G`LInrLSePNqUJ^-x zq(lGC{8w)Qf94Y;MLeQ7USX$v;%mg0;F)mnDp>J^eh#(qyVKZiI7>;|cGr_6WTCFp z1A$?e$!`_IYvRSd4YTiTC;jIyBs92p51a!^m3TFRLyu9Eek*l{V;advnYcQd3urZR zQ_cNeuIovtWb@`xt6xy*lQMps+PG`&HgIbAJ;e|%Iy^!5Po4ce${8Po0#BO1?T&tr z9~b5D&1KejXS;C<9>roni$T7Nargx z3o!^39JG$@@sNnaLFJT~-;o^LAlY~dB)dE*+GDsW8~&FxP#YhCQ#0wupUhtgWc2oL zkc^xCCGJ3q;J;KasJ}_u!uQo_O#}M1GO# zHHLzqPTqV|{%hywKkoX|DGUhmx-D;h{C0P-ICXJD0eSkx?%9MpbSah-n_DBM&~I=* zO~|{|U$b@q3%fQ;XaXA>RmV6+JrFaV0IKhzG$>`y0voDL~JC3BXPLVUH@Xr*-e2YAKm98Ofxhp<@yK24HS*F zw07=;o*R8fJ3huet{x{Et{FY4EPQ7nOx3|D+t}nUw`c3F-yZ=^=4W%<__3PaFCX=@&oYRkDX`uL(^yQFCKE;n z*m)0BoxC4%%v9YE*~^BkA+W%$AxM`>9%4$@cv*XH?#p7lljH1&W=U8n;|8%=&V-(T zg!m8?P33%HpiA>7!-pww-)w)+X@jWD_z$)UH8%kms>rXBpA1|PYy2-OMeN?MaZ3Jj zf7x?Z=>)TpP9dJfWt>}LB$bRTP=&H5A?)yh|3A9kF}(7i`4)|hiJeSrOl;e>ZQGgH zoY;0Iwr$(C?Yrmw-{(H(-1nTX`_rzj?p158`gL_Mc5ISIEQ)*$fQn=>ZpFH(uh_4W z)`+tR)wAcK2uaODIWz*D&HvD!JOJGs{Hz3;!GeJgQgk&wP-&!;ABUzf75d~b)-hp3 zRl}z()`&siTQNPYVn5?T&`Ey=4LTLVD&@(_??Gux}$)QlZc z2xm3u2cE0F)iqH0gjWq!#4dTd(AMqGKIe`A(F(^~{JbJOaNi?k)(Z0qCx-1!?jntw z5ZPR?!N;7Sl)+x!+ygDq)&1zMkje;cY3hNM&B-)rwevRNE%Fi5JbMWAku-Z8nk7vx z)>8`2#M+7$8D_fSox%Fy-aE00QGP0Z6=L6T9@xjg)q44JTRNY0(`t7d5d8ug=0O?$859j0d83JMIBg5tX`y5TQ64)3UWTQI^ z0EAH+z%wXXG8vv9?zRq2TSf4fNk`)ioOg(3A}8%{QAIF53f~1yd&}rw#blPPU^RV1 zH^%18aBFS5R=p0X`da=sE0B5{(q3Cvq_W9z$)|*z0xsfSk@eftDhfq6C|8nsLjP)T zJkPGLK0G}F!eLJ>)kGM+#!To*I65nzjvuMmM%IMg8 zAc}`}Jve9qd?`KK?h^X5?ZVLdMd=3saXm%d-&iM3%$1yO45T#=|4X__1%k>N)%D>a z8@&+QK=36)`ka`KjJn&@Q*r*#=Zo0F-R-ajcd=BMWN!OSUcVd4mbL{+reGb3FbE6>3HjwpBOSv4m^aczJ=eKfNq53 z0B{gIuZwb92cE?3gFGg+KOlK+*eOV9*5BzFO9{a;x~T?{>%%b&WK+b*ec5ok?-^Z1 z-}0OKw`Upa-L|4gD2_7|BY5Ok;w{QLHql-#CgF$~u{!9=jQvPA@CU+7Cg+wl|8Ro^ z6~zjADqlV!Gj_Z|T`>N`Fr=G?@76xy@0|Cxs4tnEBMODw$-W1s%?H~yJ!XGBm?sTt zL)!n;7M&NjP}EpvP%ZtZGV|p)Ici()+bI4n`q|kUi>2OC)P$*(1tp1lqtQPZcR?MI z*JSM#&i->)n97(kzMVr5w4l(2ijx$Gt$VBXLLKfQ_Dytd)r@?%9QEoo(R2#;-V(Cgqf z;68cu{mCVEinhs4y0F)1k0??-n8?Md6_@Iht}~R{m1j=7E2?p$i5*T*XJ5+x9RR{5ipbyCF(h5cF zEuXYR3(F=L6}K*Y@lAJRyAMy1Pbu=00`LiJoxF@4jy%=Cr&P z4_ZRJAKc(1FF{hgq?EI_08X^&zhR+Aq7{ct0ti=DkemF*y!g-ffq)V zEElkAEOps)Qt`bJcluI7N#g+8xbeIFtg5?*wlX|4RQI~kE-dvYw5t5>AYEU~Zu*i>-M!NCZpe>QGF6fPIVCMQf$-u4<*tbIjK_B0{b1M|kcn=+r=bAn zF=W>|V8H2K*(+)ST^^SID^=#5MKtw{wkJ35pl3=QG2wqlg7+ z3BC(P<{EmL=|!|L{nDwOxpFCFE&=9E8$7=hr*&y|sf}}@ zkALwL&|)d|Y`Sxq!0~c&|2Ho4AD9hpl-#&8jEIyMWR)08cTq*pAVlv-|63aC$MLm2f2GD>7Uiw zktqU&bB1^c`*ij+T{+U-mr78ZnX#Dc7>BNTOtwJXvPcJghatW2aExweXq6GW@}KSE z7<&6;@Fm#lv&tOu(jRc$Z}|1cNgQRVSF3m{(%PR!bi6wk#N`yR78Gt3rr#TgbAIwp z-hdmG0D+^0SM-KN$~G{*Yq@khpHbw^4$ve7s>0yJJ9eI;ilaX{x~sp(&IULg%j~q) z=IH;>VFLN;SRZA?YqctxxwH4&4ptbFz0ix*I+hKx{cSHPh1k}vZ*{TB*e$sGL3xhG zBeLE8mxQ~*c@HD3O+ZvEmjQi@1y5>;G_ia}TipjiQzg*a9LBrf+#zDys_^iw`eqS#tQ!H(PhNELE}{*6TIMkam!J{*$t%5$3V` z7bLIZi~qLcDs6(hn1F3-eg*8-*;kZ1tLHX;%}vw0Xb1+Tt%Qjlp+WjxL%E0zLpPBN ze(-`#@bKThjN{!v+>>$J&)r&(lwT|`n@!pgF%17F6a0-P8z7BELV50XiDwIGuoB|= zI;A{_wC6jdyChA8>SoYakp5`e&0>`@BjsKV%mowJUPjJ<^O#@*J66Eo2Pkm$+PYmi z0J~FP2Z6H3kM|M`UCfpj&RPS{K*+&APNO~d%~D>HJS}|;#d*5?f=`-^tXt4h;;|eX z?PULqU9*KR(<{MNY-#Tj*>(M7*WbT7X+UIizkG+=yd z=AA&C?Lynp14;G7n(=uWP3(8EY4!Iiw;vWtEVI$b?w$spg z4}ED96IOurcg5&C5*5$v&tIBFGr%L7fTa&x;e9#$s)Z&m@!{g4KJ3eMJN~}C!|c6X z(j>wdSGEqAAjuDl1}?Dr1_1~1)TH6={B(#Y7HYWfT`Nz<2*%3e3Y@ ze9-d%DSe(z1>)-1&=xy_ebCFDb?N5SA2m~wH+rT9(g}ETNT|99)4i)2S2xpz{EMKl zyC6)T-G96&B6Y3_h>)qY*O1?e=hzO z15k~MMr)ksdS>(V%sYS$ztD_?EzhmSl}&t3dfw9QW{;}2dC<#+9QS%p`Jm7<0j^7R zn)E;ZDoD++z=>imu@SIu_1E;QP5h`PaR{T<&-H|00Goc0n8lN$!W(<~Gj*WM6|F>s zA!V$UyRVoPac^dE&P{5*y)rgiP|ecBm*7l+^{cHVxDygs+0 z1Kc2-)Z)Eam(cJR4I8-QnXdL^u_`Ah>g)%+6sGcm6nq*ziQ_lZKWwzx^~J@_ zGTBu5vbQ|retn6wj~{>u?56EukZRZ>e9`S}dXo#9f^9FTgPEB|PxZ?lcRHI@?*-hv zcI=?%x2l|e%2NT{*U%(~FFQn2yqeoynbA=rEXnk9vH>+3@449{;zAXS$M+?sMo-?h zSNY0zra3B>9b~by9F##{9y~{fyZeoA3yi))-AMXfDJ=~5wcM`fP&<;zeBiSt3=%cfM0W#n?P1n%mH5VZ_ovobNojZb>>OCyWX?cEYe zE1PuJ?^xnGJEnM9w$;~WXv)cW|0p(~>MZ@}R(71>xa39)ZL$r0e2H@0r_KKoK179C zVrGTR!*)iN3VayE&UNtg4>xsIYC)!olb?-4q-Lh!3t!`=nKY^+-J&<79TGdYnFbsm zkdd=*8;!ufAy%{|VfWAz=y4s=;bDIWyvd+dUe^h7JuLv%A;&|Kh5Vk78qEt&J);56 z2&`Z9(TkfsHEU2Fz|7jH@pDZa9L31g^)v;kfX^N$xy>F#SET+_Wr`t1cez%KG#8ol4!@}JJ`DTm& z%*W{wJY%HyAYmh~`-{-bC$GG{AZ>&%C%PevU-UA-3RB1^hU^^DVY>&ZX+LTY#KpoW z^Q(4aEoOF?om&RA-bCJ+1SKZWXM#{Y`!pz&hz!vXy0HJQ%PPsKP<6jyVyVnf8HFZ_ zXkNd$RT@|_yIEYRhOLP9)+mLbfxg!<)FQL12A3_4GIo+pl*3NJ>l+AJbcA|b)OT6s zNqhYzboJvA)Fu<$TPz|$zYml#W?!n7&`zcbY*A8gf*~?cpiCXFk`*u#iU$`8Gy-& zav755t@a%*^A=n3Y7%vORt_nFr*H$5lDx9?^maPx+6WI3={SovL!Cm*5HV9Ve+X?8 zA)LUiZloyd96(OFFYJw9AJ(Qe^AN+YVg-6<=hMcvxi{;;okiBuT9^0OM+rD76> zZ)_2k@X?Y!Ma|Ia!>=# zx5FZ#dyU2#XfF;%H5Vcrr*cmE-Ejwth6 zfv>;i4tz+BfLB0?AAl#+CP&a^bqG|I#Vx|VkN>OA zYVh3Kox#O^Sfz@4%&F z9Q?3d2WcZh`0Ve`WZw->spVQ{xqRTSSJ=OU2QM)V2Y$nQWc*uvH^5e$huvpeU#;IK zacFo;E|lW*nkrqrsV;j(ddnNao`<(yt(lx@2y-4+8UMhIfiNV|=pS?t;}I4^x%Cex zg-HA{(1cG_$e^h|JL(yq;ACi(e0rnvH=ziChC6UQOi#FDlw9Il@1*$nB%;0l)E`5;=4!ML;EVqGy+oR5cu+) z(T9+&$fBd=jcp#zc7|DB`#u`NPe-7H{P|qE9p*V}Cz|p-TSV#dcbZW5Tn%(L-3)4W z8NI#frdhk3Ps?`muY!{4obFk8z|$-tB7fwa(i0ET5(M4e^purEh55b>(5XhSbN0I_ znJ~@&56T}E-T{xj=yce+Ja_Nkfmbx0$Cw4OM5>nEtbpB^?Y(&Ppz zML0F*E}j%Tsr&6dOHiBT-U>N#xP$20-s_s8>h>o37yRMxU_PRBsayf|!4`fRn2KjL zo^^noPEcR6a|M*Vy49lkgH4dEpTP6swzx3)Mm@^nbe~0In>Fq3eo@r_F{-|Ag$hbq z{z`_rIrP5`TDNMi%yQQf1YVzT@?PwKUHrGhCm`a$VbkWS$XzB+GI#~#Q4A$3zJuwt z$PcT>>mezeG3#l}@(>DT{XR!$llqYS*YBLSLNNMrP<-6V8ukk(;;GyV0pZWW2gj0qKFx}pr zwh(WPD#h3~`I~IZJWVc`#shP!e!8ocqX9QzE_K`wN!KsdYAj5%<+nd?{@ffklc`j_ zUi$*)#MlS(tO``%a^iSNoc>kd+9z*^eBr)hdQuPERvp9&J6JW9`rjoGg0M|QBV`J7 zdF04+rxsZyOgU zZyf8(h*U?PVW%05>~xl*rLSZWAk(VhBEhZkIhcQN549;wk%>_2E{u}b7NNp7tK;O5 z__BB}ub5~+#dwot=?vk|=p96|saZ0Q$Vx$kPry)sPok$0#+&n#ZS)w0vNzIniJT?d zCn;Ag(Y+^ctGkAgSG_}o-e(qv57`#e2U&HtDQvp1dI8E{upVdbbo4*$>i+|v0xA;L z6&qQj;H+Q1&mC|1Er}sZ1E>Z7(@Zkv8Qh$Wgnnan1!s_8p4(hN{E`VYr)_j^X;Z4# zq?0IqLnQXguxwi-5`;vN`GCBhmG%ao$Hed`GrV`Lx;v+B_i7&)1;U6B+_Pp@kB0vb zx4zDAVWD8gnO$;o$}5vUO|(5M)>;(_FG*S1+o+wZ^u?lQn4Ar>v6rRAAE>fK}p7rDttifpv%<1tM!S#cPvR<4Yw=Un%8Kbye3 z2Ecn01&CCvgeo2SgOSyCKiQT}4Ezk;Amv5>1`Rp5Pn-GdA*)j9{BOS zu8kuOtt*V@jt$m%QN|F<;k-1YSC6pg@=)6_OSuco5G` zmi0g_Q?F!fq95?h)2HFgofWi-8D6g!MDqMyOmH9!(N;3OVA;qJ7T19q@ceJ@KzS~z zG3Gc~xuJ=mFw#)yUzxc7DdD7qRem9Ffyu=b2dr*CjW{#byw)3OEahdSk*-4S?@UYE z)fJ3Q!)g7wmY-{A;`yXsDazWt9|eVxs1qjCW`Pm-dgX+kg32D(3YK5xIxCk=K0QMa zA`=LmvtroWqGxo1t_YpQbhOFKKF(?-z&Y9kws_@p`O;4PQ~CmPR~TZ_5G3`fHw||! zUTwm;O8&{(@snS|_QrBSuae{Sr#OW#2q+#}D`57+Wo1!*rc*voh$R z7}jDt;N(Y{NRu8(_x3n{v664O{^0$T&E%x#YCZ-2jqH=W!irQuv`?Is9reHCX1PyD zltKS?{%?T{bT5z_l>An_+dj5Mnd*ns{-V$7iSaY>;ps%>|n zQcMRi4En_SoQ81i-Wo`>dC8Q6;JI9Y(l$OeLFe*Qj9IbT)o&G_BRf6Ju|fRI2Vh?kAe(>`LTI+5VP!yIpSpkkX zq}gXW@Q!SYye6rcp9%f+l@i`b%119g81eeDegW-TrDJ53XcSOmxeiC=?bJXe9$tEI^L_N1e^l@UH-2^nhCua)V5#gF;t)Nm~je)L~-N?3ub=hLjalUkvdyr|h z^3L{SlJwa>N;8@#W-IgOvRo!?1b5Jtotee`a+2$eZ^WF+j2pC zHJb|&WMk7nuKwJD&I#ZoBhj?iy6^fTzZ;imtDm{oy5T z=Q-%awvT8*!hwkSNzZ*6tv|XOqEJ_$sL+ z@6FbBN*ckB+%k)$o}6^^oYAU>tyI<(rWb(%fyO|S4z|+C&El6N2t$hw zJ0su5amQgH$G7tyF>755eNJM?{*!phpR>4fyu?V6VlgD#ShF|FV4k9WCU(Raukt8> z=uuZdxZOVgAgD@@rVBTKqpn97!p7m~zuo57OQ=^7)pMiDz!~IUWp9-gv>H7T(P=$U z)bL*Bh0)8XY7f}ZQnPs+Fkq8rrL6yp(*5V-dlidc#=^v0B_z(5i$*?sz6z87)_EBs z-;(}gYLkceDG<7p->61~6MfOXanXEn?g3yw(6$bszl6;z{-Aq9{ObX|x@pQ>f{+E% z2Vxw=c>u?l8PGR!i_cr-Xg>2$xqxlhd-)_qGsO-0m}s_cKS8WHgfEFF4pmx(Pj}3GCl_7!;M_MIj`M`t>(o5!&U} zy*}$jrPR;Nrk)*Xlw9P8EpNJC7+@p0vD)bH=vtK2Jm5nUCErL&jE(FHUjp@(40;5~ z%z=lr66VC*RhB}o?fnF9xh$rZLT$fT0&PifVRhO znRIKLFSQfX&7{%PIzX?cnia;aZ%tU|(SpSW)_m_Hu?gutM%EJY29`OahN@}x`42PA(2(S;h2kbg2z z)yV=#k3ZQ(#l+Ue0uS8U3W)f-iKRe$(VE2XAp!}g&IubV;m|8{#()1Z8w7ds_CIj* z5;q{uIDc!doX`;l0wBCkFJeH-D|01B;aD_dNsSrpX^vi;ucK86Hijfl!>q5=YHQ3~y26%wx!N;==~}Meg*`CNV%4i?bum zHYmQ$!Kw0l(09VMwr7J1p1G=?)7&q5FPVoX!7h00mvilpZ)+2AGE zvC6r#u%X)A{1LE~gC2_FBP}|*J^Rc|(iqk?({q0|_XUNPh#%)`mNiV%|5g57)dN$s z4*NsJ8R!I98MstvQ#oD9Kx-ySQw9jz5vFyh!6;9*c=f1^x%r|;=IhA-iYi*$Y)xVQ z&dKoWis=zp5hS@FsGb@4ftGVq_;gv}4DCU{H?xJv5b5n+6B!F+TOU80zCE3y2^-IE zs!fMBtpYq{n%=r5&P)UR?}x*jP82QJuLOTWKPi_RFhi`^h8S zf>Av8)+LE3t6GI1hZBhs4u_Vjn}uD3bCi;p6lx=IUmwHg{R$7Zi1M?<1WTIwMP_ zA`*>NZwG|_CKNgg*}NgB`Vy{cb3h|riyTybNyGgU7f`>yD=g0n7bar2=CsO;1Od{Vuj?=T2wyos$WqL-n3IyZ2-yIH4N(uCH6x#GzJRB9O zHvxG?|NTCxoljLCje0&l^1jnZ^0wH`P)b&)R$43>3|T63Ghba44n%_VRAF<#wt0C- z4aaV{_LbG*FK9LSg|@Wo7V16x=It5-q&>val5Hhs$E&MBWnIE>i8;ZpV4NJf)iLi5 zJsn;j*GgT6aSHEv|L3kc6z7)(O*_yDY;cHR_^iAoZW2DC2^l{JN+~m1d4>?JR5oCi z_)bm;T;=WTV=qhp;K#V6;&Xi)P;}Wo)(pRd_vWeeI8vIpLZm+LUA=CHz+ zL2dHXx|Qy^nW~r*xb7`$vt4`s0StscOg2dga-D1*;x*Q77;Az~#>E^g2@U4Up2Kk>78KPZYG~QUN{VHQp(lEqE88Mx(W+)Z36?@7>$nx_cBpevQn~ zZ^}NE6=N4C#*RHE=$;$tfl&Q>Y~jJiNCC0j&bv>OJtKU zVKIYhGWgQZnAA@*dKE-k2zliV+DX9a$p*qf0AN157CB11^r>~%r zH>vYt-#;8|bVKLnvE|G&kEWAfEOejL%7`A!j~3cCR48Wa&hN|{@_X1Gx@zp58M;kN zZ~)&KJ0-^!gIv5(4@rw8z!?r0{#`rPt?GC5(|Tvv->cjC=0Yy zrC7Lq&81AR0^N0BR@dOkO_)^|GL0jTgb(f!c�&CAAi~G~kZY%-JT9T5vGvDBlvh z^T8#bMEdoCoV3rm$%o#rT@d%Ay@|JQ`SRmijFE3@$7sWO4o}9tGN$nKAmjsHb;lm00k$mIUg& zks8z4x9`k^)SL>rb2ER|I8R-2Y% zk|?Yxft+^L}dY z$}1`P!wUf0qBo||pG4tA)3>MH;-+luEX}j09^Kh#+b@}oy|2MC4!xWCSGy8X)#K?u zdm}-CZLOIFDH84}QUNjq^Te(PQ7o(knqIJ(dy5(}puOAJx3F^~7mW8C>a;Aptp#lT|BUWSlKAIe0`3+dv zUFCBS<*7lc&sT5c2c++7Xr0fw`zyFCSY94;L?x@YjB9~WiJJ~oVPytW_tJMz zQY5}`R;%llW8)@wwe6|pqx=;Y=_56%9nfCG5%U8P)klpTN6bm0r>zZzq}d%dTH^hW zJ8DcyLU&Y*Yk%LK%&IyJ*`^|K(

    + * + *
    Date Version Author Description + *
    2021/03/15 2.0 Shifeng Li format the code + *
    2020/11/30 1.0 Shifeng Li first upload + *
    + */ + +#include "driver_ds3231.h" + +/** + * @brief chip information definition + */ +#define CHIP_NAME "Maxim Integrated DS3231" /**< chip name */ +#define MANUFACTURER_NAME "Maxim Integrated" /**< manufacturer name */ +#define SUPPLY_VOLTAGE_MIN 2.3f /**< chip min supply voltage */ +#define SUPPLY_VOLTAGE_MAX 5.5f /**< chip max supply voltage */ +#define MAX_CURRENT 0.65f /**< chip max current */ +#define TEMPERATURE_MIN -40.0f /**< chip min operating temperature */ +#define TEMPERATURE_MAX 85.0f /**< chip max operating temperature */ +#define DRIVER_VERSION 2000 /**< driver version */ + +/** + * @brief chip register definition + */ +#define DS3231_REG_SECOND 0x00 /**< second register */ +#define DS3231_REG_MINUTE 0x01 /**< minute register */ +#define DS3231_REG_HOUR 0x02 /**< hour register */ +#define DS3231_REG_WEEK 0x03 /**< week register */ +#define DS3231_REG_DATE 0x04 /**< date register */ +#define DS3231_REG_MONTH 0x05 /**< month register */ +#define DS3231_REG_YEAR 0x06 /**< year register */ +#define DS3231_REG_ALARM1_SECOND 0x07 /**< alarm1 second register */ +#define DS3231_REG_ALARM1_MINUTE 0x08 /**< alarm1 minute register */ +#define DS3231_REG_ALARM1_HOUR 0x09 /**< alarm1 hour register */ +#define DS3231_REG_ALARM1_WEEK 0x0A /**< alarm1 week register */ +#define DS3231_REG_ALARM2_MINUTE 0x0B /**< alarm2 minute register */ +#define DS3231_REG_ALARM2_HOUR 0x0C /**< alarm2 hour register */ +#define DS3231_REG_ALARM2_WEEK 0x0D /**< alarm2 week register */ +#define DS3231_REG_CONTROL 0x0E /**< control register */ +#define DS3231_REG_STATUS 0x0F /**< status register */ +#define DS3231_REG_XTAL 0x10 /**< xtal register */ +#define DS3231_REG_TEMPERATUREH 0x11 /**< temperature high register */ +#define DS3231_REG_TEMPERATUREL 0x12 /**< temperature low register */ + +/** + * @brief chip address definition + */ +#define DS3231_ADDRESS 0x68 /**< iic device address */ + +/** + * @brief write one byte + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[in] data is the write data + * @return status code + * - 0 success + * - 1 write failed + * @note none + */ +static uint8_t a_ds3231_iic_write(ds3231_handle_t *handle, uint8_t reg, uint8_t data) +{ + if (handle->iic_write(DS3231_ADDRESS, reg, &data, 1) != 0) /* write data */ + { + return 1; /* return error */ + } + else + { + return 0; /* success return 0 */ + } +} + +/** + * @brief read multiple bytes + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +static uint8_t a_ds3231_iic_multiple_read(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint8_t len) +{ + if (handle->iic_read(DS3231_ADDRESS, reg, buf, len) != 0) /* read data */ + { + return 1; /* return error */ + } + else + { /* success return 0 */ + return 0; + } +} + +/** + * @brief hex to bcd + * @param[in] val is the hex data + * @return bcd data + * @note none + */ +static uint8_t a_ds3231_hex2bcd(uint8_t val) +{ + uint8_t i, j, k; + + i = val / 10; /* get tens place */ + j = val % 10; /* get ones place */ + k = j + (i << 4); /* set bcd */ + + return k; /* return bcd */ +} + +/** + * @brief bcd to hex + * @param[in] val is the bcd data + * @return hex data + * @note none + */ +static uint8_t a_ds3231_bcd2hex(uint8_t val) +{ + uint8_t temp; + + temp = val & 0x0F; /* get ones place */ + val = (val >> 4) & 0x0F; /* get tens place */ + val = val * 10; /* set tens place */ + temp = temp + val; /* get hex */ + + return temp; /* return hex */ +} + +/** + * @brief set the current time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @return status code + * - 0 success + * - 1 set time failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * - 4 time is invalid + * @note none + */ +uint8_t ds3231_set_time(ds3231_handle_t *handle, ds3231_time_t *t) +{ + uint8_t res; + uint8_t reg; + uint8_t century; + uint16_t year; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + if ((t->year < 1990) || (t->year > 2190)) /* check year */ + { + handle->debug_print("ds3231: year can't be over 2190 or less than 1990.\n"); /* year can't be over 2190 or less than 1990 */ + + return 4; /* return error */ + } + if ((t->month == 0) || (t->month > 12)) /* check month */ + { + handle->debug_print("ds3231: month can't be zero or over than 12.\n"); /* month can't be zero or over than 12 */ + + return 4; /* return error */ + } + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 4; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 4; /* return error */ + } + if ((t->hour < 1) || (t->hour > 12)) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 12 or less 1.\n"); /* hour can't be over than 12 or less 1 */ + + return 4; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 4; /* return error */ + } + if (t->second > 59) /* check second */ + { + handle->debug_print("ds3231: second can't be over than 59.\n"); /* second can't be over than 59 */ + + return 4; /* return error */ + } + } + else if (t->format == DS3231_FORMAT_24H) /* if 24H */ + { + if ((t->year < 1990) || (t->year > 2190)) /* check year */ + { + handle->debug_print("ds3231: year can't be over 2190 or less than 1990.\n"); /* year can't be over 2190 or less than 1990 */ + + return 4; /* return error */ + } + if ((t->month == 0) || (t->month > 12)) /* check month */ + { + handle->debug_print("ds3231: month can't be zero or over than 12.\n"); /* month can't be zero or over than 12 */ + + return 4; /* return error */ + } + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 4; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 4; /* return error */ + } + if (t->hour > 23) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 23.\n"); /* hour can't be over than 23 */ + + return 4; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 4; /* return error */ + } + if (t->second > 59) /* check second */ + { + handle->debug_print("ds3231: second can't be over than 59.\n"); /* second can't be over than 59 */ + + return 4; /* return error */ + } + } + else + { + handle->debug_print("ds3231: format is invalid.\n"); /* format is invalid */ + + return 4; /* return error */ + } + + res = a_ds3231_iic_write(handle, DS3231_REG_SECOND, a_ds3231_hex2bcd(t->second)); /* write second */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write second failed.\n"); /* write second failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_MINUTE, a_ds3231_hex2bcd(t->minute)); /* write minute */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write minute failed.\n"); /* write minute failed */ + + return 1; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + reg = (uint8_t)((1 << 6) | (t->am_pm << 5) | a_ds3231_hex2bcd(t->hour)); /* set hour in 12H */ + } + else /* if 24H */ + { + reg = (0 << 6) | a_ds3231_hex2bcd(t->hour); /* set hour in 24H */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_HOUR, reg); /* write hour */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write hour failed.\n"); /* write hour failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_WEEK, a_ds3231_hex2bcd(t->week)); /* write week */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write week failed.\n"); /* write week failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_DATE, a_ds3231_hex2bcd(t->date)); /* write data */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write date failed.\n"); /* write date failed */ + + return 1; /* return error */ + } + year = t->year - 1990; /* year -1990 */ + if (year >= 100) /* check year */ + { + century = 1; /* set century */ + year -= 100; /* year -= 100 */ + } + else + { + century = 0; /* set century 0 */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_MONTH, a_ds3231_hex2bcd(t->month) | (century << 7)); /* write month and century */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write century and month failed.\n"); /* write century and month failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_YEAR, a_ds3231_hex2bcd((uint8_t)year)); /* write year */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write year failed.\n"); /* write year failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the current time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @return status code + * - 0 success + * - 1 get time failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_time(ds3231_handle_t *handle, ds3231_time_t *t) +{ + uint8_t res; + uint8_t buf[7]; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + + memset(buf, 0, sizeof(uint8_t) * 7); /* clear the buffer */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_SECOND, (uint8_t *)buf, 7); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: multiple read failed.\n"); /* multiple read failed */ + + return 1; /* return error */ + } + t->year = a_ds3231_bcd2hex(buf[6]) + 1990 + ((buf[5] >> 7) & 0x01) * 100; /* get year */ + t->month = a_ds3231_bcd2hex(buf[5]&0x1F); /* get month */ + t->week = a_ds3231_bcd2hex(buf[3]); /* get week */ + t->date = a_ds3231_bcd2hex(buf[4]); /* get date */ + t->am_pm = (ds3231_am_pm_t)((buf[2] >> 5) & 0x01); /* get am pm */ + t->format = (ds3231_format_t)((buf[2] >> 6) & 0x01); /* get format */ + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + t->hour = a_ds3231_bcd2hex(buf[2] & 0x1F); /* get hour */ + } + else + { + t->hour = a_ds3231_bcd2hex(buf[2] & 0x3F); /* get hour */ + } + t->minute = a_ds3231_bcd2hex(buf[1]); /* get minute */ + t->second = a_ds3231_bcd2hex(buf[0]); /* get second */ + + return 0; /* success return 0 */ +} + +/** + * @brief set the alarm1 time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @param[in] mode is the alarm1 interrupt mode + * @return status code + * - 0 success + * - 1 set alarm1 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm1(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm1_mode_t mode) +{ + uint8_t res; + uint8_t reg; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 1; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 1; /* return error */ + } + if ((t->hour < 1) || (t->hour > 12)) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 12 or less 1.\n"); /* hour can't be over than 12 or less 1 */ + + return 1; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 1; /* return error */ + } + if (t->second > 59) /* check second */ + { + handle->debug_print("ds3231: second can't be over than 59.\n"); /* second can't be over than 59 */ + + return 1; /* return error */ + } + } + else if (t->format == DS3231_FORMAT_24H) /* if 24H */ + { + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 1; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 1; /* return error */ + } + if (t->hour > 23) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 23.\n"); /* hour can't be over than 23 */ + + return 1; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 1; /* return error */ + } + if (t->second > 59) /* check second */ + { + handle->debug_print("ds3231: second can't be over than 59.\n"); /* second can't be over than 59 */ + + return 1; /* return error */ + } + } + else + { + handle->debug_print("ds3231: format is invalid.\n"); /* format is invalid */ + + return 1; /* return error */ + } + + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM1_SECOND, a_ds3231_hex2bcd(t->second) | ((mode & 0x01) << 7)); /* write second */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm1 second failed.\n"); /* write alarm1 second failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM1_MINUTE, a_ds3231_hex2bcd(t->minute) | (((mode >> 1) & 0x01) << 7)); /* write minute */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm1 minute failed.\n"); /* write alarm1 minute failed */ + + return 1; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + reg = (uint8_t)((((mode >> 2) & 0x01) << 7) | (1 << 6) | (t->am_pm << 5) | a_ds3231_hex2bcd(t->hour)); /* set hour in 12H */ + } + else /* if 24H */ + { + reg = (((mode >> 2) & 0x01) << 7) | a_ds3231_hex2bcd(t->hour); /* set hour in 24H */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM1_HOUR, reg); /* write hour */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm1 hour failed.\n"); /* write alarm1 hour failed */ + + return 1; /* return error */ + } + if (mode >= DS3231_ALARM1_MODE_WEEK_HOUR_MINUTE_SECOND_MATCH) /* if week */ + { + reg = (((mode >> 3) & 0x01) << 7) | (1 << 6) | a_ds3231_hex2bcd(t->week); /* set data in week */ + } + else /* if day */ + { + reg = (((mode >> 3) & 0x01) << 7) | a_ds3231_hex2bcd(t->date); /* set data in date */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM1_WEEK, reg); /* write week */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm1 week failed.\n"); /* write alarm1 week failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the alarm1 time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @param[out] *mode points to an alarm1 interrupt mode buffer + * @return status code + * - 0 success + * - 1 get alarm1 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm1(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm1_mode_t *mode) +{ + uint8_t res; + uint8_t buf[4]; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + + memset(buf, 0, sizeof(uint8_t) * 4); /* clear the buffer */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_ALARM1_SECOND, (uint8_t *)buf, 4); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: multiple read failed.\n"); /* multiple read failed */ + + return 1; /* return error */ + } + t->year = 0; /* get year */ + t->month = 0; /* get month */ + if (((buf[3] >> 6) & 0x01) != 0) /* if week */ + { + t->week = a_ds3231_bcd2hex(buf[3] & 0x0F); /* get week */ + t->date = 0; /* get data */ + } + else /* if data */ + { + t->week = 0; /* get week */ + t->date = a_ds3231_bcd2hex(buf[3] & 0x3F); /* get data */ + } + t->am_pm = (ds3231_am_pm_t)((buf[2] >> 5) & 0x01); /* get am pm */ + t->format = (ds3231_format_t)((buf[2] >> 6) & 0x01); /* get format */ + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + t->hour = a_ds3231_bcd2hex(buf[2]&0x1F); /* get hour */ + } + else /* if 24H */ + { + t->hour = a_ds3231_bcd2hex(buf[2]&0x3F); /* get hour */ + } + t->minute = a_ds3231_bcd2hex(buf[1] & 0x7F); /* get minute */ + t->second = a_ds3231_bcd2hex(buf[0] & 0x7F); /* get second */ + *mode = (ds3231_alarm1_mode_t)(((buf[0]>>7)&0x01)<<0 | ((buf[1]>>7)&0x01)<<1 | ((buf[2]>>7)&0x01)<<2 | ((buf[3]>>7)&0x01)<<3 | + ((buf[3] >> 6)&0x01)<<4 + ); /* get mode */ + + return 0; /* success return 0 */ +} + +/** + * @brief set the alarm2 time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @param[in] mode is the alarm2 interrupt mode + * @return status code + * - 0 success + * - 1 set alarm2 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm2(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm2_mode_t mode) +{ + uint8_t res; + uint8_t reg; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 1; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 1; /* return error */ + } + if ((t->hour < 1) || (t->hour > 12)) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 12 or less 1.\n"); /* hour can't be over than 12 or less 1 */ + + return 1; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 1; /* return error */ + } + } + else if (t->format == DS3231_FORMAT_24H) /* if 24H */ + { + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 1; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 1; /* return error */ + } + if (t->hour > 23) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 23.\n"); /* hour can't be over than 23 */ + + return 1; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 1; /* return error */ + } + } + else + { + handle->debug_print("ds3231: format is invalid.\n"); /* format is invalid */ + + return 1; /* return error */ + } + + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM2_MINUTE, a_ds3231_hex2bcd(t->minute) | (((mode >> 0) & 0x01) << 7)); /* write minute */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm2 minute failed.\n"); /* write alarm2 minute failed */ + + return 1; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + reg = (uint8_t)((((mode >> 1) & 0x01) << 7) | (1 << 6) | (t->am_pm << 5) | a_ds3231_hex2bcd(t->hour)); /* set hour in 12H */ + } + else /* if 24H */ + { + reg = (((mode >> 1) & 0x01) << 7) | a_ds3231_hex2bcd(t->hour); /* set hour in 24H */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM2_HOUR, reg); /* write hour */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm2 hour failed.\n"); /* write alarm2 hour failed */ + + return 1; /* return error */ + } + if (mode >= (uint8_t)DS3231_ALARM1_MODE_WEEK_HOUR_MINUTE_SECOND_MATCH) /* if week */ + { + reg = (((mode >> 2) & 0x01) << 7) | (1 << 6) | a_ds3231_hex2bcd(t->week); /* set data in week */ + } + else /* if day */ + { + reg = (((mode >> 2) & 0x01) << 7) | a_ds3231_hex2bcd(t->date); /* set data in date */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM2_WEEK, reg); /* write week */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm2 week failed.\n"); /* write alarm2 week failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the alarm2 time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @param[out] *mode points to an alarm2 interrupt mode buffer + * @return status code + * - 0 success + * - 1 get alarm2 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm2(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm2_mode_t *mode) +{ + uint8_t res; + uint8_t buf[3]; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + + memset(buf, 0, sizeof(uint8_t) * 3); /* clear the buffer */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_ALARM2_MINUTE, (uint8_t *)buf, 3); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: multiple read failed.\n"); /* multiple read failed */ + + return 1; /* return error */ + } + t->year = 0; /* get year */ + t->month = 0; /* get month */ + if (((buf[2] >> 6) & 0x01) != 0) /* if week */ + { + t->week = a_ds3231_bcd2hex(buf[2] & 0x0F); /* get week */ + t->date = 0; /* get data */ + } + else /* if data */ + { + t->week = 0; /* get week */ + t->date = a_ds3231_bcd2hex(buf[2] & 0x3F); /* get data */ + } + t->am_pm = (ds3231_am_pm_t)((buf[1] >> 5) & 0x01); /* get am pm */ + t->format = (ds3231_format_t)((buf[1] >> 6) & 0x01); /* get format */ + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + t->hour = a_ds3231_bcd2hex(buf[1]&0x1F); /* get hour */ + } + else /* if 24H */ + { + t->hour = a_ds3231_bcd2hex(buf[1]&0x3F); /* get hour */ + } + t->minute = a_ds3231_bcd2hex(buf[0] & 0x7F); /* get minute */ + t->second = 0; /* get second */ + *mode = (ds3231_alarm2_mode_t)(((buf[0]>>7)&0x01)<<0 | ((buf[1]>>7)&0x01)<<1 | ((buf[2]>>7)&0x01)<<2 | ((buf[2]>>6)&0x01)<<4); /* get mode */ + + return 0; /* success return 0 */ +} + +/** + * @brief enable or disable the oscillator + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set oscillator failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_oscillator(ds3231_handle_t *handle, ds3231_bool_t enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~ (1 << 7); /* clear config */ + prev |= (!enable) << 7; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip oscillator status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get oscillator failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_oscillator(ds3231_handle_t *handle, ds3231_bool_t *enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + *enable = (ds3231_bool_t)(!((prev >> 7) & 0x01)); /* get enable */ + + return 0; /* success return 0 */ +} + +/** + * @brief enable or disable the alarm interrupt + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set alarm interrupt failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm_interrupt(ds3231_handle_t *handle, ds3231_alarm_t alarm, ds3231_bool_t enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~(1 << alarm); /* clear config */ + prev |= enable << alarm; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the alarm interrupt status + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get alarm interrupt failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm_interrupt(ds3231_handle_t *handle, ds3231_alarm_t alarm, ds3231_bool_t *enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + *enable = (ds3231_bool_t)((prev >> alarm) & 0x01); /* get enable */ + + return 0; /* success return 0 */ +} + +/** + * @brief set the chip pin function + * @param[in] *handle points to a ds3231 handle structure + * @param[in] pin is the pin's function + * @return status code + * - 0 success + * - 1 set pin failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_pin(ds3231_handle_t *handle, ds3231_pin_t pin) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~(1 << 2); /* clear config */ + prev |= pin << 2; /* set pin */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip pin function + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *pin points to a pin's function buffer + * @return status code + * - 0 success + * - 1 get pin failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_pin(ds3231_handle_t *handle, ds3231_pin_t *pin) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + *pin = (ds3231_pin_t)((prev >> 2) & 0x01); /* get pin */ + + return 0; /* success return 0 */ +} + +/** + * @brief enable or disable the square wave output + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set square wave failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_square_wave(ds3231_handle_t *handle, ds3231_bool_t enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~(1 << 6); /* clear config */ + prev |= enable << 6; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the square wave output status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get square wave failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_square_wave(ds3231_handle_t *handle, ds3231_bool_t *enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + *enable = (ds3231_bool_t)((prev >> 6) & 0x01); /* get enable */ + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip temperature + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *raw points to a raw temperature buffer + * @param[out] *s points to a converted temperature buffer + * @return status code + * - 0 success + * - 1 get temperature failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_temperature(ds3231_handle_t *handle, int16_t *raw, float *s) +{ + uint8_t res; + uint8_t prev; + uint32_t times; + uint8_t buf[2]; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + memset(buf, 0, sizeof(uint8_t) * 2); /* clear the buffer */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~(1 << 5); /* clear config */ + prev |= 1 << 5; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + times = 500; /* set 5s */ + while (times != 0) /* check times */ + { + handle->delay_ms(10); /* delay 10 ms */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* read status */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + if (((prev >> 2) & 0x01) == 0) /* check result */ + { + break; /* break */ + } + times--; /* times-- */ + } + if (times == 0) /* if zero */ + { + handle->debug_print("ds3231: read timeout.\n"); /* read timeout */ + + return 1; /* return error */ + } + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_TEMPERATUREH, (uint8_t *)buf, 2); /* read temperature */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read temperature failed.\n"); /* read temperature failed */ + + return 1; /* return error */ + } + *raw = (int16_t)(((uint16_t)buf[0]) << 8) | buf[1]; /* set raw temperature */ + *raw = (*raw) >> 6; /* right shift */ + if (((*raw) & 0x0200) != 0) /* set negative value */ + { + *raw = (*raw) | 0xFC00U; /* set negative part */ + } + *s = (float)(*raw) * 0.25f; /* set converted temperature */ + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *status points to a chip status buffer + * @return status code + * - 0 success + * - 1 get status failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_status(ds3231_handle_t *handle, uint8_t *status) +{ + uint8_t res; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)status, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief set the chip aging offset + * @param[in] *handle points to a ds3231 handle structure + * @param[in] offset is time aging offset + * @return status code + * - 0 success + * - 1 set aging offset failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_aging_offset(ds3231_handle_t *handle, int8_t offset) +{ + uint8_t res; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_write(handle, DS3231_REG_XTAL, offset); /* write offset */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write offset failed.\n"); /* write offset failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip aging offset + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *offset points to a time aging offset buffer + * @return status code + * - 0 success + * - 1 get aging offset failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_aging_offset(ds3231_handle_t *handle, int8_t *offset) +{ + uint8_t res; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_XTAL, (uint8_t *)offset, 1); /* read offset */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read offset failed.\n"); /* read offset failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief convert a aging offset value to a register raw data + * @param[in] *handle points to a ds3231 handle structure + * @param[in] offset is a converted aging offset value + * @param[out] *reg points to a register raw buffer + * @return status code + * - 0 success + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_aging_offset_convert_to_register(ds3231_handle_t *handle, float offset, int8_t *reg) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + *reg = (int8_t)(offset / 0.12f); /* convert real data to register data */ + + return 0; /* success return 0 */ +} + +/** + * @brief convert a register raw data to a converted aging offset data + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the register raw data + * @param[out] *offset points to a converted aging offset buffer + * @return status code + * - 0 success + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_aging_offset_convert_to_data(ds3231_handle_t *handle, int8_t reg, float *offset) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + *offset = (float)(reg) * 0.12f; /* convert raw data to real data */ + + return 0; /* success return 0 */ +} + +/** + * @brief irq handler + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 run failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_irq_handler(ds3231_handle_t *handle) +{ + uint8_t res, prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } /* if oscillator stop */ + if ((prev & DS3231_STATUS_ALARM_2) != 0) /* if alarm 2 */ + { + if (handle->receive_callback != NULL) /* if receive callback */ + { + handle->receive_callback(DS3231_STATUS_ALARM_2); /* run callback */ + } + } + if ((prev & DS3231_STATUS_ALARM_1) != 0) /* if alarm 1 */ + { + if (handle->receive_callback != NULL) /* if receive callback */ + { + handle->receive_callback(DS3231_STATUS_ALARM_1); /* run callback */ + } + } + + return 0; /* success return 0 */ +} + +/** + * @brief initialize the chip + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 iic initialization failed + * - 2 handle is NULL + * - 3 linked functions is NULL + * @note none + */ +uint8_t ds3231_init(ds3231_handle_t *handle) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->debug_print == NULL) /* check debug_print */ + { + return 3; /* return error */ + } + if (handle->iic_init == NULL) /* check iic_init */ + { + handle->debug_print("ds3231: iic_init is null.\n"); /* iic_init is null */ + + return 3; /* return error */ + } + if (handle->iic_deinit == NULL) /* check iic_deinit */ + { + handle->debug_print("ds3231: iic_deinit is null.\n"); /* iic_deinit is null */ + + return 3; /* return error */ + } + if (handle->iic_write == NULL) /* check iic_write */ + { + handle->debug_print("ds3231: iic_write is null.\n"); /* iic_write is null */ + + return 3; /* return error */ + } + if (handle->iic_read == NULL) /* check iic_read */ + { + handle->debug_print("ds3231: iic_read is null.\n"); /* iic_read is null */ + + return 3; /* return error */ + } + if (handle->delay_ms == NULL) /* check delay_ms */ + { + handle->debug_print("ds3231: delay_ms is null.\n"); /* delay_ms is null */ + + return 3; /* return error */ + } + if (handle->receive_callback == NULL) /* check receive_callback */ + { + handle->debug_print("ds3231: receive_callback is null.\n"); /* receive_callback is null */ + + return 3; /* return error */ + } + + if (handle->iic_init() != 0) /* iic init */ + { + handle->debug_print("ds3231: iic init failed.\n"); /* iic init failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + (void)handle->iic_deinit(); /* iic deinit */ + + return 1; /* return error */ + } + prev &= ~(1 << 7); /* clear config */ + res = a_ds3231_iic_write(handle, DS3231_REG_STATUS, prev); /* write status */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write status failed.\n"); /* write status failed */ + (void)handle->iic_deinit(); /* iic deinit */ + + return 1; /* return error */ + } + handle->inited = 1; /* flag finish initialization */ + + return 0; /* success return 0 */ +} + +/** + * @brief close the chip + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 iic deinit failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_deinit(ds3231_handle_t *handle) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + if (handle->iic_deinit() != 0) /* iic deinit */ + { + handle->debug_print("ds3231: iic deinit failed.\n"); /* iic deinit failed */ + + return 1; /* return error */ + } + handle->inited = 0; /* flag close */ + + return 0; /* success return 0 */ +} + +/** + * @brief clear the alarm flag + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @return status code + * - 0 success + * - 1 alarm clear failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_alarm_clear(ds3231_handle_t *handle, ds3231_alarm_t alarm) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + prev &= ~(1 << alarm); /* clear config */ + res = a_ds3231_iic_write(handle, DS3231_REG_STATUS, prev); /* write status */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write status failed.\n"); /* write status failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief enable or disable the 32KHz output + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set 32khz output failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_32khz_output(ds3231_handle_t *handle, ds3231_bool_t enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + prev &= ~(1 << 3); /* clear config */ + prev |= enable << 3; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_STATUS, prev); /* write status */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write status failed.\n"); /* write status failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the 32KHz output status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get 32khz output failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_32khz_output(ds3231_handle_t *handle, ds3231_bool_t *enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + *enable = (ds3231_bool_t)((prev >> 3) & 0x01); /* get enable */ + + return 0; /* success return 0 */ +} + +/** + * @brief set the chip register + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[in] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 write failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_reg(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint16_t len) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + if (handle->iic_write(DS3231_ADDRESS, reg, buf, len) != 0) /* write data */ + { + return 1; /* return error */ + } + else + { + return 0; /* success return 0 */ + } +} + +/** + * @brief get the chip register + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 read failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_reg(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint16_t len) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + if (handle->iic_read(DS3231_ADDRESS, reg, buf, len) != 0) /* read data */ + { + return 1; /* return error */ + } + else + { + return 0; /* success return 0 */ + } +} + +/** + * @brief get chip's information + * @param[out] *info points to a ds3231 info structure + * @return status code + * - 0 success + * - 2 handle is NULL + * @note none + */ +uint8_t ds3231_info(ds3231_info_t *info) +{ + if (info == NULL) /* check handle */ + { + return 2; /* return error */ + } + + memset(info, 0, sizeof(ds3231_info_t)); /* initialize ds3231 info structure */ + strncpy(info->chip_name, CHIP_NAME, 32); /* copy chip name */ + strncpy(info->manufacturer_name, MANUFACTURER_NAME, 32); /* copy manufacturer name */ + strncpy(info->interface, "IIC", 8); /* copy interface name */ + info->supply_voltage_min_v = SUPPLY_VOLTAGE_MIN; /* set minimal supply voltage */ + info->supply_voltage_max_v = SUPPLY_VOLTAGE_MAX; /* set maximum supply voltage */ + info->max_current_ma = MAX_CURRENT; /* set maximum current */ + info->temperature_max = TEMPERATURE_MAX; /* set minimal temperature */ + info->temperature_min = TEMPERATURE_MIN; /* set maximum temperature */ + info->driver_version = DRIVER_VERSION; /* set driver version */ + + return 0; /* success return 0 */ +} diff --git a/Project/Application/driver_ds3231.h b/Project/Application/driver_ds3231.h new file mode 100644 index 0000000..1b888e4 --- /dev/null +++ b/Project/Application/driver_ds3231.h @@ -0,0 +1,718 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231.h + * @brief driver ds3231 header file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

    history

    + * + *
    Date Version Author Description + *
    2021/03/15 2.0 Shifeng Li format the code + *
    2020/11/30 1.0 Shifeng Li first upload + *
    + */ + +#ifndef DRIVER_DS3231_H +#define DRIVER_DS3231_H + +#include +#include +#include + +#ifdef __cplusplus +extern "C"{ +#endif + +/** + * @defgroup ds3231_driver ds3231 driver function + * @brief ds3231 driver modules + * @{ + */ + +/** + * @addtogroup ds3231_base_driver + * @{ + */ + +/** + * @brief ds3231 bool enumeration definition + */ +typedef enum +{ + DS3231_BOOL_FALSE = 0x00, /**< disable function */ + DS3231_BOOL_TRUE = 0x01, /**< enable function */ +} ds3231_bool_t; + +/** + * @brief ds3231 alarm enumeration definition + */ +typedef enum +{ + DS3231_ALARM_1 = 0x00, /**< alarm 1 */ + DS3231_ALARM_2 = 0x01, /**< alarm 2 */ +} ds3231_alarm_t; + +/** + * @brief ds3231 am pm enumeration definition + */ +typedef enum +{ + DS3231_AM = 0x00, /**< am */ + DS3231_PM = 0x01, /**< pm */ +} ds3231_am_pm_t; + +/** + * @brief ds3231 pin enumeration definition + */ +typedef enum +{ + DS3231_PIN_SQUARE_WAVE = 0x00, /**< square wave pin */ + DS3231_PIN_INTERRUPT = 0x01, /**< interrupt pin */ +} ds3231_pin_t; + +/** + * @brief ds3231 format enumeration definition + */ +typedef enum +{ + DS3231_FORMAT_12H = 0x01, /**< 12h format */ + DS3231_FORMAT_24H = 0x00, /**< 24h format */ +} ds3231_format_t; + +/** + * @brief ds3231 alarm1 enumeration definition + */ +typedef enum +{ + DS3231_STATUS_ALARM_2 = (1 << 1), /**< alarm 2 status */ + DS3231_STATUS_ALARM_1 = (1 << 0), /**< alarm 1 status */ +} ds3231_status_t; + +/** + * @} + */ + +/** + * @addtogroup ds3231_alarm_driver + * @{ + */ + +/** + * @brief ds3231 alarm1 enumeration definition + */ +typedef enum +{ + DS3231_ALARM1_MODE_ONCE_A_SECOND = 0x0F, /**< interrupt once a second */ + DS3231_ALARM1_MODE_SECOND_MATCH = 0x0E, /**< interrupt second match */ + DS3231_ALARM1_MODE_MINUTE_SECOND_MATCH = 0x0C, /**< interrupt minute second match */ + DS3231_ALARM1_MODE_HOUR_MINUTE_SECOND_MATCH = 0x08, /**< interrupt hour minute second match */ + DS3231_ALARM1_MODE_DATE_HOUR_MINUTE_SECOND_MATCH = 0x00, /**< interrupt date hour minute second match */ + DS3231_ALARM1_MODE_WEEK_HOUR_MINUTE_SECOND_MATCH = 0x10, /**< interrupt week hour minute second match */ +} ds3231_alarm1_mode_t; + +/** + * @brief ds3231 alarm2 enumeration definition + */ +typedef enum +{ + DS3231_ALARM2_MODE_ONCE_A_MINUTE = 0x07, /**< interrupt once a minute */ + DS3231_ALARM2_MODE_MINUTE_MATCH = 0x06, /**< interrupt minute match */ + DS3231_ALARM2_MODE_HOUR_MINUTE_MATCH = 0x04, /**< interrupt hour minute match */ + DS3231_ALARM2_MODE_DATE_HOUR_MINUTE_MATCH = 0x00, /**< interrupt data hour minute match */ + DS3231_ALARM2_MODE_WEEK_HOUR_MINUTE_MATCH = 0x10, /**< interrupt week hour minute match */ +} ds3231_alarm2_mode_t; + +/** + * @} + */ + +/** + * @addtogroup ds3231_base_driver + * @{ + */ + +/** + * @brief ds3231 time structure definition + */ +typedef struct ds3231_time_s +{ + uint16_t year; /**< year */ + uint8_t month; /**< month */ + uint8_t week; /**< week */ + uint8_t date; /**< date */ + uint8_t hour; /**< hour */ + uint8_t minute; /**< minute */ + uint8_t second; /**< second */ + ds3231_format_t format; /**< data format */ + ds3231_am_pm_t am_pm; /**< am pm */ +} ds3231_time_t; + +/** + * @brief ds3231 handle structure definition + */ +typedef struct ds3231_handle_s +{ + uint8_t (*iic_init)(void); /**< point to an iic_init function address */ + uint8_t (*iic_deinit)(void); /**< point to an iic_deinit function address */ + uint8_t (*iic_write)(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len); /**< point to an iic_write function address */ + uint8_t (*iic_read)(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len); /**< point to an iic_read function address */ + void (*debug_print)(const char *const fmt, ...); /**< point to a debug_print function address */ + void (*receive_callback)(uint8_t type); /**< point to a receive_callback function address */ + void (*delay_ms)(uint32_t ms); /**< point to a delay_ms function address */ + uint8_t inited; /**< inited flag */ +} ds3231_handle_t; + +/** + * @brief ds3231 information structure definition + */ +typedef struct ds3231_info_s +{ + char chip_name[32]; /**< chip name */ + char manufacturer_name[32]; /**< manufacturer name */ + char interface[8]; /**< chip interface name */ + float supply_voltage_min_v; /**< chip min supply voltage */ + float supply_voltage_max_v; /**< chip max supply voltage */ + float max_current_ma; /**< chip max current */ + float temperature_min; /**< chip min operating temperature */ + float temperature_max; /**< chip max operating temperature */ + uint32_t driver_version; /**< driver version */ +} ds3231_info_t; + +/** + * @} + */ + +/** + * @defgroup ds3231_link_driver ds3231 link driver function + * @brief ds3231 link driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief initialize ds3231_handle_t structure + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] STRUCTURE is ds3231_handle_t + * @note none + */ +#define DRIVER_DS3231_LINK_INIT(HANDLE, STRUCTURE) memset(HANDLE, 0, sizeof(STRUCTURE)) + +/** + * @brief link iic_init function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to an iic_init function address + * @note none + */ +#define DRIVER_DS3231_LINK_IIC_INIT(HANDLE, FUC) (HANDLE)->iic_init = FUC + +/** + * @brief link iic_deinit function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to an iic_deinit function address + * @note none + */ +#define DRIVER_DS3231_LINK_IIC_DEINIT(HANDLE, FUC) (HANDLE)->iic_deinit = FUC + +/** + * @brief link iic_read function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to an iic_read function address + * @note none + */ +#define DRIVER_DS3231_LINK_IIC_READ(HANDLE, FUC) (HANDLE)->iic_read = FUC + +/** + * @brief link iic_write function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to an iic_write function address + * @note none + */ +#define DRIVER_DS3231_LINK_IIC_WRITE(HANDLE, FUC) (HANDLE)->iic_write = FUC + +/** + * @brief link delay_ms function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to a delay_ms function address + * @note none + */ +#define DRIVER_DS3231_LINK_DELAY_MS(HANDLE, FUC) (HANDLE)->delay_ms = FUC + +/** + * @brief link debug_print function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to a debug_print function address + * @note none + */ +#define DRIVER_DS3231_LINK_DEBUG_PRINT(HANDLE, FUC) (HANDLE)->debug_print = FUC + +/** + * @brief link receive_callback function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to a receive_callback function address + * @note none + */ +#define DRIVER_DS3231_LINK_RECEIVE_CALLBACK(HANDLE, FUC) (HANDLE)->receive_callback = FUC + +/** + * @} + */ + +/** + * @defgroup ds3231_base_driver ds3231 base driver function + * @brief ds3231 base driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief get chip's information + * @param[out] *info points to a ds3231 info structure + * @return status code + * - 0 success + * - 2 handle is NULL + * @note none + */ +uint8_t ds3231_info(ds3231_info_t *info); + +/** + * @brief initialize the chip + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 iic initialization failed + * - 2 handle is NULL + * - 3 linked functions is NULL + * @note none + */ +uint8_t ds3231_init(ds3231_handle_t *handle); + +/** + * @brief close the chip + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 iic deinit failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_deinit(ds3231_handle_t *handle); + +/** + * @brief irq handler + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 run failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_irq_handler(ds3231_handle_t *handle); + +/** + * @brief set the current time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @return status code + * - 0 success + * - 1 set time failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * - 4 time is invalid + * @note none + */ +uint8_t ds3231_set_time(ds3231_handle_t *handle, ds3231_time_t *t); + +/** + * @brief get the current time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @return status code + * - 0 success + * - 1 get time failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_time(ds3231_handle_t *handle, ds3231_time_t *t); + +/** + * @brief enable or disable the oscillator + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set oscillator failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_oscillator(ds3231_handle_t *handle, ds3231_bool_t enable); + +/** + * @brief get the chip oscillator status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get oscillator failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_oscillator(ds3231_handle_t *handle, ds3231_bool_t *enable); + +/** + * @brief get the chip status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *status points to a chip status buffer + * @return status code + * - 0 success + * - 1 get status failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_status(ds3231_handle_t *handle, uint8_t *status); + +/** + * @} + */ + +/** + * @defgroup ds3231_advance_driver ds3231 advance driver function + * @brief ds3231 advance driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief set the chip pin function + * @param[in] *handle points to a ds3231 handle structure + * @param[in] pin is the pin's function + * @return status code + * - 0 success + * - 1 set pin failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_pin(ds3231_handle_t *handle, ds3231_pin_t pin); + +/** + * @brief get the chip pin function + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *pin points to a pin's function buffer + * @return status code + * - 0 success + * - 1 get pin failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_pin(ds3231_handle_t *handle, ds3231_pin_t *pin); + +/** + * @brief enable or disable the square wave output + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set square wave failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_square_wave(ds3231_handle_t *handle, ds3231_bool_t enable); + +/** + * @brief get the square wave output status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get square wave failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_square_wave(ds3231_handle_t *handle, ds3231_bool_t *enable); + +/** + * @brief enable or disable the 32KHz output + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set 32khz output failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_32khz_output(ds3231_handle_t *handle, ds3231_bool_t enable); + +/** + * @brief get the 32KHz output status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get 32khz output failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_32khz_output(ds3231_handle_t *handle, ds3231_bool_t *enable); + +/** + * @brief get the chip temperature + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *raw points to a raw temperature buffer + * @param[out] *s points to a converted temperature buffer + * @return status code + * - 0 success + * - 1 get temperature failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_temperature(ds3231_handle_t *handle, int16_t *raw, float *s); + +/** + * @brief set the chip aging offset + * @param[in] *handle points to a ds3231 handle structure + * @param[in] offset is time aging offset + * @return status code + * - 0 success + * - 1 set aging offset failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_aging_offset(ds3231_handle_t *handle, int8_t offset); + +/** + * @brief get the chip aging offset + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *offset points to a time aging offset buffer + * @return status code + * - 0 success + * - 1 get aging offset failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_aging_offset(ds3231_handle_t *handle, int8_t *offset); + +/** + * @brief convert a aging offset value to a register raw data + * @param[in] *handle points to a ds3231 handle structure + * @param[in] offset is a converted aging offset value + * @param[out] *reg points to a register raw buffer + * @return status code + * - 0 success + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_aging_offset_convert_to_register(ds3231_handle_t *handle, float offset, int8_t *reg); + +/** + * @brief convert a register raw data to a converted aging offset data + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the register raw data + * @param[out] *offset points to a converted aging offset buffer + * @return status code + * - 0 success + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_aging_offset_convert_to_data(ds3231_handle_t *handle, int8_t reg, float *offset); + +/** + * @} + */ + +/** + * @defgroup ds3231_alarm_driver ds3231 alarm driver function + * @brief ds3231 alarm driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief enable or disable the alarm interrupt + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set alarm interrupt failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm_interrupt(ds3231_handle_t *handle, ds3231_alarm_t alarm, ds3231_bool_t enable); + +/** + * @brief get the alarm interrupt status + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get alarm interrupt failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm_interrupt(ds3231_handle_t *handle, ds3231_alarm_t alarm, ds3231_bool_t *enable); + +/** + * @brief set the alarm1 time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @param[in] mode is the alarm1 interrupt mode + * @return status code + * - 0 success + * - 1 set alarm1 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm1(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm1_mode_t mode); + +/** + * @brief get the alarm1 time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @param[out] *mode points to an alarm1 interrupt mode buffer + * @return status code + * - 0 success + * - 1 get alarm1 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm1(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm1_mode_t *mode); + +/** + * @brief set the alarm2 time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @param[in] mode is the alarm2 interrupt mode + * @return status code + * - 0 success + * - 1 set alarm2 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm2(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm2_mode_t mode); + +/** + * @brief get the alarm2 time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @param[out] *mode points to an alarm2 interrupt mode buffer + * @return status code + * - 0 success + * - 1 get alarm2 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm2(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm2_mode_t *mode); + +/** + * @brief clear the alarm flag + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @return status code + * - 0 success + * - 1 alarm clear failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_alarm_clear(ds3231_handle_t *handle, ds3231_alarm_t alarm); + +/** + * @} + */ + +/** + * @defgroup ds3231_extern_driver ds3231 extern driver function + * @brief ds3231 extern driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief set the chip register + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[in] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 write failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_reg(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint16_t len); + +/** + * @brief get the chip register + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 read failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_reg(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint16_t len); + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/Project/Application/driver_ds3231_basic.c b/Project/Application/driver_ds3231_basic.c new file mode 100644 index 0000000..9912dac --- /dev/null +++ b/Project/Application/driver_ds3231_basic.c @@ -0,0 +1,383 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231_basic.c + * @brief driver ds3231 basic source file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

    history

    + * + *
    Date Version Author Description + *
    2021/03/15 2.0 Shifeng Li format the code + *
    2020/11/30 1.0 Shifeng Li first upload + *
    + */ + +#include "driver_ds3231_basic.h" + + +static ds3231_handle_t gs_handle; /**< ds3231 handle */ +static int8_t gs_time_zone = 0; /**< local zone */ + +/** + * @brief basic example init + * @return status code + * - 0 success + * - 1 init failed + * @note none + */ +uint8_t ds3231_basic_init(void) +{ + uint8_t res; + int8_t reg; + + /* link functions */ + DRIVER_DS3231_LINK_INIT(&gs_handle, ds3231_handle_t); + DRIVER_DS3231_LINK_IIC_INIT(&gs_handle, ds3231_interface_iic_init); + DRIVER_DS3231_LINK_IIC_DEINIT(&gs_handle, ds3231_interface_iic_deinit); + DRIVER_DS3231_LINK_IIC_READ(&gs_handle, ds3231_interface_iic_read); + DRIVER_DS3231_LINK_IIC_WRITE(&gs_handle, ds3231_interface_iic_write); + DRIVER_DS3231_LINK_DELAY_MS(&gs_handle, ds3231_interface_delay_ms); + DRIVER_DS3231_LINK_DEBUG_PRINT(&gs_handle, ds3231_interface_debug_print); + DRIVER_DS3231_LINK_RECEIVE_CALLBACK(&gs_handle, ds3231_interface_receive_callback); + + /* init ds3231 */ + res = ds3231_init(&gs_handle); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: init failed.\n"); + + return 1; + } + + /* set oscillator */ + res = ds3231_set_oscillator(&gs_handle, DS3231_BOOL_TRUE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set oscillator failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* disable alarm1 */ + res = ds3231_set_alarm_interrupt(&gs_handle, DS3231_ALARM_1, DS3231_BOOL_FALSE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set alarm1 interrupt failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* disable alarm2 */ + res = ds3231_set_alarm_interrupt(&gs_handle, DS3231_ALARM_2, DS3231_BOOL_FALSE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set alarm2 interrupt failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* set square wave */ + res = ds3231_set_pin(&gs_handle, DS3231_PIN_SQUARE_WAVE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set pin failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* disable square wave */ + res = ds3231_set_square_wave(&gs_handle, DS3231_BOOL_FALSE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set square wave failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* disable 32khz output */ + res = ds3231_set_32khz_output(&gs_handle, DS3231_BOOL_FALSE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set 32khz output failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* convert to register */ + res = ds3231_aging_offset_convert_to_register(&gs_handle, DS3231_BASIC_DEFAULT_AGING_OFFSET, (int8_t *)®); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: convert to register failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* set aging offset */ + res = ds3231_set_aging_offset(&gs_handle, reg); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set aging offset failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + return 0; +} + +/** + * @brief basic example deinit + * @return status code + * - 0 success + * - 1 deinit failed + * @note none + */ +uint8_t ds3231_basic_deinit(void) +{ + if (ds3231_deinit(&gs_handle) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example set the time + * @param[in] *t points to a time structure + * @return status code + * - 0 success + * - 1 set time failed + * @note none + */ +uint8_t ds3231_basic_set_time(ds3231_time_t *t) +{ + /* set time */ + if (ds3231_set_time(&gs_handle, t) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example set the time by a unix timestamp + * @param[in] timestamp is a unix timestamp + * @return status code + * - 0 success + * - 1 set timestamp failed + * @note none + */ +uint8_t ds3231_basic_set_timestamp(time_t timestamp) +{ + ds3231_time_t t; + struct tm *timeptr; + + /* convert times */ + timestamp += (time_t)(gs_time_zone * 3600); + timeptr = localtime(×tamp); + t.am_pm = DS3231_AM; + t.date = (uint8_t)timeptr->tm_mday; + t.format = DS3231_FORMAT_24H; + t.hour = (uint8_t)timeptr->tm_hour; + t.minute = (uint8_t)timeptr->tm_min; + t.month = (uint8_t)timeptr->tm_mon + 1; + t.second = (uint8_t)timeptr->tm_sec; + if (timeptr->tm_wday == 0) + { + t.week = 7; + } + else + { + t.week = (uint8_t)timeptr->tm_wday; + } + t.year = (uint16_t)(timeptr->tm_year + 1900); + + /* set time */ + if (ds3231_set_time(&gs_handle, &t) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example set the local time zone + * @param[in] zone is the local time zone + * @return status code + * - 0 success + * @note none + */ +uint8_t ds3231_basic_set_timestamp_time_zone(int8_t zone) +{ + gs_time_zone = zone; + + return 0; +} + +/** + * @brief basic example get the time + * @param[out] *t points to a time structure + * @return status code + * - 0 success + * - 1 get time failed + * @note none + */ +uint8_t ds3231_basic_get_time(ds3231_time_t *t) +{ + /* get time */ + if (ds3231_get_time(&gs_handle, t) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example get the time in a unix timestamp + * @param[out] *timestamp points to a unix timestamp buffer + * @return status code + * - 0 success + * - 1 get timestamp failed + * @note none + */ +uint8_t ds3231_basic_get_timestamp(time_t *timestamp) +{ + ds3231_time_t t; + struct tm timeptr; + + /* get time */ + if (ds3231_get_time(&gs_handle, &t) != 0) + { + return 1; + } + timeptr.tm_year = t.year - 1900; + timeptr.tm_mon = t.month - 1; + timeptr.tm_wday = t.week; + timeptr.tm_mday = t.date; + if (t.format == DS3231_FORMAT_24H) + { + timeptr.tm_hour = t.hour; + } + else + { + timeptr.tm_hour = t.hour % 12 + t.am_pm * 12; + } + timeptr.tm_min = t.minute; + timeptr.tm_sec = t.second; + + /* make time */ + *timestamp = mktime(&timeptr) - gs_time_zone * 3600; + + return 0; +} + +/** + * @brief basic example get the local time zone + * @param[out] *zone points to a local time zone buffer + * @return status code + * - 0 success + * @note none + */ +uint8_t ds3231_basic_get_timestamp_time_zone(int8_t *zone) +{ + *zone = gs_time_zone; + + return 0; +} + +/** + * @brief basic example get the current temperature + * @param[out] *raw points to a raw temperature buffer + * @param[out] *s points to a converted temperature buffer + * @return status code + * - 0 success + * - 1 read temperature failed + * @note none + */ +uint8_t ds3231_basic_get_temperature(int16_t *raw, float *s) +{ + /* get temperature */ + if (ds3231_get_temperature(&gs_handle, raw, s) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example get the ascii time + * @param[out] *buf points to an ascii buffer + * @param[in] len is the data length + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +uint8_t ds3231_basic_get_ascii_time(char *buf, uint8_t len) +{ + ds3231_time_t t; + + /* get time */ + if (ds3231_get_time(&gs_handle, &t) != 0) + { + return 1; + } + + if (t.format == DS3231_FORMAT_24H) + { + (void)snprintf(buf, len, "%04d-%02d-%02d %02d:%02d:%02d %d.\n", t.year, t.month, t.date, t.hour, t.minute, t.second, t.week); + } + else + { + (void)snprintf(buf, len, "%04d-%02d-%02d %s %02d:%02d:%02d %d.\n", t.year, t.month, t.date, (t.am_pm == DS3231_AM) ? "AM" : "PM", + t.hour, t.minute, t.second, t.week + ); + } + + return 0; +} diff --git a/Project/Application/driver_ds3231_basic.h b/Project/Application/driver_ds3231_basic.h new file mode 100644 index 0000000..d781508 --- /dev/null +++ b/Project/Application/driver_ds3231_basic.h @@ -0,0 +1,166 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231_basic.h + * @brief driver ds3231 basic header file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

    history

    + * + *
    Date Version Author Description + *
    2021/03/15 2.0 Shifeng Li format the code + *
    2020/11/30 1.0 Shifeng Li first upload + *
    + */ + +#ifndef DRIVER_DS3231_BASIC_H +#define DRIVER_DS3231_BASIC_H + +#include "driver_ds3231_interface.h" +#include + +#ifdef __cplusplus +extern "C"{ +#endif + +/** + * @defgroup ds3231_example_driver ds3231 example driver function + * @brief ds3231 example driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief ds3231 basic example default definition + */ +#define DS3231_BASIC_DEFAULT_AGING_OFFSET 0 /**< 0 offset */ + +/** + * @brief basic example init + * @return status code + * - 0 success + * - 1 init failed + * @note none + */ +uint8_t ds3231_basic_init(void); + +/** + * @brief basic example deinit + * @return status code + * - 0 success + * - 1 deinit failed + * @note none + */ +uint8_t ds3231_basic_deinit(void); + +/** + * @brief basic example set the time + * @param[in] *t points to a time structure + * @return status code + * - 0 success + * - 1 set time failed + * @note none + */ +uint8_t ds3231_basic_set_time(ds3231_time_t *t); + +/** + * @brief basic example get the time + * @param[out] *t points to a time structure + * @return status code + * - 0 success + * - 1 get time failed + * @note none + */ +uint8_t ds3231_basic_get_time(ds3231_time_t *t); + +/** + * @brief basic example set the time by a unix timestamp + * @param[in] timestamp is a unix timestamp + * @return status code + * - 0 success + * - 1 set timestamp failed + * @note none + */ +uint8_t ds3231_basic_set_timestamp(time_t timestamp); + +/** + * @brief basic example get the time in a unix timestamp + * @param[out] *timestamp points to a unix timestamp buffer + * @return status code + * - 0 success + * - 1 get timestamp failed + * @note none + */ +uint8_t ds3231_basic_get_timestamp(time_t *timestamp); + +/** + * @brief basic example set the local time zone + * @param[in] zone is the local time zone + * @return status code + * - 0 success + * @note none + */ +uint8_t ds3231_basic_set_timestamp_time_zone(int8_t zone); + +/** + * @brief basic example get the local time zone + * @param[out] *zone points to a local time zone buffer + * @return status code + * - 0 success + * @note none + */ +uint8_t ds3231_basic_get_timestamp_time_zone(int8_t *zone); + +/** + * @brief basic example get the current temperature + * @param[out] *raw points to a raw temperature buffer + * @param[out] *s points to a converted temperature buffer + * @return status code + * - 0 success + * - 1 read temperature failed + * @note none + */ +uint8_t ds3231_basic_get_temperature(int16_t *raw, float *s); + +/** + * @brief basic example get the ascii time + * @param[out] *buf points to an ascii buffer + * @param[in] len is the data length + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +uint8_t ds3231_basic_get_ascii_time(char *buf, uint8_t len); + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/Project/Application/driver_ds3231_interface.h b/Project/Application/driver_ds3231_interface.h new file mode 100644 index 0000000..743e202 --- /dev/null +++ b/Project/Application/driver_ds3231_interface.h @@ -0,0 +1,127 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231_interface.h + * @brief driver ds3231 interface header file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

    history

    + * + *
    Date Version Author Description + *
    2021/03/15 2.0 Shifeng Li format the code + *
    2020/11/30 1.0 Shifeng Li first upload + *
    + */ + +#ifndef DRIVER_DS3231_INTERFACE_H +#define DRIVER_DS3231_INTERFACE_H + +#include "driver_ds3231.h" + +#ifdef __cplusplus +extern "C"{ +#endif + +/** + * @defgroup ds3231_interface_driver ds3231 interface driver function + * @brief ds3231 interface driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief interface iic bus init + * @return status code + * - 0 success + * - 1 iic init failed + * @note none + */ +uint8_t ds3231_interface_iic_init(void); + +/** + * @brief interface iic bus deinit + * @return status code + * - 0 success + * - 1 iic deinit failed + * @note none + */ +uint8_t ds3231_interface_iic_deinit(void); + +/** + * @brief interface iic bus read + * @param[in] addr is the iic device write address + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the length of the data buffer + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +uint8_t ds3231_interface_iic_read(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len); + +/** + * @brief interface iic bus write + * @param[in] addr is the iic device write address + * @param[in] reg is the iic register address + * @param[in] *buf points to a data buffer + * @param[in] len is the length of the data buffer + * @return status code + * - 0 success + * - 1 write failed + * @note none + */ +uint8_t ds3231_interface_iic_write(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len); + +/** + * @brief interface delay ms + * @param[in] ms + * @note none + */ +void ds3231_interface_delay_ms(uint32_t ms); + +/** + * @brief interface print format data + * @param[in] fmt is the format data + * @note none + */ +void ds3231_interface_debug_print(const char *const fmt, ...); + +/** + * @brief interface receive callback + * @param[in] type is the interrupt type + * @note none + */ +void ds3231_interface_receive_callback(uint8_t type); + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/Project/Application/driver_ds3231_interface_template.c b/Project/Application/driver_ds3231_interface_template.c new file mode 100644 index 0000000..efe8b29 --- /dev/null +++ b/Project/Application/driver_ds3231_interface_template.c @@ -0,0 +1,162 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231_interface_template.c + * @brief driver ds3231 interface template source file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

    history

    + * + *
    Date Version Author Description + *
    2021/03/15 2.0 Shifeng Li format the code + *
    2020/11/30 1.0 Shifeng Li first upload + *
    + */ + +#include "driver_ds3231_interface.h" +#include "gpio_i2c.h" +#include "stdarg.h" + +/** + * @brief interface iic bus init + * @return status code + * - 0 success + * - 1 iic init failed + * @note none + */ +uint8_t ds3231_interface_iic_init(void) +{ + return 0; +} + +/** + * @brief interface iic bus deinit + * @return status code + * - 0 success + * - 1 iic deinit failed + * @note none + */ +uint8_t ds3231_interface_iic_deinit(void) +{ + return 0; +} + +/** + * @brief interface iic bus read + * @param[in] addr is the iic device write address + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the length of the data buffer + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +uint8_t ds3231_interface_iic_read(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len) +{ + I2C2_Write(addr, ®, 1); + I2C2_Read(addr, buf, len); + return 0; +} + +/** + * @brief interface iic bus write + * @param[in] addr is the iic device write address + * @param[in] reg is the iic register address + * @param[in] *buf points to a data buffer + * @param[in] len is the length of the data buffer + * @return status code + * - 0 success + * - 1 write failed + * @note none + */ +uint8_t ds3231_interface_iic_write(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len) +{ + uint8_t WriteData[20]; + WriteData[0] = reg; + memcpy(&WriteData[1], buf, len); + len += 1; + I2C2_Write(addr, &WriteData[0], len); + return 0; +} + +/** + * @brief interface delay ms + * @param[in] ms + * @note none + */ +void ds3231_interface_delay_ms(uint32_t ms) +{ + Delay_ms(ms); +} + +/** + * @brief interface print format data + * @param[in] fmt is the format data + * @note none + */ +void ds3231_interface_debug_print(const char *const fmt, ...) +{ + char str[256]; + uint16_t len; + va_list args; + return; + + memset((char *)str, 0, sizeof(char) * 256); + va_start(args, fmt); + vsnprintf((char *)str, 255, (char const *)fmt, args); + va_end(args); + + len = strlen((char *)str); + //(void)Uart1_TransmitData((uint8_t *)str, len); +} + +/** + * @brief interface receive callback + * @param[in] type is the interrupt type + * @note none + */ +void ds3231_interface_receive_callback(uint8_t type) +{ + switch (type) + { + case DS3231_STATUS_ALARM_2 : + { + ds3231_interface_debug_print("ds3231: irq alarm2.\n"); + + break; + } + case DS3231_STATUS_ALARM_1 : + { + ds3231_interface_debug_print("ds3231: irq alarm1.\n"); + + break; + } + default : + { + break; + } + } +} diff --git a/Project/Application/eeprom.c b/Project/Application/eeprom.c new file mode 100644 index 0000000..76e37c3 --- /dev/null +++ b/Project/Application/eeprom.c @@ -0,0 +1,206 @@ +#include "eeprom.h" + + + + + +typedef union _eeprom_info +{ + struct + { + uint8_t isSave; + uint8_t Mode; + uint8_t Reserved[SECTOR_SIZE_BYTE - 3]; + uint8_t CheckSum; + }eeprom_data; + uint8_t eeprom_buffer[SECTOR_SIZE_BYTE]; +}EEPROM_INFO; + + +static EEPROM_INFO eeprom_info; + + + + +/*-------------------------------------------------------------------------*//** + * @brief FlashMem_Do_PageWt + * @param[in] tPageAddr + * @param[in] tBuf + * @return None + *//*-------------------------------------------------------------------------*/ +void FlashMem_Do_PageWt( uint32_t tPageAddr, uint32_t* tBuf ) +{ + uint32_t UserID_Buf; + + UserID_Buf = 0x4F17DC86; + HAL_FMC_PageWrite( UserID_Buf, tPageAddr, tBuf ); +} + +/*-------------------------------------------------------------------------*//** + * @brief FlashMem_Do_PageEr + * @param[in] tPageAddr + * @return None + *//*-------------------------------------------------------------------------*/ +void FlashMem_Do_PageEr( uint32_t tPageAddr ) +{ + uint32_t UserID_Buf; + + UserID_Buf = 0xA901358F; + HAL_FMC_PageErase( UserID_Buf, tPageAddr ); +} + +void FlashMEM_Clock_Initialization(void) +{ + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_FMCLKE, PPxCLKE_Enable ); + // init crc + HAL_CRC_Init(); +} + + + +bool EEPROM_Read_Mode(uint8_t* pMode) +{ + uint8_t i; + uint16_t checksum_init = 0x5AA5; + uint16_t checksum_result; + + __disable_irq(); + memcpy(&eeprom_info.eeprom_buffer[0], (uint32_t *)CONFIG_ADDRESS, SECTOR_SIZE_BYTE); + __enable_irq(); + + if(eeprom_info.eeprom_data.isSave != true) + { + return false; + } + + // CRC Block Calculation + CRC->INIT = checksum_init; + CRC->CR = 0 + | MODS_UserMode // Set User Mode + | CRC_RLTCLR // Initialize CRC_RLT Register With CRC_INIT + | CRC_16 // Polynomial Selection bit : CRC-16 + | CRC_NOINC // Address Not Auto Increment + ; + + CRCRun(); + for(i = 0 ; i < (SECTOR_SIZE_BYTE-1) ; i++) + { + CRC->IN = eeprom_info.eeprom_buffer[i]; + } + CRCStop(); + checksum_result = CRC->RLT; + + if((checksum_result & 0xFF) == eeprom_info.eeprom_data.CheckSum) + { + *pMode = eeprom_info.eeprom_data.Mode; + return true; + } + return false; +} + + +bool EEPROM_Write_Mode(uint8_t Mode) +{ + uint8_t i; + uint16_t checksum_init = 0x5AA5; + uint16_t checksum_result; + + if(eeprom_info.eeprom_data.isSave == true) + { + if(eeprom_info.eeprom_data.Mode == Mode) + return true; + } + + memset(&eeprom_info.eeprom_buffer[0], 0, SECTOR_SIZE_BYTE); + eeprom_info.eeprom_data.isSave = true; + eeprom_info.eeprom_data.Mode = Mode; + + // CRC Block Calculation + CRC->INIT = checksum_init; + CRC->CR = 0 + | MODS_UserMode // Set User Mode + | CRC_RLTCLR // Initialize CRC_RLT Register With CRC_INIT + | CRC_16 // Polynomial Selection bit : CRC-16 + | CRC_NOINC // Address Not Auto Increment + ; + + CRCRun(); + for(i = 0 ; i < (SECTOR_SIZE_BYTE-1) ; i++) + { + CRC->IN = eeprom_info.eeprom_buffer[i]; + } + CRCStop(); + checksum_result = CRC->RLT; + + + + eeprom_info.eeprom_data.CheckSum = checksum_result & 0xFF; + + + __disable_irq(); + FlashMem_Do_PageEr(CONFIG_ADDRESS); + FlashMem_Do_PageWt(CONFIG_ADDRESS, (uint32_t *)&eeprom_info.eeprom_buffer[0]); + __enable_irq(); + + return true; +} + + + +#if 0 + + +void EEPROM_Read_Setting_Data(void) +{ + #if 0 + __disable_irq(); + memcpy(&Save_control_Info, (uint32_t *)CONFIG_ADDRESS, SECTOR_SIZE_BYTE); + __enable_irq(); + + if(Save_control_Info.SaveFlag != EEPROM_SAVE_CHECK_FLAG_DATA) + { + Save_control_Info.SaveFlag = EEPROM_SAVE_CHECK_FLAG_DATA; // 1 + Save_control_Info.SaveActionMode = ACTION_MODE_ACTION_AUTO_1; // 2 + Save_control_Info.isPowerOnReservation = FALSE; // 3 + Save_control_Info.isPowerOnSaturDay = FALSE; // 4 + Save_control_Info.PowerOn_Hour = 0; // 5 + Save_control_Info.PowerOn_Min = 0; // 6 + + Save_control_Info.isPowerOffReservation = FALSE; // 7 + Save_control_Info.PowerOff_Hour = 0; // 8 + Save_control_Info.PowerOff_Min = 0; // 9 + Save_control_Info.FanActionTime_1 = 0; // 10 + Save_control_Info.FanActionTime_2 = 0; // 11 + } +#endif + +} + +void EEPROM_Write_Seting_Data(void) +{ +#if 0 + if(Control_Info.isEepromSave == TRUE) + { + Control_Info.isEepromSave = FALSE; + __disable_irq(); + FlashMem_Do_PageEr(CONFIG_ADDRESS); + FlashMem_Do_PageWt(CONFIG_ADDRESS, (uint32_t *)&Save_control_Info); + __enable_irq(); + } +#endif +} + + +#endif + + + + + + + + + + + diff --git a/Project/Application/eeprom.h b/Project/Application/eeprom.h new file mode 100644 index 0000000..e99ac8d --- /dev/null +++ b/Project/Application/eeprom.h @@ -0,0 +1,17 @@ +/** \file eeprom.h */ +#if !defined(EEPROM_H__702B16C6_4AC7_410F_BD32_D5794BFC24E8__INCLUDED_) +#define EEPROM_H__702B16C6_4AC7_410F_BD32_D5794BFC24E8__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +#define CONFIG_ADDRESS 0x1FFFF400 + + +void FlashMEM_Clock_Initialization(void); + +bool EEPROM_Read_Mode(uint8_t* pMode); +bool EEPROM_Write_Mode(uint8_t Mode); + + +#endif diff --git a/Project/Application/gpio_i2c.c b/Project/Application/gpio_i2c.c new file mode 100644 index 0000000..32dc8b6 --- /dev/null +++ b/Project/Application/gpio_i2c.c @@ -0,0 +1,436 @@ +#include "gpio_i2c.h" + + + +void Delay_I2C_Delay(uint32_t nDelay) +{ + uint32_t i; + for(i = 0 ; i < nDelay ; i++) + { + asm("NOP"); + } + +} + + +void GPIO_I2C0_Initialization(void) +{ + // configure I2C1 SDA as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C0_SDA_PORT, I2C0_SDA_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C0_SDA_PORT, I2C0_SDA_PIN, PUPDx_EnablePU ); + + // configure I2C1 SCL as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C0_SCL_PORT, I2C0_SCL_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C0_SCL_PORT, I2C0_SCL_PIN, PUPDx_EnablePU ); + + I2C0_SDA_HIGH; + I2C0_SCL_HIGH; +} + +void GPIO_I2C0_Start(void) +{ + I2C0_SDA_OUTPUT; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SDA_HIGH; + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SDA_LOW; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_LOW; + Delay_I2C_Delay(I2C0_DELAY); +} + +void GPIO_I2C0_Stop(void) +{ + I2C0_SDA_LOW; + I2C0_SDA_OUTPUT; + I2C0_SDA_LOW; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SDA_HIGH; +} + +uint8_t GPIO_I2C0_Write(uint8_t data) +{ + uint8_t Ret; + + unsigned char i; + I2C0_SDA_OUTPUT; + + for(i = 0 ; i < 8 ; i++) + { + if((data & 0x80)==0x80) + { + I2C0_SDA_HIGH; + } + else + { + I2C0_SDA_LOW; + } + data<<=1; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_LOW; + Delay_I2C_Delay(I2C0_DELAY); + } + I2C0_SDA_INPUTS; + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + Ret = I2C0_SDA_READ; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_LOW; + + return Ret; +} + +uint8_t GPIO_I2C0_Read(uint8_t rs) +{ + uint8_t i; + uint8_t Ret = 0x00; + + I2C0_SDA_INPUTS; + + for(i = 0 ; i < 8 ; i++) + { + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + Ret <<= 1; + if(I2C0_SDA_READ != 0) + { + Ret |= 0x01; + } + I2C0_SCL_LOW; + Delay_I2C_Delay(I2C0_DELAY); + } + + I2C0_SDA_OUTPUT; + + if(rs == I2C_ACK) + { + I2C0_SDA_LOW; + } + else + { + I2C0_SDA_HIGH; + } + + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_LOW; + Delay_I2C_Delay(I2C0_DELAY); + return Ret; +} + + +uint8_t I2C0_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize) +{ + uint16_t i; + GPIO_I2C0_Start(); + GPIO_I2C0_Write(Address << 1); + for(i = 0 ; i < WriteDataSize ; i++) + { + GPIO_I2C0_Write(pWriteData[i]); + } + GPIO_I2C0_Stop(); + return 0; +} + +uint8_t I2C0_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize) +{ + uint16_t i; + GPIO_I2C0_Start(); + GPIO_I2C0_Write((Address << 1) | 1); + for(i = 0 ; i < ReadDataSize-1 ; i++) + { + pReadData[i] = GPIO_I2C0_Read(I2C_ACK); + } + pReadData[i] = GPIO_I2C0_Read(I2C_NACK); + GPIO_I2C0_Stop(); + return 0; +} + + +///////////////////////////////////////////////////////////////////////////// + + +void GPIO_I2C1_Initialization(void) +{ + // configure I2C1 SDA as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C1_SDA_PORT, I2C1_SDA_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C1_SDA_PORT, I2C1_SDA_PIN, PUPDx_EnablePU ); + + // configure I2C1 SCL as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C1_SCL_PORT, I2C1_SCL_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C1_SCL_PORT, I2C1_SCL_PIN, PUPDx_EnablePU ); + + I2C1_SDA_HIGH; + I2C1_SCL_HIGH; +} + +void GPIO_I2C1_Start(void) +{ + I2C1_SDA_OUTPUT; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SDA_HIGH; + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SDA_LOW; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_LOW; + Delay_I2C_Delay(I2C1_DELAY); +} + +void GPIO_I2C1_Stop(void) +{ + I2C1_SDA_LOW; + I2C1_SDA_OUTPUT; + I2C1_SDA_LOW; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SDA_HIGH; +} + +uint8_t GPIO_I2C1_Write(uint8_t data) +{ + uint8_t Ret; + + unsigned char i; + I2C1_SDA_OUTPUT; + + for(i = 0 ; i < 8 ; i++) + { + if((data & 0x80)==0x80) + { + I2C1_SDA_HIGH; + } + else + { + I2C1_SDA_LOW; + } + data<<=1; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_LOW; + Delay_I2C_Delay(I2C1_DELAY); + } + I2C1_SDA_INPUTS; + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + Ret = I2C1_SDA_READ; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_LOW; + + return Ret; +} + +uint8_t GPIO_I2C1_Read(uint8_t rs) +{ + uint8_t i; + uint8_t Ret = 0x00; + + I2C1_SDA_INPUTS; + + for(i = 0 ; i < 8 ; i++) + { + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + Ret <<= 1; + if(I2C1_SDA_READ != 0) + { + Ret |= 0x01; + } + I2C1_SCL_LOW; + Delay_I2C_Delay(I2C1_DELAY); + } + + I2C1_SDA_OUTPUT; + + if(rs == I2C_ACK) + { + I2C1_SDA_LOW; + } + else + { + I2C1_SDA_HIGH; + } + + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_LOW; + Delay_I2C_Delay(I2C1_DELAY); + return Ret; +} + + +uint8_t I2C1_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize) +{ + uint16_t i; + GPIO_I2C1_Start(); + GPIO_I2C1_Write(Address << 1); + for(i = 0 ; i < WriteDataSize ; i++) + { + GPIO_I2C1_Write(pWriteData[i]); + } + GPIO_I2C1_Stop(); + return 0; +} + +uint8_t I2C1_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize) +{ + uint16_t i; + GPIO_I2C1_Start(); + GPIO_I2C1_Write((Address << 1) | 1); + for(i = 0 ; i < ReadDataSize-1 ; i++) + { + pReadData[i] = GPIO_I2C1_Read(I2C_ACK); + } + pReadData[i] = GPIO_I2C1_Read(I2C_NACK); + GPIO_I2C1_Stop(); + return 0; +} + + +///////////////////////////////////////////////////////////////////////////// + + +void GPIO_I2C2_Initialization(void) +{ + // configure I2C1 SDA as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C2_SDA_PORT, I2C2_SDA_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C2_SDA_PORT, I2C2_SDA_PIN, PUPDx_EnablePU ); + + // configure I2C2 SCL as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C2_SCL_PORT, I2C2_SCL_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C2_SCL_PORT, I2C2_SCL_PIN, PUPDx_EnablePU ); + + I2C2_SDA_HIGH; + I2C2_SCL_HIGH; +} + +void GPIO_I2C2_Start(void) +{ + I2C2_SDA_OUTPUT; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SDA_HIGH; + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SDA_LOW; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_LOW; + Delay_I2C_Delay(I2C2_DELAY); +} + +void GPIO_I2C2_Stop(void) +{ + I2C2_SDA_LOW; + I2C2_SDA_OUTPUT; + I2C2_SDA_LOW; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SDA_HIGH; +} + +uint8_t GPIO_I2C2_Write(uint8_t data) +{ + uint8_t Ret; + + unsigned char i; + I2C2_SDA_OUTPUT; + + for(i = 0 ; i < 8 ; i++) + { + if((data & 0x80)==0x80) + { + I2C2_SDA_HIGH; + } + else + { + I2C2_SDA_LOW; + } + data<<=1; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_LOW; + Delay_I2C_Delay(I2C2_DELAY); + } + I2C2_SDA_INPUTS; + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + Ret = I2C2_SDA_READ; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_LOW; + + return Ret; +} + +uint8_t GPIO_I2C2_Read(uint8_t rs) +{ + uint8_t i; + uint8_t Ret = 0x00; + + I2C2_SDA_INPUTS; + + for(i = 0 ; i < 8 ; i++) + { + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + Ret <<= 1; + if(I2C2_SDA_READ != 0) + { + Ret |= 0x01; + } + I2C2_SCL_LOW; + Delay_I2C_Delay(I2C2_DELAY); + } + + I2C2_SDA_OUTPUT; + + if(rs == I2C_ACK) + { + I2C2_SDA_LOW; + } + else + { + I2C2_SDA_HIGH; + } + + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_LOW; + Delay_I2C_Delay(I2C2_DELAY); + return Ret; +} + + +uint8_t I2C2_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize) +{ + uint16_t i; + GPIO_I2C2_Start(); + GPIO_I2C2_Write(Address << 1); + for(i = 0 ; i < WriteDataSize ; i++) + { + GPIO_I2C2_Write(pWriteData[i]); + } + GPIO_I2C2_Stop(); + return 0; +} + +uint8_t I2C2_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize) +{ + uint16_t i; + GPIO_I2C2_Start(); + GPIO_I2C2_Write((Address << 1) | 1); + for(i = 0 ; i < ReadDataSize-1 ; i++) + { + pReadData[i] = GPIO_I2C2_Read(I2C_ACK); + } + pReadData[i] = GPIO_I2C2_Read(I2C_NACK); + GPIO_I2C2_Stop(); + return 0; +} diff --git a/Project/Application/gpio_i2c.h b/Project/Application/gpio_i2c.h new file mode 100644 index 0000000..c8ae165 --- /dev/null +++ b/Project/Application/gpio_i2c.h @@ -0,0 +1,134 @@ +/** \file gpio_i2c.h */ +#if !defined(GPIO_I2C_H__817813DF_FB6D_4121_912A_DD8A262A2476__INCLUDED_) +#define GPIO_I2C_H__817813DF_FB6D_4121_912A_DD8A262A2476__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define I2C_ACK 0 +#define I2C_NACK 1 + +#define I2C0_SDA_PORT PD +#define I2C0_SCL_PORT PD + +#define I2C0_SDA_PIN 1 +#define I2C0_SCL_PIN 0 +#define I2C0_DELAY 30 + + +#define I2C0_SCL_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C0_SCL_PORT,_BIT(I2C0_SCL_PIN)) +#define I2C0_SCL_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C0_SCL_PORT,_BIT(I2C0_SCL_PIN)) + +#define I2C0_SDA_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C0_SDA_PORT,_BIT(I2C0_SDA_PIN)) +#define I2C0_SDA_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C0_SDA_PORT,_BIT(I2C0_SDA_PIN)) + +#define I2C0_SDA_OUTPUT HAL_GPIO_ConfigOutput((Pn_Type*)I2C0_SDA_PORT, I2C0_SDA_PIN, PUSH_PULL_OUTPUT) +#define I2C0_SDA_INPUTS HAL_GPIO_ConfigOutput((Pn_Type*)I2C0_SDA_PORT, I2C0_SDA_PIN, INPUT) + +#define I2C0_ACK_READ TESTBIT(I2C0_SDA_PORT->INDR, I2C0_SDA_PIN) +#define I2C0_SDA_READ TESTBIT(I2C0_SDA_PORT->INDR, I2C0_SDA_PIN) + + + + + + +#define I2C1_SDA_PORT PF +#define I2C1_SCL_PORT PF + +#define I2C1_SDA_PIN 1 +#define I2C1_SCL_PIN 0 +#define I2C1_DELAY 30 + + +#define I2C1_SCL_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C1_SCL_PORT,_BIT(I2C1_SCL_PIN)) +#define I2C1_SCL_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C1_SCL_PORT,_BIT(I2C1_SCL_PIN)) + +#define I2C1_SDA_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C1_SDA_PORT,_BIT(I2C1_SDA_PIN)) +#define I2C1_SDA_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C1_SDA_PORT,_BIT(I2C1_SDA_PIN)) + +#define I2C1_SDA_OUTPUT HAL_GPIO_ConfigOutput((Pn_Type*)I2C1_SDA_PORT, I2C1_SDA_PIN, PUSH_PULL_OUTPUT) +#define I2C1_SDA_INPUTS HAL_GPIO_ConfigOutput((Pn_Type*)I2C1_SDA_PORT, I2C1_SDA_PIN, INPUT) + +#define I2C1_ACK_READ TESTBIT(I2C1_SDA_PORT->INDR, I2C1_SDA_PIN) +#define I2C1_SDA_READ TESTBIT(I2C1_SDA_PORT->INDR, I2C1_SDA_PIN) + + + +#define I2C2_SDA_PORT PC +#define I2C2_SCL_PORT PC + +#define I2C2_SDA_PIN 5 +#define I2C2_SCL_PIN 6 +#define I2C2_DELAY 30 + + +#define I2C2_SCL_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C2_SCL_PORT,_BIT(I2C2_SCL_PIN)) +#define I2C2_SCL_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C2_SCL_PORT,_BIT(I2C2_SCL_PIN)) + +#define I2C2_SDA_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C2_SDA_PORT,_BIT(I2C2_SDA_PIN)) +#define I2C2_SDA_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C2_SDA_PORT,_BIT(I2C2_SDA_PIN)) + +#define I2C2_SDA_OUTPUT HAL_GPIO_ConfigOutput((Pn_Type*)I2C2_SDA_PORT, I2C2_SDA_PIN, PUSH_PULL_OUTPUT) +#define I2C2_SDA_INPUTS HAL_GPIO_ConfigOutput((Pn_Type*)I2C2_SDA_PORT, I2C2_SDA_PIN, INPUT) + +#define I2C2_ACK_READ TESTBIT(I2C2_SDA_PORT->INDR, I2C2_SDA_PIN) +#define I2C2_SDA_READ TESTBIT(I2C2_SDA_PORT->INDR, I2C2_SDA_PIN) + + + + + + + + + + + + + + +void GPIO_I2C0_Initialization(void); +void GPIO_I2C0_Start(void); +void GPIO_I2C0_Stop(void); +uint8_t GPIO_I2C0_Write(uint8_t data); +uint8_t GPIO_I2C0_Read(uint8_t rs); +uint8_t I2C0_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize); +uint8_t I2C0_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize); + + + +void GPIO_I2C1_Initialization(void); +void GPIO_I2C1_Start(void); +void GPIO_I2C1_Stop(void); +uint8_t GPIO_I2C1_Write(uint8_t data); +uint8_t GPIO_I2C1_Read(uint8_t rs); +uint8_t I2C1_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize); +uint8_t I2C1_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize); + + + + +void GPIO_I2C2_Initialization(void); +void GPIO_I2C2_Start(void); +void GPIO_I2C2_Stop(void); +uint8_t GPIO_I2C2_Write(uint8_t data); +uint8_t GPIO_I2C2_Read(uint8_t rs); +uint8_t I2C2_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize); +uint8_t I2C2_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize); + + + + + + + + + + + + + + +#endif diff --git a/Project/Application/gpio_sensor.c b/Project/Application/gpio_sensor.c new file mode 100644 index 0000000..88f55e6 --- /dev/null +++ b/Project/Application/gpio_sensor.c @@ -0,0 +1,10 @@ +#include "gpio_sensor.h" + + +void Gpio_Sensor_PWR_Initialization(void) +{ + HAL_GPIO_ConfigOutput(GPIO_SENSOR_PWR_PORT, GPIO_SENSOR_PWR_PIN_NUM, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(GPIO_SENSOR_PWR_PORT, GPIO_SENSOR_PWR_PIN_NUM, PUPDx_EnablePU); + GPIO_SENSOR_PWR_OFF; +} + diff --git a/Project/Application/gpio_sensor.h b/Project/Application/gpio_sensor.h new file mode 100644 index 0000000..36e5974 --- /dev/null +++ b/Project/Application/gpio_sensor.h @@ -0,0 +1,18 @@ +/** \file gpio_sensor.h */ +#if !defined(GPIO_SENSOR_H__8553E44F_09AC_401C_9FD1_67C4131DD471__INCLUDED_) +#define GPIO_SENSOR_H__8553E44F_09AC_401C_9FD1_67C4131DD471__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +#define GPIO_SENSOR_PWR_PORT (Pn_Type*)PD +#define GPIO_SENSOR_PWR_PIN_NUM 6 +#define GPIO_SENSOR_PWR_ON HAL_GPIO_SetPin(GPIO_SENSOR_PWR_PORT, _BIT(GPIO_SENSOR_PWR_PIN_NUM)) +#define GPIO_SENSOR_PWR_OFF HAL_GPIO_ClearPin(GPIO_SENSOR_PWR_PORT, _BIT(GPIO_SENSOR_PWR_PIN_NUM)) +#define GPIO_SENSOR_PWR_T HAL_GPIO_TogglePin(GPIO_SENSOR_PWR_PORT, _BIT(GPIO_SENSOR_PWR_PIN_NUM)) + +void Gpio_Sensor_PWR_Initialization(void); + + + +#endif diff --git a/Project/Application/gpio_state_led.c b/Project/Application/gpio_state_led.c new file mode 100644 index 0000000..402c553 --- /dev/null +++ b/Project/Application/gpio_state_led.c @@ -0,0 +1,83 @@ +#include "gpio_state_led.h" +#include "sw_timer.h" + + +static void State_Led_Output_Process(void); + + +static STATE_LED_MODE StateLedMode = STATE_LED_MODE_UNKNOW; +static STATE_LED_STEP StateLedStep = STATE_LED_STEP_INIT; +static STATE_LED_ONOFF_TIME StateLedTime; +static uint32_t StateLedCheckTime; +static STATE_LED_ONOFF_TIME StateLedOnOffTime[STATE_LED_MODE_MAX] = +{ + {100, 900}, //STATE_LED_MODE_OFF, + {500, 500}, //STATE_LED_MODE_1, + {1000, 1000}, //STATE_LED_MODE_2, + {1500, 1500}, //STATE_LED_MODE_3, + {2000, 2000}, //STATE_LED_MODE_4, + {2500, 2500}, //STATE_LED_MODE_5, +}; + + + + +void Gpio_StateLed_Initialization(void) +{ + HAL_GPIO_ConfigOutput(GPIO_STATE_LED_PORT, GPIO_STATE_LED_PIN_NUM, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(GPIO_STATE_LED_PORT, GPIO_STATE_LED_PIN_NUM, PUPDx_EnablePU); + Gpio_StateLed_Set_Mode(STATE_LED_MODE_OFF); + + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1, State_Led_Output_Process); +} + + +void Gpio_StateLed_Set_Mode(STATE_LED_MODE mode) +{ + if(StateLedMode == mode) + return; + StateLedMode = mode; + StateLedStep = STATE_LED_STEP_INIT; + StateLedTime = StateLedOnOffTime[mode]; +} + +STATE_LED_MODE Gpio_StateLed_Get_Mode(void) +{ + return StateLedMode; +} + + +static void State_Led_Output_Process(void) +{ + switch(StateLedStep) + { + case STATE_LED_STEP_INIT: + StateLedCheckTime = millis(); + StateLedStep = STATE_LED_STEP_ON_TIME; + break; + case STATE_LED_STEP_ON_TIME: + if((millis() - StateLedCheckTime) <= StateLedTime.onTime) + { + GPIO_STATE_LED_ON; + } + else + { + StateLedCheckTime = millis(); + StateLedStep = STATE_LED_STEP_OFF_TIME; + } + break; + case STATE_LED_STEP_OFF_TIME: + if((millis() - StateLedCheckTime) <= StateLedTime.OffTime) + { + GPIO_STATE_LED_OFF; + } + else + { + StateLedCheckTime = millis(); + StateLedStep = STATE_LED_STEP_ON_TIME; + } + break; + } +} + + diff --git a/Project/Application/gpio_state_led.h b/Project/Application/gpio_state_led.h new file mode 100644 index 0000000..b1df84e --- /dev/null +++ b/Project/Application/gpio_state_led.h @@ -0,0 +1,45 @@ +/** \file gpio_state_led.h */ +#if !defined(GPIO_STATE_LED_H__E29D0861_1041_4928_8D5B_AAD6D1FAD6EB__INCLUDED_) +#define GPIO_STATE_LED_H__E29D0861_1041_4928_8D5B_AAD6D1FAD6EB__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +#define GPIO_STATE_LED_PORT (Pn_Type*)PF +#define GPIO_STATE_LED_PIN_NUM 4 +#define GPIO_STATE_LED_ON HAL_GPIO_ClearPin(GPIO_STATE_LED_PORT, _BIT(GPIO_STATE_LED_PIN_NUM)) +#define GPIO_STATE_LED_OFF HAL_GPIO_SetPin(GPIO_STATE_LED_PORT, _BIT(GPIO_STATE_LED_PIN_NUM)) +#define GPIO_STATE_LED_T HAL_GPIO_TogglePin(GPIO_STATE_LED_PORT, _BIT(GPIO_STATE_LED_PIN_NUM)) + + +typedef enum _state_led_mode +{ + STATE_LED_MODE_OFF, + STATE_LED_MODE_1, + STATE_LED_MODE_2, + STATE_LED_MODE_3, + STATE_LED_MODE_4, + STATE_LED_MODE_5, + STATE_LED_MODE_MAX, + STATE_LED_MODE_UNKNOW, +}STATE_LED_MODE; + +typedef enum _state_led_step +{ + STATE_LED_STEP_INIT, + STATE_LED_STEP_ON_TIME, + STATE_LED_STEP_OFF_TIME, +}STATE_LED_STEP; + + +typedef struct _state_led_onoff_time +{ + uint32_t onTime; + uint32_t OffTime; +}STATE_LED_ONOFF_TIME; + +void Gpio_StateLed_Initialization(void); +void Gpio_StateLed_Set_Mode(STATE_LED_MODE mode); +STATE_LED_MODE Gpio_StateLed_Get_Mode(void); + +#endif diff --git a/Project/Application/gpio_switch.c b/Project/Application/gpio_switch.c new file mode 100644 index 0000000..49f225e --- /dev/null +++ b/Project/Application/gpio_switch.c @@ -0,0 +1,127 @@ +#include "gpio_switch.h" +#include "sw_timer.h" +#include "gpio_state_led.h" + + + +#if 1 +#define GPIO_PUSH_SW1_PORT (Pn_Type*)PB +#define GPIO_PUSH_SW1_PIN_NUM 8 +#else +#define GPIO_PUSH_SW1_PORT (Pn_Type*)PF +#define GPIO_PUSH_SW1_PIN_NUM 6 +#endif + +typedef struct +{ + Pn_Type* Port; + uint8_t Pin; + uint32_t nPushCount; + uint32_t nLongPushCount; + + GPIO_SW_CALLBACK_FN Push_Callback; + GPIO_SW_CALLBACK_FN LongPush_Callback; + GPIO_SW_CALLBACK_FN Release_Callback; + + ////////////////////////////////////////////////////////// + bool isPush; + bool isLongKeyPush; + uint32_t nCheckCount; +}KEY_CHECK; + +static KEY_CHECK KeyCheckInfo[KEY_PUSH_SW_MAX] = +{ + {GPIO_PUSH_SW1_PORT, GPIO_PUSH_SW1_PIN_NUM, DEFAULT_KEY_PUSH_COUNT, DEFAULT_LONGKEY_PUSH_COUNT, NULL, NULL, NULL, false, false, 0}, +}; + + +static void Gpio_Switch_Check_Process(void); + + +/////////////////////////////////////////////////////////////////////////////////////////////////////////// + + +void Gpio_Switch_Port_Initialization(void) +{ + HAL_GPIO_ConfigOutput((Pn_Type*)GPIO_PUSH_SW1_PORT, GPIO_PUSH_SW1_PIN_NUM, INPUT); + HAL_GPIO_ConfigPullup((Pn_Type*)GPIO_PUSH_SW1_PORT, GPIO_PUSH_SW1_PIN_NUM, PUPDx_EnablePU); + HAL_GPIO_SetDebouncePin((Pn_Type*)GPIO_PUSH_SW1_PORT, GPIO_PUSH_SW1_PIN_NUM, DBCLK_HCLK64); + + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1, Gpio_Switch_Check_Process); + +} + +void Gpio_Swtich_Set_PushCount(KEY_LIST key, uint32_t Push_Count, uint32_t LongPush_Count) +{ + if(key < KEY_PUSH_SW_MAX) + { + KeyCheckInfo[key].nPushCount = Push_Count; + KeyCheckInfo[key].nLongPushCount = LongPush_Count; + } +} + +void Gpio_Swtich_Set_Callback(KEY_LIST key, GPIO_SW_CALLBACK_FN Push_Callback, GPIO_SW_CALLBACK_FN LongPush_Callback, GPIO_SW_CALLBACK_FN Release_Callback) +{ + if(key < KEY_PUSH_SW_MAX) + { + KeyCheckInfo[key].Push_Callback = Push_Callback; + KeyCheckInfo[key].LongPush_Callback = LongPush_Callback; + KeyCheckInfo[key].Release_Callback = Release_Callback; + } +} + + + +/////////////////////////////////////////////////////////////////////////////////////////////////////////// +static void Gpio_Switch_Check_Process(void) +{ + uint8_t i; + for(i = 0 ; i < KEY_PUSH_SW_MAX ; i++) + { + if(TESTBIT(HAL_GPIO_ReadPin(KeyCheckInfo[i].Port), KeyCheckInfo[i].Pin) == 0) + { + + if(KeyCheckInfo[i].isPush != true) + { + KeyCheckInfo[i].isPush = true; + KeyCheckInfo[i].isLongKeyPush = false; + KeyCheckInfo[i].nCheckCount = 0; + } + else + { + KeyCheckInfo[i].nCheckCount++; + if((KeyCheckInfo[i].nCheckCount >= KeyCheckInfo[i].nLongPushCount) && (KeyCheckInfo[i].isLongKeyPush == false)) + { + KeyCheckInfo[i].isLongKeyPush = true; + if(KeyCheckInfo[i].LongPush_Callback != NULL) + { + KeyCheckInfo[i].LongPush_Callback(); + } + } + } + } + else + { + if(KeyCheckInfo[i].isLongKeyPush == false) + { + if(KeyCheckInfo[i].nCheckCount >= KeyCheckInfo[i].nPushCount) + { + if(KeyCheckInfo[i].Push_Callback != NULL) + { + KeyCheckInfo[i].Push_Callback(); + } + } + } + + if(KeyCheckInfo[i].Release_Callback != NULL) + { + KeyCheckInfo[i].Release_Callback(); + } + KeyCheckInfo[i].isPush = false; + KeyCheckInfo[i].isLongKeyPush = false; + KeyCheckInfo[i].nCheckCount = 0; + } + } +} + + diff --git a/Project/Application/gpio_switch.h b/Project/Application/gpio_switch.h new file mode 100644 index 0000000..c61fdb2 --- /dev/null +++ b/Project/Application/gpio_switch.h @@ -0,0 +1,25 @@ +/** \file gpio_switch.h */ +#if !defined(GPIO_SWITCH_H__7A08A6AB_4529_4D95_A81A_08ECA85DC521__INCLUDED_) +#define GPIO_SWITCH_H__7A08A6AB_4529_4D95_A81A_08ECA85DC521__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +typedef enum +{ + KEY_PUSH_SW1, + KEY_PUSH_SW_MAX +}KEY_LIST; + +typedef void (*GPIO_SW_CALLBACK_FN) (void); + + + +void Gpio_Switch_Port_Initialization(void); +void Gpio_Swtich_Set_PushCount(KEY_LIST key, uint32_t Push_Count, uint32_t LongPush_Count); +void Gpio_Swtich_Set_Callback(KEY_LIST key, GPIO_SW_CALLBACK_FN Push_Callback, GPIO_SW_CALLBACK_FN LongPush_Callback, GPIO_SW_CALLBACK_FN Release_Callback); + + + + +#endif diff --git a/Project/Application/main.c b/Project/Application/main.c new file mode 100644 index 0000000..3fe76e1 --- /dev/null +++ b/Project/Application/main.c @@ -0,0 +1,74 @@ +#include "main.h" +#include "sw_timer.h" +#include "gpio_state_led.h" +#include "gpio_switch.h" +#include "uart1.h" +#include "spi10.h" +#include "spi13.h" +#include "timer12.h" +#include "segment_74hc595d.h" +#include "segment.h" +#include "save_file.h" +#include "driver_ds3231_basic.h" +#include "gpio_i2c.h" +#include "rtc_process.h" +#include "action_process.h" +#include "eeprom.h" +#include "buzzer.h" +#include "uart_packet.h" + +void timer_test(void) +{ + + + //Buzzer_On(50); + //Segment_In_Set_Humidity(12); + +} + + + +int main(void) +{ + System_Clock_Initialization(); + Systick_Initialization(1); + FlashMEM_Clock_Initialization(); + + Timer12_Initialization(); + + Uart1_Initialization(115200, UARTn_DATA_BIT_8, UARTn_PARITY_BIT_NONE, UARTn_STOP_BIT_1); + SPI10_Initialization(1000000, SPI10_MODE0, false); + + + + + Gpio_StateLed_Initialization(); + Gpio_Switch_Port_Initialization(); + Gpio_Sensor_PWR_Initialization(); + GPIO_I2C0_Initialization(); + GPIO_I2C1_Initialization(); + GPIO_I2C2_Initialization(); + Segment_Initialization(); + + __enable_irq(); + + + RTC_Process_Initialization(); + Buzzer_Initialization(); + Action_Initialization(); + Uart_Packet_Initialization(); + + + + + + //Sensor_Save_SDCard_Process(); + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 500, timer_test); + //Timer12_Set_Match_Interrupt_Callback(timer_test); + + while(true) + { + SW_Timer_Callback_Process(); + } +} + diff --git a/Project/Application/main.h b/Project/Application/main.h new file mode 100644 index 0000000..1588817 --- /dev/null +++ b/Project/Application/main.h @@ -0,0 +1,9 @@ +/** \file main.h */ +#if !defined(MAIN_H__5D109DF7_736B_42BD_A8C5_FB39935994BC__INCLUDED_) +#define MAIN_H__5D109DF7_736B_42BD_A8C5_FB39935994BC__INCLUDED_ + +#include "define.h" + + + +#endif diff --git a/Project/Application/ring_buffer.c b/Project/Application/ring_buffer.c new file mode 100644 index 0000000..844b591 --- /dev/null +++ b/Project/Application/ring_buffer.c @@ -0,0 +1,114 @@ +#include "ring_buffer.h" + +// 큐 초기화 +bool RingBuffer_Initialization(RING_BUFFER* pRingbuffer, bool isOverWrite, uint32_t buffer_size, uint8_t* pBuffer) +{ + if(buffer_size == 0 || pRingbuffer == NULL || pBuffer == NULL) + return false; + pRingbuffer->pBuffer = pBuffer; + pRingbuffer->BufferSize = buffer_size; + pRingbuffer->isOverWrite = isOverWrite; + pRingbuffer->front = -1; + pRingbuffer->rear = -1; + return true; +} + +bool RingBuffer_Clear(RING_BUFFER* pRingbuffer) +{ + if(pRingbuffer == NULL || pRingbuffer->BufferSize == 0 || pRingbuffer->pBuffer == NULL) + return false; + + pRingbuffer->front = -1; + pRingbuffer->rear = -1; + return true; +} + +bool RingBuffer_isEmpty(RING_BUFFER* pRingbuffer) +{ + return (pRingbuffer->front == -1); +} + +bool RingBuffer_isFull(RING_BUFFER* pRingbuffer) +{ + return ((pRingbuffer->front == 0 && pRingbuffer->rear == (pRingbuffer->BufferSize - 1)) || (pRingbuffer->front == (pRingbuffer->rear + 1))); +} +// 큐에 요소를 추가 (enqueue) +bool RingBuffer_Enqueue(RING_BUFFER* pRingbuffer, uint8_t value) +{ + uint8_t temp; + if (RingBuffer_isFull(pRingbuffer)) + { + if(pRingbuffer->isOverWrite == false) + return false; + else + RingBuffer_Dequeue(pRingbuffer, &temp); + } + + if (pRingbuffer->front == -1) + { + pRingbuffer->front = 0; + } + pRingbuffer->rear = (pRingbuffer->rear + 1) % pRingbuffer->BufferSize; + pRingbuffer->pBuffer[pRingbuffer->rear] = value; + return true; + +} + +// 큐에서 요소를 제거하고 반환 (dequeue) +bool RingBuffer_Dequeue(RING_BUFFER* pRingbuffer, uint8_t* pRetValue) +{ + if (RingBuffer_isEmpty(pRingbuffer)) + { + return false; + } + else + { + *pRetValue = pRingbuffer->pBuffer[pRingbuffer->front]; + if (pRingbuffer->front == pRingbuffer->rear) + { + pRingbuffer->front = -1; + pRingbuffer->rear = -1; + } + else + { + pRingbuffer->front = (pRingbuffer->front + 1) % pRingbuffer->BufferSize; + } + return true; + } +} + +bool RingBuffer_GetData(RING_BUFFER* pRingbuffer, uint8_t* pRetValue) +{ + *pRetValue = pRingbuffer->pBuffer[pRingbuffer->front]; + return true; +} + +bool RingBuffer_PopData(RING_BUFFER* pRingbuffer) +{ + if (pRingbuffer->front == pRingbuffer->rear) + { + pRingbuffer->front = -1; + pRingbuffer->rear = -1; + } + else + { + pRingbuffer->front = (pRingbuffer->front + 1) % pRingbuffer->BufferSize; + } + return true; +} + +uint32_t RingBuffer_Get_DataSize(RING_BUFFER* pRingbuffer) +{ + if (RingBuffer_isEmpty(pRingbuffer)) + { + return 0; + } + else if (pRingbuffer->front <= pRingbuffer->rear) + { + return (pRingbuffer->rear - pRingbuffer->front + 1); + } + else + { + return (pRingbuffer->BufferSize - pRingbuffer->front + pRingbuffer->rear + 1); + } +} diff --git a/Project/Application/ring_buffer.h b/Project/Application/ring_buffer.h new file mode 100644 index 0000000..b3652cb --- /dev/null +++ b/Project/Application/ring_buffer.h @@ -0,0 +1,28 @@ +/** \file ring_buffer.h */ +#if !defined(RING_BUFFER_H__002F3B3E_9C40_4947_A8EE_139D5ADFF045__INCLUDED_) +#define RING_BUFFER_H__002F3B3E_9C40_4947_A8EE_139D5ADFF045__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +typedef struct ring_buffer +{ + uint8_t* pBuffer; + uint32_t BufferSize; + bool isOverWrite; + int32_t front, rear; +}RING_BUFFER; + +bool RingBuffer_Initialization(RING_BUFFER* pRingbuffer, bool isOverWrite, uint32_t buffer_size, uint8_t* pBuffer); +bool RingBuffer_Clear(RING_BUFFER* pRingbuffer); +bool RingBuffer_isEmpty(RING_BUFFER* pRingbuffer); +bool RingBuffer_isFull(RING_BUFFER* pRingbuffer); +bool RingBuffer_Enqueue(RING_BUFFER* pRingbuffer, uint8_t value) ; +bool RingBuffer_Dequeue(RING_BUFFER* pRingbuffer, uint8_t* pRetValue); +bool RingBuffer_GetData(RING_BUFFER* pRingbuffer, uint8_t* pRetValue); +bool RingBuffer_PopData(RING_BUFFER* pRingbuffer); +uint32_t RingBuffer_Get_DataSize(RING_BUFFER* pRingbuffer); + + +#endif diff --git a/Project/Application/rtc_process.c b/Project/Application/rtc_process.c new file mode 100644 index 0000000..4d6a275 --- /dev/null +++ b/Project/Application/rtc_process.c @@ -0,0 +1,44 @@ +#include "rtc_process.h" +#include "sw_timer.h" +#include "driver_ds3231_basic.h" +#include "save_file.h" + + +static RTC_TIME rtc_Time; + +static void RTC_Get_IC_Time_Process(void); + + +void RTC_Process_Initialization(void) +{ + ds3231_basic_init(); + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1000, RTC_Get_IC_Time_Process); +} + + +static void RTC_Get_IC_Time_Process(void) +{ + ds3231_time_t t; + ds3231_basic_get_time(&t); + + rtc_Time.rtc_Year = t.year; + rtc_Time.rtc_Month = t.month; + rtc_Time.rtc_Date = t.date; + if(t.format == DS3231_FORMAT_24H) + rtc_Time.rtc_Hour = t.hour; + else + rtc_Time.rtc_Hour = t.hour % 12 + t.am_pm * 12; + rtc_Time.rtc_Min = t.minute; + rtc_Time.rtc_Sec = t.second; + + + +} + + +RTC_TIME RTC_Get_Time(void) +{ + return rtc_Time; +} + + diff --git a/Project/Application/rtc_process.h b/Project/Application/rtc_process.h new file mode 100644 index 0000000..1089315 --- /dev/null +++ b/Project/Application/rtc_process.h @@ -0,0 +1,21 @@ +/** \file rtc_process.h */ +#if !defined(RTC_PROCESS_H__D0EA5D23_A0C1_480D_9C2A_A1F6D5C1D9CC__INCLUDED_) +#define RTC_PROCESS_H__D0EA5D23_A0C1_480D_9C2A_A1F6D5C1D9CC__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +typedef struct _rtc_time +{ + uint16_t rtc_Year; + uint8_t rtc_Month; + uint8_t rtc_Date; + uint8_t rtc_Hour; + uint8_t rtc_Min; + uint8_t rtc_Sec; +}RTC_TIME; + +void RTC_Process_Initialization(void); +RTC_TIME RTC_Get_Time(void); + +#endif diff --git a/Project/Application/save_file.c b/Project/Application/save_file.c new file mode 100644 index 0000000..3f0f6e1 --- /dev/null +++ b/Project/Application/save_file.c @@ -0,0 +1,190 @@ +#include "save_file.h" +#include "fatfs.h" +#include "spi10.h" +#include "rtc_process.h" + +#include "action_process.h" + + + + +typedef enum +{ + SAVE_STEP_INIT, + SAVE_STEP_POWER_ON_WAIT, + SAVE_STEP_SAVE_TIME_CHECK, + SAVE_STEP_SAVE_MOUNT, + SAVE_STEP_SAVE_FILE_OPEN, + SAVE_STEP_SAVE_FILE_LSEEK, + SAVE_STEP_SAVE_FILE_WRITE, + SAVE_STEP_SAVE_FILE_CLOSE, + SAVE_STEP_SAVE_ERROR, +}SAVE_STEP; + + +static SAVE_STEP SaveStep = SAVE_STEP_INIT; +static uint32_t SaveFileTickCount; +static uint8_t SaveMin; +static FATFS FatFs; //Fatfs handle +static FIL fil; //File handle +static FRESULT fres; //Result after operations +uint8_t FileBuffer[512]; + + + +uint32_t WriteDataSize; + +static bool isGuidePrint; +static bool isPowerOn; + + +bool Save_SensorData_SDCard(void) +{ +#if 0 + RTC_TIME rtc_time; + SENSOR_SAVE_DATA SaveSensorData; + uint32_t writeByte; + rtc_time = RTC_Get_Time(); + + MX_FATFS_Init(); + fres = f_mount(&FatFs, "", 1); //1=mount now + if(fres == FR_DISK_ERR) + { + FATFS_UnLinkDriver("0:/"); + MX_FATFS_Init(); + fres = f_mount(&FatFs, "", 1); //1=mount now + } + + if(fres != FR_OK) + { + return false; + } + + + sprintf((char *)FileBuffer, "%d_%d_%d.csv", rtc_time.rtc_Year, rtc_time.rtc_Month, rtc_time.rtc_Date); + if(f_open(&fil, FileBuffer, FA_WRITE | FA_READ) != FR_OK) + { + if (f_open(&fil, FileBuffer, FA_CREATE_ALWAYS | FA_WRITE) != FR_OK) + { + return false; + } + else + { + isGuidePrint = true; + } + } + else + { + isGuidePrint = false; + } + + + + fres = f_lseek(&fil, f_size(&fil)); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + if(isGuidePrint == true) + { + sprintf((char *)FileBuffer, "Time,In_PM10.0,In_PM4.0,In_PM2.5,In_PM1.0,In_Humidity,In_Temp,In_Voc,Out_PM10.0,Out_PM4.0,Out_PM2.5,Out_PM1.0,Out_Humidity,Out_Temp,Out_Voc\r\n"); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + } + + while(Sensor_RingBuffer_Get_DataSize(&Sensor_RingBuffer_Info) != 0) + { + if(Sensor_RingBuffer_Dequeue(&Sensor_RingBuffer_Info, &SaveSensorData) == true) + { + sprintf((char *)FileBuffer, "%d:%d:%d,", SaveSensorData.rtc_time.rtc_Hour, SaveSensorData.rtc_time.rtc_Min, SaveSensorData.rtc_time.rtc_Sec); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + + if(SaveSensorData.dust_sensor_In_info.isSensorMount == true) + { + sprintf((char *)FileBuffer, "%d,%d,%d,%d,%d,%d,%d,", SaveSensorData.dust_sensor_In_info.Dust_PM10p0, + SaveSensorData.dust_sensor_In_info.Dust_PM4p0, + SaveSensorData.dust_sensor_In_info.Dust_PM2p5, + SaveSensorData.dust_sensor_In_info.Dust_PM1p0, + SaveSensorData.dust_sensor_In_info.Dust_Humidity, + SaveSensorData.dust_sensor_In_info.Dust_Temperature, + SaveSensorData.dust_sensor_In_info.Dust_VOC_Index); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + + #if 0 + printf("In, + #endif + } + else + { + sprintf((char *)FileBuffer, "-,-,-,-,-,-,-,"); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + } + + if(SaveSensorData.dust_sensor_Out_info.isSensorMount == true) + { + sprintf((char *)FileBuffer, "%d,%d,%d,%d,%d,%d,%d\r\n", SaveSensorData.dust_sensor_Out_info.Dust_PM10p0, + SaveSensorData.dust_sensor_Out_info.Dust_PM4p0, + SaveSensorData.dust_sensor_Out_info.Dust_PM2p5, + SaveSensorData.dust_sensor_Out_info.Dust_PM1p0, + SaveSensorData.dust_sensor_Out_info.Dust_Humidity, + SaveSensorData.dust_sensor_Out_info.Dust_Temperature, + SaveSensorData.dust_sensor_Out_info.Dust_VOC_Index); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + } + else + { + sprintf((char *)FileBuffer, "-,-,-,-,-,-,-\r\n"); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + } + + } + } + + + + + + + + + + f_close(&fil); +#endif + return true; + +} + + + + + diff --git a/Project/Application/save_file.h b/Project/Application/save_file.h new file mode 100644 index 0000000..bb67749 --- /dev/null +++ b/Project/Application/save_file.h @@ -0,0 +1,10 @@ +/** \file save_file.h */ +#if !defined(SAVE_FILE_H__13EABF11_DA2C_4D03_AFD4_9CD0FD384825__INCLUDED_) +#define SAVE_FILE_H__13EABF11_DA2C_4D03_AFD4_9CD0FD384825__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +bool Save_SensorData_SDCard(void); + +#endif diff --git a/Project/Application/segment.c b/Project/Application/segment.c new file mode 100644 index 0000000..dcb36a2 --- /dev/null +++ b/Project/Application/segment.c @@ -0,0 +1,583 @@ +#include "segment.h" +#include "segment_74hc595d.h" + +const uint8_t SegmentData[SEG_INDEX_MAX] = +{ + 0x3F, //SEGMENT_0 + 0x06, //SEGMENT_1 + 0x5B, //SEGMENT_2 + 0x4F, //SEGMENT_3 + 0x66, //SEGMENT_4 + 0x6D, //SEGMENT_5 + 0x7D, //SEGMENT_6 + 0x07, //SEGMENT_7 + 0x7F, //SEGMENT_8 + 0x67, //SEGMENT_9 + 0x00, //SEGMENT_CLEAR + 0x5F, //SEGMENT_A, + 0x7C, //SEGMENT_B, + 0x58, //SEGMENT_C, + 0x5E, //SEGMENT_D, + 0x79, //SEGMENT_E, + 0x71, //SEGMENT_F, + 0x3D, //SEGMENT_G, + 0x74, //SEGMENT_H, + 0x11, //SEGMENT_I, + 0x0D, //SEGMENT_J, + 0x75, //SEGMENT_K, + 0x38, //SEGMENT_L, + 0x55, //SEGMENT_M, + 0x54, //SEGMENT_N, + 0x5C, //SEGMENT_O, + 0x73, //SEGMENT_P, + 0x67, //SEGMENT_Q, + 0x50, //SEGMENT_R, + 0x2D, //SEGMENT_S, + 0x78, //SEGMENT_T, + 0x1C, //SEGMENT_U, + 0x2A, //SEGMENT_V, + 0x6A, //SEGMENT_W, + 0x14, //SEGMENT_X, + 0x6E, //SEGMENT_Y, + 0x1B, //SEGMENT_Z, + 0x40, //SEGMENT_MINUS +}; + + + + + +uint8_t Segment_OutputBuff[SEGMENT_SELECT_MAX_INDEX][SEGMENT_MAX_DATA_INDEX]; + + +void Segment_In_Set_PM_1p0(uint32_t OutputData) +{ + Segment_Toggle_In = false; + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } +} + +void Segment_In_Set_PM_2p5(uint32_t OutputData) +{ + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } +} + +void Segment_In_Set_PM_4p0(uint32_t OutputData) +{ + Segment_Toggle_In = true; + if(OutputData >= 9999) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[OutputData/1000]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } +} + +void Segment_In_Set_PM_10(uint32_t OutputData) +{ + + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } +} + +void Segment_In_Set_Humidity(uint32_t OutputData) +{ + if(OutputData >= 99) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + } + else if(OutputData <= 9) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_1] = SegmentData[OutputData%10]; + } + else + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_1] = SegmentData[OutputData / 10]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_1] = SegmentData[OutputData % 10]; + } +} + +void Segment_In_Set_Temperature(int32_t OutputData) +{ + if(OutputData >= 99) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData <= -10) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= -9 && OutputData <= -1) + { + int32_t temp = OutputData * -1; + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[temp%10]; + } + else if(OutputData <= 9 && OutputData >= 0) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[OutputData%10]; + } + else + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[OutputData / 10]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[OutputData % 10]; + } +} + +void Segment_Out_Set_PM_1p0(uint32_t OutputData) +{ + Segment_Toggle_Out = false; + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } +} + +void Segment_Out_Set_PM_2p5(uint32_t OutputData) +{ + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } +} + +void Segment_Out_Set_PM_4p0(uint32_t OutputData) +{ + Segment_Toggle_Out = true; + if(OutputData >= 9999) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[OutputData/1000]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } +} + +void Segment_Out_Set_PM_10(uint32_t OutputData) +{ + + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } +} + +void Segment_Out_Set_Humidity(uint32_t OutputData) +{ + if(OutputData >= 99) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + } + else if(OutputData <= 9) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_3] = SegmentData[OutputData%10]; + } + else + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_3] = SegmentData[OutputData / 10]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_3] = SegmentData[OutputData % 10]; + } +} + +void Segment_Out_Set_Temperature(int32_t OutputData) +{ + if(OutputData >= 99) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData <= -10) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= -9 && OutputData <= -1) + { + int32_t temp = OutputData * -1; + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[temp%10]; + } + else if(OutputData <= 9 && OutputData >= 0) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[OutputData%10]; + } + else + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[OutputData / 10]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[OutputData % 10]; + } +} + + +void Segment_All_Set_Data(uint8_t SegmentData) +{ + uint8_t i; + for(i = SEGMENT_F1C1 ; i < SEGMENT_SELECT_MAX_INDEX ; i++) + { + Segment_OutputBuff[i][SEGMENT_DATA_1] = SegmentData; + Segment_OutputBuff[i][SEGMENT_DATA_2] = SegmentData; + Segment_OutputBuff[i][SEGMENT_DATA_3] = SegmentData; + Segment_OutputBuff[i][SEGMENT_DATA_4] = SegmentData; + } + +} + + +void Segment_Show_Version(void) +{ + uint8_t i; + uint16_t temp; + for(i = SEGMENT_F1C1 ; i < SEGMENT_SELECT_MAX_INDEX ; i++) + { + Segment_OutputBuff[i][SEGMENT_DATA_1] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_2] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_3] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_4] = 0x00; + } + + //Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_V]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_E]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[SEG_R]; + + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[VERSION_MAJOR] | 0x80; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[VERSION_MINOR] | 0x80; + temp = VERSION_PATCH; + if(temp >= 99) + { + temp = 99; + } + + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[temp/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[temp%10]; +} + + + +void Segment_Show_Mode(uint8_t Mode) +{ + uint8_t i; + uint16_t temp; + for(i = SEGMENT_F1C1 ; i < SEGMENT_SELECT_MAX_INDEX ; i++) + { + Segment_OutputBuff[i][SEGMENT_DATA_1] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_2] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_3] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_4] = 0x00; + } + + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_M]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_O]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_D]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[SEG_E]; + + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[Mode]; + +} + +void Segment_In_Sensor_Error(void) +{ + Segment_Toggle_In = false; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; +} + + +void Segment_Out_Sensor_Error(void) +{ + Segment_Toggle_Out = false; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; +} diff --git a/Project/Application/segment.h b/Project/Application/segment.h new file mode 100644 index 0000000..edbd59f --- /dev/null +++ b/Project/Application/segment.h @@ -0,0 +1,97 @@ +/** \file segment.h */ +#if !defined(SEGMENT_H__CECA83FE_5707_4492_A247_CC6270C87910__INCLUDED_) +#define SEGMENT_H__CECA83FE_5707_4492_A247_CC6270C87910__INCLUDED_ + +#include "board_config.h" + +typedef enum +{ + SEG_0, + SEG_1, + SEG_2, + SEG_3, + SEG_4, + SEG_5, + SEG_6, + SEG_7, + SEG_8, + SEG_9, + SEG_CLEAR, + SEG_A, + SEG_B, + SEG_C, + SEG_D, + SEG_E, + SEG_F, + SEG_G, + SEG_H, + SEG_I, + SEG_J, + SEG_K, + SEG_L, + SEG_M, + SEG_N, + SEG_O, + SEG_P, + SEG_Q, + SEG_R, + SEG_S, + SEG_T, + SEG_U, + SEG_V, + SEG_W, + SEG_X, + SEG_Y, + SEG_Z, + SEG_MINUS, + SEG_INDEX_MAX, +}SEGMENT_INDEX; + +typedef enum +{ + SEGMENT_F1C1, + SEGMENT_F1C2, + SEGMENT_F2C1, + SEGMENT_F2C2, + SEGMENT_F3C1, + SEGMENT_F3C2, + SEGMENT_F4C1, + SEGMENT_F4C2, + SEGMENT_SELECT_MAX_INDEX, +}SEGMENT_SELECT_INDEX; + +typedef enum +{ + SEGMENT_DATA_1, + SEGMENT_DATA_2, + SEGMENT_DATA_3, + SEGMENT_DATA_4, + SEGMENT_MAX_DATA_INDEX, +}SEGMENT_DATA_INDEX; + + +extern uint8_t Segment_OutputBuff[SEGMENT_SELECT_MAX_INDEX][SEGMENT_MAX_DATA_INDEX]; +extern const uint8_t SegmentData[SEG_INDEX_MAX]; + + +void Segment_In_Set_PM_1p0(uint32_t OutputData); +void Segment_In_Set_PM_2p5(uint32_t OutputData); +void Segment_In_Set_PM_4p0(uint32_t OutputData); +void Segment_In_Set_PM_10(uint32_t OutputData); +void Segment_In_Set_Humidity(uint32_t OutputData); +void Segment_In_Set_Temperature(int32_t OutputData); +void Segment_In_Sensor_Error(void); + +void Segment_Out_Set_PM_1p0(uint32_t OutputData); +void Segment_Out_Set_PM_2p5(uint32_t OutputData); +void Segment_Out_Set_PM_4p0(uint32_t OutputData); +void Segment_Out_Set_PM_10(uint32_t OutputData); +void Segment_Out_Set_Humidity(uint32_t OutputData); +void Segment_Out_Set_Temperature(int32_t OutputData); +void Segment_Out_Sensor_Error(void); + +void Segment_All_Set_Data(uint8_t SegmentData); +void Segment_Show_Version(void); +void Segment_Show_Mode(uint8_t Mode); + +#endif diff --git a/Project/Application/segment_74hc595d.c b/Project/Application/segment_74hc595d.c new file mode 100644 index 0000000..51624bc --- /dev/null +++ b/Project/Application/segment_74hc595d.c @@ -0,0 +1,220 @@ +#include "segment_74hc595d.h" +#include "segment.h" +#include "timer12.h" +#include "spi13.h" +#include "systick_timer.h" + + +typedef enum +{ + LSB_FIRST, + MSB_FIRST, +}LSB_MSB_MODE; + + + +#define SEGMENT_74HC595D_SDATA_PORT (Pn_Type*)PE +#define SEGMENT_74HC595D_SDATA_PIN 8 +#define SEGMENT_74HC595D_SDATA_HIGH HAL_GPIO_SetPin(SEGMENT_74HC595D_SDATA_PORT,_BIT(SEGMENT_74HC595D_SDATA_PIN)) +#define SEGMENT_74HC595D_SDATA_LOW HAL_GPIO_ClearPin(SEGMENT_74HC595D_SDATA_PORT,_BIT(SEGMENT_74HC595D_SDATA_PIN)) + +#define SEGMENT_74HC595D_SCK_PORT (Pn_Type*)PE +#define SEGMENT_74HC595D_SCK_PIN 9 +#define SEGMENT_74HC595D_SCK_HIGH HAL_GPIO_SetPin(SEGMENT_74HC595D_SCK_PORT,_BIT(SEGMENT_74HC595D_SCK_PIN)) +#define SEGMENT_74HC595D_SCK_LOW HAL_GPIO_ClearPin(SEGMENT_74HC595D_SCK_PORT,_BIT(SEGMENT_74HC595D_SCK_PIN)) + +#define SEGMENT_74HC595D_LATCH_PORT (Pn_Type*)PE +#define SEGMENT_74HC595D_LATCH_PIN_NUM 10 +#define SEGMENT_74HC595D_LATCH_H HAL_GPIO_SetPin(SEGMENT_74HC595D_LATCH_PORT, _BIT(SEGMENT_74HC595D_LATCH_PIN_NUM)) +#define SEGMENT_74HC595D_LATCH_L HAL_GPIO_ClearPin(SEGMENT_74HC595D_LATCH_PORT, _BIT(SEGMENT_74HC595D_LATCH_PIN_NUM)) +#define SEGMENT_74HC595D_LATCH_T HAL_GPIO_TogglePin(SEGMENT_74HC595D_LATCH_PORT, _BIT(SEGMENT_74HC595D_LATCH_PIN_NUM)) + + +typedef union +{ + struct + { + uint8_t HC595_4; + uint8_t HC595_3; + + uint8_t HC595_5_F1C1 : 1; + uint8_t HC595_5_F1C2 : 1; + uint8_t HC595_5_F2C1 : 1; + uint8_t HC595_5_F2C2 : 1; + uint8_t HC595_5_F3C1 : 1; + uint8_t HC595_5_F3C2 : 1; + uint8_t HC595_5_F4C1 : 1; + uint8_t HC595_5_F4C2 : 1; + + uint8_t HC595_2; + uint8_t HC595_1; + }HC595_Data; + uint8_t HC595_TxBuff[SEGMENT_74HC595D_IC_TOTAL_NUM]; +}HC595_OUTPUT_DATA; + + + +static SEGMENT_OUTPUT_STEP SegmentOutputStep; +static HC595_OUTPUT_DATA HC595_OutputData; +static LSB_MSB_MODE HC595_OutputMode = MSB_FIRST; +static uint32_t ToggleTickCount; +static bool isToggle = false; + +uint8_t Segment_Buff[SEGMENT_OUTPUT_MAX][SEGMENT_74HC595D_IC_DATA_NUM]; +bool Segment_Toggle_In; +bool Segment_Toggle_Out; + + +static void Segemet_Output_Process(void); +static void Segment_Output_Data(uint8_t* pTxData, uint8_t TxSize); + +void Segment_Initialization(void) +{ + HAL_GPIO_ConfigOutput(SEGMENT_74HC595D_SDATA_PORT, SEGMENT_74HC595D_SDATA_PIN, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(SEGMENT_74HC595D_SDATA_PORT, SEGMENT_74HC595D_SDATA_PIN, PUPDx_EnablePU); + + HAL_GPIO_ConfigOutput(SEGMENT_74HC595D_SCK_PORT, SEGMENT_74HC595D_SCK_PIN, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(SEGMENT_74HC595D_SCK_PORT, SEGMENT_74HC595D_SCK_PIN, PUPDx_EnablePU); + + HAL_GPIO_ConfigOutput(SEGMENT_74HC595D_LATCH_PORT, SEGMENT_74HC595D_LATCH_PIN_NUM, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(SEGMENT_74HC595D_LATCH_PORT, SEGMENT_74HC595D_LATCH_PIN_NUM, PUPDx_EnablePU); + + + SEGMENT_74HC595D_LATCH_H; + SEGMENT_74HC595D_SCK_LOW; + SEGMENT_74HC595D_SDATA_HIGH; + + //Segmet_Output_Process(); + ToggleTickCount = millis(); + isToggle = false; + Timer12_Set_Match_Interrupt_Callback(Segemet_Output_Process); +} + +static void Segemet_Output_Process(void) +{ + static uint8_t temp = 0; + + memset(HC595_OutputData.HC595_TxBuff, 0, SEGMENT_74HC595D_IC_TOTAL_NUM); + + if((millis() - ToggleTickCount) >= SEGMENT_TOGGLE_TIME) + { + ToggleTickCount = millis(); + isToggle = !isToggle; + } + + + switch(SegmentOutputStep) + { + case SEGMENT_OUTPUT_F1C1: + HC595_OutputData.HC595_Data.HC595_5_F1C1 = true; + break; + case SEGMENT_OUTPUT_F1C2: + HC595_OutputData.HC595_Data.HC595_5_F1C2 = true; + break; + case SEGMENT_OUTPUT_F2C1: + HC595_OutputData.HC595_Data.HC595_5_F2C1 = true; + break; + case SEGMENT_OUTPUT_F2C2: + HC595_OutputData.HC595_Data.HC595_5_F2C2 = true; + break; + case SEGMENT_OUTPUT_F3C1: + HC595_OutputData.HC595_Data.HC595_5_F3C1 = true; + break; + case SEGMENT_OUTPUT_F3C2: + HC595_OutputData.HC595_Data.HC595_5_F3C2 = true; + break; + case SEGMENT_OUTPUT_F4C1: + HC595_OutputData.HC595_Data.HC595_5_F4C1 = true; + break; + case SEGMENT_OUTPUT_F4C2: + HC595_OutputData.HC595_Data.HC595_5_F4C2 = true; + break; + } + + HC595_OutputData.HC595_Data.HC595_1 = Segment_OutputBuff[SegmentOutputStep][0]; + HC595_OutputData.HC595_Data.HC595_2 = Segment_OutputBuff[SegmentOutputStep][1]; + HC595_OutputData.HC595_Data.HC595_3 = Segment_OutputBuff[SegmentOutputStep][2]; + HC595_OutputData.HC595_Data.HC595_4 = Segment_OutputBuff[SegmentOutputStep][3]; + + if(Segment_Toggle_In == true && isToggle == true) + { + if(SegmentOutputStep == DUST_SENSOR_PM40_SELECT_1 || SegmentOutputStep == DUST_SENSOR_PM40_SELECT_2) + { + HC595_OutputData.HC595_Data.HC595_1 = 0x00; + HC595_OutputData.HC595_Data.HC595_2 = 0x00; + } + } + + if(Segment_Toggle_Out == true && isToggle == true) + { + if(SegmentOutputStep == DUST_SENSOR_PM40_SELECT_1 || SegmentOutputStep == DUST_SENSOR_PM40_SELECT_2) + { + HC595_OutputData.HC595_Data.HC595_3 = 0x00; + HC595_OutputData.HC595_Data.HC595_4 = 0x00; + } + } + + SEGMENT_74HC595D_LATCH_L; + Segment_Output_Data(HC595_OutputData.HC595_TxBuff, SEGMENT_74HC595D_IC_TOTAL_NUM); + SEGMENT_74HC595D_LATCH_H; + + SegmentOutputStep++; + if(SegmentOutputStep >= SEGMENT_OUTPUT_MAX) + { + SegmentOutputStep = SEGMENT_OUTPUT_F1C1; + } +} + + +static void Segment_Output_Data(uint8_t* pTxData, uint8_t TxSize) +{ + uint8_t Temp; + uint8_t i, j; + + if(HC595_OutputMode == LSB_FIRST) + { + for(i = 0 ; i < TxSize ; i++) + { + Temp = pTxData[i]; + for(j = 0 ; j < 8 ; j++) + { + if((Temp & 0x01)) + { + SEGMENT_74HC595D_SDATA_HIGH; + } + else + { + SEGMENT_74HC595D_SDATA_LOW; + } + + SEGMENT_74HC595D_SCK_HIGH; + SEGMENT_74HC595D_SCK_LOW; + Temp = Temp >> 1; + } + } + } + else + { + for(i = 0 ; i < TxSize ; i++) + { + Temp = pTxData[i]; + for(j = 0 ; j < 8 ; j++) + { + if((Temp & 0x80)) + { + SEGMENT_74HC595D_SDATA_HIGH; + } + else + { + SEGMENT_74HC595D_SDATA_LOW; + } + + SEGMENT_74HC595D_SCK_HIGH; + SEGMENT_74HC595D_SCK_LOW; + Temp = Temp << 1; + } + } + } + SEGMENT_74HC595D_SDATA_HIGH; +} + diff --git a/Project/Application/segment_74hc595d.h b/Project/Application/segment_74hc595d.h new file mode 100644 index 0000000..959be90 --- /dev/null +++ b/Project/Application/segment_74hc595d.h @@ -0,0 +1,46 @@ +/** \file segment_74hc595d.h */ +#if !defined(SEGMENT_74HC595D_H__80B128A2_DCE6_4A8C_812C_0CCA0173ECA2__INCLUDED_) +#define SEGMENT_74HC595D_H__80B128A2_DCE6_4A8C_812C_0CCA0173ECA2__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define SEGMENT_74HC595D_IC_DATA_NUM 4 +#define SEGMENT_74HC595D_IC_SELECT_NUM 1 +#define SEGMENT_74HC595D_IC_TOTAL_NUM (SEGMENT_74HC595D_IC_DATA_NUM + SEGMENT_74HC595D_IC_SELECT_NUM) + + + + + + + + + + + + + + +typedef enum +{ + SEGMENT_OUTPUT_F1C1, + SEGMENT_OUTPUT_F1C2, + SEGMENT_OUTPUT_F2C1, + SEGMENT_OUTPUT_F2C2, + SEGMENT_OUTPUT_F3C1, + SEGMENT_OUTPUT_F3C2, + SEGMENT_OUTPUT_F4C1, + SEGMENT_OUTPUT_F4C2, + SEGMENT_OUTPUT_MAX, +}SEGMENT_OUTPUT_STEP; + + + +void Segment_Initialization(void); + +extern uint8_t Segment_Buff[SEGMENT_OUTPUT_MAX][SEGMENT_74HC595D_IC_DATA_NUM]; +extern bool Segment_Toggle_In; +extern bool Segment_Toggle_Out; +#endif diff --git a/Project/Application/spi10.c b/Project/Application/spi10.c new file mode 100644 index 0000000..bd0a934 --- /dev/null +++ b/Project/Application/spi10.c @@ -0,0 +1,114 @@ +#include "spi10.h" + + +void SPI10_Initialization(uint32_t speed, SPI10_MODE mode, bool lsbFirst) +{ + USART1n_CFG_Type USART1n_Config; + /* + * Initialize USART10 + */ + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 0, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 0, AFSRx_AF2 ); + + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 1, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 1, AFSRx_AF2 ); + + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 2, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 2, AFSRx_AF2 ); + + + + + HAL_GPIO_ConfigOutput((Pn_Type*)SPI10_CS_PORT, SPI10_CS_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)SPI10_CS_PORT, SPI10_CS_PIN, PUPDx_EnablePU ); + + SPI10_CS_HIGH; + + + + // default: 38400-8-N-1 + HAL_USART_SPI_Mode_Config( &USART1n_Config ); + + USART1n_Config.Mode = USART1n_SPI_MODE; + USART1n_Config.Baudrate = speed; + USART1n_Config.Databits = USART1n_DATA_BIT_8; + USART1n_Config.Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config.Stopbits = USART1n_STOP_BIT_1; + + if(lsbFirst == true) + USART1n_Config.Order = USART1n_SPI_LSB; + else + USART1n_Config.Order = USART1n_SPI_MSB; + + switch(mode) + { + case SPI10_MODE0: + USART1n_Config.ACK = USART1n_SPI_TX_RISING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; + break; + case SPI10_MODE1: + USART1n_Config.ACK = USART1n_SPI_TX_RISING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SETUP; + break; + case SPI10_MODE2: + USART1n_Config.ACK = USART1n_SPI_TX_FALLING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; + break; + case SPI10_MODE3: + USART1n_Config.ACK = USART1n_SPI_TX_FALLING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SETUP; + break; + } + + HAL_USART_Init( ( USART1n_Type* )USART10, &USART1n_Config ); + + // SPI Master + HAL_USART_DataControlConfig( ( USART1n_Type* )USART10, USART1n_CONTROL_MASTER, ENABLE ); + + // ENABLE + HAL_USART_Enable( ( USART1n_Type* )USART10, ENABLE ); +} + + + + +void SPI10_Transmit(uint8_t* pTxData, uint32_t Tx_Len) +{ + + uint32_t i; + + for(i = 0 ; i < Tx_Len ; i++) + { + USART10->DR = pTxData[i]; + while((USART10->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART10->ST & 0x20)==0x00){} // receive complete flag wait + uint8_t temp = USART10->DR; + } +} + +void SPI10_SendRecv(uint8_t* pTxByte, uint8_t* pRxByte, uint32_t TRx_Len) +{ + uint32_t i; + + for(i = 0 ; i < TRx_Len ; i++) + { + USART10->DR = pTxByte[i]; + while((USART10->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART10->ST & 0x20)==0x00){} // receive complete flag wait + pRxByte[i] = USART10->DR; + } +} + +uint8_t SPI10_SendReceiveByte(uint8_t SendByte) +{ + uint8_t recvByte; + + USART10->DR = SendByte; + while((USART10->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART10->ST & 0x20)==0x00){} // receive complete flag wait + + recvByte = USART10->DR; + + return recvByte; +} + diff --git a/Project/Application/spi10.h b/Project/Application/spi10.h new file mode 100644 index 0000000..730e13d --- /dev/null +++ b/Project/Application/spi10.h @@ -0,0 +1,35 @@ +/** \file spi11.h */ +#if !defined(SPI10_H__30436C8B_DA45_4E91_95E0_C40C4C083867__INCLUDED_) +#define SPI10_H__30436C8B_DA45_4E91_95E0_C40C4C083867__INCLUDED_ + + +#include "define.h" +#include "board_config.h" + + +typedef enum +{ + SPI10_MODE0, + SPI10_MODE1, + SPI10_MODE2, + SPI10_MODE3, +}SPI10_MODE; + + + +#define SPI10_CS_PORT PA +#define SPI10_CS_PIN 7 +#define SPI10_CS_LOW HAL_GPIO_ClearPin((Pn_Type*)SPI10_CS_PORT, _BIT(SPI10_CS_PIN)) +#define SPI10_CS_HIGH HAL_GPIO_SetPin((Pn_Type*)SPI10_CS_PORT, _BIT(SPI10_CS_PIN)) + + + +void SPI10_Initialization(uint32_t speed, SPI10_MODE mode, bool lsbFirst); +void SPI10_Transmit(uint8_t* pTxData, uint32_t Tx_Len); +void SPI10_SendRecv(uint8_t* pTxByte, uint8_t* pRxByte, uint32_t TRx_Len); +uint8_t SPI10_SendReceiveByte(uint8_t SendByte); + + + + +#endif diff --git a/Project/Application/spi13.c b/Project/Application/spi13.c new file mode 100644 index 0000000..3dbdec0 --- /dev/null +++ b/Project/Application/spi13.c @@ -0,0 +1,107 @@ +#include "spi13.h" + + +void SPI13_Initialization(uint32_t speed, SPI13_MODE mode, bool lsbFirst) +{ + USART1n_CFG_Type USART1n_Config; + /* + * Initialize USART13 + */ + // MOSI + HAL_GPIO_ConfigOutput( ( Pn_Type* )PE, 8, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PE, 8, AFSRx_AF2 ); + + // MISO + //HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 1, ALTERN_FUNC ); + //HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 1, AFSRx_AF2 ); + + // SCK + HAL_GPIO_ConfigOutput( ( Pn_Type* )PE, 10, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PE, 10, AFSRx_AF2 ); + + // default: 38400-8-N-1 + HAL_USART_SPI_Mode_Config( &USART1n_Config ); + + USART1n_Config.Mode = USART1n_SPI_MODE; + USART1n_Config.Baudrate = speed; + USART1n_Config.Databits = USART1n_DATA_BIT_8; + USART1n_Config.Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config.Stopbits = USART1n_STOP_BIT_1; + + if(lsbFirst == true) + USART1n_Config.Order = USART1n_SPI_LSB; + else + USART1n_Config.Order = USART1n_SPI_MSB; + + switch(mode) + { + case SPI13_MODE0: + USART1n_Config.ACK = USART1n_SPI_TX_RISING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; + break; + case SPI13_MODE1: + USART1n_Config.ACK = USART1n_SPI_TX_RISING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SETUP; + break; + case SPI13_MODE2: + USART1n_Config.ACK = USART1n_SPI_TX_FALLING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; + break; + case SPI13_MODE3: + USART1n_Config.ACK = USART1n_SPI_TX_FALLING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SETUP; + break; + } + + HAL_USART_Init( ( USART1n_Type* )USART13, &USART1n_Config ); + + // SPI Master + HAL_USART_DataControlConfig( ( USART1n_Type* )USART13, USART1n_CONTROL_MASTER, ENABLE ); + + // ENABLE + HAL_USART_Enable( ( USART1n_Type* )USART13, ENABLE ); +} + + + + +void SPI13_Transmit(uint8_t* pTxData, uint32_t Tx_Len) +{ + + uint32_t i; + + for(i = 0 ; i < Tx_Len ; i++) + { + USART13->DR = pTxData[i]; + while((USART13->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART13->ST & 0x20)==0x00){} // receive complete flag wait + uint8_t temp = USART13->DR; + } +} + +void SPI13_SendRecv(uint8_t* pTxByte, uint8_t* pRxByte, uint32_t TRx_Len) +{ + uint32_t i; + + for(i = 0 ; i < TRx_Len ; i++) + { + USART13->DR = pTxByte[i]; + while((USART13->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART13->ST & 0x20)==0x00){} // receive complete flag wait + pRxByte[i] = USART13->DR; + } +} + +uint8_t SPI13_SendReceiveByte(uint8_t SendByte) +{ + uint8_t recvByte; + + USART13->DR = SendByte; + while((USART13->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART13->ST & 0x20)==0x00){} // receive complete flag wait + + recvByte = USART13->DR; + + return recvByte; +} + diff --git a/Project/Application/spi13.h b/Project/Application/spi13.h new file mode 100644 index 0000000..462ab96 --- /dev/null +++ b/Project/Application/spi13.h @@ -0,0 +1,26 @@ +/** \file spi13.h */ +#if !defined(SPI13_H__30436C8B_DA45_4E91_95E0_C40C4C083867__INCLUDED_) +#define SPI10_H__30436C8B_DA45_4E91_95E0_C40C4C083867__INCLUDED_ + + +#include "define.h" +#include "board_config.h" + + +typedef enum +{ + SPI13_MODE0, + SPI13_MODE1, + SPI13_MODE2, + SPI13_MODE3, +}SPI13_MODE; + +void SPI13_Initialization(uint32_t speed, SPI13_MODE mode, bool lsbFirst); +void SPI13_Transmit(uint8_t* pTxData, uint32_t Tx_Len); +void SPI13_SendRecv(uint8_t* pTxByte, uint8_t* pRxByte, uint32_t TRx_Len); +uint8_t SPI13_SendReceiveByte(uint8_t SendByte); + + + + +#endif diff --git a/Project/Application/sw_timer.c b/Project/Application/sw_timer.c new file mode 100644 index 0000000..46fd0db --- /dev/null +++ b/Project/Application/sw_timer.c @@ -0,0 +1,84 @@ +#include "sw_timer.h" +#include "systick_timer.h" + + + +typedef struct _timer_callback_info +{ + SW_TIMER_CALLBACK_TYPE SW_Callback_Type; + uint32_t SW_Timer_StartTick; + uint32_t SW_Timer_PeriodCount; + SW_TIMER_CALLBACK_FN SW_Timer_CallbackFunction; +}SW_TIMER_INFO; + + +static SW_TIMER_INFO SW_Timer_Info[MAX_SW_TIMER_REGISTER_COUNT]; + + + +bool SW_Timer_Callback_Register(SW_TIMER_CALLBACK_TYPE type, uint32_t PeriodTime, SW_TIMER_CALLBACK_FN pTimerCallback) +{ + uint8_t i; + bool ret = false; + + for(i = 0 ; i < MAX_SW_TIMER_REGISTER_COUNT ; i++) + { + if(SW_Timer_Info[i].SW_Timer_CallbackFunction == NULL) + { + SW_Timer_Info[i].SW_Callback_Type = type; + SW_Timer_Info[i].SW_Timer_StartTick = millis(); + SW_Timer_Info[i].SW_Timer_PeriodCount = PeriodTime; + SW_Timer_Info[i].SW_Timer_CallbackFunction = pTimerCallback; + ret = true; + break; + } + } + + return ret; +} + +bool SW_Timer_Callback_UnRegister(SW_TIMER_CALLBACK_FN pSwTimerCallbackFunc) +{ + uint8_t i; + bool ret = false; + + for(i = 0 ; i < MAX_SW_TIMER_REGISTER_COUNT ; i++) + { + if(SW_Timer_Info[i].SW_Timer_CallbackFunction == pSwTimerCallbackFunc) + { + SW_Timer_Info[i].SW_Callback_Type = SW_TIMER_RUN_NONE; + SW_Timer_Info[i].SW_Timer_CallbackFunction = NULL; + ret = true; + } + } + return ret; +} + +void SW_Timer_Callback_Process(void) +{ + uint8_t i; + uint32_t nowTickCount = millis(); + for(i = 0 ; i < MAX_SW_TIMER_REGISTER_COUNT ; i++) + { + if(SW_Timer_Info[i].SW_Timer_CallbackFunction != NULL && + SW_Timer_Info[i].SW_Callback_Type != SW_TIMER_RUN_NONE) + { + if((nowTickCount - SW_Timer_Info[i].SW_Timer_StartTick) >= SW_Timer_Info[i].SW_Timer_PeriodCount) + { + if(SW_Timer_Info[i].SW_Callback_Type == SW_TIMER_RUN_ONNY_ONCE) + { + SW_Timer_Info[i].SW_Timer_StartTick = 0xFFFFFFFF; + SW_Timer_Info[i].SW_Callback_Type = SW_TIMER_RUN_NONE; + SW_Timer_Info[i].SW_Timer_CallbackFunction(); + SW_Timer_Info[i].SW_Timer_CallbackFunction = NULL; + } + else + { + SW_Timer_Info[i].SW_Timer_CallbackFunction(); + SW_Timer_Info[i].SW_Timer_StartTick = nowTickCount; + } + } + } + } +} + diff --git a/Project/Application/sw_timer.h b/Project/Application/sw_timer.h new file mode 100644 index 0000000..64221fd --- /dev/null +++ b/Project/Application/sw_timer.h @@ -0,0 +1,25 @@ +/** \file sw_timer.h */ +#if !defined(SW_TIMER_H__8AF06FDD_AC0A_4693_88C3_8ACCF1AB4372__INCLUDED_) +#define SW_TIMER_H__8AF06FDD_AC0A_4693_88C3_8ACCF1AB4372__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define MAX_SW_TIMER_REGISTER_COUNT 10 + +typedef enum +{ + SW_TIMER_RUN_NONE, + SW_TIMER_RUN_ONNY_ONCE, + SW_TIMER_RUN_CONTINUE, +}SW_TIMER_CALLBACK_TYPE; + +typedef void (*SW_TIMER_CALLBACK_FN) (void); + + +bool SW_Timer_Callback_Register(SW_TIMER_CALLBACK_TYPE type, uint32_t PeriodTime, SW_TIMER_CALLBACK_FN pTimerCallback); +bool SW_Timer_Callback_UnRegister(SW_TIMER_CALLBACK_FN pSwTimerCallbackFunc); +void SW_Timer_Callback_Process(void); + +#endif diff --git a/Project/Application/systick_timer.c b/Project/Application/systick_timer.c new file mode 100644 index 0000000..bded962 --- /dev/null +++ b/Project/Application/systick_timer.c @@ -0,0 +1,31 @@ +#include "systick_timer.h" + + +static uint32_t tick_count; +volatile uint32_t nDelayCount; +/*-------------------------------------------------------------------------*//** + * @brief This function handles SysTick Handler. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void SysTick_Handler( void ) +{ + tick_count++; + if(nDelayCount != 0) + { + nDelayCount--; + } +} + + +uint32_t millis(void) +{ + return tick_count; +} + + +void Delay_ms(uint32_t nDelay) +{ + nDelayCount = nDelay; + while(nDelayCount != 0); +} diff --git a/Project/Application/systick_timer.h b/Project/Application/systick_timer.h new file mode 100644 index 0000000..946712b --- /dev/null +++ b/Project/Application/systick_timer.h @@ -0,0 +1,10 @@ +/** \file systick_timer.h */ +#if !defined(SYSTICK_TIMER_H__5F17ECEC_79B1_4DE4_9B38_A54E0782477E__INCLUDED_) +#define SYSTICK_TIMER_H__5F17ECEC_79B1_4DE4_9B38_A54E0782477E__INCLUDED_ + +#include "define.h" + +uint32_t millis(void); +void Delay_ms(uint32_t nDelay); + +#endif diff --git a/Project/Application/timer12.c b/Project/Application/timer12.c new file mode 100644 index 0000000..03065e0 --- /dev/null +++ b/Project/Application/timer12.c @@ -0,0 +1,66 @@ +#include "timer12.h" +#include "gpio_state_led.h" + +#define TIMER1n_PERIPHERAL TIMER12 +#define TIMER1n_IRQ_TYPE TIMER12_IRQn +#define TIMER1n_INTERRUPT_MASK MSK_TIMER12 + + +static TIMER_CALLBACK Timer12_Match_Callback = NULL; + + + + + +void Timer12_Initialization(void) +{ + TIMER1n_PERIODICCFG_Type TIMER1n_Config; + + TIMER1n_Config.CkSel = TIMER1n_PCLK; // PCLK = 40MHz + TIMER1n_Config.Prescaler = 40; // fTIMER = PCLK / 40 = 1MHz + TIMER1n_Config.ADR = ( 1000 ); // Period = ADR / fTIMER = 1000us + TIMER1n_Config.StartLevel = TIMER1n_START_LOW; + TIMER1n_Config.BDR = 0; // Duty(B) = BDR / fTIMER = 0us // dummy parameter + TIMER1n_Config.ECE = TIMER1n_FALLING_EGDE; // dummy parameter + + HAL_TIMER1n_Init((TIMER1n_Type*)TIMER1n_PERIPHERAL, TIMER1n_PERIODIC_MODE, &TIMER1n_Config); + HAL_TIMER1n_ConfigInterrupt((TIMER1n_Type*)TIMER1n_PERIPHERAL, TIMER1n_INTCFG_MIE, ENABLE); + + NVIC_SetPriority(TIMER1n_IRQ_TYPE, 3); + NVIC_EnableIRQ(TIMER1n_IRQ_TYPE); + HAL_INT_EInt_MaskDisable(TIMER1n_INTERRUPT_MASK); + + HAL_TIMER1n_Cmd((TIMER1n_Type*)TIMER1n_PERIPHERAL, ENABLE ); // timer start & clear +} + + +void Timer12_Set_Match_Interrupt_Callback(TIMER_CALLBACK Callback) +{ + Timer12_Match_Callback = Callback; +} + + + + + + + +/*----------------- INTERRUPT SERVICE ROUTINES --------------------------*/ +/*********************************************************************//** + * @brief Timer12 interrupt handler sub-routine + * @param[in] None + * @return None + **********************************************************************/ +void TIMER12_Handler(void) +{ + // TODO : Type your code here + uint32_t status; + status = T1nMaInt_GetFg(TIMER1n_PERIPHERAL); + if (status == 1) + { + T1nMaInt_ClrFg(TIMER1n_PERIPHERAL); + if(Timer12_Match_Callback != NULL) + Timer12_Match_Callback(); + } +} + diff --git a/Project/Application/timer12.h b/Project/Application/timer12.h new file mode 100644 index 0000000..38f00df --- /dev/null +++ b/Project/Application/timer12.h @@ -0,0 +1,13 @@ +/** \file timer12.h */ +#if !defined(TIMER12_H__2923006F_3CBC_45ED_8A7D_C04AC01E9281__INCLUDED_) +#define TIMER12_H__2923006F_3CBC_45ED_8A7D_C04AC01E9281__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +typedef void (*TIMER_CALLBACK)(void); + +void Timer12_Initialization(void); +void Timer12_Set_Match_Interrupt_Callback(TIMER_CALLBACK Callback); + +#endif diff --git a/Project/Application/uart.h b/Project/Application/uart.h new file mode 100644 index 0000000..e792ce6 --- /dev/null +++ b/Project/Application/uart.h @@ -0,0 +1,36 @@ +/** \file uart.h */ +#if !defined(UART_H__06447B60_D695_412E_90C8_93147FA649B9__INCLUDED_) +#define UART_H__06447B60_D695_412E_90C8_93147FA649B9__INCLUDED_ + +#include "define.h" +#include "struct.h" +#include "ringbuffer.h" + +void Uart1_Initialization(uint32_t Baudrate); +void Uart1_Receive_Process(void); +void Uart1_Transmit(uint8_t TxData); + + +void Usart10_Initialization(uint32_t Baudrate); +void Usart10_Receive_Process(void); +void Usart10_Transmit(uint8_t TxData); + +void Usart10_Transmit_Process(void); +void Usart10_Transmit_DataPush(uint8_t TxData); +void Usart10_Recv_Complete_TimeCheck_Process(void); +void Usart10_Transmit_Check_Process(void); + +void Usart11_Initialization(uint32_t Baudrate); +void Usart11_Receive_Process(void); +void Usart11_Transmit(uint8_t TxData); + + +void Usart13_Initialization(uint32_t Baudrate); +void Usart13_Receive_Process(void); +void Usart13_Transmit(uint8_t TxData); + + + + + +#endif diff --git a/Project/Application/uart1.c b/Project/Application/uart1.c new file mode 100644 index 0000000..d157d9b --- /dev/null +++ b/Project/Application/uart1.c @@ -0,0 +1,261 @@ +#include "uart1.h" +#include "sw_timer.h" +#include "ring_buffer.h" + + +#define UARTn_TX_INTERRUTP_ENABLE FALSE + + +#define UARTn_PERIPHERAL UART1 +#define UARTn_INTERRUPT_HANDLER UART1_IRQn +#define UARTn_INTERRUPT_MASK MSK_UART1 +#define UARTn_INTERRUPT_PRIORITY 3 +#define UARTn_TX_PORT PB +#define UARTn_TX_PIN_NUM 6 +#define UARTn_RX_PORT PB +#define UARTn_RX_PIN_NUM 7 + +#define UARTn_TX_BUFFER_SIZE 200 +#define UARTn_RX_BUFFER_SIZE 100 + + + +static uint8_t Tx_Buffer[UARTn_TX_BUFFER_SIZE]; +static uint8_t Rx_Buffer[UARTn_RX_BUFFER_SIZE]; +static RING_BUFFER RingBuffer_Tx; +static RING_BUFFER RingBuffer_Rx; + + +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + static volatile uint8_t Uartn_TxIntEnable = FALSE; + static void Uart1_Init_TransmitSet(void); +#else + static void Uart1_Transmit_Process(void); +#endif + + + +static void Uart1_Receive_Handler(void); + + +void Uart1_Initialization(uint32_t Baudrate, UARTn_DATA_BIT_Type Databit, UARTn_PARITY_BIT_Type Paritybit, UARTn_STOP_BIT_Type Stopbit) +{ + UARTn_CFG_Type UARTn_Config; + + /* + * Initialize UART0 pin connect + */ + HAL_GPIO_ConfigOutput((Pn_Type*)UARTn_RX_PORT, UARTn_RX_PIN_NUM, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction((Pn_Type*)UARTn_RX_PORT, UARTn_RX_PIN_NUM, AFSRx_AF1 ); + HAL_GPIO_ConfigPullup((Pn_Type*)UARTn_RX_PORT, UARTn_RX_PIN_NUM, PUPDx_EnablePU ); + + HAL_GPIO_ConfigOutput((Pn_Type*)UARTn_TX_PORT, UARTn_TX_PIN_NUM, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction((Pn_Type*)UARTn_TX_PORT, UARTn_TX_PIN_NUM, AFSRx_AF1 ); + + // default: 38400-8-N-1 + HAL_UART_ConfigStructInit(&UARTn_Config); + UARTn_Config.Baudrate = Baudrate; + UARTn_Config.Databits = Databit; + UARTn_Config.Parity = Paritybit; + UARTn_Config.Stopbits = Stopbit; + + HAL_UART_Init((UARTn_Type*)UARTn_PERIPHERAL, &UARTn_Config); + + /* Enable UART Rx interrupt */ + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_RBR, ENABLE ); + +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + // Reset Tx Interrupt state + Uartn_TxIntEnable = RESET; +#else + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 0, Uart1_Transmit_Process); +#endif + + RingBuffer_Initialization(&RingBuffer_Rx, false, UARTn_RX_BUFFER_SIZE, &Rx_Buffer[0]); + RingBuffer_Initialization(&RingBuffer_Tx, false, UARTn_TX_BUFFER_SIZE, &Tx_Buffer[0]); + + NVIC_SetPriority(UARTn_INTERRUPT_HANDLER, UARTn_INTERRUPT_PRIORITY); + NVIC_EnableIRQ(UARTn_INTERRUPT_HANDLER ); + HAL_INT_EInt_MaskDisable(UARTn_INTERRUPT_MASK); +} + + + +void Uart1_Transmit(uint8_t TxData) +{ +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + /* Temporarily lock out UART transmit interrupts during this read so the UART transmit interrupt won't cause problems with the index values */ + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_THRE, DISABLE ); + + RingBuffer_Enqueue(&RingBuffer_Tx, TxData); + + /* + * Check if current Tx interrupt enable is reset, + * that means the Tx interrupt must be re-enabled + * due to call IntTransmit() function to trigger + * this interrupt type + */ + if(Uartn_TxIntEnable == RESET) + { + Uart1_Init_TransmitSet(); + } + /* + * Otherwise, re-enables Tx Interrupt + */ + else + { + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_THRE, ENABLE ); + } +#else + RingBuffer_Enqueue(&RingBuffer_Tx, TxData); +#endif +} + + + +void Uart1_TransmitData(uint8_t* pTxData, uint32_t TxLen) +{ + uint32_t i; +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + HAL_UART_ConfigInterrupt( ( UARTn_Type* )UARTn_PERIPHERAL, UARTn_INTCFG_THRE, DISABLE ); + + for(i = 0 ; i < TxLen ; i++) + RingBuffer_Enqueue(&RingBuffer_Tx, pTxData[i]); + + if(Uartn_TxIntEnable == RESET) + { + Uart1_Init_TransmitSet(); + } + else + { + HAL_UART_ConfigInterrupt( ( UARTn_Type* )UARTn_PERIPHERAL, UARTn_INTCFG_THRE, ENABLE ); + } +#else + for(i = 0 ; i < TxLen ; i++) + RingBuffer_Enqueue(&RingBuffer_Tx, pTxData[i]); +#endif +} + + +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) +static void Uart1_Init_TransmitSet(void) +{ + // Disable THRE interrupt + HAL_UART_ConfigInterrupt( ( UARTn_Type* )UARTn_PERIPHERAL, UARTn_INTCFG_THRE, DISABLE ); + + /* Wait until THR empty */ + while( HAL_UART_CheckBusy( ( UARTn_Type* )UARTn_PERIPHERAL ) == SET ); + + while(RingBuffer_Get_DataSize(&RingBuffer_Tx) != 0) + { + uint8_t TxData; + RingBuffer_GetData(&RingBuffer_Tx, &TxData); + + if(HAL_UART_Transmit( ( UARTn_Type* )UARTn_PERIPHERAL, &TxData, 1, NONE_BLOCKING ) ) + { + /* Update transmit ring FIFO tail pointer */ + RingBuffer_PopData(&RingBuffer_Tx); + break; + } + else + { + break; + } + } + + /* If there is no more data to send, disable the transmit interrupt - else enable it or keep it enabled */ + if(RingBuffer_Get_DataSize(&RingBuffer_Tx) == 0) + { + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_THRE, DISABLE ); + // Reset Tx Interrupt state + Uartn_TxIntEnable = RESET; + } + else + { + // Set Tx Interrupt state + Uartn_TxIntEnable = SET; + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_THRE, ENABLE ); + } +} +#else +static void Uart1_Transmit_Process(void) +{ + if(RingBuffer_Get_DataSize(&RingBuffer_Tx) != 0) + { + uint8_t TxData; + RingBuffer_GetData(&RingBuffer_Tx, &TxData); + + if(HAL_UART_Transmit((UARTn_Type*)UARTn_PERIPHERAL, &TxData, 1, NONE_BLOCKING)) + { + /* Update transmit ring FIFO tail pointer */ + RingBuffer_PopData(&RingBuffer_Tx); + } + } +} +#endif + + +static void Uart1_Receive_Handler(void) +{ + uint8_t tmpc; + uint32_t rLen; + + while(1) + { + rLen = HAL_UART_Receive((UARTn_Type*)UARTn_PERIPHERAL, &tmpc, 1, NONE_BLOCKING ); + if (rLen) + { + RingBuffer_Enqueue(&RingBuffer_Rx, tmpc); + } + else + { + break; + } + } +} + +/*********************************************************************//** + * @brief UART1 interrupt handler sub-routine + * @param[in] None + * @return None + **********************************************************************/ +void UART1_Handler(void) +{ + uint32_t intsrc, tmp; + + /* Determine the interrupt source */ + intsrc = UARTn_PERIPHERAL->IIR; + tmp = intsrc & UARTn_IIR_INTID_MASK; + + + // Receiver Line Status + if(tmp == UARTn_IIR_INTID_RLS) // error(Overrun, Parity, Framing or Break Error) + { + } + else if(tmp == UARTn_IIR_INTID_RDA) // Receiver Data Available + { + Uart1_Receive_Handler(); + } + else if(tmp == UARTn_IIR_INTID_THRE) // Transmitter Holding Register Empty + { +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + Uart1_Init_TransmitSet(); +#endif + } + else if(tmp == UARTn_IIR_INTID_TXE) // Transmitter Register Empty + { + } +} + + +uint32_t Uart1_Get_RecvDataCount(void) +{ + return RingBuffer_Get_DataSize(&RingBuffer_Rx); +} + +uint8_t Uart1_Get_RecvData(void) +{ + uint8_t retData; + RingBuffer_Dequeue(&RingBuffer_Rx, &retData); + return retData; +} diff --git a/Project/Application/uart1.h b/Project/Application/uart1.h new file mode 100644 index 0000000..0ad4440 --- /dev/null +++ b/Project/Application/uart1.h @@ -0,0 +1,15 @@ +/** \file uart1.h */ +#if !defined(UART1_H__A3672F4B_1033_433E_A296_1444C586906C__INCLUDED_) +#define UART1_H__A3672F4B_1033_433E_A296_1444C586906C__INCLUDED_ + +#include "board_config.h" +#include "define.h" + + +void Uart1_Initialization(uint32_t Baudrate, UARTn_DATA_BIT_Type Databit, UARTn_PARITY_BIT_Type Paritybit, UARTn_STOP_BIT_Type Stopbit); +void Uart1_Transmit(uint8_t TxData); +void Uart1_TransmitData(uint8_t* pTxData, uint32_t TxLen); +uint32_t Uart1_Get_RecvDataCount(void); +uint8_t Uart1_Get_RecvData(void); + +#endif diff --git a/Project/Application/uart_packet.c b/Project/Application/uart_packet.c new file mode 100644 index 0000000..7f88dc0 --- /dev/null +++ b/Project/Application/uart_packet.c @@ -0,0 +1,145 @@ +#include "uart_packet.h" +#include "sw_timer.h" +#include "uart1.h" +#include "driver_ds3231_basic.h" +#include "buzzer.h" + + +#define PACKET_BUFF_SIZE 100 + + +static void Uart_Packet_Make_Process(void); +static void Uart_Packet_Process(uint8_t* pRxBuff); + +static uint8_t Pack_Buff[PACKET_BUFF_SIZE]; +static uint8_t Pack_Index; +static uint8_t Pack_CheckSum; +static uint8_t Pack_DataLen; + +void Uart_Packet_Initialization(void) +{ + Pack_Index = 0; + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 0, Uart_Packet_Make_Process); +} + + + + + +static void Uart_Packet_Make_Process(void) +{ + if(Uart1_Get_RecvDataCount() != 0) + { + uint8_t RxData = Uart1_Get_RecvData(); + + if(Pack_Index == PACK_INDEX_STX && RxData == STX) + { + Pack_Buff[Pack_Index++] = RxData; + Pack_CheckSum = RxData; + Pack_DataLen = 0; + } + else if(Pack_Index == PACK_INDEX_CMD && (RxData >= UART_CMD_SET_TIME && RxData < UART_CMD_MAX)) + { + Pack_Buff[Pack_Index++] = RxData; + Pack_CheckSum += RxData; + } + else if(Pack_Index == PACK_INDEX_LEN && RxData < (PACKET_BUFF_SIZE - PACK_INDEX_ETX)) + { + Pack_Buff[Pack_Index++] = RxData; + Pack_CheckSum += RxData; + Pack_DataLen = RxData; + } + else if(Pack_Index == (PACK_INDEX_CHECKSUM + Pack_DataLen)) + { + if(RxData != Pack_CheckSum) + { + Pack_Index = 0; + } + else + { + Pack_Buff[Pack_Index++] = RxData; + } + } + else if(Pack_Index == (PACK_INDEX_ETX + Pack_DataLen)) + { + if(RxData != ETX) + { + Pack_Index = 0; + } + else + { + Pack_Buff[Pack_Index++] = RxData; + Uart_Packet_Process(Pack_Buff); + Pack_Index = 0; + } + + } + else if(Pack_Index >= PACK_INDEX_DATA && Pack_Index < (PACK_INDEX_DATA + Pack_DataLen)) + { + Pack_Buff[Pack_Index++] = RxData; + Pack_CheckSum += RxData; + } + else + { + Pack_Index = 0; + } + } +} + + +static void Uart_Packet_Process(uint8_t* pRxBuff) +{ + UART_CMD cmd = pRxBuff[PACK_INDEX_CMD]; + uint8_t DataLen = pRxBuff[PACK_INDEX_LEN]; + + if(cmd == UART_CMD_SET_TIME && DataLen == 7) + { + uint16_t Year; + uint8_t Month; + uint8_t Date; + uint8_t Hour; + uint8_t Min; + uint8_t Sec; + uint8_t index = 0; + ds3231_time_t set_time; + ds3231_basic_get_time(&set_time); + + Year = pRxBuff[PACK_INDEX_DATA + index++] << 8; + Year += pRxBuff[PACK_INDEX_DATA + index++]; + Month = pRxBuff[PACK_INDEX_DATA + index++]; + Date = pRxBuff[PACK_INDEX_DATA + index++]; + Hour = pRxBuff[PACK_INDEX_DATA + index++]; + Min = pRxBuff[PACK_INDEX_DATA + index++]; + Sec = pRxBuff[PACK_INDEX_DATA + index++]; + + set_time.format = DS3231_FORMAT_24H; + set_time.year = Year; + set_time.month = Month; + set_time.date = Date; + set_time.hour = Hour; + set_time.minute = Min; + set_time.second = Sec; + + // year 2, mon 1, da 1 , h 1, m1, s 1 + ds3231_basic_set_time(&set_time); + + Buzzer_On(100); + } + + + + + + +} + + + + + + + + + + + diff --git a/Project/Application/uart_packet.h b/Project/Application/uart_packet.h new file mode 100644 index 0000000..153b205 --- /dev/null +++ b/Project/Application/uart_packet.h @@ -0,0 +1,32 @@ +/** \file uart_packet.h */ +#if !defined(UART_PACKET_H__4C114ACB_4130_48D0_A3D5_EF34FC3189D2__INCLUDED_) +#define UART_PACKET_H__4C114ACB_4130_48D0_A3D5_EF34FC3189D2__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define STX 0x02 +#define ETX 0x03 + +typedef enum +{ + PACK_INDEX_STX = 0x00, + PACK_INDEX_CMD = 0x01, + PACK_INDEX_LEN = 0x02, + PACK_INDEX_DATA = 0x03, + PACK_INDEX_CHECKSUM = 0x03, + PACK_INDEX_ETX = 0x04, +}PACKET_INDEX; + +typedef enum +{ + UART_CMD_SET_TIME = 0x00, + UART_CMD_MAX, +}UART_CMD; + + +void Uart_Packet_Initialization(void); + + +#endif diff --git a/Project/EventRecorderStub.scvd b/Project/EventRecorderStub.scvd new file mode 100644 index 0000000..2956b29 --- /dev/null +++ b/Project/EventRecorderStub.scvd @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/Project/Listings/S_DustSensorView.map b/Project/Listings/S_DustSensorView.map new file mode 100644 index 0000000..c000a61 --- /dev/null +++ b/Project/Listings/S_DustSensorView.map @@ -0,0 +1,2476 @@ +Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] + +============================================================================== + +Section Cross References + + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Enable) for HAL_SCU_ClockSource_Enable + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_SystemClockChange) for HAL_SCU_SystemClockChange + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_SystemClockDivider) for HAL_SCU_SystemClockDivider + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_ClockMonitoring) for HAL_SCU_ClockMonitoring + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ClockConfig) for HAL_SCU_Peripheral_ClockConfig + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to system_a31g12x.o(.data) for SystemCoreClock + a31g12x_systemclock.o(i.Systick_Initialization) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_systemclock.o(i.Systick_Initialization) refers to a31g12x_systemclock.o(i.NVIC_SetPriority) for NVIC_SetPriority + a31g12x_systemclock.o(i.Systick_Initialization) refers to system_a31g12x.o(.data) for SystemCoreClock + main.o(i.main) refers to a31g12x_systemclock.o(i.System_Clock_Initialization) for System_Clock_Initialization + main.o(i.main) refers to a31g12x_systemclock.o(i.Systick_Initialization) for Systick_Initialization + main.o(i.main) refers to eeprom.o(i.FlashMEM_Clock_Initialization) for FlashMEM_Clock_Initialization + main.o(i.main) refers to timer12.o(i.Timer12_Initialization) for Timer12_Initialization + main.o(i.main) refers to uart1.o(i.Uart1_Initialization) for Uart1_Initialization + main.o(i.main) refers to spi10.o(i.SPI10_Initialization) for SPI10_Initialization + main.o(i.main) refers to gpio_state_led.o(i.Gpio_StateLed_Initialization) for Gpio_StateLed_Initialization + main.o(i.main) refers to gpio_switch.o(i.Gpio_Switch_Port_Initialization) for Gpio_Switch_Port_Initialization + main.o(i.main) refers to gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) for Gpio_Sensor_PWR_Initialization + main.o(i.main) refers to gpio_i2c.o(i.GPIO_I2C0_Initialization) for GPIO_I2C0_Initialization + main.o(i.main) refers to gpio_i2c.o(i.GPIO_I2C1_Initialization) for GPIO_I2C1_Initialization + main.o(i.main) refers to gpio_i2c.o(i.GPIO_I2C2_Initialization) for GPIO_I2C2_Initialization + main.o(i.main) refers to segment_74hc595d.o(i.Segment_Initialization) for Segment_Initialization + main.o(i.main) refers to rtc_process.o(i.RTC_Process_Initialization) for RTC_Process_Initialization + main.o(i.main) refers to buzzer.o(i.Buzzer_Initialization) for Buzzer_Initialization + main.o(i.main) refers to action_process.o(i.Action_Initialization) for Action_Initialization + main.o(i.main) refers to uart_packet.o(i.Uart_Packet_Initialization) for Uart_Packet_Initialization + main.o(i.main) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + main.o(i.main) refers to sw_timer.o(i.SW_Timer_Callback_Process) for SW_Timer_Callback_Process + main.o(i.main) refers to main.o(i.timer_test) for timer_test + dbg_printf.o(i.fputc) refers to uart1.o(i.Uart1_Transmit) for Uart1_Transmit + sw_timer.o(i.SW_Timer_Callback_Process) refers to systick_timer.o(i.millis) for millis + sw_timer.o(i.SW_Timer_Callback_Process) refers to sw_timer.o(.bss) for SW_Timer_Info + sw_timer.o(i.SW_Timer_Callback_Register) refers to systick_timer.o(i.millis) for millis + sw_timer.o(i.SW_Timer_Callback_Register) refers to sw_timer.o(.bss) for SW_Timer_Info + sw_timer.o(i.SW_Timer_Callback_UnRegister) refers to sw_timer.o(.bss) for SW_Timer_Info + systick_timer.o(i.Delay_ms) refers to systick_timer.o(.data) for nDelayCount + systick_timer.o(i.SysTick_Handler) refers to systick_timer.o(.data) for tick_count + systick_timer.o(i.millis) refers to systick_timer.o(.data) for tick_count + ring_buffer.o(i.RingBuffer_Dequeue) refers to ring_buffer.o(i.RingBuffer_isEmpty) for RingBuffer_isEmpty + ring_buffer.o(i.RingBuffer_Dequeue) refers to uidiv.o(.text) for __aeabi_uidivmod + ring_buffer.o(i.RingBuffer_Enqueue) refers to ring_buffer.o(i.RingBuffer_isFull) for RingBuffer_isFull + ring_buffer.o(i.RingBuffer_Enqueue) refers to ring_buffer.o(i.RingBuffer_Dequeue) for RingBuffer_Dequeue + ring_buffer.o(i.RingBuffer_Enqueue) refers to uidiv.o(.text) for __aeabi_uidivmod + ring_buffer.o(i.RingBuffer_Get_DataSize) refers to ring_buffer.o(i.RingBuffer_isEmpty) for RingBuffer_isEmpty + ring_buffer.o(i.RingBuffer_PopData) refers to uidiv.o(.text) for __aeabi_uidivmod + gpio_state_led.o(i.Gpio_StateLed_Get_Mode) refers to gpio_state_led.o(.data) for StateLedMode + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to gpio_state_led.o(i.Gpio_StateLed_Set_Mode) for Gpio_StateLed_Set_Mode + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to gpio_state_led.o(i.State_Led_Output_Process) for State_Led_Output_Process + gpio_state_led.o(i.Gpio_StateLed_Set_Mode) refers to gpio_state_led.o(.data) for StateLedMode + gpio_state_led.o(i.State_Led_Output_Process) refers to systick_timer.o(i.millis) for millis + gpio_state_led.o(i.State_Led_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_state_led.o(i.State_Led_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_state_led.o(i.State_Led_Output_Process) refers to gpio_state_led.o(.data) for StateLedStep + gpio_switch.o(i.Gpio_Switch_Check_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ReadPin) for HAL_GPIO_ReadPin + gpio_switch.o(i.Gpio_Switch_Check_Process) refers to gpio_switch.o(.data) for KeyCheckInfo + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetDebouncePin) for HAL_GPIO_SetDebouncePin + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to gpio_switch.o(i.Gpio_Switch_Check_Process) for Gpio_Switch_Check_Process + gpio_switch.o(i.Gpio_Swtich_Set_Callback) refers to gpio_switch.o(.data) for KeyCheckInfo + gpio_switch.o(i.Gpio_Swtich_Set_PushCount) refers to gpio_switch.o(.data) for KeyCheckInfo + uart1.o(i.UART1_Handler) refers to uart1.o(i.Uart1_Receive_Handler) for Uart1_Receive_Handler + uart1.o(i.Uart1_Get_RecvData) refers to ring_buffer.o(i.RingBuffer_Dequeue) for RingBuffer_Dequeue + uart1.o(i.Uart1_Get_RecvData) refers to uart1.o(.bss) for RingBuffer_Rx + uart1.o(i.Uart1_Get_RecvDataCount) refers to ring_buffer.o(i.RingBuffer_Get_DataSize) for RingBuffer_Get_DataSize + uart1.o(i.Uart1_Get_RecvDataCount) refers to uart1.o(.bss) for RingBuffer_Rx + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) for HAL_GPIO_ConfigFunction + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_uartn.o(i.HAL_UART_ConfigStructInit) for HAL_UART_ConfigStructInit + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_uartn.o(i.HAL_UART_Init) for HAL_UART_Init + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_uartn.o(i.HAL_UART_ConfigInterrupt) for HAL_UART_ConfigInterrupt + uart1.o(i.Uart1_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + uart1.o(i.Uart1_Initialization) refers to ring_buffer.o(i.RingBuffer_Initialization) for RingBuffer_Initialization + uart1.o(i.Uart1_Initialization) refers to uart1.o(i.NVIC_SetPriority) for NVIC_SetPriority + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskDisable) for HAL_INT_EInt_MaskDisable + uart1.o(i.Uart1_Initialization) refers to uart1.o(i.Uart1_Transmit_Process) for Uart1_Transmit_Process + uart1.o(i.Uart1_Initialization) refers to uart1.o(.bss) for Rx_Buffer + uart1.o(i.Uart1_Receive_Handler) refers to a31g12x_hal_uartn.o(i.HAL_UART_Receive) for HAL_UART_Receive + uart1.o(i.Uart1_Receive_Handler) refers to ring_buffer.o(i.RingBuffer_Enqueue) for RingBuffer_Enqueue + uart1.o(i.Uart1_Receive_Handler) refers to uart1.o(.bss) for RingBuffer_Rx + uart1.o(i.Uart1_Transmit) refers to ring_buffer.o(i.RingBuffer_Enqueue) for RingBuffer_Enqueue + uart1.o(i.Uart1_Transmit) refers to uart1.o(.bss) for RingBuffer_Tx + uart1.o(i.Uart1_TransmitData) refers to ring_buffer.o(i.RingBuffer_Enqueue) for RingBuffer_Enqueue + uart1.o(i.Uart1_TransmitData) refers to uart1.o(.bss) for RingBuffer_Tx + uart1.o(i.Uart1_Transmit_Process) refers to ring_buffer.o(i.RingBuffer_Get_DataSize) for RingBuffer_Get_DataSize + uart1.o(i.Uart1_Transmit_Process) refers to ring_buffer.o(i.RingBuffer_GetData) for RingBuffer_GetData + uart1.o(i.Uart1_Transmit_Process) refers to a31g12x_hal_uartn.o(i.HAL_UART_Transmit) for HAL_UART_Transmit + uart1.o(i.Uart1_Transmit_Process) refers to ring_buffer.o(i.RingBuffer_PopData) for RingBuffer_PopData + uart1.o(i.Uart1_Transmit_Process) refers to uart1.o(.bss) for RingBuffer_Tx + segment_74hc595d.o(i.Segemet_Output_Process) refers to memseta.o(.text) for __aeabi_memclr + segment_74hc595d.o(i.Segemet_Output_Process) refers to systick_timer.o(i.millis) for millis + segment_74hc595d.o(i.Segemet_Output_Process) refers to segment_74hc595d.o(i.__ARM_common_switch8) for __ARM_common_switch8 + segment_74hc595d.o(i.Segemet_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + segment_74hc595d.o(i.Segemet_Output_Process) refers to segment_74hc595d.o(i.Segment_Output_Data) for Segment_Output_Data + segment_74hc595d.o(i.Segemet_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + segment_74hc595d.o(i.Segemet_Output_Process) refers to segment_74hc595d.o(.data) for HC595_OutputData + segment_74hc595d.o(i.Segemet_Output_Process) refers to segment.o(.bss) for Segment_OutputBuff + segment_74hc595d.o(i.Segment_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + segment_74hc595d.o(i.Segment_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + segment_74hc595d.o(i.Segment_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + segment_74hc595d.o(i.Segment_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + segment_74hc595d.o(i.Segment_Initialization) refers to systick_timer.o(i.millis) for millis + segment_74hc595d.o(i.Segment_Initialization) refers to timer12.o(i.Timer12_Set_Match_Interrupt_Callback) for Timer12_Set_Match_Interrupt_Callback + segment_74hc595d.o(i.Segment_Initialization) refers to segment_74hc595d.o(.data) for ToggleTickCount + segment_74hc595d.o(i.Segment_Initialization) refers to segment_74hc595d.o(i.Segemet_Output_Process) for Segemet_Output_Process + segment_74hc595d.o(i.Segment_Output_Data) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + segment_74hc595d.o(i.Segment_Output_Data) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + segment_74hc595d.o(i.Segment_Output_Data) refers to segment_74hc595d.o(.data) for HC595_OutputMode + timer12.o(i.TIMER12_Handler) refers to timer12.o(.data) for Timer12_Match_Callback + timer12.o(i.Timer12_Initialization) refers to a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Init) for HAL_TIMER1n_Init + timer12.o(i.Timer12_Initialization) refers to a31g12x_hal_timer1n.o(i.HAL_TIMER1n_ConfigInterrupt) for HAL_TIMER1n_ConfigInterrupt + timer12.o(i.Timer12_Initialization) refers to timer12.o(i.NVIC_SetPriority) for NVIC_SetPriority + timer12.o(i.Timer12_Initialization) refers to a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskDisable) for HAL_INT_EInt_MaskDisable + timer12.o(i.Timer12_Initialization) refers to a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Cmd) for HAL_TIMER1n_Cmd + timer12.o(i.Timer12_Set_Match_Interrupt_Callback) refers to timer12.o(.data) for Timer12_Match_Callback + gpio_i2c.o(i.GPIO_I2C0_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_i2c.o(i.GPIO_I2C0_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Read) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C0_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C0_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Start) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C0_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C0_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C0_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Stop) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C0_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C0_Write) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_i2c.o(i.GPIO_I2C1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Read) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C1_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C1_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Start) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C1_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C1_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C1_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Stop) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C1_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C1_Write) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C2_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_i2c.o(i.GPIO_I2C2_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Read) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C2_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C2_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Start) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C2_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C2_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C2_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Stop) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C2_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C2_Write) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.I2C0_Read) refers to gpio_i2c.o(i.GPIO_I2C0_Start) for GPIO_I2C0_Start + gpio_i2c.o(i.I2C0_Read) refers to gpio_i2c.o(i.GPIO_I2C0_Write) for GPIO_I2C0_Write + gpio_i2c.o(i.I2C0_Read) refers to gpio_i2c.o(i.GPIO_I2C0_Read) for GPIO_I2C0_Read + gpio_i2c.o(i.I2C0_Read) refers to gpio_i2c.o(i.GPIO_I2C0_Stop) for GPIO_I2C0_Stop + gpio_i2c.o(i.I2C0_Write) refers to gpio_i2c.o(i.GPIO_I2C0_Start) for GPIO_I2C0_Start + gpio_i2c.o(i.I2C0_Write) refers to gpio_i2c.o(i.GPIO_I2C0_Write) for GPIO_I2C0_Write + gpio_i2c.o(i.I2C0_Write) refers to gpio_i2c.o(i.GPIO_I2C0_Stop) for GPIO_I2C0_Stop + gpio_i2c.o(i.I2C1_Read) refers to gpio_i2c.o(i.GPIO_I2C1_Start) for GPIO_I2C1_Start + gpio_i2c.o(i.I2C1_Read) refers to gpio_i2c.o(i.GPIO_I2C1_Write) for GPIO_I2C1_Write + gpio_i2c.o(i.I2C1_Read) refers to gpio_i2c.o(i.GPIO_I2C1_Read) for GPIO_I2C1_Read + gpio_i2c.o(i.I2C1_Read) refers to gpio_i2c.o(i.GPIO_I2C1_Stop) for GPIO_I2C1_Stop + gpio_i2c.o(i.I2C1_Write) refers to gpio_i2c.o(i.GPIO_I2C1_Start) for GPIO_I2C1_Start + gpio_i2c.o(i.I2C1_Write) refers to gpio_i2c.o(i.GPIO_I2C1_Write) for GPIO_I2C1_Write + gpio_i2c.o(i.I2C1_Write) refers to gpio_i2c.o(i.GPIO_I2C1_Stop) for GPIO_I2C1_Stop + gpio_i2c.o(i.I2C2_Read) refers to gpio_i2c.o(i.GPIO_I2C2_Start) for GPIO_I2C2_Start + gpio_i2c.o(i.I2C2_Read) refers to gpio_i2c.o(i.GPIO_I2C2_Write) for GPIO_I2C2_Write + gpio_i2c.o(i.I2C2_Read) refers to gpio_i2c.o(i.GPIO_I2C2_Read) for GPIO_I2C2_Read + gpio_i2c.o(i.I2C2_Read) refers to gpio_i2c.o(i.GPIO_I2C2_Stop) for GPIO_I2C2_Stop + gpio_i2c.o(i.I2C2_Write) refers to gpio_i2c.o(i.GPIO_I2C2_Start) for GPIO_I2C2_Start + gpio_i2c.o(i.I2C2_Write) refers to gpio_i2c.o(i.GPIO_I2C2_Write) for GPIO_I2C2_Write + gpio_i2c.o(i.I2C2_Write) refers to gpio_i2c.o(i.GPIO_I2C2_Stop) for GPIO_I2C2_Stop + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) for HAL_GPIO_ConfigFunction + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_usart1n.o(i.HAL_USART_SPI_Mode_Config) for HAL_USART_SPI_Mode_Config + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_usart1n.o(i.HAL_USART_Init) for HAL_USART_Init + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_usart1n.o(i.HAL_USART_DataControlConfig) for HAL_USART_DataControlConfig + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_usart1n.o(i.HAL_USART_Enable) for HAL_USART_Enable + driver_ds3231.o(i.a_ds3231_hex2bcd) refers to idiv.o(.text) for __aeabi_idivmod + driver_ds3231.o(i.ds3231_aging_offset_convert_to_data) refers to fflti.o(.text) for __aeabi_i2f + driver_ds3231.o(i.ds3231_aging_offset_convert_to_data) refers to fmul.o(.text) for __aeabi_fmul + driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) refers to fdiv.o(.text) for __aeabi_fdiv + driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) refers to ffixi.o(.text) for __aeabi_f2iz + driver_ds3231.o(i.ds3231_alarm_clear) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_alarm_clear) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_get_32khz_output) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_aging_offset) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_alarm1) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_alarm1) refers to driver_ds3231.o(i.a_ds3231_bcd2hex) for a_ds3231_bcd2hex + driver_ds3231.o(i.ds3231_get_alarm2) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_alarm2) refers to driver_ds3231.o(i.a_ds3231_bcd2hex) for a_ds3231_bcd2hex + driver_ds3231.o(i.ds3231_get_alarm_interrupt) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_oscillator) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_pin) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_square_wave) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_status) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_temperature) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_temperature) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_get_temperature) refers to fflti.o(.text) for __aeabi_i2f + driver_ds3231.o(i.ds3231_get_temperature) refers to fmul.o(.text) for __aeabi_fmul + driver_ds3231.o(i.ds3231_get_time) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_time) refers to driver_ds3231.o(i.a_ds3231_bcd2hex) for a_ds3231_bcd2hex + driver_ds3231.o(i.ds3231_info) refers to memseta.o(.text) for __aeabi_memclr4 + driver_ds3231.o(i.ds3231_info) refers to strncpy.o(.text) for strncpy + driver_ds3231.o(i.ds3231_init) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_init) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_irq_handler) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_32khz_output) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_32khz_output) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_aging_offset) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_alarm1) refers to driver_ds3231.o(i.a_ds3231_hex2bcd) for a_ds3231_hex2bcd + driver_ds3231.o(i.ds3231_set_alarm1) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_alarm2) refers to driver_ds3231.o(i.a_ds3231_hex2bcd) for a_ds3231_hex2bcd + driver_ds3231.o(i.ds3231_set_alarm2) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_alarm_interrupt) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_alarm_interrupt) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_oscillator) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_oscillator) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_pin) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_pin) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_square_wave) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_square_wave) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_time) refers to driver_ds3231.o(i.a_ds3231_hex2bcd) for a_ds3231_hex2bcd + driver_ds3231.o(i.ds3231_set_time) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231_basic.o(i.ds3231_basic_deinit) refers to driver_ds3231.o(i.ds3231_deinit) for ds3231_deinit + driver_ds3231_basic.o(i.ds3231_basic_deinit) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_ascii_time) refers to driver_ds3231.o(i.ds3231_get_time) for ds3231_get_time + driver_ds3231_basic.o(i.ds3231_basic_get_ascii_time) refers to printfa.o(i.__0snprintf) for __2snprintf + driver_ds3231_basic.o(i.ds3231_basic_get_ascii_time) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_temperature) refers to driver_ds3231.o(i.ds3231_get_temperature) for ds3231_get_temperature + driver_ds3231_basic.o(i.ds3231_basic_get_temperature) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_time) refers to driver_ds3231.o(i.ds3231_get_time) for ds3231_get_time + driver_ds3231_basic.o(i.ds3231_basic_get_time) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to driver_ds3231.o(i.ds3231_get_time) for ds3231_get_time + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to idiv.o(.text) for __aeabi_idivmod + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to mktime.o(.text) for mktime + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to driver_ds3231_basic.o(.data) for gs_time_zone + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp_time_zone) refers to driver_ds3231_basic.o(.data) for gs_time_zone + driver_ds3231_basic.o(i.ds3231_basic_init) refers to memseta.o(.text) for __aeabi_memclr4 + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_init) for ds3231_init + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_debug_print) for ds3231_interface_debug_print + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_oscillator) for ds3231_set_oscillator + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_deinit) for ds3231_deinit + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_alarm_interrupt) for ds3231_set_alarm_interrupt + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_pin) for ds3231_set_pin + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_square_wave) for ds3231_set_square_wave + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_32khz_output) for ds3231_set_32khz_output + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) for ds3231_aging_offset_convert_to_register + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_aging_offset) for ds3231_set_aging_offset + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_iic_init) for ds3231_interface_iic_init + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_iic_deinit) for ds3231_interface_iic_deinit + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) for ds3231_interface_iic_read + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) for ds3231_interface_iic_write + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_delay_ms) for ds3231_interface_delay_ms + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_receive_callback) for ds3231_interface_receive_callback + driver_ds3231_basic.o(i.ds3231_basic_set_time) refers to driver_ds3231.o(i.ds3231_set_time) for ds3231_set_time + driver_ds3231_basic.o(i.ds3231_basic_set_time) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp) refers to localtime_w.o(.text) for localtime + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp) refers to driver_ds3231.o(i.ds3231_set_time) for ds3231_set_time + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp) refers to driver_ds3231_basic.o(.data) for gs_time_zone + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp_time_zone) refers to driver_ds3231_basic.o(.data) for gs_time_zone + driver_ds3231_interface_template.o(i.ds3231_interface_delay_ms) refers to systick_timer.o(i.Delay_ms) for Delay_ms + driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) refers to gpio_i2c.o(i.I2C2_Write) for I2C2_Write + driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) refers to gpio_i2c.o(i.I2C2_Read) for I2C2_Read + driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) refers to memcpya.o(.text) for __aeabi_memcpy + driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) refers to gpio_i2c.o(i.I2C2_Write) for I2C2_Write + driver_ds3231_interface_template.o(i.ds3231_interface_receive_callback) refers to driver_ds3231_interface_template.o(i.ds3231_interface_debug_print) for ds3231_interface_debug_print + rtc_process.o(i.RTC_Get_IC_Time_Process) refers to driver_ds3231_basic.o(i.ds3231_basic_get_time) for ds3231_basic_get_time + rtc_process.o(i.RTC_Get_IC_Time_Process) refers to idiv.o(.text) for __aeabi_idivmod + rtc_process.o(i.RTC_Get_IC_Time_Process) refers to rtc_process.o(.data) for rtc_Time + rtc_process.o(i.RTC_Get_Time) refers to memcpya.o(.text) for __aeabi_memcpy + rtc_process.o(i.RTC_Get_Time) refers to rtc_process.o(.data) for rtc_Time + rtc_process.o(i.RTC_Process_Initialization) refers to driver_ds3231_basic.o(i.ds3231_basic_init) for ds3231_basic_init + rtc_process.o(i.RTC_Process_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + rtc_process.o(i.RTC_Process_Initialization) refers to rtc_process.o(i.RTC_Get_IC_Time_Process) for RTC_Get_IC_Time_Process + action_process.o(i.Action_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + action_process.o(i.Action_Initialization) refers to action_process.o(i.Action_Process) for Action_Process + eeprom.o(i.EEPROM_Read_Mode) refers to memcpya.o(.text) for __aeabi_memcpy + eeprom.o(i.EEPROM_Read_Mode) refers to eeprom.o(.bss) for eeprom_info + eeprom.o(i.EEPROM_Write_Mode) refers to memseta.o(.text) for __aeabi_memclr + eeprom.o(i.EEPROM_Write_Mode) refers to eeprom.o(i.FlashMem_Do_PageEr) for FlashMem_Do_PageEr + eeprom.o(i.EEPROM_Write_Mode) refers to eeprom.o(i.FlashMem_Do_PageWt) for FlashMem_Do_PageWt + eeprom.o(i.EEPROM_Write_Mode) refers to eeprom.o(.bss) for eeprom_info + eeprom.o(i.FlashMEM_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + eeprom.o(i.FlashMEM_Clock_Initialization) refers to a31g12x_hal_crc.o(i.HAL_CRC_Init) for HAL_CRC_Init + eeprom.o(i.FlashMem_Do_PageEr) refers to a31g12x_hal_fmc.o(i.HAL_FMC_PageErase) for HAL_FMC_PageErase + eeprom.o(i.FlashMem_Do_PageWt) refers to a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite) for HAL_FMC_PageWrite + buzzer.o(i.Buzzer_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + buzzer.o(i.Buzzer_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + buzzer.o(i.Buzzer_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + buzzer.o(i.Buzzer_Initialization) refers to buzzer.o(i.Buzzer_Output_Process) for Buzzer_Output_Process + buzzer.o(i.Buzzer_On) refers to systick_timer.o(i.millis) for millis + buzzer.o(i.Buzzer_On) refers to buzzer.o(.data) for isBuzzerOn + buzzer.o(i.Buzzer_Output_Process) refers to systick_timer.o(i.millis) for millis + buzzer.o(i.Buzzer_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + buzzer.o(i.Buzzer_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + buzzer.o(i.Buzzer_Output_Process) refers to buzzer.o(.data) for isBuzzerOn + gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + segment.o(i.Segment_All_Set_Data) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Sensor_Error) refers to segment_74hc595d.o(.data) for Segment_Toggle_In + segment.o(i.Segment_In_Sensor_Error) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Sensor_Error) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_Humidity) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_Humidity) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_Humidity) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_PM_10) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_PM_10) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_PM_10) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_PM_1p0) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_PM_1p0) refers to segment_74hc595d.o(.data) for Segment_Toggle_In + segment.o(i.Segment_In_Set_PM_1p0) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_PM_1p0) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_PM_2p5) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_PM_2p5) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_PM_2p5) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_PM_4p0) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_PM_4p0) refers to segment_74hc595d.o(.data) for Segment_Toggle_In + segment.o(i.Segment_In_Set_PM_4p0) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_PM_4p0) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_Temperature) refers to idiv.o(.text) for __aeabi_idivmod + segment.o(i.Segment_In_Set_Temperature) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_Temperature) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Sensor_Error) refers to segment_74hc595d.o(.data) for Segment_Toggle_Out + segment.o(i.Segment_Out_Sensor_Error) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Sensor_Error) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_Humidity) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_Humidity) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_Humidity) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_PM_10) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_PM_10) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_PM_10) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_PM_1p0) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_PM_1p0) refers to segment_74hc595d.o(.data) for Segment_Toggle_Out + segment.o(i.Segment_Out_Set_PM_1p0) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_PM_1p0) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_PM_2p5) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_PM_2p5) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_PM_2p5) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_PM_4p0) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_PM_4p0) refers to segment_74hc595d.o(.data) for Segment_Toggle_Out + segment.o(i.Segment_Out_Set_PM_4p0) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_PM_4p0) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_Temperature) refers to idiv.o(.text) for __aeabi_idivmod + segment.o(i.Segment_Out_Set_Temperature) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_Temperature) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Show_Mode) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Show_Mode) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Show_Version) refers to idiv.o(.text) for __aeabi_idivmod + segment.o(i.Segment_Show_Version) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Show_Version) refers to segment.o(.constdata) for SegmentData + uart_packet.o(i.Uart_Packet_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + uart_packet.o(i.Uart_Packet_Initialization) refers to uart_packet.o(.data) for Pack_Index + uart_packet.o(i.Uart_Packet_Initialization) refers to uart_packet.o(i.Uart_Packet_Make_Process) for Uart_Packet_Make_Process + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart1.o(i.Uart1_Get_RecvDataCount) for Uart1_Get_RecvDataCount + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart1.o(i.Uart1_Get_RecvData) for Uart1_Get_RecvData + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart_packet.o(i.Uart_Packet_Process) for Uart_Packet_Process + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart_packet.o(.data) for Pack_Index + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart_packet.o(.bss) for Pack_Buff + uart_packet.o(i.Uart_Packet_Process) refers to driver_ds3231_basic.o(i.ds3231_basic_get_time) for ds3231_basic_get_time + uart_packet.o(i.Uart_Packet_Process) refers to driver_ds3231_basic.o(i.ds3231_basic_set_time) for ds3231_basic_set_time + uart_packet.o(i.Uart_Packet_Process) refers to buzzer.o(i.Buzzer_On) for Buzzer_On + a31g12x_hal_adc.o(i.HAL_ADC_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_adc.o(i.HAL_ADC_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_crc.o(i.HAL_CRC_ConfigAutoMode) refers to system_a31g12x.o(.data) for SystemCoreClock + a31g12x_hal_crc.o(i.HAL_CRC_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_crc.o(i.HAL_CRC_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_crc.o(i.HAL_CRC_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_debug_frmwrk.o(i.UARTGetCh) refers to a31g12x_hal_uartn.o(i.HAL_UART_ReceiveByte) for HAL_UART_ReceiveByte + a31g12x_hal_debug_frmwrk.o(i.UARTGetChar) refers to a31g12x_hal_uartn.o(i.HAL_UART_Receive) for HAL_UART_Receive + a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) refers to a31g12x_hal_uartn.o(i.HAL_UART_Transmit) for HAL_UART_Transmit + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec) refers to idiv.o(.text) for __aeabi_idivmod + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec16) refers to idiv.o(.text) for __aeabi_idivmod + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec16) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec32) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec32) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutHex) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutHex16) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutHex32) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPuts) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPuts_) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPuts) for UARTPuts + a31g12x_hal_debug_frmwrk.o(i.cprintf) refers to printfa.o(i.__0vsprintf) for vsprintf + a31g12x_hal_debug_frmwrk.o(i.cprintf) refers to a31g12x_hal_debug_frmwrk.o(.data) for _db_msg + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) for HAL_GPIO_ConfigFunction + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_uartn.o(i.HAL_UART_ConfigStructInit) for HAL_UART_ConfigStructInit + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_uartn.o(i.HAL_UART_Init) for HAL_UART_Init + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPuts) for UARTPuts + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(.data) for _db_msg + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPuts_) for UARTPuts_ + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutHex) for UARTPutHex + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutHex16) for UARTPutHex16 + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutHex32) for UARTPutHex32 + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutDec) for UARTPutDec + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutDec16) for UARTPutDec16 + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutDec32) for UARTPutDec32 + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTGetChar) for UARTGetChar + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTGetCh) for UARTGetCh + a31g12x_hal_debug_frmwrk.o(i.getstring) refers to a31g12x_hal_debug_frmwrk.o(i.UARTGetChar) for UARTGetChar + a31g12x_hal_debug_frmwrk.o(i.getstring) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.getstring) refers to a31g12x_hal_uartn.o(.data) for InCount + a31g12x_hal_debug_frmwrk.o(i.getstring) refers to a31g12x_hal_uartn.o(.bss) for InData + a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry) for HAL_FMC_FlashEntry + a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction) for HAL_FMC_FlashFunction + a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit) for HAL_FMC_FlashExit + a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase) refers to a31g12x_hal_fmc.o(.data) for flash_addr_code1 + a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry) refers to a31g12x_hal_fmc.o(.data) for flash_id1_reg + a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit) refers to a31g12x_hal_fmc.o(.data) for flash_id1_reg + a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction) refers to a31g12x_hal_fmc.o(.data) for flash_addr_code0 + a31g12x_hal_fmc.o(i.HAL_FMC_PageErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry) for HAL_FMC_FlashEntry + a31g12x_hal_fmc.o(i.HAL_FMC_PageErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction) for HAL_FMC_FlashFunction + a31g12x_hal_fmc.o(i.HAL_FMC_PageErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit) for HAL_FMC_FlashExit + a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry) for HAL_FMC_FlashEntry + a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction) for HAL_FMC_FlashFunction + a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit) for HAL_FMC_FlashExit + a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) refers to a31g12x_hal_i2cn.o(i.NVIC_ClearPendingIRQ) for NVIC_ClearPendingIRQ + a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) refers to a31g12x_hal_i2cn.o(i.NVIC_EnableIRQ) for NVIC_EnableIRQ + a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) refers to a31g12x_hal_i2cn.o(i.NVIC_DisableIRQ) for NVIC_DisableIRQ + a31g12x_hal_i2cn.o(i.HAL_I2C_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_i2cn.o(i.HAL_I2C_Init) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_hal_i2cn.o(i.HAL_I2C_Init) refers to system_a31g12x.o(.data) for SystemPeriClock + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(i.I2Cn_MWait) for I2Cn_MWait + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to memcpya.o(.text) for __aeabi_memcpy4 + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) for HAL_I2C_ConfigInterrupt + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_MasterComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(.bss) for i2cdat + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_GetState) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_GetState) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_MasterComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) for HAL_I2C_ConfigInterrupt + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(.bss) for i2cdat + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_MasterComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_Receive) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) for HAL_I2C_MasterTransferData + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_Transmit) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) for HAL_I2C_MasterTransferData + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(i.I2Cn_SWait) for I2Cn_SWait + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to segment_74hc595d.o(i.__ARM_common_switch8) for __ARM_common_switch8 + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to memcpya.o(.text) for __aeabi_memcpy4 + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) for HAL_I2C_ConfigInterrupt + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_SlaveComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(.bss) for i2cdat + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_GetState) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_GetState) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_SlaveComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) for HAL_I2C_ConfigInterrupt + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(.bss) for i2cdat + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_SlaveComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_Receive) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) for HAL_I2C_SlaveTransferData + a31g12x_hal_lcd.o(i.HAL_LCD_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_pcu.o(i.HAL_GPIO_TogglePin) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + a31g12x_hal_pcu.o(i.HAL_GPIO_TogglePin) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + a31g12x_hal_sculv.o(i.HAL_LVI_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_timer1n.o(i.HAL_TIMER1n_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock1) for HAL_SCU_Peripheral_EnableClock1 + a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock1) for HAL_SCU_Peripheral_EnableClock1 + a31g12x_hal_uartn.o(i.HAL_UART_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_uartn.o(i.HAL_UART_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_uartn.o(i.HAL_UART_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_uartn.o(i.HAL_UART_Init) refers to a31g12x_hal_uartn.o(i.uart_set_divisors) for uart_set_divisors + a31g12x_hal_uartn.o(i.HAL_UART_Init) refers to system_a31g12x.o(.data) for SystemPeriClock + a31g12x_hal_uartn.o(i.HAL_UART_Init) refers to a31g12x_hal_uartn.o(.data) for UARTn_BaseClock + a31g12x_hal_uartn.o(i.HAL_UART_Receive) refers to a31g12x_hal_uartn.o(i.HAL_UART_ReceiveByte) for HAL_UART_ReceiveByte + a31g12x_hal_uartn.o(i.HAL_UART_Transmit) refers to a31g12x_hal_uartn.o(i.HAL_UART_TransmitByte) for HAL_UART_TransmitByte + a31g12x_hal_uartn.o(i.uart_set_divisors) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_hal_uartn.o(i.uart_set_divisors) refers to a31g12x_hal_uartn.o(.data) for UARTn_BaseClock + a31g12x_hal_usart1n.o(i.HAL_USART_DataControlConfig) refers to segment_74hc595d.o(i.__ARM_common_switch8) for __ARM_common_switch8 + a31g12x_hal_usart1n.o(i.HAL_USART_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_usart1n.o(i.HAL_USART_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_usart1n.o(i.usart_set_divisors) for usart_set_divisors + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_usart1n.o(i.HAL_USART_ClearStatus) for HAL_USART_ClearStatus + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_usart1n.o(i.HAL_USART_ReceiveByte) for HAL_USART_ReceiveByte + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to system_a31g12x.o(.data) for SystemPeriClock + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_usart1n.o(.data) for USART1n_BaseClock + a31g12x_hal_usart1n.o(i.HAL_USART_Receive) refers to a31g12x_hal_usart1n.o(i.HAL_USART_ReceiveByte) for HAL_USART_ReceiveByte + a31g12x_hal_usart1n.o(i.HAL_USART_Transmit) refers to a31g12x_hal_usart1n.o(i.HAL_USART_TransmitByte) for HAL_USART_TransmitByte + a31g12x_hal_usart1n.o(i.HAL_USART_Transmit) refers to a31g12x_hal_usart1n.o(i.HAL_USART_ClearStatus) for HAL_USART_ClearStatus + a31g12x_hal_usart1n.o(i.usart_set_divisors) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_hal_usart1n.o(i.usart_set_divisors) refers to a31g12x_hal_usart1n.o(.data) for USART1n_BaseClock + a31g12x_hal_wdt.o(i.HAL_WDT_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_wt.o(i.HAL_WT_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + startup_a31g12x.o(RESET) refers to startup_a31g12x.o(STACK) for __initial_sp + startup_a31g12x.o(RESET) refers to startup_a31g12x.o(.text) for Reset_Handler + startup_a31g12x.o(RESET) refers to a31g12x_interrupt.o(i.NMI_Handler) for NMI_Handler + startup_a31g12x.o(RESET) refers to a31g12x_interrupt.o(i.HardFault_Handler) for HardFault_Handler + startup_a31g12x.o(RESET) refers to a31g12x_interrupt.o(i.SVC_Handler) for SVC_Handler + startup_a31g12x.o(RESET) refers to a31g12x_interrupt.o(i.PendSV_Handler) for PendSV_Handler + startup_a31g12x.o(RESET) refers to systick_timer.o(i.SysTick_Handler) for SysTick_Handler + startup_a31g12x.o(RESET) refers to timer12.o(i.TIMER12_Handler) for TIMER12_Handler + startup_a31g12x.o(RESET) refers to uart1.o(i.UART1_Handler) for UART1_Handler + startup_a31g12x.o(.text) refers to system_a31g12x.o(i.SystemInit) for SystemInit + startup_a31g12x.o(.text) refers to entry.o(.ARM.Collect$$$$00000000) for __main + system_a31g12x.o(i.SystemCoreClockUpdate) refers to system_a31g12x.o(.data) for ClkSrcTbl + fatfs.o(i.MX_FATFS_Init) refers to ff_gen_drv.o(i.FATFS_LinkDriver) for FATFS_LinkDriver + fatfs.o(i.MX_FATFS_Init) refers to fatfs.o(.data) for USERPath + fatfs.o(i.MX_FATFS_Init) refers to user_diskio.o(.data) for USER_Driver + user_diskio.o(i.USER_initialize) refers to user_diskio_spi.o(i.USER_SPI_initialize) for USER_SPI_initialize + user_diskio.o(i.USER_ioctl) refers to user_diskio_spi.o(i.USER_SPI_ioctl) for USER_SPI_ioctl + user_diskio.o(i.USER_read) refers to user_diskio_spi.o(i.USER_SPI_read) for USER_SPI_read + user_diskio.o(i.USER_status) refers to user_diskio_spi.o(i.USER_SPI_status) for USER_SPI_status + user_diskio.o(i.USER_write) refers to user_diskio_spi.o(i.USER_SPI_write) for USER_SPI_write + user_diskio.o(.data) refers to user_diskio.o(i.USER_initialize) for USER_initialize + user_diskio.o(.data) refers to user_diskio.o(i.USER_status) for USER_status + user_diskio.o(.data) refers to user_diskio.o(i.USER_read) for USER_read + user_diskio.o(.data) refers to user_diskio.o(i.USER_write) for USER_write + user_diskio.o(.data) refers to user_diskio.o(i.USER_ioctl) for USER_ioctl + user_diskio_spi.o(i.SPI_Timer_On) refers to systick_timer.o(i.millis) for millis + user_diskio_spi.o(i.SPI_Timer_On) refers to user_diskio_spi.o(.data) for spiTimerTickStart + user_diskio_spi.o(i.SPI_Timer_Status) refers to systick_timer.o(i.millis) for millis + user_diskio_spi.o(i.SPI_Timer_Status) refers to user_diskio_spi.o(.data) for spiTimerTickStart + user_diskio_spi.o(i.despiselect) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + user_diskio_spi.o(i.despiselect) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.rcvr_datablock) refers to user_diskio_spi.o(i.SPI_Timer_On) for SPI_Timer_On + user_diskio_spi.o(i.rcvr_datablock) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.rcvr_datablock) refers to user_diskio_spi.o(i.SPI_Timer_Status) for SPI_Timer_Status + user_diskio_spi.o(i.rcvr_datablock) refers to user_diskio_spi.o(i.rcvr_spi_multi) for rcvr_spi_multi + user_diskio_spi.o(i.rcvr_spi_multi) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.send_cmd) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.send_cmd) refers to user_diskio_spi.o(i.spiselect) for spiselect + user_diskio_spi.o(i.send_cmd) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.spiselect) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + user_diskio_spi.o(i.spiselect) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.spiselect) refers to user_diskio_spi.o(i.wait_ready) for wait_ready + user_diskio_spi.o(i.spiselect) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.wait_ready) refers to systick_timer.o(i.millis) for millis + user_diskio_spi.o(i.wait_ready) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.xchg_spi) refers to spi10.o(i.SPI10_SendReceiveByte) for SPI10_SendReceiveByte + user_diskio_spi.o(i.xmit_datablock) refers to user_diskio_spi.o(i.wait_ready) for wait_ready + user_diskio_spi.o(i.xmit_datablock) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.xmit_datablock) refers to user_diskio_spi.o(i.xmit_spi_multi) for xmit_spi_multi + user_diskio_spi.o(i.xmit_spi_multi) refers to spi10.o(i.SPI10_Transmit) for SPI10_Transmit + diskio.o(i.disk_initialize) refers to ff_gen_drv.o(.bss) for disk + diskio.o(i.disk_ioctl) refers to ff_gen_drv.o(.bss) for disk + diskio.o(i.disk_read) refers to ff_gen_drv.o(.bss) for disk + diskio.o(i.disk_status) refers to ff_gen_drv.o(.bss) for disk + diskio.o(i.disk_write) refers to ff_gen_drv.o(.bss) for disk + ff.o(i.check_fs) refers to ff.o(i.move_window) for move_window + ff.o(i.chk_lock) refers to ff.o(.bss) for Files + ff.o(i.clear_lock) refers to ff.o(.bss) for Files + ff.o(i.clmt_clust) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.create_chain) refers to ff.o(i.get_fat) for get_fat + ff.o(i.create_chain) refers to ff.o(i.put_fat) for put_fat + ff.o(i.create_name) refers to ff.o(i.mem_set) for mem_set + ff.o(i.create_name) refers to ff.o(i.chk_chr) for chk_chr + ff.o(i.create_name) refers to ff.o(.constdata) for ExCvt + ff.o(i.dec_lock) refers to ff.o(.bss) for Files + ff.o(i.dir_alloc) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.dir_alloc) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_alloc) refers to ff.o(i.dir_next) for dir_next + ff.o(i.dir_find) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.dir_find) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_find) refers to ff.o(i.mem_cmp) for mem_cmp + ff.o(i.dir_find) refers to ff.o(i.dir_next) for dir_next + ff.o(i.dir_next) refers to ff.o(i.get_fat) for get_fat + ff.o(i.dir_next) refers to ff.o(i.create_chain) for create_chain + ff.o(i.dir_next) refers to ff.o(i.sync_window) for sync_window + ff.o(i.dir_next) refers to ff.o(i.mem_set) for mem_set + ff.o(i.dir_next) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.dir_read) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_read) refers to ff.o(i.dir_next) for dir_next + ff.o(i.dir_register) refers to ff.o(i.dir_alloc) for dir_alloc + ff.o(i.dir_register) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_register) refers to ff.o(i.mem_set) for mem_set + ff.o(i.dir_register) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.dir_remove) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.dir_remove) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_remove) refers to ff.o(i.mem_set) for mem_set + ff.o(i.dir_sdi) refers to ff.o(i.get_fat) for get_fat + ff.o(i.dir_sdi) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.enq_lock) refers to ff.o(.bss) for Files + ff.o(i.f_chmod) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_chmod) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_chmod) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_close) refers to ff.o(i.f_sync) for f_sync + ff.o(i.f_close) refers to ff.o(i.validate) for validate + ff.o(i.f_close) refers to ff.o(i.dec_lock) for dec_lock + ff.o(i.f_closedir) refers to ff.o(i.validate) for validate + ff.o(i.f_closedir) refers to ff.o(i.dec_lock) for dec_lock + ff.o(i.f_getfree) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_getfree) refers to ff.o(i.get_fat) for get_fat + ff.o(i.f_getfree) refers to ff.o(i.move_window) for move_window + ff.o(i.f_gets) refers to ff.o(i.f_read) for f_read + ff.o(i.f_lseek) refers to ff.o(i.validate) for validate + ff.o(i.f_lseek) refers to ff.o(i.get_fat) for get_fat + ff.o(i.f_lseek) refers to ff.o(i.clmt_clust) for clmt_clust + ff.o(i.f_lseek) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_lseek) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_lseek) refers to diskio.o(i.disk_read) for disk_read + ff.o(i.f_lseek) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.f_lseek) refers to ff.o(i.create_chain) for create_chain + ff.o(i.f_mkdir) refers to fatfs.o(i.get_fattime) for get_fattime + ff.o(i.f_mkdir) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_mkdir) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_mkdir) refers to ff.o(i.create_chain) for create_chain + ff.o(i.f_mkdir) refers to ff.o(i.sync_window) for sync_window + ff.o(i.f_mkdir) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_mkdir) refers to ff.o(i.mem_set) for mem_set + ff.o(i.f_mkdir) refers to ff.o(i.st_clust) for st_clust + ff.o(i.f_mkdir) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_mkdir) refers to ff.o(i.dir_register) for dir_register + ff.o(i.f_mkdir) refers to ff.o(i.remove_chain) for remove_chain + ff.o(i.f_mkdir) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_mkfs) refers to ff.o(i.get_ldnumber) for get_ldnumber + ff.o(i.f_mkfs) refers to diskio.o(i.disk_initialize) for disk_initialize + ff.o(i.f_mkfs) refers to diskio.o(i.disk_ioctl) for disk_ioctl + ff.o(i.f_mkfs) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.f_mkfs) refers to ff.o(i.mem_set) for mem_set + ff.o(i.f_mkfs) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_mkfs) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_mkfs) refers to fatfs.o(i.get_fattime) for get_fattime + ff.o(i.f_mkfs) refers to ff.o(.data) for FatFs + ff.o(i.f_mkfs) refers to ff.o(.constdata) for vst + ff.o(i.f_mount) refers to ff.o(i.get_ldnumber) for get_ldnumber + ff.o(i.f_mount) refers to ff.o(i.clear_lock) for clear_lock + ff.o(i.f_mount) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_mount) refers to ff.o(.data) for FatFs + ff.o(i.f_open) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_open) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_open) refers to ff.o(i.chk_lock) for chk_lock + ff.o(i.f_open) refers to ff.o(i.enq_lock) for enq_lock + ff.o(i.f_open) refers to ff.o(i.dir_register) for dir_register + ff.o(i.f_open) refers to fatfs.o(i.get_fattime) for get_fattime + ff.o(i.f_open) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.f_open) refers to ff.o(i.st_clust) for st_clust + ff.o(i.f_open) refers to ff.o(i.remove_chain) for remove_chain + ff.o(i.f_open) refers to ff.o(i.move_window) for move_window + ff.o(i.f_open) refers to ff.o(i.inc_lock) for inc_lock + ff.o(i.f_opendir) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_opendir) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_opendir) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.f_opendir) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.f_opendir) refers to ff.o(i.inc_lock) for inc_lock + ff.o(i.f_printf) refers to ff.o(i.putc_bfd) for putc_bfd + ff.o(i.f_printf) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.f_printf) refers to ff.o(i.f_write) for f_write + ff.o(i.f_putc) refers to ff.o(i.putc_bfd) for putc_bfd + ff.o(i.f_putc) refers to ff.o(i.f_write) for f_write + ff.o(i.f_puts) refers to ff.o(i.putc_bfd) for putc_bfd + ff.o(i.f_puts) refers to ff.o(i.f_write) for f_write + ff.o(i.f_read) refers to ff.o(i.validate) for validate + ff.o(i.f_read) refers to ff.o(i.clmt_clust) for clmt_clust + ff.o(i.f_read) refers to ff.o(i.get_fat) for get_fat + ff.o(i.f_read) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_read) refers to diskio.o(i.disk_read) for disk_read + ff.o(i.f_read) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_read) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_readdir) refers to ff.o(i.validate) for validate + ff.o(i.f_readdir) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.f_readdir) refers to ff.o(i.dir_read) for dir_read + ff.o(i.f_readdir) refers to ff.o(i.get_fileinfo) for get_fileinfo + ff.o(i.f_readdir) refers to ff.o(i.dir_next) for dir_next + ff.o(i.f_rename) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_rename) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_rename) refers to ff.o(i.chk_lock) for chk_lock + ff.o(i.f_rename) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_rename) refers to ff.o(i.get_ldnumber) for get_ldnumber + ff.o(i.f_rename) refers to ff.o(i.dir_register) for dir_register + ff.o(i.f_rename) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.f_rename) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_rename) refers to ff.o(i.move_window) for move_window + ff.o(i.f_rename) refers to ff.o(i.st_clust) for st_clust + ff.o(i.f_rename) refers to ff.o(i.dir_remove) for dir_remove + ff.o(i.f_rename) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_stat) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_stat) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_stat) refers to ff.o(i.get_fileinfo) for get_fileinfo + ff.o(i.f_sync) refers to ff.o(i.validate) for validate + ff.o(i.f_sync) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_sync) refers to ff.o(i.move_window) for move_window + ff.o(i.f_sync) refers to ff.o(i.st_clust) for st_clust + ff.o(i.f_sync) refers to fatfs.o(i.get_fattime) for get_fattime + ff.o(i.f_sync) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_truncate) refers to ff.o(i.validate) for validate + ff.o(i.f_truncate) refers to ff.o(i.remove_chain) for remove_chain + ff.o(i.f_truncate) refers to ff.o(i.get_fat) for get_fat + ff.o(i.f_truncate) refers to ff.o(i.put_fat) for put_fat + ff.o(i.f_truncate) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_unlink) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_unlink) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_unlink) refers to ff.o(i.chk_lock) for chk_lock + ff.o(i.f_unlink) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.f_unlink) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_unlink) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.f_unlink) refers to ff.o(i.dir_read) for dir_read + ff.o(i.f_unlink) refers to ff.o(i.dir_remove) for dir_remove + ff.o(i.f_unlink) refers to ff.o(i.remove_chain) for remove_chain + ff.o(i.f_unlink) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_utime) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_utime) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_utime) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_write) refers to ff.o(i.validate) for validate + ff.o(i.f_write) refers to ff.o(i.create_chain) for create_chain + ff.o(i.f_write) refers to ff.o(i.clmt_clust) for clmt_clust + ff.o(i.f_write) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_write) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_write) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_write) refers to diskio.o(i.disk_read) for disk_read + ff.o(i.find_volume) refers to ff.o(i.get_ldnumber) for get_ldnumber + ff.o(i.find_volume) refers to diskio.o(i.disk_status) for disk_status + ff.o(i.find_volume) refers to diskio.o(i.disk_initialize) for disk_initialize + ff.o(i.find_volume) refers to ff.o(i.check_fs) for check_fs + ff.o(i.find_volume) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.find_volume) refers to ff.o(i.move_window) for move_window + ff.o(i.find_volume) refers to ff.o(.data) for FatFs + ff.o(i.find_volume) refers to ff.o(i.clear_lock) for clear_lock + ff.o(i.follow_path) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.follow_path) refers to ff.o(i.create_name) for create_name + ff.o(i.follow_path) refers to ff.o(i.dir_find) for dir_find + ff.o(i.follow_path) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.get_fat) refers to ff.o(i.move_window) for move_window + ff.o(i.inc_lock) refers to ff.o(.bss) for Files + ff.o(i.move_window) refers to ff.o(i.sync_window) for sync_window + ff.o(i.move_window) refers to diskio.o(i.disk_read) for disk_read + ff.o(i.put_fat) refers to ff.o(i.move_window) for move_window + ff.o(i.putc_bfd) refers to ff.o(i.f_write) for f_write + ff.o(i.remove_chain) refers to ff.o(i.get_fat) for get_fat + ff.o(i.remove_chain) refers to ff.o(i.put_fat) for put_fat + ff.o(i.sync_fs) refers to ff.o(i.sync_window) for sync_window + ff.o(i.sync_fs) refers to ff.o(i.mem_set) for mem_set + ff.o(i.sync_fs) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.sync_fs) refers to diskio.o(i.disk_ioctl) for disk_ioctl + ff.o(i.sync_window) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.validate) refers to diskio.o(i.disk_status) for disk_status + ff_gen_drv.o(i.FATFS_GetAttachedDriversNbr) refers to ff_gen_drv.o(.bss) for disk + ff_gen_drv.o(i.FATFS_LinkDriver) refers to ff_gen_drv.o(i.FATFS_LinkDriverEx) for FATFS_LinkDriverEx + ff_gen_drv.o(i.FATFS_LinkDriverEx) refers to ff_gen_drv.o(.bss) for disk + ff_gen_drv.o(i.FATFS_UnLinkDriver) refers to ff_gen_drv.o(i.FATFS_UnLinkDriverEx) for FATFS_UnLinkDriverEx + ff_gen_drv.o(i.FATFS_UnLinkDriverEx) refers to ff_gen_drv.o(.bss) for disk + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry10a.o(.ARM.Collect$$$$0000000F) for __rt_final_cpp + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry11a.o(.ARM.Collect$$$$00000011) for __rt_final_exit + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry12b.o(.ARM.Collect$$$$0000000E) for __rt_lib_shutdown_fini + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry7b.o(.ARM.Collect$$$$00000008) for _main_clock + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry8b.o(.ARM.Collect$$$$0000000A) for _main_cpp_init + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry9a.o(.ARM.Collect$$$$0000000B) for _main_init + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry5.o(.ARM.Collect$$$$00000004) for _main_scatterload + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry2.o(.ARM.Collect$$$$00000001) for _main_stk + idiv.o(.text) refers to uidiv.o(.text) for __aeabi_uidivmod + localtime.o(.text) refers to uidiv.o(.text) for __aeabi_uidivmod + localtime.o(.text) refers to localtime.o(.bss) for .bss + localtime.o(.text) refers to localtime.o(.constdata) for .constdata + mktime.o(.text) refers to idiv.o(.text) for __aeabi_idivmod + mktime.o(.text) refers to localtime_i.o(.text) for _localtime + mktime.o(.text) refers to mktime.o(.constdata) for .constdata + printfb.o(i.__0fprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0fprintf$bare) refers to dbg_printf.o(i.fputc) for fputc + printfb.o(i.__0printf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0printf$bare) refers to dbg_printf.o(i.fputc) for fputc + printfb.o(i.__0printf$bare) refers to stdout.o(.data) for __stdout + printfb.o(i.__0snprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0snprintf$bare) refers to printfb.o(i._snputc) for _snputc + printfb.o(i.__0sprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0sprintf$bare) refers to printfb.o(i._sputc) for _sputc + printfb.o(i.__0vfprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0vfprintf$bare) refers to dbg_printf.o(i.fputc) for fputc + printfb.o(i.__0vprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0vprintf$bare) refers to dbg_printf.o(i.fputc) for fputc + printfb.o(i.__0vprintf$bare) refers to stdout.o(.data) for __stdout + printfb.o(i.__0vsnprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0vsnprintf$bare) refers to printfb.o(i._snputc) for _snputc + printfb.o(i.__0vsprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0vsprintf$bare) refers to printfb.o(i._sputc) for _sputc + printf0.o(i.__0fprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0fprintf$0) refers to dbg_printf.o(i.fputc) for fputc + printf0.o(i.__0printf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0printf$0) refers to dbg_printf.o(i.fputc) for fputc + printf0.o(i.__0printf$0) refers to stdout.o(.data) for __stdout + printf0.o(i.__0snprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0snprintf$0) refers to printf0.o(i._snputc) for _snputc + printf0.o(i.__0sprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0sprintf$0) refers to printf0.o(i._sputc) for _sputc + printf0.o(i.__0vfprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0vfprintf$0) refers to dbg_printf.o(i.fputc) for fputc + printf0.o(i.__0vprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0vprintf$0) refers to dbg_printf.o(i.fputc) for fputc + printf0.o(i.__0vprintf$0) refers to stdout.o(.data) for __stdout + printf0.o(i.__0vsnprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0vsnprintf$0) refers to printf0.o(i._snputc) for _snputc + printf0.o(i.__0vsprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0vsprintf$0) refers to printf0.o(i._sputc) for _sputc + printf1.o(i.__0fprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0fprintf$1) refers to dbg_printf.o(i.fputc) for fputc + printf1.o(i.__0printf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0printf$1) refers to dbg_printf.o(i.fputc) for fputc + printf1.o(i.__0printf$1) refers to stdout.o(.data) for __stdout + printf1.o(i.__0snprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0snprintf$1) refers to printf1.o(i._snputc) for _snputc + printf1.o(i.__0sprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0sprintf$1) refers to printf1.o(i._sputc) for _sputc + printf1.o(i.__0vfprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0vfprintf$1) refers to dbg_printf.o(i.fputc) for fputc + printf1.o(i.__0vprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0vprintf$1) refers to dbg_printf.o(i.fputc) for fputc + printf1.o(i.__0vprintf$1) refers to stdout.o(.data) for __stdout + printf1.o(i.__0vsnprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0vsnprintf$1) refers to printf1.o(i._snputc) for _snputc + printf1.o(i.__0vsprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0vsprintf$1) refers to printf1.o(i._sputc) for _sputc + printf1.o(i._printf_core) refers to uidiv.o(.text) for __aeabi_uidivmod + printf2.o(i.__0fprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0fprintf$2) refers to dbg_printf.o(i.fputc) for fputc + printf2.o(i.__0printf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0printf$2) refers to dbg_printf.o(i.fputc) for fputc + printf2.o(i.__0printf$2) refers to stdout.o(.data) for __stdout + printf2.o(i.__0snprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0snprintf$2) refers to printf2.o(i._snputc) for _snputc + printf2.o(i.__0sprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0sprintf$2) refers to printf2.o(i._sputc) for _sputc + printf2.o(i.__0vfprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0vfprintf$2) refers to dbg_printf.o(i.fputc) for fputc + printf2.o(i.__0vprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0vprintf$2) refers to dbg_printf.o(i.fputc) for fputc + printf2.o(i.__0vprintf$2) refers to stdout.o(.data) for __stdout + printf2.o(i.__0vsnprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0vsnprintf$2) refers to printf2.o(i._snputc) for _snputc + printf2.o(i.__0vsprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0vsprintf$2) refers to printf2.o(i._sputc) for _sputc + printf3.o(i.__0fprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0fprintf$3) refers to dbg_printf.o(i.fputc) for fputc + printf3.o(i.__0printf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0printf$3) refers to dbg_printf.o(i.fputc) for fputc + printf3.o(i.__0printf$3) refers to stdout.o(.data) for __stdout + printf3.o(i.__0snprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0snprintf$3) refers to printf3.o(i._snputc) for _snputc + printf3.o(i.__0sprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0sprintf$3) refers to printf3.o(i._sputc) for _sputc + printf3.o(i.__0vfprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0vfprintf$3) refers to dbg_printf.o(i.fputc) for fputc + printf3.o(i.__0vprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0vprintf$3) refers to dbg_printf.o(i.fputc) for fputc + printf3.o(i.__0vprintf$3) refers to stdout.o(.data) for __stdout + printf3.o(i.__0vsnprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0vsnprintf$3) refers to printf3.o(i._snputc) for _snputc + printf3.o(i.__0vsprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0vsprintf$3) refers to printf3.o(i._sputc) for _sputc + printf3.o(i._printf_core) refers to uidiv.o(.text) for __aeabi_uidivmod + printf4.o(i.__0fprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0fprintf$4) refers to dbg_printf.o(i.fputc) for fputc + printf4.o(i.__0printf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0printf$4) refers to dbg_printf.o(i.fputc) for fputc + printf4.o(i.__0printf$4) refers to stdout.o(.data) for __stdout + printf4.o(i.__0snprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0snprintf$4) refers to printf4.o(i._snputc) for _snputc + printf4.o(i.__0sprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0sprintf$4) refers to printf4.o(i._sputc) for _sputc + printf4.o(i.__0vfprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0vfprintf$4) refers to dbg_printf.o(i.fputc) for fputc + printf4.o(i.__0vprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0vprintf$4) refers to dbg_printf.o(i.fputc) for fputc + printf4.o(i.__0vprintf$4) refers to stdout.o(.data) for __stdout + printf4.o(i.__0vsnprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0vsnprintf$4) refers to printf4.o(i._snputc) for _snputc + printf4.o(i.__0vsprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0vsprintf$4) refers to printf4.o(i._sputc) for _sputc + printf4.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printf5.o(i.__0fprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0fprintf$5) refers to dbg_printf.o(i.fputc) for fputc + printf5.o(i.__0printf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0printf$5) refers to dbg_printf.o(i.fputc) for fputc + printf5.o(i.__0printf$5) refers to stdout.o(.data) for __stdout + printf5.o(i.__0snprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0snprintf$5) refers to printf5.o(i._snputc) for _snputc + printf5.o(i.__0sprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0sprintf$5) refers to printf5.o(i._sputc) for _sputc + printf5.o(i.__0vfprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0vfprintf$5) refers to dbg_printf.o(i.fputc) for fputc + printf5.o(i.__0vprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0vprintf$5) refers to dbg_printf.o(i.fputc) for fputc + printf5.o(i.__0vprintf$5) refers to stdout.o(.data) for __stdout + printf5.o(i.__0vsnprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0vsnprintf$5) refers to printf5.o(i._snputc) for _snputc + printf5.o(i.__0vsprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0vsprintf$5) refers to printf5.o(i._sputc) for _sputc + printf5.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printf6.o(i.__0fprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0fprintf$6) refers to dbg_printf.o(i.fputc) for fputc + printf6.o(i.__0printf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0printf$6) refers to dbg_printf.o(i.fputc) for fputc + printf6.o(i.__0printf$6) refers to stdout.o(.data) for __stdout + printf6.o(i.__0snprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0snprintf$6) refers to printf6.o(i._snputc) for _snputc + printf6.o(i.__0sprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0sprintf$6) refers to printf6.o(i._sputc) for _sputc + printf6.o(i.__0vfprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0vfprintf$6) refers to dbg_printf.o(i.fputc) for fputc + printf6.o(i.__0vprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0vprintf$6) refers to dbg_printf.o(i.fputc) for fputc + printf6.o(i.__0vprintf$6) refers to stdout.o(.data) for __stdout + printf6.o(i.__0vsnprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0vsnprintf$6) refers to printf6.o(i._snputc) for _snputc + printf6.o(i.__0vsprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0vsprintf$6) refers to printf6.o(i._sputc) for _sputc + printf6.o(i._printf_core) refers to printf6.o(i._printf_pre_padding) for _printf_pre_padding + printf6.o(i._printf_core) refers to printf6.o(i._printf_post_padding) for _printf_post_padding + printf6.o(i._printf_core) refers to uidiv.o(.text) for __aeabi_uidivmod + printf7.o(i.__0fprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0fprintf$7) refers to dbg_printf.o(i.fputc) for fputc + printf7.o(i.__0printf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0printf$7) refers to dbg_printf.o(i.fputc) for fputc + printf7.o(i.__0printf$7) refers to stdout.o(.data) for __stdout + printf7.o(i.__0snprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0snprintf$7) refers to printf7.o(i._snputc) for _snputc + printf7.o(i.__0sprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0sprintf$7) refers to printf7.o(i._sputc) for _sputc + printf7.o(i.__0vfprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0vfprintf$7) refers to dbg_printf.o(i.fputc) for fputc + printf7.o(i.__0vprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0vprintf$7) refers to dbg_printf.o(i.fputc) for fputc + printf7.o(i.__0vprintf$7) refers to stdout.o(.data) for __stdout + printf7.o(i.__0vsnprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0vsnprintf$7) refers to printf7.o(i._snputc) for _snputc + printf7.o(i.__0vsprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0vsprintf$7) refers to printf7.o(i._sputc) for _sputc + printf7.o(i._printf_core) refers to printf7.o(i._printf_pre_padding) for _printf_pre_padding + printf7.o(i._printf_core) refers to printf7.o(i._printf_post_padding) for _printf_post_padding + printf7.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printf8.o(i.__0fprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0fprintf$8) refers to dbg_printf.o(i.fputc) for fputc + printf8.o(i.__0printf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0printf$8) refers to dbg_printf.o(i.fputc) for fputc + printf8.o(i.__0printf$8) refers to stdout.o(.data) for __stdout + printf8.o(i.__0snprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0snprintf$8) refers to printf8.o(i._snputc) for _snputc + printf8.o(i.__0sprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0sprintf$8) refers to printf8.o(i._sputc) for _sputc + printf8.o(i.__0vfprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0vfprintf$8) refers to dbg_printf.o(i.fputc) for fputc + printf8.o(i.__0vprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0vprintf$8) refers to dbg_printf.o(i.fputc) for fputc + printf8.o(i.__0vprintf$8) refers to stdout.o(.data) for __stdout + printf8.o(i.__0vsnprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0vsnprintf$8) refers to printf8.o(i._snputc) for _snputc + printf8.o(i.__0vsprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0vsprintf$8) refers to printf8.o(i._sputc) for _sputc + printf8.o(i._printf_core) refers to printf8.o(i._printf_pre_padding) for _printf_pre_padding + printf8.o(i._printf_core) refers to printf8.o(i._printf_post_padding) for _printf_post_padding + printf8.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printfa.o(i.__0fprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0fprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0fprintf) refers to dbg_printf.o(i.fputc) for fputc + printfa.o(i.__0printf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0printf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0printf) refers to dbg_printf.o(i.fputc) for fputc + printfa.o(i.__0printf) refers to stdout.o(.data) for __stdout + printfa.o(i.__0snprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0snprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0snprintf) refers to printfa.o(i._snputc) for _snputc + printfa.o(i.__0sprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0sprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0sprintf) refers to printfa.o(i._sputc) for _sputc + printfa.o(i.__0vfprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0vfprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0vfprintf) refers to dbg_printf.o(i.fputc) for fputc + printfa.o(i.__0vprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0vprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0vprintf) refers to dbg_printf.o(i.fputc) for fputc + printfa.o(i.__0vprintf) refers to stdout.o(.data) for __stdout + printfa.o(i.__0vsnprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0vsnprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0vsnprintf) refers to printfa.o(i._snputc) for _snputc + printfa.o(i.__0vsprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0vsprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0vsprintf) refers to printfa.o(i._sputc) for _sputc + printfa.o(i._fp_digits) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._fp_digits) refers to dmul.o(.text) for __aeabi_dmul + printfa.o(i._fp_digits) refers to ddiv.o(.text) for __aeabi_ddiv + printfa.o(i._fp_digits) refers to cdrcmple.o(.text) for __aeabi_cdrcmple + printfa.o(i._fp_digits) refers to dadd.o(.text) for __aeabi_dadd + printfa.o(i._fp_digits) refers to dfixul.o(.text) for __aeabi_d2ulz + printfa.o(i._fp_digits) refers to uldiv.o(.text) for __aeabi_uldivmod + printfa.o(i._printf_core) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._printf_core) refers to printfa.o(i._printf_pre_padding) for _printf_pre_padding + printfa.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printfa.o(i._printf_core) refers to printfa.o(i._printf_post_padding) for _printf_post_padding + printfa.o(i._printf_core) refers to printfa.o(i._fp_digits) for _fp_digits + printfa.o(i._printf_core) refers to uidiv.o(.text) for __aeabi_uidivmod + printfa.o(i._printf_post_padding) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._printf_pre_padding) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._snputc) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._sputc) refers (Special) to iusefp.o(.text) for __I$use$fp + fmul.o(.text) refers (Special) to iusefp.o(.text) for __I$use$fp + fdiv.o(.text) refers (Special) to iusefp.o(.text) for __I$use$fp + fdiv.o(.text) refers to fepilogue.o(.text) for _float_round + fflti.o(.text) refers (Special) to iusefp.o(.text) for __I$use$fp + fflti.o(.text) refers to fepilogue.o(.text) for _float_epilogue + ffixi.o(.text) refers (Special) to iusefp.o(.text) for __I$use$fp + entry2.o(.ARM.Collect$$$$00000001) refers to entry2.o(.ARM.Collect$$$$00002712) for __lit__00000000 + entry2.o(.ARM.Collect$$$$00002712) refers to startup_a31g12x.o(STACK) for __initial_sp + entry2.o(__vectab_stack_and_reset_area) refers to startup_a31g12x.o(STACK) for __initial_sp + entry2.o(__vectab_stack_and_reset_area) refers to entry.o(.ARM.Collect$$$$00000000) for __main + entry5.o(.ARM.Collect$$$$00000004) refers to init.o(.text) for __scatterload + entry9a.o(.ARM.Collect$$$$0000000B) refers to main.o(i.main) for main + entry9b.o(.ARM.Collect$$$$0000000C) refers to main.o(i.main) for main + uldiv.o(.text) refers to llushr.o(.text) for __aeabi_llsr + uldiv.o(.text) refers to llshl.o(.text) for __aeabi_llsl + localtime_w.o(.text) refers to localtime_i.o(.text) for _localtime + localtime_w.o(.text) refers to localtime_w.o(.bss) for .bss + localtime_i.o(.text) refers to uidiv.o(.text) for __aeabi_uidivmod + localtime_i.o(.text) refers to localtime_i.o(.constdata) for .constdata + dadd.o(.text) refers to llshl.o(.text) for __aeabi_llsl + dadd.o(.text) refers to llsshr.o(.text) for __aeabi_lasr + dadd.o(.text) refers to depilogue.o(.text) for _double_epilogue + dmul.o(.text) refers to depilogue.o(.text) for _double_epilogue + ddiv.o(.text) refers to depilogue.o(.text) for _double_round + dfixul.o(.text) refers to llushr.o(.text) for __aeabi_llsr + dfixul.o(.text) refers to llshl.o(.text) for __aeabi_llsl + init.o(.text) refers to entry5.o(.ARM.Collect$$$$00000004) for __main_after_scatterload + depilogue.o(.text) refers to depilogue.o(i.__ARM_clz) for __ARM_clz + depilogue.o(.text) refers to llshl.o(.text) for __aeabi_llsl + depilogue.o(.text) refers to llushr.o(.text) for __aeabi_llsr + user_diskio_spi.o(i.USER_SPI_initialize) refers to spi10.o(i.SPI10_Initialization) for SPI10_Initialization + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.send_cmd) for send_cmd + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.SPI_Timer_On) for SPI_Timer_On + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.SPI_Timer_Status) for SPI_Timer_Status + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(.data) for Stat + user_diskio_spi.o(i.USER_SPI_status) refers to user_diskio_spi.o(.data) for Stat + user_diskio_spi.o(i.USER_SPI_read) refers to user_diskio_spi.o(i.send_cmd) for send_cmd + user_diskio_spi.o(i.USER_SPI_read) refers to user_diskio_spi.o(i.rcvr_datablock) for rcvr_datablock + user_diskio_spi.o(i.USER_SPI_read) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.USER_SPI_read) refers to user_diskio_spi.o(.data) for Stat + user_diskio_spi.o(i.USER_SPI_write) refers to user_diskio_spi.o(i.send_cmd) for send_cmd + user_diskio_spi.o(i.USER_SPI_write) refers to user_diskio_spi.o(i.xmit_datablock) for xmit_datablock + user_diskio_spi.o(i.USER_SPI_write) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.USER_SPI_write) refers to user_diskio_spi.o(.data) for Stat + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.spiselect) for spiselect + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.send_cmd) for send_cmd + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.rcvr_datablock) for rcvr_datablock + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.wait_ready) for wait_ready + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(.data) for Stat + + +============================================================================== + +Removing Unused input sections from the image. + + Removing a31g12x_systemclock.o(.rev16_text), (4 bytes). + Removing a31g12x_systemclock.o(.revsh_text), (4 bytes). + Removing main.o(.rev16_text), (4 bytes). + Removing main.o(.revsh_text), (4 bytes). + Removing dbg_printf.o(.rev16_text), (4 bytes). + Removing dbg_printf.o(.revsh_text), (4 bytes). + Removing dbg_printf.o(i.fputc), (16 bytes). + Removing sw_timer.o(.rev16_text), (4 bytes). + Removing sw_timer.o(.revsh_text), (4 bytes). + Removing sw_timer.o(i.SW_Timer_Callback_UnRegister), (52 bytes). + Removing systick_timer.o(.rev16_text), (4 bytes). + Removing systick_timer.o(.revsh_text), (4 bytes). + Removing ring_buffer.o(.rev16_text), (4 bytes). + Removing ring_buffer.o(.revsh_text), (4 bytes). + Removing ring_buffer.o(i.RingBuffer_Clear), (34 bytes). + Removing gpio_state_led.o(.rev16_text), (4 bytes). + Removing gpio_state_led.o(.revsh_text), (4 bytes). + Removing gpio_state_led.o(i.Gpio_StateLed_Get_Mode), (12 bytes). + Removing gpio_switch.o(.rev16_text), (4 bytes). + Removing gpio_switch.o(.revsh_text), (4 bytes). + Removing gpio_switch.o(i.Gpio_Swtich_Set_Callback), (40 bytes). + Removing gpio_switch.o(i.Gpio_Swtich_Set_PushCount), (32 bytes). + Removing uart1.o(.rev16_text), (4 bytes). + Removing uart1.o(.revsh_text), (4 bytes). + Removing uart1.o(i.Uart1_Transmit), (20 bytes). + Removing uart1.o(i.Uart1_TransmitData), (32 bytes). + Removing segment_74hc595d.o(.rev16_text), (4 bytes). + Removing segment_74hc595d.o(.revsh_text), (4 bytes). + Removing segment_74hc595d.o(.bss), (32 bytes). + Removing timer12.o(.rev16_text), (4 bytes). + Removing timer12.o(.revsh_text), (4 bytes). + Removing gpio_i2c.o(.rev16_text), (4 bytes). + Removing gpio_i2c.o(.revsh_text), (4 bytes). + Removing gpio_i2c.o(i.GPIO_I2C0_Read), (148 bytes). + Removing gpio_i2c.o(i.GPIO_I2C0_Start), (76 bytes). + Removing gpio_i2c.o(i.GPIO_I2C0_Stop), (64 bytes). + Removing gpio_i2c.o(i.GPIO_I2C0_Write), (148 bytes). + Removing gpio_i2c.o(i.GPIO_I2C1_Read), (148 bytes). + Removing gpio_i2c.o(i.GPIO_I2C1_Start), (76 bytes). + Removing gpio_i2c.o(i.GPIO_I2C1_Stop), (64 bytes). + Removing gpio_i2c.o(i.GPIO_I2C1_Write), (148 bytes). + Removing gpio_i2c.o(i.I2C0_Read), (60 bytes). + Removing gpio_i2c.o(i.I2C0_Write), (46 bytes). + Removing gpio_i2c.o(i.I2C1_Read), (60 bytes). + Removing gpio_i2c.o(i.I2C1_Write), (46 bytes). + Removing spi10.o(.rev16_text), (4 bytes). + Removing spi10.o(.revsh_text), (4 bytes). + Removing spi10.o(i.SPI10_SendReceiveByte), (48 bytes). + Removing spi10.o(i.SPI10_SendRecv), (60 bytes). + Removing spi10.o(i.SPI10_Transmit), (60 bytes). + Removing save_file.o(.rev16_text), (4 bytes). + Removing save_file.o(.revsh_text), (4 bytes). + Removing save_file.o(i.Save_SensorData_SDCard), (4 bytes). + Removing save_file.o(.bss), (1628 bytes). + Removing save_file.o(.data), (18 bytes). + Removing driver_ds3231.o(i.ds3231_aging_offset_convert_to_data), (52 bytes). + Removing driver_ds3231.o(i.ds3231_alarm_clear), (160 bytes). + Removing driver_ds3231.o(i.ds3231_get_32khz_output), (100 bytes). + Removing driver_ds3231.o(i.ds3231_get_aging_offset), (88 bytes). + Removing driver_ds3231.o(i.ds3231_get_alarm1), (320 bytes). + Removing driver_ds3231.o(i.ds3231_get_alarm2), (300 bytes). + Removing driver_ds3231.o(i.ds3231_get_alarm_interrupt), (104 bytes). + Removing driver_ds3231.o(i.ds3231_get_oscillator), (100 bytes). + Removing driver_ds3231.o(i.ds3231_get_pin), (100 bytes). + Removing driver_ds3231.o(i.ds3231_get_reg), (54 bytes). + Removing driver_ds3231.o(i.ds3231_get_square_wave), (100 bytes). + Removing driver_ds3231.o(i.ds3231_get_status), (88 bytes). + Removing driver_ds3231.o(i.ds3231_get_temperature), (444 bytes). + Removing driver_ds3231.o(i.ds3231_info), (152 bytes). + Removing driver_ds3231.o(i.ds3231_irq_handler), (136 bytes). + Removing driver_ds3231.o(i.ds3231_set_alarm1), (944 bytes). + Removing driver_ds3231.o(i.ds3231_set_alarm2), (788 bytes). + Removing driver_ds3231.o(i.ds3231_set_reg), (54 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_deinit), (24 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_get_ascii_time), (228 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_get_temperature), (32 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_get_timestamp), (144 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_get_timestamp_time_zone), (20 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_set_timestamp), (128 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_set_timestamp_time_zone), (16 bytes). + Removing driver_ds3231_basic.o(.data), (1 bytes). + Removing driver_ds3231_interface_template.o(.rev16_text), (4 bytes). + Removing driver_ds3231_interface_template.o(.revsh_text), (4 bytes). + Removing rtc_process.o(.rev16_text), (4 bytes). + Removing rtc_process.o(.revsh_text), (4 bytes). + Removing rtc_process.o(i.RTC_Get_Time), (20 bytes). + Removing action_process.o(.rev16_text), (4 bytes). + Removing action_process.o(.revsh_text), (4 bytes). + Removing action_process.o(.bss), (12 bytes). + Removing eeprom.o(.rev16_text), (4 bytes). + Removing eeprom.o(.revsh_text), (4 bytes). + Removing eeprom.o(i.EEPROM_Read_Mode), (144 bytes). + Removing eeprom.o(i.EEPROM_Write_Mode), (156 bytes). + Removing eeprom.o(i.FlashMem_Do_PageEr), (20 bytes). + Removing eeprom.o(i.FlashMem_Do_PageWt), (24 bytes). + Removing eeprom.o(.bss), (128 bytes). + Removing buzzer.o(.rev16_text), (4 bytes). + Removing buzzer.o(.revsh_text), (4 bytes). + Removing gpio_sensor.o(.rev16_text), (4 bytes). + Removing gpio_sensor.o(.revsh_text), (4 bytes). + Removing segment.o(.rev16_text), (4 bytes). + Removing segment.o(.revsh_text), (4 bytes). + Removing segment.o(i.Segment_All_Set_Data), (44 bytes). + Removing segment.o(i.Segment_In_Sensor_Error), (140 bytes). + Removing segment.o(i.Segment_In_Set_Humidity), (96 bytes). + Removing segment.o(i.Segment_In_Set_PM_10), (332 bytes). + Removing segment.o(i.Segment_In_Set_PM_1p0), (348 bytes). + Removing segment.o(i.Segment_In_Set_PM_2p5), (336 bytes). + Removing segment.o(i.Segment_In_Set_PM_4p0), (348 bytes). + Removing segment.o(i.Segment_In_Set_Temperature), (172 bytes). + Removing segment.o(i.Segment_Out_Sensor_Error), (148 bytes). + Removing segment.o(i.Segment_Out_Set_Humidity), (100 bytes). + Removing segment.o(i.Segment_Out_Set_PM_10), (344 bytes). + Removing segment.o(i.Segment_Out_Set_PM_1p0), (352 bytes). + Removing segment.o(i.Segment_Out_Set_PM_2p5), (344 bytes). + Removing segment.o(i.Segment_Out_Set_PM_4p0), (352 bytes). + Removing segment.o(i.Segment_Out_Set_Temperature), (172 bytes). + Removing segment.o(i.Segment_Show_Mode), (88 bytes). + Removing segment.o(i.Segment_Show_Version), (148 bytes). + Removing segment.o(.constdata), (38 bytes). + Removing uart_packet.o(.rev16_text), (4 bytes). + Removing uart_packet.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_adc.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_adc.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_ChannelSel), (28 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_ClearStatus), (22 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_ConfigInterrupt), (38 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_DeInit), (30 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_GetData), (12 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_GetStatus), (10 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_Init), (66 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_Start), (24 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_Stop), (24 bytes). + Removing a31g12x_hal_crc.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_crc.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_ConfigAutoMode), (160 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_ConfigUserMode), (48 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_DeInit), (24 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_SetAddress), (40 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_UserInput), (76 bytes). + Removing a31g12x_hal_debug_frmwrk.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_debug_frmwrk.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTGetCh), (32 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTGetChar), (26 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutChar), (18 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutDec), (92 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutDec16), (168 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutDec32), (328 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutHex), (54 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutHex16), (54 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutHex32), (54 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPuts), (28 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPuts_), (28 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.cprintf), (56 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init), (228 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.getstring), (128 bytes). + Removing a31g12x_hal_debug_frmwrk.o(.data), (44 bytes). + Removing a31g12x_hal_fmc.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_fmc.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase), (76 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry), (96 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit), (56 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction), (424 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_PageErase), (64 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite), (64 bytes). + Removing a31g12x_hal_fmc.o(.data), (16 bytes). + Removing a31g12x_hal_i2cn.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_i2cn.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt), (128 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_DeInit), (88 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Init), (184 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData), (568 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Master_GetState), (32 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT), (328 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Master_Receive), (30 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Master_Transmit), (30 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData), (204 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_GetState), (32 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT), (172 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_Receive), (30 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_SetAddress1), (34 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_SetAddress2), (34 bytes). + Removing a31g12x_hal_i2cn.o(i.I2Cn_MWait), (106 bytes). + Removing a31g12x_hal_i2cn.o(i.I2Cn_SWait), (114 bytes). + Removing a31g12x_hal_i2cn.o(i.I2Cn_getNum), (52 bytes). + Removing a31g12x_hal_i2cn.o(i.NVIC_ClearPendingIRQ), (20 bytes). + Removing a31g12x_hal_i2cn.o(i.NVIC_DisableIRQ), (20 bytes). + Removing a31g12x_hal_i2cn.o(i.NVIC_EnableIRQ), (20 bytes). + Removing a31g12x_hal_i2cn.o(.bss), (96 bytes). + Removing a31g12x_hal_i2cn.o(.data), (6 bytes). + Removing a31g12x_hal_intc.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_intc.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntCfg), (288 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPB_ClearIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPB_GetIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPC_ClearIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPC_GetIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPE_ClearIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPE_GetIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPx_SetReg), (184 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskEnable), (16 bytes). + Removing a31g12x_hal_lcd.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_lcd.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_lcd.o(i.HAL_LCD_ClearDspRam), (28 bytes). + Removing a31g12x_hal_lcd.o(i.HAL_LCD_Init), (60 bytes). + Removing a31g12x_hal_lcd.o(i.HAL_LCD_SetRegister), (16 bytes). + Removing a31g12x_hal_lcd.o(i.HAL_LCD_WriteDspRam), (44 bytes). + Removing a31g12x_hal_pcu.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_pcu.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutDataMask), (26 bytes). + Removing a31g12x_hal_pcu.o(i.HAL_GPIO_Init), (18 bytes). + Removing a31g12x_hal_pcu.o(i.HAL_GPIO_TogglePin), (34 bytes). + Removing a31g12x_hal_pcu.o(i.HAL_GPIO_WritePin), (4 bytes). + Removing a31g12x_hal_pwr.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_pwr.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_pwr.o(i.HAL_PWR_EnterPowerDownMode), (24 bytes). + Removing a31g12x_hal_pwr.o(i.HAL_PWR_EnterSleepMode), (24 bytes). + Removing a31g12x_hal_scu.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_scu.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_CLKO_PinConfig), (64 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ClockMonitoring_Disable), (32 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ClockOutput), (20 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Config), (36 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Disable), (32 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_HIRCTRM_ClockConfig), (72 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_MainXtal_PinConfig), (76 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ClockSelection), (24 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ResetConfig), (36 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset1), (28 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ResetSourceStatus), (16 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_SetNMI), (12 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_SetWakupData), (12 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_SoftwareReset_Config), (28 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_SubXtal_PinConfig), (60 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_WDTRCTRM_ClockConfig), (108 bytes). + Removing a31g12x_hal_sculv.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_sculv.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_sculv.o(i.HAL_LVI_Init), (32 bytes). + Removing a31g12x_hal_timer1n.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_timer1n.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_timer1n.o(i.HAL_TIMER1n_DeInit), (152 bytes). + Removing a31g12x_hal_timer1n.o(i.HAL_TIMER1n_SetRegister), (18 bytes). + Removing a31g12x_hal_timer2n.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_timer2n.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_ClearCounter), (32 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_ClearStatus), (24 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_Cmd), (40 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_ConfigInterrupt), (88 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_DeInit), (76 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_GetCaptureData), (6 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_GetStatus), (8 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_Init), (348 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_UpdateCountValue), (34 bytes). + Removing a31g12x_hal_timer3n.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_timer3n.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_ClearStatus_IT), (16 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_ClockPrescaler), (20 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_ConfigInterrupt), (38 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_DeInit), (52 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_GetStatus_IT), (6 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_Init), (100 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_MPWMCmd), (32 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_OutputCtrl), (44 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetADCTrigger), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetADuty), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetBDuty), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetCDuty), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetDelayTime), (44 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetHizReg), (16 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetPeriod), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_Start), (42 bytes). + Removing a31g12x_hal_uartn.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_uartn.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_CheckBusy), (20 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_DataControlConfig), (78 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_DeInit), (64 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_ForceBreak), (22 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_GetLineStatus), (10 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_IFDelayConfig), (20 bytes). + Removing a31g12x_hal_uartn.o(.bss), (80 bytes). + Removing a31g12x_hal_usart1n.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_usart1n.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_CheckBusy), (20 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_ConfigInterrupt), (76 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_DeInit), (112 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_GetStatus), (8 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_Receive), (114 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_Transmit), (132 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_TransmitByte), (16 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_UART_Mode_Config), (34 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_USRT_Mode_Config), (42 bytes). + Removing a31g12x_hal_wdt.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_wdt.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_ClearStatus), (16 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_ConfigInterrupt), (76 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_DeInit), (20 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_GetCurrentCount), (12 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_GetStatus), (12 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_Init), (88 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_ReloadTimeCounter), (16 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_Start), (48 bytes). + Removing a31g12x_hal_wt.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_wt.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_ClearStatus), (20 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_ConfigInterrupt), (44 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_DeInit), (12 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_GetCurrentCount), (16 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_GetStatus), (16 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_Init), (48 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_SetRegister), (16 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_Start), (40 bytes). + Removing startup_a31g12x.o(HEAP), (128 bytes). + Removing system_a31g12x.o(.rev16_text), (4 bytes). + Removing system_a31g12x.o(.revsh_text), (4 bytes). + Removing system_a31g12x.o(i.SystemCoreClockUpdate), (136 bytes). + Removing fatfs.o(.rev16_text), (4 bytes). + Removing fatfs.o(.revsh_text), (4 bytes). + Removing fatfs.o(i.MX_FATFS_Init), (28 bytes). + Removing fatfs.o(i.get_fattime), (4 bytes). + Removing fatfs.o(.bss), (1116 bytes). + Removing fatfs.o(.data), (5 bytes). + Removing user_diskio.o(.rev16_text), (4 bytes). + Removing user_diskio.o(.revsh_text), (4 bytes). + Removing user_diskio.o(i.USER_initialize), (12 bytes). + Removing user_diskio.o(i.USER_ioctl), (20 bytes). + Removing user_diskio.o(i.USER_read), (24 bytes). + Removing user_diskio.o(i.USER_status), (12 bytes). + Removing user_diskio.o(i.USER_write), (24 bytes). + Removing user_diskio.o(.data), (24 bytes). + Removing user_diskio_spi.o(.rev16_text), (4 bytes). + Removing user_diskio_spi.o(.revsh_text), (4 bytes). + Removing user_diskio_spi.o(i.SPI_Timer_On), (28 bytes). + Removing user_diskio_spi.o(i.SPI_Timer_Status), (36 bytes). + Removing user_diskio_spi.o(i.despiselect), (20 bytes). + Removing user_diskio_spi.o(i.rcvr_datablock), (66 bytes). + Removing user_diskio_spi.o(i.rcvr_spi_multi), (26 bytes). + Removing user_diskio_spi.o(i.send_cmd), (156 bytes). + Removing user_diskio_spi.o(i.spiselect), (42 bytes). + Removing user_diskio_spi.o(i.wait_ready), (48 bytes). + Removing user_diskio_spi.o(i.xchg_spi), (16 bytes). + Removing user_diskio_spi.o(i.xmit_datablock), (76 bytes). + Removing user_diskio_spi.o(i.xmit_spi_multi), (16 bytes). + Removing user_diskio_spi.o(.data), (12 bytes). + Removing diskio.o(.rev16_text), (4 bytes). + Removing diskio.o(.revsh_text), (4 bytes). + Removing diskio.o(i.disk_initialize), (48 bytes). + Removing diskio.o(i.disk_ioctl), (36 bytes). + Removing diskio.o(i.disk_read), (44 bytes). + Removing diskio.o(i.disk_status), (28 bytes). + Removing diskio.o(i.disk_write), (44 bytes). + Removing diskio.o(i.get_fattime), (4 bytes). + Removing ff.o(.rev16_text), (4 bytes). + Removing ff.o(.revsh_text), (4 bytes). + Removing ff.o(i.check_fs), (164 bytes). + Removing ff.o(i.chk_chr), (22 bytes). + Removing ff.o(i.chk_lock), (152 bytes). + Removing ff.o(i.clear_lock), (44 bytes). + Removing ff.o(i.clmt_clust), (70 bytes). + Removing ff.o(i.clust2sect), (40 bytes). + Removing ff.o(i.create_chain), (260 bytes). + Removing ff.o(i.create_name), (296 bytes). + Removing ff.o(i.dec_lock), (76 bytes). + Removing ff.o(i.dir_alloc), (110 bytes). + Removing ff.o(i.dir_find), (114 bytes). + Removing ff.o(i.dir_next), (444 bytes). + Removing ff.o(i.dir_read), (142 bytes). + Removing ff.o(i.dir_register), (88 bytes). + Removing ff.o(i.dir_remove), (88 bytes). + Removing ff.o(i.dir_sdi), (264 bytes). + Removing ff.o(i.enq_lock), (40 bytes). + Removing ff.o(i.f_chmod), (100 bytes). + Removing ff.o(i.f_close), (56 bytes). + Removing ff.o(i.f_closedir), (54 bytes). + Removing ff.o(i.f_getfree), (304 bytes). + Removing ff.o(i.f_gets), (84 bytes). + Removing ff.o(i.f_lseek), (1104 bytes). + Removing ff.o(i.f_mkdir), (410 bytes). + Removing ff.o(i.f_mkfs), (1728 bytes). + Removing ff.o(i.f_mount), (112 bytes). + Removing ff.o(i.f_open), (540 bytes). + Removing ff.o(i.f_opendir), (210 bytes). + Removing ff.o(i.f_printf), (672 bytes). + Removing ff.o(i.f_putc), (66 bytes). + Removing ff.o(i.f_puts), (76 bytes). + Removing ff.o(i.f_read), (660 bytes). + Removing ff.o(i.f_readdir), (106 bytes). + Removing ff.o(i.f_rename), (338 bytes). + Removing ff.o(i.f_stat), (72 bytes). + Removing ff.o(i.f_sync), (256 bytes). + Removing ff.o(i.f_truncate), (308 bytes). + Removing ff.o(i.f_unlink), (226 bytes). + Removing ff.o(i.f_utime), (102 bytes). + Removing ff.o(i.f_write), (776 bytes). + Removing ff.o(i.find_volume), (1144 bytes). + Removing ff.o(i.follow_path), (174 bytes). + Removing ff.o(i.get_fat), (260 bytes). + Removing ff.o(i.get_fileinfo), (118 bytes). + Removing ff.o(i.get_ldnumber), (76 bytes). + Removing ff.o(i.inc_lock), (228 bytes). + Removing ff.o(i.ld_clust), (38 bytes). + Removing ff.o(i.mem_cmp), (42 bytes). + Removing ff.o(i.mem_cpy), (26 bytes). + Removing ff.o(i.mem_set), (20 bytes). + Removing ff.o(i.move_window), (68 bytes). + Removing ff.o(i.put_fat), (354 bytes). + Removing ff.o(i.putc_bfd), (78 bytes). + Removing ff.o(i.remove_chain), (140 bytes). + Removing ff.o(i.st_clust), (20 bytes). + Removing ff.o(i.sync_fs), (280 bytes). + Removing ff.o(i.sync_window), (116 bytes). + Removing ff.o(i.validate), (96 bytes). + Removing ff.o(.bss), (24 bytes). + Removing ff.o(.constdata), (172 bytes). + Removing ff.o(.data), (6 bytes). + Removing ff_gen_drv.o(.rev16_text), (4 bytes). + Removing ff_gen_drv.o(.revsh_text), (4 bytes). + Removing ff_gen_drv.o(i.FATFS_GetAttachedDriversNbr), (12 bytes). + Removing ff_gen_drv.o(i.FATFS_LinkDriver), (18 bytes). + Removing ff_gen_drv.o(i.FATFS_LinkDriverEx), (84 bytes). + Removing ff_gen_drv.o(i.FATFS_UnLinkDriver), (14 bytes). + Removing ff_gen_drv.o(i.FATFS_UnLinkDriverEx), (68 bytes). + Removing ff_gen_drv.o(.bss), (12 bytes). + Removing fmul.o(.text), (122 bytes). + Removing fflti.o(.text), (22 bytes). + Removing dadd.o(.text), (360 bytes). + Removing dmul.o(.text), (208 bytes). + Removing ddiv.o(.text), (240 bytes). + Removing dfixul.o(.text), (64 bytes). + Removing cdrcmple.o(.text), (40 bytes). + Removing depilogue.o(.text), (190 bytes). + Removing user_diskio_spi.o(i.USER_SPI_initialize), (352 bytes). + Removing user_diskio_spi.o(i.USER_SPI_status), (20 bytes). + Removing user_diskio_spi.o(i.USER_SPI_read), (160 bytes). + Removing user_diskio_spi.o(i.USER_SPI_write), (200 bytes). + Removing user_diskio_spi.o(i.USER_SPI_ioctl), (576 bytes). + Removing depilogue.o(i.__ARM_clz), (46 bytes). + +436 unused section(s) (total 41236 bytes) removed from the image. + +============================================================================== + +Image Symbol Table + + Local Symbols + + Symbol Name Value Ov Type Size Object(Section) + + RESET 0x00000000 Section 176 startup_a31g12x.o(RESET) + ../clib/microlib/division.c 0x00000000 Number 0 idiv.o ABSOLUTE + ../clib/microlib/division.c 0x00000000 Number 0 uldiv.o ABSOLUTE + ../clib/microlib/division.c 0x00000000 Number 0 uidiv.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry8a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry12b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry12a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry7b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry7a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry11b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry11a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry10b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry10a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry8b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry5.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry2.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry9b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry9a.o ABSOLUTE + ../clib/microlib/longlong.c 0x00000000 Number 0 llshl.o ABSOLUTE + ../clib/microlib/longlong.c 0x00000000 Number 0 llsshr.o ABSOLUTE + ../clib/microlib/longlong.c 0x00000000 Number 0 llushr.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printfb.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf2.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf0.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf8.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printfa.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf6.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf5.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf4.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf3.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf1.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf7.o ABSOLUTE + ../clib/microlib/printf/stubs.s 0x00000000 Number 0 stubs.o ABSOLUTE + ../clib/microlib/stdio/streams.c 0x00000000 Number 0 stdout.o ABSOLUTE + ../clib/microlib/string/memcpy.c 0x00000000 Number 0 memcpya.o ABSOLUTE + ../clib/microlib/string/memcpy.c 0x00000000 Number 0 memcpyb.o ABSOLUTE + ../clib/microlib/string/memset.c 0x00000000 Number 0 memseta.o ABSOLUTE + ../clib/microlib/string/strncpy.c 0x00000000 Number 0 strncpy.o ABSOLUTE + ../clib/microlib/stubs.s 0x00000000 Number 0 iusefp.o ABSOLUTE + ../clib/microlib/time/localtime.c 0x00000000 Number 0 localtime.o ABSOLUTE + ../clib/microlib/time/localtime.c 0x00000000 Number 0 localtime_i.o ABSOLUTE + ../clib/microlib/time/localtime.c 0x00000000 Number 0 localtime_w.o ABSOLUTE + ../clib/microlib/time/mktime.c 0x00000000 Number 0 mktime.o ABSOLUTE + ../fplib/microlib/fpadd.c 0x00000000 Number 0 dadd.o ABSOLUTE + ../fplib/microlib/fpdiv.c 0x00000000 Number 0 fdiv.o ABSOLUTE + ../fplib/microlib/fpdiv.c 0x00000000 Number 0 ddiv.o ABSOLUTE + ../fplib/microlib/fpepilogue.c 0x00000000 Number 0 depilogue.o ABSOLUTE + ../fplib/microlib/fpepilogue.c 0x00000000 Number 0 fepilogue.o ABSOLUTE + ../fplib/microlib/fpfix.c 0x00000000 Number 0 dfixul.o ABSOLUTE + ../fplib/microlib/fpfix.c 0x00000000 Number 0 ffixi.o ABSOLUTE + ../fplib/microlib/fpflt.c 0x00000000 Number 0 fflti.o ABSOLUTE + ../fplib/microlib/fpmul.c 0x00000000 Number 0 dmul.o ABSOLUTE + ../fplib/microlib/fpmul.c 0x00000000 Number 0 fmul.o ABSOLUTE + Application\A31G12x_Interrupt.c 0x00000000 Number 0 a31g12x_interrupt.o ABSOLUTE + Application\A31G12x_SystemClock.c 0x00000000 Number 0 a31g12x_systemclock.o ABSOLUTE + Application\FATFS\App\fatfs.c 0x00000000 Number 0 fatfs.o ABSOLUTE + Application\FATFS\Target\user_diskio.c 0x00000000 Number 0 user_diskio.o ABSOLUTE + Application\FATFS\Target\user_diskio_spi.c 0x00000000 Number 0 user_diskio_spi.o ABSOLUTE + Application\Middlewares\Third_Party\FatFs\src\diskio.c 0x00000000 Number 0 diskio.o ABSOLUTE + Application\Middlewares\Third_Party\FatFs\src\ff.c 0x00000000 Number 0 ff.o ABSOLUTE + Application\Middlewares\Third_Party\FatFs\src\ff_gen_drv.c 0x00000000 Number 0 ff_gen_drv.o ABSOLUTE + Application\\A31G12x_SystemClock.c 0x00000000 Number 0 a31g12x_systemclock.o ABSOLUTE + Application\\FATFS\\App\\fatfs.c 0x00000000 Number 0 fatfs.o ABSOLUTE + Application\\FATFS\\Target\\user_diskio.c 0x00000000 Number 0 user_diskio.o ABSOLUTE + Application\\FATFS\\Target\\user_diskio_spi.c 0x00000000 Number 0 user_diskio_spi.o ABSOLUTE + Application\\Middlewares\\Third_Party\\FatFs\\src\\diskio.c 0x00000000 Number 0 diskio.o ABSOLUTE + Application\\Middlewares\\Third_Party\\FatFs\\src\\ff.c 0x00000000 Number 0 ff.o ABSOLUTE + Application\\Middlewares\\Third_Party\\FatFs\\src\\ff_gen_drv.c 0x00000000 Number 0 ff_gen_drv.o ABSOLUTE + Application\\action_process.c 0x00000000 Number 0 action_process.o ABSOLUTE + Application\\buzzer.c 0x00000000 Number 0 buzzer.o ABSOLUTE + Application\\dbg_printf.c 0x00000000 Number 0 dbg_printf.o ABSOLUTE + Application\\driver_ds3231_interface_template.c 0x00000000 Number 0 driver_ds3231_interface_template.o ABSOLUTE + Application\\eeprom.c 0x00000000 Number 0 eeprom.o ABSOLUTE + Application\\gpio_i2c.c 0x00000000 Number 0 gpio_i2c.o ABSOLUTE + Application\\gpio_sensor.c 0x00000000 Number 0 gpio_sensor.o ABSOLUTE + Application\\gpio_state_led.c 0x00000000 Number 0 gpio_state_led.o ABSOLUTE + Application\\gpio_switch.c 0x00000000 Number 0 gpio_switch.o ABSOLUTE + Application\\main.c 0x00000000 Number 0 main.o ABSOLUTE + Application\\ring_buffer.c 0x00000000 Number 0 ring_buffer.o ABSOLUTE + Application\\rtc_process.c 0x00000000 Number 0 rtc_process.o ABSOLUTE + Application\\save_file.c 0x00000000 Number 0 save_file.o ABSOLUTE + Application\\segment.c 0x00000000 Number 0 segment.o ABSOLUTE + Application\\segment_74hc595d.c 0x00000000 Number 0 segment_74hc595d.o ABSOLUTE + Application\\spi10.c 0x00000000 Number 0 spi10.o ABSOLUTE + Application\\sw_timer.c 0x00000000 Number 0 sw_timer.o ABSOLUTE + Application\\systick_timer.c 0x00000000 Number 0 systick_timer.o ABSOLUTE + Application\\timer12.c 0x00000000 Number 0 timer12.o ABSOLUTE + Application\\uart1.c 0x00000000 Number 0 uart1.o ABSOLUTE + Application\\uart_packet.c 0x00000000 Number 0 uart_packet.o ABSOLUTE + Application\action_process.c 0x00000000 Number 0 action_process.o ABSOLUTE + Application\buzzer.c 0x00000000 Number 0 buzzer.o ABSOLUTE + Application\dbg_printf.c 0x00000000 Number 0 dbg_printf.o ABSOLUTE + Application\driver_ds3231.c 0x00000000 Number 0 driver_ds3231.o ABSOLUTE + Application\driver_ds3231_basic.c 0x00000000 Number 0 driver_ds3231_basic.o ABSOLUTE + Application\driver_ds3231_interface_template.c 0x00000000 Number 0 driver_ds3231_interface_template.o ABSOLUTE + Application\eeprom.c 0x00000000 Number 0 eeprom.o ABSOLUTE + Application\gpio_i2c.c 0x00000000 Number 0 gpio_i2c.o ABSOLUTE + Application\gpio_sensor.c 0x00000000 Number 0 gpio_sensor.o ABSOLUTE + Application\gpio_state_led.c 0x00000000 Number 0 gpio_state_led.o ABSOLUTE + Application\gpio_switch.c 0x00000000 Number 0 gpio_switch.o ABSOLUTE + Application\main.c 0x00000000 Number 0 main.o ABSOLUTE + Application\ring_buffer.c 0x00000000 Number 0 ring_buffer.o ABSOLUTE + Application\rtc_process.c 0x00000000 Number 0 rtc_process.o ABSOLUTE + Application\save_file.c 0x00000000 Number 0 save_file.o ABSOLUTE + Application\segment.c 0x00000000 Number 0 segment.o ABSOLUTE + Application\segment_74hc595d.c 0x00000000 Number 0 segment_74hc595d.o ABSOLUTE + Application\spi10.c 0x00000000 Number 0 spi10.o ABSOLUTE + Application\sw_timer.c 0x00000000 Number 0 sw_timer.o ABSOLUTE + Application\systick_timer.c 0x00000000 Number 0 systick_timer.o ABSOLUTE + Application\timer12.c 0x00000000 Number 0 timer12.o ABSOLUTE + Application\uart1.c 0x00000000 Number 0 uart1.o ABSOLUTE + Application\uart_packet.c 0x00000000 Number 0 uart_packet.o ABSOLUTE + SDK_V2_5_0\Device\Startup\startup_A31G12x.s 0x00000000 Number 0 startup_a31g12x.o ABSOLUTE + SDK_V2_5_0\Device\Startup\system_A31G12x.c 0x00000000 Number 0 system_a31g12x.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_adc.c 0x00000000 Number 0 a31g12x_hal_adc.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_crc.c 0x00000000 Number 0 a31g12x_hal_crc.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_debug_frmwrk.c 0x00000000 Number 0 a31g12x_hal_debug_frmwrk.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_fmc.c 0x00000000 Number 0 a31g12x_hal_fmc.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_i2cn.c 0x00000000 Number 0 a31g12x_hal_i2cn.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_intc.c 0x00000000 Number 0 a31g12x_hal_intc.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_lcd.c 0x00000000 Number 0 a31g12x_hal_lcd.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_pcu.c 0x00000000 Number 0 a31g12x_hal_pcu.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_pwr.c 0x00000000 Number 0 a31g12x_hal_pwr.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_scu.c 0x00000000 Number 0 a31g12x_hal_scu.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_sculv.c 0x00000000 Number 0 a31g12x_hal_sculv.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer1n.c 0x00000000 Number 0 a31g12x_hal_timer1n.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer2n.c 0x00000000 Number 0 a31g12x_hal_timer2n.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer3n.c 0x00000000 Number 0 a31g12x_hal_timer3n.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_uartn.c 0x00000000 Number 0 a31g12x_hal_uartn.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_usart1n.c 0x00000000 Number 0 a31g12x_hal_usart1n.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_wdt.c 0x00000000 Number 0 a31g12x_hal_wdt.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_wt.c 0x00000000 Number 0 a31g12x_hal_wt.o ABSOLUTE + SDK_V2_5_0\Option\option_A31G12x.s 0x00000000 Number 0 option_a31g12x.o ABSOLUTE + SDK_V2_5_0\\Device\\Startup\\system_A31G12x.c 0x00000000 Number 0 system_a31g12x.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_adc.c 0x00000000 Number 0 a31g12x_hal_adc.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_crc.c 0x00000000 Number 0 a31g12x_hal_crc.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_debug_frmwrk.c 0x00000000 Number 0 a31g12x_hal_debug_frmwrk.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_fmc.c 0x00000000 Number 0 a31g12x_hal_fmc.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_i2cn.c 0x00000000 Number 0 a31g12x_hal_i2cn.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_intc.c 0x00000000 Number 0 a31g12x_hal_intc.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_lcd.c 0x00000000 Number 0 a31g12x_hal_lcd.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_pcu.c 0x00000000 Number 0 a31g12x_hal_pcu.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_pwr.c 0x00000000 Number 0 a31g12x_hal_pwr.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_scu.c 0x00000000 Number 0 a31g12x_hal_scu.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_sculv.c 0x00000000 Number 0 a31g12x_hal_sculv.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_timer1n.c 0x00000000 Number 0 a31g12x_hal_timer1n.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_timer2n.c 0x00000000 Number 0 a31g12x_hal_timer2n.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_timer3n.c 0x00000000 Number 0 a31g12x_hal_timer3n.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_uartn.c 0x00000000 Number 0 a31g12x_hal_uartn.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_usart1n.c 0x00000000 Number 0 a31g12x_hal_usart1n.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_wdt.c 0x00000000 Number 0 a31g12x_hal_wdt.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_wt.c 0x00000000 Number 0 a31g12x_hal_wt.o ABSOLUTE + cdrcmple.s 0x00000000 Number 0 cdrcmple.o ABSOLUTE + dc.s 0x00000000 Number 0 dc.o ABSOLUTE + handlers.s 0x00000000 Number 0 handlers.o ABSOLUTE + init.s 0x00000000 Number 0 init.o ABSOLUTE + .ARM.Collect$$$$00000000 0x000000b0 Section 0 entry.o(.ARM.Collect$$$$00000000) + .ARM.Collect$$$$00000001 0x000000b0 Section 4 entry2.o(.ARM.Collect$$$$00000001) + .ARM.Collect$$$$00000004 0x000000b4 Section 4 entry5.o(.ARM.Collect$$$$00000004) + .ARM.Collect$$$$00000008 0x000000b8 Section 0 entry7b.o(.ARM.Collect$$$$00000008) + .ARM.Collect$$$$0000000A 0x000000b8 Section 0 entry8b.o(.ARM.Collect$$$$0000000A) + .ARM.Collect$$$$0000000B 0x000000b8 Section 8 entry9a.o(.ARM.Collect$$$$0000000B) + .ARM.Collect$$$$0000000E 0x000000c0 Section 4 entry12b.o(.ARM.Collect$$$$0000000E) + .ARM.Collect$$$$0000000F 0x000000c4 Section 0 entry10a.o(.ARM.Collect$$$$0000000F) + .ARM.Collect$$$$00000011 0x000000c4 Section 0 entry11a.o(.ARM.Collect$$$$00000011) + .ARM.Collect$$$$00002712 0x000000c4 Section 4 entry2.o(.ARM.Collect$$$$00002712) + __lit__00000000 0x000000c4 Data 4 entry2.o(.ARM.Collect$$$$00002712) + .text 0x000000c8 Section 28 startup_a31g12x.o(.text) + .text 0x000000e4 Section 0 uidiv.o(.text) + .text 0x00000110 Section 0 idiv.o(.text) + .text 0x00000138 Section 0 memcpya.o(.text) + .text 0x0000015c Section 0 memseta.o(.text) + .text 0x00000180 Section 0 fdiv.o(.text) + .text 0x000001fc Section 0 ffixi.o(.text) + .text 0x0000022e Section 0 iusefp.o(.text) + .text 0x0000022e Section 0 fepilogue.o(.text) + .text 0x000002b0 Section 36 init.o(.text) + i.Action_Initialization 0x000002d4 Section 0 action_process.o(i.Action_Initialization) + i.Action_Process 0x000002e8 Section 0 action_process.o(i.Action_Process) + Action_Process 0x000002e9 Thumb Code 2 action_process.o(i.Action_Process) + i.Buzzer_Initialization 0x000002ec Section 0 buzzer.o(i.Buzzer_Initialization) + i.Buzzer_On 0x00000318 Section 0 buzzer.o(i.Buzzer_On) + i.Buzzer_Output_Process 0x0000033c Section 0 buzzer.o(i.Buzzer_Output_Process) + Buzzer_Output_Process 0x0000033d Thumb Code 64 buzzer.o(i.Buzzer_Output_Process) + i.Delay_I2C_Delay 0x0000038c Section 0 gpio_i2c.o(i.Delay_I2C_Delay) + i.Delay_ms 0x0000039c Section 0 systick_timer.o(i.Delay_ms) + i.FlashMEM_Clock_Initialization 0x000003b0 Section 0 eeprom.o(i.FlashMEM_Clock_Initialization) + i.GPIO_I2C0_Initialization 0x000003c0 Section 0 gpio_i2c.o(i.GPIO_I2C0_Initialization) + i.GPIO_I2C1_Initialization 0x00000400 Section 0 gpio_i2c.o(i.GPIO_I2C1_Initialization) + i.GPIO_I2C2_Initialization 0x00000440 Section 0 gpio_i2c.o(i.GPIO_I2C2_Initialization) + i.GPIO_I2C2_Read 0x00000480 Section 0 gpio_i2c.o(i.GPIO_I2C2_Read) + i.GPIO_I2C2_Start 0x00000514 Section 0 gpio_i2c.o(i.GPIO_I2C2_Start) + i.GPIO_I2C2_Stop 0x00000560 Section 0 gpio_i2c.o(i.GPIO_I2C2_Stop) + i.GPIO_I2C2_Write 0x000005a0 Section 0 gpio_i2c.o(i.GPIO_I2C2_Write) + i.Gpio_Sensor_PWR_Initialization 0x00000634 Section 0 gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) + i.Gpio_StateLed_Initialization 0x00000658 Section 0 gpio_state_led.o(i.Gpio_StateLed_Initialization) + i.Gpio_StateLed_Set_Mode 0x00000688 Section 0 gpio_state_led.o(i.Gpio_StateLed_Set_Mode) + i.Gpio_Switch_Check_Process 0x000006bc Section 0 gpio_switch.o(i.Gpio_Switch_Check_Process) + Gpio_Switch_Check_Process 0x000006bd Thumb Code 314 gpio_switch.o(i.Gpio_Switch_Check_Process) + i.Gpio_Switch_Port_Initialization 0x000007fc Section 0 gpio_switch.o(i.Gpio_Switch_Port_Initialization) + i.HAL_CRC_Init 0x00000834 Section 0 a31g12x_hal_crc.o(i.HAL_CRC_Init) + i.HAL_GPIO_ClearPin 0x00000842 Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) + i.HAL_GPIO_ConfigFunction 0x00000846 Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) + i.HAL_GPIO_ConfigOutput 0x0000088a Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) + i.HAL_GPIO_ConfigPullup 0x000008d0 Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) + i.HAL_GPIO_ReadPin 0x000008ee Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ReadPin) + i.HAL_GPIO_SetDebouncePin 0x000008f6 Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_SetDebouncePin) + i.HAL_GPIO_SetPin 0x0000090c Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) + i.HAL_INT_EInt_MaskDisable 0x00000910 Section 0 a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskDisable) + i.HAL_SCU_ClockMonitoring 0x00000920 Section 0 a31g12x_hal_scu.o(i.HAL_SCU_ClockMonitoring) + i.HAL_SCU_ClockSource_Enable 0x0000095c Section 0 a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Enable) + i.HAL_SCU_Peripheral_ClockConfig 0x00000980 Section 0 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ClockConfig) + i.HAL_SCU_Peripheral_EnableClock1 0x0000098c Section 0 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock1) + i.HAL_SCU_Peripheral_EnableClock2 0x000009ac Section 0 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) + i.HAL_SCU_Peripheral_SetReset2 0x000009cc Section 0 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) + i.HAL_SCU_SystemClockChange 0x000009e8 Section 0 a31g12x_hal_scu.o(i.HAL_SCU_SystemClockChange) + i.HAL_SCU_SystemClockDivider 0x000009fc Section 0 a31g12x_hal_scu.o(i.HAL_SCU_SystemClockDivider) + i.HAL_TIMER1n_Cmd 0x00000a08 Section 0 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Cmd) + i.HAL_TIMER1n_ConfigInterrupt 0x00000a2e Section 0 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_ConfigInterrupt) + i.HAL_TIMER1n_Init 0x00000a68 Section 0 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Init) + i.HAL_UART_ConfigInterrupt 0x00000bd0 Section 0 a31g12x_hal_uartn.o(i.HAL_UART_ConfigInterrupt) + i.HAL_UART_ConfigStructInit 0x00000c1c Section 0 a31g12x_hal_uartn.o(i.HAL_UART_ConfigStructInit) + i.HAL_UART_Init 0x00000c3c Section 0 a31g12x_hal_uartn.o(i.HAL_UART_Init) + i.HAL_UART_Receive 0x00000d40 Section 0 a31g12x_hal_uartn.o(i.HAL_UART_Receive) + i.HAL_UART_ReceiveByte 0x00000db4 Section 0 a31g12x_hal_uartn.o(i.HAL_UART_ReceiveByte) + i.HAL_UART_Transmit 0x00000dbc Section 0 a31g12x_hal_uartn.o(i.HAL_UART_Transmit) + i.HAL_UART_TransmitByte 0x00000e3c Section 0 a31g12x_hal_uartn.o(i.HAL_UART_TransmitByte) + i.HAL_USART_ClearStatus 0x00000e4c Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_ClearStatus) + i.HAL_USART_DataControlConfig 0x00000e86 Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_DataControlConfig) + i.HAL_USART_Enable 0x00000ef0 Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_Enable) + i.HAL_USART_Init 0x00000f18 Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_Init) + i.HAL_USART_ReceiveByte 0x0000100c Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_ReceiveByte) + i.HAL_USART_SPI_Mode_Config 0x00001014 Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_SPI_Mode_Config) + i.HardFault_Handler 0x0000103c Section 0 a31g12x_interrupt.o(i.HardFault_Handler) + i.I2C2_Read 0x00001040 Section 0 gpio_i2c.o(i.I2C2_Read) + i.I2C2_Write 0x0000107c Section 0 gpio_i2c.o(i.I2C2_Write) + i.NMI_Handler 0x000010aa Section 0 a31g12x_interrupt.o(i.NMI_Handler) + i.NVIC_SetPriority 0x000010ac Section 0 a31g12x_systemclock.o(i.NVIC_SetPriority) + NVIC_SetPriority 0x000010ad Thumb Code 110 a31g12x_systemclock.o(i.NVIC_SetPriority) + i.NVIC_SetPriority 0x00001124 Section 0 uart1.o(i.NVIC_SetPriority) + NVIC_SetPriority 0x00001125 Thumb Code 110 uart1.o(i.NVIC_SetPriority) + i.NVIC_SetPriority 0x0000119c Section 0 timer12.o(i.NVIC_SetPriority) + NVIC_SetPriority 0x0000119d Thumb Code 110 timer12.o(i.NVIC_SetPriority) + i.PendSV_Handler 0x00001214 Section 0 a31g12x_interrupt.o(i.PendSV_Handler) + i.RTC_Get_IC_Time_Process 0x00001218 Section 0 rtc_process.o(i.RTC_Get_IC_Time_Process) + RTC_Get_IC_Time_Process 0x00001219 Thumb Code 84 rtc_process.o(i.RTC_Get_IC_Time_Process) + i.RTC_Process_Initialization 0x00001270 Section 0 rtc_process.o(i.RTC_Process_Initialization) + i.RingBuffer_Dequeue 0x00001288 Section 0 ring_buffer.o(i.RingBuffer_Dequeue) + i.RingBuffer_Enqueue 0x000012c6 Section 0 ring_buffer.o(i.RingBuffer_Enqueue) + i.RingBuffer_GetData 0x00001306 Section 0 ring_buffer.o(i.RingBuffer_GetData) + i.RingBuffer_Get_DataSize 0x00001314 Section 0 ring_buffer.o(i.RingBuffer_Get_DataSize) + i.RingBuffer_Initialization 0x00001346 Section 0 ring_buffer.o(i.RingBuffer_Initialization) + i.RingBuffer_PopData 0x0000136c Section 0 ring_buffer.o(i.RingBuffer_PopData) + i.RingBuffer_isEmpty 0x00001392 Section 0 ring_buffer.o(i.RingBuffer_isEmpty) + i.RingBuffer_isFull 0x000013a4 Section 0 ring_buffer.o(i.RingBuffer_isFull) + i.SPI10_Initialization 0x000013c8 Section 0 spi10.o(i.SPI10_Initialization) + i.SVC_Handler 0x000014bc Section 0 a31g12x_interrupt.o(i.SVC_Handler) + i.SW_Timer_Callback_Process 0x000014c0 Section 0 sw_timer.o(i.SW_Timer_Callback_Process) + i.SW_Timer_Callback_Register 0x00001540 Section 0 sw_timer.o(i.SW_Timer_Callback_Register) + i.Segemet_Output_Process 0x00001594 Section 0 segment_74hc595d.o(i.Segemet_Output_Process) + Segemet_Output_Process 0x00001595 Thumb Code 388 segment_74hc595d.o(i.Segemet_Output_Process) + i.Segment_Initialization 0x00001738 Section 0 segment_74hc595d.o(i.Segment_Initialization) + i.Segment_Output_Data 0x000017bc Section 0 segment_74hc595d.o(i.Segment_Output_Data) + Segment_Output_Data 0x000017bd Thumb Code 190 segment_74hc595d.o(i.Segment_Output_Data) + i.State_Led_Output_Process 0x00001884 Section 0 gpio_state_led.o(i.State_Led_Output_Process) + State_Led_Output_Process 0x00001885 Thumb Code 128 gpio_state_led.o(i.State_Led_Output_Process) + i.SysTick_Handler 0x00001914 Section 0 systick_timer.o(i.SysTick_Handler) + i.SystemInit 0x0000193c Section 0 system_a31g12x.o(i.SystemInit) + i.System_Clock_Initialization 0x00001960 Section 0 a31g12x_systemclock.o(i.System_Clock_Initialization) + i.Systick_Initialization 0x000019b0 Section 0 a31g12x_systemclock.o(i.Systick_Initialization) + i.TIMER12_Handler 0x00001a08 Section 0 timer12.o(i.TIMER12_Handler) + i.Timer12_Initialization 0x00001a3c Section 0 timer12.o(i.Timer12_Initialization) + i.Timer12_Set_Match_Interrupt_Callback 0x00001a98 Section 0 timer12.o(i.Timer12_Set_Match_Interrupt_Callback) + i.UART1_Handler 0x00001aa4 Section 0 uart1.o(i.UART1_Handler) + i.Uart1_Get_RecvData 0x00001acc Section 0 uart1.o(i.Uart1_Get_RecvData) + i.Uart1_Get_RecvDataCount 0x00001ae0 Section 0 uart1.o(i.Uart1_Get_RecvDataCount) + i.Uart1_Initialization 0x00001af0 Section 0 uart1.o(i.Uart1_Initialization) + i.Uart1_Receive_Handler 0x00001bb0 Section 0 uart1.o(i.Uart1_Receive_Handler) + Uart1_Receive_Handler 0x00001bb1 Thumb Code 42 uart1.o(i.Uart1_Receive_Handler) + i.Uart1_Transmit_Process 0x00001be4 Section 0 uart1.o(i.Uart1_Transmit_Process) + Uart1_Transmit_Process 0x00001be5 Thumb Code 46 uart1.o(i.Uart1_Transmit_Process) + i.Uart_Packet_Initialization 0x00001c1c Section 0 uart_packet.o(i.Uart_Packet_Initialization) + i.Uart_Packet_Make_Process 0x00001c38 Section 0 uart_packet.o(i.Uart_Packet_Make_Process) + Uart_Packet_Make_Process 0x00001c39 Thumb Code 308 uart_packet.o(i.Uart_Packet_Make_Process) + i.Uart_Packet_Process 0x00001d7c Section 0 uart_packet.o(i.Uart_Packet_Process) + Uart_Packet_Process 0x00001d7d Thumb Code 150 uart_packet.o(i.Uart_Packet_Process) + i.__ARM_common_switch8 0x00001e12 Section 0 segment_74hc595d.o(i.__ARM_common_switch8) + i.__scatterload_copy 0x00001e2e Section 14 handlers.o(i.__scatterload_copy) + i.__scatterload_null 0x00001e3c Section 2 handlers.o(i.__scatterload_null) + i.__scatterload_zeroinit 0x00001e3e Section 14 handlers.o(i.__scatterload_zeroinit) + i.a_ds3231_bcd2hex 0x00001e4c Section 0 driver_ds3231.o(i.a_ds3231_bcd2hex) + a_ds3231_bcd2hex 0x00001e4d Thumb Code 20 driver_ds3231.o(i.a_ds3231_bcd2hex) + i.a_ds3231_hex2bcd 0x00001e60 Section 0 driver_ds3231.o(i.a_ds3231_hex2bcd) + a_ds3231_hex2bcd 0x00001e61 Thumb Code 34 driver_ds3231.o(i.a_ds3231_hex2bcd) + i.a_ds3231_iic_multiple_read 0x00001e82 Section 0 driver_ds3231.o(i.a_ds3231_iic_multiple_read) + a_ds3231_iic_multiple_read 0x00001e83 Thumb Code 36 driver_ds3231.o(i.a_ds3231_iic_multiple_read) + i.a_ds3231_iic_write 0x00001ea6 Section 0 driver_ds3231.o(i.a_ds3231_iic_write) + a_ds3231_iic_write 0x00001ea7 Thumb Code 30 driver_ds3231.o(i.a_ds3231_iic_write) + i.ds3231_aging_offset_convert_to_register 0x00001ec4 Section 0 driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) + i.ds3231_basic_get_time 0x00001ef8 Section 0 driver_ds3231_basic.o(i.ds3231_basic_get_time) + i.ds3231_basic_init 0x00001f14 Section 0 driver_ds3231_basic.o(i.ds3231_basic_init) + i.ds3231_basic_set_time 0x000021a8 Section 0 driver_ds3231_basic.o(i.ds3231_basic_set_time) + i.ds3231_deinit 0x000021c4 Section 0 driver_ds3231.o(i.ds3231_deinit) + i.ds3231_get_time 0x00002210 Section 0 driver_ds3231.o(i.ds3231_get_time) + i.ds3231_init 0x0000231c Section 0 driver_ds3231.o(i.ds3231_init) + i.ds3231_interface_debug_print 0x00002508 Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_debug_print) + i.ds3231_interface_delay_ms 0x0000250a Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_delay_ms) + i.ds3231_interface_iic_deinit 0x00002516 Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_iic_deinit) + i.ds3231_interface_iic_init 0x0000251a Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_iic_init) + i.ds3231_interface_iic_read 0x0000251e Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) + i.ds3231_interface_iic_write 0x00002540 Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) + i.ds3231_interface_receive_callback 0x00002570 Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_receive_callback) + i.ds3231_set_32khz_output 0x000025c4 Section 0 driver_ds3231.o(i.ds3231_set_32khz_output) + i.ds3231_set_aging_offset 0x00002670 Section 0 driver_ds3231.o(i.ds3231_set_aging_offset) + i.ds3231_set_alarm_interrupt 0x000026c8 Section 0 driver_ds3231.o(i.ds3231_set_alarm_interrupt) + i.ds3231_set_oscillator 0x00002778 Section 0 driver_ds3231.o(i.ds3231_set_oscillator) + i.ds3231_set_pin 0x0000282c Section 0 driver_ds3231.o(i.ds3231_set_pin) + i.ds3231_set_square_wave 0x000028d8 Section 0 driver_ds3231.o(i.ds3231_set_square_wave) + i.ds3231_set_time 0x00002984 Section 0 driver_ds3231.o(i.ds3231_set_time) + i.main 0x00002e98 Section 0 main.o(i.main) + i.millis 0x00002f0c Section 0 systick_timer.o(i.millis) + i.timer_test 0x00002f18 Section 0 main.o(i.timer_test) + i.uart_set_divisors 0x00002f1c Section 0 a31g12x_hal_uartn.o(i.uart_set_divisors) + uart_set_divisors 0x00002f1d Thumb Code 56 a31g12x_hal_uartn.o(i.uart_set_divisors) + i.usart_set_divisors 0x00002f58 Section 0 a31g12x_hal_usart1n.o(i.usart_set_divisors) + usart_set_divisors 0x00002f59 Thumb Code 58 a31g12x_hal_usart1n.o(i.usart_set_divisors) + .ARM.__AT_0x1FFFF200 0x1ffff200 Section 68 option_a31g12x.o(.ARM.__AT_0x1FFFF200) + .ARM.__AT_0x1FFFF400 0x1ffff400 Section 128 option_a31g12x.o(.ARM.__AT_0x1FFFF400) + .ARM.__AT_0x1FFFF600 0x1ffff600 Section 128 option_a31g12x.o(.ARM.__AT_0x1FFFF600) + .data 0x20000000 Section 8 systick_timer.o(.data) + tick_count 0x20000000 Data 4 systick_timer.o(.data) + .data 0x20000008 Section 64 gpio_state_led.o(.data) + StateLedMode 0x20000008 Data 1 gpio_state_led.o(.data) + StateLedStep 0x20000009 Data 1 gpio_state_led.o(.data) + StateLedTime 0x2000000c Data 8 gpio_state_led.o(.data) + StateLedCheckTime 0x20000014 Data 4 gpio_state_led.o(.data) + StateLedOnOffTime 0x20000018 Data 48 gpio_state_led.o(.data) + .data 0x20000048 Section 36 gpio_switch.o(.data) + KeyCheckInfo 0x20000048 Data 36 gpio_switch.o(.data) + .data 0x2000006c Section 16 segment_74hc595d.o(.data) + SegmentOutputStep 0x2000006c Data 1 segment_74hc595d.o(.data) + HC595_OutputData 0x2000006d Data 5 segment_74hc595d.o(.data) + HC595_OutputMode 0x20000072 Data 1 segment_74hc595d.o(.data) + ToggleTickCount 0x20000074 Data 4 segment_74hc595d.o(.data) + isToggle 0x20000078 Data 1 segment_74hc595d.o(.data) + temp 0x2000007b Data 1 segment_74hc595d.o(.data) + .data 0x2000007c Section 4 timer12.o(.data) + Timer12_Match_Callback 0x2000007c Data 4 timer12.o(.data) + .data 0x20000080 Section 8 rtc_process.o(.data) + rtc_Time 0x20000080 Data 8 rtc_process.o(.data) + .data 0x20000088 Section 12 buzzer.o(.data) + isBuzzerOn 0x20000088 Data 1 buzzer.o(.data) + BuzzerStartTick 0x2000008c Data 4 buzzer.o(.data) + BuzzerOnTimeCount 0x20000090 Data 4 buzzer.o(.data) + .data 0x20000094 Section 3 uart_packet.o(.data) + Pack_Index 0x20000094 Data 1 uart_packet.o(.data) + Pack_CheckSum 0x20000095 Data 1 uart_packet.o(.data) + Pack_DataLen 0x20000096 Data 1 uart_packet.o(.data) + .data 0x20000098 Section 12 a31g12x_hal_uartn.o(.data) + UARTn_BaseClock 0x20000098 Data 4 a31g12x_hal_uartn.o(.data) + .data 0x200000a4 Section 4 a31g12x_hal_usart1n.o(.data) + .data 0x200000a8 Section 28 system_a31g12x.o(.data) + .bss 0x200000c4 Section 160 sw_timer.o(.bss) + SW_Timer_Info 0x200000c4 Data 160 sw_timer.o(.bss) + .bss 0x20000164 Section 340 uart1.o(.bss) + Tx_Buffer 0x20000164 Data 200 uart1.o(.bss) + Rx_Buffer 0x2000022c Data 100 uart1.o(.bss) + RingBuffer_Tx 0x20000290 Data 20 uart1.o(.bss) + RingBuffer_Rx 0x200002a4 Data 20 uart1.o(.bss) + .bss 0x200002b8 Section 32 driver_ds3231_basic.o(.bss) + gs_handle 0x200002b8 Data 32 driver_ds3231_basic.o(.bss) + .bss 0x200002d8 Section 32 segment.o(.bss) + .bss 0x200002f8 Section 100 uart_packet.o(.bss) + Pack_Buff 0x200002f8 Data 100 uart_packet.o(.bss) + STACK 0x20000360 Section 1280 startup_a31g12x.o(STACK) + + Global Symbols + + Symbol Name Value Ov Type Size Object(Section) + + BuildAttributes$$THM_ISAv3M$S$PE$A:L22$X:L11$S22$IEEE1$IW$USESV6$~STKCKD$USESV7$~SHL$OTIME$ROPI$EBA8$MICROLIB$REQ8$PRES8$EABIv2 0x00000000 Number 0 anon$$obj.o ABSOLUTE + __ARM_use_no_argv 0x00000000 Number 0 main.o ABSOLUTE + __Vectors 0x00000000 Data 4 startup_a31g12x.o(RESET) + _printf_a 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_c 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_charcount 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_d 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_e 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_f 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_flags 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_fp_dec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_fp_hex 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_g 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_i 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_int_dec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_l 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_lc 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_ll 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_lld 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_lli 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_llo 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_llu 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_llx 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_longlong_dec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_longlong_hex 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_longlong_oct 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_ls 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_mbtowc 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_n 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_o 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_p 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_percent 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_pre_padding 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_return_value 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_s 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_sizespec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_str 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_truncate_signed 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_truncate_unsigned 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_u 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_wc 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_wctomb 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_widthprec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_x 0x00000000 Number 0 stubs.o ABSOLUTE + __arm_fini_ - Undefined Weak Reference + __cpp_initialize__aeabi_ - Undefined Weak Reference + __cxa_finalize - Undefined Weak Reference + __decompress - Undefined Weak Reference + _clock_init - Undefined Weak Reference + _microlib_exit - Undefined Weak Reference + __Vectors_End 0x000000b0 Data 0 startup_a31g12x.o(RESET) + __Vectors_Size 0x000000b0 Number 0 startup_a31g12x.o ABSOLUTE + __main 0x000000b1 Thumb Code 0 entry.o(.ARM.Collect$$$$00000000) + _main_stk 0x000000b1 Thumb Code 0 entry2.o(.ARM.Collect$$$$00000001) + _main_scatterload 0x000000b5 Thumb Code 0 entry5.o(.ARM.Collect$$$$00000004) + __main_after_scatterload 0x000000b9 Thumb Code 0 entry5.o(.ARM.Collect$$$$00000004) + _main_clock 0x000000b9 Thumb Code 0 entry7b.o(.ARM.Collect$$$$00000008) + _main_cpp_init 0x000000b9 Thumb Code 0 entry8b.o(.ARM.Collect$$$$0000000A) + _main_init 0x000000b9 Thumb Code 0 entry9a.o(.ARM.Collect$$$$0000000B) + __rt_lib_shutdown_fini 0x000000c1 Thumb Code 0 entry12b.o(.ARM.Collect$$$$0000000E) + __rt_final_cpp 0x000000c5 Thumb Code 0 entry10a.o(.ARM.Collect$$$$0000000F) + __rt_final_exit 0x000000c5 Thumb Code 0 entry11a.o(.ARM.Collect$$$$00000011) + Reset_Handler 0x000000c9 Thumb Code 8 startup_a31g12x.o(.text) + ADC_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + EINT0_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + EINT1_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + EINT2_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + EINT3_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + I2C0_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + I2C1_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + I2C2_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + LVI_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER10_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER11_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER13_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER14_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER15_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER16_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER20_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER21_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER30_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + UART0_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + USART10_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + USART11_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + USART12_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + USART13_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + WDT_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + WT_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + WUT_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + __aeabi_uidiv 0x000000e5 Thumb Code 0 uidiv.o(.text) + __aeabi_uidivmod 0x000000e5 Thumb Code 44 uidiv.o(.text) + __aeabi_idiv 0x00000111 Thumb Code 0 idiv.o(.text) + __aeabi_idivmod 0x00000111 Thumb Code 40 idiv.o(.text) + __aeabi_memcpy 0x00000139 Thumb Code 36 memcpya.o(.text) + __aeabi_memcpy4 0x00000139 Thumb Code 0 memcpya.o(.text) + __aeabi_memcpy8 0x00000139 Thumb Code 0 memcpya.o(.text) + __aeabi_memset 0x0000015d Thumb Code 14 memseta.o(.text) + __aeabi_memset4 0x0000015d Thumb Code 0 memseta.o(.text) + __aeabi_memset8 0x0000015d Thumb Code 0 memseta.o(.text) + __aeabi_memclr 0x0000016b Thumb Code 4 memseta.o(.text) + __aeabi_memclr4 0x0000016b Thumb Code 0 memseta.o(.text) + __aeabi_memclr8 0x0000016b Thumb Code 0 memseta.o(.text) + _memset$wrapper 0x0000016f Thumb Code 18 memseta.o(.text) + __aeabi_fdiv 0x00000181 Thumb Code 124 fdiv.o(.text) + __aeabi_f2iz 0x000001fd Thumb Code 50 ffixi.o(.text) + __I$use$fp 0x0000022f Thumb Code 0 iusefp.o(.text) + _float_round 0x0000022f Thumb Code 16 fepilogue.o(.text) + _float_epilogue 0x0000023f Thumb Code 114 fepilogue.o(.text) + __scatterload 0x000002b1 Thumb Code 28 init.o(.text) + __scatterload_rt2 0x000002b1 Thumb Code 0 init.o(.text) + Action_Initialization 0x000002d5 Thumb Code 14 action_process.o(i.Action_Initialization) + Buzzer_Initialization 0x000002ed Thumb Code 34 buzzer.o(i.Buzzer_Initialization) + Buzzer_On 0x00000319 Thumb Code 24 buzzer.o(i.Buzzer_On) + Delay_I2C_Delay 0x0000038d Thumb Code 14 gpio_i2c.o(i.Delay_I2C_Delay) + Delay_ms 0x0000039d Thumb Code 16 systick_timer.o(i.Delay_ms) + FlashMEM_Clock_Initialization 0x000003b1 Thumb Code 16 eeprom.o(i.FlashMEM_Clock_Initialization) + GPIO_I2C0_Initialization 0x000003c1 Thumb Code 60 gpio_i2c.o(i.GPIO_I2C0_Initialization) + GPIO_I2C1_Initialization 0x00000401 Thumb Code 60 gpio_i2c.o(i.GPIO_I2C1_Initialization) + GPIO_I2C2_Initialization 0x00000441 Thumb Code 60 gpio_i2c.o(i.GPIO_I2C2_Initialization) + GPIO_I2C2_Read 0x00000481 Thumb Code 142 gpio_i2c.o(i.GPIO_I2C2_Read) + GPIO_I2C2_Start 0x00000515 Thumb Code 70 gpio_i2c.o(i.GPIO_I2C2_Start) + GPIO_I2C2_Stop 0x00000561 Thumb Code 58 gpio_i2c.o(i.GPIO_I2C2_Stop) + GPIO_I2C2_Write 0x000005a1 Thumb Code 142 gpio_i2c.o(i.GPIO_I2C2_Write) + Gpio_Sensor_PWR_Initialization 0x00000635 Thumb Code 32 gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) + Gpio_StateLed_Initialization 0x00000659 Thumb Code 40 gpio_state_led.o(i.Gpio_StateLed_Initialization) + Gpio_StateLed_Set_Mode 0x00000689 Thumb Code 36 gpio_state_led.o(i.Gpio_StateLed_Set_Mode) + Gpio_Switch_Port_Initialization 0x000007fd Thumb Code 46 gpio_switch.o(i.Gpio_Switch_Port_Initialization) + HAL_CRC_Init 0x00000835 Thumb Code 14 a31g12x_hal_crc.o(i.HAL_CRC_Init) + HAL_GPIO_ClearPin 0x00000843 Thumb Code 4 a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) + HAL_GPIO_ConfigFunction 0x00000847 Thumb Code 68 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) + HAL_GPIO_ConfigOutput 0x0000088b Thumb Code 70 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) + HAL_GPIO_ConfigPullup 0x000008d1 Thumb Code 30 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) + HAL_GPIO_ReadPin 0x000008ef Thumb Code 8 a31g12x_hal_pcu.o(i.HAL_GPIO_ReadPin) + HAL_GPIO_SetDebouncePin 0x000008f7 Thumb Code 22 a31g12x_hal_pcu.o(i.HAL_GPIO_SetDebouncePin) + HAL_GPIO_SetPin 0x0000090d Thumb Code 4 a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) + HAL_INT_EInt_MaskDisable 0x00000911 Thumb Code 12 a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskDisable) + HAL_SCU_ClockMonitoring 0x00000921 Thumb Code 50 a31g12x_hal_scu.o(i.HAL_SCU_ClockMonitoring) + HAL_SCU_ClockSource_Enable 0x0000095d Thumb Code 22 a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Enable) + HAL_SCU_Peripheral_ClockConfig 0x00000981 Thumb Code 8 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ClockConfig) + HAL_SCU_Peripheral_EnableClock1 0x0000098d Thumb Code 28 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock1) + HAL_SCU_Peripheral_EnableClock2 0x000009ad Thumb Code 28 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) + HAL_SCU_Peripheral_SetReset2 0x000009cd Thumb Code 24 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) + HAL_SCU_SystemClockChange 0x000009e9 Thumb Code 10 a31g12x_hal_scu.o(i.HAL_SCU_SystemClockChange) + HAL_SCU_SystemClockDivider 0x000009fd Thumb Code 8 a31g12x_hal_scu.o(i.HAL_SCU_SystemClockDivider) + HAL_TIMER1n_Cmd 0x00000a09 Thumb Code 38 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Cmd) + HAL_TIMER1n_ConfigInterrupt 0x00000a2f Thumb Code 56 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_ConfigInterrupt) + HAL_TIMER1n_Init 0x00000a69 Thumb Code 332 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Init) + HAL_UART_ConfigInterrupt 0x00000bd1 Thumb Code 76 a31g12x_hal_uartn.o(i.HAL_UART_ConfigInterrupt) + HAL_UART_ConfigStructInit 0x00000c1d Thumb Code 30 a31g12x_hal_uartn.o(i.HAL_UART_ConfigStructInit) + HAL_UART_Init 0x00000c3d Thumb Code 244 a31g12x_hal_uartn.o(i.HAL_UART_Init) + HAL_UART_Receive 0x00000d41 Thumb Code 110 a31g12x_hal_uartn.o(i.HAL_UART_Receive) + HAL_UART_ReceiveByte 0x00000db5 Thumb Code 8 a31g12x_hal_uartn.o(i.HAL_UART_ReceiveByte) + HAL_UART_Transmit 0x00000dbd Thumb Code 122 a31g12x_hal_uartn.o(i.HAL_UART_Transmit) + HAL_UART_TransmitByte 0x00000e3d Thumb Code 16 a31g12x_hal_uartn.o(i.HAL_UART_TransmitByte) + HAL_USART_ClearStatus 0x00000e4d Thumb Code 58 a31g12x_hal_usart1n.o(i.HAL_USART_ClearStatus) + HAL_USART_DataControlConfig 0x00000e87 Thumb Code 106 a31g12x_hal_usart1n.o(i.HAL_USART_DataControlConfig) + HAL_USART_Enable 0x00000ef1 Thumb Code 38 a31g12x_hal_usart1n.o(i.HAL_USART_Enable) + HAL_USART_Init 0x00000f19 Thumb Code 218 a31g12x_hal_usart1n.o(i.HAL_USART_Init) + HAL_USART_ReceiveByte 0x0000100d Thumb Code 8 a31g12x_hal_usart1n.o(i.HAL_USART_ReceiveByte) + HAL_USART_SPI_Mode_Config 0x00001015 Thumb Code 40 a31g12x_hal_usart1n.o(i.HAL_USART_SPI_Mode_Config) + HardFault_Handler 0x0000103d Thumb Code 4 a31g12x_interrupt.o(i.HardFault_Handler) + I2C2_Read 0x00001041 Thumb Code 60 gpio_i2c.o(i.I2C2_Read) + I2C2_Write 0x0000107d Thumb Code 46 gpio_i2c.o(i.I2C2_Write) + NMI_Handler 0x000010ab Thumb Code 2 a31g12x_interrupt.o(i.NMI_Handler) + PendSV_Handler 0x00001215 Thumb Code 2 a31g12x_interrupt.o(i.PendSV_Handler) + RTC_Process_Initialization 0x00001271 Thumb Code 20 rtc_process.o(i.RTC_Process_Initialization) + RingBuffer_Dequeue 0x00001289 Thumb Code 62 ring_buffer.o(i.RingBuffer_Dequeue) + RingBuffer_Enqueue 0x000012c7 Thumb Code 64 ring_buffer.o(i.RingBuffer_Enqueue) + RingBuffer_GetData 0x00001307 Thumb Code 14 ring_buffer.o(i.RingBuffer_GetData) + RingBuffer_Get_DataSize 0x00001315 Thumb Code 50 ring_buffer.o(i.RingBuffer_Get_DataSize) + RingBuffer_Initialization 0x00001347 Thumb Code 38 ring_buffer.o(i.RingBuffer_Initialization) + RingBuffer_PopData 0x0000136d Thumb Code 38 ring_buffer.o(i.RingBuffer_PopData) + RingBuffer_isEmpty 0x00001393 Thumb Code 18 ring_buffer.o(i.RingBuffer_isEmpty) + RingBuffer_isFull 0x000013a5 Thumb Code 36 ring_buffer.o(i.RingBuffer_isFull) + SPI10_Initialization 0x000013c9 Thumb Code 234 spi10.o(i.SPI10_Initialization) + SVC_Handler 0x000014bd Thumb Code 2 a31g12x_interrupt.o(i.SVC_Handler) + SW_Timer_Callback_Process 0x000014c1 Thumb Code 124 sw_timer.o(i.SW_Timer_Callback_Process) + SW_Timer_Callback_Register 0x00001541 Thumb Code 78 sw_timer.o(i.SW_Timer_Callback_Register) + Segment_Initialization 0x00001739 Thumb Code 114 segment_74hc595d.o(i.Segment_Initialization) + SysTick_Handler 0x00001915 Thumb Code 30 systick_timer.o(i.SysTick_Handler) + SystemInit 0x0000193d Thumb Code 22 system_a31g12x.o(i.SystemInit) + System_Clock_Initialization 0x00001961 Thumb Code 66 a31g12x_systemclock.o(i.System_Clock_Initialization) + Systick_Initialization 0x000019b1 Thumb Code 76 a31g12x_systemclock.o(i.Systick_Initialization) + TIMER12_Handler 0x00001a09 Thumb Code 44 timer12.o(i.TIMER12_Handler) + Timer12_Initialization 0x00001a3d Thumb Code 84 timer12.o(i.Timer12_Initialization) + Timer12_Set_Match_Interrupt_Callback 0x00001a99 Thumb Code 6 timer12.o(i.Timer12_Set_Match_Interrupt_Callback) + UART1_Handler 0x00001aa5 Thumb Code 34 uart1.o(i.UART1_Handler) + Uart1_Get_RecvData 0x00001acd Thumb Code 16 uart1.o(i.Uart1_Get_RecvData) + Uart1_Get_RecvDataCount 0x00001ae1 Thumb Code 10 uart1.o(i.Uart1_Get_RecvDataCount) + Uart1_Initialization 0x00001af1 Thumb Code 158 uart1.o(i.Uart1_Initialization) + Uart_Packet_Initialization 0x00001c1d Thumb Code 20 uart_packet.o(i.Uart_Packet_Initialization) + __ARM_common_switch8 0x00001e13 Thumb Code 28 segment_74hc595d.o(i.__ARM_common_switch8) + __scatterload_copy 0x00001e2f Thumb Code 14 handlers.o(i.__scatterload_copy) + __scatterload_null 0x00001e3d Thumb Code 2 handlers.o(i.__scatterload_null) + __scatterload_zeroinit 0x00001e3f Thumb Code 14 handlers.o(i.__scatterload_zeroinit) + ds3231_aging_offset_convert_to_register 0x00001ec5 Thumb Code 48 driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) + ds3231_basic_get_time 0x00001ef9 Thumb Code 24 driver_ds3231_basic.o(i.ds3231_basic_get_time) + ds3231_basic_init 0x00001f15 Thumb Code 316 driver_ds3231_basic.o(i.ds3231_basic_init) + ds3231_basic_set_time 0x000021a9 Thumb Code 24 driver_ds3231_basic.o(i.ds3231_basic_set_time) + ds3231_deinit 0x000021c5 Thumb Code 48 driver_ds3231.o(i.ds3231_deinit) + ds3231_get_time 0x00002211 Thumb Code 208 driver_ds3231.o(i.ds3231_get_time) + ds3231_init 0x0000231d Thumb Code 218 driver_ds3231.o(i.ds3231_init) + ds3231_interface_debug_print 0x00002509 Thumb Code 2 driver_ds3231_interface_template.o(i.ds3231_interface_debug_print) + ds3231_interface_delay_ms 0x0000250b Thumb Code 12 driver_ds3231_interface_template.o(i.ds3231_interface_delay_ms) + ds3231_interface_iic_deinit 0x00002517 Thumb Code 4 driver_ds3231_interface_template.o(i.ds3231_interface_iic_deinit) + ds3231_interface_iic_init 0x0000251b Thumb Code 4 driver_ds3231_interface_template.o(i.ds3231_interface_iic_init) + ds3231_interface_iic_read 0x0000251f Thumb Code 34 driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) + ds3231_interface_iic_write 0x00002541 Thumb Code 46 driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) + ds3231_interface_receive_callback 0x00002571 Thumb Code 34 driver_ds3231_interface_template.o(i.ds3231_interface_receive_callback) + ds3231_set_32khz_output 0x000025c5 Thumb Code 106 driver_ds3231.o(i.ds3231_set_32khz_output) + ds3231_set_aging_offset 0x00002671 Thumb Code 54 driver_ds3231.o(i.ds3231_set_aging_offset) + ds3231_set_alarm_interrupt 0x000026c9 Thumb Code 112 driver_ds3231.o(i.ds3231_set_alarm_interrupt) + ds3231_set_oscillator 0x00002779 Thumb Code 114 driver_ds3231.o(i.ds3231_set_oscillator) + ds3231_set_pin 0x0000282d Thumb Code 106 driver_ds3231.o(i.ds3231_set_pin) + ds3231_set_square_wave 0x000028d9 Thumb Code 106 driver_ds3231.o(i.ds3231_set_square_wave) + ds3231_set_time 0x00002985 Thumb Code 662 driver_ds3231.o(i.ds3231_set_time) + main 0x00002e99 Thumb Code 108 main.o(i.main) + millis 0x00002f0d Thumb Code 6 systick_timer.o(i.millis) + timer_test 0x00002f19 Thumb Code 2 main.o(i.timer_test) + Region$$Table$$Base 0x00002f98 Number 0 anon$$obj.o(Region$$Table) + Region$$Table$$Limit 0x00002fb8 Number 0 anon$$obj.o(Region$$Table) + nDelayCount 0x20000004 Data 4 systick_timer.o(.data) + Segment_Toggle_In 0x20000079 Data 1 segment_74hc595d.o(.data) + Segment_Toggle_Out 0x2000007a Data 1 segment_74hc595d.o(.data) + InFlag 0x2000009c Data 4 a31g12x_hal_uartn.o(.data) + InCount 0x200000a0 Data 4 a31g12x_hal_uartn.o(.data) + USART1n_BaseClock 0x200000a4 Data 4 a31g12x_hal_usart1n.o(.data) + mclk 0x200000a8 Data 4 system_a31g12x.o(.data) + SystemCoreClock 0x200000ac Data 4 system_a31g12x.o(.data) + SystemPeriClock 0x200000b0 Data 4 system_a31g12x.o(.data) + ClkSrcTbl 0x200000b4 Data 16 system_a31g12x.o(.data) + Segment_OutputBuff 0x200002d8 Data 32 segment.o(.bss) + __initial_sp 0x20000860 Data 0 startup_a31g12x.o(STACK) + + + +============================================================================== + +Memory Map of the image + + Image Entry point : 0x000000b1 + + Load Region LR_IROM1 (Base: 0x00000000, Size: 0x0000307c, Max: 0x00010000, ABSOLUTE) + + Execution Region ER_IROM1 (Exec base: 0x00000000, Load base: 0x00000000, Size: 0x00002fb8, Max: 0x00010000, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x00000000 0x00000000 0x000000b0 Data RO 2940 RESET startup_a31g12x.o + 0x000000b0 0x000000b0 0x00000000 Code RO 3654 * .ARM.Collect$$$$00000000 mc_p.l(entry.o) + 0x000000b0 0x000000b0 0x00000004 Code RO 3945 .ARM.Collect$$$$00000001 mc_p.l(entry2.o) + 0x000000b4 0x000000b4 0x00000004 Code RO 3948 .ARM.Collect$$$$00000004 mc_p.l(entry5.o) + 0x000000b8 0x000000b8 0x00000000 Code RO 3950 .ARM.Collect$$$$00000008 mc_p.l(entry7b.o) + 0x000000b8 0x000000b8 0x00000000 Code RO 3952 .ARM.Collect$$$$0000000A mc_p.l(entry8b.o) + 0x000000b8 0x000000b8 0x00000008 Code RO 3953 .ARM.Collect$$$$0000000B mc_p.l(entry9a.o) + 0x000000c0 0x000000c0 0x00000004 Code RO 3960 .ARM.Collect$$$$0000000E mc_p.l(entry12b.o) + 0x000000c4 0x000000c4 0x00000000 Code RO 3955 .ARM.Collect$$$$0000000F mc_p.l(entry10a.o) + 0x000000c4 0x000000c4 0x00000000 Code RO 3957 .ARM.Collect$$$$00000011 mc_p.l(entry11a.o) + 0x000000c4 0x000000c4 0x00000004 Code RO 3946 .ARM.Collect$$$$00002712 mc_p.l(entry2.o) + 0x000000c8 0x000000c8 0x0000001c Code RO 2941 .text startup_a31g12x.o + 0x000000e4 0x000000e4 0x0000002c Code RO 3657 .text mc_p.l(uidiv.o) + 0x00000110 0x00000110 0x00000028 Code RO 3659 .text mc_p.l(idiv.o) + 0x00000138 0x00000138 0x00000024 Code RO 3668 .text mc_p.l(memcpya.o) + 0x0000015c 0x0000015c 0x00000024 Code RO 3670 .text mc_p.l(memseta.o) + 0x00000180 0x00000180 0x0000007c Code RO 3939 .text mf_p.l(fdiv.o) + 0x000001fc 0x000001fc 0x00000032 Code RO 3943 .text mf_p.l(ffixi.o) + 0x0000022e 0x0000022e 0x00000000 Code RO 3970 .text mc_p.l(iusefp.o) + 0x0000022e 0x0000022e 0x00000082 Code RO 3971 .text mf_p.l(fepilogue.o) + 0x000002b0 0x000002b0 0x00000024 Code RO 3983 .text mc_p.l(init.o) + 0x000002d4 0x000002d4 0x00000014 Code RO 1276 i.Action_Initialization action_process.o + 0x000002e8 0x000002e8 0x00000002 Code RO 1277 i.Action_Process action_process.o + 0x000002ea 0x000002ea 0x00000002 PAD + 0x000002ec 0x000002ec 0x0000002c Code RO 1352 i.Buzzer_Initialization buzzer.o + 0x00000318 0x00000318 0x00000024 Code RO 1353 i.Buzzer_On buzzer.o + 0x0000033c 0x0000033c 0x00000050 Code RO 1354 i.Buzzer_Output_Process buzzer.o + 0x0000038c 0x0000038c 0x0000000e Code RO 673 i.Delay_I2C_Delay gpio_i2c.o + 0x0000039a 0x0000039a 0x00000002 PAD + 0x0000039c 0x0000039c 0x00000014 Code RO 341 i.Delay_ms systick_timer.o + 0x000003b0 0x000003b0 0x00000010 Code RO 1308 i.FlashMEM_Clock_Initialization eeprom.o + 0x000003c0 0x000003c0 0x00000040 Code RO 674 i.GPIO_I2C0_Initialization gpio_i2c.o + 0x00000400 0x00000400 0x00000040 Code RO 679 i.GPIO_I2C1_Initialization gpio_i2c.o + 0x00000440 0x00000440 0x00000040 Code RO 684 i.GPIO_I2C2_Initialization gpio_i2c.o + 0x00000480 0x00000480 0x00000094 Code RO 685 i.GPIO_I2C2_Read gpio_i2c.o + 0x00000514 0x00000514 0x0000004c Code RO 686 i.GPIO_I2C2_Start gpio_i2c.o + 0x00000560 0x00000560 0x00000040 Code RO 687 i.GPIO_I2C2_Stop gpio_i2c.o + 0x000005a0 0x000005a0 0x00000094 Code RO 688 i.GPIO_I2C2_Write gpio_i2c.o + 0x00000634 0x00000634 0x00000024 Code RO 1385 i.Gpio_Sensor_PWR_Initialization gpio_sensor.o + 0x00000658 0x00000658 0x00000030 Code RO 448 i.Gpio_StateLed_Initialization gpio_state_led.o + 0x00000688 0x00000688 0x00000034 Code RO 449 i.Gpio_StateLed_Set_Mode gpio_state_led.o + 0x000006bc 0x000006bc 0x00000140 Code RO 486 i.Gpio_Switch_Check_Process gpio_switch.o + 0x000007fc 0x000007fc 0x00000038 Code RO 487 i.Gpio_Switch_Port_Initialization gpio_switch.o + 0x00000834 0x00000834 0x0000000e Code RO 1635 i.HAL_CRC_Init a31g12x_hal_crc.o + 0x00000842 0x00000842 0x00000004 Code RO 2094 i.HAL_GPIO_ClearPin a31g12x_hal_pcu.o + 0x00000846 0x00000846 0x00000044 Code RO 2095 i.HAL_GPIO_ConfigFunction a31g12x_hal_pcu.o + 0x0000088a 0x0000088a 0x00000046 Code RO 2097 i.HAL_GPIO_ConfigOutput a31g12x_hal_pcu.o + 0x000008d0 0x000008d0 0x0000001e Code RO 2098 i.HAL_GPIO_ConfigPullup a31g12x_hal_pcu.o + 0x000008ee 0x000008ee 0x00000008 Code RO 2100 i.HAL_GPIO_ReadPin a31g12x_hal_pcu.o + 0x000008f6 0x000008f6 0x00000016 Code RO 2101 i.HAL_GPIO_SetDebouncePin a31g12x_hal_pcu.o + 0x0000090c 0x0000090c 0x00000004 Code RO 2102 i.HAL_GPIO_SetPin a31g12x_hal_pcu.o + 0x00000910 0x00000910 0x00000010 Code RO 1990 i.HAL_INT_EInt_MaskDisable a31g12x_hal_intc.o + 0x00000920 0x00000920 0x0000003c Code RO 2201 i.HAL_SCU_ClockMonitoring a31g12x_hal_scu.o + 0x0000095c 0x0000095c 0x00000024 Code RO 2206 i.HAL_SCU_ClockSource_Enable a31g12x_hal_scu.o + 0x00000980 0x00000980 0x0000000c Code RO 2209 i.HAL_SCU_Peripheral_ClockConfig a31g12x_hal_scu.o + 0x0000098c 0x0000098c 0x00000020 Code RO 2211 i.HAL_SCU_Peripheral_EnableClock1 a31g12x_hal_scu.o + 0x000009ac 0x000009ac 0x00000020 Code RO 2212 i.HAL_SCU_Peripheral_EnableClock2 a31g12x_hal_scu.o + 0x000009cc 0x000009cc 0x0000001c Code RO 2215 i.HAL_SCU_Peripheral_SetReset2 a31g12x_hal_scu.o + 0x000009e8 0x000009e8 0x00000014 Code RO 2221 i.HAL_SCU_SystemClockChange a31g12x_hal_scu.o + 0x000009fc 0x000009fc 0x0000000c Code RO 2222 i.HAL_SCU_SystemClockDivider a31g12x_hal_scu.o + 0x00000a08 0x00000a08 0x00000026 Code RO 2378 i.HAL_TIMER1n_Cmd a31g12x_hal_timer1n.o + 0x00000a2e 0x00000a2e 0x00000038 Code RO 2379 i.HAL_TIMER1n_ConfigInterrupt a31g12x_hal_timer1n.o + 0x00000a66 0x00000a66 0x00000002 PAD + 0x00000a68 0x00000a68 0x00000168 Code RO 2381 i.HAL_TIMER1n_Init a31g12x_hal_timer1n.o + 0x00000bd0 0x00000bd0 0x0000004c Code RO 2601 i.HAL_UART_ConfigInterrupt a31g12x_hal_uartn.o + 0x00000c1c 0x00000c1c 0x0000001e Code RO 2602 i.HAL_UART_ConfigStructInit a31g12x_hal_uartn.o + 0x00000c3a 0x00000c3a 0x00000002 PAD + 0x00000c3c 0x00000c3c 0x00000104 Code RO 2608 i.HAL_UART_Init a31g12x_hal_uartn.o + 0x00000d40 0x00000d40 0x00000074 Code RO 2609 i.HAL_UART_Receive a31g12x_hal_uartn.o + 0x00000db4 0x00000db4 0x00000008 Code RO 2610 i.HAL_UART_ReceiveByte a31g12x_hal_uartn.o + 0x00000dbc 0x00000dbc 0x00000080 Code RO 2611 i.HAL_UART_Transmit a31g12x_hal_uartn.o + 0x00000e3c 0x00000e3c 0x00000010 Code RO 2612 i.HAL_UART_TransmitByte a31g12x_hal_uartn.o + 0x00000e4c 0x00000e4c 0x0000003a Code RO 2705 i.HAL_USART_ClearStatus a31g12x_hal_usart1n.o + 0x00000e86 0x00000e86 0x0000006a Code RO 2707 i.HAL_USART_DataControlConfig a31g12x_hal_usart1n.o + 0x00000ef0 0x00000ef0 0x00000026 Code RO 2709 i.HAL_USART_Enable a31g12x_hal_usart1n.o + 0x00000f16 0x00000f16 0x00000002 PAD + 0x00000f18 0x00000f18 0x000000f4 Code RO 2711 i.HAL_USART_Init a31g12x_hal_usart1n.o + 0x0000100c 0x0000100c 0x00000008 Code RO 2713 i.HAL_USART_ReceiveByte a31g12x_hal_usart1n.o + 0x00001014 0x00001014 0x00000028 Code RO 2714 i.HAL_USART_SPI_Mode_Config a31g12x_hal_usart1n.o + 0x0000103c 0x0000103c 0x00000004 Code RO 1 i.HardFault_Handler a31g12x_interrupt.o + 0x00001040 0x00001040 0x0000003c Code RO 693 i.I2C2_Read gpio_i2c.o + 0x0000107c 0x0000107c 0x0000002e Code RO 694 i.I2C2_Write gpio_i2c.o + 0x000010aa 0x000010aa 0x00000002 Code RO 2 i.NMI_Handler a31g12x_interrupt.o + 0x000010ac 0x000010ac 0x00000078 Code RO 38 i.NVIC_SetPriority a31g12x_systemclock.o + 0x00001124 0x00001124 0x00000078 Code RO 525 i.NVIC_SetPriority uart1.o + 0x0000119c 0x0000119c 0x00000078 Code RO 634 i.NVIC_SetPriority timer12.o + 0x00001214 0x00001214 0x00000002 Code RO 3 i.PendSV_Handler a31g12x_interrupt.o + 0x00001216 0x00001216 0x00000002 PAD + 0x00001218 0x00001218 0x00000058 Code RO 1243 i.RTC_Get_IC_Time_Process rtc_process.o + 0x00001270 0x00001270 0x00000018 Code RO 1245 i.RTC_Process_Initialization rtc_process.o + 0x00001288 0x00001288 0x0000003e Code RO 377 i.RingBuffer_Dequeue ring_buffer.o + 0x000012c6 0x000012c6 0x00000040 Code RO 378 i.RingBuffer_Enqueue ring_buffer.o + 0x00001306 0x00001306 0x0000000e Code RO 379 i.RingBuffer_GetData ring_buffer.o + 0x00001314 0x00001314 0x00000032 Code RO 380 i.RingBuffer_Get_DataSize ring_buffer.o + 0x00001346 0x00001346 0x00000026 Code RO 381 i.RingBuffer_Initialization ring_buffer.o + 0x0000136c 0x0000136c 0x00000026 Code RO 382 i.RingBuffer_PopData ring_buffer.o + 0x00001392 0x00001392 0x00000012 Code RO 383 i.RingBuffer_isEmpty ring_buffer.o + 0x000013a4 0x000013a4 0x00000024 Code RO 384 i.RingBuffer_isFull ring_buffer.o + 0x000013c8 0x000013c8 0x000000f4 Code RO 819 i.SPI10_Initialization spi10.o + 0x000014bc 0x000014bc 0x00000002 Code RO 4 i.SVC_Handler a31g12x_interrupt.o + 0x000014be 0x000014be 0x00000002 PAD + 0x000014c0 0x000014c0 0x00000080 Code RO 307 i.SW_Timer_Callback_Process sw_timer.o + 0x00001540 0x00001540 0x00000054 Code RO 308 i.SW_Timer_Callback_Register sw_timer.o + 0x00001594 0x00001594 0x000001a4 Code RO 595 i.Segemet_Output_Process segment_74hc595d.o + 0x00001738 0x00001738 0x00000084 Code RO 596 i.Segment_Initialization segment_74hc595d.o + 0x000017bc 0x000017bc 0x000000c8 Code RO 597 i.Segment_Output_Data segment_74hc595d.o + 0x00001884 0x00001884 0x00000090 Code RO 450 i.State_Led_Output_Process gpio_state_led.o + 0x00001914 0x00001914 0x00000028 Code RO 342 i.SysTick_Handler systick_timer.o + 0x0000193c 0x0000193c 0x00000024 Code RO 2948 i.SystemInit system_a31g12x.o + 0x00001960 0x00001960 0x00000050 Code RO 39 i.System_Clock_Initialization a31g12x_systemclock.o + 0x000019b0 0x000019b0 0x00000058 Code RO 40 i.Systick_Initialization a31g12x_systemclock.o + 0x00001a08 0x00001a08 0x00000034 Code RO 635 i.TIMER12_Handler timer12.o + 0x00001a3c 0x00001a3c 0x0000005c Code RO 636 i.Timer12_Initialization timer12.o + 0x00001a98 0x00001a98 0x0000000c Code RO 637 i.Timer12_Set_Match_Interrupt_Callback timer12.o + 0x00001aa4 0x00001aa4 0x00000028 Code RO 526 i.UART1_Handler uart1.o + 0x00001acc 0x00001acc 0x00000014 Code RO 527 i.Uart1_Get_RecvData uart1.o + 0x00001ae0 0x00001ae0 0x00000010 Code RO 528 i.Uart1_Get_RecvDataCount uart1.o + 0x00001af0 0x00001af0 0x000000c0 Code RO 529 i.Uart1_Initialization uart1.o + 0x00001bb0 0x00001bb0 0x00000034 Code RO 530 i.Uart1_Receive_Handler uart1.o + 0x00001be4 0x00001be4 0x00000038 Code RO 533 i.Uart1_Transmit_Process uart1.o + 0x00001c1c 0x00001c1c 0x0000001c Code RO 1528 i.Uart_Packet_Initialization uart_packet.o + 0x00001c38 0x00001c38 0x00000144 Code RO 1529 i.Uart_Packet_Make_Process uart_packet.o + 0x00001d7c 0x00001d7c 0x00000096 Code RO 1530 i.Uart_Packet_Process uart_packet.o + 0x00001e12 0x00001e12 0x0000001c Code RO 624 i.__ARM_common_switch8 segment_74hc595d.o + 0x00001e2e 0x00001e2e 0x0000000e Code RO 3997 i.__scatterload_copy mc_p.l(handlers.o) + 0x00001e3c 0x00001e3c 0x00000002 Code RO 3998 i.__scatterload_null mc_p.l(handlers.o) + 0x00001e3e 0x00001e3e 0x0000000e Code RO 3999 i.__scatterload_zeroinit mc_p.l(handlers.o) + 0x00001e4c 0x00001e4c 0x00000014 Code RO 908 i.a_ds3231_bcd2hex driver_ds3231.o + 0x00001e60 0x00001e60 0x00000022 Code RO 909 i.a_ds3231_hex2bcd driver_ds3231.o + 0x00001e82 0x00001e82 0x00000024 Code RO 910 i.a_ds3231_iic_multiple_read driver_ds3231.o + 0x00001ea6 0x00001ea6 0x0000001e Code RO 911 i.a_ds3231_iic_write driver_ds3231.o + 0x00001ec4 0x00001ec4 0x00000034 Code RO 913 i.ds3231_aging_offset_convert_to_register driver_ds3231.o + 0x00001ef8 0x00001ef8 0x0000001c Code RO 1115 i.ds3231_basic_get_time driver_ds3231_basic.o + 0x00001f14 0x00001f14 0x00000294 Code RO 1118 i.ds3231_basic_init driver_ds3231_basic.o + 0x000021a8 0x000021a8 0x0000001c Code RO 1119 i.ds3231_basic_set_time driver_ds3231_basic.o + 0x000021c4 0x000021c4 0x0000004c Code RO 915 i.ds3231_deinit driver_ds3231.o + 0x00002210 0x00002210 0x0000010c Code RO 927 i.ds3231_get_time driver_ds3231.o + 0x0000231c 0x0000231c 0x000001ec Code RO 929 i.ds3231_init driver_ds3231.o + 0x00002508 0x00002508 0x00000002 Code RO 1187 i.ds3231_interface_debug_print driver_ds3231_interface_template.o + 0x0000250a 0x0000250a 0x0000000c Code RO 1188 i.ds3231_interface_delay_ms driver_ds3231_interface_template.o + 0x00002516 0x00002516 0x00000004 Code RO 1189 i.ds3231_interface_iic_deinit driver_ds3231_interface_template.o + 0x0000251a 0x0000251a 0x00000004 Code RO 1190 i.ds3231_interface_iic_init driver_ds3231_interface_template.o + 0x0000251e 0x0000251e 0x00000022 Code RO 1191 i.ds3231_interface_iic_read driver_ds3231_interface_template.o + 0x00002540 0x00002540 0x0000002e Code RO 1192 i.ds3231_interface_iic_write driver_ds3231_interface_template.o + 0x0000256e 0x0000256e 0x00000002 PAD + 0x00002570 0x00002570 0x00000054 Code RO 1193 i.ds3231_interface_receive_callback driver_ds3231_interface_template.o + 0x000025c4 0x000025c4 0x000000ac Code RO 931 i.ds3231_set_32khz_output driver_ds3231.o + 0x00002670 0x00002670 0x00000058 Code RO 932 i.ds3231_set_aging_offset driver_ds3231.o + 0x000026c8 0x000026c8 0x000000b0 Code RO 935 i.ds3231_set_alarm_interrupt driver_ds3231.o + 0x00002778 0x00002778 0x000000b4 Code RO 936 i.ds3231_set_oscillator driver_ds3231.o + 0x0000282c 0x0000282c 0x000000ac Code RO 937 i.ds3231_set_pin driver_ds3231.o + 0x000028d8 0x000028d8 0x000000ac Code RO 939 i.ds3231_set_square_wave driver_ds3231.o + 0x00002984 0x00002984 0x00000514 Code RO 940 i.ds3231_set_time driver_ds3231.o + 0x00002e98 0x00002e98 0x00000074 Code RO 187 i.main main.o + 0x00002f0c 0x00002f0c 0x0000000c Code RO 343 i.millis systick_timer.o + 0x00002f18 0x00002f18 0x00000002 Code RO 188 i.timer_test main.o + 0x00002f1a 0x00002f1a 0x00000002 PAD + 0x00002f1c 0x00002f1c 0x0000003c Code RO 2613 i.uart_set_divisors a31g12x_hal_uartn.o + 0x00002f58 0x00002f58 0x00000040 Code RO 2719 i.usart_set_divisors a31g12x_hal_usart1n.o + 0x00002f98 0x00002f98 0x00000020 Data RO 3995 Region$$Table anon$$obj.o + + + Execution Region RW_IRAM1 (Exec base: 0x20000000, Load base: 0x00002fb8, Size: 0x00000860, Max: 0x00001800, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x20000000 0x00002fb8 0x00000008 Data RW 344 .data systick_timer.o + 0x20000008 0x00002fc0 0x00000040 Data RW 451 .data gpio_state_led.o + 0x20000048 0x00003000 0x00000024 Data RW 490 .data gpio_switch.o + 0x2000006c 0x00003024 0x00000010 Data RW 599 .data segment_74hc595d.o + 0x2000007c 0x00003034 0x00000004 Data RW 638 .data timer12.o + 0x20000080 0x00003038 0x00000008 Data RW 1246 .data rtc_process.o + 0x20000088 0x00003040 0x0000000c Data RW 1355 .data buzzer.o + 0x20000094 0x0000304c 0x00000003 Data RW 1532 .data uart_packet.o + 0x20000097 0x0000304f 0x00000001 PAD + 0x20000098 0x00003050 0x0000000c Data RW 2615 .data a31g12x_hal_uartn.o + 0x200000a4 0x0000305c 0x00000004 Data RW 2720 .data a31g12x_hal_usart1n.o + 0x200000a8 0x00003060 0x0000001c Data RW 2949 .data system_a31g12x.o + 0x200000c4 - 0x000000a0 Zero RW 310 .bss sw_timer.o + 0x20000164 - 0x00000154 Zero RW 534 .bss uart1.o + 0x200002b8 - 0x00000020 Zero RW 1122 .bss driver_ds3231_basic.o + 0x200002d8 - 0x00000020 Zero RW 1422 .bss segment.o + 0x200002f8 - 0x00000064 Zero RW 1531 .bss uart_packet.o + 0x2000035c 0x0000307c 0x00000004 PAD + 0x20000360 - 0x00000500 Zero RW 2938 STACK startup_a31g12x.o + + + + Load Region LR$$.ARM.__AT_0x1FFFF200 (Base: 0x1ffff200, Size: 0x00000044, Max: 0x00000044, ABSOLUTE) + + Execution Region ER$$.ARM.__AT_0x1FFFF200 (Exec base: 0x1ffff200, Load base: 0x1ffff200, Size: 0x00000044, Max: 0x00000044, ABSOLUTE, UNINIT) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x1ffff200 0x1ffff200 0x00000044 Code RO 2983 .ARM.__AT_0x1FFFF200 option_a31g12x.o + + + + Load Region LR$$.ARM.__AT_0x1FFFF400 (Base: 0x1ffff400, Size: 0x00000080, Max: 0x00000080, ABSOLUTE) + + Execution Region ER$$.ARM.__AT_0x1FFFF400 (Exec base: 0x1ffff400, Load base: 0x1ffff400, Size: 0x00000080, Max: 0x00000080, ABSOLUTE, UNINIT) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x1ffff400 0x1ffff400 0x00000080 Code RO 2984 .ARM.__AT_0x1FFFF400 option_a31g12x.o + + + + Load Region LR$$.ARM.__AT_0x1FFFF600 (Base: 0x1ffff600, Size: 0x00000080, Max: 0x00000080, ABSOLUTE) + + Execution Region ER$$.ARM.__AT_0x1FFFF600 (Exec base: 0x1ffff600, Load base: 0x1ffff600, Size: 0x00000080, Max: 0x00000080, ABSOLUTE, UNINIT) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x1ffff600 0x1ffff600 0x00000080 Code RO 2985 .ARM.__AT_0x1FFFF600 option_a31g12x.o + + +============================================================================== + +Image component sizes + + + Code (inc. data) RO Data RW Data ZI Data Debug Object Name + + 0 0 0 0 0 2184 a31g12x_hal_adc.o + 14 0 0 0 0 491 a31g12x_hal_crc.o + 16 4 0 0 0 507 a31g12x_hal_intc.o + 206 0 0 0 0 4837 a31g12x_hal_pcu.o + 232 54 0 0 0 4444 a31g12x_hal_scu.o + 454 28 0 0 0 3039 a31g12x_hal_timer1n.o + 694 32 0 12 0 9205 a31g12x_hal_uartn.o + 558 44 0 4 0 5550 a31g12x_hal_usart1n.o + 10 0 0 0 0 3109 a31g12x_interrupt.o + 288 36 0 0 0 424063 a31g12x_systemclock.o + 22 6 0 0 0 828 action_process.o + 160 38 0 12 0 1596 buzzer.o + 3268 1366 0 0 0 11951 driver_ds3231.o + 716 352 0 0 32 2636 driver_ds3231_basic.o + 186 50 0 0 0 4702 driver_ds3231_interface_template.o + 16 0 0 0 0 460 eeprom.o + 748 36 0 0 0 5794 gpio_i2c.o + 36 4 0 0 0 473 gpio_sensor.o + 244 40 0 64 0 2148 gpio_state_led.o + 376 16 0 36 0 1958 gpio_switch.o + 118 8 0 0 0 8592 main.o + 324 324 0 0 0 648 option_a31g12x.o + 320 0 0 0 0 5599 ring_buffer.o + 112 8 0 8 0 1105 rtc_process.o + 0 0 0 0 32 689 segment.o + 780 70 0 16 0 5065 segment_74hc595d.o + 244 10 0 0 0 751 spi10.o + 28 8 176 0 1280 628 startup_a31g12x.o + 212 10 0 0 160 2057 sw_timer.o + 36 14 0 28 0 1270 system_a31g12x.o + 72 20 0 8 0 1920 systick_timer.o + 276 32 0 4 0 2242 timer12.o + 496 80 0 0 340 11182 uart1.o + 502 24 0 3 100 2757 uart_packet.o + + ---------------------------------------------------------------------- + 11782 2714 208 196 1948 534480 Object Totals + 0 0 32 0 0 0 (incl. Generated) + 18 0 0 1 4 0 (incl. Padding) + + ---------------------------------------------------------------------- + + Code (inc. data) RO Data RW Data ZI Data Debug Library Member Name + + 0 0 0 0 0 0 entry.o + 0 0 0 0 0 0 entry10a.o + 0 0 0 0 0 0 entry11a.o + 4 0 0 0 0 0 entry12b.o + 8 4 0 0 0 0 entry2.o + 4 0 0 0 0 0 entry5.o + 0 0 0 0 0 0 entry7b.o + 0 0 0 0 0 0 entry8b.o + 8 4 0 0 0 0 entry9a.o + 30 0 0 0 0 0 handlers.o + 40 0 0 0 0 72 idiv.o + 36 8 0 0 0 68 init.o + 0 0 0 0 0 0 iusefp.o + 36 0 0 0 0 60 memcpya.o + 36 0 0 0 0 100 memseta.o + 44 0 0 0 0 72 uidiv.o + 124 0 0 0 0 72 fdiv.o + 130 0 0 0 0 144 fepilogue.o + 50 0 0 0 0 60 ffixi.o + + ---------------------------------------------------------------------- + 550 16 0 0 0 648 Library Totals + 0 0 0 0 0 0 (incl. Padding) + + ---------------------------------------------------------------------- + + Code (inc. data) RO Data RW Data ZI Data Debug Library Name + + 246 16 0 0 0 372 mc_p.l + 304 0 0 0 0 276 mf_p.l + + ---------------------------------------------------------------------- + 550 16 0 0 0 648 Library Totals + + ---------------------------------------------------------------------- + +============================================================================== + + + Code (inc. data) RO Data RW Data ZI Data Debug + + 12332 2730 208 196 1948 529692 Grand Totals + 12332 2730 208 196 1948 529692 ELF Image Totals + 12332 2730 208 196 0 0 ROM Totals + +============================================================================== + + Total RO Size (Code + RO Data) 12540 ( 12.25kB) + Total RW Size (RW Data + ZI Data) 2144 ( 2.09kB) + Total ROM Size (Code + RO Data + RW Data) 12736 ( 12.44kB) + +============================================================================== + diff --git a/Project/Listings/option_a31g12x.lst b/Project/Listings/option_a31g12x.lst new file mode 100644 index 0000000..cdd18d0 --- /dev/null +++ b/Project/Listings/option_a31g12x.lst @@ -0,0 +1,1299 @@ + + + +ARM Macro Assembler Page 1 + + + 1 00000000 ;------------------------------------------------------- + ------------------------ + 2 00000000 ; This file is used for configure option setting, Area 1 + /2/3. + 3 00000000 ;------------------------------------------------------- + ------------------------ + 4 00000000 ;// <<< Use Configuration Wizard in Context Menu >>> + 5 00000000 ;/****************************************************** + ***********************/ + 6 00000000 ;/* This file is part of the uVision/ARM development too + ls. */ + 7 00000000 ;/* Copyright (c) 2005-2008 Keil Software. All rights re + served. */ + 8 00000000 ;/* This software may only be used under the terms of a + valid, current, */ + 9 00000000 ;/* end user licence from KEIL for a compatible version + of KEIL software */ + 10 00000000 ;/* development tools. Nothing else gives you the right + to use this software. */ + 11 00000000 ;/****************************************************** + ***********************/ + 12 00000000 + 13 00000000 + 14 00000000 ;// Configure Option Page 1 + 15 00000000 00000001 + CONFIGURE_OPTION_1 + EQU 1 + 16 00000000 + 17 00000000 ;// Read Protection Configuration + 18 00000000 ;// Read Protection + 19 00000000 ;// <3=> Level 0 <2=> Level 1 <0=> Level 2 + 20 00000000 ;// + 21 00000000 69C8A273 + RPCNFIG EQU 0x69C8A273 + 22 00000000 + 23 00000000 ;// Extra User Data + 24 00000000 ;// Word 0 <0x0000-0xFFFF> + 25 00000000 ;// Word 1 <0x0000-0xFFFF> + 26 00000000 ;// + 27 00000000 0000FFFF + EX0CNFIG + EQU 0xFFFF + 28 00000000 0000FFFF + EX1CNFIG + EQU 0xFFFF + 29 00000000 00000000 + nEX0CNFIG + EQU EX0CNFIG:EOR:0xFFFF + 30 00000000 00000000 + nEX1CNFIG + EQU EX1CNFIG:EOR:0xFFFF + 31 00000000 + 32 00000000 ;// Watch-Dog Timer Configuration + 33 00000000 ;// WDTRC Enable Selection + 34 00000000 ;// <0x96D=> By S/W (CLKSRCR Register) <0x2A7=> En + able but disable at deep sleep <0xFFF=> Always Enable + 35 00000000 ;// Watch-Dog Timer Clock Selection + 36 00000000 ;// <0=> By S/W (PPCLKSR Register) <1=> WDTRC + 37 00000000 ;// Watch-Dog Timer Reset Enable Selection + + + +ARM Macro Assembler Page 2 + + + 38 00000000 ;// <0=> Enable WDT Reset <1=> By S/W (WDTCR Regis + ter) + 39 00000000 ;// Watch-Dog Timer Counter Enable Selection + 40 00000000 ;// <0=> Enable WDT Counter <1=> By S/W (WDTCR Reg + ister) + 41 00000000 ;// + 42 00000000 FFFF96DB + WDTCNFIG + EQU 0xFFFF96DB + 43 00000000 + 44 00000000 ;// Low Voltage Reset Configuration + 45 00000000 ;// LVR Operation Control Selection + 46 00000000 ;// <0xAA=> By S/W (LVRCR Register) <0xFF=> Always + Enable + 47 00000000 ;// LVR Voltage Selection + 48 00000000 ;// <15=> 1.62V <11=> 2.00V <10=> 2.13V <9=> 2.28V + <8=> 2.46V <7=> 2.67V <6=> 3.04V <5=> 3.20V <4=> 3.55V + <3=> 3.75V <2=> 3.99V <1=> 4.25V <0=> 4.55V + 49 00000000 ;// + 50 00000000 FFFFAAFF + LVRCNFIG + EQU 0xFFFFAAFF + 51 00000000 + 52 00000000 ;// Configure Option Page Erase/Write Protection + 53 00000000 ;// Option Page 1, 0x1FFFF200 to 0x1FFFF27F + 54 00000000 ;// Option Page 2, 0x1FFFF400 to 0x1FFFF47F + 55 00000000 ;// Option Page 3, 0x1FFFF600 to 0x1FFFF67F + 56 00000000 ;// + 57 00000000 00000000 + OPTIONPAGE + EQU 0x00000000 + 58 00000000 FFFFFFFF + CNFIGWTP1 + EQU ~OPTIONPAGE + 59 00000000 + 60 00000000 ;// Flash Memory Erase/Write Protection + 61 00000000 ;// Sector 0, 0x10000000 to 0x100007FF + 62 00000000 ;// Sector 1, 0x10000800 to 0x10000FFF + 63 00000000 ;// Sector 2, 0x10001000 to 0x100017FF + 64 00000000 ;// Sector 3, 0x10001800 to 0x10001FFF + 65 00000000 ;// Sector 4, 0x10002000 to 0x100027FF + 66 00000000 ;// Sector 5, 0x10002800 to 0x10002FFF + 67 00000000 ;// Sector 6, 0x10003000 to 0x100037FF + 68 00000000 ;// Sector 7, 0x10003800 to 0x10003FFF + 69 00000000 ;// Sector 8, 0x10004000 to 0x100047FF + 70 00000000 ;// Sector 9, 0x10004800 to 0x10004FFF + 71 00000000 ;// Sector 10, 0x10005000 to 0x100057FF + 72 00000000 ;// Sector 11, 0x10005800 to 0x10005FFF + 73 00000000 ;// Sector 12, 0x10006000 to 0x100067FF + 74 00000000 ;// Sector 13, 0x10006800 to 0x10006FFF + 75 00000000 ;// Sector 14, 0x10007000 to 0x100077FF + 76 00000000 ;// Sector 15, 0x10007800 to 0x10007FFF + 77 00000000 ;// Sector 16, 0x10008000 to 0x100087FF + 78 00000000 ;// Sector 17, 0x10008800 to 0x10008FFF + 79 00000000 ;// Sector 18, 0x10009000 to 0x100097FF + 80 00000000 ;// Sector 19, 0x10009800 to 0x10009FFF + 81 00000000 ;// Sector 20, 0x1000A000 to 0x1000A7FF + 82 00000000 ;// Sector 21, 0x1000A800 to 0x1000AFFF + 83 00000000 ;// Sector 22, 0x1000B000 to 0x1000B7FF + + + +ARM Macro Assembler Page 3 + + + 84 00000000 ;// Sector 23, 0x1000B800 to 0x1000BFFF + 85 00000000 ;// Sector 24, 0x1000C000 to 0x1000C7FF + 86 00000000 ;// Sector 25, 0x1000C800 to 0x1000CFFF + 87 00000000 ;// Sector 26, 0x1000D000 to 0x1000D7FF + 88 00000000 ;// Sector 27, 0x1000D800 to 0x1000DFFF + 89 00000000 ;// Sector 28, 0x1000E000 to 0x1000E7FF + 90 00000000 ;// Sector 29, 0x1000E800 to 0x1000EFFF + 91 00000000 ;// Sector 30, 0x1000F000 to 0x1000F7FF + 92 00000000 ;// Sector 31, 0x1000F800 to 0x1000FFFF + 93 00000000 ;// + 94 00000000 00000000 + FLASHSECTOR + EQU 0x00000000 + 95 00000000 FFFFFFFF + FMWTP0 EQU ~FLASHSECTOR + 96 00000000 ;// + 97 00000000 + 98 00000000 ;// Configure Option Page 2 + 99 00000000 00000001 + CONFIGURE_OPTION_2 + EQU 1 + 100 00000000 + 101 00000000 ;// User Data Area 0 + 102 00000000 ;// Double 0 <0x00000000-0xFFFFFFFF> + 103 00000000 ;// Double 1 <0x00000000-0xFFFFFFFF> + 104 00000000 ;// Double 2 <0x00000000-0xFFFFFFFF> + 105 00000000 ;// Double 3 <0x00000000-0xFFFFFFFF> + 106 00000000 ;// Double 4 <0x00000000-0xFFFFFFFF> + 107 00000000 ;// Double 5 <0x00000000-0xFFFFFFFF> + 108 00000000 ;// Double 6 <0x00000000-0xFFFFFFFF> + 109 00000000 ;// Double 7 <0x00000000-0xFFFFFFFF> + 110 00000000 ;// Double 8 <0x00000000-0xFFFFFFFF> + 111 00000000 ;// Double 9 <0x00000000-0xFFFFFFFF> + 112 00000000 ;// Double 10 <0x00000000-0xFFFFFFFF> + 113 00000000 ;// Double 11 <0x00000000-0xFFFFFFFF> + 114 00000000 ;// Double 12 <0x00000000-0xFFFFFFFF> + 115 00000000 ;// Double 13 <0x00000000-0xFFFFFFFF> + 116 00000000 ;// Double 14 <0x00000000-0xFFFFFFFF> + 117 00000000 ;// Double 15 <0x00000000-0xFFFFFFFF> + 118 00000000 ;// Double 16 <0x00000000-0xFFFFFFFF> + 119 00000000 ;// Double 17 <0x00000000-0xFFFFFFFF> + 120 00000000 ;// Double 18 <0x00000000-0xFFFFFFFF> + 121 00000000 ;// Double 19 <0x00000000-0xFFFFFFFF> + 122 00000000 ;// Double 20 <0x00000000-0xFFFFFFFF> + 123 00000000 ;// Double 21 <0x00000000-0xFFFFFFFF> + 124 00000000 ;// Double 22 <0x00000000-0xFFFFFFFF> + 125 00000000 ;// Double 23 <0x00000000-0xFFFFFFFF> + 126 00000000 ;// Double 24 <0x00000000-0xFFFFFFFF> + 127 00000000 ;// Double 25 <0x00000000-0xFFFFFFFF> + 128 00000000 ;// Double 26 <0x00000000-0xFFFFFFFF> + 129 00000000 ;// Double 27 <0x00000000-0xFFFFFFFF> + 130 00000000 ;// Double 28 <0x00000000-0xFFFFFFFF> + 131 00000000 ;// Double 29 <0x00000000-0xFFFFFFFF> + 132 00000000 ;// Double 30 <0x00000000-0xFFFFFFFF> + 133 00000000 ;// Double 31 <0x00000000-0xFFFFFFFF> + 134 00000000 ;// + 135 00000000 E2E2E2E2 + AREA0_0 EQU 0xe2e2e2e2 + 136 00000000 FFFFFFFF + + + +ARM Macro Assembler Page 4 + + + AREA0_1 EQU 0xFFFFFFFF + 137 00000000 FFFFFFFF + AREA0_2 EQU 0xFFFFFFFF + 138 00000000 FFFFFFFF + AREA0_3 EQU 0xFFFFFFFF + 139 00000000 FFFFFFFF + AREA0_4 EQU 0xFFFFFFFF + 140 00000000 FFFFFFFF + AREA0_5 EQU 0xFFFFFFFF + 141 00000000 FFFFFFFF + AREA0_6 EQU 0xFFFFFFFF + 142 00000000 FFFFFFFF + AREA0_7 EQU 0xFFFFFFFF + 143 00000000 FFFFFFFF + AREA0_8 EQU 0xFFFFFFFF + 144 00000000 FFFFFFFF + AREA0_9 EQU 0xFFFFFFFF + 145 00000000 FFFFFFFF + AREA0_10 + EQU 0xFFFFFFFF + 146 00000000 FFFFFFFF + AREA0_11 + EQU 0xFFFFFFFF + 147 00000000 FFFFFFFF + AREA0_12 + EQU 0xFFFFFFFF + 148 00000000 FFFFFFFF + AREA0_13 + EQU 0xFFFFFFFF + 149 00000000 FFFFFFFF + AREA0_14 + EQU 0xFFFFFFFF + 150 00000000 FFFFFFFF + AREA0_15 + EQU 0xFFFFFFFF + 151 00000000 FFFFFFFF + AREA0_16 + EQU 0xFFFFFFFF + 152 00000000 FFFFFFFF + AREA0_17 + EQU 0xFFFFFFFF + 153 00000000 FFFFFFFF + AREA0_18 + EQU 0xFFFFFFFF + 154 00000000 FFFFFFFF + AREA0_19 + EQU 0xFFFFFFFF + 155 00000000 FFFFFFFF + AREA0_20 + EQU 0xFFFFFFFF + 156 00000000 FFFFFFFF + AREA0_21 + EQU 0xFFFFFFFF + 157 00000000 FFFFFFFF + AREA0_22 + EQU 0xFFFFFFFF + 158 00000000 FFFFFFFF + AREA0_23 + EQU 0xFFFFFFFF + + + +ARM Macro Assembler Page 5 + + + 159 00000000 FFFFFFFF + AREA0_24 + EQU 0xFFFFFFFF + 160 00000000 FFFFFFFF + AREA0_25 + EQU 0xFFFFFFFF + 161 00000000 FFFFFFFF + AREA0_26 + EQU 0xFFFFFFFF + 162 00000000 FFFFFFFF + AREA0_27 + EQU 0xFFFFFFFF + 163 00000000 FFFFFFFF + AREA0_28 + EQU 0xFFFFFFFF + 164 00000000 FFFFFFFF + AREA0_29 + EQU 0xFFFFFFFF + 165 00000000 FFFFFFFF + AREA0_30 + EQU 0xFFFFFFFF + 166 00000000 E2E2E2E2 + AREA0_31 + EQU 0xe2e2e2e2 + 167 00000000 ;// + 168 00000000 + 169 00000000 ;// Configure Option Page 3 + 170 00000000 00000001 + CONFIGURE_OPTION_3 + EQU 1 + 171 00000000 + 172 00000000 ;// User Data Area 1 + 173 00000000 ;// Double 0 <0x00000000-0xFFFFFFFF> + 174 00000000 ;// Double 1 <0x00000000-0xFFFFFFFF> + 175 00000000 ;// Double 2 <0x00000000-0xFFFFFFFF> + 176 00000000 ;// Double 3 <0x00000000-0xFFFFFFFF> + 177 00000000 ;// Double 4 <0x00000000-0xFFFFFFFF> + 178 00000000 ;// Double 5 <0x00000000-0xFFFFFFFF> + 179 00000000 ;// Double 6 <0x00000000-0xFFFFFFFF> + 180 00000000 ;// Double 7 <0x00000000-0xFFFFFFFF> + 181 00000000 ;// Double 8 <0x00000000-0xFFFFFFFF> + 182 00000000 ;// Double 9 <0x00000000-0xFFFFFFFF> + 183 00000000 ;// Double 10 <0x00000000-0xFFFFFFFF> + 184 00000000 ;// Double 11 <0x00000000-0xFFFFFFFF> + 185 00000000 ;// Double 12 <0x00000000-0xFFFFFFFF> + 186 00000000 ;// Double 13 <0x00000000-0xFFFFFFFF> + 187 00000000 ;// Double 14 <0x00000000-0xFFFFFFFF> + 188 00000000 ;// Double 15 <0x00000000-0xFFFFFFFF> + 189 00000000 ;// Double 16 <0x00000000-0xFFFFFFFF> + 190 00000000 ;// Double 17 <0x00000000-0xFFFFFFFF> + 191 00000000 ;// Double 18 <0x00000000-0xFFFFFFFF> + 192 00000000 ;// Double 19 <0x00000000-0xFFFFFFFF> + 193 00000000 ;// Double 20 <0x00000000-0xFFFFFFFF> + 194 00000000 ;// Double 21 <0x00000000-0xFFFFFFFF> + 195 00000000 ;// Double 22 <0x00000000-0xFFFFFFFF> + 196 00000000 ;// Double 23 <0x00000000-0xFFFFFFFF> + 197 00000000 ;// Double 24 <0x00000000-0xFFFFFFFF> + 198 00000000 ;// Double 25 <0x00000000-0xFFFFFFFF> + 199 00000000 ;// Double 26 <0x00000000-0xFFFFFFFF> + + + +ARM Macro Assembler Page 6 + + + 200 00000000 ;// Double 27 <0x00000000-0xFFFFFFFF> + 201 00000000 ;// Double 28 <0x00000000-0xFFFFFFFF> + 202 00000000 ;// Double 29 <0x00000000-0xFFFFFFFF> + 203 00000000 ;// Double 30 <0x00000000-0xFFFFFFFF> + 204 00000000 ;// Double 31 <0x00000000-0xFFFFFFFF> + 205 00000000 ;// + 206 00000000 E3E3E3E3 + AREA1_0 EQU 0xe3e3e3e3 + 207 00000000 FFFFFFFF + AREA1_1 EQU 0xFFFFFFFF + 208 00000000 FFFFFFFF + AREA1_2 EQU 0xFFFFFFFF + 209 00000000 FFFFFFFF + AREA1_3 EQU 0xFFFFFFFF + 210 00000000 FFFFFFFF + AREA1_4 EQU 0xFFFFFFFF + 211 00000000 FFFFFFFF + AREA1_5 EQU 0xFFFFFFFF + 212 00000000 FFFFFFFF + AREA1_6 EQU 0xFFFFFFFF + 213 00000000 FFFFFFFF + AREA1_7 EQU 0xFFFFFFFF + 214 00000000 FFFFFFFF + AREA1_8 EQU 0xFFFFFFFF + 215 00000000 FFFFFFFF + AREA1_9 EQU 0xFFFFFFFF + 216 00000000 FFFFFFFF + AREA1_10 + EQU 0xFFFFFFFF + 217 00000000 FFFFFFFF + AREA1_11 + EQU 0xFFFFFFFF + 218 00000000 FFFFFFFF + AREA1_12 + EQU 0xFFFFFFFF + 219 00000000 FFFFFFFF + AREA1_13 + EQU 0xFFFFFFFF + 220 00000000 FFFFFFFF + AREA1_14 + EQU 0xFFFFFFFF + 221 00000000 FFFFFFFF + AREA1_15 + EQU 0xFFFFFFFF + 222 00000000 FFFFFFFF + AREA1_16 + EQU 0xFFFFFFFF + 223 00000000 FFFFFFFF + AREA1_17 + EQU 0xFFFFFFFF + 224 00000000 FFFFFFFF + AREA1_18 + EQU 0xFFFFFFFF + 225 00000000 FFFFFFFF + AREA1_19 + EQU 0xFFFFFFFF + 226 00000000 FFFFFFFF + AREA1_20 + EQU 0xFFFFFFFF + + + +ARM Macro Assembler Page 7 + + + 227 00000000 FFFFFFFF + AREA1_21 + EQU 0xFFFFFFFF + 228 00000000 FFFFFFFF + AREA1_22 + EQU 0xFFFFFFFF + 229 00000000 FFFFFFFF + AREA1_23 + EQU 0xFFFFFFFF + 230 00000000 FFFFFFFF + AREA1_24 + EQU 0xFFFFFFFF + 231 00000000 FFFFFFFF + AREA1_25 + EQU 0xFFFFFFFF + 232 00000000 FFFFFFFF + AREA1_26 + EQU 0xFFFFFFFF + 233 00000000 FFFFFFFF + AREA1_27 + EQU 0xFFFFFFFF + 234 00000000 FFFFFFFF + AREA1_28 + EQU 0xFFFFFFFF + 235 00000000 FFFFFFFF + AREA1_29 + EQU 0xFFFFFFFF + 236 00000000 FFFFFFFF + AREA1_30 + EQU 0xFFFFFFFF + 237 00000000 E3E3E3E3 + AREA1_31 + EQU 0xe3e3e3e3 + 238 00000000 ;// + 239 00000000 + 240 00000000 IF CONFIGURE_OPTION_1 <> 0 + 241 00000000 AREA |.ARM.__AT_0x1FFFF200|, CODE, R +EADONLY + 242 00000000 69C8A273 DCD RPCNFIG + 243 00000004 FF FF 00 + 00 FF FF + 00 00 DCW EX0CNFIG, nEX0CNFIG, EX1CNFIG, +nEX1CNFIG + 244 0000000C FFFF96DB DCD WDTCNFIG + 245 00000010 FFFFAAFF DCD LVRCNFIG + 246 00000014 FFFFFFFF DCD CNFIGWTP1 + 247 00000018 FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + + + +ARM Macro Assembler Page 8 + + + FF FILL 40,0xFF,1 + 248 00000040 FFFFFFFF DCD FMWTP0 + 249 00000044 ENDIF + 250 00000044 + 251 00000044 IF CONFIGURE_OPTION_2 <> 0 + 252 00000044 AREA |.ARM.__AT_0x1FFFF400|, CODE, R +EADONLY + 253 00000000 E2E2E2E2 + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA0_0, AREA0_1, AREA0_2, AREA +0_3, AREA0_4, AREA0_5, AREA0_6, AREA0_7 + 254 00000020 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA0_8, AREA0_9, AREA0_10, ARE +A0_11, AREA0_12, AREA0_13, AREA0_14, AREA0_15 + 255 00000040 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA0_16, AREA0_17, AREA0_18, A +REA0_19, AREA0_20, AREA0_21, AREA0_22, AREA0_23 + 256 00000060 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + E2E2E2E2 DCD AREA0_24, AREA0_25, AREA0_26, A +REA0_27, AREA0_28, AREA0_29, AREA0_30, AREA0_31 + 257 00000080 ENDIF + 258 00000080 + 259 00000080 IF CONFIGURE_OPTION_3 <> 0 + 260 00000080 AREA |.ARM.__AT_0x1FFFF600|, CODE, R +EADONLY + 261 00000000 E3E3E3E3 + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA1_0, AREA1_1, AREA1_2, AREA +1_3, AREA1_4, AREA1_5, AREA1_6, AREA1_7 + 262 00000020 FFFFFFFF + FFFFFFFF + + + +ARM Macro Assembler Page 9 + + + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA1_8, AREA1_9, AREA1_10, ARE +A1_11, AREA1_12, AREA1_13, AREA1_14, AREA1_15 + 263 00000040 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA1_16, AREA1_17, AREA1_18, A +REA1_19, AREA1_20, AREA1_21, AREA1_22, AREA1_23 + 264 00000060 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + E3E3E3E3 DCD AREA1_24, AREA1_25, AREA1_26, A +REA1_27, AREA1_28, AREA1_29, AREA1_30, AREA1_31 + 265 00000080 ENDIF + 266 00000080 + 267 00000080 END +Command Line: --debug --xref --diag_suppress=9931 --cpu=Cortex-M0 --apcs=interw +ork --depend=.\objects\option_a31g12x.d -o.\objects\option_a31g12x.o -IC:\Users +\Imbis\AppData\Local\Arm\Packs\ABOV\CM0_DFP\1.0.4\A31G12x\Core\include -I"C:\Pr +ogram Files (x86)\Keil_v5\ARM\CMSIS\Include" --predefine="__MICROLIB SETA 1" -- +predefine="__UVISION_VERSION SETA 533" --list=.\listings\option_a31g12x.lst SDK +_V2_5_0\Option\option_A31G12x.s + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.ARM.__AT_0x1FFFF200 00000000 + +Symbol: .ARM.__AT_0x1FFFF200 + Definitions + At line 241 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + None +Comment: .ARM.__AT_0x1FFFF200 unused +1 symbol + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.ARM.__AT_0x1FFFF400 00000000 + +Symbol: .ARM.__AT_0x1FFFF400 + Definitions + At line 252 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + None +Comment: .ARM.__AT_0x1FFFF400 unused +1 symbol + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.ARM.__AT_0x1FFFF600 00000000 + +Symbol: .ARM.__AT_0x1FFFF600 + Definitions + At line 260 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + None +Comment: .ARM.__AT_0x1FFFF600 unused +1 symbol + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Absolute symbols + +AREA0_0 E2E2E2E2 + +Symbol: AREA0_0 + Definitions + At line 135 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_0 used once +AREA0_1 FFFFFFFF + +Symbol: AREA0_1 + Definitions + At line 136 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_1 used once +AREA0_10 FFFFFFFF + +Symbol: AREA0_10 + Definitions + At line 145 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_10 used once +AREA0_11 FFFFFFFF + +Symbol: AREA0_11 + Definitions + At line 146 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_11 used once +AREA0_12 FFFFFFFF + +Symbol: AREA0_12 + Definitions + At line 147 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_12 used once +AREA0_13 FFFFFFFF + +Symbol: AREA0_13 + Definitions + At line 148 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_13 used once +AREA0_14 FFFFFFFF + +Symbol: AREA0_14 + Definitions + At line 149 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_14 used once +AREA0_15 FFFFFFFF + +Symbol: AREA0_15 + + + +ARM Macro Assembler Page 2 Alphabetic symbol ordering +Absolute symbols + + Definitions + At line 150 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_15 used once +AREA0_16 FFFFFFFF + +Symbol: AREA0_16 + Definitions + At line 151 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_16 used once +AREA0_17 FFFFFFFF + +Symbol: AREA0_17 + Definitions + At line 152 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_17 used once +AREA0_18 FFFFFFFF + +Symbol: AREA0_18 + Definitions + At line 153 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_18 used once +AREA0_19 FFFFFFFF + +Symbol: AREA0_19 + Definitions + At line 154 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_19 used once +AREA0_2 FFFFFFFF + +Symbol: AREA0_2 + Definitions + At line 137 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_2 used once +AREA0_20 FFFFFFFF + +Symbol: AREA0_20 + Definitions + At line 155 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_20 used once +AREA0_21 FFFFFFFF + +Symbol: AREA0_21 + Definitions + At line 156 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + + + +ARM Macro Assembler Page 3 Alphabetic symbol ordering +Absolute symbols + + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_21 used once +AREA0_22 FFFFFFFF + +Symbol: AREA0_22 + Definitions + At line 157 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_22 used once +AREA0_23 FFFFFFFF + +Symbol: AREA0_23 + Definitions + At line 158 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_23 used once +AREA0_24 FFFFFFFF + +Symbol: AREA0_24 + Definitions + At line 159 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_24 used once +AREA0_25 FFFFFFFF + +Symbol: AREA0_25 + Definitions + At line 160 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_25 used once +AREA0_26 FFFFFFFF + +Symbol: AREA0_26 + Definitions + At line 161 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_26 used once +AREA0_27 FFFFFFFF + +Symbol: AREA0_27 + Definitions + At line 162 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_27 used once +AREA0_28 FFFFFFFF + +Symbol: AREA0_28 + Definitions + At line 163 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_28 used once +AREA0_29 FFFFFFFF + + + +ARM Macro Assembler Page 4 Alphabetic symbol ordering +Absolute symbols + + +Symbol: AREA0_29 + Definitions + At line 164 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_29 used once +AREA0_3 FFFFFFFF + +Symbol: AREA0_3 + Definitions + At line 138 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_3 used once +AREA0_30 FFFFFFFF + +Symbol: AREA0_30 + Definitions + At line 165 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_30 used once +AREA0_31 E2E2E2E2 + +Symbol: AREA0_31 + Definitions + At line 166 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_31 used once +AREA0_4 FFFFFFFF + +Symbol: AREA0_4 + Definitions + At line 139 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_4 used once +AREA0_5 FFFFFFFF + +Symbol: AREA0_5 + Definitions + At line 140 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_5 used once +AREA0_6 FFFFFFFF + +Symbol: AREA0_6 + Definitions + At line 141 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_6 used once +AREA0_7 FFFFFFFF + +Symbol: AREA0_7 + Definitions + + + +ARM Macro Assembler Page 5 Alphabetic symbol ordering +Absolute symbols + + At line 142 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_7 used once +AREA0_8 FFFFFFFF + +Symbol: AREA0_8 + Definitions + At line 143 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_8 used once +AREA0_9 FFFFFFFF + +Symbol: AREA0_9 + Definitions + At line 144 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_9 used once +AREA1_0 E3E3E3E3 + +Symbol: AREA1_0 + Definitions + At line 206 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_0 used once +AREA1_1 FFFFFFFF + +Symbol: AREA1_1 + Definitions + At line 207 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_1 used once +AREA1_10 FFFFFFFF + +Symbol: AREA1_10 + Definitions + At line 216 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_10 used once +AREA1_11 FFFFFFFF + +Symbol: AREA1_11 + Definitions + At line 217 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_11 used once +AREA1_12 FFFFFFFF + +Symbol: AREA1_12 + Definitions + At line 218 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s + + + +ARM Macro Assembler Page 6 Alphabetic symbol ordering +Absolute symbols + +Comment: AREA1_12 used once +AREA1_13 FFFFFFFF + +Symbol: AREA1_13 + Definitions + At line 219 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_13 used once +AREA1_14 FFFFFFFF + +Symbol: AREA1_14 + Definitions + At line 220 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_14 used once +AREA1_15 FFFFFFFF + +Symbol: AREA1_15 + Definitions + At line 221 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_15 used once +AREA1_16 FFFFFFFF + +Symbol: AREA1_16 + Definitions + At line 222 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_16 used once +AREA1_17 FFFFFFFF + +Symbol: AREA1_17 + Definitions + At line 223 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_17 used once +AREA1_18 FFFFFFFF + +Symbol: AREA1_18 + Definitions + At line 224 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_18 used once +AREA1_19 FFFFFFFF + +Symbol: AREA1_19 + Definitions + At line 225 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_19 used once +AREA1_2 FFFFFFFF + + + + +ARM Macro Assembler Page 7 Alphabetic symbol ordering +Absolute symbols + +Symbol: AREA1_2 + Definitions + At line 208 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_2 used once +AREA1_20 FFFFFFFF + +Symbol: AREA1_20 + Definitions + At line 226 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_20 used once +AREA1_21 FFFFFFFF + +Symbol: AREA1_21 + Definitions + At line 227 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_21 used once +AREA1_22 FFFFFFFF + +Symbol: AREA1_22 + Definitions + At line 228 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_22 used once +AREA1_23 FFFFFFFF + +Symbol: AREA1_23 + Definitions + At line 229 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_23 used once +AREA1_24 FFFFFFFF + +Symbol: AREA1_24 + Definitions + At line 230 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_24 used once +AREA1_25 FFFFFFFF + +Symbol: AREA1_25 + Definitions + At line 231 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_25 used once +AREA1_26 FFFFFFFF + +Symbol: AREA1_26 + Definitions + At line 232 in file SDK_V2_5_0\Option\option_A31G12x.s + + + +ARM Macro Assembler Page 8 Alphabetic symbol ordering +Absolute symbols + + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_26 used once +AREA1_27 FFFFFFFF + +Symbol: AREA1_27 + Definitions + At line 233 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_27 used once +AREA1_28 FFFFFFFF + +Symbol: AREA1_28 + Definitions + At line 234 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_28 used once +AREA1_29 FFFFFFFF + +Symbol: AREA1_29 + Definitions + At line 235 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_29 used once +AREA1_3 FFFFFFFF + +Symbol: AREA1_3 + Definitions + At line 209 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_3 used once +AREA1_30 FFFFFFFF + +Symbol: AREA1_30 + Definitions + At line 236 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_30 used once +AREA1_31 E3E3E3E3 + +Symbol: AREA1_31 + Definitions + At line 237 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_31 used once +AREA1_4 FFFFFFFF + +Symbol: AREA1_4 + Definitions + At line 210 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_4 used once + + + +ARM Macro Assembler Page 9 Alphabetic symbol ordering +Absolute symbols + +AREA1_5 FFFFFFFF + +Symbol: AREA1_5 + Definitions + At line 211 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_5 used once +AREA1_6 FFFFFFFF + +Symbol: AREA1_6 + Definitions + At line 212 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_6 used once +AREA1_7 FFFFFFFF + +Symbol: AREA1_7 + Definitions + At line 213 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_7 used once +AREA1_8 FFFFFFFF + +Symbol: AREA1_8 + Definitions + At line 214 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_8 used once +AREA1_9 FFFFFFFF + +Symbol: AREA1_9 + Definitions + At line 215 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_9 used once +CNFIGWTP1 FFFFFFFF + +Symbol: CNFIGWTP1 + Definitions + At line 58 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 246 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: CNFIGWTP1 used once +CONFIGURE_OPTION_1 00000001 + +Symbol: CONFIGURE_OPTION_1 + Definitions + At line 15 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 240 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: CONFIGURE_OPTION_1 used once +CONFIGURE_OPTION_2 00000001 + +Symbol: CONFIGURE_OPTION_2 + + + +ARM Macro Assembler Page 10 Alphabetic symbol ordering +Absolute symbols + + Definitions + At line 99 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 251 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: CONFIGURE_OPTION_2 used once +CONFIGURE_OPTION_3 00000001 + +Symbol: CONFIGURE_OPTION_3 + Definitions + At line 170 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 259 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: CONFIGURE_OPTION_3 used once +EX0CNFIG 0000FFFF + +Symbol: EX0CNFIG + Definitions + At line 27 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 29 in file SDK_V2_5_0\Option\option_A31G12x.s + At line 243 in file SDK_V2_5_0\Option\option_A31G12x.s + +EX1CNFIG 0000FFFF + +Symbol: EX1CNFIG + Definitions + At line 28 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 30 in file SDK_V2_5_0\Option\option_A31G12x.s + At line 243 in file SDK_V2_5_0\Option\option_A31G12x.s + +FLASHSECTOR 00000000 + +Symbol: FLASHSECTOR + Definitions + At line 94 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 95 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: FLASHSECTOR used once +FMWTP0 FFFFFFFF + +Symbol: FMWTP0 + Definitions + At line 95 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 248 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: FMWTP0 used once +LVRCNFIG FFFFAAFF + +Symbol: LVRCNFIG + Definitions + At line 50 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 245 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: LVRCNFIG used once +OPTIONPAGE 00000000 + +Symbol: OPTIONPAGE + Definitions + + + +ARM Macro Assembler Page 11 Alphabetic symbol ordering +Absolute symbols + + At line 57 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 58 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: OPTIONPAGE used once +RPCNFIG 69C8A273 + +Symbol: RPCNFIG + Definitions + At line 21 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 242 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: RPCNFIG used once +WDTCNFIG FFFF96DB + +Symbol: WDTCNFIG + Definitions + At line 42 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 244 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: WDTCNFIG used once +nEX0CNFIG 00000000 + +Symbol: nEX0CNFIG + Definitions + At line 29 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 243 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: nEX0CNFIG used once +nEX1CNFIG 00000000 + +Symbol: nEX1CNFIG + Definitions + At line 30 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 243 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: nEX1CNFIG used once +78 symbols +413 symbols in table diff --git a/Project/Listings/startup_a31g12x.lst b/Project/Listings/startup_a31g12x.lst new file mode 100644 index 0000000..04e6a05 --- /dev/null +++ b/Project/Listings/startup_a31g12x.lst @@ -0,0 +1,866 @@ + + + +ARM Macro Assembler Page 1 + + + 1 00000000 ;/****************************************************** + ********************//** + 2 00000000 ; * @file startup_A31G12x.s + 3 00000000 ; * @brief CMSIS Core Device Startup File for + 4 00000000 ; * A31G12x Device Series + 5 00000000 ; * @version V5.00 + 6 00000000 ; * @date 02. March 2016 + 7 00000000 ; ****************************************************** + ************************/ + 8 00000000 ;/* + 9 00000000 ; * Copyright (c) 2009-2016 ARM Limited. All rights rese + rved. + 10 00000000 ; * + 11 00000000 ; * SPDX-License-Identifier: Apache-2.0 + 12 00000000 ; * + 13 00000000 ; * Licensed under the Apache License, Version 2.0 (the + License); you may + 14 00000000 ; * not use this file except in compliance with the Lice + nse. + 15 00000000 ; * You may obtain a copy of the License at + 16 00000000 ; * + 17 00000000 ; * www.apache.org/licenses/LICENSE-2.0 + 18 00000000 ; * + 19 00000000 ; * Unless required by applicable law or agreed to in wr + iting, software + 20 00000000 ; * distributed under the License is distributed on an A + S IS BASIS, WITHOUT + 21 00000000 ; * WARRANTIES OR CONDITIONS OF ANY KIND, either express + or implied. + 22 00000000 ; * See the License for the specific language governing + permissions and + 23 00000000 ; * limitations under the License. + 24 00000000 ; */ + 25 00000000 + 26 00000000 ;/* + 27 00000000 ;//-------- <<< Use Configuration Wizard in Context Menu + >>> ------------------ + 28 00000000 ;*/ + 29 00000000 + 30 00000000 + 31 00000000 ; Stack Configuration + 32 00000000 ; Stack Size (in Bytes) <0x0-0xFFFFFFFF:8> + 33 00000000 ; + 34 00000000 + 35 00000000 00000500 + Stack_Size + EQU 0x00000500 + 36 00000000 + 37 00000000 AREA STACK, NOINIT, READWRITE, ALIGN +=3 + 38 00000000 Stack_Mem + SPACE Stack_Size + 39 00000500 __initial_sp + 40 00000500 + 41 00000500 + 42 00000500 ; Heap Configuration + 43 00000500 ; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> + 44 00000500 ; + 45 00000500 + + + +ARM Macro Assembler Page 2 + + + 46 00000500 00000080 + Heap_Size + EQU 0x00000080 + 47 00000500 + 48 00000500 AREA HEAP, NOINIT, READWRITE, ALIGN= +3 + 49 00000000 __heap_base + 50 00000000 Heap_Mem + SPACE Heap_Size + 51 00000080 __heap_limit + 52 00000080 + 53 00000080 + 54 00000080 PRESERVE8 + 55 00000080 THUMB + 56 00000080 + 57 00000080 + 58 00000080 ; Vector Table Mapped to Address 0 at Reset + 59 00000080 + 60 00000080 AREA RESET, DATA, READONLY + 61 00000000 EXPORT __Vectors + 62 00000000 EXPORT __Vectors_End + 63 00000000 EXPORT __Vectors_Size + 64 00000000 + 65 00000000 00000000 + __Vectors + DCD __initial_sp ; Top of Stack + 66 00000004 00000000 DCD Reset_Handler ; Reset Handler + 67 00000008 00000000 DCD NMI_Handler ; NMI Handler + 68 0000000C 00000000 DCD HardFault_Handler ; Hard Fault + Handler + 69 00000010 00000000 DCD 0 ; Reserved + 70 00000014 00000000 DCD 0 ; Reserved + 71 00000018 00000000 DCD 0 ; Reserved + 72 0000001C 00000000 DCD 0 ; Reserved + 73 00000020 00000000 DCD 0 ; Reserved + 74 00000024 00000000 DCD 0 ; Reserved + 75 00000028 00000000 DCD 0 ; Reserved + 76 0000002C 00000000 DCD SVC_Handler ; SVCall Handler + 77 00000030 00000000 DCD 0 ; Reserved + 78 00000034 00000000 DCD 0 ; Reserved + 79 00000038 00000000 DCD PendSV_Handler ; PendSV Handler + + 80 0000003C 00000000 DCD SysTick_Handler + ; SysTick Handler + 81 00000040 + 82 00000040 ; External Interrupts + 83 00000040 00000000 DCD LVI_Handler ; IRQ 0 + 84 00000044 00000000 DCD WUT_Handler ; IRQ 1 + 85 00000048 00000000 DCD WDT_Handler ; IRQ 2 + 86 0000004C 00000000 DCD EINT0_Handler ; IRQ 3 + 87 00000050 00000000 DCD EINT1_Handler ; IRQ 4 + 88 00000054 00000000 DCD EINT2_Handler ; IRQ 5 + 89 00000058 00000000 DCD EINT3_Handler ; IRQ 6 + 90 0000005C 00000000 DCD TIMER10_Handler ; IRQ 7 + 91 00000060 00000000 DCD TIMER11_Handler ; IRQ 8 + 92 00000064 00000000 DCD TIMER12_Handler ; IRQ 9 + 93 00000068 00000000 DCD I2C0_Handler ; IRQ 10 + 94 0000006C 00000000 DCD USART10_Handler ; IRQ 11 + 95 00000070 00000000 DCD WT_Handler ; IRQ 12 + + + +ARM Macro Assembler Page 3 + + + 96 00000074 00000000 DCD TIMER30_Handler ; IRQ 13 + 97 00000078 00000000 DCD I2C1_Handler ; IRQ 14 + 98 0000007C 00000000 DCD TIMER20_Handler ; IRQ 15 + 99 00000080 00000000 DCD TIMER21_Handler ; IRQ 16 + 100 00000084 00000000 DCD USART11_Handler ; IRQ 17 + 101 00000088 00000000 DCD ADC_Handler ; IRQ 18 + 102 0000008C 00000000 DCD UART0_Handler ; IRQ 19 + 103 00000090 00000000 DCD UART1_Handler ; IRQ 20 + 104 00000094 00000000 DCD TIMER13_Handler ; IRQ 21 + 105 00000098 00000000 DCD TIMER14_Handler ; IRQ 22 + 106 0000009C 00000000 DCD TIMER15_Handler ; IRQ 23 + 107 000000A0 00000000 DCD TIMER16_Handler ; IRQ 24 + 108 000000A4 00000000 DCD I2C2_Handler ; IRQ 25 + 109 000000A8 00000000 DCD USART12_Handler ; IRQ 26 + 110 000000AC 00000000 DCD USART13_Handler ; IRQ 27 + 111 000000B0 ;DCD RESERVED_Handler ; IRQ 28 + 112 000000B0 ;DCD RESERVED_Handler ; IRQ 29 + 113 000000B0 ;DCD RESERVED_Handler ; IRQ 30 + 114 000000B0 ;DCD RESERVED_Handler ; IRQ 31 + 115 000000B0 __Vectors_End + 116 000000B0 + 117 000000B0 000000B0 + __Vectors_Size + EQU __Vectors_End - __Vectors + 118 000000B0 + 119 000000B0 AREA |.text|, CODE, READONLY + 120 00000000 + 121 00000000 + 122 00000000 ; Reset Handler + 123 00000000 + 124 00000000 Reset_Handler + PROC + 125 00000000 EXPORT Reset_Handler [WEAK +] + 126 00000000 IMPORT SystemInit + 127 00000000 IMPORT __main + 128 00000000 4804 LDR R0, =SystemInit + 129 00000002 4780 BLX R0 + 130 00000004 4804 LDR R0, =__main + 131 00000006 4700 BX R0 + 132 00000008 ENDP + 133 00000008 + 134 00000008 + 135 00000008 ; Dummy Exception Handlers (infinite loops which can be + modified) + 136 00000008 + 137 00000008 NMI_Handler + PROC + 138 00000008 EXPORT NMI_Handler [WEAK +] + 139 00000008 E7FE B . + 140 0000000A ENDP + 142 0000000A HardFault_Handler + PROC + 143 0000000A EXPORT HardFault_Handler [WEAK +] + 144 0000000A E7FE B . + 145 0000000C ENDP + 146 0000000C SVC_Handler + + + +ARM Macro Assembler Page 4 + + + PROC + 147 0000000C EXPORT SVC_Handler [WEAK +] + 148 0000000C E7FE B . + 149 0000000E ENDP + 150 0000000E PendSV_Handler + PROC + 151 0000000E EXPORT PendSV_Handler [WEAK +] + 152 0000000E E7FE B . + 153 00000010 ENDP + 154 00000010 SysTick_Handler + PROC + 155 00000010 EXPORT SysTick_Handler [WEAK +] + 156 00000010 E7FE B . + 157 00000012 ENDP + 158 00000012 + 159 00000012 DEVICE_Handler + PROC + 160 00000012 + 161 00000012 EXPORT LVI_Handler [WEAK +] + 162 00000012 EXPORT WUT_Handler [WEAK +] + 163 00000012 EXPORT WDT_Handler [WEAK +] + 164 00000012 EXPORT EINT0_Handler [WEAK +] + 165 00000012 EXPORT EINT1_Handler [WEAK +] + 166 00000012 EXPORT EINT2_Handler [WEAK +] + 167 00000012 EXPORT EINT3_Handler [WEAK +] + 168 00000012 EXPORT TIMER10_Handler [WEAK +] + 169 00000012 EXPORT TIMER11_Handler [WEAK +] + 170 00000012 EXPORT TIMER12_Handler [WEAK +] + 171 00000012 EXPORT I2C0_Handler [WEAK +] + 172 00000012 EXPORT USART10_Handler [WEAK +] + 173 00000012 EXPORT WT_Handler [WEAK +] + 174 00000012 EXPORT TIMER30_Handler [WEAK +] + 175 00000012 EXPORT I2C1_Handler [WEAK +] + 176 00000012 EXPORT TIMER20_Handler [WEAK +] + 177 00000012 EXPORT TIMER21_Handler [WEAK +] + 178 00000012 EXPORT USART11_Handler [WEAK +] + 179 00000012 EXPORT ADC_Handler [WEAK +] + + + +ARM Macro Assembler Page 5 + + + 180 00000012 EXPORT UART0_Handler [WEAK +] + 181 00000012 EXPORT UART1_Handler [WEAK +] + 182 00000012 EXPORT TIMER13_Handler [WEAK +] + 183 00000012 EXPORT TIMER14_Handler [WEAK +] + 184 00000012 EXPORT TIMER15_Handler [WEAK +] + 185 00000012 EXPORT TIMER16_Handler [WEAK +] + 186 00000012 EXPORT I2C2_Handler [WEAK +] + 187 00000012 EXPORT USART12_Handler [WEAK +] + 188 00000012 EXPORT USART13_Handler [WEAK +] + 189 00000012 + 190 00000012 LVI_Handler + 191 00000012 WUT_Handler + 192 00000012 WDT_Handler + 193 00000012 EINT0_Handler + 194 00000012 EINT1_Handler + 195 00000012 EINT2_Handler + 196 00000012 EINT3_Handler + 197 00000012 TIMER10_Handler + 198 00000012 TIMER11_Handler + 199 00000012 TIMER12_Handler + 200 00000012 I2C0_Handler + 201 00000012 USART10_Handler + 202 00000012 WT_Handler + 203 00000012 TIMER30_Handler + 204 00000012 I2C1_Handler + 205 00000012 TIMER20_Handler + 206 00000012 TIMER21_Handler + 207 00000012 USART11_Handler + 208 00000012 ADC_Handler + 209 00000012 UART0_Handler + 210 00000012 UART1_Handler + 211 00000012 TIMER13_Handler + 212 00000012 TIMER14_Handler + 213 00000012 TIMER15_Handler + 214 00000012 TIMER16_Handler + 215 00000012 I2C2_Handler + 216 00000012 USART12_Handler + 217 00000012 USART13_Handler + 218 00000012 E7FE B . + 219 00000014 + 220 00000014 ENDP + 221 00000014 + 222 00000014 + 223 00000014 ALIGN + 224 00000014 + 225 00000014 + 226 00000014 ; User Initial Stack & Heap + 227 00000014 + 228 00000014 IF :DEF:__MICROLIB + 229 00000014 + + + +ARM Macro Assembler Page 6 + + + 230 00000014 EXPORT __initial_sp + 231 00000014 EXPORT __heap_base + 232 00000014 EXPORT __heap_limit + 233 00000014 + 234 00000014 ELSE + 249 ENDIF + 250 00000014 + 251 00000014 + 252 00000014 END + 00000000 + 00000000 +Command Line: --debug --xref --diag_suppress=9931 --cpu=Cortex-M0 --apcs=interw +ork --depend=.\objects\startup_a31g12x.d -o.\objects\startup_a31g12x.o -IC:\Use +rs\Imbis\AppData\Local\Arm\Packs\ABOV\CM0_DFP\1.0.4\A31G12x\Core\include -I"C:\ +Program Files (x86)\Keil_v5\ARM\CMSIS\Include" --predefine="__MICROLIB SETA 1" +--predefine="__UVISION_VERSION SETA 533" --list=.\listings\startup_a31g12x.lst +SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +STACK 00000000 + +Symbol: STACK + Definitions + At line 37 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: STACK unused +Stack_Mem 00000000 + +Symbol: Stack_Mem + Definitions + At line 38 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: Stack_Mem unused +__initial_sp 00000500 + +Symbol: __initial_sp + Definitions + At line 39 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 65 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 230 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +3 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +HEAP 00000000 + +Symbol: HEAP + Definitions + At line 48 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: HEAP unused +Heap_Mem 00000000 + +Symbol: Heap_Mem + Definitions + At line 50 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: Heap_Mem unused +__heap_base 00000000 + +Symbol: __heap_base + Definitions + At line 49 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 231 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: __heap_base used once +__heap_limit 00000080 + +Symbol: __heap_limit + Definitions + At line 51 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 232 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: __heap_limit used once +4 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +RESET 00000000 + +Symbol: RESET + Definitions + At line 60 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: RESET unused +__Vectors 00000000 + +Symbol: __Vectors + Definitions + At line 65 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 61 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 117 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +__Vectors_End 000000B0 + +Symbol: __Vectors_End + Definitions + At line 115 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 62 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 117 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +3 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.text 00000000 + +Symbol: .text + Definitions + At line 119 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: .text unused +ADC_Handler 00000012 + +Symbol: ADC_Handler + Definitions + At line 208 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 101 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 179 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +DEVICE_Handler 00000012 + +Symbol: DEVICE_Handler + Definitions + At line 159 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: DEVICE_Handler unused +EINT0_Handler 00000012 + +Symbol: EINT0_Handler + Definitions + At line 193 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 86 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 164 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +EINT1_Handler 00000012 + +Symbol: EINT1_Handler + Definitions + At line 194 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 87 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 165 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +EINT2_Handler 00000012 + +Symbol: EINT2_Handler + Definitions + At line 195 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 88 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 166 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +EINT3_Handler 00000012 + +Symbol: EINT3_Handler + Definitions + At line 196 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 89 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + +ARM Macro Assembler Page 2 Alphabetic symbol ordering +Relocatable symbols + + At line 167 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +HardFault_Handler 0000000A + +Symbol: HardFault_Handler + Definitions + At line 142 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 68 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 143 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +I2C0_Handler 00000012 + +Symbol: I2C0_Handler + Definitions + At line 200 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 93 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 171 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +I2C1_Handler 00000012 + +Symbol: I2C1_Handler + Definitions + At line 204 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 97 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 175 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +I2C2_Handler 00000012 + +Symbol: I2C2_Handler + Definitions + At line 215 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 108 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 186 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +LVI_Handler 00000012 + +Symbol: LVI_Handler + Definitions + At line 190 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 83 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 161 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +NMI_Handler 00000008 + +Symbol: NMI_Handler + Definitions + At line 137 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 67 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 138 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +PendSV_Handler 0000000E + +Symbol: PendSV_Handler + + + +ARM Macro Assembler Page 3 Alphabetic symbol ordering +Relocatable symbols + + Definitions + At line 150 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 79 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 151 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +Reset_Handler 00000000 + +Symbol: Reset_Handler + Definitions + At line 124 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 66 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 125 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +SVC_Handler 0000000C + +Symbol: SVC_Handler + Definitions + At line 146 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 76 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 147 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +SysTick_Handler 00000010 + +Symbol: SysTick_Handler + Definitions + At line 154 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 80 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 155 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER10_Handler 00000012 + +Symbol: TIMER10_Handler + Definitions + At line 197 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 90 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 168 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER11_Handler 00000012 + +Symbol: TIMER11_Handler + Definitions + At line 198 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 91 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 169 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER12_Handler 00000012 + +Symbol: TIMER12_Handler + Definitions + At line 199 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 92 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 170 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + +ARM Macro Assembler Page 4 Alphabetic symbol ordering +Relocatable symbols + + +TIMER13_Handler 00000012 + +Symbol: TIMER13_Handler + Definitions + At line 211 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 104 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 182 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER14_Handler 00000012 + +Symbol: TIMER14_Handler + Definitions + At line 212 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 105 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 183 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER15_Handler 00000012 + +Symbol: TIMER15_Handler + Definitions + At line 213 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 106 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 184 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER16_Handler 00000012 + +Symbol: TIMER16_Handler + Definitions + At line 214 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 107 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 185 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER20_Handler 00000012 + +Symbol: TIMER20_Handler + Definitions + At line 205 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 98 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 176 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER21_Handler 00000012 + +Symbol: TIMER21_Handler + Definitions + At line 206 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 99 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 177 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER30_Handler 00000012 + +Symbol: TIMER30_Handler + Definitions + + + +ARM Macro Assembler Page 5 Alphabetic symbol ordering +Relocatable symbols + + At line 203 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 96 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 174 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +UART0_Handler 00000012 + +Symbol: UART0_Handler + Definitions + At line 209 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 102 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 180 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +UART1_Handler 00000012 + +Symbol: UART1_Handler + Definitions + At line 210 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 103 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 181 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +USART10_Handler 00000012 + +Symbol: USART10_Handler + Definitions + At line 201 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 94 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 172 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +USART11_Handler 00000012 + +Symbol: USART11_Handler + Definitions + At line 207 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 100 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 178 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +USART12_Handler 00000012 + +Symbol: USART12_Handler + Definitions + At line 216 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 109 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 187 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +USART13_Handler 00000012 + +Symbol: USART13_Handler + Definitions + At line 217 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 110 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 188 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + + +ARM Macro Assembler Page 6 Alphabetic symbol ordering +Relocatable symbols + +WDT_Handler 00000012 + +Symbol: WDT_Handler + Definitions + At line 192 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 85 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 163 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +WT_Handler 00000012 + +Symbol: WT_Handler + Definitions + At line 202 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 95 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 173 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +WUT_Handler 00000012 + +Symbol: WUT_Handler + Definitions + At line 191 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 84 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 162 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +36 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Absolute symbols + +Heap_Size 00000080 + +Symbol: Heap_Size + Definitions + At line 46 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 50 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: Heap_Size used once +Stack_Size 00000500 + +Symbol: Stack_Size + Definitions + At line 35 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 38 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: Stack_Size used once +__Vectors_Size 000000B0 + +Symbol: __Vectors_Size + Definitions + At line 117 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 63 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: __Vectors_Size used once +3 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +External symbols + +SystemInit 00000000 + +Symbol: SystemInit + Definitions + At line 126 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 128 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: SystemInit used once +__main 00000000 + +Symbol: __main + Definitions + At line 127 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 130 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: __main used once +2 symbols +385 symbols in table diff --git a/Project/SDK_V2_5_0/Core/cmsis_armcc.h b/Project/SDK_V2_5_0/Core/cmsis_armcc.h new file mode 100644 index 0000000..74c49c6 --- /dev/null +++ b/Project/SDK_V2_5_0/Core/cmsis_armcc.h @@ -0,0 +1,734 @@ +/**************************************************************************//** + * @file cmsis_armcc.h + * @brief CMSIS Cortex-M Core Function/Instruction Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CMSIS_ARMCC_H +#define __CMSIS_ARMCC_H + + +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +/* intrinsic void __enable_irq(); */ +/* intrinsic void __disable_irq(); */ + +/** + \brief Get Control Register + \details Returns the content of the Control Register. + \return Control Register value + */ +__STATIC_INLINE uint32_t __get_CONTROL(void) +{ + register uint32_t __regControl __ASM("control"); + return(__regControl); +} + + +/** + \brief Set Control Register + \details Writes the given value to the Control Register. + \param [in] control Control Register value to set + */ +__STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + register uint32_t __regControl __ASM("control"); + __regControl = control; +} + + +/** + \brief Get IPSR Register + \details Returns the content of the IPSR Register. + \return IPSR Register value + */ +__STATIC_INLINE uint32_t __get_IPSR(void) +{ + register uint32_t __regIPSR __ASM("ipsr"); + return(__regIPSR); +} + + +/** + \brief Get APSR Register + \details Returns the content of the APSR Register. + \return APSR Register value + */ +__STATIC_INLINE uint32_t __get_APSR(void) +{ + register uint32_t __regAPSR __ASM("apsr"); + return(__regAPSR); +} + + +/** + \brief Get xPSR Register + \details Returns the content of the xPSR Register. + \return xPSR Register value + */ +__STATIC_INLINE uint32_t __get_xPSR(void) +{ + register uint32_t __regXPSR __ASM("xpsr"); + return(__regXPSR); +} + + +/** + \brief Get Process Stack Pointer + \details Returns the current value of the Process Stack Pointer (PSP). + \return PSP Register value + */ +__STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + return(__regProcessStackPointer); +} + + +/** + \brief Set Process Stack Pointer + \details Assigns the given value to the Process Stack Pointer (PSP). + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + __regProcessStackPointer = topOfProcStack; +} + + +/** + \brief Get Main Stack Pointer + \details Returns the current value of the Main Stack Pointer (MSP). + \return MSP Register value + */ +__STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + return(__regMainStackPointer); +} + + +/** + \brief Set Main Stack Pointer + \details Assigns the given value to the Main Stack Pointer (MSP). + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + __regMainStackPointer = topOfMainStack; +} + + +/** + \brief Get Priority Mask + \details Returns the current state of the priority mask bit from the Priority Mask Register. + \return Priority Mask value + */ +__STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + register uint32_t __regPriMask __ASM("primask"); + return(__regPriMask); +} + + +/** + \brief Set Priority Mask + \details Assigns the given value to the Priority Mask Register. + \param [in] priMask Priority Mask + */ +__STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + register uint32_t __regPriMask __ASM("primask"); + __regPriMask = (priMask); +} + + +#if (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) + +/** + \brief Enable FIQ + \details Enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __enable_fault_irq __enable_fiq + + +/** + \brief Disable FIQ + \details Disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __disable_fault_irq __disable_fiq + + +/** + \brief Get Base Priority + \details Returns the current value of the Base Priority register. + \return Base Priority register value + */ +__STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + register uint32_t __regBasePri __ASM("basepri"); + return(__regBasePri); +} + + +/** + \brief Set Base Priority + \details Assigns the given value to the Base Priority register. + \param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI(uint32_t basePri) +{ + register uint32_t __regBasePri __ASM("basepri"); + __regBasePri = (basePri & 0xFFU); +} + + +/** + \brief Set Base Priority with condition + \details Assigns the given value to the Base Priority register only if BASEPRI masking is disabled, + or the new value increases the BASEPRI priority level. + \param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI_MAX(uint32_t basePri) +{ + register uint32_t __regBasePriMax __ASM("basepri_max"); + __regBasePriMax = (basePri & 0xFFU); +} + + +/** + \brief Get Fault Mask + \details Returns the current value of the Fault Mask register. + \return Fault Mask register value + */ +__STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + return(__regFaultMask); +} + + +/** + \brief Set Fault Mask + \details Assigns the given value to the Fault Mask register. + \param [in] faultMask Fault Mask value to set + */ +__STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + __regFaultMask = (faultMask & (uint32_t)1); +} + +#endif /* (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) */ + + +#if (__CORTEX_M == 0x04U) || (__CORTEX_M == 0x07U) + +/** + \brief Get FPSCR + \details Returns the current value of the Floating Point Status/Control register. + \return Floating Point Status/Control register value + */ +__STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1U) && (__FPU_USED == 1U) + register uint32_t __regfpscr __ASM("fpscr"); + return(__regfpscr); +#else + return(0U); +#endif +} + + +/** + \brief Set FPSCR + \details Assigns the given value to the Floating Point Status/Control register. + \param [in] fpscr Floating Point Status/Control value to set + */ +__STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1U) && (__FPU_USED == 1U) + register uint32_t __regfpscr __ASM("fpscr"); + __regfpscr = (fpscr); +#endif +} + +#endif /* (__CORTEX_M == 0x04U) || (__CORTEX_M == 0x07U) */ + + + +/*@} end of CMSIS_Core_RegAccFunctions */ + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +/** + \brief No Operation + \details No Operation does nothing. This instruction can be used for code alignment purposes. + */ +#define __NOP __nop + + +/** + \brief Wait For Interrupt + \details Wait For Interrupt is a hint instruction that suspends execution until one of a number of events occurs. + */ +#define __WFI __wfi + + +/** + \brief Wait For Event + \details Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +#define __WFE __wfe + + +/** + \brief Send Event + \details Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +#define __SEV __sev + + +/** + \brief Instruction Synchronization Barrier + \details Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or memory, + after the instruction has been completed. + */ +#define __ISB() do {\ + __schedule_barrier();\ + __isb(0xF);\ + __schedule_barrier();\ + } while (0U) + +/** + \brief Data Synchronization Barrier + \details Acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +#define __DSB() do {\ + __schedule_barrier();\ + __dsb(0xF);\ + __schedule_barrier();\ + } while (0U) + +/** + \brief Data Memory Barrier + \details Ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +#define __DMB() do {\ + __schedule_barrier();\ + __dmb(0xF);\ + __schedule_barrier();\ + } while (0U) + +/** + \brief Reverse byte order (32 bit) + \details Reverses the byte order in integer value. + \param [in] value Value to reverse + \return Reversed value + */ +#define __REV __rev + + +/** + \brief Reverse byte order (16 bit) + \details Reverses the byte order in two unsigned short values. + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rev16_text"))) __STATIC_INLINE __ASM uint32_t __REV16(uint32_t value) +{ + rev16 r0, r0 + bx lr +} +#endif + +/** + \brief Reverse byte order in signed short value + \details Reverses the byte order in a signed short value with sign extension to integer. + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".revsh_text"))) __STATIC_INLINE __ASM int32_t __REVSH(int32_t value) +{ + revsh r0, r0 + bx lr +} +#endif + + +/** + \brief Rotate Right in unsigned value (32 bit) + \details Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +#define __ROR __ror + + +/** + \brief Breakpoint + \details Causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __breakpoint(value) + + +/** + \brief Reverse bit order of value + \details Reverses the bit order of the given value. + \param [in] value Value to reverse + \return Reversed value + */ +#if (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) + #define __RBIT __rbit +#else +__attribute__((always_inline)) __STATIC_INLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + int32_t s = 4 /*sizeof(v)*/ * 8 - 1; /* extra shift needed at end */ + + result = value; /* r will be reversed bits of v; first get LSB of v */ + for (value >>= 1U; value; value >>= 1U) + { + result <<= 1U; + result |= value & 1U; + s--; + } + result <<= s; /* shift when v's highest bits are zero */ + return(result); +} +#endif + + +/** + \brief Count leading zeros + \details Counts the number of leading zeros of a data value. + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ __clz + + +#if (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) + +/** + \brief LDR Exclusive (8 bit) + \details Executes a exclusive LDR instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __LDREXB(ptr) ((uint8_t ) __ldrex(ptr)) +#else + #define __LDREXB(ptr) _Pragma("push") _Pragma("diag_suppress 3731") ((uint8_t ) __ldrex(ptr)) _Pragma("pop") +#endif + + +/** + \brief LDR Exclusive (16 bit) + \details Executes a exclusive LDR instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __LDREXH(ptr) ((uint16_t) __ldrex(ptr)) +#else + #define __LDREXH(ptr) _Pragma("push") _Pragma("diag_suppress 3731") ((uint16_t) __ldrex(ptr)) _Pragma("pop") +#endif + + +/** + \brief LDR Exclusive (32 bit) + \details Executes a exclusive LDR instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __LDREXW(ptr) ((uint32_t ) __ldrex(ptr)) +#else + #define __LDREXW(ptr) _Pragma("push") _Pragma("diag_suppress 3731") ((uint32_t ) __ldrex(ptr)) _Pragma("pop") +#endif + + +/** + \brief STR Exclusive (8 bit) + \details Executes a exclusive STR instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __STREXB(value, ptr) __strex(value, ptr) +#else + #define __STREXB(value, ptr) _Pragma("push") _Pragma("diag_suppress 3731") __strex(value, ptr) _Pragma("pop") +#endif + + +/** + \brief STR Exclusive (16 bit) + \details Executes a exclusive STR instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __STREXH(value, ptr) __strex(value, ptr) +#else + #define __STREXH(value, ptr) _Pragma("push") _Pragma("diag_suppress 3731") __strex(value, ptr) _Pragma("pop") +#endif + + +/** + \brief STR Exclusive (32 bit) + \details Executes a exclusive STR instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __STREXW(value, ptr) __strex(value, ptr) +#else + #define __STREXW(value, ptr) _Pragma("push") _Pragma("diag_suppress 3731") __strex(value, ptr) _Pragma("pop") +#endif + + +/** + \brief Remove the exclusive lock + \details Removes the exclusive lock which is created by LDREX. + */ +#define __CLREX __clrex + + +/** + \brief Signed Saturate + \details Saturates a signed value. + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT __ssat + + +/** + \brief Unsigned Saturate + \details Saturates an unsigned value. + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT __usat + + +/** + \brief Rotate Right with Extend (32 bit) + \details Moves each bit of a bitstring right by one bit. + The carry input is shifted in at the left end of the bitstring. + \param [in] value Value to rotate + \return Rotated value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rrx_text"))) __STATIC_INLINE __ASM uint32_t __RRX(uint32_t value) +{ + rrx r0, r0 + bx lr +} +#endif + + +/** + \brief LDRT Unprivileged (8 bit) + \details Executes a Unprivileged LDRT instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#define __LDRBT(ptr) ((uint8_t ) __ldrt(ptr)) + + +/** + \brief LDRT Unprivileged (16 bit) + \details Executes a Unprivileged LDRT instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#define __LDRHT(ptr) ((uint16_t) __ldrt(ptr)) + + +/** + \brief LDRT Unprivileged (32 bit) + \details Executes a Unprivileged LDRT instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#define __LDRT(ptr) ((uint32_t ) __ldrt(ptr)) + + +/** + \brief STRT Unprivileged (8 bit) + \details Executes a Unprivileged STRT instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRBT(value, ptr) __strt(value, ptr) + + +/** + \brief STRT Unprivileged (16 bit) + \details Executes a Unprivileged STRT instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRHT(value, ptr) __strt(value, ptr) + + +/** + \brief STRT Unprivileged (32 bit) + \details Executes a Unprivileged STRT instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRT(value, ptr) __strt(value, ptr) + +#endif /* (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) */ + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + + +/* ################### Compiler specific Intrinsics ########################### */ +/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics + Access to dedicated SIMD instructions + @{ +*/ + +#if (__CORTEX_M >= 0x04U) /* only for Cortex-M4 and above */ + +#define __SADD8 __sadd8 +#define __QADD8 __qadd8 +#define __SHADD8 __shadd8 +#define __UADD8 __uadd8 +#define __UQADD8 __uqadd8 +#define __UHADD8 __uhadd8 +#define __SSUB8 __ssub8 +#define __QSUB8 __qsub8 +#define __SHSUB8 __shsub8 +#define __USUB8 __usub8 +#define __UQSUB8 __uqsub8 +#define __UHSUB8 __uhsub8 +#define __SADD16 __sadd16 +#define __QADD16 __qadd16 +#define __SHADD16 __shadd16 +#define __UADD16 __uadd16 +#define __UQADD16 __uqadd16 +#define __UHADD16 __uhadd16 +#define __SSUB16 __ssub16 +#define __QSUB16 __qsub16 +#define __SHSUB16 __shsub16 +#define __USUB16 __usub16 +#define __UQSUB16 __uqsub16 +#define __UHSUB16 __uhsub16 +#define __SASX __sasx +#define __QASX __qasx +#define __SHASX __shasx +#define __UASX __uasx +#define __UQASX __uqasx +#define __UHASX __uhasx +#define __SSAX __ssax +#define __QSAX __qsax +#define __SHSAX __shsax +#define __USAX __usax +#define __UQSAX __uqsax +#define __UHSAX __uhsax +#define __USAD8 __usad8 +#define __USADA8 __usada8 +#define __SSAT16 __ssat16 +#define __USAT16 __usat16 +#define __UXTB16 __uxtb16 +#define __UXTAB16 __uxtab16 +#define __SXTB16 __sxtb16 +#define __SXTAB16 __sxtab16 +#define __SMUAD __smuad +#define __SMUADX __smuadx +#define __SMLAD __smlad +#define __SMLADX __smladx +#define __SMLALD __smlald +#define __SMLALDX __smlaldx +#define __SMUSD __smusd +#define __SMUSDX __smusdx +#define __SMLSD __smlsd +#define __SMLSDX __smlsdx +#define __SMLSLD __smlsld +#define __SMLSLDX __smlsldx +#define __SEL __sel +#define __QADD __qadd +#define __QSUB __qsub + +#define __PKHBT(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0x0000FFFFUL) | \ + ((((uint32_t)(ARG2)) << (ARG3)) & 0xFFFF0000UL) ) + +#define __PKHTB(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0xFFFF0000UL) | \ + ((((uint32_t)(ARG2)) >> (ARG3)) & 0x0000FFFFUL) ) + +#define __SMMLA(ARG1,ARG2,ARG3) ( (int32_t)((((int64_t)(ARG1) * (ARG2)) + \ + ((int64_t)(ARG3) << 32U) ) >> 32U)) + +#endif /* (__CORTEX_M >= 0x04) */ +/*@} end of group CMSIS_SIMD_intrinsics */ + + +#endif /* __CMSIS_ARMCC_H */ diff --git a/Project/SDK_V2_5_0/Core/core_cm0plus.h b/Project/SDK_V2_5_0/Core/core_cm0plus.h new file mode 100644 index 0000000..b04aa39 --- /dev/null +++ b/Project/SDK_V2_5_0/Core/core_cm0plus.h @@ -0,0 +1,914 @@ +/**************************************************************************//** + * @file core_cm0plus.h + * @brief CMSIS Cortex-M0+ Core Peripheral Access Layer Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CM0PLUS_H_GENERIC +#define __CORE_CM0PLUS_H_GENERIC + +#include + +#ifdef __cplusplus + extern "C" { +#endif + +/** + \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
    + Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
    + Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
    + Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** + \ingroup Cortex-M0+ + @{ + */ + +/* CMSIS CM0+ definitions */ +#define __CM0PLUS_CMSIS_VERSION_MAIN (0x04U) /*!< [31:16] CMSIS HAL main version */ +#define __CM0PLUS_CMSIS_VERSION_SUB (0x1EU) /*!< [15:0] CMSIS HAL sub version */ +#define __CM0PLUS_CMSIS_VERSION ((__CM0PLUS_CMSIS_VERSION_MAIN << 16U) | \ + __CM0PLUS_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x00U) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*!< inline keyword for COSMIC Compiler. Use -pc99 on compile line */ + #define __STATIC_INLINE static inline + +#else + #error Unknown compiler +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + This core does not support an FPU at all +*/ +#define __FPU_USED 0U + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #if defined __ARM_PCS_VFP + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI_VFP_SUPPORT__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __CSMC__ ) + #if ( __CSMC__ & 0x400U) + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#endif + +#include "core_cmInstr.h" /* Core Instruction Access */ +#include "core_cmFunc.h" /* Core Function Access */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0PLUS_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM0PLUS_H_DEPENDANT +#define __CORE_CM0PLUS_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM0PLUS_REV + #define __CM0PLUS_REV 0x0000U + #warning "__CM0PLUS_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0U + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __VTOR_PRESENT + #define __VTOR_PRESENT 0U + #warning "__VTOR_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 2U + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0U + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/* following defines should be used for structure members */ +#define __IM volatile const /*! Defines 'read only' structure member permissions */ +#define __OM volatile /*! Defines 'write only' structure member permissions */ +#define __IOM volatile /*! Defines 'read / write' structure member permissions */ + +/*@} end of group Cortex-M0+ */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core MPU Register + ******************************************************************************/ +/** + \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** + \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { + uint32_t _reserved0:28; /*!< bit: 0..27 Reserved */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + +/* APSR Register Definitions */ +#define APSR_N_Pos 31U /*!< APSR: N Position */ +#define APSR_N_Msk (1UL << APSR_N_Pos) /*!< APSR: N Mask */ + +#define APSR_Z_Pos 30U /*!< APSR: Z Position */ +#define APSR_Z_Msk (1UL << APSR_Z_Pos) /*!< APSR: Z Mask */ + +#define APSR_C_Pos 29U /*!< APSR: C Position */ +#define APSR_C_Msk (1UL << APSR_C_Pos) /*!< APSR: C Mask */ + +#define APSR_V_Pos 28U /*!< APSR: V Position */ +#define APSR_V_Msk (1UL << APSR_V_Pos) /*!< APSR: V Mask */ + + +/** + \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + +/* IPSR Register Definitions */ +#define IPSR_ISR_Pos 0U /*!< IPSR: ISR Position */ +#define IPSR_ISR_Msk (0x1FFUL /*<< IPSR_ISR_Pos*/) /*!< IPSR: ISR Mask */ + + +/** + \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t _reserved1:3; /*!< bit: 25..27 Reserved */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + +/* xPSR Register Definitions */ +#define xPSR_N_Pos 31U /*!< xPSR: N Position */ +#define xPSR_N_Msk (1UL << xPSR_N_Pos) /*!< xPSR: N Mask */ + +#define xPSR_Z_Pos 30U /*!< xPSR: Z Position */ +#define xPSR_Z_Msk (1UL << xPSR_Z_Pos) /*!< xPSR: Z Mask */ + +#define xPSR_C_Pos 29U /*!< xPSR: C Position */ +#define xPSR_C_Msk (1UL << xPSR_C_Pos) /*!< xPSR: C Mask */ + +#define xPSR_V_Pos 28U /*!< xPSR: V Position */ +#define xPSR_V_Msk (1UL << xPSR_V_Pos) /*!< xPSR: V Mask */ + +#define xPSR_T_Pos 24U /*!< xPSR: T Position */ +#define xPSR_T_Msk (1UL << xPSR_T_Pos) /*!< xPSR: T Mask */ + +#define xPSR_ISR_Pos 0U /*!< xPSR: ISR Position */ +#define xPSR_ISR_Msk (0x1FFUL /*<< xPSR_ISR_Pos*/) /*!< xPSR: ISR Mask */ + + +/** + \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t _reserved1:30; /*!< bit: 2..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/* CONTROL Register Definitions */ +#define CONTROL_SPSEL_Pos 1U /*!< CONTROL: SPSEL Position */ +#define CONTROL_SPSEL_Msk (1UL << CONTROL_SPSEL_Pos) /*!< CONTROL: SPSEL Mask */ + +#define CONTROL_nPRIV_Pos 0U /*!< CONTROL: nPRIV Position */ +#define CONTROL_nPRIV_Msk (1UL /*<< CONTROL_nPRIV_Pos*/) /*!< CONTROL: nPRIV Mask */ + +/*@} end of group CMSIS_CORE */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** + \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IOM uint32_t ISER[1U]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[31U]; + __IOM uint32_t ICER[1U]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[31U]; + __IOM uint32_t ISPR[1U]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[31U]; + __IOM uint32_t ICPR[1U]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[31U]; + uint32_t RESERVED4[64U]; + __IOM uint32_t IP[8U]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register */ +} NVIC_Type; + +/*@} end of group CMSIS_NVIC */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** + \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __IM uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IOM uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ +#if (__VTOR_PRESENT == 1U) + __IOM uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ +#else + uint32_t RESERVED0; +#endif + __IOM uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IOM uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IOM uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + uint32_t RESERVED1; + __IOM uint32_t SHP[2U]; /*!< Offset: 0x01C (R/W) System Handlers Priority Registers. [0] is RESERVED */ + __IOM uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24U /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20U /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16U /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4U /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0U /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL /*<< SCB_CPUID_REVISION_Pos*/) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31U /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28U /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27U /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26U /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25U /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23U /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22U /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12U /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0U /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL /*<< SCB_ICSR_VECTACTIVE_Pos*/) /*!< SCB ICSR: VECTACTIVE Mask */ + +#if (__VTOR_PRESENT == 1U) +/* SCB Interrupt Control State Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 8U /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0xFFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16U /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16U /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15U /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2U /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1U /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4U /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2U /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1U /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9U /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3U /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_SVCALLPENDED_Pos 15U /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** + \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IOM uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IOM uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IOM uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __IM uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16U /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2U /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1U /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0U /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL /*<< SysTick_CTRL_ENABLE_Pos*/) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0U /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL /*<< SysTick_LOAD_RELOAD_Pos*/) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0U /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL /*<< SysTick_VAL_CURRENT_Pos*/) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31U /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30U /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0U /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL /*<< SysTick_CALIB_TENMS_Pos*/) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + +#if (__MPU_PRESENT == 1U) +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** + \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __IM uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IOM uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IOM uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IOM uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IOM uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register Definitions */ +#define MPU_TYPE_IREGION_Pos 16U /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8U /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0U /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL /*<< MPU_TYPE_SEPARATE_Pos*/) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register Definitions */ +#define MPU_CTRL_PRIVDEFENA_Pos 2U /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1U /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0U /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL /*<< MPU_CTRL_ENABLE_Pos*/) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register Definitions */ +#define MPU_RNR_REGION_Pos 0U /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL /*<< MPU_RNR_REGION_Pos*/) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register Definitions */ +#define MPU_RBAR_ADDR_Pos 8U /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0xFFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4U /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0U /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL /*<< MPU_RBAR_REGION_Pos*/) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register Definitions */ +#define MPU_RASR_ATTRS_Pos 16U /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28U /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24U /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19U /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18U /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17U /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16U /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8U /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1U /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0U /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL /*<< MPU_RASR_ENABLE_Pos*/) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Cortex-M0+ Core Debug Registers (DCB registers, SHCSR, and DFSR) are only accessible over DAP and not via processor. + Therefore they are not covered by the Cortex-M0+ header file. + @{ + */ +/*@} end of group CMSIS_CoreDebug */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_bitfield Core register bit field macros + \brief Macros for use with bit field definitions (xxx_Pos, xxx_Msk). + @{ + */ + +/** + \brief Mask and shift a bit field value for use in a register bit range. + \param[in] field Name of the register bit field. + \param[in] value Value of the bit field. + \return Masked and shifted value. +*/ +#define _VAL2FLD(field, value) ((value << field ## _Pos) & field ## _Msk) + +/** + \brief Mask and shift a register value to extract a bit filed value. + \param[in] field Name of the register bit field. + \param[in] value Value of register. + \return Masked and shifted bit field value. +*/ +#define _FLD2VAL(field, value) ((value & field ## _Msk) >> field ## _Pos) + +/*@} end of group CMSIS_core_bitfield */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M0+ Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ + +#if (__MPU_PRESENT == 1U) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Register Access Functions + ******************************************************************************/ +/** + \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/* Interrupt Priorities are WORD accessible only under ARMv6M */ +/* The following MACROS handle generation of the register offset and byte masks */ +#define _BIT_SHIFT(IRQn) ( ((((uint32_t)(int32_t)(IRQn)) ) & 0x03UL) * 8UL) +#define _SHP_IDX(IRQn) ( (((((uint32_t)(int32_t)(IRQn)) & 0x0FUL)-8UL) >> 2UL) ) +#define _IP_IDX(IRQn) ( (((uint32_t)(int32_t)(IRQn)) >> 2UL) ) + + +/** + \brief Enable External Interrupt + \details Enables a device-specific interrupt in the NVIC interrupt controller. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[0U] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Disable External Interrupt + \details Disables a device-specific interrupt in the NVIC interrupt controller. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[0U] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Get Pending Interrupt + \details Reads the pending register in the NVIC and returns the pending bit for the specified interrupt. + \param [in] IRQn Interrupt number. + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t)(((NVIC->ISPR[0U] & (1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL)); +} + + +/** + \brief Set Pending Interrupt + \details Sets the pending bit of an external interrupt. + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[0U] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Clear Pending Interrupt + \details Clears the pending bit of an external interrupt. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[0U] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Set Interrupt Priority + \details Sets the priority of an interrupt. + \note The priority cannot be set for every core interrupt. + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if ((int32_t)(IRQn) < 0) + { + SCB->SHP[_SHP_IDX(IRQn)] = ((uint32_t)(SCB->SHP[_SHP_IDX(IRQn)] & ~(0xFFUL << _BIT_SHIFT(IRQn))) | + (((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL) << _BIT_SHIFT(IRQn))); + } + else + { + NVIC->IP[_IP_IDX(IRQn)] = ((uint32_t)(NVIC->IP[_IP_IDX(IRQn)] & ~(0xFFUL << _BIT_SHIFT(IRQn))) | + (((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL) << _BIT_SHIFT(IRQn))); + } +} + + +/** + \brief Get Interrupt Priority + \details Reads the priority of an interrupt. + The interrupt number can be positive to specify an external (device specific) interrupt, + or negative to specify an internal (core) interrupt. + \param [in] IRQn Interrupt number. + \return Interrupt Priority. + Value is aligned automatically to the implemented priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if ((int32_t)(IRQn) < 0) + { + return((uint32_t)(((SCB->SHP[_SHP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & (uint32_t)0xFFUL) >> (8U - __NVIC_PRIO_BITS))); + } + else + { + return((uint32_t)(((NVIC->IP[ _IP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & (uint32_t)0xFFUL) >> (8U - __NVIC_PRIO_BITS))); + } +} + + +/** + \brief System Reset + \details Initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FAUL << SCB_AIRCR_VECTKEY_Pos) | + SCB_AIRCR_SYSRESETREQ_Msk); + __DSB(); /* Ensure completion of memory access */ + + for(;;) /* wait until reset */ + { + __NOP(); + } +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0U) + +/** + \brief System Tick Configuration + \details Initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + \param [in] ticks Number of ticks between two interrupts. + \return 0 Function succeeded. + \return 1 Function failed. + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1UL) > SysTick_LOAD_RELOAD_Msk) + { + return (1UL); /* Reload value impossible */ + } + + SysTick->LOAD = (uint32_t)(ticks - 1UL); /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1UL << __NVIC_PRIO_BITS) - 1UL); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0UL; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0UL); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0PLUS_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/Project/SDK_V2_5_0/Core/core_cmfunc.h b/Project/SDK_V2_5_0/Core/core_cmfunc.h new file mode 100644 index 0000000..652a48a --- /dev/null +++ b/Project/SDK_V2_5_0/Core/core_cmfunc.h @@ -0,0 +1,87 @@ +/**************************************************************************//** + * @file core_cmFunc.h + * @brief CMSIS Cortex-M Core Function Access Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CMFUNC_H +#define __CORE_CMFUNC_H + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ +*/ + +/*------------------ RealView Compiler -----------------*/ +#if defined ( __CC_ARM ) + #include "cmsis_armcc.h" + +/*------------------ ARM Compiler V6 -------------------*/ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #include "cmsis_armcc_V6.h" + +/*------------------ GNU Compiler ----------------------*/ +#elif defined ( __GNUC__ ) + #include "cmsis_gcc.h" + +/*------------------ ICC Compiler ----------------------*/ +#elif defined ( __ICCARM__ ) + #include + +/*------------------ TI CCS Compiler -------------------*/ +#elif defined ( __TMS470__ ) + #include + +/*------------------ TASKING Compiler ------------------*/ +#elif defined ( __TASKING__ ) + /* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +/*------------------ COSMIC Compiler -------------------*/ +#elif defined ( __CSMC__ ) + #include + +#endif + +/*@} end of CMSIS_Core_RegAccFunctions */ + +#endif /* __CORE_CMFUNC_H */ diff --git a/Project/SDK_V2_5_0/Core/core_cminstr.h b/Project/SDK_V2_5_0/Core/core_cminstr.h new file mode 100644 index 0000000..f474b0e --- /dev/null +++ b/Project/SDK_V2_5_0/Core/core_cminstr.h @@ -0,0 +1,87 @@ +/**************************************************************************//** + * @file core_cmInstr.h + * @brief CMSIS Cortex-M Core Instruction Access Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CMINSTR_H +#define __CORE_CMINSTR_H + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +/*------------------ RealView Compiler -----------------*/ +#if defined ( __CC_ARM ) + #include "cmsis_armcc.h" + +/*------------------ ARM Compiler V6 -------------------*/ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #include "cmsis_armcc_V6.h" + +/*------------------ GNU Compiler ----------------------*/ +#elif defined ( __GNUC__ ) + #include "cmsis_gcc.h" + +/*------------------ ICC Compiler ----------------------*/ +#elif defined ( __ICCARM__ ) + #include + +/*------------------ TI CCS Compiler -------------------*/ +#elif defined ( __TMS470__ ) + #include + +/*------------------ TASKING Compiler ------------------*/ +#elif defined ( __TASKING__ ) + /* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +/*------------------ COSMIC Compiler -------------------*/ +#elif defined ( __CSMC__ ) + #include + +#endif + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + +#endif /* __CORE_CMINSTR_H */ diff --git a/Project/SDK_V2_5_0/Debugging_Info/A31G12x.SFR b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.SFR new file mode 100644 index 0000000000000000000000000000000000000000..a38538d2fbb0e5b2e436076c5d2ffd77c48fa1b7 GIT binary patch literal 935942 zcmeEv2Y6gpmH)gpGa5;j+?_)5AWmXKtmuu3Wxyn|ievI@3yo}tKd@d|8r#~)8ljTJ zu!$0C=!6ymq|iGgp)au1rDR$9!ot!P0xUg*79imNIk&ubUw==Y8I4wxj}MLC+(#o6Lq zDo_6C2a98KrJ0NLiK(kfR~E4Q{(#8qcbP;8T^HwLQq@wm8SNN z&R#isWU{ztYA)3S|JF~i2Rb|{&dkhD&t0UCjZRIK=JX>)eRi%iJ&muP(WhsM#S_zW z@Q;)NFUwsvIx{{vIzKs=dKiAx$>)po(aA}DY;tsVwm7Soj?$-ksu6yXDIDyBZ&OmuhKf_;g@dW{@h|oqIC}DY zadu8W3Z0szubO4AO0~c*vheJR`tgf?#}{kii}b*reIxCu)#Q)(t9ATKgMMjFDpd)^GFtw|U2J zb-MxQIPZAFL$LwvEHq#r#9rg zk2LAiBRGoXc8ys9_}P3T`w8$ESx6U@%O!$Z5Ub16-2OWGE`o>9V8p z7ZH_i8csH8+xx@=Us7K=((wNTMCiVAeP?5LzgR61=`Iv0yd zXSGmKRf-C9y6mVliKukgsB|nAm5yqmq9_y<=ycgpStFv7w^7M27L|OpP?2Sd3Us>c zsB98ZK@*qRD~q>qtA&arQBiQx|o7mrOqzyyL9*U4H+)f`TZ+ox&Nr0bgEw2E%iphyj=`%axg ze`&L(YMQJzWOmO_92}iYH8y0VhEo`A!7m%K4d-j=+y+fqJySm26B@%C2OCP^qMnJ+pw(@XQdU9ie0a7@}muHA{e!r4-!i!L4KiTM0@w zpbaWn(6PZvmg!iBl2uo=w66Y&C>mkP)oAC+bs#kER(9n&K$UB2HI=I(q7tTDDtt9hcs zO3`Af_b6?m7cox)sZe+{S-M)E#H2_r59yKNiCOlF%O;K;_kG6ZCNAAm?HhTCTrO;7!1TnLuI)xK8mnEFwXXf3GW|VMJwG|8Il`Nd= z)^l~h0ta>BB+AB~-YA?c=F3rYW~t`H?B1uC;xu-&wHfYgR)lQQ%wAnIBlPB)wKm>$ zwdu3)pkzMXA(@zAwIp*pNTxe?79p85yIPmb2+3?+%_Ng0hwD1iM`ym!A(@!vwj{F) zB-5R#i;zs3;jT+&gk-L2VUo!b^mWPfk<42hl8KcDmSln(WF&NhXq7=-G9x6jshLS8 zE0U;7rjKO4!6BJg?O{nK_&`QNABa|Z)Fm@QGILNcNg^VwP^B)JK9c!v^QD3ZgcUcR zU>*=SKneXHT5D66%Luv5HZZwlr9ySN^pVRZhg@RDh|49oKMB1bnk%ZyWrSQp9R$^v ztXQiqmp*d2(IJQav33)s>bA!mCM!T(nl^I=8#LwnsT|s43MiL zGQuaP`O>;vM#!bCFu7y}i*>p5k;`okxy0-bmrI`_!w9*or+&zm*QmT?N@8+Z&-@%K z`XzQTzTQ*#BNT&bL%SfgtH`^83tx#$dJ128uH0QLAS8_4`C_Y7AiND03WT*Fg|4s_ zp477X7P`{X?#|rnPUa9_PPqTDzuXAC?Ma-K7F` z(>kKo0;goNLoOpt>rlC@o7S=9vTj<(kjqUDxr{WeL*=q=TE~*hx@jFlF3)qwWu$2x zDwlQBI+k44P3stP*;e>9F|E-QiZHD~COz97xM>++TH{;Y_LbYT4rn8o*1j!>X&tt` zff(JcJ!Ifmvxgm zmR#0N>KIGJT8CUlnbhHOSvRR;$z|Q7jv<%lIOH!!WV`D-JVl<5E>eIC(A7he-kjkEg=*Gca>fk z26Q7xoEV%XV1R))ih=uNyf6%Cz^(EaCv6fnSm0>!|6>RlKHG>}kv3?zwQz^cZ|DF@pG4A4}h z7$mV2t7Ry{QRQgJRlrW{DEt-dL^#uqnCgmrNpNWo5e83b5Aht_o)SOCj=a=u{#(9M zR4d^S5ZXP@n~1-Z_!zs3+l_ojXIJ<3o*j?u6=WbxANr;P3Ge0BhkXPzE$eogDyI)O z3K)dx!(a@`tq+4RsGL50h=4(uJ`Bd7-1;yGgUac{^8^gS^kFat<<^Hm7*tLlZY}(d z=tD|5LLUMUo_uVWKBO;o&uq6o3~VLn!+A}rR4^qnEdJuu|1kuCvAbqKOX1n!ZU@Jin2DDk69_-!K*Z%_ZSGd79-M4?=AjZo+ zuh<50-W@vZXvgC>2lzQ}_7QIFI^+3tkOgNHYhvD-<)c+lMjumVUQ0^s*xuj znpLBNd|0I#o#aD{YINa;)b)bIV|0{Dd>(cV=iR}v*b+}8rY`VE#>53&UE=wKTpEer zbQY0#7IIz@68~I5;xQI(Nj&cTbccUpOFWH_*CpP)&KR|(JFPTOU6=Qom-m!H z5(djlsP()= z>MB9vW8@`bN_;&p;hP=HEmf2@P~ul$UP8>o#mGy1+D5v@Tq&P(K3ULsr1OQa?R ziI0(&h$->)yhLp+c!3hX0`n4LCN4%^BBsRG^AgKM;#Xi^;yHqjkCB&%De?8Z#4?fi z6_}S0_r%4>OT?7;dR}6gNc;-SOWc|$FA-DV>v@S~BJe9PFYzrQP8*q*h$-*&yu>n* z_Z66zSTD$XWL_esyw~#*%S7H+U|wR2otI$ItBAY=$b5`gd{|zB(Q$``eex2)EuDFZ zpmuTd5?4I_|2^S}Px^<$e-%9V7v@S~BJV3OFQE$Z z9+{VjDev{X#4?fh6_}UUXy+wZ^eQ4R0W$BCmvG18!}1c0jyo*ula~l?>C8(6wTqjV z7(FsJUOam2_|4{hcxYjU1g>$lyN4gs>3c9Oxnsl!4EF^SMt#AnEd|hy1DsE3t?tZ3 zQ;RkBaFxT#xMHHo{EaR2xa3)!)?r)M=f5N}hQ&Fzzcx-cJeg4)@qw^6qy!ZCrWp$>FV1b$PFOd1qb? zjQfg__cetzQYvMiPK!tGhn-G~G9SZ`x4fEs!0EL4l-s04ucpcAtuX7;r)9i$)T=pl z%J+2IhK$rezk;J{8?p^>R&5T>s%=#N>B`9ypHJxD#5@n8?%(*|YPYoKcEZxPuI}F~ zq~v7&O2`GX+uk^W6gd9V97 z%S7H+pnsFK{TsIKMff)$^FIDf;3-!={tct!HYt7lo8XpC|0by2;{2P^^pj`qNa)|h z+*eljZ)(iHA^VWP*4tF~Zx&JyB=c|L%6#3wsjcNNQ07;nf72=0dy)Q4Oo^}iH_Jre zSD=6M3qjr^{hOHbUiWX7iM+2s|K{(uf5X%9T-p9XjpIjT3zhQLTCZ&&m6Wr42 z-vqT=oPRSnf7R7jC-iS()~D9}n;P?PNIfI?H)q%Vn}yU*lleDsWxnp;)YkGBDDx}P zzd28^_agn9m=a(2Z`s!|Awu55&jLxypMkq zxa-Czf5YgwO-djCCb*^3zX@u$IRED4Q=a^` z-%5&9$)lb08+ZGh0adIArcU6mcJdGIMtbVnhCTa6`VK?KQd(+V>QXGe-Q9kE8?x=%%2NwAqNJ4GmK_i@>zIfoV?yOnWtfIp-V-4DWK;fw@%xCcnc4OnyfK zVDdYv3Cu$tLV&TlTy|gmrl*>~oP9P0hIhH_z&z7@2SDDn>JC=5jtLWgl-fO>R<@r`FI?mjwA?maD=+5gV08%H-(Ou z9if{_A@%bGQg4woI3l%Q`6ZZhM1Vj9^r#AG(O$=p#T(P?g` zq9b&?>n7^2W5 zv5^h1k!hHxNA&8$q;meUY8x6>&>+|v&j7IK0E*!HH=y? z|0;=z3>Gr^b#*jOI_>On*>PzRaOonrpuS;9~W-?6^Esz@>xWf;xublEfMYV;FI%l7>+ff{WGTvg7h_ zv)QtP!3^$4JNSAQ^Ggym1}nI~N@lPu6Em3gw(N+!NI=9meCU9HNFoCm5IImKL?nqi z2DGlGwap^1^Scv;L$~1QFKTvLo{50Qz+&y}7hJzsi(^g`)H(u<{=q?br9 zm0l*jTzZ9cv-C>o7U@;etEJaSw@Po?_YfeVfqsN2@WPtrH5)f?ZF|(E1N#pBpP6^**^?#(o*+1ON;Gt`!3rv zL^$jW;}A|6=sP|!?d7kT^2gqysc!D>DNF*Hj74SVBB%(QMUazTCq3HyXXGnAJaBN| zei%5$7M%ZyQt!kP_7)MYhKpBC%;I?Mn?G@+I0Hw(X(*>nlsA0Sa4PHK48&d1$VqKL zZnGvU&5C;6sq5v17Zy--2>oH8zaxxc=zyEHz^sG5=I(&>1{lM$VSaDO92(ivfBEB6 zvfO7AGr;OTZE@2FPDSXv>IX>Q0$R%fIW*wBcpcZ}wd}p~# zEAnIP>Ec=vLpZl5C|wbwIibs9bi3FbGa(CEAeA>sZ7}u;`I%Y$$Vq)_ zc%U#aqEAeXP0o)`OdZ3wwdFeKYZJe)G&Ok=--<7R#hI-pyGDizeZz3*h)c<7TACw= zrkT?INK)FX804>fFkcDYkc86TL0)}Y{9$$R&&@23e+D@m>4nwk8XSM!vl2STzA!gB zH$O|p+bmrTofr|vYhqTPgr}3mtBR9tq{jNPiDSooS{=v7v{?+S!AM}eB!}5qE;X#-`}9Gio4l6UIxFMXW-lZu7# zW#8Um{p!(KyMZkb!-bJT0Vdzsp2??Wct>&eoeKgHLXpUV4zdtN6{TRo{3GDAFFC_mqcg?R;=OPvIq#~<`?pBeJw%c9d(6Kh>lx6+ly|BPTwx`~ zyR|6=SA)|Td!qT14!)vTy^M>%L!E=~C5sRoF(onuAPOW-0aq%OL2zhvZtVEB{?ajh zWa0$O_0vHC2qqT;SVkkhP)8ZU|pLF;o z$?98N#$9vYLlyac2jd%-f01vm?T3>e8l4v3`1_=M!}7%Ywn)A`RFUt0r7Is(wTkR= z!krdB@XiFC?z&>DU%-mT-s#rf?iDY%nXuvoHNq9|<6$e_B|a-&`km6dq<2eqN_ROE7&vmi(!0v*cTS zj93dNY-?e{!tE%8#egS$mWUID$9e_(5dY_KKuo96av)qIhy&DeuxR4=Chj)D`!RsG z^_s+8$5AI>q=^70X}ydA|O9ab!l zITTN>-C2#w2d-%_B#1+uOoEF`=e$&eV+r14!6x{CZ=Od#S1uKr&54RD`fsLFB= zRT?an6Un}NQbaO9=R{<)*nBz$+&Lpo0SfrFc%zB@LFq%%v&`$^@rLxlUX!FJwo{({ z;`n)R*2NLlAzL&t&N490u?FcmIGozzGX*?hN{r=yyf6)&$Q0<$B)QiCyfd2+)(d+C zc+82tQge4j`mpp9b364>4vg#>Kx5@*x^jE16*N;1jb2&Yc3_&hDE6{V?ScS1#rY#^ zt@gKFf*Qk8%rX>^K}KulA{VS0STpbyVFDN8HeYKd==Eg9y~xMH3Hd?lUFI)gz~G+G zeIvsrMm+xsM@;v4HhF}uuQZQV3Yfc-rO|O_-V7W)3g!;6ZuBDgOi`hY;5qvM@-?c@ zmGm+C6146j&;X}~cMH)tgP0{gzaS1u`t>4dxYyfD?|O;GSb#-9m18I#I1qTZXs6)$yOh z%!j7~Z}^|6ER~N+|0;b$*GLGa1*X<5i&H9!t4x9}e%mxBWh#1#3_PQ~o- zXaktFbuta%+GFG2eYz+{wOSODt|gHhNP>|leL}h?QL?ue*2J)6?`ZdtF3Uh<*6(F| zhWkc__om+BGvskB#OT)`I#I0_Z6m(kY(?KHJq0{m7Ln_<;R((4h9Z*1sR;l1*vzh6 zZ^&z6BjTJEqFWW3>;1F&V(8+AG!E=#kN{$)BbZSb_IK0=ASQ#OV-W5?sbh~J)-gIW zThvEpCQg8A5X%BW7?KoT2|#hl5R6z^0N{dV)f!VFBQ;1mrSRe!KPTAJslkaUt4qX; zkCG>>(>T}a1hwNupErb;xP$Wpr_vS&C)DSCFR9PU@*XJiNQfFkBuJubkgSeVV<%~F zYHAeHQGIljESHsEy>*Hx_jkV{2%%`?pPNY6cT(6_`*h z3r{dJmKH{&n1`MBu@}8adDL)Z$59X4i-DkrWBf7}fQZZkVaQn2z0t=l1+*O+EVZD> zd&5*QaUERAL5~V1FLUVN7@Sfi9HU`uNcg<J}=!P{k!zIedmKD zr%5Fs)X6r#R(iekM(M56JEZqWACUe<`iS&#>66lDr7uXksWOVCu#Fz9mkm?cUEAA7 zErs2P?vC>BIX<^)wyEt z{sR8q%I*_#;!pDWHl6>Bw9a7-*A)fV?+Wurbn#~$`L^xdJy#NHq%o<{qFQyQZK!xs z>V1y4bhgts(BGx56-PyLkG__YY+x~v@E8arEFK>cV%QELhDBh6zm>b89xORd_*119 z>b*`2D~x??ATF^+W=dH4gib&fFr|_f6!{SGcf)T5J|Y^$nq3capO>y^PKQ|GrhuTN zU=H-ZD=8C<8!}~D8`ZgMnckhTM5=Ircpc+R`P8Uh-pbhEp=+vGXHL}6MS32C%tkjLMHD!luYv-n55ylJsPG#)LagQ>4A^Ye;tX-U0C0`& z48gera8B4E#Pd4y2Vhrh%o2@9G9065Z0W8-G$xKzFNUWx(3=ZLUXZWi$d{tn9YP$= z1{}P#7o<0Bg!?mrdw2DP^>ylD=C_c^3`!K?@gE3}AyY1tMSP7vhvb_$3_>*-?+o&H zmM?$gZG^oueAwgPrv8l$ArVqx2wjO5HiUFV4dstC@n?{J6^BDf%32)?9zy!Qz#+80 zPW_!TiN=q>q$`}xnItAsIFr~UfJyRI944Vif>;^Yp%5m?`+}L|U#GrVz*tc?uti<&FQycXji}@R3;7 z__zyB^?3a!Bxu+#gTB}UOfMfI zTv=mgbXx;#4X-`8)>w5~18WVdFsOW_jp`3)N@nN)jMiwvS$14fyAfY$4I53HMSLu; zVIyg?iI0^vY!q!)@v*vwkD&E(d>k}(_ZR*GGd{}&F)ZIpN6+E(u&Has%ne7V977IN zzTM(&IX+Cug|ritS70kN?r-E_7(e&tYNFSiSzq~E(zm6QnMcvh79L+1q0-B(d&v2E zl@g z<(35h+2z~LGrN3R;q3ZC*zD@?n_b_LzAJr??UsVU-cO9btB3*bs=_jQ46GTaM$!KH zx#{^i<`fXI@H!ATKb!UjutR?NF5%rk@jPiRjYgIK$R8mBTB@1zIMIirDQ7M zei^s>;%=3Cf_VWv)?B3*#72 zjq+z^I)WDVxU@uCn4I(xQJ~9^txq}$GBoN^94Bp13GxTj{$|>kKP0VCC-MiZ6;;su zA!&swl0RUrsLtjONh{Qt`~hp#Lkvv*koF600RDt`teY4p{3&VI;nTH_Jh462bQMr*Z~eQnPECt ze_?y6t@X~m+*$U46HcFIXdXl~LhAsM3$y~=&-P|%2}i}H`hDpK(*H<5l)gp}YfHms zBVr)1W7@nH0z^%``>8`CMPsi0RAH8az3U2#kU7WDDYO>Wd94NBUzD;7LyQICy+rCz z0s0#Z&X%cvHQRATOz-XO8^Ni6n?iHx-cu*QZt%sXm!3W0)B;$e+;<%Ba)SzCs8hpz zPjHrl8>)-+BVdCag~qe`)sP9*j}&#-xqk83WN{QKn05HoSP2fbAY0n-in!s~x!rIC z1y*+B4)7q&OFRpR>yxEHMPAar0hI9>nlKLe* z3=sDd?i(4zq#Zjp-IIXv*Fcro8WE+OW!dg9B390=5nIi@#2~|kfoSEBxCg;xFWt=x zV$w%eBd0d@u}!GI=3{x03KCX%Lf=BOoAe+bUNgbJ;hB&quu>APWXKCb6=pAO1o1%| z2<8GKVp&A;8K62y%E$iF$^fO6Gr(T*?H|h6R|;qzWcsidX#MP>%bec{i)5XnFfv&2 zNR^=MiIa2p67I2pD1D!1q6HMt2rZ!2Fbn86KJgLlUg^iuPo$qp|0``Ue+~^cW=HY{ z7?J~k0^MHh0#qx4VX7;-A$e z+A%n*Yki%XwAgKTvg^qS3hcI5kKJ|afL+$LGO#$yv+$7Z*qitMgkn;qd@ zD+9X|oLwXD7+uc=Q3AV=gQN4h3c|c*O?HHLtqkm1!fZI_uIJV#k=-iJ-PNmsUDmZS zuxkmk;Sy#~Fw+)hxB#PSqubgF?3QotUSkP!w^NuyG&2O1s>W_(Be2W5R)*1?x7h7+ zvKykA;kK!&v75^QyR2(vVE3u!&*-{qbTW1)NP5D3hlx0KJFCZSLj!QjdR7K*-)3>! z;o#O&fhWw|j_Prn%>uWqXJz2_PV*-?wwa+p#_Vx2w61gd3_7$!r5W)0s>iFQS^X*l ztKa3U4meo#B(KT15>^MQ$EvDkhFHJK!0L}UtNjjEJ?_2DYJc@uh4c1?Sij1^>d!c< zeGXPVuD8u9Y&Io6W)+MmD1#hg{VD^izu~Oza3)5jrjJn7&WqE;+$YgHGy zeO9;2YPt6@8lrt#rTi zJL&h*AEZA@f07=M{w%%7#20SN3}PS%{eE^Gi;ruF@k(f>Z-J=s>~VgMI17GE7H4=~ zsLYce%8WL0!cn^}?`l1PNGbgm=z5Ew-y7+pVpzGm{Qa8Mr>r#Onh#W}&{B4LM`mYswI*hcf6b zb}e)j*=}yO`&b6PCz!_T8)j^Cjpwy%kZ)+FZ|OTZHaRf{tHAjD)c7c#*+5RfuObLd zO&Q+dGGOo)a&pJU4BUWDwLZUG-n%Fw2G9&{ONSFG;0$HRJ@rou)jW83yXO=(4pUBC4%n-#iP2EKo3 z^UY(1ly6Vb15tUvH(ap01bk;Q$anc-hxglj^WY)n+h5fKZM-?R`s1BWBj4oA*#Veo2lvn>H9C@u! z0>F<~$o?M~Dc{`vy&%baWT_;3qZ76yS8;6NK1kFn<Lw=_GEcw~;jq-Ek=gQwVzd@WdnimIz#)x*y zd(i2`jU2QJjpXJcY^xw#$V?knnn&OWl!(b?Y*` zN2u4Ze8uPer21(+l&^SbU;)Z?=2t0eBnJ+xjS|+pzPHVqm7RC8#;SS9pVMS)_38}G z$~j@mm-KKT?AEJMv6x|W3i9o z(FZ7=X8#H1SPA9B1Aa&9#(ns}?|6~ou)t@~DL z4GgJs*RS?``33R|md_*?6t9Oh#K0R76#3zFRr8p3-GBnGx}yc|)*gYOA^0g2 z&HbZ$ZCY=?cVS`SB^If62Puzq*`(Tu;+wN;gab*@WstlVNWGGi>Trm$RN@^>aSDvyO8^6xjlo}eW*8)>twkUOolso}Mn^H$?N^qnT#A`TD z-ym0guTKvRQbJn@OP&A@5@OXPaj}CNQrSy^A%&9}5XpF=CKj22*zCY{h(q+HK&F9{ z=@-d(%nyr9e{3>}k{+Tj1u`w1OrJ=`6DP39^u;D4%jqHdQXsRQli4Mb@ts4gdLZuD zNK$%;z7)uu#mV%FWPIlj%iPB$0|$f-(U$_5hZb63ea@MM>Z2`;e+0_xJ3C&D3X)u-kQvOR~8cJv0vTizvwHzG2EG8)UD(0FvRE!MOCBnm93F`OD^Y1ms@EF|mu` z*hN2(qmy!RyO7JJ8A0g6JViYV`3GiE8AQ;%3mQOxjl={=T#8U>X}uq4uCZw{(~8pc zWTQ~H;D?T6R9u>w4AP|U2b!B~n#{(cG<^jce&|R9#if}}BTdWJI>(&A;cXyk7%E`Y zF7Ynnz$j0`#3iO_!i#~!X@MFWN=nVUmRr>PZDT*>q^g9PWgTB-j*;04VSdWlg+y$8 zCo4@p#N`W$8u?3st92F~Hf)rR?+m4Z|G0FZq7dTtToarrpvO3(=y?})3q5~x-w!=W zBIsGt_%wkU6=fC| z?tIF}G$%5Q@cyx$?e zQ+}8HZuw66F1azmwT(tk5180tC!g;)(qSJ#%#gWaWYB~N^7XDo9*~KDZR764!EX_O z3u=VG*;hW1+dSf3+xRT=vv~fwcE#{M+;Vz9I~d3Ba6N=37kDiB;KU@})MiC*=;=WN zozvt9;hp+5RiF9|X~95&m=gBY8ukAU+^V#18=wMW3QI5DBd z_U?lspH}!2sD=!iBZ^EcynxUn3Nt;)*)6!>C9;BG7It(Fk9&07`Y&jP(sNQH-i6cn z0iyi^j!Dh<9kl))`MvT@`_2R2$xRTjm42b=%hG>I|1Et_`jPZA=`YgrxN;7eJ6|*wBjKNvM>)idD)^z=0iOc&CB?hG74u5Prn# z+RTH8p+9&SO6qaW`y=3j$~5aL3jakU$>P4#+yC7tA=1NPDo_?6{l`88Hc#DS?niL2 z{C3|6f#+6w!h_3*6Y#N5AxMstKEbcxPJo&4qiFOr&E^I1>yD3~K!+gN85c?C4^w}$ zE3nzA8FNJBT$ZXYqvGCyq*N7=qCG^U%6YEC-R1+h#-bn} z#6|Y6Y>|Zn{#`bpfC8^TcP^-Cqj?VrCTHaMeuImr|8H=$?B92&1Lj3PJ#P4FyrGL8 zww0f5Z$Aj%!^ zH@5?xbWFE*;**Xx_?v^xyZ9(ih;_8V-<*NWbWC@3(N^#`2Vs4Dw4FVIzc~ZD-!Z*o z2WJsJqLpLR9g*;n*&9BnqCd3yE%}=rv%1hP*~;Mk3m-*-)>vTyorz6d;q!?Ad{*A8oCj%tPWb$A47`0k~w)j4xHfb+2TNBp02y zmPm9nJXd3rquVW>0)FP;+qVtz$DDkNSDE3xmRbW`SC)h8${H8mMX&aS{*$2&u5)61 z3PVkO&!E#*q8PC+ehouuQS3VJxnZW6W0<9n$bZ|1RZnPqnQ$=ug8X6mqjIZx1=Mgym8(m}#+%ttI*Wyi0_!rxd zRgWFjx09dQN4`LX*^BpHBDkQj@oGk&kUlGAIL~y~>&I@tmXmIJ7@wEl+2DX|2XG;L? zcSLa8MQ~w36Ki#&!KGJl)E2nw)>Fv^&Xxe&pPC0qSO%?y9f0kf%vdmBJrmQwk=X92 zL0e(XS~v!f@U;ZUK0!dXM?@ADGcm;-iEK{|BHOx}APamg0kTI0WVegR!b)Z&S;@B7 zAhN4k2(rM}5+M6z0oiU5S=W`2kuuv|gUB{D6J&v}B|!F{1!U1Fv-B*iVPY*tB(k;W zljRx-vcT68Ap2~yV69;2k-dwpV6cEC@yBY>9m_TlT$jchyH~&!oiUE9bEi$Dyw;*8 zmd+4dm&OtMjeslqVH{WI#axlN)}j-pY6RD%@xlHg;EFC7#}z#=yVfld*IM+yWQE|m zH13xs;ELWC$JKdFeI%~6=z5W3!uto7#`9_|{1}3oDn>aKxyv^@VS_3LXx(K3JXQ*C zbA(DU4Uxmxb4Y{GuSpP|1ztUOr)n7pzh@!*-q89n`Q!2@!0@5_~ZwR?<#wg?sKI3JBo3&k*pgP0=zy*Z#^O0QKWISJ^sVHU!=;Ab=}0 zLqI5gjV%Lom988Djg15Ww&IozfsY6X;9ANM@FwM=Wq_`yl|uju_)!Mf+E_LOK562$ zU>h}fH-;OpB_%n+7P`0+K*NodW51z+b+N4Lz@*JRh6E}1UN1Fr^l3=2a@=RL$UTeh zm2K>2Z0<2^N4Xa&0OO854ck?Y`%DJ8XA!uvaes}?J%-jO_uiyt#$GCg+?U(ddtE_=$h-<~2Hpq5A{?;ju?UC1-|rXl zz}LF{cdPP}d-y&Lc*w)|_cY`YcIzwOB+-zy>JMALEPqA*s{A$i>+*lf-;lp4|CjtN z`P=e;%ioc|D}PV^zC3K+s$c-5cd#&wmd{Nz_eLM@@*!SK(+}t9_fnzFhcrE4eVVcMvPMeVcV)vyQFg z_T=6{yy4onStmB@+*;ntlyg}9?O%9~Rp9>LkZSONuYyb5Np*O@uL5fuX|;I3udr&| z_baF#Z-AH;$`2gMlXXX}&OVom7J4feK-4N)BuMb;0tjA3iv>27FTjGyNYTKi$^}ro z9W5T%RJ{NSx}!w|n+Du7oz5yfq%yui*+Ks1R472lA2g~^a*iLGR46jX56vo+mE(t1 zDio6AhZYq|$KgXNCCpH?&iM?@W96aOP>Vl9skI(G9Q7GGTO|85eC40+*9iD(In2;a zXOS7oysG*PC9^Nl49y8M6g^05hGKrtYf{CZq12k~Bj1U+HC+M1x4(0DhSpb#fIoiGutXQ|K66+S=J44I!S4OO3?$>--= zh53moH$FchN_09wBAS4!&(9S;KjDlX%)zikX?=bspPwHoVErH0a`NNsM5yodWLaU~ z{Gpu&wbH}ZP1<(0%$+Q=s^UYNV3IhbLCnhd?ElC=lz$}OEB{#jiTqRff902$ccAr< zA&ESUV&5H}35NSXc|m*x1njJqx`tXo8VU{pZt|vrE#ZRvW<3|Yh+OcK#7T;QY(7Z? zlEDckX+4sCRdHP3rmyfxy6G%BN$a6(&{2yk*f=ImQjBF=lhi*SoM4jHgW2^-3I&nt zn3-0OW-oe@K1H0Q7|!OCG$19MV3O7Y+Vx2aleBd$T}kT^?L|-0r;3vlL)z9P_0I|? zn56Znc72kyhpH9ECZWZKQLw9@<{? z9KAlMVo_g|44ac9Mbf4fX%z?_A=I3Xor`5&@YGj zUF}?N4BQS28(Xumu@#wyV2(SP33q*PIB?PPzl6=73EbT&T?pG-SqH-y!M5^N*xwq9 z8Enn31JT7n!&D(3H2>>B5)B&76)wL)gF8gXpt0mu?G4%);-F#b$Qm@4emT4gT{j2wKr%Ni-U%t zWoytdN$c5glxWasYb1Fk*O$lQm+PC-rRpNit&e7^meoVsKXtju<;Bv-U>p zOTviZsXo?-f%)Ox@0?`B=&?S_Z^YmZ92_xr4o&Ti*tdld!}@q@#K89OUXzn##ON_E z%WuTsZXFykb}mWnjo6RPw_BD6MnHZTa{W${@mj9o54f`j$E#+eAG?I{!r%uVFEBe! zEA+8kBOh=V5RO;P20r!*g5XK8b9(=qo;^DrA zD2YB?uJI08A>&oE;f^t3yfE6q#|sP&&yK_-Du_18M3y8S{HqPRgB+cG9lT?Ag~p3<*O?HV_M)T&uKS-*Tzmehs})!LUzPrZ*q= zkIs!kJC=LH-@|SPckZpFg})gJP8M24Vx2ZIT!P{d>kKEhY853$tfqwxBC!se7#VH3jx(c=(<{mo&- z8XGAwqHGtoh{W1$VyM>Q5Ni)7mdjCML{luZnd2ypX@p@1(7KoiBVOzhgVc_2N(~L{ zwJ3@IB@pVd2;tdCF$nd96Ut@@ArgUF_?|#$yG01ED~Um9dpMy?h7clwlZAT)LfsZ2 zG{$2P>JBHAP7^}J-(UE-KnN8E9V5JiBL<-eb)jj55OGr%?h^>1vY>=w>WTvd4+?}(Nl-#Dbwq@UkYz%MY6w{%gbIQZimM;Og+Y=C zA*vm+0wGimlu%6F5TP32fCtnAR5Pq9+yI(EBl=O2ALU%IV+fwTHixLb)@LZsRGy_g zTe(qrj`CdPdCK#Z7bq`OUZlKOxk-76@>1ms<|OK;?EV8IWVux2yuDl=ax9l{sv0vJ z*kuIvdWp3|Ax2zqW> zF#-tUga{+p+{_5lIq?q;g6Nwvf?hu-fFMqcFoI1@j3AvG;|_x8Trq;4`$U2`#Pu_b zU}GaANax5uIS8V6zzBLhg8&Y3qJ$C5XIl`BFmH*)g=8QF;pa!SZqBK zF=moPiBT=`Sdkbi5lSqs4vA7BsT3tfHONpwhH!kEE>ep8Xy2?knj<@|o7i zVxyg;>D=+6K31B6658p~)cC~IF`dA1jeZ2)wWW7{u5@B_Zenb7a`L1;Hd!2Qh9dT@g>qDNMnYNGct0X;)N4_3DL=+RWe5~2sUFC%(n`K%^-uM*H} z7tn*HIzD3#gvh~-(SRJQ-K*YOJ7gob-9`?)%Gk)!+Pfu04sM$U$#MaLY9y$7;%| zhujexIdm%+a!I_3C3h;|hHOBt>ORGB8##0-7;;HGiY0d_;C5|5uIm28l#Lv^6AZZ| z-o%nS6L2#(AXjx?V$MbmT?vL0Mo&SvnrJbH^`vc+DMMv!a(F4KQ{Y`1RrE$bAU!J`)K6yj-be8UN`|jh+OtzcriM5 zNt^A|>EE#UWm~)eW*-DFb-w^6;Uk7awHE@IW$P72G2bX4iRK1J(qnIgBN=6Kl&#M^ znCXio1~UQ4ScXU0k$k&=B$^)_Nss*zj%1VxQXM2Qun9=UGDOObVOhk;eI(iWUtzdR9iM@EMjUu`)48>&LOKmtW z7&Xwyf+3Ps08r}QIGNP2v9>R54{)|isF z?bPv4#IGl2_34??98{{pZy}c0$o8rLBPngOH!>@7iAQAhLV4+F^_P^PJ_@3^i|jMT zY(L-{0f=|Xd<4MKNiqV|)HyAVNS*2;xrrQsRjaHKU{T$g7=e$vMgZcZ)(C)=lw<^` znN+(Y(A;c|0E-6K#0Y%KH3AS{wMGCetRy2qO|04-fu<&F1XvWgCPv`%t`Pvc#u@>z z&XSA(jW5^k2sAcYBfzY;nizpExkdouxz-4PWtU_GsA*TbBaq8kBfz58H8BGJX`Vz| zsDar+xEb-iRy;ca{xqIlyYM$O2rSl&9kk#?A9rUm^gY`%L+wx+2d`c9vssQlD;=&0 z*?*=JecZ0f(D&?D4Mm^E&ubU`OopS+%8zRT{pUH+$Njquea{BoQ1ofsy>`)0r#bqp zgt;crzsZR{ZfIucdv-L3qEF-XwTr%{ar9XkbWNatvlD%Ee;NA8yx&@PepQvDUrWC4 ztxojO^=0TM^L%UF@l_O#el7XEuXm!4ZZAVWnb%wEPOmI;^lQoIeXA3Fba@&22|Zqt zkEmU}FG(EzTJm?_?L;5lU50)#Z@1Q+-BgOBUrWC3`wA`K>yndrFj}s-@*iGr*O~ty z=R=V&u{-}E#^Bu{cq<1I(FpS(p-mQ-3;CS#dF3AE-<2;Y|Dk+Q`I7QwD^hVo72Uh`h`|I_`u`b>o6Su)KD89jdYQ{>?!9i9y7FCHn)PmLAz!HLPaB3wi_ zdSZGK&&24PER9`h-%Cdn#bxkz0}>?o_hN$t-0@>4f7F@7TjofsZvM>Z&f$e}_^{h* z*xi}yWDoOQR#W(x>*6nH&s(hx_?+v8FW|bo;*948=TG9+stL*ir+AIt0D{y8_B_=U2r!^F7;UpU#tue42F>}4*N z@yoQ*P3~sQDBJOG>I@Twe`BV9*T6okK$V})GG1Q2?>EToc0eQOeByB~4M&MIh_?#@ zF6`ItM&U5SF_*lvzz?|#*L$wN`<2MLv>C8xBm-$9CYOqspfcu|c(rjDCR7_o_l<~s zRKLP8fzvn%CQPm3{iD%z6JauFHZp}Uun(hwHv~lkLl6nNOvpW0WdH;Y4Mjj$32O!L zxKF?X<8urTZ+tEUkD#l1Du4%^ZHjoXFk1!i_@jUaM$8x<-iTQU9zhr3Q~(b+f)(*# zfv*bS@t}YQ#;_P3-WXO09zoa4Q~(b+DHicyp{NSrAq#k5REgo?jVguUL4!)simeR# z0}ixBJXmn30(fKvJTT6~@bJcYLhztro~qyh=k6jNEL>9oJXQ&KVC042;f=h6;6Vc~ zRlx&}2Sz+t0Hp$WtP}9SSO~+z8w&}+gN8z?f(M*pjCin+Mg{OVQ@{hwRE9?~E0y>h zRlx%eTSh!skf8#2=mH*SqB1-ZS*TSsP~lKY#G`Wd=>=r#xJF#F2oD}+4D;aoxp&f6 znwpy_O@cpo46aWHuT{7Sg1pl0>{;%+D&fQZfyRR@@?h6mG3CuRlcWuU-^OZKgti4A1U`L zKUSW(?;L2X3{629ZsV8aAILwG@0Xvhyi9qc@_yyB%6}<8QQl%+L7ct4`}+q-dE;Hg zil5ax;J%X%L3TRY>3_Cczqd4Aw4HZe;Ye?k*s0UM*oLgVtRQly&OVSY(39`QkiZli zhIv&H+&}SOgAg;AnP7shkW&@~eGvc~5KG+@sS9HNRuFhMTBXO-o{|hcyMqHQ1{TdN)MemE_>byNU z64zREz2G8b#C2&rufv5OLr_zN4gjcKj@|gY8qEfk#j;6&)*Zj*u~K-OBUFmv>m1!; zPlKsG4MM-BRcAEv>Iq(J83@08yw ze^CCY{7Knm;)$;t(<6@`A_3_IWEP^!_9j2ZsCT>l$jND+i1g6>?D3)b$w>$=N%tqF#Jkot{{0JSJ8 zhX9-&iU=_4plk@75)i<3pCKS5dt)O&*Z#^O0H=N;0&E>G8v>eu0Itvs0U^B`8v(jX zR}KLWo<+&Z z#{GZT+++BQa=!=*kcPi1$32|>2;8$sR@u1!n$10$f0X-0Sbvo^{@~O`;J)0p-?wb; z(e$I-FT(PxwBZM*EduxDw)?(sbB|^p<$e)XU!{#cI3*FdFSpJ2W1D+4`6%~`vG_*%N@)H~Q7RA|=@ zH@ghBZzVB--a*`j=lezjn;BclM!ep^d{DDIHp_1%Tl0DcJA#^ZV6%>`WV2rHAa2O> zCEAJ2I=7O|d;WX$7O{IT*Auj_FSjG`8>2n=D8=6 zuraIwVpb?WMoP=NqgH31%S8*l6)Z%K76}r(x&VS#(PDv3N7O?3_T&tP>jS`GZYJFy&N9kO z&(Nk8GDBGewLU|W&(Q15*I1Dvj27R?B1HyNTb)jzhz5`A6Lf`7&}<`_pe)E=pP$MVS}IPVrR?T z$ug@dKC}rYi9;I1tc=e-SACxPeDwwD3)L5?FII0-&ol2p>mfrDc^JjMJ3JHIXP?ay z0fA8uV|$Kx{l6Z@&Zo2{Zz|XlE=ZczbHR(q1;0a_q!`HNlQbY1oM4jHBiZ#y3bj_7 z&Z3jF9?AwCwYY+f_luJhW7*au_0I<wo|Pg0nqt!wE@T90TidXnBFPErhMTa(m3E1Y1G)}z|>NeYv+sfA9`dRTkW zlk~gh?RMY@YX z?)B8u3Q9fs1$J3#Sh(ycS|o7aj8xy_!}j=mS(u-A`U0PyzNz8(^OK&%5Iq?69X|hO zcKG!^u;_KeAK97%K`*4a4+Im>SNzQ^Wa`J9*|w4f{3`Q^P(D;--dQ zs=iEpx%vwAX7!coE$XY(kC}IpYdeMx4E2*!(taFzZHLirr-t$Pvuy{aFYYg0J%#&6 z;dYY}+{tO}5@xw!@0~c)_`~_>i^uVYGwg@r^^HRZVDm1!wU9Ly+Ts3J`sGl+tDWnO zf!krpjfcI5k!c9#xRaT1*9V6KRba28jBfh&94I~ ziGzlzLOy8z*MTG&G@2`1euD;gh>$^J$*tNOwBzESVd}^lG~Dd#*{GCg&}i;x`3)M} zO+p5ZB|BB4qcW5UXG@46TeuD;go{&Lf!SC7|wClt{!_cxdXqcq+ zY&c3ZXf(FG{00r~QXzxJf}yoHXwMM`4MUCAph2k7yVE++pwVFC@*6a`qlF9_i;mUa zpuNm|CpiQkdx^29dqb~rNit?Z_c{BUUBt;{X4KN!j&S!21EV%g$E+}77>%$-42%%t zw2Y9T8>ef1#NbXD95LoW*WMgkD~uSPUulgP=1D!9f0E3xpgXZ^eZ=6d8XPfpQfBRq z*g3+8;i*2>h=KXx-S3=a#DZ?^uJsXvJ8*Es*f}({H)30b5ySd;YsA3zFg!a1l8hLw zk6(VK2i&cLBgW1psl5^FG~aGn9vA`nVaWA6NyclrhCkrW9vrWljeguDj28w!_;`WY zaavZ#a*cezT|hWqH5>SNjWAvq_u%6NM#pK{9LqK60e1}Hc-3soRZ*fsc%=C%;T_=c70~gzW!lI7)r8%SkP;g_Ul_N)!VP3R|**R z>B{ul$o|o}QE10z?hecwYHZfd+;t=Z$C$?%8B}S~Kg`!BT z!zPAD#>XMn5l*bRnGz#Q{=$_avAj(Tm316qkXODIg&_dOrY1^^tXB(97Ks@)F+31G z4l&r@97e3MkrE@ycHwG~Si4OO)mj{4?cv07IZBLZiiK;(C3_{z(31u>b5DAm*vV(Nwn)c^-PpdO%_;Yo!XKr?7WKT7hWoC|gg!L!%q5Y^ZE zPW4^tyVX0@yVSeY_o(kx->1G`{eb#G^+W2vs2^58qCVA}ME#WAe_(_xmx`RXmrKXp zQwAJ+=)8=;UN7-T2=WHl!|Poz?U#d|daZ*XMn)Jxuh$kp5GO(y!BwjmK{^-S>>!A) zJtOG3X(fn5oDg9Io0}OyIw#)gAc(#xBk1*W0yxBp5k|17i4ml8<2??7=v*;^p8G_C zIK=fcj9_CUBS`1Sha3daJ75I8oOE!@)%;U9N%V-=K#dS1{ zT0=vIzZoQSLL`PMC`!z8%wPbRxR!lj#Ly6H9 zbzUTffqzQO8{hIDHC$7}h^5n%7+qDLDiXt(H6`Y`+bV!FTvx-0X&NO)SJoRuVyILo zvA8-VN~NeOB}O&MvqfU4P$;pu`Xox7C<-M;waE)aVyH|gvADVc6RD=+6K31B6658p~)cC~IF`dA1jeZ2)wWW7{u5@B_Zenb7a`L1; zHd!2a9G`UR3@Cr~?F^}Py$oty%h4ftS?VhS zdYuA#pl0HuM-w={9VS&G<>+iEdT^65qDORDHPQQ~fL@1y9_Y>Z=+Sh_5~2sUAR~H2 zIad?C?+WPU1@vGch>spkZY&{saDy_UM^>9^qW2>KJwrebR<`))(Nx0{q6fDxBYI@{ ztR{Lt6VPiH(1WEqK6*6pzl7+)&CQ4&u?MP&-fzqNWuL+*_>a@{s^;3CIHj@G~}A#!k2H6X`o*{X-!+im2~wP1P<{QcO-ExBU> zw_F2qtfs7b$lYZlhi(N!E{RvMcoR$ROu)_DfLzsmiBH+cp)0|VOXNu`bpQo!^#NnJHs{f^aOZ~R`-|BbN@2cNZA7u{E$YmdmT;35Bxy%bE z00@!GJ`68L$1Z8JojUy+7QbwZ7r^X;0H*F2z$ARcaH#e|0JChp!YJnN1tihj;7EGx zjc_EROpdbknFlj{k;GsoAQ{W>C_9pW5s*algCps&Kf;lWGC`_?BnCDC$ykO+*^x{M zNTNByk@VOj;YdcAB-KF@gPee5EW@PiNTvlO(LCWudhC;MB%@-T)j<*io`7U5L#6CU zHVH_gxx$h3*el^kMwu+tK@x+XfMhJgrR+$qF?(qQw2wqUZwrWkhT<3%1Fa5>7zjls zmSWxhh>apfLm7&mXlN*kQSs2~pol@yzIZ{=vdiKpZ4@yw%24z~Mnh4Iij7tWMGTPk z#S4&@9mUVtC}Nb9q3DT{hN2i1C#?>O7%c6J7c4D1ieI!*#E2&3-hf1v)4`a|_c>b>fZ)t{(8RsUE0nfi0}7wRw7U#Y)Vf1}=QUW@))4vRPU z7A_~pu)g1pzYaUfXY;VFGUo(>Y!ul$I(sFLzaE%6f&bd6(+_R>NLZoh*yxmg1PV!y zk4_ycj?)@b^0u8i{)zbY#H>C&Q<{THRroE$5*yiG6<{Q#ZT3cHMJ}z&XSA(jW5^k2sAcYBfzY;nizp|Tq6MSTx$ftvP&`o)U>PJ5y<7N5nxg4 znizr2=1H`L8kjAFn-Sk@#j_LOPvhCO3x7j{z+%nVL0@#DkGnG&`kw8Xp>`;ZgV!$l z*(^t&l@8a0?0?;fK5kcK=zI37hN4g7=e3J|Cd1KZ<;OLF{0d(Q?I= z|L}UdL1BM){zHtxyF>6+4kV%x=0QT6EG`%FTlIeRck1ueKd66H|D-;k{#pHt`d9Tq z^>6Cm)l=&A+B?j9(f?2P@9HxVl4r>@CuH>a-A|E+lXQ48q`!EiG(R;~)CVUf=ZbI< z-ROzwNjwvyZ?ZIYrF}0QQ52WK+YLyN;NOc45^%?lo%~T}4sV$wt-AR$qdSKe%HhLq zt6_I%u9H2?cUev0W3G$8pgnK3HsEuv8@_<+@`^K_8=$ubeFIT_JnRubfpYgP?xafV z8V(l*64pRMg>>BNH_4E!feeY0MSLu;gZt;)Y~mNnx(+X^_=UQmlYZf37r)Xrb+MPZ zSjI2YN;kQiF{5n9zp3LU3jfAT|E__3Sb-`(on^eddf#u5+3kQv(D}sUTpErNX%KH0 z1YFp!-HpOwhGQ;yXMvv--nHpH*Wdk0WL??}*fWxWv=NgRiI|`==9qZ3aTq348%Os| zxHV_7n80bA1QVuKD}c$%%|@mW2KHez@P?phUFQ;1P6HPX+LRvrQ2X7G|pe9s>d%7%^jbcq3*Zcm!R9Qvp2S2v)>{1->eP z$K?VZ7{g+Ccw<;0cm!QDQvp2Sq*%m*g`z5e$KwP%Fsj7x@J5wF@Ss7Zswh-A&=&Dv z!J!J^aY(=e<2(!xZ=5Ft4;tpF3LbFoF503H(p9%!aAJd#kUdy6k!1+jiUSI?lbN@uKaQ>joT?d|d|no&#SO{s%9_ZnWe7@&AB- zN!h^u)uzdcq$%uQ$V;=5zOFU;6;Uk^qEn|X5g}46h?I<^STvPvL$jJ|ZfLZ7wM}bk z2G~{sY%L3q6~V#z!ol&q)iwkiIFB_RIB=x~`LDv@d?^_?yjKozK5cG5$w{N+pl`Q> z#d>x?tjFWf1Y-l|Psf91O?9C8T{38RuN=_)T!04MJPwWTHLbzWz-ig>plPiRG!G_& zhWE+=%`XIK(BtFK_}+&a3=N#^9S@pSRe|P`Q^}y=y>dWvw*U<~e;gX$i${Z@fs@GN zLDN(fXugyX8r~}hG#3fbpdZMg@x9?Q7#cV?Jsvcx zNd^q>l>?aPn-4%|si}u%FayT#y2D^#&<56`YUyv?|F)Z9} zmXKc!o}f!Z+&vvHq;u@zi=+xZO*m0FDut2&Uia8E(N~}}y=!+A!g#e#D5G$&2+$so|bK+VE`Vvw@wR_!+JcI^)Bwc6{n*K2Rk-l)Av zd$aZyZJT)!@o;(v$&u&s9vh6jS5Mk;+FqA4da;)zp{Az_Pqh5lLg}0$$%Twg-EVAniTUvC5uqE%A!(6*T z01Qd;Ji=N932PB;!)OWPJ#zr|8UZj|&954)fde_X@fLY;*Xux<}t@41iI23=hgz=s^0DG7D2utasd2tz4`UZGi zr=jz$s6{khJf>86yzWbg7w?$^udMlS3okT{c2m4S<)4PeH+YaI8ZI7CsW@C9UCHJn z@0kOx&E_f#F0|?xT%hnzL*H8wj!3vPYb}Q)s1@Ug`dK_10>mjkKth~jRY3zt@a9Hp z2`Td9Y(wibP_fKUlqNZv2e=?I7pGNp8)AmCb;6S?*D?^{$wGvuA^ZQ?dlNXhsxoc7 zx~lF{x2iiCmVg6l%?vUyPN1th3mMHHLkEKylx2 zK?D&M6-8DN755!Ca7R%T*%UWWL_xv)-{-vN-h1wL?zx?+>dK_}`Liosx9@Y$bMASU z=Pd90t;?+sSXWqAT31GI8D&*|!p!TytCupyJ_47M>{m|z!@bne@gYp_#Bmo;KG z0_SV6@0!Nqdf#9pNYWZ?V^#u#jc6%uuv6Q~!gU^rW^9mlt-7z6ZXmvj>IT&hTGv@0 zvaYvY%rUmJ?xb~Vg0FgC7;T?0GTOM3sRRn72qo{+c`}gsrbu{dQMk2 zmO&k?&;^_A)0MgmssLTVx~N>bXpD;gdQMk2mPY-(LKkLbe7aJXMirnd5IS?|@|Pv` znyw=wCO+SK`Og(e;eMmg1(nmMD|NY40lEU2GncMtjNUujb#p$j^b%c_oVAA*F&hN*|bDfOqcWmN^p3IxtvvZC2c@7}Gh zEVX)vLKf6bNmklYs{&*N;$|*c{xYW?zgc9qibY-ibHxT?_+}}}X4>+r0%QfsW-eLL z#II*>78$K#Wckk(A?pt1&4Lmm->kGHSp~>y`Dt=4S^jdU9=}=LS*CSkyAjwdg!8^; zo+4@%AS+Nc^TY$1z$Kt!_r20p!k6JfaAG1DgeZu;r^(pJq)@Q8GTA#E2zxK1~X8StF9={ef z#74?3P{T{RPG}zTl;-#uljHcW6)rD0c6Q5-?M-oDIZ|SAS~ziwTa+wHz?bFac8^2mbaEFWLVJVjZ8s%d-V02Od z-x*jf1?z|a3)55vSXj3uUyTeRX%{}e;gwq}6|9v37M5-qU}3$M9*cIk1B+K|tyZvB z1X!5gHNe6;Ej?c+wJgBGJedI&)@AA2 zMZ2ESE?${+f`T;}U}0&N0T$L{>9J@>F|c?=)=3K1M1Y0qWdkg%!_s5XZe3vUO055_ zV8MLw_`*^w1FU@GK|5iA#VfGZD_AfcjAdv!@(l;=G6fdfjnk$(WH;b4@H+x~Qq*M_ zv@Y}xdO{mtu)b)0$-2?{vh@|~tJc@7uUj`+H(TGZHt~uxaki8w@K~M*jdNrrYAakR zAlKc7)>+E2IE$IgGp&1xo9FQUWWzoe!9?^RF_XDr1}2Ek!SpBU&Vu>!+42xwi$S^);V~N z!+313J1QO|5ynd_$jQKi#m>RAZN_7p{Sp-qngZh`*4Jj>!D{E=Sr+55-F~HthoqeG z5(^wM@L;)f@TyhDW83|D6%TnW<0aPBX5hhk=ipT;jK}u-d=(F=A>$?12W8;Fg6H6s z%Z$f1{B0^8vMa_*tf$SugB8!g8yR6dw&U+o@nB*Y&(y*g7#LbJE5f3MN#B*2 z!oizp(NNwN_eSfshF~Z+%V6Y3Ou^tvv|y+LJ1s;0GeR&_Wo0mOBBo&QBU&&NOBGA2 zsbyz|U?^V7VB|qe!Qe!+V5liNErb3ShhV7j$zbF@Ou^tmv|uQ`InAXvJFf`APzsa5 z$ak26!F_1KP@!^KhW)P#!B8=h!N_r#g28ua!BEI?TE_iv4#7}Zk-^Aon1aD^Xu(kL za9Rfb7lmLjBn(C_!xSvnjG!zaR^nrvy)y)Z5n-?bHYC@CpnBf44E-;g9wB#uO&9bl zLOU`N`J1*c?k^N#;}$dU7W!-8D|A=P&r|%wy50Jzb%%AQb(eLwb&vHk>*v#r4n_g62if2FkHfG=-^bpkqW1jZ0^)TIQR{Q zOZW{Poa#AJ;gs6OokbxWJO{%iJckZW^&P2jN)h1Bz9AfZ2g4rXe0ThfFN zNxw$*A!%34&xicV`nB~N>pts#>$leLtOu;$TMt@)u>NQ*YQF&1HK6vA+pYGQD|sf44u%pbkOiMxzMpHT%9|k(2%AQp?ooPLiy4`!w2O;$LcS2?u$Z01Y_ug2&RLEKgxxU6(8z67=?x&#?T2pOa~3$ zlnWiJywmw}6dKYPLnov$9W?w@E_AHyOlKqt4V8?c6DpYw8a^u*I#zR~<3yoh)EK&$ zO*4Ux6&&e|MxkNQ7@B;Uxji#7XR&GRV$9F(cC=keSq)&&Q}vV_35 z%-RPt#4XCwti_nWWB_`to?69=YD+NCd|b( z;z)efBq!tD*}`!;evORyiJN?|HBZW~Zt_oDBM!os>fP$5{2;zSSqycL((1^+f<5x$ zT2#wOEp$Qeue0tbaTtzO8i{Fuk9&O3GL%_ZLS+_`4=Bni>`!GC(jF3DmY+z4EeszN z*H|{D54XD-d_J zT5|cw?ZG1VuMc2y#Z*k+$=ypO7fvl8m(~R)4sFnq%jb6w7PiS#ByGVMFLhp6NtFbT*_+eM@$mroWSEOPhRm)~45$<%jp zC)+0>S_sZqzFGzg;-HOqPN(CRi|7m%wR`RDw`&1Hh4(7VB25mMP1~HLW0uSD3>LGC z|4J|`R-yF$oxN6J7Aio%Y}!619kX26XRw$ZTO^nj>uvhZ>?agvA#w!FrfqrBG0P=_ z28-Fos9;vCEb2S6H!92`DGQiQ+a0B2b`WziuP&GsYpD9p?6=#~fd@lIcBS-SDI2MD zybfYI=GFwS3zLnFE4(5Z^Lb6#bEV^T5Hm5`5xg!;BK9POSL9(nuPNKJbi58?5>~YY zuM3ld9i#Ay6wK!}Wha-8*Fns`$`!%u!lYl%P!%{lqEQhz7#Em z+xQ{h9Q~}@vF&smsX}FG@o}m6%zwe^>6V$#ywP-_Kr(GIu@1MsDifutYJe+Sr~_KpFyYC#tn7;8TaUx0(i zv25jq{v!Iw9J9e2z;a{nny=JP=wj?1;*m}rz z-1_+99fQ7S*{*l3S87UhvGt!1TMucCTc4cN%)=nR_|e7Ie=lr3LO*W(JR-kd2YyQJkuJ9WM`7y` z_Hpay5%u*t=u@hobg}h!gsn%&$E}}F#3yQG_s;4m^;o*t`k#lbN4UqWpGUOU>tIi* z4AaHd|7M!<>rxe&wzj~GaQV>{+OfPpP4W8_SWj1qKncu9;{N{+v zZ&qb~(;CnIs+Ii}*h*c%=(RG}HhL|vlJQrWOwfwR8okkcY`#U6o1*)o4}84;YC*L+ zm{hC$S3-za2a|Y}|61VE^1)aznfq$s(#pY;Ca(uBtscA#m*%}9xYVjF#|li_uB;G0 zoytn_)2$pKe%30h#80o<8W$hx)mBS<^gaD;A~@&4zI(ESe(BUG+E7>>~5BlKUD5sC~l7@?ToONLbD zBa}1B;RxM0*PNZ(-rPasa8LB1`*3(B*RTvnXz>xcNg1I~Is6ftTC=T)4`tP3I70XE z5xRJ9;X~!j(QSN&ayVNSpDYVj#cMCYB(dfK!L7)j?GMt7Dd7TxbSP*K2PqBG#e1_S z9V*)M9;9DV2Pq`&V34NI3KtlpLsfe?NNJEZ7V#h*%G&cDq_?);9tua$wS%P_bPPvw z18IRVI#jlYW0c0ITjw!4l(y$RMwcj4PuSb;Pd%kh4V#Zp-swJ^dfLN0P*hg>N7~rxKYCsxuvK*A?`eChK|^qD`B2*5YSb89?Uw`Dtaciv z3jIz?F9%Yn(|E4Xw7rAVX;ec*bef#p8oW-MQ9BJ&M?t4iq@FA@QmE5-?r8VxG^$M^ zI!#V?3|^;gQ9BLpA?P$xDU;<(3UwOKCG38kMm0}Fr%Ca9@H*|k)lP%79CR8cX%icc z3UwN5%e!BvQ7skGX;KUwyiPk;?KDV@L8qmbTPf6OEH>_boklfUM5jr0Z16hm_3bOf zA^3PoXis-(%eWNjGnSurzb>QNFOrPG3>__{$Dl?8Jw`!DD{(Afksf0~V)yGYswsmW zlNt2jjj_c_kKz2vpvN#zn%MkPq{sLer`@l|s8$VnOxCIyydFD1=`oz@6Z9B`AIbgB zMS6^n_1XP;jB4PZ$K*LQgV$pRD?J7gBmt<*T8BXmn!`Q$;0n2C?2s=>a8O7aCg-^$`#RH11oo2rSuon z4!^(B${Q8wuiaJZpqfY+UjwUj+#n7Ww(yM9TkABFgy=0fNtic77HlAubA-X1RD4P* zm?G3&ff>T=?+qsi7k|wDxcv$HllG_VPuridKWl%^{=EGK`-}FM>>KSb+h4ICY@bd$ zY4>%GJ$~)K(1hVgxg~08v~Z~Y3C%;E(i}fya-9BawWy?k4|F31FInI3FxjKM_I)Hy{3n+(BlWV{L3q#kT^l);3{ z@0_lJO@v@D)+S&yuPml8GiYoy7)kKB0ZD+d*HXedu zHr)hlTo2}X3??i^=LPMJcpGidu!^t_7cb)~GpJR1q*_hh7H{G~3eXV&5SG9iwCM;v zkn0K{p+I&1K>=DB0HIuo0nkc4kmCp-A)Iu^6`&OX5Q1?7pcQ%`+ZI3~-|wtYfM77V zj|}Zaj=8Wb0VLAY&XW}&7z+k6v=up~qFNO|Y$=XXfM6&X$k0yYn2Ab70I`*LngRqP z!9a#KBF99O%L0fk#PJFc3hW|Bv z7Fh;M*vFCblcEmW;0+Ibv&Qr-{+j)D`zHHl`y2K*?QhxNw!dS4*Z!V;i~W832llP@ z5AD<2n_-{a6HYo&xXVg;B6OE0N8BZy+9chjEEpL2OB@Ly?m&BZlMXYyIoYX;BY;pw zBw#XY%K*ec&;gE(NkAS8mqq}Q+DpJhrIl6&AO?gEaCB4x@|bvk1Q5BY1We{R8GslV zI>1Il0`l0nIs%B~N&+V8iDb19{!<57uS-B4BiBa&ksV0D#DcUeKnxTepyx?I9xET0 zE?c}~n8zCr+^ESr3O}kttJNI;&h&*Io>{ZWD!Jt_)SfaL7M$6z=bzqjo zVC-4XRKZ|W7|hhBxM;Pwbo=Qu%?)!~X$kF?x!H}IW>0GhI?iaGLiajk&5rGJ z&so2H(}wk%H$T6*Ve`!Tt#nk;_POTxVTaP2gjz-PoF(hPWJqCi1H^G-kD=wSsShL8 zx;~B8x_0A%=w_vx6!w-W?2(xR_(^aYT2Vo#$Zp_GP~42_P(RAH>t2k zcGH|ao=#an_NWRn*b~ONpX}YLus5NwM~=XpJ)YcHK=!DDGT0N|rl0KHuCUiq*dxzo z&K^%SEFgPSeHrWt_t{VO?orqqSJ)$0ZO$GG{|m?-Rc;1*A|B``d%tQghtIVLd=-&* zKD%6!0FAlhwT25w9aVi6Pv2*M`{t0jBSPjV5i@3v*ZeIYb5t>!n3HSw`sZse3Yl9O zGDlgZF>}23ZULF2YSYA=TtnAC=H3}Hw<2VYQeDG>P^h`J&*We z$Q;rL$y|{vV!@LLnz1A1`kq64ZTeA~rmGS(@pfcHyiCR=(OEiQwu|eRzPuJ!*8=rY za{;u=%&+ICT>i$s&%WROt^GUu0sHs%gZ3ZnKiUu358HpT|7<^EKWZP;KAM%wDON5o z$x<#SloJ3H<#G!0V!n3Cm#uE{Pn~{wn3;e%MFM6sO~4fGFi5D5BLTB(PX!h8w+fR8 zH+&`&@rItsypW@7&+LntsZ2u5BqoglkFGQMM}w?7)P2sKo)m{3EtEavH<{b3QJ=#-f#+VywwQz45`MkR|0WmL;zo;KPa79o&M znF*v_XYmUmi%>}=iwTuf%VM5R+8-7nmQI<8rCn$7t09X}OeKp6#Z=2;o@Uw~79pHY znF*&|XYrdMi%4fAi^Z~;!ANExqE4BKs9k6AmXJlHGLpq&nap4$G7wa!%mmf0vv^y` zBGMSiVzDe{Fp?OEt5as;YS&r3Gh`7djAXH329u+)_Qx(lXr-`wLE^=GLl%*~NEVA_ zFN2Z1Ky;ll6J5LhF5Wl2Qz);bK9$RBG|DTybW(Zc*DO_gr8~xj!Kn6{enFtUrhPp> z?RBU9BIhjUZ08*3#m-BdbDftuFLPe*yux{<^D5`n&TE`T`-RBgJS^TkZu;rs7}o1U z{qW9LhTQ;Ii+!KKpX% z@Ibu#-;A=4?W&Uf#C}yR`>dZ2F8hw-voDt)4+Q(SMA^swUCDl81Fx2S*4+n}ecSfg zmrIxjg8kc~>|;Z-WIwT^S<61_^@Gd4W%=yOWzYk`{+&_wk^V~di)Fuqp8Qs;KKp}| z``#O6AE~cozgXrw=!tKo; zE9N5x*Y1yu`0Ni-zWe7W`$%^s`^B=|K~Hu|C7=C4%5_Jk7g4S&PU3-DUWv_rB(vS< z{D(LnO31|V`43ftk4x~u97xVZmvUo3_E(i&G(S(Y4@Zh<{49Q+JLlSKweqG*&>d(cSi7zVqOeUL(FRDwH@fXqN z;wx+ao!DU66Q@e;vO_Pct2J=|3#Br-WLY$#ebVw?xxN4kGR)WF|H^d6miujIqy2 z(i-bHVQZZKY)bLI`Eo+1aSBePS?vKQ=e6t7ARK)>)WEZ{)Ibd*S;d6noz(?G=+ICQ zBA2lCfR86Dd_bR*d?fWb4If#hdV0VIooxy}q|DX>K8{lOfMO>3NGfI;KC%ko^nedK zf)#v7;j0IHJWb&P8kXcEsbOjO$SO0_13u`aSnwgGs2=cfyut@mCCNupRnqXmqEcTB zDjjGGKBPF*13pev_<+tM`AF(K8a`O&=?fop?k@O{a!n8T_+JViP+lY-N##Yu2MaHK z;e(C`1|L#D=>Z@ASK$L%h~y)wg=qL-DWora&?&~?LrNMw;Nw(<4}__bk77}($T|AL z2OYKyKBUOd13oq@d>}-Xd=!dM`xv0op_JgGck$^~v31-MsaZ}2Pa{TV@N50-WNL19 z`_{S5lnUAbk(=!IUeIyJvqd68HNb!n(`SK~~kW%GH|l)E%4t zb^Yd2z2=P6b}n-8UFo2jTYG|KdrPcxee@m9JDqnqmpbou-s8O2xy*T=^M2=Y=L60a zPQ6Vhbe5Jl$DOeDXt8$jpTcFFlZp3YJY2_l+}y^QFfXUYi`04t&+bvKOaG%AmP)Z? zm)bv4d7OB>Qt2?OTp6({@?U7nXvIErasDmxt^h?lckVn=u)q{m0*WfmNF~6mxV6!$ zH(INQPqox)j1p~QMB5@d&R-!1{#KHcUa4I}4xOQEM$UnKBIo8p3A=sUqZ@p^^hn?30sPd0aydol$H?&i;KO=aE9>_)irf z=lLo*aLYb9sTIC8?DwW;x&H_)irf=P4>V@X zAT9aSq?U%xriM=FH=|~8f2et+7&ZP=MX33yLJd?VpPJMf%-PgXJpnUn#`;3dkvoe~ z<3Ck|n#&YwAUOHdq!v5QriQ8?m{HT{3pF*zF53j}#=vf2s&E|63sjA+aPTtxj(C z8)F;~+x;Mh%uF#m<3Ck|n7>tsK{zalNh?U3O^k6YTNAjU{Vn(EMj)DtsA#oG47x}p4GW57~fE{qf%tPnp3NDPX z#7)c+*5lG~Z@?7_f9JIdE^M8|O{nqtxMcA3xO6xgaD|fId6R+*QzCJbBPsX2 zLR}?o|I$%nz!i#h=fd_J-e-$`gJe}C@=cUCjqC}}Z7pT1dQdueiy`P;K03ri7RZ>% zs4TCgDAcBHC>>Kpm||r>=jkCP(ksSH%;D#@6ZP7(m~^NUVT#%J&ND(x{p%s?(}7Pm;b28oVtAxySMb0KD+LN@1Njq>`F;tRrL zTeKs@48*-=aZ8kIi0D`l!el%2-_ul*TtpM}D|&H{(M1z^mLVg&z@6h>Hkj^GMp()< zN_dfa7Y;8XfmCeDOT<{wDX+z!c0S{L*7=!|huo zHx_@0i7H}}z*Q#0{3nY9^vv!4fCHYLfCl8Z>YnfzU__>{cyWI;Y%zGT*Oy6EjHHXi*ai_rDg z?XiF^M0b)dGWfe-?*k1hmo6)?w6wG)P_gp<%q)hW*U6k9Maim8TSN(pRdXa%DL*3& zw041&APmLZRL*Y$l^qsJS9jQuv6>$sgyCqYcDdhlzU6$|`Hu5l=X=gA&i9@B+K1ug za0CyC2mU!EA|>La8pCqESx&?muDnv(Hc@KqddQi2lNi-0*K{xB{Ig0KA_7TSM$BnW zSz1Vt(vspqXX;IiDZ78ygU-KGDML))Q!1(CZ?2iBkWwF zQiiBNQkD^Snp2h*7o@g!q~eEneW0uxf#-6SG6V)bW$BTpIb~_FK?Y@hfY*Dyk0yX#T$_+kKhq0NRpKtJaYb5xDY1amy#;gRvCoFtC_)KkQ zBKS%qoN$b{%xz(qK!Dn)re=A((zi!9o z%}Y<(a`@W0=gnf{J8g8BqwV=Ko9WD8D4${UiSq{VKSC&x-aPI zL(e0DnJV5HFa1ANB@CgbBrJXDe-Xlh*i+jQgr2?(%LXWTbakgh&OJ;CySWfy{&Pig z-MI=^#X-hMXSW?$#nw?bGmn+W6Hff;(Kip4b*) zfJT{g4j7%`$ae$HU}4XLzFl;#AFz1W!;uOW%nA1wwmleNr5?H+3;b;X5 zri8H!ElIv1q4Vg_F1902SFm747|YO#>kU|JL!O~v!GtiDp#{k|Aasrzu-JZ_ ztYE=>FqWzH$bC2Hj51)c?Rchw1=GP;hL$7WaM1Z*z+$_xVfv+HH{k5?I|6%B)Y%)n zjiF!H4}itLcOG>9;QY~f$a&cLlk;ci5$93oFV0TqMebSd+3q>+J-mxUoc;|bTPaV3 zPByZ;s}-)gRSSL!FDvI%Q7wzC3mr8TceCOB$(Fr0f{C0}VkXmy3``7=9L%vXiOFN+ ziU=lBKZ%*x4V2YR43r$q(NT%XW95SpOk_|JGno-&v=aj+2eZ+Tm^@}a8o@*&A~6%Y zfwI~OubYEeuS-lGJD-YRBA%C+$;dUMoftqln4Tvwc?^9)`g-xoLE#<`oUh4S3-6l) zSgSey&FP(;Q}G}XGhSk?bq1^Oz&UuX%XsXAcc^&KSQ#&|7cm15UN{HOaTt&N@PDg# z5GffisfwicAUtsno^3N8`{J`yJeVEEOYA|+Xdk?B4xVK(9{b~$s(3ItjAv?b3=B@S z%6M#VUajK6+%TT0wJ|U@l?vmrt$Blr2UEj%rk2LQ(3H!J$9Cod6%S^H@r@^Ae$^V?_m68Bv9rS8kzm%FcUU+KQeeYN`<_qFcp+}FG3xo>da=e0$_P?@<7k zg@80>jR7%kwSaVt0RVZ>en0`73;}7-8UteBY60o|0s!);y;cF72mxu-8UteFY60os z0s!)`{fGkC3IS=@8UrFe&;rtl1pwr6`$+|GJOrc>WDJP0s|BQ^3INCh_w()3@s?Wj zn^lB2>4ycTaEJ`FXmmz_xHsO=*%0iA0E}V+Q!s=DS};1CfWSB;*dBtd48SNLFa<+Q zpar8-2?&hiffs~eD*`Zz228;a5NN^ZI06FWVBkd|7z_*d8HEC-V7X?6&LJQ$js(sP z!C+Jvtbk3)H7Rre0fDhac~uAogTi11>`AUUp_2y)jIGIeAsCDagB7qPxu%4U93U{Z zByS19U`QCOh#kp$LFlXj0%I$3aR>$@!e9k#NUjN?Lk0+pEy$(QHFEtpvWR{~Xh%k} zbJKS+?)#O&5chh4=ht5YzpuMueqR60?)mOp+zZ^dx)-_^xo>kXcHiz^;=aRur~5AV zQup2Nd)u?@^{3eDi_@!8y#7SE(pK^MQ=4bjZ;kqW)Q)PV`F#NZtNH{55<^r%CdQ~1 zQXQtLknsEj$>a2i3M2-qgiMT7Eu=bFQz7B|36e)^OM%32m5_9h@2kq{1Nzn37Sz10fuu0ESCM0XjG} z4oHPV955y0fQLgk!~qPKhy!$RY9x>fhe%*bMgluSxG=>?L;^ZEH5N#PLo6^QV*x9K z3loe&b|%-%AR3sG(Lg+y+aW2N)V?($wu_$C$a?J{&f+-ml{M|GPz=^1UUaNAfNT#`M$%+f;rg5*B zhzruMQR9NNE9Ms$yw|*sX#7UcrPIP= z0O!JwjRo)=FYFhCCztNS(|9fbj{%(vKROz~bL{Zg7(BUmAD+f{0eB4XT=+&Kfaf^k z?_%&YAbt3R0H`G&13nkNUJu}T`LIW*#pTxi$zp;>+SNfa7W5<%=?4vp9&7us=r zXx1X`jzVL0B8WrGp%I7VLff_v&C0|tqtKYBVCX_N4lzkCv}O6wtW*3p3Jn9t(1q-q zk$J0DeQ36Be~d!IxG{7g+h%0iDit4^E!(3}Xc#tzE@an?%v!7xeWwzIhEZeaVm8gB zuVZD{JGCe@3>rfhvS&u-ELMZOvuOGNifgcnMtX9>UK3F~%!gP!wu!y-ajzc4H~H5g z#>u;CesRu6+>g39xF2&r?ta4kr28rN)9z>7&$^#;Kkt6Q{i6FN_pR*~@w0@=w^6}u z;zsVf&MpKVudS9-2RybZA*E5g2givkG8&VWhBo(%}Ut_M_0)^?t&0K%O!VA7pu1Hzl@ z0o9tYo&To*!ih6r(urpS!iVbt)uOANvlT$NZU!u5Z*l?Es-~TnDS$9G3|Pe012=83D+~=3wuee`zzvh13y~(}V z{f7HZ_gn6_-S4>Hbss~;m`kO~x}!)8T5`U9nSG6YgZ)+eNA|Dnzu2#JE^)4OKIMGF z`GIqX^MLbW_f775+z-1qy5Dn8X#X2I^!>s*q1TLr;ZEWNkqMOK@T4J4R0L&lhHD0UvPfa-svfBS3zL=md)Kl6H zfXNk!VBg7Iq>>Ad6_A^Diiwt7t~)+h}b!tT%U`efiC$mMwro8*iV4Xd$T6dbJD|#F3iu zq)W%`ssVg&_uAWU*8(tmslqJs*nruzLsxXn9x;H-F8(XQtdP$8ZV;|gm_@=KFq?Kh zi;mfq1IX;yBEhVf8R$E+Hz>?PnFyFoJIY1J?1}+owlON06%!wQXZ8yUvyfl{X46i6 z(J{Mx0Gajbf?1*c^qtvnw5J0PhK%e=>A_MCh|%#ni0PPH6TB`=HnvpZ70H;-Ysy(N zI$j4c6SEz`>%t^rM<~1^5A%6VId(?J>mVj!RZH-?Fge(i!YfiRpVyQVYIM8~V*XXG z2woQ^{W@OZ71@{1Ysx+`9j}9!dX+{5uM3lT{rmLYB=A;q%LWTlRe?4>3+-#Aaj6v3 z&!Uow<^m|+nP2mSGd(2zr>hqHefJ0Mt?m!qAGx==KX!lO-tPX?y~DlJz01AZy~q8T zdsq8Wy2E~=-YR0B4$qaw=bt7}1JswIrMjFb7c8Qmbvw45j^nPItDE?^RD9;YU}<{G z%o?8P1t-wwrThWaD2e3?N34 z48$kIOc>xeMe|g<$bee+xQh%tpfG@FLNXAap)+BCBZ}TJpjKe+A_EUA48ZS82I5nO zCJeCe?;QhbE$A*Xuv1|G9$GRGpTjg^fW36@7*MNHcaZ^0VF12VG7z6sHDQ4LY3~?N z>tlD3fqMI4_yUx?#cGllrmiM2Xg|x1y=%Twsk@7<|4`U^NP^t@q{^D>2U!yAUF(&a z;azO~$HLY_w&T{v*V!2KJOxSuzYux(yq923Sv$WQ`)+=??yV&|K zg{?;j$gQ781jzDN?^>@^bnjy8zaF+8;UBkt9?@T~gFmIVd>32)?XdL-{kZk>i2QmT z_$gKHyV&|4gsn%|$E}}7)Yt2vkG8szQ!rfd zwbtJqwjSXgw|*YcUax~a+6YLkmpVw-TK~)GDk<|-x-+%?m~atVDgjdANYDGzBoEv+ zp1%hx?xAU9T;NL{sb9};js3muFWg_czjA-={>Ht}z2E(<`#bjm_xJ9D?jPJgx(~Ul z+i#=Oz4xhlhBcR>3H)H`u0$v z39LD$m33JQm$eS{#PYpkCbBM@z-1GMdRV!)=9tN>%O-KzA=Xnfc8Tncu9+{H8UY{S}rvrmj--T3{>VtIV~HUJI;b{8c6sv?8)bZ!{NX zw%oXCb>QRuR}1SL4kpzq|CJEp)xjiQ<-ZoVw0tlYOy<5CxU_Qcq{-`nOREPj!=-tz z2rjiM%drB}wks>dPp7g{{B$cvh@Z8}D)G~+w#LPWdbQOO9~#xxg!nL8ZHZN!_E@#G zO#Eh1wI%LaI#wB>h|c{Hnp(fO$Oz?VJ-<7KBXrx0_dj=p?tg$7p>plPaD)~gq0`C; zMFts+P|WWoBMNgL${FQwgof+yy*<%~?!)1kT*ERPp~Xk&smch2%HfaD)avX-d?>3P z!x6fNkI=F3o!3Q0Q{r0KK51qSI*)gBH~8l;UyJV=MK_Pht_E$z35 z!Vz@sVChEc#BhNzI#jlYW0c0ITjw!4l(y$RM)y{xp0KywpL$B28a5xHywiO+^|S{~ zJ*5e|a%z}fEP6qQy6!x5-LEL)6Q?iu<1=+?*nE8QSq#JRxrdKWIW;U##2roz7oQrw zEu0#LRwN5irB4mh@GLkrOyyRhQ^OOb_~cn)YB=>0b!s@}0@JDCb80WHy`*+-?WMJs z)m~nEMXlDpT9oZrchb7GqS)x&+Oi!jrECX|KU;dzmc!T1J#Q9UOE+!XKDV_QPLIpE z;kBE#tv_Y+3~k5tKW)Ju?$~npM*QJc`G<#zt%zJoY28V5wXCWnFIKL@{#SY3+Sqlm zJ7#W&rH!pFZEQuONz92)W>T#WpAI;^f257A{-ftL0$W8#{+_nC8Z-pgmJg-NK89*!?<!DTWSSr=6pA8l=Xc(@1Je?zAq{X)HGGew{`& zT12Nwb!_lD?KSNy#3A^2N@!1aY0J12=`)s}cE2v8+Aorf!3-VCN{>N}2zrcykk&2* zAuLGjemzDtWzb_XgC4vwHmdX(PH_r)4D+PB6oK$DPP<=^QLP&En5Ka%^Mi&#ZI)@S$YF{*)s9+T(L3|^1@ozi1iA0PA>#U8E12A(24#_QvEzaFF7 zI_NQZF3I5a*kSFA#60_HcLAJIWQ&Ujr+5d_d_hs2zTPQNXcF@y6~dbx=(tjIV)JIzB886}Iq< z)LZMqgLG4SOHLBz&5#8fNaY-1FeeqCk_x5>byr}9F#CJM3Btv%s=d1Qn%Zk?udBVj zc3$lbwKvw@RC{yn{MuV;7u4QbyRdd;`*hk#yRUQX@oWEuCJaZ)Em2FOg+ujEh*%ja zCn0&@%ExQhZ(rYREthj|_ukFf2m;OAs7aV3D~$E%<~vbSc=Z`+8gmU+Mr<-VI3}B##LretMo{< zn!GLE#J^F1jtGEoHj+V`j?e?St^g7WROjy%pp^j-%9R)Zt<(cKjsOzENoT17v?2gP zFm3>}LJwry0!ZZhok;}<27~*^&|c)23(FEfB2DeAQh;DA7|768l~x8I280f9 zbW{TJn0Rjl5V@%YOy)TmfEXA$z(zv?^4Pc{0*K^F0w(H-WVI0fQwLbDOF$kYAB+GZ zJCK0MSTm!A7$`bG&y#>WRz50Sws^-dk2fB;QImHRepH86t2zFi=?&eWf?*1Z!4k&| zW{?SAssnRf24io!Nd?2~349Gde5wx2wi%4Q z>JAkQnl*zZYFlNr41QGyW?2lzp7p;~Fc=jEGqovsCZ$?sFt#XXsbDZD3}$Li^2|x4 z!eDGoUaEq@m@t^BEy*(_3wGN&H zEcBP|{-{mcY4PlKx>(elI)3ixQ%{}Qu$@-89zWNd5ubUDEG}9tF5Q0mOmoBBR$4;4 zWo~xkrrFb)f{rtqr_j9)S+isN+;i4%-?U-<=FQJ1O2%MM*fP7+KlZ+-lm`I-KMbDQrIKUX3ic@H7p=|RDBuj3HRAg_U=^J8&}vPS8dK73;zqq z9#w7zdmidSi>L3izkNf<+z}yjl!zHK z$7}u;kU6RtP0Y!)d;RmZ7lh2M44I>>(wI43d$)khQMGAePOhQrA9HUHnOhMuM=7!~ zbG!y_0hyyp)x?}!%ho^U-W@WB)I!?1BALa4Cl*xYnwXPo%KFFLG1vE;;+l{-q!g05A{oVkClpj&o0#i+KJnp@IiwSkxgy!bf+rJHnVXpFdoJ;b zkU69hlDR^e#6k-wRMnf9>w6yYxsW-e5t6wgS;T@T5j0~*%=JBo`116lG)-3}XyWb2 zh-3 z+LvozseQHfwc6KfH`Q)yAI-|;6f2jPWGR;u$_W69aybQgF<-mn%T_n}r%t~-%uK+X zA_23RCSZzo7$j83k$~B?r-F+48-+=P8$OeXctg)*UdYk4XZFR+R3;&25|c)ON7tGB zy}~5I51+|I{Gn$uF9hiilMptENuxld>rDPhVG`kp&txJV(KDGBlJtj3h@8ZvQDD+_ zCQAyF2v2+_6Y+_j$vmyIKTJaKBqoglm98^sD@-C>@tI7-D|#mLLYDq83GtJdGzwh0 z&Say#h855$p@3eTp@3?6%+o;oLnDMxG-4tA_Vpo)P(vk)2{ly9VxAt_9~L2sPML|K zU4Iup9*Mzt*FX`}sN5d!IynLyfg7C#%Z2$fW_m{3WzEavH?{b3Pe>6DpR z+I1Fh3|WL?Dp^b@rdk&BG}HdD2;p?fOgQa2i#LTVBAt;e7RzP^BbkASI%OuJcAdrV zge)SJkt`O=WCkOVfuK5NCa89u#alxbk;X_Ci)Ar`k;Fh;oiYi9@KXws9D}~()5-;8pvWWCWvREv88I0rwqU)5I=-Tyn@mJG3h4M=3Q@OlGqrAdP zCzV%z%~G{jx?@}zjB2mx7X;dC+Sl{bUcXWMX6;+GZ`Zz4`)=)fwOeZ6ul=BQYwd@% zAJuNF{kZm%+KKHKB7gI+c=NdFr;B4)uMhRt=;rMS+E(co=TtX}9JhYkvwi*bq}k`- zU#pw^;jre3x`m<}*3ULip@pQUub(|_W+SgL6?a?R#E+c6-n6Z`W$WB_TB%BZOIl)G z?p2|Nl&pi2%BPg2jRid*Ro#K;0Xx~0cKv7bW9^f!(aC=~MH0S}T1`k9JG-5qK`fAVv z6k!$V0S>VSw+9-Hpa-OiJPdZ2F8hw-voDt) z4+Q()iL#IVyORCH23{@uth)~``?l?~FPAV61pBu}*~f-v$$nx-vzC3<>j#&8%ktTm z%b*8>{oAALBmI@^7t4MJJ^8Ixef9?__q`{|K2l%FezDAV&=cQE#b?7Tk>=(;+2R+#> zm3;OGDcAkW^dic2#YsF+%PX<@k7TwRo&ON$LkXEUKL4R=@No$~m;=eV2=gG?OXfEh z^3&QKwL5Ef)$Xp{Q~O!%=e2ulzo`AP_N&^jYrm=8SG&JG+c&YiCZG+cCRgrg_Y!&D&?F5Z(IcY}t%6F{U=pZFqKAmrfXpr_tS8 zG(m!&hqr{_j*rXyUoG=cWlmhR!v9@sg@=N2_~nY=!WGNBW%8F3%Y#elqqp3@!T3aQ zb&EcGE9eU|2CW|-UhY0An_vqI)0I!W;*85e^_dd zsD+z6*FSzsG=1bC;$A{#VuO>HtDL|X`H;BjXebDgOIUlr$0~&n=yQ^fq&}zNBdb(T z5BQ+7O~Hqh*?PdokqRGB%p@O4#Z1FTRw0}o@Igngf)6Qt^?;9~6+WP0Nj{PqmWGe4 zGBZ8kgHDPCA5x0y0Uu9S_<*V;`ADit8a`N5$~SCXupe}wE%=b)P!ITchQbGQ9?3^i z=h5)NGEZOlpmTS@hm>o2z{kl7A5dN-A4%m!!v_m5ec^+S2L>NfKhuD5BS)i@PRN@@=+{G6*)&=_@KjO!?qxR6d=uR;3bzxMe)q z3)1%>A56IdxqJ5aB5}X}A*{PB9b{E4t6Z%)Pu;QUU)OIg)oad3ZRa8f-<1xkxwR)) zwztG8*GGR>d!Y9F+Jm(})c#m|sP=H}PqjbS9;rQA`%CTX?fof2U*a5h!rG&;E3^Dh zoU%`(mPj5C*Kr;8?DvDr&?+?Mv1mDqHR%U zP9+ES!zU-T6tRXJIz!rwoF)As=ScPa$tTBust7qNRB~WUd~#At{%Xjf!)ndQ*{46` z++2(t|EVJ6T-{!RVP#`jA@N-$g|Jm5ge9`QY;NdqZZm53>JK#!6r;v}st7gTQ>Z}> z>{F9k!#0~5I!fG(n#KL0=8{F9kl{K3hI;P!>nnqu!xw#-U{!>M$*`e%uF#m<3Ck|nEe%E5DrUX(kf?W6Js0;SNlN>8JS|l_)irf<~)TM zgu;@Tv=Wus#2ClH<$e%DCZ-rM{!>MWIivj$JuHWM2!katX|*7;i9rl(jG(r9OL`eAB3jyprXS1B)N13PKb`gq!`PaL^w zg#H?nmeS*@nPDt>-nmmGhmqv@Mc!H7+1@$ci@le4=Xx*oUgo{rdxiH(?^WIp+S}-M z``Rb|`#O<3i^>_43dc7ajEB_}<*vUIpT8xZJ-<-4jc!)5sOJU4p)R5P+sCcBsr1M?~Q%tdSo*H5z{AbL> zWLR!HQTRxUNvER_rkKX+92a6Du4c@{gi|gi>K|z_=`0b#6jMc==@1hED`O@mb#gIL z21$!aCvOm@n2w=pq`^CcNRTlT6DPTtsD`A)q;o0=Q%reKQPKbtK^9{sCMR++Q4~pw zNvApxrkGZs+N1$yAm=rUTOxoQE~a!zBMlm~4ywE5r=M zr)F_Wlxv7+e?4Kc9eUohN9hE-9sP01rkU;VZN~02g2?zMwtI(5yIZ9 z5C$LZ69&mO={1cB3#5^J!hB7y_k=BqnErel=0914u(!6K7#Mv77*AuP-=d6f7i@l@ znB?>2>sh_$>;8g#`A-(%>-X({2>3#9^i<}HO#Uudd`jC`dCRBE7jt?}7rkA@#-sma z5xN$)#{#+#*Gam_;O~OH57d=hx~#y`((H7gujKuinMQc?U$a|Z2vG;cG67L<} zJH2;#+uMiXdU~SNNDDcAFJd;HQg0F?DCHUs zIlJNCo~Tj=`{PrV?%>QROEWfXgOE#C zu8&I{#%4xqzCP|7)1!)ygK5|LIAc}r3YN{O$#2d*z?1e8DXyqfGSS2&Kvhy- z|G6TOPiv90#BDqm@i`VoUm>z>id;+a~LpibABk5x~Q)JUxBB~rORJX*K@kMvCwZXg)X=` zpRUw}eg)_XR{rJE6^+${fzf^Y)s4k}dnpmo9(dU9aD+BQ<$& z&`FB9_n#|by>3I#HnuO1e)M z+4@#OLnuI3Af@Nh6^Rxwq1;`5Y^IWo` zxpL3mEHYZf$nu{nLe@>nn}v{GzFCOqwuIMqslL&igYn zDbjfXvI6Zq@6AeInwaxfM)~1<7bnh6V{aSw(uoweQeLOg%?nTxhze3t$Y~=h3ON@U z5kZo^-<_Ot1B`#Q%SzZT^f zN6Iab!;2SBXdd#E=J*+tJQVhRE+S@dsC z72!JDRJ>M*hh;)0cr<8p@aTva(HJrmg!gBQSOn0MMr7?i`OD9SFlzDSXj4UfQ1!^`gYNA6~N*(h)+_mmIqi^glK?8 zQEv{GWeF@^d$>lyS{7ho{?`BtD-QMTqGJ}&E?#r^R0V4?z`~+K11zjG)ML>R2EgLA zhQ}#bFd_WT!!;XV9spPrCDA)Stzf}?FqWzH$ZZ#Gy$2TCj(=CMU^*Df&~oG( z4%*fZEVdi}Ieiw{4LB+Mj=-K2by5aQhW<$3d7~foZty!}Yhct0Ho_lB)f~salU> zVkTCOW?{l7KS7RJE9&>D0x-r2sqW7?%O2kV2_@XCET+nTAn zurhiv^dXUHQodu{k_YS2BmIzal`>75aVN19sPLNfFL^h5U-rJ@ebxJ#_jT_k?`H2C z-Z#B(dEfT7v^R?|{3u#8jw+L4Lz>8HPL6uga0*$vF=Ri}Gsu9b!{tJIx=YDAFzLr^ zzCGfS>l8o~WR!sPb{PZ0jcEZ<>Yduduh$I_}eAP;iw-wHRk_4Z&bU7_5K|$u%LUOFAucoJ*!F zf*@c%4*i6-HfM4t^#TNW4`NGVxkz zAyo&J3JC{Ako;<`QXuheNyx;@rG-@AuT)65D1u}cb!7Wl?4qWGi(;2Z+FSJ1(gCVY zDise-3U`N-x;KP_lVZ4plhVPdZYmWHZfZ)psry1WxG9E9xG5c+>ZnrT;Hajg3in_L z2S>$l2}h-aQ(aXm99-3ubX9*2;ozzmF5#+laH_LPg@d!2lFn)*goCqUxP-IP!Kv;l z6;3Ht-*G}XxGRQBxGNo;>abGbl$!3H(GV_-eiIH$2dBEMR5+#hd1vnsPKkYsjJsUZ zqErd*JSKz-Bi}-HCD*Jd<+MAG7aK2x+ae~qSK-=sIds#w@lH6d^lMbdm3GDa9M_%R zUEbZ^J>Ji}pL_RuzwmzP{mT2b_Z#m%Z)y7lu&+VUNo4Jz^NJqBfC^t(wJwD78lyNs zCadXnrsEY}JCBdSlb`Y76Ir|#9&cMNe7wAA=Ls=*1FAIJ;cvSXIZ)52Mh~6&X6AE9#)( z_;R6RB@H`2jY30WWaxy%sDp+R%!Q8C^XvR93JtZ9p%ZGO4jK+I7dlqVuJh|CG=xWn zP6&@WXgJ4Q=vXDU&I3_sXpjt@&>(ftaFn^wu`*_zhojIiYz&={BX!Vlnz_)i8eW~9 zQD_)7hAw8)OrT>0tU6W{8U~G_$(fqlGb3{rtKQV9Pwz{)ASM~12zIx0QmhLyt0(1O z2M3#X)%=|7Z@u4n4|u=#9`yd;{n2~Kd)WJv_h;`B?@_PPZV@v}=*Vj-Qbau>cfe#9 zPl}&Mol`r>-+m@1c(YEZ9;qxQcZ2_U)e|2l&8JNVCp72W1N3Px0e3rhMvk$LZu57sX)6Y^xzaDnu}~e zSPMO%dN6+HOa%}|f&r68A{!84j~-AxdA{>v1rVlz0h6X78xWR352zmP-g$*MMJ_n> zyn83HtIv>f)OuuPR@QhhdYA8yzRS^-S&K@^BiXH;-izvI)z7Yj%SJXaPySa9I?YFg^wO4x=dY5|d_deo%(ff{fhj+jC7w^UOZ?yl7 z490$Zb~{3aYeab^p7@!J_ZO|^3hS-KPjBZUDZIXGb(4SM8c`HQPLQl_$`9fTlvq=@ zRj-cxD>&9iT#L$UsYTQ1HF4Ih6=w%prAldBm>v0l*5e)@vU$H8KD@m~pM#Zte%yRr``%t8SSm`&Rht7DdnL=G0SV~Yf{Vo_t?nftBN%&QA##X{A-GyC=Sbl|~| zkzFZ0Sjw(k9j}9!j=43#>%wGX|Df=SWX$I^WdpB{*Fnt0Y)A0AFp1c5g;(TZKCdZz zeRaGJViH!h1g{H|gFQvz6)Bj{Ys$7@9j}9!f0ZkO*M&*Hp04nU?91ns99I!BaS&6l z(um-7VKT3OoxYni&1!Ci6!EgkNgW~3##cQ0T4`J=#i|i;G^geQ$k>@*i>WNByC$lN zh6i#kKDYkT`pfDsufL-H%KEG7udctQ{@VKM>aVY#SARqOjrBLxzutb7?y{dK-GSJr zBPL^7@yY8+lsxdFi$vlTQ8@Zpw`1GsIMA%Qx`~fV#b=g!Sv}n{GrM-{`c1QR!3p$v zDgQ%?!K^OhEPSgPSC+tBR(k~PS5Ti&2;&bRG3~-#H zd8%DxU~Eht@a0HO)WKzv5dgaM8yddI-%s9-=w2VG;}4+;bD`;vk96rl+N z?E8DifLd0li=*HXg#mbI$v}M0(u4u_(!FCqEpXID2FeNp@THQ0q#w<mdnp>ys*LZtt@s*t^y% z<)^yX`j3RIhiu2Kk1yOa=zEs!de?fT5LOpk|H-iRkk+{M$w|%JzGrEzcdb`Sa&@uw zpATD)5Rh9xj|i~W0iaSGtc$JxO4xdYf86?cM1Q>w{**FhU2Od~!qy}7+c9# zk8qD$KaXgy*TJ4r&aR8C|M_&4l=&($ZEaON;qtY4f12bWirK~T_h6$8G>wc4e90sA zYnD7BhZIIv?eSO9Vt9+sufL^!LH(`u3+orv-&Vi4{`UGM^>@_YS$|jk()zpW@2MZ$ zej6RBxS#Ep#Ji>%ZgovrG)5~ZeHlbuq86;43r}t5NNCM5<0N#XUOL`9guXpgXaZ}F zX=Pp3!ey;PJ+XZ6n2D^*CUDurp&nN5tvO~g>#|8)HhHMGOkB1s>#}9IY}ujS@~m}$ z-ipj`R%U*4MCLcEGQVlTwxlja^jfX#ufSHuUuCXs^jcsgz&MtAk0k%6}zoiaj^ zK?Wlf^LxpV%6x=!MmZdz8|Rv{bK9FcXdLc|K6D=r&*U1G;Rr20LN_QQ6e@>5LQ~7k z7V)91dJISC9zH@B?=5_&oS7Vs(BdO>qcTFF#04W13ulv(l(`ROWo|e^_wW(gSR_WM zoH-wk(BdQXdF_h=r3h;A)lw-+E2Le-g|c`&9H4vn0CnqPfXW4$!vR`+fPS!jVK6{3 zp>dTQptLGFIiF|lKzTjOaD49J4Q1@-&TMQhZSFx9YNBK{l2gIK%QZAn^P3BPmpVuxWc!0OV=}nFARQ{%!$C@G zt@b~F2kB7CCL1-sL3)KcNTFp1gEW0UxWFJCirK?KN`rJC8Kw=@?0FB;Ppg9za<)H6 zGp2+K4AP;XJshMoNEh$To^+^a&wG%5MjfP(w1YvKJ}X>ckPcPt;UJ|!+E~PcbSP`j zdysyo{q|5eg03Ab-JoMQk{d`1jM1U8JshJnM%_A((V?_G?=iYqnR>$Bc7N(Ab!ymr zgz`@J;ndR}F6_#wVNq&sIQ2B2Jw9Jj#wSi+@W*HB)Uf&Z0=T#;xJhFtj3B=q7z?n1*M;sbMOw5}g{JD8(nw5>vyem#9<2DHoVd4PR5gw*JBT zb@dO`udjc&{*n6G?W;xEj&&!kTie3a@ZH+79WAA72aZ2mdeWA|*Umj}7F$a(<7ui`_AEJ1lK%b!lTO8ckwOd@_@2efV@xR95;&+SuwpdR`;2RdnR< zX?v?dLvU^RP}<*W)EHdtmjgLf?KDgk`kj_u4x~`0@m!&4dk3e}sD_B>Gac%Am2 zYNui9DCjhb)RSdK3UwOK9qoRdMzu*qr^(5V!RxeVshtM*5Of-;l*#fXg*uJr5_Z2% zqnan8)1>%4c%8OG?KDWsL8oDoHnHKTP^YoBy!&+;)lw0iCdJUf>$DfCod&5f=(N;w zD}_3Z#m3#Q)2K#^=rpO04PK|cvVDa(1RqZc?ddLU8J8k`#`4qd*JV`uMUpX?q2o@a z$Dl?8Jw`!DD{(Afksf0~V)yGYswsmWlNt2jjWJK@F`Qo+^cdzz6PtgE^cWxGwEOiK z)v7^{$yzmo*JJxCJ%&?#f*zyrBe~zXNRRQcKD%F!Q4JjQm^_DO@OrGN^cX~tpvO|n z4FkSNVf#_MpE8R{eOH(q9li z{Qjb_W0#_i-BtOZT0rQpffYX9p!64X55K=C=-8#0V|NujsKyZbYhX2xi%qsQDAv4^{>-chcI{u)@h<9$khLGAGSE3Ldyk^b6Ur4Fizgz+`7O2>8LP+<$t zNWHZ#JV-aOy&JtHCkgXr$bt=|a*i;VlZsDC1yh8&D=z}HBy8fB^XX~G&yG!J=7 zbNmb{9W_2a*`ksHk_Rrxxpw{b^)x9c=ic!5wA&#*_qJ$}e`nh3-1%1(Y*`2f7i0pq zOb<3T#$Y09>imZaHW`B9$aoX5Nj=!;D1!-?-#JAEn+U;RtWCgZURg|GX3*GZFqrUH zozqpYRtSaz(M`Z;f3ps(US}|2Y&)|m*mwwrfnowSt_Slx1{0Q|v%S3$Z=($wRuR_W zL17mIs8xETT20;-Z{p(=pd$hxEP*uuIzkWRx&lZjP@RJmpp^j-%9R)Zt<(cKjsOzE zN#`FFpcMfSf^h?&6?!1s7C<83?~E%zFc{oND8gX?lw&R|O8|*9wX;G2g0WyALtBw! zDymfh#Fpa83J?qh0~y+h95Yd=2q3l+M=3xs5)5Q$BXUecxh#O#LOe|Yf`MQlQ~RKQ z8Ae6~5L<`i6(AS~1~Rk_Ii{gh5}Qg>ff$^r~cjg_v*LQzhD1B{nq;5wl~8*xhI@-qHvd$@6CU3CLsO(g+}OQwf;Nb20!iFm!;8h6LoX@%{)Pk}C%XY~vOd*bC1xd#nnrG1E?*#K zB`E2AX7l7|%%Lpwm+t~??I?D2C)pL!~-q@@+E$Imrq#AjY3i;Gr^OShjs z)7&t(m6p(MnVa3XY4)_HpyQ0@DRi$x*6i3m_nh_HH*HwIdGqs|8#d3Z-%3XnZJ%q7 zA9g6cNvKss&snk#OokLTH$WUW_840Jn))zet?Scht!p2hWDNF%EwfAgWA7$~y-9^VvYY1Y@pQ@pvPV^r!JaVA{bcVu3VRa@ zd*ler+2hHL1!RvZD1$xWZTiXHtqOZBg+20Y=IrrQ!veBL)tAAZaG(8T?{}23ZULF2YSYA=TtnAC<}M1E zTM;rxDY7whyasLonWIY8#GG8q)<5Rn88U~|LfW|^nFX&!TR`Th$~7@3*Oc{-xywT4 zkXA_MiewcFo>WkUY+|nOImMMBb4V#9b44w7M7L&zLb3CUccOhOdxUO+oXRlSM1zUL924w*w5A(<RO;)YA%3wnfdknl*?b&e^bA&et-S9 z_21PWsQfdW0&C2BzE0>pKDVGz<2>^<6IR$w!U%TYX zRyX;lPQN_NOu(EX0kfGVV2XAaBvi+dfZ4UDf{OV|g-L`PK9h-fL(gPh$kDZD_QlLp zCLv}LlSY9@*O~mS!X&~EpUFi0p=UBL1nCcx5H^WPqd=tVO#V?}65)u?WFj8XGnp5X z^oL1^oW!J2VA6FaA61w{c;Yjeh)?uP=4qY%VG@ETF=-U2be+kH!X&~KpUFhLqGvKM zWa$r+5I>1Yqrj!>OxD_KSOJ|93h2cd3aFOHJPouzG(rePBNoDMe=uYbYN%u}p@wQ% z%+o{r!y-h{DKk;D>+j-6Ll&WoN){8!sFuY%ZL~iuLLi+o6G*$x;-^9up^{1#6Dp~e z#XOy~KP*BloiYSINMj_6#j=>eNMazaPML|T zU1#yOkVT|0lEs1l3`X(-(RIp9bnW`Pc<=O1 zp}dm%R4%X4D6jC+N#&JavsCSs?id#aquOiw1%dXO_VxU<*T2+v)?d^(t8sSYoW_eA zFKL|HcxmHhjh8oG(RgL!RgG6Sj%>dW`J0Euo5xK*T^z%DeW<@iH*ZhSwo1P^r@B$( zxb@qf?dz{6%{~YJTHWLihc!>sEfn3beztiEEhIgC{p@Ko8+nbXxZCO`e&qc1rftnF zTj#dZN>%z>(h}=(uL?D!WF3@LX4O{Wh^#fVymXhIS1dz)5~;ZJd}e62kH&g{^v<&1 z0~F~L=>ZOPcBw^To$5SWliLGhV?hr{Rd*nIpc3l=(n*6JpeU(G4{(??xIHjB8uWlv zg9oAqYOx+5eKqI-im;0G0EbwE+XIb8&;wFM9*7=T6zc(sYl0r2=&VQ&u)aLFJy5R) zJs_jEf#`vKV?986ZqNf1*%j#l4($fF2Rtw60jXLKL=PO$em-KMnv8|88S%P6&o00} z>)C@#f32o8abV)0FGShL?o7#kVtb}G4rLvDaM^cVpMAM>cp%>WuSVI&c2&uKV!x`E zeb&zhmwm_a*_X?Y2ZH@?M%l;yUCDl81Fx2S*4+n}ecSfgmrIxjg8f^f>|;Z-WIwT^ zS<61_^@Gd4W%=yOWzYk`{%ukAk^V~di)Fuqp8Qs;KKp}|``#I4AE~cozgXrw=!tKo z;E9N5x*Y1yu`0Ni-zWZR5 zeWbgR{bJefpeMVflF$Ai<+^{KUPQUBIEe>pc_lXgk<50Z^B>}TC?ONa=RZ^pJ}$ur zb09evVID+#$^7O*UfXzGG+c&YiCZG+cCRgrg_Y!&D&?F5Z(IcY}t%6F{U=p zZFqKAmrfXpr_tS8G(m!&hqr{_j*rXyUoG=cWlmhR!v9@sg@=N2_~nY=!WGNBW%8F3 z%Y#elqqp3@!T3aQb&EcGE9eUwMyk{@ZY>cpKE}g2apb z>-a@_n(3HJ|6!>;CDhtXp6egKC7M2R5OFUdGqJ(Rxhf|x#y%%WYpmmht#SUdDaHHd z%L$#vDL9d4wFjKMs$G``;ppR`2A-9r25K0|Dkc=~tS%5jhlYX>xrDU`e5_FTfIcVr zNa}MMKC(*n^nedK+Z23AnXLzWJXzrbikajishDZ_$SQ=>13u^oR`4N(uO9Gml)?uz zEXhYw!_x4PRc59Ke9%d;;6qALJ>cVM3Lj9FBp*ptNyA505tknDK?mA`4=E1yfRE!9 zKA`hRK9V|*h7Xo``r=^d++FY?<(eMwaiYQplo!cIQhCwv!NN;l_@Lu~!G{!3dcep3 zQuu%tBKb&aAsRkd3h4_Ubc!+fkdj6Z`1rpH9|%(=AH||nk#qEg4?1iad`OX@2Yj5W z@PQCj@=+*4?PGvShf;!%-o>Yz#ny34q-Hr8JdGHc!LRkRlc~Ac?OW$IQ$Bbal~1Rv zRjC9aZW&MZg7iJe2UD&!?IjeUFR_n1 zVeQd~z*fnOJ+(gJc({!7xVeopVOrj#vv$vP@Z=t4y7WJ~U8xjHb*c3ul`-*frP5(m zxiVr^*l29=TH`s1guVaYiZuX2q?IR=v?$J$$OAR%4V%8za&d zb>65@gJ8_3CbbY?HZ^oIuo*RtzEE>>L2CS`icmAAP=mP4rzW-HUN$v!jeV}{>cN}KX2i5NgqW8i5+hpW zB4$5{7}V7`F+n-x!ijf@{+R0jVBV zI+&>a5T?%)rNzX=2ue)2WDJEa0e3wIAQv%Z!IRKU{PX%lmbj5xFi|!Vrq9CFVqyvfB_>?F z159R{RyPmDKkP+Fr(5fjy*z(?~Sri z+5VmM)#+=}-%VeezApW}^!L->ue^n6z5^@R)3ouG4hdzy?(5jo0~qlggIotkL--eh zz>^!t$AV1DG?|uIeJR%N5nar<+?_X*jLWQOv}|1JDnY8$Wtf4veLJh2Ti|X3)5ety zOwlseHojgW3`Hy_tPCn~*)M>M39}7ObwAJ=pVL6Xwjq`=VWMR&!agbyhWmpc3^hXk z3O6RqHbeD<&1xKB*>LSBXoiWFxd=N!A`CY-PFM-7(UN~FHzv$BPxXZ58b{c+XoQKD zxd^*JA`EwCK^VHj{ClM_VYb<-CrtQT>Mz5xF0E8A!$iwmgq=}&vn}*dt=ON0ehF-m z9gz99HLK@K_!{cZ*S3g!iI%zedbIK;n=e#}_9MPPXF(5^dOFuS6y zN6|7DU9FXjO&4l&oGuXfJ0S0E%U4TR%9ga?uC{Go-Pg=?2$)Xh1WESqwxJYIg6ZE# z6H3J22MQ;%tiR`v8f&I!#LH%P{qm?-Rb5Myd@g|8}taJKBGc{$cut^pDav zrf*9BIQ^6KqRKPyt=WPK6hBHTAZ-^9;b>G&n+lXeUMkA5v|so1Q4v_mLq$LtLn^9o z;9>+*nxjYHom}h&hHWAwHMiq9czP87hbhwo5}G?96A~nLP({|YHFY2x$|6kDUZPkf zHmam)ivW{LjQmof3pH&)S70b70$sMMt?UPC+G6vpH(fOe@4Qo@3-xS4S73N20$sME zt))wZR_aYxO+r51mAPz_!o`AGHrIiILOv15vXyKtSwhjMH(50a1Dzz1g&H;|D<}*U zfh=3W){-ScFm)yi#8&w39wfI!yIgYXof27+b{!NNia?gFU2Dk_I$52`s!h1)Mu{v` zt@+Ff3KvBn%hs*6WQkBsoyn?A2>08o2PyZr)Yx=hIFVnZD z|F?2D=-0cnu3WVYp3-fJ?~9DEu#-C-uH(>NIksubWRcx%!tC3;8~FWLYL-W9hF}w~ z86J$TmL#lx8w-6@23QzlQe(kMWo!jSnHES`eKr<`nGCQ%htXiAQVfftON%9}UK-n(%xvBChbBQ z#N*zW`zz&5)`h28Sly^!OgLcpC_jOL^f3iP0i*^)v?z9}FijkT|Ab zD0GxyQj)~#84eh}t4&}aZcM>Y;wZtSyn@xU9WZ=;n!rHTn1Z3WQG!XS_^Rg*JrC5H>BrLlS^000GMEk|PNxOY zhH+D^dZ1dCGkAsH`!F?=Gd$Ty^+^W~x2va|_=lkz-N6Am0o8-nvm8x@=^Zvx?@gb39R2M*;8 z!TIEk3Qm?dfpAjhfNIu(Ly1FhK8d4(lVwgIob<$gbr%N?We&mlWR40>mO6oO(p&V^ z-G*KiQU}V`?s<42F`!cKLiEX<;4-q@2`Vu)x%0R56X|W~C)0mVKb8JR`swrqm7`Ja zh^K4N#3IUlCKf)47xp*|%AO4Rq)1N;ewW?zBxCg+H#AyX1nsl7RM04gw9uaS2&)gc zq0#UnXrJMwf<~F7h4wtgSAE0{jW!rT`)n{3G|DF}wC5$d>Jx5gG{*?qXO5|$QC4Z8 zJH#FL71nsle zRM05Tw9uZ{r>bp3_@oJXdC)kj?bA=5#)Q89q%VguPFHGba_-smbLr>PFQi{gzm$GC z{m=BhaD5xDdcrY*M0J<5yT01Bwd#?qvyFQ-2Fnw|Qs`T~go6|o{|0@0a z^n>Zg)6b;0r|+u#8_>9G8{Ru*IWsO}Ps403_CS!i$2*L@c#%WA73H~lTA~LY$o0Iq zNI$U*dk}_SgjihUzpxMRc_{e^$YR%j*=LYhS$s7r@WCgTJZ-C&vr8zzcB~s%u>YlA zw};&`d~RqqJU8TTAbVbD4m>Xu)JXg={$_aK$*`eVK9T5Q&oiZDa#0Wpa?22t_MOF6 zl1r~e_}f068cyy%WpYu}+vMW8ZSNMVB$u9^XfASJvm24i+G24tbS!Wkxmc{EE&g{=BL-r1a7TG0y2MahPtm3s9&9U|l#_RN0V|z=y zqA@0T4LDD%;+~36WruUHG?b$TqXp`nMstC`e3wZkSWk76+g zt@mt_@RfR9r5Lnh_XrgQ(3>6lF>_;c&#U70VD3cggVssbhpdyWQ>+hLr&=GePP0C0 zeat%D`ndH8>kR9e%F9s8uC4Gw26ISP*?FXrH*nR9FNX+Mbnr7f+#|hu%jP3iZy6nh z=h^Ys`RsT426<06jf^du7~VJr1rCDW=hJ`iI?20?&vm4dUhi@?D_V)#_a5L}R$wtN z^Xt*etipp*v4DSK zPeB7D_gDRTFTGz_!`}bI={>4{)cYxDfAv=Wq?Z(H*!y2Ny+_rLdOrotuilEE^rmAC zd;e>v_o((!@28;k)m!P4UYD$4?|t1^2vWC6?lhb=t_o(+% z(Dv%B>`5v4JE-dpF~Sr`EV!O^4;fjJh5_ z9#>@&aysbecC$P`iymsb*}YU>pbgx>jLX@)`iwZ*5|E*Di^@R z6uY*H_d733Iyce+4voR%cft)KA5pVU&kc=?j?i14qzMhI=mtkeVA1a4T=;Yz^9c;B zz|@X`#Y$MLG>>I>99U5fD^|v0<#{aMjVaMQxmqO1rc9t+NX2`cNH)h~Ra3Zn;W;8uZ zmTLEUt#;o-Of9rlFuRR@N-*cuUf{gaPYWy>-wO{+YNrMkP3#3ZGWF8~izfGiteN^L zf<;q_K9(rclQ6TjJ+YAey(6)R{p?JZy4f#X$x?~^l1`S&>=!FpVy8G;Gs#jf`%5-i zVztHwr4@?WxmclrFUIzEo3BvP*7d_NvqCqI{2yMSb9Q4Zl%GAAS)tKa=z-D-MGMki zp%~xut5oJIlq|}b6}n-(I5s|6+yd+HmAHq_BK3@)VVPN>(O2kyNh=h+9AbqAzKI=W z4<)b1%nE&luh879*dEFwlQSzc`U)MERw#Pn>=lX!XZ~581EupUGwbsezCM#}Y<==$M>Fd)`ucoKTAvtl6YCSaL_6Xnq5#~? z`h112&sc)3PktzFW_?CqpWE4!c|yvmvdS>^Heyy8c=Cqc>vW=J-e8%1DqdLxgT#sg zh9~3Ozi55Q`m*&E>#Nq+tgl<&u%4}4gxW(J3*?~}`*L+881m{EMuPdt_!$ZH3X)Le zSSsxg1>2Jgf~GUE;3>p{FOnB2I(zX|Aa8x+LBAxMS&n!|{r0Faz(iyk*lo#pkl?xrm z5&E_5qZ{xHhyMa;gf%+j*`8UWutwXvXpPRewx_&CEh+SbciTniDKIo_zC!7y`;24v z749?Qp<$RNA$-YBh4e0@Qz&%n^I`TC@{7-rVzD|~(O&@g{9VRSV ze1itLN!XzAU`O)}+Trq`;r3t;8h9!F=}RIF8pRTJzCnYWCv4ET^Sk*5Z9*P2bS>M1 zhCy22g`-G=M!w~pZ_pr@3L7-;3~jzaJ6;|%bT!(87MN}&(x8#EapxN}$kD0mndUK?x&q^$RPI%C!;x4$CsoLLvMsVVxWZh)5Am=F>*-k zd?N-qWpKo}1>JmWtW6p*e818jF^rS?F8@RsF?z;n=NmD|Rf8i2WHjd*D@Y@Tulm>{ z2I`0ZzH^ijqi20~z7c~QI5=Yb9h&A_W3QD)49~~gBNmt@C(4M?GcP;eh(T^095Mbb zN%M`^+{!m?%>z9k_bbxxL>aH0)%^iEdvLs(*86d?G+yZZ5aR`Ehwm}sDC4!WdOjc* z5RO;VIzG;j#tZ!(V!S}<*dd)`XLWi&jv*YcruBK8Cyf`nJj8gR$HV)~eU$aJv-&&Y z2{v9$>+bl9G+yZK5aSh;-YCj=?X0d2$ccpOt7$zQm$65MQ`klrt<{PkA&wRg66zvk z-uH#=n3OjpWrqk=B``u5{<&d*Fn6VOmGvF#YU>*7yVkYVb=LQ+?_1YfKd^pi-C+I5 zy3zV&BfMH9##Byn{v`PmR=f0!ZeQZxR{Oc`2N&(ITCFEQ-JULt} z_3_vnejjc-cw=wbtf(1`yXue(*6V=b7Gwg}s|L$t2#je>)k9>k9tRAcj5h)6QG;0) zfw3*W`d%5V?0})LHUWdUG7Djb(3nmW7~8L^BQjXY0mBE;O~BxOvkI)Mi@=z$tsW_Z zbvs}fO*aASR)ckR5*U*d)%R64;B0I~8WsW8zHBmLViyCbMQWsu4qh$J#N8yIg*Ff# zfi(bHs0M0pXF$vYReik#w7>?!bR`Br3)DbuZ48JxPO5uLK>aolD&qz~{c51rRtCiE z{px%P2n7a>5hmd<0MdwyREhyHGqu_y0im!UAVXQ95f#ZK10qSWNCHAZK|qFbLL(*; z2?j(`Vu=KVLV|z{WrRjV#N!NzB*bzF2n7TInaT(CWN2w&KqMUwkbqD)5Rjp4(1?au zi~*5kc-PR0AQ@6jKDNZ)=H9RkCZ4l5D@0%J$JS4*o2{Q(KeKMJes2B3y4AYP`lWTd z^(*Vw)*aSw;0gXwluzvktzE;m%S62FY?rRwQzdw{iEo!YVW6{L;*$`phFEHjf5UVt z2RZd=7a)2@IH2EZ3jxH1paRTfI3TTs^IU*v+H*i(rj@WB;(|~CS{4VSHSu{DAljxJ z&~N92^bi+@3NW4KfV4Kg>HOo(D%q-7=j`&-))kRzTsY~0&8z4 zFxpMm%U~EiAuwO|uMjZYPgP)TZ3IR;>P8t19sdO8_iqKyOWadcV6CkLM!V{`42C{y z0`ujz3h5c{t17Tmioj@R-6DgbP$4i=nW7UZ$s~c1MEPGC3yqikvQkp%5W3QyHQYA+Z>Nkpwww zC=TxUR@$Tz@wdCTTN5GT?6=N&S*p&v<)Ja07RO;ji)ac=E#uBz)^DxfS$A8%xBg(= zWBt+klXb6kpLM_Wfc2pDko9M4w6cgrC6*4MZ5)rE%c2rs^uDLK&aHDu2mSeWzjWgy z9G;zo!Yt?1s`2HA9R~S!;Dqa{@!|;kozBQ&p~bA|Z{@SYhYv8S=asHtZOYA1eL{ZlGy8&*aI`!ce}w$DbgcaOwgx5OT7)#mJx<9{02gUrpy9@7UJ$=(B% zKHPIt0KN#w`wVTl-~u$}j?NlRBXyAVnYF&b`|arta|<2jzz{QLj?Vl|BXf{38kpl} z_Zqj?p5-vNz+n!oN@M2e?AY+Y^^L%%M5KnTujgOuI1wnYn?vhT9U~ahO9>f-@J%l9+A> z3S{*L<{EBCe9vJH%?Qq16f0udjR=U?0dozvA$~OUGKA@p01dn?aXByjdWkzqC){>k z|D|xRdA)14_fk;+eV3shPt9}r7wZx0QR}bPW7gx=->fIBZPt_4->s*te^^gj&sfh| z+bhe-b2&(!%ZtK1mu2Y%0LgPXi0(zb?~;lwF49kx|8gHQ2j(C+FpEJBOx6zrgX%zV zVAiZv=*9et#3ZU4f=Qpgp=MI2a@4HN!kHP!Bsw#JNh8Ih=1l%gViMI4!K6?BP&27h zK^ns(IyQkxBSoaWN^|r=O^q z)cHCa!z4O9fk`7prRGe=BqmW^5ls5@6*ZGOm8CIEqVp4&G*Vn@&SYz4fIOgs%mezl z5D%!5N1YF}F*Kqh6gx2;`}S6cMf8Sp7Jc4OC5t+LXk%DJr|6)WQ?%xD@hXQ!^o(*A zeV$Pzi#p$EV^~B7>7bc|wB{^c=dg%gQqH2!OR8j1=O=9pi|8yJG;@~LoW&a)7SUtM zS@d~Kl`QIfrj20{9jAk4j?%p$$vt_teDPCZeFNz#Ey!lAse_cCv6#m!ZBK@*Y zagFMr==$NY;-PSm^oZfH!$&sI8Bas_GYi~_40tL?qfIrtB0nqHC zi~y;2%{u~}o%RTDuXR(5z?{l)s0(#)T?j8DUSa#QBk)iD?B=DvqeJRq)967rxY@_s znVfy!^-QH6N`CO>Wxu^$u+NVUH^tn)+08y)SLN*c?yD-m~leSU;sAfB3Ckcl?9B55?TX-uQ>?gZH}N?HGur5XM22MWz-DIW6=KbVM*@*~p>eTgKLp6jy8MJ%JQB?mpKWRLK12k!3TN2xh|yfROh@x#_cKg-S7mRNv4WB*aX$-iscvZc#c z;R)3ENj&1^m-|73%(DZQ!rmv|AZEj1Y&OW*1%Vg-ZEqnp&G5{nXe{)H<-+y9>+h`+ zyWX-VaL*w_v5}LLWKK{R3r_sfSj7oRV}0ACIWuHbp+T zo2?FfERy&@j~VB~?=e&G5taz24t&59tjGskP0fqov&hu_bm;Dg*e z4dDac-9ekm-s*xmGcovLv5gf z3Xf7EANA8uH?phaDQ22!EO=Nk+=5>wtdqg@;n7%EM_WtB_G}w|7TdFZD8pHn|^uHzXI{qK~q~eK|RD%B( z_QguHo{-a5p(}wDZQs6q3D(RhO4t-7+FBAeW}>~rN_JWuT~4d!r_vVCmI2zb)wE0w z?zfzr;7siba^MYJGjeus5IMI+B1g2!Mb4y54sO1JoWP9e3Uc7hUo&!E(;#x5ibRfR zm5ZEr%jDorEXWDWJgy)I-Y7OBXHJ92c_|V(qE#+({#_;qw`D<2V1{o6Iq)>Q89B2X zL(UReEbC>CXqAhcf04<-Jz9_xm`Phf4!i+vM$W9pkaJr!azv|Kv3<#NM#E4e8h&e$b2328BOi{#oEX%BQ#yYEI3*-EL_A?7k|$*JU(%I8Hv|Y3b1G6@;CM)bip-Unt1{ooT%9?gvKcDbrFG3AtC=f`C87b(lK1XT zx09TdU!q-e+$OIx>UiF7s4SLBdK^)yY*wH^Qmgk#xVW8hTwhd0kBhmIl(_IDANGz# zM5@O~xVT$zTwnA;j|*Fd8W$e0LtGYls2(rjqA=#Tz9@kn7bLP87oK}VT;}1go+RO- ztmC*oZ@eBC1fCif9!Eo5=1H%9M8ZW;!g2j8NjtAFuaa_n;VCi1WghG5Co1DOpHuJ` zG*+1*U&QpLt~=q{-h#EN28D;W2mBbZE3&12KZ~o{$&QvD_iA+;=nA zX0FS8FZ2D(^_d@Jeweu-^P|j-nVT{{&io{EbLOX+yDD#CHtfI(mPjMM(jlenmzPfT zZvfAyjzMO&qv5bB99f;*I6fxD!E7f{n!;dMeJR%N(LY!qOhi>E5SAtViAAjJK$xx) zq)uIi2g6wHfx$2vR|VJAw2;eH_qL)*^3;*ANj9ZGt_gb%#|gk{6+oS+#dTIM2bQX&jDJ5E>$z5D*H z-Iy@j(WEC#xQ`n^*tTediI%wtJ4+%AcWOZxI=20Lr!ir+14>Vr@SN74u&iqXa0l0d zW|(N1i?CBFZ?=U#su}x}&@X{kVFzTs?U&N?CA^09=WAO;zC_DheBE1llg$^ZNc$0A zAo6!W;)C%x?pxiTaTh;F1lW-WNf-nyW@0$z~2FRZ~L&cbfxTd07q+V zFP83WW;z5+Cv$=%Pu8D@Qa}kNt0qk-5r3DXXzc(iwi=4FDX#AWq#b6SuG+A{bv2RUOM-f@*Puo4f|0cC2Za^53Th8h89kno|~}7XPsQVW@g?!h(M$kjwn&7DcDZcdtukS#cnZSMKkW0~MIp@AJT;wdNrB~19O>rdEAkq8s*auN0!nJ`p31z~8~_}raQ z2(z_LEn(uwe%%RM67FrW1%VW?5$$pj_Mb9gsB#LzK;`sDAfgavE1g=x+_s?I^xDg? zT3j#qE!Q}44?~SpST%vy3nK7kE1g=p3b;(*U)|?dEv_8=Q=$tsPC*w)e1E(q0$uhU z1T9@|v$>A5s}|P~wo7#3IT=A0+RQ$8dIY-ckh7L9aRs2>vulaMS8NZGB)vqtT+-{u z5?vT?7IcBM_XoEk&}9dlwRE{X40gk7F1v1vNSA1ri>`M_bV=T23~iNse)b4-^$CMn zOP9DRQ197QlPe5+Rpzo#4T^eH?|CFJ=n6vwvdndRw=brCbE_uT8jh35Le-v=1#*5z z6nk^MUR)`t^UMOV6;10B?eZ){XJ$z;W{~nbBIM0=dbiuEZZivnRy49iyIf>_SDIO< z(({>x8ol?NY=mWKuFs3B2X&rVwYd^;Q6+85EL7*k>4kvsas;yM__9vG2VauVe9OoK zG1Y4l?`8)Z@v#i%bxOonJ6^3F+4F4w9{28P`bf?_O(7#9Ncp!9o(08~bN6KanE6xY z-pqZO`!f$@9?U$H`E%ys%wIB(WFF1@HS<{J-zrB!HM_K}T(#_AaP_ssOGpY`qd2HI z_Z`LVV|%*cUvJ4d>bY`k)0W91dy^C$dMpnZu!>d0QnNhHJh(g|Du-0h)k9>wUI!1Q zoe3W7nHs!IhVWpY2E6ymcs&jt9#A&HgKbBHXIX>?TOHty$arN34+WG79!PEtUOG*9 zuv-A$kuqM%!NY^eCU_wHG`izF-*C4^-tN%VpQ-lM~QksMhfVWAiyEJG=x7b5W18)A_RSuSCr z2q7#(38EJu@QxZ{k^DG7!b0&uSfI&t;y^ypVY@ z^HS#J%q?__gT4NZn`|Opb~ahp?XHq@>Q+wp$#z*DQ^jmqAYJD8w^^rtkY(q&Fwth^ zn0~V&1QQpC1~Zf4n6ySd@4`gWk7N371BLYy7m5bcvN$HKm9M%m(SqWbev2TapSWN& znCUdfq&0J~3lj|yj_JD%6xL7Nbv2k>T^y6v&bM8dsLyjuzvdd!Ph3D6%+5}ZNo(jD zzSpxUhaT>3d-FA!wYd9g06RL`MCCAN*UNb5A|^cFS?ds1aR=7mwYL)= zp6@PV2p;aj8oahP!lQk7T*gBuCE@wKBEchwJFy0@wUzK_FWw^Kq1Yij-yOt|{^4${ z!AqqGkM`sLmGMyI5T2>TF%US(B;k>~IZ?(#aYJ~f(#Am8Boc&2vgX4w9*P>mGnF(3 zf+ij(Jd!h~%Xlbe2+vr`=*O?6h44tmoF(I-h#@>v31c8&;9enH?<8N&9l`_fc-osb zHGbE6bFl~9(59%)F6}y7Lp6GLN&AkWYhDMGLi<}?W@`5gw`V?(Ju&;i>`B=VWlzqY zlKpV@)a*yHr)58y{aE(&?8mbsl~HEyEQNc3eUK)dJ>|fx@pW#E#W{V%6$P}~heptH zhhgVeD4~{EYInb;&`Q;#>6au-5^EHERrr>sAQ}&ln&eE!vADz#az>7OgQLE?gxb zykCHTv}(U10hS#=ShdE0xN?<%@NfYF(z5-Q1XywaVc8l3qJE$Rgcl1Ckk;+x5@5Fj z2rI}K5ZA5}5S}VPKw7w0SB}70nu5P90=yof7MQ}JW}rlaHwswYI71J0z!utIpc9ya zp<18>gU1N~j8uXn9Iyp87$^j$V5k!)!QfQ_03&^1%mM4S!9W`@1w(~E2?oy*02nC) zlMWaP78)~91x&%TVg=qI05H-7{>K4Bp+aC0WQta#zykyTMiS)%4j2j)0*fF|wBiI_ z9sn?sCZ{-HC`_UC-Y6)n#zsSCyFBu75`M%}Rs)WY5ihHhW(7{OkqU&t)&n9$guuU4M{vefD})z^-3*PTESl{^01y z@PvEc$J|lHpnabKpj3Ue1c^(OL;BXJ5>j5KfsnZK10=1}JtRn6s2tL_Qk9VMVhx1E zy&oWHwZ2h;#O2B%ed|>TDKFVTNZkDalGf~-B}iPf9MZRHm5}oO9|(#2KS0vTElH5L zbUCDN?J6PVi~gxr~_c_I9u;?;7|u3 zIG+xnf|Ip?KseL_2DujSumgu$0KxgR02Q392L!^Q9x%xDfX5v;)B_04rw6FuWKAFt z4mE*6t_eKlz&R#{PZLnV$+|!w9O?ptTo-uWfpZLuNODFiW>6a#fIH6dO>g*SuY4GF*Uv5^Vu(CznJ|}_RHC? zWWSpITK4PNZ)Crj{Z{tk>?PStvs<%|SN;d3Y$rN1AZ;iM9dP09bK+sD^suC%%qNfm zWsAF7Fa>GKn=KRq^)e7h-INC&wsEny!1{H+OQ(d#1+0b7WNdiS7rH#~u%!!lSkDpQ zaY1Y0Ez5={-68LRhwWX!!}^W@j|*H2pHAEGq(|)PfrkYt;C&82CHc7EweVeCHaxBT z*LvV#+ZXV#{v-4r1%MX5v(ttr{o)OkqjBboRK6H|uyqV`aMUrh*c}}b3e5UnxS=r) zVe1>_(5P={q1)R9G?S{sXgspp+L!<7Yg>GvT(BzBwof{gX6Sf{=4vl(<7P_@n zK$BWI(c#4Ma&d?2ofQ$^I6Sy~bjR)yu)y zoPDPC1?!vE71mAGoz}zFbJj;PpUr$Nb4})FnO|rAka;}w&&(&X7iBNY-jKaHdwu2K zKw#|JX6GTijHL>v$g%H*f5Jo1B9@$WwU)(TW92=i3ZrMBn)1+E?qPi66$_TsCefmQN&l-U>fsahY6Hi3GWUuNf%GrR>4} zwofOyyT6vmWolT%$$e2K7nMGn+@O~al;lz>;^rdvHMYgv{phj9KQIYxtb~io`7X0c~c3-hWUryPye~&14y~%=6fAW-pVN zMK`C-Y|v8?DrWneklD1wm}QRDhBJGe#4LJoZDxaBl~6I;*M!V=b}?p|-?-t-{-iQw z?_g+=UBY*;fCng4yf&jb*51K*ogQm!Pl;DF#ssecZ&j#xZAMG1wT?KfO{w^ zUYpVMinTCar^oVIJ@gPbc$3<+q>NPALF@TvnW^c>>GJAXWSJ_`@@5ufpduR5p>~FKb%Py(B3^nY^@;RdJQ)R&z zUpCS{%rPij(cE$COGnDIpVeD7AA!&578e)s*ZJ&s`UZJVH;s%fn;70W1_cg+-{;eR z@H)x6j0w`<{V|VsSG3~ZAazY8IRoAxstE(MpVp57Isa}A8HiUfsJkns z)Wm~p$Fr@#o5|Op|Kx70U-zX=ulG`Rirb7zIrQtQa#V&+*v(K`sxL;oM`t+b4R_rx8*>xI6+sp4u7S z-)H}jy(jy}?4PptX79`1pM4QL;7V&=YX>> zVP#)89Ay-45cw>feR|Hx8AO`Uz>02gbOaXdF3yEd=P{qaz=~2>u@V+5&Ff^x_g0j{ zij}cgd0r=;+#6WY6IQGTi}lRw>}ADz!;1A{vEF%|ePQPSJNrXFSrGck!q86^g?>`% z4*#eW{t>bjc!0696neI?vxKaKd@Ga*I1$+aGnx{=rrJHTKDGNEVrtN`a zYLpd9+PZ!?W>)Ctkv{xv`_xviA`5sCZ<;)6o z&fj;w68F$qq@M9JEHf)K`U-uIv_jF#Ay#N$_U9;jD0w|*R_H5yh2~zx_E3HrYG#E- zU!nggtx)vD*(($e&iY+a=6fi4=4MvtD}05fvuuU(b9FN-H2MmCU*&w;Q-t2)t=v;oWLh}Vm&f}Q{`U+p5?Okkv@&lSP3pDxyy|{9oy+ARbaVcM*a4Om#&of`2be?5q zeZIoiXR?j0PkzvMW_?CqpN~lE6GLudeS(*0N1Q|yfSXyLukiI5OR)6`6AK~{Gl7;V z1X^BVkGcpcCtfoON@~G8L_8`S7~6Ehpmul|p0uG%7f!Uy8!WR=#Vd2KrMnp|57JPxcNYRlk7HLQ@IKm>G@np{|QaEchXE$1;Gp=lqQBzx_ zUzHar`m*gs8XOOfut;Z|*)xk27U?Xmrpr@TnND=$)XXNyG|5)zKENM{_{Gm8`! zY3^0Dlg@awr@Tn7l@}?xwCzP292JhRNN2p-Gm8`!X*x@bbjGbcx0lzrGzqk*(ibz>-R-6yHR=qO7U@9#OCB@~ z6^cO%P6rZc&?r`D+TZ3GG{_;s28{=|ns3k!kp~S!NA{qBre5~F$P;PMD0Z~-4I1Po zVS~nl9nCjr8{|R5?ZF;2@KTn2M{gqy8pRTJzCnYWCv4ET^Sk*5ZCoBSbS>M1hCy22 zg`-G=M!w~pZ_pr@3L7-;3~jza`yYAG(A8)U8n_z$H?1QL8aW$xzCnW=Eo{)ZcdYpa z?c~bE>=AryCHkHoRHku>GG^p{+WCeIa=&mgnp1UrUK%mDcSr-FXPkDv5rbScIAT0kP4kUdRvIyU)yEz&P(S?l zouf!ade&#>8!^a%gCoY@p=rJmdyO<=cs|}9G0;6qz6(52MvTtK?|dT$xpi>F_`4*{ zH)3zBeACuE&;xS6BK=O3@!DD4ACR*L$E#_*A0L#)3!NWgyg=>PA+2L)^?X1sARMoz zb$pyIjTibo#CUP3!YGM;b45d5G~skH>q82d^v6eMhnT*q(0q z*B3<|?yUZfc!G^r)4DspD2*3-JH&W_!m&g8#?I>MfSgFUzM9t4aS3}=IE8J5(OT_1 zq#HO|JV>aEkl7bVd5qAGNqIw3c8E|_0waXspBn}UbN|e4&we0xV(x>vlX4%*ot!%* z_u<^BxsT*d%Y8KWvE1pouF4T`>u;B~m8+H=3<1NIc*#ktbdXERKspJ|17$v5Hat08 zEcNl&8-5>dJ9uMn*{rA;?mAaj%V50@7;Zr(V7+RvOoqUi)>Qph8LYK^#(mfJjpGOF$?n2*^-QXv9P! z!GK6gyj=o9AwfWfGD0IF;&BE<5@M+YgaU$qOyz@mGPJZXAd(LIOF$?b2*^-2XhcIS z#(+pNtQtBIBtweH$Cmip+#9yR#B=s$h3LzDB6mja%-kn)XXQSX`*iN?+-Gv<j$s_H+ulY^<|yu?DzuJ{Hc>Oo(09vV7=j`&-!p?DWa3_`0&8z4 zFxpN3O9sQ}34!^te}#bIeyRd%Ya=k)Q4f>B(D6@Te*aeRsNtTf0&8t0Fxpi|Wia$v z6PPczRY=cpUsZvnQUpdj>x2x3LWRIgWr|LuB$EV266IJK3yqikv2cp%5W3QyHQYA+Z>Nkpwwo zC=TxUR@$Tz@wdCTTN5GT?6=N&S*p&v<)JYQXK3lAq|hRo0#nPlb5ZW|xi93tnEO)h z%ek-QzMA`5?(4a4xtHz5X>~}gNi-i`mqLW9A6xWYWz!BO_<6|2( zjvZcPbR1he6zZBguw`=msNuxV~2k1MVp9T}b&*-)GuFLv)U4`vePDuQ;#QoH+A zidO0Xdv5I2aQro}G3>1C{&3c{77c>RVmCQz2$e@hu zvE8PT?A;-;SCZI+oz0v*3N=h4dyst@*<;&hBiXxKVy|0b54LJ^_Q>%+jqE|@W@L}) z1C3r{$Ip~Cj=3*5%%NGqnTujoOuJD58M1-7 zhT9ZhbC^Suf-@JzqL_Ar05>2qye)A#Fa3In zJ4z?qc3%IbaIbm2Yqs}NQ2>3Hp&w7pb9q_r^4zy`SLCkDU6uPz?&{n%x$ow#&0UxK zUhez3>vKQIEvzgj&*dO_E-wo6T$ZI503^@lAi5XzzDp{$xJW-${>y#L9GHXPz$^wi zFj+qg45|acfmyRwp%?QZiAhv91d~2}L(Qa4<)~Skg)=jdNpxlclSYb1&6)hG#3ZU8 zf=QqLp=MI2f;5InbZi2XMv6$ynS4@W64epGq)#7FGpSQa8p9+yIe|$d#iZs;J}WVa z>WN^|r=O^q)cHCa!z4O9fk`7prRGe&EHR1dieS>Guc(>SsVt3Q5}lvGq>wXWAGR(Q!Ix<~Xf6i#IteqB+A^jAqR=hcSar)Il>RYRy@^ z#bFUm8O~xfOQtyt8FZ))nmJT!&f@J3i)hAh7Nc1)&0)l#b9K6}(UJ_<+Lm zio3Mm^Gee!(Dw>8dL4sq-)nFI+xHsu@zi{;H{^blyD@iD?#H>GR*pyetrHJ#9yoLWdxrHY$N%cSyj_NCl_EK(d{N}U;mt=1|LfYZ zqwv2L7wMOMifdE{Mb{6H6%U1jq(=;o9X_&w&X}^=78mh{=Gz-L7dK6ePr^x6_%8So zyZBud=twNJr{6Ox_Y$AT8i3=aJJeow4E1f`#hqfC(P#UtX9U3C85bh}nog7vAXR6F zdc@ADPO&%I5y)ih5#V0krWk>jJtF{qQhNkIONufAq$V}*2w0Xq0^A$i6eE!Ei~#so z?GXSCE6NCviq*U$kWSkpz`e*#F#;W)5dgi$9s$tKqKp9fmz#G4y1MKU;M!YLj6l{i z0^rZJM*uXtC?i0sUGt7WXQw>^+-uzwBd|;5IMjtYxGsd35wEcQ*%A0Be|GcI-_ap; zv1#<6>)q_*?M%+T?|P7gvxy6hz$^p+<)@ejYX>yCf0_o0}Z*c<V&fHzO-{yXoyF2&$+#hoHl_q_J8ZLrGpiu z7)IB^?nASvGR$_?EHtBgGXPM<+)h5#8`nn?~_XjKR_I^+!5+>6oC{ zA8Ic_fCPW;TVf7({Iys7skale%&}7a;%}w?PE06=f9$sl_V;%7@;{dQ?4s~%XP>B` zyKI*(!S9{@@ByUD8=3HBfL;*x33&DKWltalj=RtG232weK^Hm_3J_3X4Y%9PA|wTf zkhr^ue~!DeQgX4PrJN#%6<@T@ZNT-}V+#(+tmCipD~J zVwh{w|E|BcO6+>ep1?ha48=xHJ|J^~!dP(Pm&PhiNE++gCMEBiDktz7C*y>R)jDu; zN~MbngypNy8+c-vH&DSySTZ5+VAX&Scr+9V;YV2Oz(=>l2m0qYAAbLwf{(CNJ$2v% z-Zn)(xSOpGeDq6vpvR2!;rEy+_y|jcQwKia30CBTJHG0`$J-@7(1*qO@cXb7e2@#P zAp!(mibX!SE2<8BES2~`uM+3O?^ROpK~ANH@Bt6nA|Kp2R0lrxm-s+G59h=0=TY!M zZk~ql0q^c2AKYD22R>Fwe4yur^WpcrDEJ`9OGEg8=Yf$A?m(#nA8RB&&=fYEY1Dy_cT0Srn#%czrlm5Qqal32W6Q_~cQVw0kN=YRKoynq5lKUB zpn?jIQX(Jq(@zg$SI1M#G}Bn{uwu9czf4#sgX3e96XT;`4;~Kb)4^($GC{B^y~dtx zzT5U-Knb+n!#`(+`?lxs++}RfR5F!{Cp+5q+p_Urheu;w9c?Wg+p}%>S!~aa_Kr8E zT03W_;$7B*xrcIp&OMy_OYV`}qq)E49?LzR`&;gb+_v1SDsw{X$Un-wnw8zw8}-z2PA6H_!HCwCLRr^23{gJqbA)DYHo{2jcApN zninK$&<+&T1ZMaQrv~0lH>0MrA=Eq-ks8q|7d7`*X4zsGO~M5vhCvEP5W?`ryBRU< z4I$>Gh{T9ixrphJh(X(s6BCrPGJM9sd-P_+v^Ihm5Hr!_jA)gMm=`2s&`9LO1SN+I zCkEcmHzOw52x36UL?cGD%0>%s9x5CbA68Zn|(E@IwYc@7#& zsvfGroS2|=hvCGa4xG~I?qDEDCP6%5C6Xs(^k34IK$8H3ia8ajE-(VpU<6pzU51{8 z&f|+-XwoF&eI#IeOnUFN4Ar&~B}Pz}G3hBZSMI6DJ|q@g_F?+(xu_ULa{IJy#2h(-|6{AZc ztsnw#8DNqeI&!ETlzQBA;7`!e6{46=G$H|Iq)jNW7IIR$wp-68 zlm#&h|70g+dVB5z`4jUW%%7D1Q2ylnDftiQPtAWMe_H;a%3GL)Gq8d^c^+TskWu!_ zNykq+fG3H@AcxM;aI6Rp7fo&)ACo+mwhJLm4oj@Q6l?eFOUz}tJGC}OE=%5a=6=#7FgxQV-bwAL@JEwt! zZDSLOT;Y1cM9W-+9V5*!+$RKKsQLR>x-nt4yFpKw@US*uhGk{lP*0d>nTxRhmI%X5 zjuTcw->-j5Hzv$>L+A+;&cy~0wk;ZAqGc|^J|Yo@JG3AS-JbqE)0i;ZJ)tK|_|@u9 zSk@)@^)pPg%thGyD{r=iKB^b{lh7}L)wKgM-}YMQ`4T>*`t!9dB446qF23%pyvgPZ zRipigFA(`VAn|QqhMq3rP^mjzFuS6yN6|7DU4O4+Y`RdZ<8*<*-vN1VdpNXorR=>N zf}pmKL-#c^9RjA4IYE-2;}1hApaj#3k|vahzspgyc7PRI{lwW6*Y^PuM0T2#(wAXs zDAz=MvGPJ=j@0J8M`kGV%+n6tKJekrZ#A4m)s*S+DSrXXjCQ}J)W4bWF z&Ro*k*`*cO0jn$3VzvUODzGmf!o&4KV55?x6xhbB*a921C9l8^>?b*{btIax0lsT_ z`||h=*hg-^LGsi2v-6+HpOgPI>DXgpjTxR3=qs$h1LEw?6 z2z=RMThrB+gg98i!isHY{mFe)SH z3OpJWfiBz8sijLCOR6_rOI#veFTF&&TqfLg5?$!!6m$h1mx@4_?dH_d<<`;bC%bNo zNSA1ri>`i&F7$BmM(F8sot}zCdaCFugpb*rWHj!dN{fNDd<>L z1hQ-grS2NhvrvNvuNkn;z|04{&6UHkf35hZMF6)i z>mDBEGC%`+Oalfl1yIe1^;!vQp^b%MDg!J$x5cMM2$66LA3vd!TW^rC7T8#Lbjtt> z&ugi%;D$S5(TS~j5>~&Bh4EbjEIg;B#)8}9h(#y0_LZ>uY%DygWq<`bs0J&QVpw!S zt53q}wXrZxW`Kp~vef;8ThG`pI+^u039HA(!lPLRSa=>wjRiM~5sOY_4MoU4HKFOR=D(Kzdj1>vZ|1+1zc_zM{?h!`{AKye^K>!tsO_uE zu)_=PNV7-X=VwS=Fyp_Sd<0G$%?Z<%b^{LCXM!n;#2?T4Jf){X0r4RgXIHB@!TJ*y4aN*2aK1-bz5s z+Y9}n!M>t$1okime^~^0Jz^^{g~Q!MiH7NIv2N_$e>z~8ZkE7cA29{PtwafiSzw2_ z%D=$@!>p_X2AhZ}815rVFie)pj#fj@{@Ve=>K9Y#F9tS}}rY0r4b0uCtFj zU?@ZgEP@QtiV)16H^f!{PYt!ec7c~J;7@?I#HIP0_RqUtNW^+2roCIJ%ivz2DlxU4 z;)nSg@;}Pon7=9iZv!E zAKv!E+>bQM^Cd_eW_G=_h*2pw=}Jg>r~@J8EbrBx%74>tL0Q*ncLMK_IiS2>1ma2Q z(5v@2aJXL(oNvES^-tb00^y{*;nfEmINUJ^&bMQz;N(3c5Kc<4U46uX!##uGe0zop zPTn;F;iOE|)h8S{+%*W!w`-{2qYQ0JF4TR-B_@Okdm5eXBN+_etavXPT)}Bc zz3J$xulB&Bsp;$~?z`MdcpQ8!yf+tHb&tx?*n9D4Jvz2%S@|xKtH5!8(PDcNkyU@{ zhDIM3LHm4MDrnqmw9uX`T-Dp$(CF?WXrH@F1&#ZT7TS}7s`?u@G6U>(fp_K=jOkX|91Wd`CsJk&Oe<0Qsr0hwb`kGWe}A~#2344Ofi(b5O^;w z#iw*uj1F%;qIlrQQR5TG6$dtKm>Ai-8Me!fWAbyOD-Ild?*LR6+b#AEsNDXCx&gaN z)vhRk%!Pl>IO7#6u25C~-_Wn2R1#Z}i2uK-bjmw7!rRoaUbQ>|n#^yYU$c`dm*H|( zb`0jdYqVBw7#W+~c-Y4E@B#r|A`q7;I&d&nVr(-RHeSjiIADqbrX%*1p?jfcDb^6@ zIt`o#l|%}khnk+*BiCeIZ24>cNPGBjqfibX#Qapl2RjD&{{{8sSi5fc0*lDQ7f`@- z_|CM44|^tVLj?|>rf2r>HCY#19?!3-w87ppyKUW?mCFt|1PrP{N3!5M1HxOw=PAT4 z)=g}j94UI1-T@=W73X#z+rNHM&pdJRuMJlrSVC$4AhslOurlx;o%*BS>A7XAt@Q*8 zhfwi-<;OU_bTE=Cg!h}!Zn!>+B7mjVrXDuWLUb|#Lq!0def3{A4R4!W}FRpu$jHirue>|4flmF z`8I%+Y_~c(oEFSabvo~|U0Iock=X^Hm#!T;1WszuBVlN6@Q{|44EBcg(k+{h1D#BC zA?2MBDG0K240K8Vrt%WN&Q7hM*Wi}(85txuVLpb8g6HvUehX1F!P^4Z$6JCgAS%A0 z<$bEx4nIHi01%LXwWE=!-tLDzm#*jpot#t@(D#zBUZ3)UZ>8H;Q>C}klTYNg<)6&| zJ^ym0GlKBJ)1xZkv%Ylbh%J1WZiDH-a#NH(stS;j@Xx0#f6l7B0qJNTT(zPH-sFeD zmu`^wBoNX8<9*o1!?#R~6c5_ORG`(vhmRC{ic7bQ9$8#IF}!(1K#M zw0GIfmM>K97)|z~F@17Lw8%x%MHM`o!KPEmMU(pp4joOUK$_T{ZdETs#Hs2l`uQ_e zfqEK?J0?*cB;x<(XgEGa9A}GbLvdCZ+t#Ip6((y{JtSePDxv2fwvFU%pt#)*QwKkm zPpl;Wk$*b>O#a#YnUxLj(Jmb;R}HOMQ5o0|xY!H1C`#THJ{!OuSvEel_hfPXFbEeo zwu-vk@`Ks8QnNfF6-0+rCv4zzD<5V+uz|1UKx~D|Z~8ev%O@t_F8HC)j`73XWT67BO6fA>DmI$6$ciTiJ?cJEp5E*iTFa*=zGmDY8p(4!-Z`k6XE5-4isn#;TS86 z;11%skl{X*Y~FWZ{d#bWjBF?#dR(!mjH9(~d}2fKps~^8aMPtn3~-hR=-BQd zu9CE-6w;$EV`{-AR_zL7p9DPcAhkW3e=PsE{8Rbo@-OCJ%I_A%@EJg+RJupsaQ`A( z_h?(UYz-PdvDf$*a4Zj%;S>ISq_PJOtzl}%MH!kjX!*!DSJ|3n zTy(A*o?L&#{AJ^Z7uRe&3T6u?Y@OuF2FvE;&FAU;0=U^mCMoSGe%GJu6%)(`e}Y+N zgFnGkv%#NWw%OoMrjTy7b75$0i$Xssb%%dc3jZjahEg~WrEnrj;Y^gmsVIe}-t8=f zlTiw1qa4mgIh>7hI2+|~HXy%n*x;AL*(iszQ4VLL9L`2LoQJ8_qH=L*5aGrX@dFl=4 zsW*Jq^oFz18_q^wI2(Q8Z1jb*(HG7}UpO0m;cP(0@358E7tTgsI2(Q8Z1jh-(I3u6 ze>fZcp=_Wpi|^4X?%!+*!vtYjovS6ESg)9?xqQsJb#}j4tGm+?FKa+`G_A`~} zV?RB~!du%D3)$a05{uZ+&Sa^Z{nC{zQH|+jiK?`cC8{%%EK#M|WQl6cB}7yWQi(%RkB1i&q|i5=3SCys(E&@Of~PCEK|*Ml4Yv-)yXo|{F-E$YThkbrkZz8 zmZ|2~Cd*Xw>ykZG^B&0_s=1i#p_*Tx?4g?fCD}tYzaiN}HSd}1p_<>=+p{3D7(&kX zO7>7o_D=RtOXenfsU`E0z0{I7C3~qQZ%+17OWu;~rIyT3_EJmsN%m4p_D%LuAG?#i zRC6iWOEs61eN=N#vX5%+P4-dEeaSwmxj)%QH7`i^QOygJeN^+JWFOW1)?^>m{I+Bt z)%^BkKh?ZA*-th9zhpnvyd>GrYL2a}Jj&F(!BwQ@eTl1i@ZyGaph2PJ4UTVNQBj)E zMrz)A`pS%=SKP9Bq!UhCg~`KGxFDKQ^okqCi(})HjKlgUdV2ju%w*JHO2hLyXOuvB zRG1PNY+%i(dNNTns-Dco&aLVtJ6ic(imKjQ3{*WKME*}Jd;Os785o3u3ocNRk~*q~ zNl*`8S?XS~G6cThUE9~KTy@||=GVKVg}M8sBcuvtZ{5bR4dcfY4@5^_aV6?~6I(X9 zTzvjL^BDThhT+NK;!*62>=+$>ku*TBDWv*>(#lFCoPOcr1FIxp%BiYnOR&4-H_tR* zifyfoA+K#~SFIpguO(WgqpsQSD@k6>T#?`L1n)l>?To{8xx-e3DVVxfpO7E7(6hI6A)mNSGl!L9uR{py`2rS$E?c&E%n>7F#mOT^itEQA$hdKH@rYr_ZMC7eajdvuFv8}|!CS^)*w;W&@9gCp8dL$NQpdK8Y%Y!*4Yh7OETCdG--af~H)-mEmcUcl zn>ImH!kWk@9zGb5^hBKCV3kA=PQ7=+)T>Po*pyufQ?@3(z&ZQ7%C0!1oovJ6apTiz zOuZ560Ze-58`E{cTNcJ|+0d;TKX~MQFfNlo`l|8b1pklm_3O7xOpI(0P6|;(6t+JX zYdnzZmKM3;$n~DUwGNW8xCgK(z!uxT*|5Y-flYT5$efzfh#K!1hG1%fww=cC0s(oa z(Y2=K5IQLb1CofpLnY>5x_FoC(B$ysmd!x-;qV$ggfMv!n+Y+f(7TrG=)R(WE#-o~ zkKx((yPxcINA*tTe+C8#@9f9P{gT#CB1V0%F`_y}biz{p6F?u?ULg$#=y|tmF-d0Z? zx(E81(A{wu=nm^-;AjRne;WGN@{z*NE63nSCSlWp1GW4#iWo@wRP8`F9=7&v&E$dQ zW7&>_gEghKgSq_!@oL}D5rEC1Jz9Y=w8QZ6Hhjv9-}2GI?8-l|qpj=soMmT+L*^_y z+Ue;k7v}QR?ZocF?f~PN({>ng{=lOS%5UgOOl=OIKZGZ=TB)6hxH!HXq^j!r?Ql&8 zY}DPM39T+umoB%QUKpr6j-#D|$%xmo`8^OgkO}h1b?9gSUpoz()(4M_jt_4DNz9HH zcJSGKaBNo4XagSmhq4M2e6ayneg`|RD8Po>EuiHSs(4gB)d9B!I^niJSM?i1PXN5= zuy{3@Mmchiy#uXiCEU%bM^8P%S#T=}=ax?t_FT0Ke2|23fdf^oA1a($IIZxp!p93| z6pm(Rmv>FAqtMcsfvR{JF5L;Wjh`EGj`&GIr2xkQb0$o(qekQ6rbUQLA+RW@ZmsLs zsjO72=suPON-}RYYA5K$GsS1h+nWOH+G7ISfO_1V%4XE#@V?EeHE79R#hBV$bU#jx zhD!ij%w=%&@ThaWgqyN!%B#nZS-{NEHT#zTa{)JJ*`FbNgk~!Kz0|`^TKa(OPxgT@ zYxxJu4(8vP3t#FzcJ4ZO;j#$8TSkg+E|%uOQMYh9QN`fBLqcaWW7pe2M%^4{@cJ8x zAI9e}qu1Y1{4g<}8NP;%Mcz-Xyb*baIY2%eK0!UMurSIEJYUj?Yy2|uECYX|{T3QyN%eJM(X(RGdOLObE$`O3J z3|7##_)eg$6~KXTD-4fq5Z$&|o!hR&8&qo3r6AXN`* z+CYA*eTs7jwoHzLXBDozj*cEzT#q$_YhZG`xOexVdl!cfLqoD#{wxJ0$Pb z%vKF(9h*V#hJ{+67UJ5xW9UBERmfKrep{Q!W|{-vJHq@_e8$8)a^5!J!aqj^A?#Ds z4wkvK1NWYJZNQ$H`efm(!lw$KE}UI>s`4Ndz?+s_A!g6PgA3EI7(!QtlEk~pwP2e49p$ld9;%A)U9`1*Adg{AzO8HNuZbNrny+)TyLZp&X3XEU0sNia)#IbXaC!Z>2;lAQ z4icbWR@oEOg4y`DK|<&A#GQx9--5y6O_O+gDX0lNPmX$wTM{DEQJv?>689FTpC_VS zu6gnydQAt3;<0D86BLy~BRJFyim^Po{h}k4a$sPLMk~jP88S$^KHXYZ3lBa%D?M>; zEqF4?CIbTk@N)>fll7ac&&?sNPa$x{AkHW1PIcn4R#4xujZq=)MQja=M|&6Gz;(5PZHVYh_&sQSAZI;w$<5*}Q8R6|Nlse1;a`mI8|assZ^)ZhSQ z*=`ZxM6p!4J;8emQ7AE}VyBR;(TrGmSQk9@V=}mC&}@)gl50B5j0O6CB!) z_cSBrZAZ%`g=3jxYj$e&!ONGy5VPk>edafH8sjpe)6S+4*lny0!cl?U=1)TiNyT0@ zvKt!uMI3frsVN)hYlfah+6g=n5;ND5;1EySm9DvB#_P*XvGbl;FNl|A+1A3{Oeq!C zJIjpX>`$&4hcPNK{=%c@-Jme+IxBG4g(T%Z7@X~up$)iYP|(+-9B7dpwvV?(2G*4F z!na%w5t>&6P&;otMrpoY_-5ha!li}F3g0el)SB`v@Brq#(|^an^_ueCWXiwZ6HalN z^4HSC^Q0#QoAQ3hP*Xkx(QV3q%FLAa!#X`xn)0j>F;A}}n(|?F;PmHeU2u`wneyl9 zO?f{>hNgVTIJr&vFX~Nse*+9m`4DiADgQ?^Q{LYKu?cET`QQOWmya-+^`?AS9Y*t{ zHm1C#H|6~#c@0`a(cv-W&()jqerPd1jyY=x?lI+WGBM@-@ZO%gZRwD?=`-cuZDPv% z!To(Vg7=y7r)W+20BloJK7<3eDgS=0DIeYfr70hR?l$F*)td4_=t@&QY$dx)`LIGp z%RRa&e`VpS!gmT+7p^IMw{UIYy2AGg-!EKW_(9=%BU7GJAgM}$rhGShI5`=xUn))c z(61pETn!2-6T#@aP;bhIcGFSI`0GccbcHlZsKDx`r&^}eJ&*b;6i`|Hy`gZLktxr+ z>$h>;`fvApnb8`3R71Yz>ULn62%~zM-jomRX6UE}Hp(}uA*H6&J%drbv9Q_1l;^!Q zGvz@DktuI7xML)mD>_9xmabbQ$#kUxI`5hFf_Pb$-CQ`pz?A1* zm-eS%QywN7n(~3eE+naB${(jU<-?I3wuLEA=qWFJ%g+jnWK$k9aJK%i@T0;_g`X6D zTDYaKtC=YeClO3c`4XA(|DrPGuQoL0U67%sdh=ceOy6OIlMt zcmSiB@?mut&6C=g@~wuZyo)5SLF=`qL&nHs%Aaj$%DbS&_=KDCA>bZU{sv=H-UaXN zx!aZwf%cj5>x@l#7r4LgM({pU{vW)%7<{^Hsy~qGvxzYpfu$}(A}o|7Bf@c zgRV5?8E(L?;WFjJ3K=c;=%)NH3bz(+EBvx>d*N4wUl;Bu{HAbc;jY4O3+I@d@?|`9 zDxw~NrhJJ^`GEaWY08Iw4Y}aX>aS2h zW%c*&!ja~td>K!oict-W%9qHLk3Oo**7bkQ)$PDC5k~bSLsLGeo1vo`*eKtqhLoC8 z_Y6k$4}~?xrhFOihKfNIJ4HZv8iWv;^8QisAEZH3{x!y?e0UQa+Jz}EnvqI-+R^gI z!i2FYUp6-7OTv`*z-di+#TRkdxiuSFD!`hR&k%(lnZMK6lvk195brYOLz^pRysld= zQ$Dn|fX;hneUK@CZ{aPbrhHi+m4}IjrhMSA3rQ-O@<$t*@`1<>+rpG5^pqFA<^IC! zWK$k9vbX-O@cY6&g+CSUD?Cs*#mtoNF*D`MWXiumWy)V^Xv(`FLrwV*M7Jsb@d&28 z3)aw-XN`z?dL7Y}532*$J~!oqi{RX^jVXV+p(*d8$k3Dz87H?Xe}SPX?`nX7DIWsv zG39?7!IXEkKx~3qQ$BbAqnYwybr{W)+L-d&4NZ9$NnV52Ys!a=k;jxj%g~f}L5uMT zH|0aXJ*NEi#-_Xr-rIAxEgb^wGv(J9oANGjf8UMZeWv^e%uIPVwy7x}!hzeA=eKX{ zQ}6x*_RRGi*aD>~AA;^SZQ$DDhj#}n2 zYl-<{-e-mY|8f-n(`oo$dvbwQm`q%tFb8`-UNqs zVakhUq|%;tv^-N-XKc#%7@P8CVaj{pw5Gh`i#Y7u<_#^y=Jix=zu#tT%Bx6lhFwxMI4;*$ONhMQ$v!N;96NK!r zElhbrPkG^6UMM7FQ@)I*{F8;J3QrfFEj(X%vGBB+DZjwXl zGBo8~4KOg}L%=7#|PM6L=J*Y3@yvHYg8nk{0r~9sapt@;&V@O>Wyj zn$+YLs6GiGGRPo^2nq_KqBtN3I3ePMf}-F&4>*e`&Z08>*V@B5d+)RNIs4osCDoUY z(l%%Bv&OUcTI;*dIvn*A~`l{Zd9U9f!E zFeXCMca~);uM^V?%cNA^z!VRGgISkSdBdGF;wj-T4?x9K{?+Ll>{IzQp{aZqP35Co zmE^kqX_8g7V>Du$Qu%SqR9+{h(W+Xed{#A#YDhc_t6q}6$U2o@W0}gsB1BVpzm@c< z{C?J{yqN`0?L3v|Y^0Vce8ew<1qz!$hP*3JQn@wOseBhtz{_^yQEh@i8mr%>^3W1|xDz7y= zUrB{j{#46UUQ5~2Hc#a#^^{6J{@V12n96ryDt}S>;`F8I*QBpVUzvW1T`IrUE|p(R zQ~4D^sr=2Bsk}ten93U{%BlRh5mI@HtYs=sn20G-M@;2S_rPbLrSkeA==<}N%FkG) z@{)=yQ+b1(Zk@_Y{GhmEUHU$}7|ZQ+YyHvujAHylIft;~qVg zzbgIu^wsHW(r-w=F@0_Ny7ZgUZ%$vIz9GHTK9ye^n#!-Hsk~;t3{2&X(@+;IA2y7M zko28lnabr~#%f~R(#%5yeS%M?E1H>KZboyxDZPUTngR9+z$n#u=EM6EV#a)+tcqz-<*DT`U`fce6L+9-%V5b!-G=!n=Dg# ziKH==H&B#Q`Pm4myhPSAl_yNZ6saSo@}_&>v(Hj_{Sfs1`AOv`EK_+&MV6_&!A^22 zf0<<}FEL<|${UC)sr>yBQhA93o-T!^@_GYCOXW@Xu=11nN#(z9naWE_QWIJ=l{eT( zN#)P8Oywog+&<=1-auSQ=;Ani-#*IB3X5^=xmR^)xD{7dapd6~9t zDsRw%oXT&vOXam31g7!^>T)VSYM06@)B{s_LRYhENU6MOkk#WJJ(YiN`j+(j(zm8> zOTRyTd-{&_o#_vxKbZbd`X~0Od~awf-%V3_&3+k}${VMlE?7Qn7!x7s+hv)`>%{cJ zGAWfeFvUaQVAiEn-f$<4cuM%o15h!Q|44ePeJbA@n#y<6R6e>@Nv`XkCRtTGMkBT< zmH%(cR9+{h(W+Xed{#A#YDhc_t9~?nymczyYnjT!B1BVpzm@cwXqAMuZ+XRTBDUh7o8o2T*$xzJQTU?Q5G<-EpG#IGAkwHUTb!~k_xH(m}M%jrR-^&r}C6~N+lov zWcvAHD&LK%{D;$brSDGPlm0~dQ|TAlrSfIFRKAC%^3MxO<*&0$QPUUw; zNaZE6mZ>~pBBn?kF_kyn1D}1C%Ik-q@6S&v{}RhoUQ&@|DsQlpoXVePnaWEHSfug> z;z}xiPlQxn;((`1p{cyyfYDNU(><*GWPVclhb&WhNl9u#tETb>8!4&$%PdoQi8Qy5 zIh8jMS5o<#tW$Z3yehezOB+c0Qu!BHr}7eUzwB1zeX0C*yHsALZJWv)bRehlFSbkN zwHySd@&@X1Du0SyDz8uvOyvn(&8{J(@}@ynk9+h~{@(Ow(w|M=m%cy!x%B7L52U}4 z{$l#U^h49b|zoUTqxFD#Q% zc>_~C1P*3hO63iA(uk*ozdQgHQ~57L@JBx}82m9hCT>{UyB?a#N4F};b^X&Mt7^w+ z#5Se!8!S`#vZi8Tw5paVpH&T`8WPXKs$WeXYMsiLEmL_|glH=7w~{`Uf66+QH?!cW zou~4gjnp!QkNDTqFSbtQ%hsuU4^QP4a-pewz(h1V%Xy8Xh+j97%HLp}$_FXIQ@oVQ z8`wHUTb!~k_xH(i!4)lEoD#JJe8-^ zQ!4rRx6@0+RK5pO`7fovlKxuy8|iPQzmtA9PvuL-@5)10Ug5j)rGW3smnLZ{ABzpa z_wU>v@?Cj)fA~W0g*=rnnZGOVBWX(IO%ySeXZHt^BRrKa1%FrGN7gQtr%ZsjlGM>s zdE-6s*{7+zVF>#E{G{?rcq$LJGJRLxry{#l-ef0C+rMkW%>o@ zVrNH3?~5Jxx3dlbbegLOmUYdUDnVsVKbSVX_fcJUAsV(z|)Z1D-ERy^mUHO-Dyh5C_~y zVHFRfiX(ip&v*|jGtE!MmD;{7Y zO8SMwLskco=JqjGJWRx~;=u_4@z?T-2Qsw2Qa+aFL{3)_c~x?$Ap zJdhzqoT|MPMBFdC6?v?9c!Yp_l~+7Ulbg#YfZ+W^@5MmcmK6_^4zS|E$N>j~yy5|T zRWKbrns6X~{oTKOG7f?&9wzEo@nEEY`nu{9u#zVGk+AZG-IJUZ$2gs5c5A2yRXiwN z&E_grJdA^^9`~61#d#%iqn2dS{4(Buy+&T6w66+VV0!*uUEB-NBk zC7L|xQ!R(4np<>#RUaTtCHx`X-@n5B%&upetH1sy(6%DYN z+sI$G8Ohy4ZDtrH*-Sge)@El=o8di7H7RB@zeq-#X*q&iPWDH`sCknu{_Aw6vK61R z6xJAG@kBQbLAc5I*SzUk!`t+O$@n@?+w`*<0j?gTRDmC?mty$Y8Jn5}Q=^HDr_4}# z@yF67o0gNWP$hVZ7i$t`cIBEx(6|PL_tw@WEs(V7Y7)OoUtW0;dN%t^eP@7UyEO@PY_^5R zSU~`|$8rRIonA8e?1SNT2c}qIDd%_hG#WxC{)hDUg}Os&66+3+q#sTHCjHy=@6&%w ze>b%5Kq|=H?hyiz(aXlws2INAHxx_74%HkXaO)253$Hu)NgC@828wds;X=E*gP*J? z>4vGXnlYaxOsG;vtUH+QfiBMK4*DVUS9dr+yzbyvk!9V%U?;imaJ60C!OsNWPD1Jq zdId(SJDBcaXQz3oJ4}Vw9sDY?s5=;Jq|_b0Y*%;ibHI%hT6Zw1I7;2YbPp>t%}?Fo z@$kBXUrB2AZMniKb%#sC>kfX>+&<>IgTXJEPLs^oIwZy@cfJ8ZP6JNSwF zWw#>lt2?|bwCPJ>T!==cldMqFX_kAe@*`_{rB_}=_k`qrJqipojE6SZsu{Tx&u>!5ZP(#4xO~_poCKp zSV(!EaTE&ADj0?_wmIyQ@VbLhBrjH#>JA2u_PT8G^D_Hc)g73C{v zNjB4tv9;MB!|M)4k&HIea^$m_Vbr|I7C%4p7n`~Rlh0HTi19iAtRAfHpqOp;jW%_M z5Hg-JL+QmY%-myBcc2ul>kjVs>lN`r>ka`E(U`36U>wzO-Qj+lxPG2=R2I_Y%bumqE&R{$3d4(O;O(9~NUWydkAS-QN&71d zc9i8xLr>*MY!!+^Z>WOM43aH&b#xR3;LA@wtkR%kMiUgplTbP(KLH53<-O3!t%lBD z1>*9`dFV6lSp=#-rzqfde$|ClAapYLYgBS2VjZ<(*a-+fGdz(nRU&lEXzj>P9PDj7 z&P#=2Pvso6qjz4xe=@?ax}XY$PHlc0DwT?}D=)-{k$~a>CnWrwa3h*46*_*jW^ATU zV{9**aegWo333>fn9+1{!mm6vk9R8=I-U7#s8ljuP&u1>lIaA6p9O9|O(nyQ38kX3 zoiZUFaY(Esdx~(9!q0}P306|DW5HM1c%pJHYC=5dzz(tmZY+LXL}J5N;aE|56|&(T zb?~38@GF7`C&RU!yQZc`ruR6TM|RKD04$-If9w@@I*}_K2hl~wJ@()~VPWP(U+J*p zLaul`yLuojGGc|^bmBtCjlS}s<3qE$&ptZRX0%>oQkm zUZ1%-b4}(AnKx#3Zv0npm{u0P0shqT%FL@W7i2EVT%5Tyb8Y4UI|QmHBu-=&fX_}N z0`(t32$cJkC_FE7JVa@R_)sG0j}L<>%5kCll_-n2P$C-^7m7@%Qb$Cfi0U-=K+g#z zGk@{ltR(^^sVFS|6M6T0h8*|3E&>82F~OHvQ`{#qq0w=aldp5L)4arYJ1h|>Nku{N zov0qajg+{~{g@U!zhQBm!~r*wIj$4=(3;62o(s8$)#Efj@!Rh#5hzJXYSiM!Z=zg& z8!2&{`!OxBk>TVk*$*Ys+&-GPEtt3xuel%7@{Xh$;x&o9D!B{+8Fm(5oaTN^3ne$5 ze6^9?io7pAb3dkKJo&1jZHqt|bRfrN?#Hxnd)1zNHFKbk%YuzB$7ADm2$W=a9*276 zEm|-X>1tL334xl^svJGudQ;}jnd>t*WZsgwG4s~U+cIy@+?07o=AD_FGmqLMP(AM3 zzHq8Xi$E1A0;PmgDgtF3g=-x{pbTSd(Ts#80;Lm4HES?O3YuZyI~AWd+2Zfc{LmhO z>TzFk1)FJ4z82}byrDKDD2;!VB$sxKt<8RIi9qQ@GTKbbknyzRXqD2vmo=!Z zB2W@(e}%!0vRr8>ML?h=@}A`Sv#vU3G(k}m1WHn==3eOJR%GX|0&%`20wt*~tOB8v z!S6wGC8BHzp-7AbrPy{%D5>`UMu1QxPWU!wPPKLXXnerx(5L0SBKgz&q~MQRLMW2* z)I>DmQlzBfYb+rYi3M&yO)9Qaw%?nTlzh}0LXp@|H6ddc()!_N!IzppZVjPGO!##X zi49+heuy1}BJ&WMqT888PSu}n2cc*=(WmNmT*xVVuKH{bLLu90AcUfD69}P59->)Y zBnTyJaHQoUdi$Kkkyespb%q^K) zGw;vbk@-aCuFCPCzI^j=7d>cmL!2IW5l-54ck$jKZ3Ktu^1sB>*6AGS42;i=Y@Mh% zN4bCV4ew|x!a%kn9mW6RALgv!s9*m?ZDiU(cd6D#rt610u~*Q-N|)QFqzVX;`$O84 zP+4YYn`0|F?f8np;P^4cx$y=!i5*($Lw&Y@J}Er(My62V{E$YWO87?f39Bc6A#jXd zKZ?CX1p08u+KPQL_?T&j3}Pxiv+kcFM;aZWs>IlkiGjL&0qC+po``x^pn5I%LN&%J z0}1AqS|m8MXX>$Em~`p5){Qjelagnv3a;SH@0XGM7e{yI`0-QkQ+ z)@#$#yLZ)RoKevB)adB$>BEWlvIU*pfiLgu2E#jQ&gRDoo=<@}uIc8{00t zz(+i3{m8_`_~dqS#eFWf(O)_>96z>AGC5h}PixOg_HX`pkf-gW4PBFGmRD^ifA%@M#wTZ-5vY+(ksHI` zM@Ofo$3W#yeaflB^GUax&MjvS3^~)a?c+0GqnRV%uQR)9qxJFAYZH4q=%Zei>93!f z9<4cLl?z-nD0M3?rY0x$sH{Lw2A7qN@I9`rt(hqqAK`Q2VDD#CS;TQ(4vzB-DGa@@ zP&tPLG6R)U$cf>P(&t?4IORFtKy6}Vk25^Jvj$rLETZ-CsYw=%5ZCjEGGk;$9cO@p zu^G&v6yxiIoG3R4ec+cYX=ZZrHGFOy69ekPpD0|T6<$(#6IpJ7yUm;M4D;ux8N{wu zA!gV-H8YO(psVaOoboF7)pm?ej`cxgNv#DYaJJzUTw|H`NsPFa3}nBeYXP+ey+e6D zP7>}TjW3g1_;1bb6Ka&!))p={TIE<=h3C#bG0m~?Y|VoRXrX_rd%js=kA5vBI#e@LTt;m>Wp* z8V`+{TRgR(37_ZNNChS&sfd*@z?HU6VYJ904oUo`O_@4j^T04X zYELmcc6W5>lMT{TWT-YVH8MumK4rhHpEM0m9&D6HIVbEI8>!bE_|FLLRaDnZOG_oJ8JH0l&rzl%<6Ar841*ho979<%xUMU%;l$0s>WD_b~#wlIO z6tp{;vf4OhwK8QjpVDod(ydJC=2Lo%Q+kvsJ$%X<P zfX-b4=Z_oKqn$ZlAFN9yCyp9=DqQJe#n2iO}9>)d*n zGqMemC}(O{Z5oD5O@^m1Sj5HJ637V}i?xHxYB@!H#{VC9=0b9d+CRDaI1~{Bn;yDL zD%Vx;(Jxw|gqunQN<99w42!{H8B;STbO=32BekMc0D4W+!=<0fd^&S)<};bkX70<} zpZQ$o^O*-SU&tI^c?4Llg{KZkI1Jm_O>Q+Y2M}h2{Y1czl zcz@gYr6_9HwD2(+eTaR^mZw^w6XFu+gxEIw=IWy$ZWD6I+V0Lcyz3lq?nsSokkva# zAilnD7MfaoL1YZKdHli5%d9@)!RlbVNdef`J=T|!^p7nhTm60=W?I9v@LNYP?VrQH z$7vMPXjKE_id7Ax8WPXKs$a@HWc3jbCNA$|09JJm{>fIwV{h;RaMTCZFijF$J0^lv zza9P&52Khyt7@6ztF!y88b&oFo`qGvl6gO~YQNlkR=XCvN9e$+{rY9NAFO&nbgLSs zNn&fqM6l|2s8#Vc#^$DE8Pcj52I5ets;PWi< zz|v!j7+sK4pU@mK{E>b7?8NMEYz~8lu;8hk9R_vTz$TRvAMtNMSP_GWHkZQ2rC{PU z48tpGqKcxh>v)K!zYQwxv2eGcSV18db{I5hBAVURs^Rv;uNyfGdWg+oP^NaX5x#1izqY8p@$ z;adtPNphAt4|lN42EfBuZL&VT4H7DXJ{(`$r++}8EYDpUe*-IhFUN-yF%9EJR zrl82&2M_;~G?^7!xKRD0M%p-fXFP*Qa#?Z&q%w5|Ilo(U$F3$rH59S`=D^3d@Psab>GIq`8nOnY`vxX}FH+blbq=?G?6-%U(?35e(3nPc({*8;^}&^5LvI;gNC}&oP(tKw?h=+UfTUik-%S+>y_I(%B}^oUm$Hbh z0WbZs;H92~`VCZ(&|7U6Qo?wgc{lAy_e&z?6A7&oT{3!F|%ug~u&HOC$^UN<7j zb+0ERj8!atz_*V$-ImrR%Tq$}qxxsyhRJ?xkV`1SGq(_Qpn66y$G%FpL1hieuGIXv z?1E3kf1SCgauL>jT8!dz;F;);+7^ZrwtP}&kC5zY%W z8E1qW#I{z}p*6_4Mrw{XQFHi1A<-NhcZg_!Q3h97s@y-^M#=bwDjXdl(x8?*1GVg@ zLG;=YpjYjb*jwqFT}yy=-!N4rd$-FUbBU^CXB#7GlAd?;UPB7@UEgRMJvKE7vY;YY z1uD`l2Lx)7tn^|92_RgWdDkQ3r9W_x;F$N~q{6T?ZN$^INtulS1 zZIqcz3KE4Y(?=sznYtOVeC0&0%raBvP4w~hN6Dfx%PZj(w}wolw=9X2m|>skUEMtv@diRomaNYRSw!kQaQzQxyuyrJg?Hkz1+M(J@ch_dv z(b{SD5Av!ooQ5eXJ7)M1;^A#{V{N>;sM1 zn<`hLjoR>u;YRwnDLxgA)XxG<&vExLv!qg@(2LD39hKLiU6z7fAl7A1&pp&I{%BV; zOdoxdXP>o8Q$9yVl&R6o^c?emyP3(=|$s^NOm7LPCQN) zHZJ%gHV(wjvT^)bfoL2O3-9B`!Eb<&q=5>)=!{cc3d>q64>ChQ4ls^CuOLm&)Ka!D zd{v`YH?6Q4iHSw{xW-b8zhoZI{5A8p%-=IlWS-1a>6?1Z5LlC56D%@XqvGdX-qA*{ zH3+NlE0ylRIu=CzqYNj)1}54vx4sBtHB(G$K_skfcdBVbOAD74ofBI@`A)flwY0NRzCxq#8hi_eB+(vbEY>Xj~jmx zoC#Ihe9$9?+o!OsI7KiA$Hg3i-FZ^@4u1jf(@C&%{6lV=~`64_xU9V9+hR@#ZpJ}60=oralBZokaCbfrf zY6f22wIlov8h%mXt192&3a6i!_!(8WFT~I(oGl*YP2`XjLw;LX0VL`AEgf0+wg+Ob z=^C_o$39jwe6h#ZvFkf%6TCz#G}P^w_DatMpS_Gx{S2syFT2XYZ87CD%4R^yx<+7DGv}pezh95 zU?X8JKCbH6_oZQG$@238P3U6DFgoawm0P$`Hg6zC`5|3_eASySjZvf`&O(`nA&ol` zq2znSmR6fEoT9sHGO;cwoB~Vna7qjqLSrdW{g|XBR zgJP-Y=whi?WzWxEki9T_QTDdV0icLnE8L#7Wf-8kz=qU*uN9zmQ5P&~!e*o5@m4on z#Jdm0)+#{^r~(eS9?bx6@qlaiL{&Gs+oNt`9}ha@%4sWyTraLBnRmc#lZi@@cLZw$ zf=r?iwLlmypz@ZxQG*RCLOJou6(O8Dh+mw2$HwP_ap*^iyC&fg-v5i4hcaKzd^Pj+ z%r`UN&U`oXXy!MWKWCoGoR@ud_LA(S;XU`sM-JgX;<*F}Oz5lPfwe;Z47zR5^(&Zv zm`%_9PWpl5Lt@9U$A$dyO!wU1Y|(RHqfpu)U+#SfxHa!SvA{j|4XS(wd+tBqvgf`= zm9Det`goJG0RJRC_YGG4=jyrth(*tRjT0@>p8E!qE{>l2w}kiH*Jzp*GXJmV{xo~* zO6<9Bu=oG<+=u>l!bb`q#fbHX_S_eokG|5h5A@tOIKZOqxqnf3&;2knEdHMR2BYj7 zJ@=2Z?71(PiO1*spzFThbKhXWeXQqxlTFWk!Hz7g{YUS)Z!qpZOwaujZF=qtX5Xwo zZTo*c_xCvaUeA4lbN^q@eea_XiczutyifGp?+ov`FFe)mrY!Wx=j9^&{37(kk901C z-*3Kq?i(C-(e>PaWoXZRPx)L|COm<)(4FI%gRn>(IE0kSV^XPHsSrO+ z3!~zDX-hB5z9xHl_KNIlvsY$cm%S?c`s~%&YqHC%dhRm?2;d6aT0{VWwS?%TJ@@^> z2RCLnPD6DB-&&&&u|I~`bN}G*p8LVFs@OmiG2uaKiB6L{-) z&B+AVc4@PI|3oyqt5w4&;@6Gz+`rkT=YFUXJjF{r*#d-;P9p@2YfyN_>$&2^(+F?N zuC?g7&qNpYCw@3)>mqC{^$IlSk-nX;#C-gBQ)PpRbNH)VS*d+t+e*mlpd z=YHoF)+}EmvfJi9yyt$vZ6wnhh@s!pB*SgTCxz9{X@C9#-97CxL$wfy&>*A_uO~IHTK+B#r2v!?+tP1x#zwsuCeF7DsI_b&i015 z^W1a4$h**+dhV;z_U%<^=eg(pi@3C=p8Kk_{d-l~dG5LYBCprCzURImEfl#Is^`9W zVV-l({oi;J>U-{+CG^(hx%c`uOE~AA`+|h}p8IAAy=8bIC7g55eL+Hf&waCm-pad> z63)5j{*!{2YJ2XRCG=L?g_Lm4J@*9(^*#5^5_&7_LP`j)6ycX48t=LPq)pF#PfCs* zvU9H{2+=J^8PalI(F`AFo8(9Dx&O}W&DnQl-<^F=_PyC#vhT~@n!PRi{_O49JF<6X zKajmKyyrgCics%_z9#0L`<+`z19>HJriH&G!#c&npNm%+rlDqn$B?35BR%&|4ezdR+^+eDQw%s z`$l_j`kM9l%=Fw}X47+DqejCiMk{5)+luqkbKk7YXQtdhUCw<$;s8&4=bAtV_NU`M9SV-F(D2PRd6NqcHA{ z-gEyW*}JkI&EB2;SoWUm$Fu)s({rDxLhRwnB5IlU!5Rxw9 zp8NM%_1xDO$seEYV`f?0J@-$s>$$Hnj4#^V$LzAmd+w+0dhTlsV~C&kG4m|)p8IjH zt-ITLp7#V6dhTn?qm9V-G2<-qp8K0U;{^5G*BD0|)9+)(S>!$U1>*$u+}9XKn-%P1 z##!V&_cz=1-1m&*&nwU%z7Gqt4*6dAsz$GFT46I16N~V1jinZ!%zi5S>FmAP&tyNF zy)Qcu+H;?(f;4VcTea)+i@@TGRXcj^StzTSVp>c4|E4as zU%Wl{JGYoDuqb=(uMF+EZ??rV({taf;Af!c{!n<&eY5@+PtSd`P8VCx{TGGz+&3$n zEgsR|t;S=)!biZT$oIvcnV$P*Q~g8q+`q`C=e}oQ9;Ya~L}(F|b;(yYNjF+?i=f7F zQW4ZJ3L};1J@-GC{e1R;>=&|M%&xHLxzAJqCouNqp8K6!Xsg}SYQ-X{Mb~q`X3=xs zY!s=8vrrAE8Cp93J@?IKTGT!FKj?J`H}>2&n@lL|ER?}KgjL^PH|&!T!hA6mFg;U? zw&(tTdWJIg+&3FaDDo_np**~{F+&OSZ49+&d+s0U8Oqpm-)tzM;ImMM^3dPL3?Jin%h|7FznZrua$_1F8t_xgRi5)s61⩔2Yp8 zI?t?i_KY6x#Lj9#mY+o*sm?9KUHnxN^~GUy51ZG)?QUgD6rHA_*TO$oc{v=_&ZahR zs^Dwk|B0${irTlrY2~!fVR^Uzm0(y~HBtq>T0OhQqmOS~F#6hel3C?;?(~HhduNZT zycFec-ppQ!El~vspWO1?gfO7K5o;^7_-^a$&pB20W-6oV$0VUW0io)>1Vt;;wp7Je zOg*aX1yM#-_ym$7tM_73G^*m8o*q^9o+hIzd>KWd>b)!!jjH&<ykxc z*Iw^4yb94i<^4fh1&i-J&K}5#vbPo~(X~dR-YYfHBo$vj1fpjXN#V5_^73GrNDAxR z&`HjUW1P-2JxO8n4}W*iR&w#3z}eq=Opy2cC==RcL}9}7Z1J@sTPDQU_CzMgD|nO% z?OLKR;W>IEOo(sRiA<2UM~@40A%3Go%O*{7=qLom?h?bpe~G5CPYI9)~Q^Gx1T!oy}>UuK`z z_L|VpmOq-UP7@2zfXHral+FG^c;@lvkQ6jhPBh7Z(HZ=6R>G@5`+qb0t?ak6-^qSA z`@QVlGF#B-!N7)}|f0F%a_Gj4_ZCnX=V;?H&gbx)R@P_QQ**9gc&%P!5*6iD} z@5p{I`{C>-viD~n%ziEVjqJ~}|6M77X7lg`uzvET^H;^`)~P)7U7h4pMHqL(Mfn-Z@M=D|OJD;nt_ZYkdTJ;8 z5?p6TTQ|%as?F4LGvHgm zD^463IGp-t`NZkkHg8z%%zAAazxfNlWj9?>J`tEXyd%(tZEY^cAXMxSuwoc_ls?`8 zCbee)6|{yfY$DcPqH5YfH5;eaZQE8GtplBnQ%;Tiy@TijKT_Nv3#gn;6>MXi$3=FK zEc<)Ns&8KOy_5Ph#tm5a$0xxxrg!hEn-_d> zPSz%rwWPdJ+&ebH{(vpO${U4s75+hYvGPV?@q~YLwBdGD-q__WGw_d&Hr$uW8&`|J zT}}6$^2ToQx7{6N&na*05r5m$(T4j?dE*-Kw`)4u){@_@6@R<7qpg?xwpaXZFG$fz zel2d$AP0)a-Eb7Ck46 z`=x3e^0Z`eX;lq`R}xv+7JWv-E6FVEe?CLum8L9gbUtI@l~lGHuP6q?E6v#+yrLKl zue4;>;1$Jic%?PF7OyDA!z)X&y?8}2AYN%J6p0diM#PIt^F^u$#gKSyS-wa#p&Apf zEzcK;E>wf!wf&lktI4Lle^Zg{;<0B}AAvO|39q>)6GyYh&7Z)~=dCP1Zif7Y$H_4z zOm<8C0Ru2oFc~43ER^iMrG+ov;hV=qA6oP|oN5Qdz$W>{vQp9RVJbFeRRN zp{(%`>g>Zl+s9{cxns*T+$W{dj{h!uf8`0Fl1pKmTQDsnwAz=Nf|P(_$WrPX^R~gl z&wA^&>STQmsvdq0L0OYcXYGf^44xD=LIdX{TaMj5e&WbPY-zG3o^)v@TbC?vYFnOK zdT6S7SuT}WKKqI4?|_+R+U7TzSTAc@C}CM>KuyturJ|{M@R$Z9ms4?9av>Fu{~`O> zM)bHQFcqZQ$=_ywpZ#O@sLCh_%ulEePj0A!Gkl0do-83ap4l@w3cCjRbI0`5X|qi_rV4*3L#j9gHzoZhgWFPd z^C0d@@ZSwnV>NL3WsEUM#EY>Mh&l}acYjj*?cc(sgw>7&^I=TlvKYts3^_z%dPZ?r zB3UAfXYyIFz{;$_<=Fbl04ZD`2b)37ALMGr5I zBwP4j#zm->;nT8AMpW{%NLnEBK~$P75?v*7uu=Ibg(Wu4q!-{DMcbH+yMBhFU9oyI4f5og=<$j+KW3V)KrLQ*8!9FDPlvAnpIppueggd9;{hrL70{1{yRaxYcDes}^l8y;(TkZ5i1!YTP1I-u<>8YdNrKd9d(Am!XnbaT za=U{^@{e$agbV9OCMNh5qA8q@$7SIO5N{xklkXthI$Wdhnh8?_s`O}3=^Xeanm-0K zKNp_SLN`~=ChJcB=Htp}p&wHV36Pgyp(lLJ3ISOWe>8^ zGJG=BqmU1JfY3!s5V*~KOLO0 zapK8j3#SCcZ>U^>#9L1)AHR;uK1`@GC^n# zQJUR^rcln-(wwIDuqGIbrRe=p$Z8B$pe77%lZh7~IUk{HpNvuEed z$-TL<6SYnvc~T0dCp-L+AExJ{_3_hT@pHH``X;6zW|l(O5+ulq?5QP7+}nfNPpO=T z6q^V7Dph>ek0*u}D)UU~K#)i5u9eBsj*B^9iZx%99?l7zxnN|8!`+FDv~DO@ht~}u zD`yf`{6T`i%FYo|4}i^NW?}>u0*nkc4?^;$iEPPc1sDK2%naF!#>PREI>G~V^MQH0 z;0~c0Y-#bh1kN9*yqXxTvT4(1qQgyuasS>4jB|&@C$us(wae)n!8`{uJm7&_648n} z7M00`P=1=5TR3fCCtJCWC~{!13L7daatq*bT2Vu{@D-jdcoJqiYb`WmB&q+>0lTsVrV1{SrP)6aM0GBJ^^bUd3 zA%Ro>Ubfdcg~K7pDd7q@y{n3KOV|NRpw7S@5IE&a1z%9y9k8U?0ZTD;7trm1+EL05 zs2M|cK)HjkT(5f?kS+WXYtwD7%$=8eRqp)U1-T1z7v)}^yEu1Au2^{m49lhlhDe-q zEb%D+`j6kyvI~DnONQ7hmNI2+0q{AsOx+J#S{OkvL04s(Z~&n%t^lNT?D!XQkpoTa zX+^fV8G>i_GIJli#DJNAHVK^38MoE?cqj=2> z_KTFX%H#K74^UP~(5fHOTW&Kgd<@w@8u{+zn(CiG{Y}WP3FY!M%U!AuH8q6F6CelG z!Q8yipbOT4p6@G$Q4NW%?45{d!H?);@z>{`Us;WQm11r+i>ud+vqpkAndmI4A*_1) zCR>fLe#f^I_Ny6Kz@T~nehBFulyg>Xaz@6+rfV}Z4yn2kr$oB2vT-<;I*|J`fju%> z|Dm$DFzGT>P{^#%A;f#+$5aGLmp8R8Pb~pxv<;xqrL&Jz9|k56flelRsMeH2%bwb} z$0*n{tuKVIO&ug;P$RM_?!h%GLZR2>4&IoD3lLlqBonr~)VaA!b64fA&b=Xboh>9v z4jZlA7a&piaw&mC*D8mTpHWEkMV64Lpk|)NF)&hCpB4ioYSQvTL!uwDgG4oY#6`?Y zhg~2I_P&2yNYrGd#RZ9G!XQzDHTNbYYSQHVK%&=%LZSv0?8A_#Nu!Gs61_VV5)~{o z3`@;F5+rIe)!v3g9}9y-JsS+$)r3??)Fi0{iT)%M64mgbsSMc?mm$#uLm^R*I*;b( zKwO4I?+AxPJ?bHKA|^g;z(z>aWDW%qErmd$9!qwRsEMoyiQXLoiE3%G@{bP^H4zjc z(NZ`h>e1xcimxE7LZXy%ObM3VvI$FljiZF5)-Z--WRW4!YjfA--jsWD?)uyfxwqtQ z%)K@Dw%pruH|3mANR;US65TLJ6d6WHRFPeQM0bWkq8>pWvAb5bg+xu9NRa5=l~733 z&&t9=q9(o-Nc4xHkf_HvcUX+pBSWGleq>1W@Nh`f<7aR_AyE^53MBeJ7LcgNp<9t= zNF&8(qd=l2wp2*;6Je03$JE>)Q4>QFB>J3CNK{~F9wAW^b223Qr~ot?AW@G!j2Gu0 z5;ZZZK%(~voEjj}XNpq=5`8cn67@JW?tm&JO4X$90=gX#;7(<)fKkd0s2M|cz{rs3 zJ99VZ-j#cI?mfBp=5EQoFL!J1wpN+VTs>qlUA<<()AW;nm zn%L8dtO$uN4}nBIvH=mV6;%-ueP0+P>X8izb*)HCkmz;X)CNe@BkivL9wJGKTY-?M z!PFuo`eZO98pr_d-U|SU8n_l9(Qk%8qM=+b7$j=oU4TSC5DJNU-1F5f7!oBkmnqM) zyRtDn!Zb+0BlJUXU5gHh-k!T7cW3Scxew+(l>0D1S5}ZH6?}0(qMcg^z~|R$FeGZ6 zCT$?vTayi>5lD1491`_3%Uvo8Bx)2&f<%p@8WLT>ymXN0UAc`GkSG&9K9A<d=58q)Ac<5`EYX5|#PTREBJc%aCZh9VAMq2NZ~G ziOZ1aZI+NIp&n8vVjKiPq9$`FkmxEKNR+U|Xksi-XH`Qyu&%r%Y9cE_q93t=L{*wD zSY}C3ghW?aLZXBw&sI3X1WKP(|p!q2=yq9*RlRT*P=tB-^_h0_wC$wa^KB;FZX@GAM7E~-o*il7AYj^*J>~%YMdsePy`ZHZ%sCk zMj+8MEg?~&S?*F%AW@@G5+rII)sW~4=B0x~f0%o&EhO4o-0P5NkwBuVk;z-e9Kh2+ zqNZ8WV~UWddSl`}){yA)?I2O2HtsP&kf@1m2@*98YD6~0J-9|iNc2a!XN!<1blsXw zJ&^lG?gzPtb3e{)j|7R9_XS9_#30cl7ZW79Aqpf))yxYlixUzxX?dX`(RW9HL}fi< znD);GiJGjmxFFFMD@fFD&AkbUnlw2-kmzN0kf>h;`!FPG(&*xZL~pf&M5%>_VX65? zf<#TG+S`!mkE|e3VuNA3WAZ96Xp&TdM89DNiOPIvDnqu!Wk_^?J4lpJ4=51X5|<&- zcUVHAgnCGwh;a}EiJHuzK%$4*K%#^tMiXO!x)~BRkrg4)TWugwm8J`pDUhg%pa_W` zY6*!Fnmk+K2$K;KrIcezurTDqW{kd5+wwA#O_+z77{gaB0-|JT0)`{D+>#W zn)p^A(XZG+qJ(kou=s>VhD1&L$dKrPmXIjnXI>#u6MqUMy2%z2B^=Hj5;d`lRT*P=tBPv)M=J)Ju{e@_0~ z{44XzB0!?$#Q}+yC?x9FYA_^foF=7E1QJzmO*W85AkkATAyJ}P?ov@8QKL{2Bx)Sh zkmw5LrGrFYm7lbQM9X_05-kx(R5fxKBx;%^J*EhWsy8OyV-1P^n;j%d)W$s~2og21 zEkUBDL5;|!xQ7lBy&%6$ghb&p46~`<<(|l$mp?y$Vg7lMAkn^k0TS(Ekmw5*6D0ay zQ6N#OW?o=foRFwV%L@&OzB2+OD(ex$w0|~8)MTZ_1&KCUL85+Z?oCM4q{;b#L@&03 zMExq*hapjuMi(a}`d&Lolv-#QmYRPgNYrGiy$y-}zzPy2HW+RU5;aLGL84!^gG6OM zG?gJ0l{68TA<<=akSL)ZP$04;E<>VkvxGzm^^iJ|1teSSiBifjC0KULCJYiajuMhu!x)m0MTSIQ zoxeDLN&eFOW%<|SFVA0*e{KHC{Oj^pG}2NR$xd5xZ+; zTS(Nzi3Ewh*AfzySXo#|)Wo*}i9Tcpi4w-S!!`ton)s0+(RNEnl<+gJkf@121rn{; zLZXDjxkI8Rwp2*;HY-S!`rn)&Q4>QFB)Y#HBud$tM@ZDfoD7M6R{$D=AW>$*g@8m& zj4F`mhXhW8Akk-lQw0*e#}X1HoEmpP6%wUtQg;E}4hWE_vRBBEsNqgz2aF7fUY)-t z|Azb<^VjCD%fBiA=KS^f8}b)(oGb_u?Q0kk?V^yVBBKO}uCakcWezlfuZ$2H$cm8Y zlQxhjAsZ0!T2U1t(d(@sQ9?E()U_flL86y%QwKq!RMCw1AW;(o3j~Q8 zxE3JM`)nZ5Ag&h-5;gEHK%zI(IL?r z^KZ?+E&ulXP5F1^-G;9+T+>kB z5PZbDSNaMq|B!cgC;5SII>X0EC*7~j5=HdUZ(_3#5Vw<kvM^a{^BzF6ecGvvPu3h3(?lhnNN`d>e7f=~uyM8pypRfAA=HaH>aNh}#p9FPy)Uyj zriFOiB@3KfTA4&nQUgOo3uHZU#IM`C%4f^yXl?v-_(XL?)kCG2QUH?MNFdpSHcBRr zksRD_a=L=~$Qj%l@2Q&I4JZZQ(2Nn>TYDFLh#|-!3*sTVPt&uVUixf!)9v{?@^|Jx zkpF3=j%scK|ASSV`r2x+w!D0;6WQ;nC52TzJObT$>~wA0jR|7r86Sds=lI$bh)GJHAkME*~Y0 zyq;t6T}i9r0fhvIjZnt@45lLb3aAm>R1&_`PiQ?`nPb=xGkc8^)(5J$Ka$2>>D|2K0AR zoi?GLun)#-b_0(%?iOT?MCGa*fu3M8N$(ESo~rCZXP0i4ga^+ItaOtu`md;G+aiP+L)OFZe)Otvmr-qf}{we-+b z^Riqjv3&Myy7nXGr22UJyo)}bWYgu3i}!d+VU0l&DXcM!v61#{Sz0-)nFUkg&mlsm zm*$V=@6LZLe^377`A_6uM_nvc>Dz=JP2(Hij*qR!?l^ZL!K?w ztp{+iI6z%2nwl65aIrsCW`L(`^U%qI8&BlA_CH5RmDePRpAAl)UYnl5hgS3W>d8V_ z#i#(?1L;Nwffl8El>TWVwGtl9HA)ylDn{&>fdKRG@;#qhu>-;wq>Qas>V zb{`!YHhOzP6?`e9`VyA6@!q(n{+y!PDU4Qu7)ih!+;z;vNX8Y7B9yiX0i<|rWjl(O z>>C7nJw!NPw1mRBd+FGC9es8X;)T%>^4Adgnws$DNUKHzljM4otw?BL^5K(h{q)Gr znzL?tdTN@}fxm^2Nha~WNM^D!N<`X>WN4g#dyJ0^+d?DKNhFeRW2Ezi${3-u3F%P3 z@WpzlI{a|m^zO;10zs??cSb@dGl8I}!v*q%b4Z9hWqgfc1`1bPES7{DBb|H|cj%@H zxM`d&o-#PfRIz($-AcQ}iY^pRkIFiF)PDGXACf5_;@(iZ3Tbnq+Cje4;Uu&CQeqkQ|{IMe9lB!Qm6j6%ch5H8G_6zN7+4pEQDL z(>UyC+>j|Wq$r~*B3Y$cxSCl(nB)^7vqEo-p8UAkljBL3sz0N+s`?jTDMhT3Oso%Z zY!sV1HmNYI+(tI^f!f5#9;yj-;R+FpV+2@Zr(U7an3e2nW!YuN{w&wHuy!TClK*P{ zYx!F?J_jx~6QN+QY`Zo8{`?2?cjZ5s|4jai`G@jf&VN1sU^rfY-x|dKbM^4en!2YS zFX*Ir!7=h1Omq|D%nEqHMd5gX4F{fe=tfStNC6YaFrws-_&4*3aJ)bz=oVRt%s#xJ za|^)>6jDTDPTRk*8z;FdreQ?1h5gQOyud7}q zf*V8d0*?8qXku8x1{aXIO@|= z6TIHgxdqFasbz|8QxaZqbSPfnk<;M?CL%Ik&>xBycvPa{1t$73UhwK*yue310532R z6!3y{I9}jU48;pf1QopCI~I6>N0ltRfp`HWt2z$}XWZJo7~d1*)Hq342}zAz@yVsQ z5;lq#+!c-&c!JZ%GaN54N+PZMT3J$Y8)>8C1wYOIEdTTTFY>?4Ka&4e2wp%1j(``y zhrbD4pcn?0eGe~a3&RUMs#1CofESp^N_asr3@`BLx`7RG@CFD=c)|7Ic!5WndKRG@ zh!;?i4^R}XMic}M@Q)EZOFIIeqv&|SqxoOwf0K`g-~~)nF=lpmHVH3)XNQze74~7{ z@$iBtLhu3&u>iclL{Y>G{wEMG@aVaFsSz(QQSnfr*ZX7aS3e7kI>kEyI8p7znof zHvhZ)@AH4i|1tlk{GaoOTHpngYBao{lbq&ul&6jC)NV~1cWMbQFisLy7*c6hZ%Yaf zc6h-};dp^3FV%7+yuc`fv`1^DkoIWp2#uUd>4DWAq|(FV`POi}fC+7f7l4ph*jLS< zJ9z6ILp4qkt*g5&ky@@a2H*u}h2sUDtg3;n@B*VCvWaz~$R^f}&lvc!5WgERCUffsv$u7o2W~7kH$D@B&7#QxTD@Qf}p5 z%qK`;-8>5&IdBLXw^6*{Aq%{~6Pr4A6uiJJ7Io`D!Khyc4nf(Y;{{LTpUgj%pY-s8 zPQ(komH$ruhxwo6f0chM|Cjt<^MB7jou9G93ySu5L6PDGWw}sKH!=Pc3V6Z!mUux3 z2X6ezj%ZLWQZa>%_&J3WEb#(?pqz{N@PZ=63lvgBVl!S~oa8R-h7p>zE?{B5#S$+F zme$j*fEO6}lM1TFF%3v*v7*1S&|!ra6tN|TYt>-9pvdq7#k?|JaH$nuK*&bI3rxf% zyx=@Lyg(K@B3@u(M8*rQx4{btA4=S>#|unEMZDllOT2(k6&CnVynqsKQp^rdwGglv z#|Z9C@B;NN_z*|O3tm+?zi>g}!on9U@PcAzRJ;I$A$WnptB4m|Z;2NW;;OP0ynvEx zRjA>rX@M8qV1pMBE{u4ANn8;xc!eciV3OBcHz{6VpqYGi;o`z2g_l_21x2@|g7N)= zBEt(5-O6~u3+?a%LQaPln25-D!7+At0ihBNFEG)U@q!C1@B)!|0A64sDBuMLSmFhQ zVkll@vl9NH}B03yhP5m5|if)!X7q*eG6bhb3M>1gFbU zI9_0sL|XT?vIvQ);Vvj`biClQ!fOhb7p^G0ws2+P>o#~nF#=uyU&SSOfnpd5FKDsC z3kX$Pyud_O!V5aA@B%{Djpm4h2jB%J!V+F^oh4pCNK?-ubOZ4MO8Edq(P~6N&;b7! z;W^TdK+lSf7hF|%ec|fD6E=83(c=9A@Opw5DC|pk!C!6g0-0C|Yo(C(Xzd7%oJ#3IhZnr1 zaFQinP_%r%0EEO^w`zu9yudg~w65;9L~3ii;0#N=fXJ#E*a|N&3L=|WCyH!h-3X1a ziivrpQaFYke{11X3%sBh0WW}jkm3c3kwv`VqZW7pp-Gm;P`toMQosvlBH#stbP!&^ z2zDwWl2yu#7noL;fpj8Xc;W^Pm;IUNz(;Ke8;AT zw<9XU3sk3%)S-n140ie^rr;&#t$UoA-Me;8?7??!Vy|e$OVIf^mV)Q)L|!b%Kj8^* ziNG5#N%|194WB$vIc43(zDaoJg}%KhzG~x=t_~bG)D0?rPe?yN&#AeWiRXf2&98#b6@HdO8M> zYibg24FpeMRpWC1@zj(X$*W6ZD;}2`)8LE)gPoc2?UV3o*!J3FZJNG)J5qNh$0xBx zLVv~CRhu548Vfcq^l|58fs;+NwdIn*4dv?ax}iyU^OL%j_{yxy%TR6CbZw?KS$8%8 zDartOZGLJS^|U}UClVeReBbolm9x>x&=O`l^0J&G_U3UZ;}~q^!HyFh>nY%j z9W{6z8Qpw*#$j!)1KEJGIj0CrJiGEr;%e1lc)N&j@n6Ii;=5b|7cgj^*npn4E=}O$ z=<3gak0d;g?)#DITcIu+L|Xo2d38>T54nB_x04=gH`xsufh)ZEIxcZda-bsb-G2w7 z_#0BZ0QqCo$8 zEGiHfn5orKvPq}7a_b)09pJGY8>x?=I_lWH0F*loERIgW8=19wZDLO_PjCjFF#s%$ z(f;`4*&;k`AUye(Y!go-4tdB&-G>~w8Urpy>%bC!qP4mqW$#U!kt#59N#%T^n`(6( z@vi@m@FBJVp%0RY59U40&OjSB&MD$o%Ic!!1)BFV!obSsX#@9`4V6vRVYJi#5MKQ2 zyvU2-h1fn9oDE)+MN@6v4PHCFbsS7ZUXLE1$AW10dvHJtXf2q74jnXo_Vbj1m+-0^9O^6K> z{3LacjPi^Vx7|5j$JfN+L1)h@c8j2^nAS!JoKil}U#?(ZaTz)p_eIbKZNuc>07N6r z?3g+O9vZL@s)9U8!u}jcJr-->q=5P-sy_wAB!N%X5XtMgz~7v96+_m2Uo}Kr_Te^7 z!OOmWgtzSLuH&+w2wL`^1QGB@TJ~=*+)=o*@PWby3m+xS0Qfh2gim)spy7bC&%kmtxov#=?&%uUH?HLlUxkaXn9>EF0qqtGA2+sKITz&3+!OT$OH?FgEL z=Vx-NjtV7%$p*%>`U(=jQiWm{JV~RFKnUF76IFc#SWXf3yFESh(G0Lmh*gPI%WQ9T zW11rL^q_qY0ewaR7{VR9M4AFh8udB$*{)-kUU4_mVhg6mXXhdXr zbU^ATm4ss42pSaF3R@(-Ro@pEnkqNI~L9T;_SMwXzhm-n2e`P3E zi0TK1NrG;)BO3ODRn?!9KnU-eOpp$ZCO>ckArQO*s@P0Rc?L(&vdLEnwp|#;G$5>n zBYdXt+)6ulgyTS1lIcPxH|;9H_j9cy>=@s^Ba$b;Lk0~Tqpb-2`bna4bR!z}gl|@V zK|O)22tkoU(TyCy6OKb>&r^Pt_IlV;Ydw!WHf-+`sYJC@emxP?2eUf8nme#|ob) ze5!D7;j@MN3+W0c3c*SOOUa$|{iY z{!k#pqudCPVPHcBGX6ar$Pl?`0LU<~p#T}{LxBvB4dU_4FFeeU#XK135|T0Hv6g@g z(+FJK%y+F}Qg$mk?r5o}V7rvMq3 zg#j5F1&%ikWEgmofQ-{Zfs7EIEPxCHA2N_}Wf+hV!UsG*1n8ngH6xH=;6(y5z8nf< zXn2_$kYQj;1~NVq24ra2G65L|k`j}_def8dJ+r@;RNTD@WIzuy05UYrZw4|< zQ&4p@+tJ+;U0qRJ0FbdF6v*&YMV34xkYVDMI)j;CaT~XkCwzsA|G4mPHb4dwj%RFc ziBgE+<_=`QLqO{ETI2IVy9#8OCkaoE_O`?U8UZrCYyo6=3ZolfD3D>6Ls})YlCbv+ zfRgDh8d(+R;QAGTjGq?nw*fMktn&tBfDoiiAJq>GlLSA|j?m~v{7gx_#Rbt?;DchR zHaLW`6b_**o84#uWO%xveh?01n5CB-K`V;n2-*=EVJ#ft=LN?G$Y8?q{oGw8L_{kR zkb$~54uFivo}iy3dV+3*Mmct#@D~dp!&8py31L8nS$x?Qbh5~U9@^`# z%wmej}?Af_+8=mg+CN7v;;DGN$)QHy2L*VkWr*ShGIMg$hg=F$dDB{ z-Z+q9;7I~9cGv+KW}Ym73@&)yc zjH}#wZwQb<}QM7h5+5Ikp63n62TJ zBg|uLEEe6d&uKrm{ZU&Wqjzrt8PHq}fDDcEn}H0|6jUAEICZx~S637l0A&2T9gsm( zMLfd@WSF?6&S2)(12Xh?(8v?M!o|;PuUi8dy$k$C20R3$POmjSFSM&bhIx|k^*)2vUTP z>Ia5Nf*)u{Xmn#&zCP9#$RN6*eh?01n5CB-K`V;n2-*=EVJ#ft!uB()fs9^!>40?F zm^+Yxy1*KIWKYmf55TA+-nD9$h^!A$S|-a0~sH- z0y0##OhAT#qy%IvwF5F_lHjp(12PPp$w0=%c0h*AnhlU)pe_R$ci90MggT9H%|Her z*rco+%Ce6@g@1&%?CY-MvL7ADczyfT?bo!wq5X~R*S25R{-*ZBZR@?|`2jLO8wAMk zOKS~em~X)|9@7X^+57+*Gq&|!rZ#wJ8mjk(0~t)#RI8#JS*vUq@G06c+$wr0swVqAIfF8G#HvH++>d^XmZ_`a5Xk318vjZ*N~` z4P=xT7|4K!fYj-=#^;50705785}q9GZHdAf0W$8k1u}@j=mr=HWSHfURtc>nUeVHY z7mcinb8!8NK*l@Te`gJ3l;;n~03k>bKB^xWCJBC^9ih>UUHQ7#7RVsFp?(k!WSFIw z96>9J5kO5)RZ0l9+Z*G4}``g-YYJX?@yV~E=-d)+5h{f{F zgG12#8(!JIi*%MJU516`==gq0H~jx_r;QZ%@skRb{sE_N9D2+TjGsO}hCK*}YBSJ# z5_*SLYuNaDd}^|-(>c-^f{|U$QS{FvonmMAD*XT2&i_7bYRAqOJIAajt|}eA3jW(g z{tGV>ZiV}{71`V(n_I-WFctn6GPA^Hme|bFkxoy~D)?V_*vu|Ab2Xc}`bej%)VT`( zUs@e98Cqz!fT$G4*{r}g%`IHTv$M^y7j!nPmKe;B_ku1^t&vrOvlG3b51buYJvcl0 z!Y(?y5f#A=VjrvQL62)1=&ORi-N*c`yQ4#B@-Fxr@aYWfuJ3WMEh_Oh*}L%CTKqlo zQ(U#y8G~OO0e@TVc@d83@y7J1W6)o4OgD}}S1qpUcE@zl`=DpwnAP5x)#{klY)qFo zrb`{uEsjA8fZMxcN|aU)jDd%#HhntupjqjRO_6TAP=0G$W4aRPe908mHoD%y;Cd)W zfX;}E9SklOqBr792ZJ+(=#IF}!QeI_`onQe2g4(W;{tSu<5CBA3*+>9#Bt5Zo+I(k zmgE}zGjP{bs47Lujh5xL-f{8j$OD&I4EHVeK< z610j!k3`A_ik$_qeRn2;KN|$s-yH=SsXhz>(GSPyJI#6`fk~W!>G9K{p|T0%<7<9Q zS@PXlZ@>Tyag3MAjvuwZdebfK?`yxc{kHaxR+^x@Xddrg?&}A`oka{+6uw!(#Dx8} z4dpEFu21cR4`qzfW!7&%95mt%+KPMs_zZk!Lolad2wHUsT-(yukKfN~QdE^%w#?-d zEsJXuwXETO+qMxq|2wy{Vb~;^`#qJb;7ic?=DrOy!ueQ|b=>Xj=vez=XNY|?LQuwG zxO_Y0Gfm~g9MWFcefWt#_icd4aN;y@UwP;@h<%DHN6B&lEqU=_BAyQ8OQ!K9Wjrnp zFuuz)zDpU8D+i2UZ5qE?8IOAujPEv$?^ed+eg)%uOyhf$@wj)v_%){SYn1W0qrv#K zrtxd#@ga`X+Q#jSezXew2<~lbE8Qa9!h+~#g%wurp}jS@=U_+GO~4|M)w)=H=Hp>*X~i=j9^9wc^ugX?~ZcV zUvvXQ{4AB?T!ZYf=YgNu(MMIqSAdJz@PIlxrZNlPr^%;I1SUa6w=~hktE;2KzXjt$ z4&yqV6Q?HXBhU|?Z&~CER@g0`>jBs8+9Yaej3}>KukGpt)txvn;GF3cdscN79sC_p z7ybnk4ew$ny@*Q{Uc{Kiy;$m{7ja#}ix^IO7uV8@xCr4z41m0gYv@H>aqwcdbg_qC z#N`DqVua<*?4}oSEy0Uxq>HQR#kK4r#$n#fE_$(-UF?-EmgvPIX0do*_=`A~>j)E7 za#_++iD{|G)dYVLW*3>Bid<3f7x6OFRFSI+{vuvxx+-#I!C%D7R9hiV97{5{LLWX5 zd>Dn0L}YG2y!JrwS|X)LsEZ1T0}=751cQ~NwKv>`K zACjmgDk=_09SDmV4v>T`QDJdF^MSB(;Q*a`;DD9`VVS}KIv2qKtp~2919WbJ1C|`v zO9$v&1qZYpRAj2ux(g0jdQg!oQ|B@`WZ6MQrcSNf;E?496`4Y{u7gANJE+K1s&yY6 zvj0Ivrc|v9!I0P|s!u_{oFcJXGC{r$=WELEt<<=hDxoB%?qn?*@fK}g_hK(TRKr6z zk`5e#v2?%yjHVy@MZnjJR^=(CEH?RTy1o65_B-1@(Eh&4-{5MlX+J)cxf`eJe7#2Ans=$R!TN4Po~b=KWtXW z_2lv;O@N@WpF@_Unp24;0YPhBo?0SOZCiTil9px5lgo3-#D23cbH&F)1VQ2#gT%*I zAw}_vv43emeC;ejeBJfAiT_epe1w{V#4iPjk1x!L;+JC2YCwGLEJ1wT^|^_^!xg_P zMEtHG@w*i9yJG1E#MjOe#MfP)oA_H?@mGh44*{6@*vI!- zz!iT@i1=%Q#9yO`zb2M%Kz!{iL44iyxrzS*SNydh;=_x9=4XGcBL3P~p#kx=vjp*V z*XJgF$rZmhMEu?$@p~2Vdt>blh_9U`h_AaoH}MazU<{T^4Ga}A>|@1ZZP-^1k{=QQ zw)2w!mE7*xQ8W-Z4OI{{U~W37csjt?FGvS{fjV%5KhA40_OFfTKs!y;fo{OubkOhV z00Y1v9l+^G^D+RboZ<(i*ny4cKs!y;fo{Ouba14n1B?QLbTAO812+uhe$W;Bw?=fJ zohIr)H(+i$D0(`;P%uab>jHJ)#)4c2t78W>q66(TQ3tvKbJM{JPX`ze2I*jZpbp%C zkn5m3_MAp^pq(b_KsR7+I(Uw!0}KiSbWjQ`2Nd0?kn5l)<}{)M?KDvbx&d?3!4aMg zFft6%L2ymL4Gp;t*2Mn35gll!i8{~?n41m`_H=;ZVUP|23j;+rKIA%B8+&deI?zrN zb)XwCHyu3N(*eeaK{^Pm4nRFz*&BOf2REVv?KDvbx&d?3!N0gVD22p{rN9yaKnAi7 z;C6>JpacChK?m9abJIb$r-P6 z1tm33gxmc`BRbGd6Lp{)FgG1|Yho!RPAmmh3`%O82)8?|5gll!i8{~?n41o~HL(;D zCzb-s1|>C4gxjrXL<>OM$h6k{TzLx?_hoq66(TQ3tvKbJIbq zwYvJo9< zr-?ey4Vaq_zUS(oD=bb7tRKLs3t0znyN(8Qpr0n_Ks#V=I*5BZ2#XT~>jzzGoY+;2 zt!hLE+G(N=bOYw518+_23W*cD0_z8GT3qpi(*NJxw}97mRcCI!pO$4uv3-u5?Lg@;w1t^z2U=QY zS{hz0uK)!~2+sxx6vCUNl#mc8G$FIr-us;WI7{d3Tr(qm_|s=y{d=9g*T2@@`>uWV zi{^QM7$O`q?fP7510VQx@Hh4hUAR|sJ zq`p5W*l}W^#ESLUfP7510VQx@Hn?BeAR|sJq`p5W*l}WEhz-_b1M)H529&^s+2Hfa z1{rZ;A@%)1!HyFP!>m+~4amoI8&ColW`lc_4Km`yLhAd2f*mIo_OhXRY(PGy+kg_d zFdKY0wm~r?PAsIpKPcL9B4jsQhYje*G#iit7iI(1Cl)i}#6s%(gQ6WLLUw!Wu>tv* zZUai-!fc@W#9~&QnEL*pXvc|=-M)HkKt871fD*Vc8+=N&g{(L+_5DH7juVRo_RM;0 zKt871fD*Vc8+>GH0oI{3!@>gC!awlW-rK!*c<=Py<-ObcJMZtk_jvF1-sipF`+#?s z_d)MN-iN(^@IK;w)cctCkKV_+BWd1$l-vpIK(9XUg4n_K}-0SLrN0Ec0N@*Z62 zEw;*;TjVg2@1VOnhaZK=?(+Q7(X&hQs|&dW*iv~ecWi!jK6lf~;_B+cat<=WJu2nt z^4!E!E;?8H3cd#gi;>x>61D7B7nhd!leLl2>D<*<^Uq`O`3l$r(S}*#zC+f_^3f7# z{0#?rN~W+7o=w5B{hy!OxVFCGS7Did{i@^I`*&A9$+vM%S7z{pkfz2*giEx;88nvw z(LLo83rBzR%-NH;gZ%8$YR+7Nz8gd!FSyxbd2w}derfS}u;Wu6)G&2w?D%mwxB)hJ zOr6Rt@Xun$6bb_xKeG^f3i66wmAh$i^#o6O?)=i(1=txXojEZxklW2qOUFaQVDHg< zw2O3pY3W?<=+eUc%EB?M0K4+La`VSmp~9Rxy|BVn8%TFyYinC%8+4)vP9%A7f4ryx z9J0P-(5WXY_d)I3-a0udwxlldt-0F1x3yeqwlQ!eI7MJ+Y2mBb|0+q=h=J6$09)Fm zK4?eWT%E|D+wd|lVM-au?}5rB-`H0@RJk6zkv|i4wn%m()l*C7mQS68x~R?#$ze6k zomyTxCwA4tUqNZET%MSj0ml5VtHfWs4+gt$z5>9TVKK!s;QtW+AB6t`(Q|O&=%L*1 zgP|#ok~iZs@RA{|r+}kmB^$KPK4G0YbmAr)KocS*C%w?3w^#1t-2j}TIWdL1xgQrM z)y~XVv(Bu}udL=qjvZTBICF;gM$iI|t}L8fSdMp4=63T=`sAr&&}w6=t1XRIcMxx0 zoDu!C>T}NU!scaRQ6G*?elaQ&8anK*#-9ERKPCqLUR*wXb~Sfq@p;kr0)8dni8Az8 zLV7)h({&>&p{sU)t0*Ge5w~G~u-X!w*JT<$Z#7D23+V@x>L` zNL4$r2tDPos}`5nZa62;+#rOn&cVmraeR<}p)RQe_$U%m8$d&YQZBM9l{>fV4lpZK z0#Y5VEaUkv_@z937`OetogV?CA1R?x1u0d#YP@zuyIT2yFlW!4lRH>Xorcylb!s(t z17HJiIR_6V)b~j4==^f-h6SLyym;mW)Vdqa@z4Y78Gh~Xx&{>$zoKqBu?Smg4qYN(;fiiq0U>D3|MV;fs6l=DEX5`ozlb{7slli$OpdtRdyrsDXHX^E% z%v#!>*3#O(t);Q!{2OYY28kvpp{a2sn}X^lG%lp$Xr2GRkZSmz_a7_I1zOwMA!_CR zX=uy~asixyg`4^h<#zASvZU=l0a$)UB58+l$v@cq?v1wCV z^DR%@+IaruwM9Uh@tKCk1Exu-Qk6;d;+0Kb7^~1?>(KCh@9xT1p|ox76H^niA_gW= zdGd-R3NzoLBJy!bR24bX4rB`1%&=}3s$FQE)MqjTL=BBL;PM)(pDehkr5!412UOC| z^P9yf#9XjmwR9?O-`PcAI>@05S ziJ7hSc!mHv7Y+pC{}SDgp#Fp?4yZT8s$A6qTcTT9yTR+5&gW_m!t;?8MwJz`Lpcm9 zD6gC5P;E(kv2dTRMND)1S|S(9{jq4+zU4E%5VjdYMNNEz$;7_h~eBJb4+azH+*54ASMa*3mK3yjH9wa-qyg zmp|$qnz|UOcr(y|caE+9;{B`lkoU0nL+?l4Z;W@YhY?g)QFl*b7Q9#M6d4~^vKWR~oqCoU5WRQw-@99dFmi*y;+ z;A59g5+@*1NrJyqNa75HO)_B34kSq_izFfAN^+}C5@#4vNkSx&LK3GKY?2{scOXeh zStJP=SCU3`#VLeTk`RTYkiVxlEWzY!i3>JTNv5|+T(M!3+{-R^AW2GDBncT;k}uIn z@{<5kNv5_*eiVR360+Q#O_G)}Nm4SdB;TNu%!+uz)HWFq5l;}XD;-FZQWi-<#+Br4 zI?1ev2N&P2-6r{Fe%q6}3@PlBASHl8|vF`P0gc z@akn->vh+cN2g%2pqTQCXAqj?b^Lmc>*18oQ!8*647}$#1GC8&@s~Q~LsR2(ljA{o zYJB1#YpGi7&Y!R0gh-Dm*3h^wqsYnm<+I1q=u7~>rAog%8xZw_e zsd5LWSvfQ_bqHu`@3Zk}c;ijhAT-JfOfOHJJ$VDn1pY=?*avTW<@;=dX(*V^SVZA1 zRzp*}c%#MQH{1Mg{$?9zXu2!U=L*e^jB|yq6h@FoP9^l2oj(q$NR8yQkgF<*8~U*P z7uIfu@*`hJA{o8_yR794cqwH1Lgv_F+otiA{;T}IsT}9zBX1PaY5?>ex;F*~_l2;& z$SSTN>)dsgE6%^tsB=;*gC~@@7Eg$hOrC2M-0*w;wNHj6bRRm);h}wlu=39;tSDJN z+h)0Hu#{pMETP1;SVEX&vRtd+hX3MUt@V<86MWi`sg(Mq&Ue9Efi`6M9E&aSl9-k< zdr3;hwU_+5PBJSzE2Vy^heUV=JKc{tkR+unl7x&a$xrAcv%<4d>X$m-$8LMF#7;Pn zB&95pgp4c6cj_dw!n0E9mpb35Zj&5hOAaJSDT^c_<4RJ0R}*H1XG7_2k_gWr%hL`d zNhymYA>&H&k9ALGg=a(QZITGj!o6(Ofg~wqktAeXNxoDg3465D-?|N@wn@I1+^VjS z<;~e7X(^K=CF4r+jXKG!7g9s1ZL&bTkb?c`rT)wOm;0~qf7kzg|JD9$ z{6Fwt>;IwuU;Wql|IL5BKVNwrhEQ#@GZUlFx*j6hF%?7M@@qYU4Dmk4Sy*Wc@$)fQ z47_-J@u&!)pS5ry7xY46eDc|4^@2DzI+%V2K0D#8u+aAK0Sp$YCP;s4_Bb~FAN zhqvFWG~jI}tT?Or4BCj)%i}4cLbxtqLAInu&POXajBy)Jf()iN+nG?Cmg_EaX z2gwMmmgEaSE3l(v39p_A2KXvaz>nbtCwpKU0lyh$4=yU^7sJ59&t0PnH=Mn3S8h*k z*TnLf)s?gSy2{+~l~XWJJua=48UA>YUznG zbxynZ0$o^fMcn^~cZqPOcyGj$Vwyte=cG3u0;2KwZFXEsyi5evj>F3H)s;nQV(=jP zhWllKJ7odavYM5v#jaf~tU=bACS1^ZPXnxI{RMJ4UqIUw@T&?N|Fi+F{@CzdMwOfJ z29hV`X?|l7m)By3YOs>U%c_G*bl_}0Bm1+zmQpf8voe;6Th$W z7f|rF*4gRuUFWmlseAZr>(Xb|rck>thSytB5rpx-t7LGPAnpoWGv$$%Ru2L?(jl^f?N zV4U=l(Li&ROND{TQ^G*676#Im8q1bOR-#j9mvP~{B)^^}f;|nhg2T_-L~pJ=5Bh}; zbQ^z1nb9MGoyEezEfB*e$hHj!chDO+vpUuUNu<$$kwP z@(YHJVC}-1dnnq265sVkc?beHtyh9#1kGNmSF&DEf@1g&Be+dXqE|X!xsqR~3HcnU zfwqsxE1cz>bVj2?Z973QzLL(|!B{*!h*xj+3j;vD2Jy@Lx_X} z@VgVQhYL>^O9Fx4op>i)csd*w2>kAZ$$Nx?f`H(6CrouCl;@>|1Ms^OCWc|l+0-oj zhD&e7-OU(#F2F`2yzC8=@Cr0535j45UVdgJA*0}QDa2xf0sI}+uGp6?wliB;Q>*Y0 zZ!Nvhq3G7;9mp2wKn#l`+PDRx55ww+Hf@1#dc*RFHgAFJ#SH5s+Oh?16f-=4XzLcZ zo6Yb7qHSB?LN>z_h_-KmTi6V5Alk77u3j@df@tSfyl>6$3c_7m@s2gaGYEJ4c&{4i z9ZcTjV?;xG2$Oq!yibkv5+-lnig&3Qo&uP?ioaCvh<(-2XssAeG?`@gQaN=~+=fKc z&#nTEf9C%$|E|iLu={Tu84WFa>OW2l8aVyvh} zV?20>zZK<&)A3*p_I%@Zyl4PrjK3Dupi6`oYKvy{$;b#5;G;Qx5T|DXEn+i|xp>z$ zJ5vo3%ZU6Yjy8z|4-Q>COJ1`+woTrn1B%^BQzl@HVoc@lMe<7ZU9qSG{!V?}*l@ zH@QM!cFMA|&h0wRUz(j1FU`)t+cXGSmwDeT-@%BtPO1~DF07n{ozM;s0%P2B5zLKG zihg&$-S1v@S)1g@tH-e3@jeL+c~?7ZbhYkUV)yzz-Qao6;0gb`8$7=e4SwmR!r=H$ z8Qzwyo53H^3=T6VaSadm+M{!4gNJ)JqQNh@gc}?2C1hjcD+l zJB7hv8gpH1_)0Iq!}Df#H!QarHyEC z-xmglB^T>z@Neq|AJhzv=T+5d@WG8}aL*G4hlM=rYH&SDfz?UsOEWP?;ohYbH=@CJ z><|Wr)%)vea3e}7Xa>jAwd$QWG{X%!((LOK24C-y zW|wAg*g_G1X$JL=G=mK}(!A&*ZgAkZzBOErQeb0_GI;$X&0s^0G`DUQ24C-yrXHoh zb}wb{`bV0LKi`bV0< zh8$^b-Yg8h-Xl#tN`Vc}%HZ{nG=mK}((LIG24C-yrV*uRBh8@xk!G+VN1B^934^cq zNOOxG7HT8Sp#G6&upvj9-QB|A>pjxkt{Yq%X$JL=G=mK}((LLI24C-y<|VqpwUK5} z|41{~kR#2`PGRu%9%(+ca)K|Y!WnHGXueHM+SfnM+>pb}j*eDAaD7LacWcJRL8dfz z{bS4xImB#l=f+;|5$0z!W8(l*8oU1S<%S$ywzY9%ulMNk3!1TUa4C&l|JZUv4lP?- zxv|%KWcd}%*f_A1#;$){xgm#@aDxx_+3P*3{HA7X98^kU*FUD*kV8ti5D1OE-XqEf zHDlv|QX0Gd@#KaaPBt}hW3TsU@&}r+aWE;3UH@2eLk=Zjl|0t$^&Uz7STi;bB&D%0 zU>vy-XNX~yI~sevN0EPRUGzy1H?|F!=&{@eV&_3!lG?!Uu-r~fYh-TvSCfA7D? zf3N>O|NZ_4{JZ=Q`XBN??Ei!R5&xt9$NYcvKkk2cst**$!z!@8w!FdrQ~yo=Tl~BI zr&m4;3ESEa<3%t0PJpY{=2XOUC-{AH{JJ4^2LK)c5Y9z;upcJQmk?L>D8F+#|d*rf(BJVq^*nAye#qcg*GVsQMv3o%m6CPoOk66-LC;n8WS z#LPAppJb~OgCqA{h>>D8F+#|d*bfb2cwAa4F|&=ur`PJl;Fx_EVx*W&j1Y1q_IZOC z9+8$t4A1P>+E{!fnobOk)^{OBirK^nAy;BwFo@x?XsN`^HWnX)rW1qX^j(ONVm2{C z$d%X!bz*S*eFib3jj6NvHDY*#z5_8@%pyh!xf1)5K`bls!1MT3RSc-e0}tSLAx4VX z#0Vi*V(&AEWknu%2ERs(iaY{1D&K_|DP|KRgj|We-yoJ1dEmMG8Zj#Jz=QT(h>>D8 zF+#|d*jo%@S&;{xwXYGQA`d)V--Q?{W)mZXT#3EbAeI$*;Q9I*F)H%F1NB{qkzzJ6 zLdcca^9^EIkq3@3HDXlc0qfuPyAUJAY+{6vE3p?C#Ihm}JV#$6MnxWYaJ~yMQp_es z2)Pow(IA!;dEnXk8Zj#Jz{B!gh>>D8F+#|d*t9_`EAqhe@-<>q6?qIAZ7ifBk1%A{xez18Y+{6vE3thBv8>2r&}d^J6?udO_G}koq?k>N z5OO7Eyc&SLkm=Dq9wV<+MJn>Z)81W(kzzJ6LdccaWroMHA`d(|UL!_D9(Y!~3o%m6 zCPoOk66-XGWknu%T)ak%iabI%)!l^{DP|KRgj|U|Y7oncJn)EkjTjYqgm99(3o%m6 zCPoOk5;I;6@MGcAUJc-}@ES2H^1yT8U5JrlHZelTmDm>zk7Y$3coe)wjEX$)>~|Mp zq?k>N5OO8f_m^$uVi#+g@b_Zg#m_>{dawYaP zwp6jmPANjJcDhcl0QrmxP|6!kHg8mbNEw(Sb0J2G*~ADTS7K#@SVrhs${S5KZ-kx^ zF_N5OO8nBGbaJxig{ z#?0w9NerjR9Ej0k7BNc5mDr~YVp)+#+H_k)45r9jh>>D8F+#|d*xwq&vLcVP>9&X% zOp&<|BgJfDgpez-I}Ku4kw@BeTSN?|$Xtk#Vm2{C$d%X|4Psf5N7{5-L=2|LT!@ik zHZelTmDn8yv8>1=ZMrQY22*4%#7Hrl7$M|J?6g5FEAmL2Zi|S)6qyS#Qp_es2)Ppb z&jzuq$RlmKEg}X}WG=)=F`F162C=NjBW=1ZA_h}rF2qPNn;0SFO6;IPEGzOz zn{JDU!4#PbF;dJXMhLkQdxlO7rnk}~kF@DFNerjR9Ej0k7BNc5mDu$Lv8>1=ZMrQY z22*4%#7Hrl7$M|JY}6o@6?vphw?)KYip+%=DP|KRgj|X3F^FYF9%<8U5iyt|b0J2G z*~ADTS7OGifvoAaQrdJ|L=2|LT!@ikHZelTl~|wQv8>1=ZMrQY22*4%#7Hrl7$M|J z>N5OO8lFmNng0N}Fzz z#Bhqtffy}j5u=1$iGA82mKAxVO}9nFV2aFz7%65GBZORu-5F1}!I$&-+(Z5k{D=KV z{2%&{`akl2?Ejnp6aT0Fzx$8*kNZFKpYU((|E>NP_5XJNZT+|RzqtQ*`d`xj(*BqA zzr6pB{#W$Bvj0{6&#(5w?zT-mBYQ^in!V>PtiX+ktif*{87;F%FYft%btk58F2mKB zPtTQ4EFAsKGiOht03htgUeCkTG79+7wK3wBWqHLG-eAm{eQ^c!ANl-4z&;^9gzWp` zLxKHnf6qs&Ps4n67`y%;)76zzOG`qf@yRlKd`r*Es#l?8^F&z2yx~`#J-CB^2-qDS z{}8gj6d#t^$9D95x%yOm;^GOs?s4Vp>DA~)L6LFG#MDfg{YgX5f2!_4xvoliG&j1k z2*yC7@E7}r*K>JwgeO+ZhrtpDs}qM0d{XY{3^5CyWrO-yLA)%8e<>b z48O+NcecQ^+S=N2_l|*&cpD z>z=Z>trAS#J~J^nHXSUpw{4Z5!)5m9Me=iDnZ4rTNG8ud9?8HO`ESIZL-ttwxxii> zJqwGM-x7ZwWFL$_m)Mu0&oCZ%eP2{=z&;RthSvI>i+kQ$-Hkf893GjTL4x=@&u-lz zJ_YQ}^3yWAcYDth)kzfYK3oGSFlwzj!CL%w{wL6T-L~j+p1n8z3^d};A$#feNT$I4 zYJ1OTg$KL&jg{hNTX131>UOn~csYqe>%Qzgg}rY0w9)9^@6rN~EWc%$w#yF8fy_>pP0TO~*q zvxnj0UUnsgk503^!e!alOiV-Fo@8%QDbj}gx?)Uvi%RN3iU;RvSdrO|*t9&agLC}S zWk_jGq}0lz6Gx^4*1kqc$huVu=gxCG1ex_F6DAK$K|-HO;2IyC}-&; zi@xJs6?gLN<0H zfg4Ow4g8U=jKn2u^OdH$Hrfkk>C#2G5610YE+2?93- zwu7V~W@3Vs!Z1fkNr6~0=VL)gMnPTjQO-ocShDb$rVxmx+ep|IHxHoFfEeq!=r~{-V>m&%271$1v zg0G1QvI@f-B~t~WGMuIbAx8yu$w#?!6^tbdb_(kR41TzC6DW7CLc4`*f~JNWOi>N| z;m%drNZ95pb4gH^8d|I))Fj>`N{pSGDAcCkRvi`Gn>6Q+3g;@Q1EeJDBnXrh*bb6{ zuZan=3d0;FQw5?joTddKM+J4sN4awqj3ol9B>Z8P3Ookc@)5lo)$4QK(J7tvW8aw`k5C7tU2s2S`cQNf0P2upJ}?UlS8# z6^1!VrV2!5I86&ejtc6Mk8}AO$|4gq8j+aovX5u zu+3NIlAtU#v{*-|NxVmt7&})L+755A=0+fzX8m!<-+|b~C^Wur3rEo8|-mJ_UCpTpc+$cHrb$Sd^dR0nX2C4*ur05B$Ql6{yOEDcOp63p4 zCUCBj!ezmbjZle5kt(T_xD2k6!lg<9SLrFXN`X*`z`05amnuOvLM0+as-#k+O7IL? zN#RnZkgN1mTcuE_MBrQ{g-ewn8=(@BB2`i;QYCl>RZ_TAslZj*Wvf&WDiJtWN#Rl@ z$VR9{q)3%iic|@nL6sCPRVs3ocH1fyg-Qg@RZ_TA39=C?5h+q7l_FJwXHX@DOO*z> zN>|t_4GNVAoU5d8sS;!(R3cKON-9OF1ka#K3YRLCxJtilt5gyy5ja;#;Zh~YMyN!j zNR?EIR0*Czl@u;i3YWP`j6r{@^-BQDekp--m6W_t39}I@$&`z)y=ptpFHV<=sV9YE z_#`T(aH*K+ot|ddDwMqwfpf(aE(?x(Cn80vq*A0x@C>S?@QZlo3uQ;Y@#{w=ON~Y6 z^>kZf*?AE-*I40FLchF7>$HEIlfM2a-LN|9z1jbW$4H@w2*C#2z3 ziZpy)8D6EuPf5cQDbnzC3L0LeY!xnsCJa_iXx;`B$h;%W$1dRz%>J%x4&789c|>jyoikwspR+aDX0lY@qdof;Aou_x1csU_3`>IEkZVeyps7N|^Qfu9V(>z}xy)mYIEgbv_Te{&2rwIet>ooBrXEMQv_Sje^} zumZa%ffZR_0vlu(C$JLRmcWMC_5?P}b|kR9%u^UA2k+wY%uj*=>ra9q+nEFl?2;r{ zWS1tvL3UXZEU{b?9Adwe1c%vElHgwU)C33%w=PeD0ee~!4B69@V1eyQfDmJ*xn=O{+N*+$TAaOV>g2dsh1rmp&3P>Dw`ja?plqYf6XHMbJYwRX**yT*(P%lXw_Slj* zY*8g~*x^ayutAcf{q8J!?y-YNpE zlTLu%w^bON+dIsqCfodB(rOu%MJCqO%;6QH5e3D8pM1Zb*s z0<={+0U9fv0IiiyfaXdkKzk( SFDITEM_FIELD__INTC_PBTRIG_ITRIG11 +// ITRIG11 +// +// [Bit 11] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 11\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG11 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG10 -------------------------------- +// SVD Line: 144 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG10 +// ITRIG10 +// +// [Bit 10] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 10\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG10 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG9 --------------------------------- +// SVD Line: 162 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG9 +// ITRIG9 +// +// [Bit 9] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 9\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG9 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG8 --------------------------------- +// SVD Line: 180 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG8 +// ITRIG8 +// +// [Bit 8] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 8\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG8 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG7 --------------------------------- +// SVD Line: 198 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG7 +// ITRIG7 +// +// [Bit 7] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 7\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG7 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG6 --------------------------------- +// SVD Line: 216 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG6 +// ITRIG6 +// +// [Bit 6] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 6\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG6 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG5 --------------------------------- +// SVD Line: 234 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG5 +// ITRIG5 +// +// [Bit 5] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 5\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG5 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG4 --------------------------------- +// SVD Line: 252 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG4 +// ITRIG4 +// +// [Bit 4] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 4\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG4 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG3 --------------------------------- +// SVD Line: 270 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG3 +// ITRIG3 +// +// [Bit 3] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 3\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG3 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG2 --------------------------------- +// SVD Line: 288 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG2 +// ITRIG2 +// +// [Bit 2] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 2\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG2 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG1 --------------------------------- +// SVD Line: 306 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG1 +// ITRIG1 +// +// [Bit 1] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 1\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG1 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG0 --------------------------------- +// SVD Line: 324 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG0 +// ITRIG0 +// +// [Bit 0] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 0\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG0 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ------------------------------- Register RTree: INTC_PBTRIG ---------------------------------- +// SVD Line: 117 + +// SFDITEM_REG__INTC_PBTRIG +// PBTRIG +// +// [Bits 31..0] RW (@ 0x40001004) Port B Interrupt Trigger Selection Register +// ( (unsigned int)((INTC_PBTRIG >> 0) & 0xFFFFFFFF), ((INTC_PBTRIG = (INTC_PBTRIG & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG11 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG10 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG9 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG8 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG7 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG6 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG5 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG4 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG3 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG2 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG1 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG0 +// +// + + +// --------------------------- Register Item Address: INTC_PCTRIG ------------------------------- +// SVD Line: 344 + +unsigned int INTC_PCTRIG __AT (0x40001008); + + + +// ----------------------------- Field Item: INTC_PCTRIG_ITRIG3 --------------------------------- +// SVD Line: 353 + +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG3 +// ITRIG3 +// +// [Bit 3] RW (@ 0x40001008) \nPort C Interrupt Trigger Selection 3\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PCTRIG ) +// ITRIG3 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PCTRIG_ITRIG2 --------------------------------- +// SVD Line: 371 + +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG2 +// ITRIG2 +// +// [Bit 2] RW (@ 0x40001008) \nPort C Interrupt Trigger Selection 2\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PCTRIG ) +// ITRIG2 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PCTRIG_ITRIG1 --------------------------------- +// SVD Line: 389 + +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG1 +// ITRIG1 +// +// [Bit 1] RW (@ 0x40001008) \nPort C Interrupt Trigger Selection 1\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PCTRIG ) +// ITRIG1 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PCTRIG_ITRIG0 --------------------------------- +// SVD Line: 407 + +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG0 +// ITRIG0 +// +// [Bit 0] RW (@ 0x40001008) \nPort C Interrupt Trigger Selection 0\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PCTRIG ) +// ITRIG0 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ------------------------------- Register RTree: INTC_PCTRIG ---------------------------------- +// SVD Line: 344 + +// SFDITEM_REG__INTC_PCTRIG +// PCTRIG +// +// [Bits 31..0] RW (@ 0x40001008) Port C Interrupt Trigger Selection Register +// ( (unsigned int)((INTC_PCTRIG >> 0) & 0xFFFFFFFF), ((INTC_PCTRIG = (INTC_PCTRIG & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG3 +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG2 +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG1 +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG0 +// +// + + +// --------------------------- Register Item Address: INTC_PETRIG ------------------------------- +// SVD Line: 427 + +unsigned int INTC_PETRIG __AT (0x40001010); + + + +// ----------------------------- Field Item: INTC_PETRIG_ITRIG3 --------------------------------- +// SVD Line: 436 + +// SFDITEM_FIELD__INTC_PETRIG_ITRIG3 +// ITRIG3 +// +// [Bit 3] RW (@ 0x40001010) \nPort E Interrupt Trigger Selection 3\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PETRIG ) +// ITRIG3 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PETRIG_ITRIG2 --------------------------------- +// SVD Line: 454 + +// SFDITEM_FIELD__INTC_PETRIG_ITRIG2 +// ITRIG2 +// +// [Bit 2] RW (@ 0x40001010) \nPort E Interrupt Trigger Selection 2\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PETRIG ) +// ITRIG2 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PETRIG_ITRIG1 --------------------------------- +// SVD Line: 472 + +// SFDITEM_FIELD__INTC_PETRIG_ITRIG1 +// ITRIG1 +// +// [Bit 1] RW (@ 0x40001010) \nPort E Interrupt Trigger Selection 1\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PETRIG ) +// ITRIG1 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PETRIG_ITRIG0 --------------------------------- +// SVD Line: 490 + +// SFDITEM_FIELD__INTC_PETRIG_ITRIG0 +// ITRIG0 +// +// [Bit 0] RW (@ 0x40001010) \nPort E Interrupt Trigger Selection 0\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PETRIG ) +// ITRIG0 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ------------------------------- Register RTree: INTC_PETRIG ---------------------------------- +// SVD Line: 427 + +// SFDITEM_REG__INTC_PETRIG +// PETRIG +// +// [Bits 31..0] RW (@ 0x40001010) Port E Interrupt Trigger Selection Register +// ( (unsigned int)((INTC_PETRIG >> 0) & 0xFFFFFFFF), ((INTC_PETRIG = (INTC_PETRIG & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PETRIG_ITRIG3 +// SFDITEM_FIELD__INTC_PETRIG_ITRIG2 +// SFDITEM_FIELD__INTC_PETRIG_ITRIG1 +// SFDITEM_FIELD__INTC_PETRIG_ITRIG0 +// +// + + +// ---------------------------- Register Item Address: INTC_PBCR -------------------------------- +// SVD Line: 510 + +unsigned int INTC_PBCR __AT (0x40001104); + + + +// ----------------------------- Field Item: INTC_PBCR_INTCTL11 --------------------------------- +// SVD Line: 519 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL11 +// INTCTL11 +// +// [Bits 23..22] RW (@ 0x40001104) \nPort B Interrupt Control 11\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL11 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBCR_INTCTL10 --------------------------------- +// SVD Line: 547 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL10 +// INTCTL10 +// +// [Bits 21..20] RW (@ 0x40001104) \nPort B Interrupt Control 10\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL10 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL9 --------------------------------- +// SVD Line: 575 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL9 +// INTCTL9 +// +// [Bits 19..18] RW (@ 0x40001104) \nPort B Interrupt Control 9\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL9 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL8 --------------------------------- +// SVD Line: 603 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL8 +// INTCTL8 +// +// [Bits 17..16] RW (@ 0x40001104) \nPort B Interrupt Control 8\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL8 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL7 --------------------------------- +// SVD Line: 631 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL7 +// INTCTL7 +// +// [Bits 15..14] RW (@ 0x40001104) \nPort B Interrupt Control 7\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL7 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL6 --------------------------------- +// SVD Line: 659 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL6 +// INTCTL6 +// +// [Bits 13..12] RW (@ 0x40001104) \nPort B Interrupt Control 6\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL6 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL5 --------------------------------- +// SVD Line: 687 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL5 +// INTCTL5 +// +// [Bits 11..10] RW (@ 0x40001104) \nPort B Interrupt Control 5\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL5 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL4 --------------------------------- +// SVD Line: 715 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL4 +// INTCTL4 +// +// [Bits 9..8] RW (@ 0x40001104) \nPort B Interrupt Control 4\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL4 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL3 --------------------------------- +// SVD Line: 743 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL3 +// INTCTL3 +// +// [Bits 7..6] RW (@ 0x40001104) \nPort B Interrupt Control 3\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL3 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL2 --------------------------------- +// SVD Line: 771 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL2 +// INTCTL2 +// +// [Bits 5..4] RW (@ 0x40001104) \nPort B Interrupt Control 2\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL2 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL1 --------------------------------- +// SVD Line: 799 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL1 +// INTCTL1 +// +// [Bits 3..2] RW (@ 0x40001104) \nPort B Interrupt Control 1\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL1 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL0 --------------------------------- +// SVD Line: 827 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL0 +// INTCTL0 +// +// [Bits 1..0] RW (@ 0x40001104) \nPort B Interrupt Control 0\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL0 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// -------------------------------- Register RTree: INTC_PBCR ----------------------------------- +// SVD Line: 510 + +// SFDITEM_REG__INTC_PBCR +// PBCR +// +// [Bits 31..0] RW (@ 0x40001104) Port B Interrupt Control Register +// ( (unsigned int)((INTC_PBCR >> 0) & 0xFFFFFFFF), ((INTC_PBCR = (INTC_PBCR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PBCR_INTCTL11 +// SFDITEM_FIELD__INTC_PBCR_INTCTL10 +// SFDITEM_FIELD__INTC_PBCR_INTCTL9 +// SFDITEM_FIELD__INTC_PBCR_INTCTL8 +// SFDITEM_FIELD__INTC_PBCR_INTCTL7 +// SFDITEM_FIELD__INTC_PBCR_INTCTL6 +// SFDITEM_FIELD__INTC_PBCR_INTCTL5 +// SFDITEM_FIELD__INTC_PBCR_INTCTL4 +// SFDITEM_FIELD__INTC_PBCR_INTCTL3 +// SFDITEM_FIELD__INTC_PBCR_INTCTL2 +// SFDITEM_FIELD__INTC_PBCR_INTCTL1 +// SFDITEM_FIELD__INTC_PBCR_INTCTL0 +// +// + + +// ---------------------------- Register Item Address: INTC_PCCR -------------------------------- +// SVD Line: 857 + +unsigned int INTC_PCCR __AT (0x40001108); + + + +// ------------------------------ Field Item: INTC_PCCR_INTCTL3 --------------------------------- +// SVD Line: 866 + +// SFDITEM_FIELD__INTC_PCCR_INTCTL3 +// INTCTL3 +// +// [Bits 7..6] RW (@ 0x40001108) \nPort C Interrupt Control 3\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PCCR ) +// INTCTL3 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PCCR_INTCTL2 --------------------------------- +// SVD Line: 894 + +// SFDITEM_FIELD__INTC_PCCR_INTCTL2 +// INTCTL2 +// +// [Bits 5..4] RW (@ 0x40001108) \nPort C Interrupt Control 2\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PCCR ) +// INTCTL2 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PCCR_INTCTL1 --------------------------------- +// SVD Line: 922 + +// SFDITEM_FIELD__INTC_PCCR_INTCTL1 +// INTCTL1 +// +// [Bits 3..2] RW (@ 0x40001108) \nPort C Interrupt Control 1\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PCCR ) +// INTCTL1 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PCCR_INTCTL0 --------------------------------- +// SVD Line: 950 + +// SFDITEM_FIELD__INTC_PCCR_INTCTL0 +// INTCTL0 +// +// [Bits 1..0] RW (@ 0x40001108) \nPort C Interrupt Control 0\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PCCR ) +// INTCTL0 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// -------------------------------- Register RTree: INTC_PCCR ----------------------------------- +// SVD Line: 857 + +// SFDITEM_REG__INTC_PCCR +// PCCR +// +// [Bits 31..0] RW (@ 0x40001108) Port C Interrupt Control Register +// ( (unsigned int)((INTC_PCCR >> 0) & 0xFFFFFFFF), ((INTC_PCCR = (INTC_PCCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PCCR_INTCTL3 +// SFDITEM_FIELD__INTC_PCCR_INTCTL2 +// SFDITEM_FIELD__INTC_PCCR_INTCTL1 +// SFDITEM_FIELD__INTC_PCCR_INTCTL0 +// +// + + +// ---------------------------- Register Item Address: INTC_PECR -------------------------------- +// SVD Line: 980 + +unsigned int INTC_PECR __AT (0x40001110); + + + +// ------------------------------ Field Item: INTC_PECR_INTCTL3 --------------------------------- +// SVD Line: 989 + +// SFDITEM_FIELD__INTC_PECR_INTCTL3 +// INTCTL3 +// +// [Bits 7..6] RW (@ 0x40001110) \nPort E Interrupt Control 3\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PECR ) +// INTCTL3 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PECR_INTCTL2 --------------------------------- +// SVD Line: 1017 + +// SFDITEM_FIELD__INTC_PECR_INTCTL2 +// INTCTL2 +// +// [Bits 5..4] RW (@ 0x40001110) \nPort E Interrupt Control 2\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PECR ) +// INTCTL2 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PECR_INTCTL1 --------------------------------- +// SVD Line: 1045 + +// SFDITEM_FIELD__INTC_PECR_INTCTL1 +// INTCTL1 +// +// [Bits 3..2] RW (@ 0x40001110) \nPort E Interrupt Control 1\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PECR ) +// INTCTL1 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PECR_INTCTL0 --------------------------------- +// SVD Line: 1073 + +// SFDITEM_FIELD__INTC_PECR_INTCTL0 +// INTCTL0 +// +// [Bits 1..0] RW (@ 0x40001110) \nPort E Interrupt Control 0\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PECR ) +// INTCTL0 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// -------------------------------- Register RTree: INTC_PECR ----------------------------------- +// SVD Line: 980 + +// SFDITEM_REG__INTC_PECR +// PECR +// +// [Bits 31..0] RW (@ 0x40001110) Port E Interrupt Control Register +// ( (unsigned int)((INTC_PECR >> 0) & 0xFFFFFFFF), ((INTC_PECR = (INTC_PECR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PECR_INTCTL3 +// SFDITEM_FIELD__INTC_PECR_INTCTL2 +// SFDITEM_FIELD__INTC_PECR_INTCTL1 +// SFDITEM_FIELD__INTC_PECR_INTCTL0 +// +// + + +// --------------------------- Register Item Address: INTC_PBFLAG ------------------------------- +// SVD Line: 1103 + +unsigned int INTC_PBFLAG __AT (0x40001204); + + + +// ----------------------------- Field Item: INTC_PBFLAG_FLAG11 --------------------------------- +// SVD Line: 1112 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG11 +// FLAG11 +// +// [Bit 11] RW (@ 0x40001204) \nPort B Interrupt Flag 11\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG11 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ----------------------------- Field Item: INTC_PBFLAG_FLAG10 --------------------------------- +// SVD Line: 1130 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG10 +// FLAG10 +// +// [Bit 10] RW (@ 0x40001204) \nPort B Interrupt Flag 10\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG10 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG9 --------------------------------- +// SVD Line: 1148 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG9 +// FLAG9 +// +// [Bit 9] RW (@ 0x40001204) \nPort B Interrupt Flag 9\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG9 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG8 --------------------------------- +// SVD Line: 1166 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG8 +// FLAG8 +// +// [Bit 8] RW (@ 0x40001204) \nPort B Interrupt Flag 8\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG8 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG7 --------------------------------- +// SVD Line: 1184 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG7 +// FLAG7 +// +// [Bit 7] RW (@ 0x40001204) \nPort B Interrupt Flag 7\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG7 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG6 --------------------------------- +// SVD Line: 1202 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG6 +// FLAG6 +// +// [Bit 6] RW (@ 0x40001204) \nPort B Interrupt Flag 6\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG6 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG5 --------------------------------- +// SVD Line: 1220 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG5 +// FLAG5 +// +// [Bit 5] RW (@ 0x40001204) \nPort B Interrupt Flag 5\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG5 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG4 --------------------------------- +// SVD Line: 1238 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG4 +// FLAG4 +// +// [Bit 4] RW (@ 0x40001204) \nPort B Interrupt Flag 4\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG4 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG3 --------------------------------- +// SVD Line: 1256 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG3 +// FLAG3 +// +// [Bit 3] RW (@ 0x40001204) \nPort B Interrupt Flag 3\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG3 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG2 --------------------------------- +// SVD Line: 1274 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG2 +// FLAG2 +// +// [Bit 2] RW (@ 0x40001204) \nPort B Interrupt Flag 2\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG2 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG1 --------------------------------- +// SVD Line: 1292 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG1 +// FLAG1 +// +// [Bit 1] RW (@ 0x40001204) \nPort B Interrupt Flag 1\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG1 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG0 --------------------------------- +// SVD Line: 1310 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG0 +// FLAG0 +// +// [Bit 0] RW (@ 0x40001204) \nPort B Interrupt Flag 0\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG0 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Register RTree: INTC_PBFLAG ---------------------------------- +// SVD Line: 1103 + +// SFDITEM_REG__INTC_PBFLAG +// PBFLAG +// +// [Bits 31..0] RW (@ 0x40001204) Port B Interrupt Flag Register +// ( (unsigned int)((INTC_PBFLAG >> 0) & 0xFFFFFFFF), ((INTC_PBFLAG = (INTC_PBFLAG & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PBFLAG_FLAG11 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG10 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG9 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG8 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG7 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG6 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG5 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG4 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG3 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG2 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG1 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG0 +// +// + + +// --------------------------- Register Item Address: INTC_PCFLAG ------------------------------- +// SVD Line: 1330 + +unsigned int INTC_PCFLAG __AT (0x40001208); + + + +// ------------------------------ Field Item: INTC_PCFLAG_FLAG3 --------------------------------- +// SVD Line: 1339 + +// SFDITEM_FIELD__INTC_PCFLAG_FLAG3 +// FLAG3 +// +// [Bit 3] RW (@ 0x40001208) \nPort C Interrupt Flag 3\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PCFLAG ) +// FLAG3 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PCFLAG_FLAG2 --------------------------------- +// SVD Line: 1357 + +// SFDITEM_FIELD__INTC_PCFLAG_FLAG2 +// FLAG2 +// +// [Bit 2] RW (@ 0x40001208) \nPort C Interrupt Flag 2\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PCFLAG ) +// FLAG2 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PCFLAG_FLAG1 --------------------------------- +// SVD Line: 1375 + +// SFDITEM_FIELD__INTC_PCFLAG_FLAG1 +// FLAG1 +// +// [Bit 1] RW (@ 0x40001208) \nPort C Interrupt Flag 1\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PCFLAG ) +// FLAG1 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PCFLAG_FLAG0 --------------------------------- +// SVD Line: 1393 + +// SFDITEM_FIELD__INTC_PCFLAG_FLAG0 +// FLAG0 +// +// [Bit 0] RW (@ 0x40001208) \nPort C Interrupt Flag 0\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PCFLAG ) +// FLAG0 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Register RTree: INTC_PCFLAG ---------------------------------- +// SVD Line: 1330 + +// SFDITEM_REG__INTC_PCFLAG +// PCFLAG +// +// [Bits 31..0] RW (@ 0x40001208) Port C Interrupt Flag Register +// ( (unsigned int)((INTC_PCFLAG >> 0) & 0xFFFFFFFF), ((INTC_PCFLAG = (INTC_PCFLAG & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PCFLAG_FLAG3 +// SFDITEM_FIELD__INTC_PCFLAG_FLAG2 +// SFDITEM_FIELD__INTC_PCFLAG_FLAG1 +// SFDITEM_FIELD__INTC_PCFLAG_FLAG0 +// +// + + +// --------------------------- Register Item Address: INTC_PEFLAG ------------------------------- +// SVD Line: 1413 + +unsigned int INTC_PEFLAG __AT (0x40001210); + + + +// ------------------------------ Field Item: INTC_PEFLAG_FLAG3 --------------------------------- +// SVD Line: 1422 + +// SFDITEM_FIELD__INTC_PEFLAG_FLAG3 +// FLAG3 +// +// [Bit 3] RW (@ 0x40001210) \nPort E Interrupt Flag 3\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PEFLAG ) +// FLAG3 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PEFLAG_FLAG2 --------------------------------- +// SVD Line: 1440 + +// SFDITEM_FIELD__INTC_PEFLAG_FLAG2 +// FLAG2 +// +// [Bit 2] RW (@ 0x40001210) \nPort E Interrupt Flag 2\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PEFLAG ) +// FLAG2 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PEFLAG_FLAG1 --------------------------------- +// SVD Line: 1458 + +// SFDITEM_FIELD__INTC_PEFLAG_FLAG1 +// FLAG1 +// +// [Bit 1] RW (@ 0x40001210) \nPort E Interrupt Flag 1\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PEFLAG ) +// FLAG1 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PEFLAG_FLAG0 --------------------------------- +// SVD Line: 1476 + +// SFDITEM_FIELD__INTC_PEFLAG_FLAG0 +// FLAG0 +// +// [Bit 0] RW (@ 0x40001210) \nPort E Interrupt Flag 0\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PEFLAG ) +// FLAG0 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Register RTree: INTC_PEFLAG ---------------------------------- +// SVD Line: 1413 + +// SFDITEM_REG__INTC_PEFLAG +// PEFLAG +// +// [Bits 31..0] RW (@ 0x40001210) Port E Interrupt Flag Register +// ( (unsigned int)((INTC_PEFLAG >> 0) & 0xFFFFFFFF), ((INTC_PEFLAG = (INTC_PEFLAG & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PEFLAG_FLAG3 +// SFDITEM_FIELD__INTC_PEFLAG_FLAG2 +// SFDITEM_FIELD__INTC_PEFLAG_FLAG1 +// SFDITEM_FIELD__INTC_PEFLAG_FLAG0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT0CONF1 ----------------------------- +// SVD Line: 1496 + +unsigned int INTC_EINT0CONF1 __AT (0x40001300); + + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF7 ------------------------------- +// SVD Line: 1505 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF7 +// CONF7 +// +// [Bits 31..28] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 7\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF7 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF6 ------------------------------- +// SVD Line: 1543 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF6 +// CONF6 +// +// [Bits 27..24] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 6\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF6 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF5 ------------------------------- +// SVD Line: 1581 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF5 +// CONF5 +// +// [Bits 23..20] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 5\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF5 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF4 ------------------------------- +// SVD Line: 1619 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF4 +// CONF4 +// +// [Bits 19..16] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 4\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF4 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF3 ------------------------------- +// SVD Line: 1657 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF3 +// CONF3 +// +// [Bits 15..12] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 3\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF3 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF2 ------------------------------- +// SVD Line: 1695 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF2 +// CONF2 +// +// [Bits 11..8] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 2\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF2 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF1 ------------------------------- +// SVD Line: 1733 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF1 +// CONF1 +// +// [Bits 7..4] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 1\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF1 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF0 ------------------------------- +// SVD Line: 1771 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF0 +// CONF0 +// +// [Bits 3..0] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 0\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF0 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT0CONF1 -------------------------------- +// SVD Line: 1496 + +// SFDITEM_REG__INTC_EINT0CONF1 +// EINT0CONF1 +// +// [Bits 31..0] RW (@ 0x40001300) External Interrupt 0 Configuration Register 1 +// ( (unsigned int)((INTC_EINT0CONF1 >> 0) & 0xFFFFFFFF), ((INTC_EINT0CONF1 = (INTC_EINT0CONF1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF7 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF6 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF5 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF4 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF3 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF2 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF1 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT1CONF1 ----------------------------- +// SVD Line: 1811 + +unsigned int INTC_EINT1CONF1 __AT (0x40001304); + + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF7 ------------------------------- +// SVD Line: 1820 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF7 +// CONF7 +// +// [Bits 31..28] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 7\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF7 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF6 ------------------------------- +// SVD Line: 1858 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF6 +// CONF6 +// +// [Bits 27..24] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 6\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF6 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF5 ------------------------------- +// SVD Line: 1896 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF5 +// CONF5 +// +// [Bits 23..20] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 5\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF5 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF4 ------------------------------- +// SVD Line: 1934 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF4 +// CONF4 +// +// [Bits 19..16] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 4\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF4 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF3 ------------------------------- +// SVD Line: 1972 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF3 +// CONF3 +// +// [Bits 15..12] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 3\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF3 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF2 ------------------------------- +// SVD Line: 2010 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF2 +// CONF2 +// +// [Bits 11..8] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 2\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF2 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF1 ------------------------------- +// SVD Line: 2048 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF1 +// CONF1 +// +// [Bits 7..4] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 1\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF1 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF0 ------------------------------- +// SVD Line: 2086 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF0 +// CONF0 +// +// [Bits 3..0] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 0\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF0 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT1CONF1 -------------------------------- +// SVD Line: 1811 + +// SFDITEM_REG__INTC_EINT1CONF1 +// EINT1CONF1 +// +// [Bits 31..0] RW (@ 0x40001304) External Interrupt 1 Configuration Register 1 +// ( (unsigned int)((INTC_EINT1CONF1 >> 0) & 0xFFFFFFFF), ((INTC_EINT1CONF1 = (INTC_EINT1CONF1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF7 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF6 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF5 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF4 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF3 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF2 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF1 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT2CONF1 ----------------------------- +// SVD Line: 2126 + +unsigned int INTC_EINT2CONF1 __AT (0x40001308); + + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF7 ------------------------------- +// SVD Line: 2135 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF7 +// CONF7 +// +// [Bits 31..28] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 7\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF7 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF6 ------------------------------- +// SVD Line: 2173 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF6 +// CONF6 +// +// [Bits 27..24] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 6\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF6 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF5 ------------------------------- +// SVD Line: 2211 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF5 +// CONF5 +// +// [Bits 23..20] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 5\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF5 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF4 ------------------------------- +// SVD Line: 2249 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF4 +// CONF4 +// +// [Bits 19..16] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 4\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF4 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF3 ------------------------------- +// SVD Line: 2287 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF3 +// CONF3 +// +// [Bits 15..12] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 3\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF3 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF2 ------------------------------- +// SVD Line: 2325 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF2 +// CONF2 +// +// [Bits 11..8] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 2\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF2 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF1 ------------------------------- +// SVD Line: 2363 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF1 +// CONF1 +// +// [Bits 7..4] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 1\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF1 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF0 ------------------------------- +// SVD Line: 2401 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF0 +// CONF0 +// +// [Bits 3..0] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 0\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF0 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT2CONF1 -------------------------------- +// SVD Line: 2126 + +// SFDITEM_REG__INTC_EINT2CONF1 +// EINT2CONF1 +// +// [Bits 31..0] RW (@ 0x40001308) External Interrupt 2 Configuration Register 1 +// ( (unsigned int)((INTC_EINT2CONF1 >> 0) & 0xFFFFFFFF), ((INTC_EINT2CONF1 = (INTC_EINT2CONF1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF7 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF6 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF5 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF4 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF3 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF2 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF1 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT3CONF1 ----------------------------- +// SVD Line: 2441 + +unsigned int INTC_EINT3CONF1 __AT (0x4000130C); + + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF7 ------------------------------- +// SVD Line: 2450 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF7 +// CONF7 +// +// [Bits 31..28] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 7\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF7 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF6 ------------------------------- +// SVD Line: 2488 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF6 +// CONF6 +// +// [Bits 27..24] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 6\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF6 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF5 ------------------------------- +// SVD Line: 2526 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF5 +// CONF5 +// +// [Bits 23..20] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 5\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF5 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF4 ------------------------------- +// SVD Line: 2564 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF4 +// CONF4 +// +// [Bits 19..16] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 4\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF4 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF3 ------------------------------- +// SVD Line: 2602 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF3 +// CONF3 +// +// [Bits 15..12] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 3\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF3 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF2 ------------------------------- +// SVD Line: 2640 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF2 +// CONF2 +// +// [Bits 11..8] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 2\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF2 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF1 ------------------------------- +// SVD Line: 2678 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF1 +// CONF1 +// +// [Bits 7..4] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 1\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF1 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF0 ------------------------------- +// SVD Line: 2716 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF0 +// CONF0 +// +// [Bits 3..0] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 0\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF0 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT3CONF1 -------------------------------- +// SVD Line: 2441 + +// SFDITEM_REG__INTC_EINT3CONF1 +// EINT3CONF1 +// +// [Bits 31..0] RW (@ 0x4000130C) External Interrupt 3 Configuration Register 1 +// ( (unsigned int)((INTC_EINT3CONF1 >> 0) & 0xFFFFFFFF), ((INTC_EINT3CONF1 = (INTC_EINT3CONF1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF7 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF6 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF5 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF4 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF3 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF2 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF1 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT0CONF2 ----------------------------- +// SVD Line: 2756 + +unsigned int INTC_EINT0CONF2 __AT (0x40001310); + + + +// --------------------------- Field Item: INTC_EINT0CONF2_CONF11 ------------------------------- +// SVD Line: 2765 + +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF11 +// CONF11 +// +// [Bits 15..12] RW (@ 0x40001310) \nExternal Interrupt 0 Configuration 11\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF2 ) +// CONF11 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------- Field Item: INTC_EINT0CONF2_CONF10 ------------------------------- +// SVD Line: 2803 + +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF10 +// CONF10 +// +// [Bits 11..8] RW (@ 0x40001310) \nExternal Interrupt 0 Configuration 10\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF2 ) +// CONF10 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF2_CONF9 ------------------------------- +// SVD Line: 2841 + +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF9 +// CONF9 +// +// [Bits 7..4] RW (@ 0x40001310) \nExternal Interrupt 0 Configuration 9\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF2 ) +// CONF9 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF2_CONF8 ------------------------------- +// SVD Line: 2879 + +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF8 +// CONF8 +// +// [Bits 3..0] RW (@ 0x40001310) \nExternal Interrupt 0 Configuration 8\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF2 ) +// CONF8 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT0CONF2 -------------------------------- +// SVD Line: 2756 + +// SFDITEM_REG__INTC_EINT0CONF2 +// EINT0CONF2 +// +// [Bits 31..0] RW (@ 0x40001310) External Interrupt 0 Configuration Register 2 +// ( (unsigned int)((INTC_EINT0CONF2 >> 0) & 0xFFFFFFFF), ((INTC_EINT0CONF2 = (INTC_EINT0CONF2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF11 +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF10 +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF9 +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF8 +// +// + + +// ------------------------- Register Item Address: INTC_EINT1CONF2 ----------------------------- +// SVD Line: 2919 + +unsigned int INTC_EINT1CONF2 __AT (0x40001314); + + + +// --------------------------- Field Item: INTC_EINT1CONF2_CONF11 ------------------------------- +// SVD Line: 2928 + +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF11 +// CONF11 +// +// [Bits 15..12] RW (@ 0x40001314) \nExternal Interrupt 1 Configuration 11\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF2 ) +// CONF11 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------- Field Item: INTC_EINT1CONF2_CONF10 ------------------------------- +// SVD Line: 2966 + +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF10 +// CONF10 +// +// [Bits 11..8] RW (@ 0x40001314) \nExternal Interrupt 1 Configuration 10\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF2 ) +// CONF10 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF2_CONF9 ------------------------------- +// SVD Line: 3004 + +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF9 +// CONF9 +// +// [Bits 7..4] RW (@ 0x40001314) \nExternal Interrupt 1 Configuration 9\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF2 ) +// CONF9 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF2_CONF8 ------------------------------- +// SVD Line: 3042 + +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF8 +// CONF8 +// +// [Bits 3..0] RW (@ 0x40001314) \nExternal Interrupt 1 Configuration 8\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF2 ) +// CONF8 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT1CONF2 -------------------------------- +// SVD Line: 2919 + +// SFDITEM_REG__INTC_EINT1CONF2 +// EINT1CONF2 +// +// [Bits 31..0] RW (@ 0x40001314) External Interrupt 1 Configuration Register 2 +// ( (unsigned int)((INTC_EINT1CONF2 >> 0) & 0xFFFFFFFF), ((INTC_EINT1CONF2 = (INTC_EINT1CONF2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF11 +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF10 +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF9 +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF8 +// +// + + +// ------------------------- Register Item Address: INTC_EINT2CONF2 ----------------------------- +// SVD Line: 3082 + +unsigned int INTC_EINT2CONF2 __AT (0x40001318); + + + +// --------------------------- Field Item: INTC_EINT2CONF2_CONF11 ------------------------------- +// SVD Line: 3091 + +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF11 +// CONF11 +// +// [Bits 15..12] RW (@ 0x40001318) \nExternal Interrupt 2 Configuration 11\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF2 ) +// CONF11 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------- Field Item: INTC_EINT2CONF2_CONF10 ------------------------------- +// SVD Line: 3129 + +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF10 +// CONF10 +// +// [Bits 11..8] RW (@ 0x40001318) \nExternal Interrupt 2 Configuration 10\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF2 ) +// CONF10 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF2_CONF9 ------------------------------- +// SVD Line: 3167 + +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF9 +// CONF9 +// +// [Bits 7..4] RW (@ 0x40001318) \nExternal Interrupt 2 Configuration 9\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF2 ) +// CONF9 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF2_CONF8 ------------------------------- +// SVD Line: 3205 + +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF8 +// CONF8 +// +// [Bits 3..0] RW (@ 0x40001318) \nExternal Interrupt 2 Configuration 8\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF2 ) +// CONF8 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT2CONF2 -------------------------------- +// SVD Line: 3082 + +// SFDITEM_REG__INTC_EINT2CONF2 +// EINT2CONF2 +// +// [Bits 31..0] RW (@ 0x40001318) External Interrupt 2 Configuration Register 2 +// ( (unsigned int)((INTC_EINT2CONF2 >> 0) & 0xFFFFFFFF), ((INTC_EINT2CONF2 = (INTC_EINT2CONF2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF11 +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF10 +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF9 +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF8 +// +// + + +// ------------------------- Register Item Address: INTC_EINT3CONF2 ----------------------------- +// SVD Line: 3245 + +unsigned int INTC_EINT3CONF2 __AT (0x4000131C); + + + +// --------------------------- Field Item: INTC_EINT3CONF2_CONF11 ------------------------------- +// SVD Line: 3254 + +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF11 +// CONF11 +// +// [Bits 15..12] RW (@ 0x4000131C) \nExternal Interrupt 3 Configuration 11\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF2 ) +// CONF11 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------- Field Item: INTC_EINT3CONF2_CONF10 ------------------------------- +// SVD Line: 3292 + +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF10 +// CONF10 +// +// [Bits 11..8] RW (@ 0x4000131C) \nExternal Interrupt 3 Configuration 10\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF2 ) +// CONF10 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF2_CONF9 ------------------------------- +// SVD Line: 3330 + +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF9 +// CONF9 +// +// [Bits 7..4] RW (@ 0x4000131C) \nExternal Interrupt 3 Configuration 9\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF2 ) +// CONF9 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF2_CONF8 ------------------------------- +// SVD Line: 3368 + +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF8 +// CONF8 +// +// [Bits 3..0] RW (@ 0x4000131C) \nExternal Interrupt 3 Configuration 8\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF2 ) +// CONF8 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT3CONF2 -------------------------------- +// SVD Line: 3245 + +// SFDITEM_REG__INTC_EINT3CONF2 +// EINT3CONF2 +// +// [Bits 31..0] RW (@ 0x4000131C) External Interrupt 3 Configuration Register 2 +// ( (unsigned int)((INTC_EINT3CONF2 >> 0) & 0xFFFFFFFF), ((INTC_EINT3CONF2 = (INTC_EINT3CONF2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF11 +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF10 +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF9 +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF8 +// +// + + +// ----------------------------- Register Item Address: INTC_MSK -------------------------------- +// SVD Line: 3408 + +unsigned int INTC_MSK __AT (0x40001400); + + + +// ---------------------------- Field Item: INTC_MSK_IMSK31_NULL -------------------------------- +// SVD Line: 3417 + +// SFDITEM_FIELD__INTC_MSK_IMSK31_NULL +// IMSK31_NULL +// +// [Bit 31] RW (@ 0x40001400) \nInterrupt Source Mask 31 (RSVD)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK31_NULL +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK30_NULL -------------------------------- +// SVD Line: 3435 + +// SFDITEM_FIELD__INTC_MSK_IMSK30_NULL +// IMSK30_NULL +// +// [Bit 30] RW (@ 0x40001400) \nInterrupt Source Mask 30 (RSVD)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK30_NULL +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK29_NULL -------------------------------- +// SVD Line: 3453 + +// SFDITEM_FIELD__INTC_MSK_IMSK29_NULL +// IMSK29_NULL +// +// [Bit 29] RW (@ 0x40001400) \nInterrupt Source Mask 29 (RSVD)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK29_NULL +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK28_NULL -------------------------------- +// SVD Line: 3471 + +// SFDITEM_FIELD__INTC_MSK_IMSK28_NULL +// IMSK28_NULL +// +// [Bit 28] RW (@ 0x40001400) \nInterrupt Source Mask 28 (RSVD)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK28_NULL +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK27_USART13 ------------------------------ +// SVD Line: 3489 + +// SFDITEM_FIELD__INTC_MSK_IMSK27_USART13 +// IMSK27_USART13 +// +// [Bit 27] RW (@ 0x40001400) \nInterrupt Source Mask 27 (USART13)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK27_USART13 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK26_USART12 ------------------------------ +// SVD Line: 3507 + +// SFDITEM_FIELD__INTC_MSK_IMSK26_USART12 +// IMSK26_USART12 +// +// [Bit 26] RW (@ 0x40001400) \nInterrupt Source Mask 26 (USART12)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK26_USART12 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK25_I2C2 -------------------------------- +// SVD Line: 3525 + +// SFDITEM_FIELD__INTC_MSK_IMSK25_I2C2 +// IMSK25_I2C2 +// +// [Bit 25] RW (@ 0x40001400) \nInterrupt Source Mask 25 (I2C2)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK25_I2C2 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK24_TIMER16 ------------------------------ +// SVD Line: 3543 + +// SFDITEM_FIELD__INTC_MSK_IMSK24_TIMER16 +// IMSK24_TIMER16 +// +// [Bit 24] RW (@ 0x40001400) \nInterrupt Source Mask 24 (TIMER16)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK24_TIMER16 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK23_TIMER15 ------------------------------ +// SVD Line: 3561 + +// SFDITEM_FIELD__INTC_MSK_IMSK23_TIMER15 +// IMSK23_TIMER15 +// +// [Bit 23] RW (@ 0x40001400) \nInterrupt Source Mask 23 (TIMER15)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK23_TIMER15 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK22_TIMER14 ------------------------------ +// SVD Line: 3579 + +// SFDITEM_FIELD__INTC_MSK_IMSK22_TIMER14 +// IMSK22_TIMER14 +// +// [Bit 22] RW (@ 0x40001400) \nInterrupt Source Mask 22 (TIMER14)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK22_TIMER14 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK21_TIMER13 ------------------------------ +// SVD Line: 3597 + +// SFDITEM_FIELD__INTC_MSK_IMSK21_TIMER13 +// IMSK21_TIMER13 +// +// [Bit 21] RW (@ 0x40001400) \nInterrupt Source Mask 21 (TIMER13)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK21_TIMER13 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK20_UART1 ------------------------------- +// SVD Line: 3615 + +// SFDITEM_FIELD__INTC_MSK_IMSK20_UART1 +// IMSK20_UART1 +// +// [Bit 20] RW (@ 0x40001400) \nInterrupt Source Mask 20 (UART1)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK20_UART1 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK19_UART0 ------------------------------- +// SVD Line: 3633 + +// SFDITEM_FIELD__INTC_MSK_IMSK19_UART0 +// IMSK19_UART0 +// +// [Bit 19] RW (@ 0x40001400) \nInterrupt Source Mask 19 (UART0)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK19_UART0 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK18_ADC -------------------------------- +// SVD Line: 3651 + +// SFDITEM_FIELD__INTC_MSK_IMSK18_ADC +// IMSK18_ADC +// +// [Bit 18] RW (@ 0x40001400) \nInterrupt Source Mask 18 (ADC)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK18_ADC +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK17_USART11 ------------------------------ +// SVD Line: 3669 + +// SFDITEM_FIELD__INTC_MSK_IMSK17_USART11 +// IMSK17_USART11 +// +// [Bit 17] RW (@ 0x40001400) \nInterrupt Source Mask 17 (USART11)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK17_USART11 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK16_TIMER21 ------------------------------ +// SVD Line: 3687 + +// SFDITEM_FIELD__INTC_MSK_IMSK16_TIMER21 +// IMSK16_TIMER21 +// +// [Bit 16] RW (@ 0x40001400) \nInterrupt Source Mask 16 (TIMER21)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK16_TIMER21 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK15_TIMER20 ------------------------------ +// SVD Line: 3705 + +// SFDITEM_FIELD__INTC_MSK_IMSK15_TIMER20 +// IMSK15_TIMER20 +// +// [Bit 15] RW (@ 0x40001400) \nInterrupt Source Mask 15 (TIMER20)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK15_TIMER20 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK14_I2C1 -------------------------------- +// SVD Line: 3723 + +// SFDITEM_FIELD__INTC_MSK_IMSK14_I2C1 +// IMSK14_I2C1 +// +// [Bit 14] RW (@ 0x40001400) \nInterrupt Source Mask 14 (I2C1)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK14_I2C1 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK13_TIMER30 ------------------------------ +// SVD Line: 3741 + +// SFDITEM_FIELD__INTC_MSK_IMSK13_TIMER30 +// IMSK13_TIMER30 +// +// [Bit 13] RW (@ 0x40001400) \nInterrupt Source Mask 13 (TIMER30)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK13_TIMER30 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK12_WT --------------------------------- +// SVD Line: 3759 + +// SFDITEM_FIELD__INTC_MSK_IMSK12_WT +// IMSK12_WT +// +// [Bit 12] RW (@ 0x40001400) \nInterrupt Source Mask 12 (WT)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK12_WT +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK11_USART10 ------------------------------ +// SVD Line: 3777 + +// SFDITEM_FIELD__INTC_MSK_IMSK11_USART10 +// IMSK11_USART10 +// +// [Bit 11] RW (@ 0x40001400) \nInterrupt Source Mask 11 (USART10)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK11_USART10 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK10_I2C0 -------------------------------- +// SVD Line: 3795 + +// SFDITEM_FIELD__INTC_MSK_IMSK10_I2C0 +// IMSK10_I2C0 +// +// [Bit 10] RW (@ 0x40001400) \nInterrupt Source Mask 10 (I2C0)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK10_I2C0 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK9_TIMER12 ------------------------------- +// SVD Line: 3813 + +// SFDITEM_FIELD__INTC_MSK_IMSK9_TIMER12 +// IMSK9_TIMER12 +// +// [Bit 9] RW (@ 0x40001400) \nInterrupt Source Mask 9 (TIMER12)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK9_TIMER12 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK8_TIMER11 ------------------------------- +// SVD Line: 3831 + +// SFDITEM_FIELD__INTC_MSK_IMSK8_TIMER11 +// IMSK8_TIMER11 +// +// [Bit 8] RW (@ 0x40001400) \nInterrupt Source Mask 8 (TIMER11)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK8_TIMER11 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK7_TIMER10 ------------------------------- +// SVD Line: 3849 + +// SFDITEM_FIELD__INTC_MSK_IMSK7_TIMER10 +// IMSK7_TIMER10 +// +// [Bit 7] RW (@ 0x40001400) \nInterrupt Source Mask 7 (TIMER10)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK7_TIMER10 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK6_EINT3 -------------------------------- +// SVD Line: 3867 + +// SFDITEM_FIELD__INTC_MSK_IMSK6_EINT3 +// IMSK6_EINT3 +// +// [Bit 6] RW (@ 0x40001400) \nInterrupt Source Mask 6 (EINT3)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK6_EINT3 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK5_EINT2 -------------------------------- +// SVD Line: 3885 + +// SFDITEM_FIELD__INTC_MSK_IMSK5_EINT2 +// IMSK5_EINT2 +// +// [Bit 5] RW (@ 0x40001400) \nInterrupt Source Mask 5 (EINT2)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK5_EINT2 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK4_EINT1 -------------------------------- +// SVD Line: 3903 + +// SFDITEM_FIELD__INTC_MSK_IMSK4_EINT1 +// IMSK4_EINT1 +// +// [Bit 4] RW (@ 0x40001400) \nInterrupt Source Mask 4 (EINT1)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK4_EINT1 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK3_EINT0 -------------------------------- +// SVD Line: 3921 + +// SFDITEM_FIELD__INTC_MSK_IMSK3_EINT0 +// IMSK3_EINT0 +// +// [Bit 3] RW (@ 0x40001400) \nInterrupt Source Mask 3 (EINT0)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK3_EINT0 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK2_WDT --------------------------------- +// SVD Line: 3939 + +// SFDITEM_FIELD__INTC_MSK_IMSK2_WDT +// IMSK2_WDT +// +// [Bit 2] RW (@ 0x40001400) \nInterrupt Source Mask 2 (WDT)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK2_WDT +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK1_WUT --------------------------------- +// SVD Line: 3957 + +// SFDITEM_FIELD__INTC_MSK_IMSK1_WUT +// IMSK1_WUT +// +// [Bit 1] RW (@ 0x40001400) \nInterrupt Source Mask 1 (WUT)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK1_WUT +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK0_LVI --------------------------------- +// SVD Line: 3975 + +// SFDITEM_FIELD__INTC_MSK_IMSK0_LVI +// IMSK0_LVI +// +// [Bit 0] RW (@ 0x40001400) \nInterrupt Source Mask 0 (LVI)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK0_LVI +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// -------------------------------- Register RTree: INTC_MSK ------------------------------------ +// SVD Line: 3408 + +// SFDITEM_REG__INTC_MSK +// MSK +// +// [Bits 31..0] RW (@ 0x40001400) Interrupt Source Mask Register +// ( (unsigned int)((INTC_MSK >> 0) & 0xFFFFFFFF), ((INTC_MSK = (INTC_MSK & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_MSK_IMSK31_NULL +// SFDITEM_FIELD__INTC_MSK_IMSK30_NULL +// SFDITEM_FIELD__INTC_MSK_IMSK29_NULL +// SFDITEM_FIELD__INTC_MSK_IMSK28_NULL +// SFDITEM_FIELD__INTC_MSK_IMSK27_USART13 +// SFDITEM_FIELD__INTC_MSK_IMSK26_USART12 +// SFDITEM_FIELD__INTC_MSK_IMSK25_I2C2 +// SFDITEM_FIELD__INTC_MSK_IMSK24_TIMER16 +// SFDITEM_FIELD__INTC_MSK_IMSK23_TIMER15 +// SFDITEM_FIELD__INTC_MSK_IMSK22_TIMER14 +// SFDITEM_FIELD__INTC_MSK_IMSK21_TIMER13 +// SFDITEM_FIELD__INTC_MSK_IMSK20_UART1 +// SFDITEM_FIELD__INTC_MSK_IMSK19_UART0 +// SFDITEM_FIELD__INTC_MSK_IMSK18_ADC +// SFDITEM_FIELD__INTC_MSK_IMSK17_USART11 +// SFDITEM_FIELD__INTC_MSK_IMSK16_TIMER21 +// SFDITEM_FIELD__INTC_MSK_IMSK15_TIMER20 +// SFDITEM_FIELD__INTC_MSK_IMSK14_I2C1 +// SFDITEM_FIELD__INTC_MSK_IMSK13_TIMER30 +// SFDITEM_FIELD__INTC_MSK_IMSK12_WT +// SFDITEM_FIELD__INTC_MSK_IMSK11_USART10 +// SFDITEM_FIELD__INTC_MSK_IMSK10_I2C0 +// SFDITEM_FIELD__INTC_MSK_IMSK9_TIMER12 +// SFDITEM_FIELD__INTC_MSK_IMSK8_TIMER11 +// SFDITEM_FIELD__INTC_MSK_IMSK7_TIMER10 +// SFDITEM_FIELD__INTC_MSK_IMSK6_EINT3 +// SFDITEM_FIELD__INTC_MSK_IMSK5_EINT2 +// SFDITEM_FIELD__INTC_MSK_IMSK4_EINT1 +// SFDITEM_FIELD__INTC_MSK_IMSK3_EINT0 +// SFDITEM_FIELD__INTC_MSK_IMSK2_WDT +// SFDITEM_FIELD__INTC_MSK_IMSK1_WUT +// SFDITEM_FIELD__INTC_MSK_IMSK0_LVI +// +// + + +// ---------------------------------- Peripheral View: INTC ------------------------------------- +// SVD Line: 83 + +// INTC +// INTC +// SFDITEM_REG__INTC_PBTRIG +// SFDITEM_REG__INTC_PCTRIG +// SFDITEM_REG__INTC_PETRIG +// SFDITEM_REG__INTC_PBCR +// SFDITEM_REG__INTC_PCCR +// SFDITEM_REG__INTC_PECR +// SFDITEM_REG__INTC_PBFLAG +// SFDITEM_REG__INTC_PCFLAG +// SFDITEM_REG__INTC_PEFLAG +// SFDITEM_REG__INTC_EINT0CONF1 +// SFDITEM_REG__INTC_EINT1CONF1 +// SFDITEM_REG__INTC_EINT2CONF1 +// SFDITEM_REG__INTC_EINT3CONF1 +// SFDITEM_REG__INTC_EINT0CONF2 +// SFDITEM_REG__INTC_EINT1CONF2 +// SFDITEM_REG__INTC_EINT2CONF2 +// SFDITEM_REG__INTC_EINT3CONF2 +// SFDITEM_REG__INTC_MSK +// +// + + +// -------------------------- Register Item Address: SCUCC_VENDORID ----------------------------- +// SVD Line: 4016 + +unsigned int SCUCC_VENDORID __AT (0x4000F000); + + + +// ---------------------------- Field Item: SCUCC_VENDORID_VENDID ------------------------------- +// SVD Line: 4025 + +// SFDITEM_FIELD__SCUCC_VENDORID_VENDID +// VENDID +// +// [Bits 31..0] RO (@ 0x4000F000) Vendor Identification +// +// ( (unsigned int)((SCUCC_VENDORID >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ----------------------------- Register RTree: SCUCC_VENDORID --------------------------------- +// SVD Line: 4016 + +// SFDITEM_REG__SCUCC_VENDORID +// VENDORID +// +// [Bits 31..0] RO (@ 0x4000F000) Vendor Identification Register +// ( (unsigned int)((SCUCC_VENDORID >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__SCUCC_VENDORID_VENDID +// +// + + +// --------------------------- Register Item Address: SCUCC_CHIPID ------------------------------ +// SVD Line: 4033 + +unsigned int SCUCC_CHIPID __AT (0x4000F004); + + + +// ----------------------------- Field Item: SCUCC_CHIPID_CHIPID -------------------------------- +// SVD Line: 4042 + +// SFDITEM_FIELD__SCUCC_CHIPID_CHIPID +// CHIPID +// +// [Bits 31..0] RO (@ 0x4000F004) Chip Identification +// +// ( (unsigned int)((SCUCC_CHIPID >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_CHIPID ---------------------------------- +// SVD Line: 4033 + +// SFDITEM_REG__SCUCC_CHIPID +// CHIPID +// +// [Bits 31..0] RO (@ 0x4000F004) Chip Identification Register +// ( (unsigned int)((SCUCC_CHIPID >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__SCUCC_CHIPID_CHIPID +// +// + + +// --------------------------- Register Item Address: SCUCC_REVNR ------------------------------- +// SVD Line: 4050 + +unsigned int SCUCC_REVNR __AT (0x4000F008); + + + +// ------------------------------ Field Item: SCUCC_REVNR_REVNO --------------------------------- +// SVD Line: 4059 + +// SFDITEM_FIELD__SCUCC_REVNR_REVNO +// REVNO +// +// [Bits 7..0] RO (@ 0x4000F008) Chip Revision Number +// +// ( (unsigned char)((SCUCC_REVNR >> 0) & 0xFF) ) +// +// +// + + +// ------------------------------- Register RTree: SCUCC_REVNR ---------------------------------- +// SVD Line: 4050 + +// SFDITEM_REG__SCUCC_REVNR +// REVNR +// +// [Bits 31..0] RO (@ 0x4000F008) Revision Number Register +// ( (unsigned int)((SCUCC_REVNR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__SCUCC_REVNR_REVNO +// +// + + +// -------------------------- Register Item Address: SCUCC_PMREMAP ------------------------------ +// SVD Line: 4067 + +unsigned int SCUCC_PMREMAP __AT (0x4000F014); + + + +// ---------------------------- Field Item: SCUCC_PMREMAP_WTIDKY -------------------------------- +// SVD Line: 4076 + +// SFDITEM_FIELD__SCUCC_PMREMAP_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x4000F014) Write Identification Key (0xe2f1) +// +// ( (unsigned short)((SCUCC_PMREMAP >> 16) & 0x0), ((SCUCC_PMREMAP = (SCUCC_PMREMAP & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCC_PMREMAP_nPMREM -------------------------------- +// SVD Line: 4089 + +// SFDITEM_FIELD__SCUCC_PMREMAP_nPMREM +// nPMREM +// +// [Bits 15..8] WO (@ 0x4000F014) Write Complement Key +// +// ( (unsigned char)((SCUCC_PMREMAP >> 8) & 0x0), ((SCUCC_PMREMAP = (SCUCC_PMREMAP & ~(0xFFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 8 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCC_PMREMAP_PMREM -------------------------------- +// SVD Line: 4107 + +// SFDITEM_FIELD__SCUCC_PMREMAP_PMREM +// PMREM +// +// [Bits 7..0] RW (@ 0x4000F014) Program Memory Remap +// +// ( (unsigned char)((SCUCC_PMREMAP >> 0) & 0xFF), ((SCUCC_PMREMAP = (SCUCC_PMREMAP & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_PMREMAP --------------------------------- +// SVD Line: 4067 + +// SFDITEM_REG__SCUCC_PMREMAP +// PMREMAP +// +// [Bits 31..0] RW (@ 0x4000F014) Program Memory Remap Register +// ( (unsigned int)((SCUCC_PMREMAP >> 0) & 0xFFFFFFFF), ((SCUCC_PMREMAP = (SCUCC_PMREMAP & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_PMREMAP_WTIDKY +// SFDITEM_FIELD__SCUCC_PMREMAP_nPMREM +// SFDITEM_FIELD__SCUCC_PMREMAP_PMREM +// +// + + +// --------------------------- Register Item Address: SCUCC_BTPSCR ------------------------------ +// SVD Line: 4127 + +unsigned int SCUCC_BTPSCR __AT (0x4000F018); + + + +// ----------------------------- Field Item: SCUCC_BTPSCR_BFIND --------------------------------- +// SVD Line: 4136 + +// SFDITEM_FIELD__SCUCC_BTPSCR_BFIND +// BFIND +// +// [Bits 6..5] RW (@ 0x4000F018) \nBOOT Pin Function Indicator\n0 : Reserved - do not use\n1 : Reserved - do not use\n2 : PORorEXTR = Check the BOOT pin when a system reset occurs by nRESET including POR.\n3 : POR = Check the BOOT pin when a system reset occurs only by POR. +// +// ( (unsigned int) SCUCC_BTPSCR ) +// BFIND +// <0=> 0: +// <1=> 1: +// <2=> 2: PORorEXTR = Check the BOOT pin when a system reset occurs by nRESET including POR. +// <3=> 3: POR = Check the BOOT pin when a system reset occurs only by POR. +// +// +// + + +// ----------------------------- Field Item: SCUCC_BTPSCR_BTPSTA -------------------------------- +// SVD Line: 4154 + +// SFDITEM_FIELD__SCUCC_BTPSCR_BTPSTA +// BTPSTA +// +// [Bit 0] RO (@ 0x4000F018) \nBOOT Pin Status\n0 : Low = The BOOT pin is low level.\n1 : High = The BOOT pin is high level. +// +// ( (unsigned int) SCUCC_BTPSCR ) +// BTPSTA +// <0=> 0: Low = The BOOT pin is low level. +// <1=> 1: High = The BOOT pin is high level. +// +// +// + + +// ------------------------------ Register RTree: SCUCC_BTPSCR ---------------------------------- +// SVD Line: 4127 + +// SFDITEM_REG__SCUCC_BTPSCR +// BTPSCR +// +// [Bits 31..0] RW (@ 0x4000F018) Boot Pin Status and Control Register +// ( (unsigned int)((SCUCC_BTPSCR >> 0) & 0xFFFFFFFF), ((SCUCC_BTPSCR = (SCUCC_BTPSCR & ~(0x60UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x60) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_BTPSCR_BFIND +// SFDITEM_FIELD__SCUCC_BTPSCR_BTPSTA +// +// + + +// --------------------------- Register Item Address: SCUCC_RSTSSR ------------------------------ +// SVD Line: 4174 + +unsigned int SCUCC_RSTSSR __AT (0x4000F01C); + + + +// ----------------------------- Field Item: SCUCC_RSTSSR_MONSTA -------------------------------- +// SVD Line: 4183 + +// SFDITEM_FIELD__SCUCC_RSTSSR_MONSTA +// MONSTA +// +// [Bit 5] RW (@ 0x4000F01C) \nClock Monitoring Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = CMR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// MONSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = CMR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_SWSTA --------------------------------- +// SVD Line: 4201 + +// SFDITEM_FIELD__SCUCC_RSTSSR_SWSTA +// SWSTA +// +// [Bit 4] RW (@ 0x4000F01C) \nSoftware Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = SWR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// SWSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = SWR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_EXTSTA -------------------------------- +// SVD Line: 4219 + +// SFDITEM_FIELD__SCUCC_RSTSSR_EXTSTA +// EXTSTA +// +// [Bit 3] RW (@ 0x4000F01C) \nExternal Pin Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = EXTR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// EXTSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = EXTR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_WDTSTA -------------------------------- +// SVD Line: 4237 + +// SFDITEM_FIELD__SCUCC_RSTSSR_WDTSTA +// WDTSTA +// +// [Bit 2] RW (@ 0x4000F01C) \nWatch-Dog Timer Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = WDTR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// WDTSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = WDTR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_LVRSTA -------------------------------- +// SVD Line: 4255 + +// SFDITEM_FIELD__SCUCC_RSTSSR_LVRSTA +// LVRSTA +// +// [Bit 1] RW (@ 0x4000F01C) \nLVR Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = LVR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// LVRSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = LVR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_PORSTA -------------------------------- +// SVD Line: 4273 + +// SFDITEM_FIELD__SCUCC_RSTSSR_PORSTA +// PORSTA +// +// [Bit 0] RW (@ 0x4000F01C) \nPOR Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = POR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// PORSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = POR was detected. +// +// +// + + +// ------------------------------ Register RTree: SCUCC_RSTSSR ---------------------------------- +// SVD Line: 4174 + +// SFDITEM_REG__SCUCC_RSTSSR +// RSTSSR +// +// [Bits 31..0] RW (@ 0x4000F01C) Reset Source Status Register +// ( (unsigned int)((SCUCC_RSTSSR >> 0) & 0xFFFFFFFF), ((SCUCC_RSTSSR = (SCUCC_RSTSSR & ~(0x3FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_RSTSSR_MONSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_SWSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_EXTSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_WDTSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_LVRSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_PORSTA +// +// + + +// -------------------------- Register Item Address: SCUCC_NMISRCR ------------------------------ +// SVD Line: 4293 + +unsigned int SCUCC_NMISRCR __AT (0x4000F020); + + + +// ---------------------------- Field Item: SCUCC_NMISRCR_NMICON -------------------------------- +// SVD Line: 4302 + +// SFDITEM_FIELD__SCUCC_NMISRCR_NMICON +// NMICON +// +// [Bit 7] RW (@ 0x4000F020) \nNon-Maskable Interrupt (NMI) Control\n0 : Disable = Disable NMI.\n1 : Enable = Enable NMI. +// +// ( (unsigned int) SCUCC_NMISRCR ) +// NMICON +// <0=> 0: Disable = Disable NMI. +// <1=> 1: Enable = Enable NMI. +// +// +// + + +// ---------------------------- Field Item: SCUCC_NMISRCR_MONINT -------------------------------- +// SVD Line: 4320 + +// SFDITEM_FIELD__SCUCC_NMISRCR_MONINT +// MONINT +// +// [Bit 6] RW (@ 0x4000F020) \nClock Monitoring Interrupt Selection\n0 : NotSelect = Non-select clock monitoring interrupt for NMI source.\n1 : Select = Select clock monitoring interrupt for NMI source. +// +// ( (unsigned int) SCUCC_NMISRCR ) +// MONINT +// <0=> 0: NotSelect = Non-select clock monitoring interrupt for NMI source. +// <1=> 1: Select = Select clock monitoring interrupt for NMI source. +// +// +// + + +// ---------------------------- Field Item: SCUCC_NMISRCR_NMISRC -------------------------------- +// SVD Line: 4338 + +// SFDITEM_FIELD__SCUCC_NMISRCR_NMISRC +// NMISRC +// +// [Bits 4..0] RW (@ 0x4000F020) Non-Maskable Interrupt Source Selection +// +// ( (unsigned char)((SCUCC_NMISRCR >> 0) & 0x1F), ((SCUCC_NMISRCR = (SCUCC_NMISRCR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x1F) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_NMISRCR --------------------------------- +// SVD Line: 4293 + +// SFDITEM_REG__SCUCC_NMISRCR +// NMISRCR +// +// [Bits 31..0] RW (@ 0x4000F020) NMI Source Selection Register +// ( (unsigned int)((SCUCC_NMISRCR >> 0) & 0xFFFFFFFF), ((SCUCC_NMISRCR = (SCUCC_NMISRCR & ~(0xDFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xDF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_NMISRCR_NMICON +// SFDITEM_FIELD__SCUCC_NMISRCR_MONINT +// SFDITEM_FIELD__SCUCC_NMISRCR_NMISRC +// +// + + +// --------------------------- Register Item Address: SCUCC_SWRSTR ------------------------------ +// SVD Line: 4346 + +unsigned int SCUCC_SWRSTR __AT (0x4000F024); + + + +// ----------------------------- Field Item: SCUCC_SWRSTR_WTIDKY -------------------------------- +// SVD Line: 4355 + +// SFDITEM_FIELD__SCUCC_SWRSTR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x4000F024) Write Identification Key (0x9eb3) +// +// ( (unsigned short)((SCUCC_SWRSTR >> 16) & 0x0), ((SCUCC_SWRSTR = (SCUCC_SWRSTR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCC_SWRSTR_SWRST --------------------------------- +// SVD Line: 4368 + +// SFDITEM_FIELD__SCUCC_SWRSTR_SWRST +// SWRST +// +// [Bits 7..0] WO (@ 0x4000F024) Software Reset (System Reset) +// +// ( (unsigned char)((SCUCC_SWRSTR >> 0) & 0x0), ((SCUCC_SWRSTR = (SCUCC_SWRSTR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_SWRSTR ---------------------------------- +// SVD Line: 4346 + +// SFDITEM_REG__SCUCC_SWRSTR +// SWRSTR +// +// [Bits 31..0] WO (@ 0x4000F024) Software Reset Register +// ( (unsigned int)((SCUCC_SWRSTR >> 0) & 0xFFFFFFFF), ((SCUCC_SWRSTR = (SCUCC_SWRSTR & ~(0xFFFF00FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF00FF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_SWRSTR_WTIDKY +// SFDITEM_FIELD__SCUCC_SWRSTR_SWRST +// +// + + +// --------------------------- Register Item Address: SCUCC_SRSTVR ------------------------------ +// SVD Line: 4388 + +unsigned int SCUCC_SRSTVR __AT (0x4000F028); + + + +// ----------------------------- Field Item: SCUCC_SRSTVR_VALID --------------------------------- +// SVD Line: 4397 + +// SFDITEM_FIELD__SCUCC_SRSTVR_VALID +// VALID +// +// [Bits 7..0] RO (@ 0x4000F028) System Reset Validation +// +// ( (unsigned char)((SCUCC_SRSTVR >> 0) & 0xFF) ) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_SRSTVR ---------------------------------- +// SVD Line: 4388 + +// SFDITEM_REG__SCUCC_SRSTVR +// SRSTVR +// +// [Bits 31..0] RO (@ 0x4000F028) System Reset Validation Register +// ( (unsigned int)((SCUCC_SRSTVR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__SCUCC_SRSTVR_VALID +// +// + + +// --------------------------- Register Item Address: SCUCC_WUTCR ------------------------------- +// SVD Line: 4405 + +unsigned int SCUCC_WUTCR __AT (0x4000F02C); + + + +// ----------------------------- Field Item: SCUCC_WUTCR_WUTIEN --------------------------------- +// SVD Line: 4414 + +// SFDITEM_FIELD__SCUCC_WUTCR_WUTIEN +// WUTIEN +// +// [Bit 7] RW (@ 0x4000F02C) \nWake-Up Timer Interrupt Enable\n0 : Disable = Disable Wake-Up Timer interrupt.\n1 : Enable = Enable Wake-Up Timer interrupt. +// +// ( (unsigned int) SCUCC_WUTCR ) +// WUTIEN +// <0=> 0: Disable = Disable Wake-Up Timer interrupt. +// <1=> 1: Enable = Enable Wake-Up Timer interrupt. +// +// +// + + +// ----------------------------- Field Item: SCUCC_WUTCR_CNTRLD --------------------------------- +// SVD Line: 4432 + +// SFDITEM_FIELD__SCUCC_WUTCR_CNTRLD +// CNTRLD +// +// [Bit 1] RW (@ 0x4000F02C) \nCounter Reload\n0 : NoEffect = No effect.\n1 : Reload = Reload data to counter. +// +// ( (unsigned int) SCUCC_WUTCR ) +// CNTRLD +// <0=> 0: NoEffect = No effect. +// <1=> 1: Reload = Reload data to counter. +// +// +// + + +// ---------------------------- Field Item: SCUCC_WUTCR_WUTIFLAG -------------------------------- +// SVD Line: 4450 + +// SFDITEM_FIELD__SCUCC_WUTCR_WUTIFLAG +// WUTIFLAG +// +// [Bit 0] RW (@ 0x4000F02C) \nWake-Up Timer Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) SCUCC_WUTCR ) +// WUTIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Register RTree: SCUCC_WUTCR ---------------------------------- +// SVD Line: 4405 + +// SFDITEM_REG__SCUCC_WUTCR +// WUTCR +// +// [Bits 31..0] RW (@ 0x4000F02C) Wake-Up Timer Control Register +// ( (unsigned int)((SCUCC_WUTCR >> 0) & 0xFFFFFFFF), ((SCUCC_WUTCR = (SCUCC_WUTCR & ~(0x83UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x83) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_WUTCR_WUTIEN +// SFDITEM_FIELD__SCUCC_WUTCR_CNTRLD +// SFDITEM_FIELD__SCUCC_WUTCR_WUTIFLAG +// +// + + +// --------------------------- Register Item Address: SCUCC_WUTDR ------------------------------- +// SVD Line: 4470 + +unsigned int SCUCC_WUTDR __AT (0x4000F030); + + + +// ----------------------------- Field Item: SCUCC_WUTDR_WUTDATA -------------------------------- +// SVD Line: 4479 + +// SFDITEM_FIELD__SCUCC_WUTDR_WUTDATA +// WUTDATA +// +// [Bits 15..0] RW (@ 0x4000F030) Wake-Up Timer Data +// +// ( (unsigned short)((SCUCC_WUTDR >> 0) & 0xFFFF), ((SCUCC_WUTDR = (SCUCC_WUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: SCUCC_WUTDR ---------------------------------- +// SVD Line: 4470 + +// SFDITEM_REG__SCUCC_WUTDR +// WUTDR +// +// [Bits 31..0] RW (@ 0x4000F030) Wake-Up Timer Data Register +// ( (unsigned int)((SCUCC_WUTDR >> 0) & 0xFFFFFFFF), ((SCUCC_WUTDR = (SCUCC_WUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_WUTDR_WUTDATA +// +// + + +// -------------------------- Register Item Address: SCUCC_HIRCTRM ------------------------------ +// SVD Line: 4487 + +unsigned int SCUCC_HIRCTRM __AT (0x4000F0A8); + + + +// ---------------------------- Field Item: SCUCC_HIRCTRM_WTIDKY -------------------------------- +// SVD Line: 4496 + +// SFDITEM_FIELD__SCUCC_HIRCTRM_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x4000F0A8) Write Identification Key (0xa6b5) +// +// ( (unsigned short)((SCUCC_HIRCTRM >> 16) & 0x0), ((SCUCC_HIRCTRM = (SCUCC_HIRCTRM & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCC_HIRCTRM_nTRMH -------------------------------- +// SVD Line: 4509 + +// SFDITEM_FIELD__SCUCC_HIRCTRM_nTRMH +// nTRMH +// +// [Bits 15..8] WO (@ 0x4000F0A8) Write Complement Key +// +// ( (unsigned char)((SCUCC_HIRCTRM >> 8) & 0x0), ((SCUCC_HIRCTRM = (SCUCC_HIRCTRM & ~(0xFFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 8 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCC_HIRCTRM_CTRMH -------------------------------- +// SVD Line: 4515 + +// SFDITEM_FIELD__SCUCC_HIRCTRM_CTRMH +// CTRMH +// +// [Bits 7..5] RO (@ 0x4000F0A8) Factory HIRC Coarse Trim +// +// ( (unsigned char)((SCUCC_HIRCTRM >> 5) & 0x7) ) +// +// +// + + +// ----------------------------- Field Item: SCUCC_HIRCTRM_FTRMH -------------------------------- +// SVD Line: 4521 + +// SFDITEM_FIELD__SCUCC_HIRCTRM_FTRMH +// FTRMH +// +// [Bits 4..0] RW (@ 0x4000F0A8) Factory HIRC Fine Trim +// +// ( (unsigned char)((SCUCC_HIRCTRM >> 0) & 0x1F), ((SCUCC_HIRCTRM = (SCUCC_HIRCTRM & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x1F) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_HIRCTRM --------------------------------- +// SVD Line: 4487 + +// SFDITEM_REG__SCUCC_HIRCTRM +// HIRCTRM +// +// [Bits 31..0] RW (@ 0x4000F0A8) High Frequency Internal RC Trim Register (HIRCNFIG) +// ( (unsigned int)((SCUCC_HIRCTRM >> 0) & 0xFFFFFFFF), ((SCUCC_HIRCTRM = (SCUCC_HIRCTRM & ~(0xFFFFFF1FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF1F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_HIRCTRM_WTIDKY +// SFDITEM_FIELD__SCUCC_HIRCTRM_nTRMH +// SFDITEM_FIELD__SCUCC_HIRCTRM_CTRMH +// SFDITEM_FIELD__SCUCC_HIRCTRM_FTRMH +// +// + + +// -------------------------- Register Item Address: SCUCC_WDTRCTRM ----------------------------- +// SVD Line: 4529 + +unsigned int SCUCC_WDTRCTRM __AT (0x4000F0AC); + + + +// ---------------------------- Field Item: SCUCC_WDTRCTRM_WTIDKY ------------------------------- +// SVD Line: 4538 + +// SFDITEM_FIELD__SCUCC_WDTRCTRM_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x4000F0AC) Write Identification Key (0x4c3d) +// +// ( (unsigned short)((SCUCC_WDTRCTRM >> 16) & 0x0), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCC_WDTRCTRM_nTRMW -------------------------------- +// SVD Line: 4551 + +// SFDITEM_FIELD__SCUCC_WDTRCTRM_nTRMW +// nTRMW +// +// [Bits 15..8] WO (@ 0x4000F0AC) Write Complement Key +// +// ( (unsigned char)((SCUCC_WDTRCTRM >> 8) & 0x0), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0xFFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 8 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCC_WDTRCTRM_CTRMW -------------------------------- +// SVD Line: 4557 + +// SFDITEM_FIELD__SCUCC_WDTRCTRM_CTRMW +// CTRMW +// +// [Bits 7..4] RW (@ 0x4000F0AC) Factory WDTRC Coarse Trim +// +// ( (unsigned char)((SCUCC_WDTRCTRM >> 4) & 0xF), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCC_WDTRCTRM_FTRMW -------------------------------- +// SVD Line: 4563 + +// SFDITEM_FIELD__SCUCC_WDTRCTRM_FTRMW +// FTRMW +// +// [Bits 2..0] RW (@ 0x4000F0AC) Factory WDTRC Fine Trim +// +// ( (unsigned char)((SCUCC_WDTRCTRM >> 0) & 0x7), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0x7UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register RTree: SCUCC_WDTRCTRM --------------------------------- +// SVD Line: 4529 + +// SFDITEM_REG__SCUCC_WDTRCTRM +// WDTRCTRM +// +// [Bits 31..0] RW (@ 0x4000F0AC) Watch-Dog Timer RC Trim Register (WDTRCNFIG) +// ( (unsigned int)((SCUCC_WDTRCTRM >> 0) & 0xFFFFFFFF), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0xFFFFFFF7UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFF7) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_WDTRCTRM_WTIDKY +// SFDITEM_FIELD__SCUCC_WDTRCTRM_nTRMW +// SFDITEM_FIELD__SCUCC_WDTRCTRM_CTRMW +// SFDITEM_FIELD__SCUCC_WDTRCTRM_FTRMW +// +// + + +// --------------------------------- Peripheral View: SCUCC ------------------------------------- +// SVD Line: 3997 + +// SCUCC +// SCUCC +// SFDITEM_REG__SCUCC_VENDORID +// SFDITEM_REG__SCUCC_CHIPID +// SFDITEM_REG__SCUCC_REVNR +// SFDITEM_REG__SCUCC_PMREMAP +// SFDITEM_REG__SCUCC_BTPSCR +// SFDITEM_REG__SCUCC_RSTSSR +// SFDITEM_REG__SCUCC_NMISRCR +// SFDITEM_REG__SCUCC_SWRSTR +// SFDITEM_REG__SCUCC_SRSTVR +// SFDITEM_REG__SCUCC_WUTCR +// SFDITEM_REG__SCUCC_WUTDR +// SFDITEM_REG__SCUCC_HIRCTRM +// SFDITEM_REG__SCUCC_WDTRCTRM +// +// + + +// ---------------------------- Register Item Address: SCUCG_SCCR ------------------------------- +// SVD Line: 4587 + +unsigned int SCUCG_SCCR __AT (0x40001800); + + + +// ------------------------------ Field Item: SCUCG_SCCR_WTIDKY --------------------------------- +// SVD Line: 4596 + +// SFDITEM_FIELD__SCUCG_SCCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001800) Write Identification Key (0x570a) +// +// ( (unsigned short)((SCUCG_SCCR >> 16) & 0x0), ((SCUCG_SCCR = (SCUCG_SCCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCG_SCCR_MCLKSEL --------------------------------- +// SVD Line: 4609 + +// SFDITEM_FIELD__SCUCG_SCCR_MCLKSEL +// MCLKSEL +// +// [Bits 1..0] RW (@ 0x40001800) \nMain Clock Selection, MCLK\n0 : HIRC = High Frequency Internal RC Oscillator (40MHz), HIRC\n1 : XMOSC = External Main Oscillator (2 - 40MHz), XMOSC\n2 : XSOSC = External Sub Oscillator (32.768kHz), XSOSC\n3 : WDTRC = Internal Watch-Dog Timer RC Oscillator (40kHz), WDTRC +// +// ( (unsigned int) SCUCG_SCCR ) +// MCLKSEL +// <0=> 0: HIRC = High Frequency Internal RC Oscillator (40MHz), HIRC +// <1=> 1: XMOSC = External Main Oscillator (2 - 40MHz), XMOSC +// <2=> 2: XSOSC = External Sub Oscillator (32.768kHz), XSOSC +// <3=> 3: WDTRC = Internal Watch-Dog Timer RC Oscillator (40kHz), WDTRC +// +// +// + + +// ------------------------------- Register RTree: SCUCG_SCCR ----------------------------------- +// SVD Line: 4587 + +// SFDITEM_REG__SCUCG_SCCR +// SCCR +// +// [Bits 31..0] RW (@ 0x40001800) System Clock Control Register +// ( (unsigned int)((SCUCG_SCCR >> 0) & 0xFFFFFFFF), ((SCUCG_SCCR = (SCUCG_SCCR & ~(0xFFFF0003UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF0003) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_SCCR_WTIDKY +// SFDITEM_FIELD__SCUCG_SCCR_MCLKSEL +// +// + + +// -------------------------- Register Item Address: SCUCG_CLKSRCR ------------------------------ +// SVD Line: 4639 + +unsigned int SCUCG_CLKSRCR __AT (0x40001804); + + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_WTIDKY -------------------------------- +// SVD Line: 4648 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001804) Write Identification Key (0xa507) +// +// ( (unsigned short)((SCUCG_CLKSRCR >> 16) & 0x0), ((SCUCG_CLKSRCR = (SCUCG_CLKSRCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_HIRCSEL ------------------------------- +// SVD Line: 4661 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_HIRCSEL +// HIRCSEL +// +// [Bits 13..12] RW (@ 0x40001804) \nHIRC Frequency Selection\n0 : HIRC1 = 40MHz HIRC\n1 : HIRC2 = 20MHz HIRC\n2 : HIRC4 = 10MHz HIRC\n3 : HIRC8 = 5MHz HIRC +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// HIRCSEL +// <0=> 0: HIRC1 = 40MHz HIRC +// <1=> 1: HIRC2 = 20MHz HIRC +// <2=> 2: HIRC4 = 10MHz HIRC +// <3=> 3: HIRC8 = 5MHz HIRC +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_XMFRNG -------------------------------- +// SVD Line: 4689 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_XMFRNG +// XMFRNG +// +// [Bit 8] RW (@ 0x40001804) \nMain Oscillator Type and Frequency Range Selection\n0 : Xtal = X-tal for XMOSC, 2 to 16MHz\n1 : Clock = External Clock for XMOSC, 2MHz to 40MHz +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// XMFRNG +// <0=> 0: Xtal = X-tal for XMOSC, 2 to 16MHz +// <1=> 1: Clock = External Clock for XMOSC, 2MHz to 40MHz +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_WDTRCEN ------------------------------- +// SVD Line: 4707 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_WDTRCEN +// WDTRCEN +// +// [Bit 3] RW (@ 0x40001804) \nWDTRC Enable\n0 : Disable = Disable WDTRC.\n1 : Enable = Enable WDTRC. +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// WDTRCEN +// <0=> 0: Disable = Disable WDTRC. +// <1=> 1: Enable = Enable WDTRC. +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_HIRCEN -------------------------------- +// SVD Line: 4725 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_HIRCEN +// HIRCEN +// +// [Bit 2] RW (@ 0x40001804) \nHIRC Enable\n0 : Disable = Disable HIRC.\n1 : Enable = Enable HIRC. +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// HIRCEN +// <0=> 0: Disable = Disable HIRC. +// <1=> 1: Enable = Enable HIRC. +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_XMOSCEN ------------------------------- +// SVD Line: 4743 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_XMOSCEN +// XMOSCEN +// +// [Bit 1] RW (@ 0x40001804) \nXMOSC Enable\n0 : Disable = Disable XMOSC.\n1 : Enable = Enable XMOSC. +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// XMOSCEN +// <0=> 0: Disable = Disable XMOSC. +// <1=> 1: Enable = Enable XMOSC. +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_XSOSCEN ------------------------------- +// SVD Line: 4761 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_XSOSCEN +// XSOSCEN +// +// [Bit 0] RW (@ 0x40001804) \nXSOSC Enable\n0 : Disable = Disable XSOSC.\n1 : Enable = Enable XSOSC. +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// XSOSCEN +// <0=> 0: Disable = Disable XSOSC. +// <1=> 1: Enable = Enable XSOSC. +// +// +// + + +// ------------------------------ Register RTree: SCUCG_CLKSRCR --------------------------------- +// SVD Line: 4639 + +// SFDITEM_REG__SCUCG_CLKSRCR +// CLKSRCR +// +// [Bits 31..0] RW (@ 0x40001804) Clock Source Control Register +// ( (unsigned int)((SCUCG_CLKSRCR >> 0) & 0xFFFFFFFF), ((SCUCG_CLKSRCR = (SCUCG_CLKSRCR & ~(0xFFFF310FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF310F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_CLKSRCR_WTIDKY +// SFDITEM_FIELD__SCUCG_CLKSRCR_HIRCSEL +// SFDITEM_FIELD__SCUCG_CLKSRCR_XMFRNG +// SFDITEM_FIELD__SCUCG_CLKSRCR_WDTRCEN +// SFDITEM_FIELD__SCUCG_CLKSRCR_HIRCEN +// SFDITEM_FIELD__SCUCG_CLKSRCR_XMOSCEN +// SFDITEM_FIELD__SCUCG_CLKSRCR_XSOSCEN +// +// + + +// -------------------------- Register Item Address: SCUCG_SCDIVR1 ------------------------------ +// SVD Line: 4781 + +unsigned int SCUCG_SCDIVR1 __AT (0x40001808); + + + +// ----------------------------- Field Item: SCUCG_SCDIVR1_WLDIV -------------------------------- +// SVD Line: 4790 + +// SFDITEM_FIELD__SCUCG_SCDIVR1_WLDIV +// WLDIV +// +// [Bits 6..4] RW (@ 0x40001808) \nClock Divide for Watch Timer and LCD Driver, Divider 2\n0 : MCLK64 = MCLK/64\n1 : MCLK128 = MCLK/128\n2 : MCLK256 = MCLK/256\n3 : MCLK512 = MCLK/512\n4 : MCLK1024 = MCLK/1024\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) SCUCG_SCDIVR1 ) +// WLDIV +// <0=> 0: MCLK64 = MCLK/64 +// <1=> 1: MCLK128 = MCLK/128 +// <2=> 2: MCLK256 = MCLK/256 +// <3=> 3: MCLK512 = MCLK/512 +// <4=> 4: MCLK1024 = MCLK/1024 +// <5=> 5: +// <6=> 6: +// <7=> 7: +// +// +// + + +// ----------------------------- Field Item: SCUCG_SCDIVR1_HDIV --------------------------------- +// SVD Line: 4823 + +// SFDITEM_FIELD__SCUCG_SCDIVR1_HDIV +// HDIV +// +// [Bits 2..0] RW (@ 0x40001808) \nClock Divide for HCLK, Divider 0\n0 : MCLK16 = MCLK/16\n1 : MCLK8 = MCLK/8\n2 : MCLK4 = MCLK/4\n3 : MCLK2 = MCLK/2\n4 : MCLK1 = MCLK/1\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) SCUCG_SCDIVR1 ) +// HDIV +// <0=> 0: MCLK16 = MCLK/16 +// <1=> 1: MCLK8 = MCLK/8 +// <2=> 2: MCLK4 = MCLK/4 +// <3=> 3: MCLK2 = MCLK/2 +// <4=> 4: MCLK1 = MCLK/1 +// <5=> 5: +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------ Register RTree: SCUCG_SCDIVR1 --------------------------------- +// SVD Line: 4781 + +// SFDITEM_REG__SCUCG_SCDIVR1 +// SCDIVR1 +// +// [Bits 31..0] RW (@ 0x40001808) System Clock Divide Register 1 +// ( (unsigned int)((SCUCG_SCDIVR1 >> 0) & 0xFFFFFFFF), ((SCUCG_SCDIVR1 = (SCUCG_SCDIVR1 & ~(0x77UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x77) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_SCDIVR1_WLDIV +// SFDITEM_FIELD__SCUCG_SCDIVR1_HDIV +// +// + + +// -------------------------- Register Item Address: SCUCG_SCDIVR2 ------------------------------ +// SVD Line: 4858 + +unsigned int SCUCG_SCDIVR2 __AT (0x4000180C); + + + +// ---------------------------- Field Item: SCUCG_SCDIVR2_SYSTDIV ------------------------------- +// SVD Line: 4867 + +// SFDITEM_FIELD__SCUCG_SCDIVR2_SYSTDIV +// SYSTDIV +// +// [Bits 5..4] RW (@ 0x4000180C) \nClock Divide for SysTick Timer, Divider 3\n0 : HCLK1 = HCLK/1\n1 : HCLK2 = HCLK/2\n2 : HCLK4 = HCLK/4\n3 : HCLK8 = HCLK/8 +// +// ( (unsigned int) SCUCG_SCDIVR2 ) +// SYSTDIV +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK2 = HCLK/2 +// <2=> 2: HCLK4 = HCLK/4 +// <3=> 3: HCLK8 = HCLK/8 +// +// +// + + +// ----------------------------- Field Item: SCUCG_SCDIVR2_PDIV --------------------------------- +// SVD Line: 4895 + +// SFDITEM_FIELD__SCUCG_SCDIVR2_PDIV +// PDIV +// +// [Bits 1..0] RW (@ 0x4000180C) \nClock Divide for PCLK, Divider 1\n0 : HCLK1 = HCLK/1\n1 : HCLK2 = HCLK/2\n2 : HCLK4 = HCLK/4\n3 : HCLK8 = HCLK/8 +// +// ( (unsigned int) SCUCG_SCDIVR2 ) +// PDIV +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK2 = HCLK/2 +// <2=> 2: HCLK4 = HCLK/4 +// <3=> 3: HCLK8 = HCLK/8 +// +// +// + + +// ------------------------------ Register RTree: SCUCG_SCDIVR2 --------------------------------- +// SVD Line: 4858 + +// SFDITEM_REG__SCUCG_SCDIVR2 +// SCDIVR2 +// +// [Bits 31..0] RW (@ 0x4000180C) System Clock Divide Register 2 +// ( (unsigned int)((SCUCG_SCDIVR2 >> 0) & 0xFFFFFFFF), ((SCUCG_SCDIVR2 = (SCUCG_SCDIVR2 & ~(0x33UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x33) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_SCDIVR2_SYSTDIV +// SFDITEM_FIELD__SCUCG_SCDIVR2_PDIV +// +// + + +// --------------------------- Register Item Address: SCUCG_CLKOCR ------------------------------ +// SVD Line: 4925 + +unsigned int SCUCG_CLKOCR __AT (0x40001810); + + + +// ----------------------------- Field Item: SCUCG_CLKOCR_CLKOEN -------------------------------- +// SVD Line: 4934 + +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKOEN +// CLKOEN +// +// [Bit 7] RW (@ 0x40001810) \nClock Output Enable\n0 : Disable = Disable clock output.\n1 : Enable = Enable clock output. +// +// ( (unsigned int) SCUCG_CLKOCR ) +// CLKOEN +// <0=> 0: Disable = Disable clock output. +// <1=> 1: Enable = Enable clock output. +// +// +// + + +// ----------------------------- Field Item: SCUCG_CLKOCR_POLSEL -------------------------------- +// SVD Line: 4952 + +// SFDITEM_FIELD__SCUCG_CLKOCR_POLSEL +// POLSEL +// +// [Bit 6] RW (@ 0x40001810) \nClock Output Polarity Selection when Disable\n0 : Low = Low level during disable\n1 : High = High level during disable +// +// ( (unsigned int) SCUCG_CLKOCR ) +// POLSEL +// <0=> 0: Low = Low level during disable +// <1=> 1: High = High level during disable +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKOCR_CLKODIV -------------------------------- +// SVD Line: 4970 + +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKODIV +// CLKODIV +// +// [Bits 5..3] RW (@ 0x40001810) \nOutput Clock Divide, Divider 4\n0 : SelectedClock1 = Selected Clock/1\n1 : SelectedClock2 = Selected Clock/2\n2 : SelectedClock4 = Selected Clock/4\n3 : SelectedClock8 = Selected Clock/8\n4 : SelectedClock16 = Selected Clock/16\n5 : SelectedClock32 = Selected Clock/32\n6 : SelectedClock64 = Selected Clock/64\n7 : SelectedClock128 = Selected Clock/128 +// +// ( (unsigned int) SCUCG_CLKOCR ) +// CLKODIV +// <0=> 0: SelectedClock1 = Selected Clock/1 +// <1=> 1: SelectedClock2 = Selected Clock/2 +// <2=> 2: SelectedClock4 = Selected Clock/4 +// <3=> 3: SelectedClock8 = Selected Clock/8 +// <4=> 4: SelectedClock16 = Selected Clock/16 +// <5=> 5: SelectedClock32 = Selected Clock/32 +// <6=> 6: SelectedClock64 = Selected Clock/64 +// <7=> 7: SelectedClock128 = Selected Clock/128 +// +// +// + + +// ----------------------------- Field Item: SCUCG_CLKOCR_CLKOS --------------------------------- +// SVD Line: 5018 + +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKOS +// CLKOS +// +// [Bits 2..0] RW (@ 0x40001810) \nClock Output Selection\n0 : MCLK = Select MCLK.\n1 : WDTRC = Select WDTRC.\n2 : HIRC = Select HIRC.\n3 : HCLK = Select HCLK.\n4 : PCLK = Select PCLK.\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) SCUCG_CLKOCR ) +// CLKOS +// <0=> 0: MCLK = Select MCLK. +// <1=> 1: WDTRC = Select WDTRC. +// <2=> 2: HIRC = Select HIRC. +// <3=> 3: HCLK = Select HCLK. +// <4=> 4: PCLK = Select PCLK. +// <5=> 5: +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------ Register RTree: SCUCG_CLKOCR ---------------------------------- +// SVD Line: 4925 + +// SFDITEM_REG__SCUCG_CLKOCR +// CLKOCR +// +// [Bits 31..0] RW (@ 0x40001810) Clock Output Control Register +// ( (unsigned int)((SCUCG_CLKOCR >> 0) & 0xFFFFFFFF), ((SCUCG_CLKOCR = (SCUCG_CLKOCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKOEN +// SFDITEM_FIELD__SCUCG_CLKOCR_POLSEL +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKODIV +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKOS +// +// + + +// --------------------------- Register Item Address: SCUCG_CMONCR ------------------------------ +// SVD Line: 5053 + +unsigned int SCUCG_CMONCR __AT (0x40001814); + + + +// ----------------------------- Field Item: SCUCG_CMONCR_MONEN --------------------------------- +// SVD Line: 5062 + +// SFDITEM_FIELD__SCUCG_CMONCR_MONEN +// MONEN +// +// [Bit 7] RW (@ 0x40001814) \nClock Monitoring Enable\n0 : Disable = Disable clock monitoring.\n1 : Enable = Enable clock monitoring. +// +// ( (unsigned int) SCUCG_CMONCR ) +// MONEN +// <0=> 0: Disable = Disable clock monitoring. +// <1=> 1: Enable = Enable clock monitoring. +// +// +// + + +// ----------------------------- Field Item: SCUCG_CMONCR_MACTS --------------------------------- +// SVD Line: 5080 + +// SFDITEM_FIELD__SCUCG_CMONCR_MACTS +// MACTS +// +// [Bits 6..5] RW (@ 0x40001814) \nClock Monitoring Action Selection\n0 : FlagChk = No action by clock monitoring, but flags will be set/cleared on condition\n1 : RstGen = Reset generation by clock monitoring\n2 : SysClkChg = The system clock will be changed to the WDTRC regardless of MCLKSEL[1:0] bits of system clock control register (SCU_SCCR) only when the MCLK is selected for monitoring\n3 : Reserved - do not use +// +// ( (unsigned int) SCUCG_CMONCR ) +// MACTS +// <0=> 0: FlagChk = No action by clock monitoring, but flags will be set/cleared on condition +// <1=> 1: RstGen = Reset generation by clock monitoring +// <2=> 2: SysClkChg = The system clock will be changed to the WDTRC regardless of MCLKSEL[1:0] bits of system clock control register (SCU_SCCR) only when the MCLK is selected for monitoring +// <3=> 3: +// +// +// + + +// ---------------------------- Field Item: SCUCG_CMONCR_MONFLAG -------------------------------- +// SVD Line: 5103 + +// SFDITEM_FIELD__SCUCG_CMONCR_MONFLAG +// MONFLAG +// +// [Bit 3] RW (@ 0x40001814) \nClock Monitoring Result Flag\n0 : NotReady = The clock to be monitored is not ready\n1 : Ready = The clock to be monitored is ready +// +// ( (unsigned int) SCUCG_CMONCR ) +// MONFLAG +// <0=> 0: NotReady = The clock to be monitored is not ready +// <1=> 1: Ready = The clock to be monitored is ready +// +// +// + + +// ---------------------------- Field Item: SCUCG_CMONCR_NMINTFG -------------------------------- +// SVD Line: 5121 + +// SFDITEM_FIELD__SCUCG_CMONCR_NMINTFG +// NMINTFG +// +// [Bit 2] RW (@ 0x40001814) \nClock Monitoring Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) SCUCG_CMONCR ) +// NMINTFG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ----------------------------- Field Item: SCUCG_CMONCR_MONCS --------------------------------- +// SVD Line: 5139 + +// SFDITEM_FIELD__SCUCG_CMONCR_MONCS +// MONCS +// +// [Bits 1..0] RW (@ 0x40001814) \nMonitored Clock Selection\n0 : MCLK = Select MCLK.\n1 : HIRC = Select HIRC.\n2 : XMOSC = Select XMOSC.\n3 : XSOSC = Select XSOSC. +// +// ( (unsigned int) SCUCG_CMONCR ) +// MONCS +// <0=> 0: MCLK = Select MCLK. +// <1=> 1: HIRC = Select HIRC. +// <2=> 2: XMOSC = Select XMOSC. +// <3=> 3: XSOSC = Select XSOSC. +// +// +// + + +// ------------------------------ Register RTree: SCUCG_CMONCR ---------------------------------- +// SVD Line: 5053 + +// SFDITEM_REG__SCUCG_CMONCR +// CMONCR +// +// [Bits 31..0] RW (@ 0x40001814) Clock Monitoring Control Register +// ( (unsigned int)((SCUCG_CMONCR >> 0) & 0xFFFFFFFF), ((SCUCG_CMONCR = (SCUCG_CMONCR & ~(0xEFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xEF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_CMONCR_MONEN +// SFDITEM_FIELD__SCUCG_CMONCR_MACTS +// SFDITEM_FIELD__SCUCG_CMONCR_MONFLAG +// SFDITEM_FIELD__SCUCG_CMONCR_NMINTFG +// SFDITEM_FIELD__SCUCG_CMONCR_MONCS +// +// + + +// -------------------------- Register Item Address: SCUCG_PPCLKEN1 ----------------------------- +// SVD Line: 5169 + +unsigned int SCUCG_PPCLKEN1 __AT (0x40001820); + + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T21CLKE ------------------------------- +// SVD Line: 5178 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T21CLKE +// T21CLKE +// +// [Bit 21] RW (@ 0x40001820) \nTIMER21 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T21CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T20CLKE ------------------------------- +// SVD Line: 5196 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T20CLKE +// T20CLKE +// +// [Bit 20] RW (@ 0x40001820) \nTIMER20 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T20CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T30CLKE ------------------------------- +// SVD Line: 5214 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T30CLKE +// T30CLKE +// +// [Bit 19] RW (@ 0x40001820) \nTIMER30 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T30CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T12CLKE ------------------------------- +// SVD Line: 5232 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T12CLKE +// T12CLKE +// +// [Bit 18] RW (@ 0x40001820) \nTIMER12 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T12CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T11CLKE ------------------------------- +// SVD Line: 5250 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T11CLKE +// T11CLKE +// +// [Bit 17] RW (@ 0x40001820) \nTIMER11 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T11CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T10CLKE ------------------------------- +// SVD Line: 5268 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T10CLKE +// T10CLKE +// +// [Bit 16] RW (@ 0x40001820) \nTIMER10 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T10CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T16CLKE ------------------------------- +// SVD Line: 5286 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T16CLKE +// T16CLKE +// +// [Bit 11] RW (@ 0x40001820) \nTIMER16 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T16CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T15CLKE ------------------------------- +// SVD Line: 5304 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T15CLKE +// T15CLKE +// +// [Bit 10] RW (@ 0x40001820) \nTIMER15 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T15CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T14CLKE ------------------------------- +// SVD Line: 5322 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T14CLKE +// T14CLKE +// +// [Bit 9] RW (@ 0x40001820) \nTIMER14 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T14CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T13CLKE ------------------------------- +// SVD Line: 5340 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T13CLKE +// T13CLKE +// +// [Bit 8] RW (@ 0x40001820) \nTIMER13 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T13CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PFCLKE ------------------------------- +// SVD Line: 5358 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PFCLKE +// PFCLKE +// +// [Bit 5] RW (@ 0x40001820) \nPort F Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PFCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PECLKE ------------------------------- +// SVD Line: 5376 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PECLKE +// PECLKE +// +// [Bit 4] RW (@ 0x40001820) \nPort E Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PECLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PDCLKE ------------------------------- +// SVD Line: 5394 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PDCLKE +// PDCLKE +// +// [Bit 3] RW (@ 0x40001820) \nPort D Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PDCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PCCLKE ------------------------------- +// SVD Line: 5412 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PCCLKE +// PCCLKE +// +// [Bit 2] RW (@ 0x40001820) \nPort C Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PCCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PBCLKE ------------------------------- +// SVD Line: 5430 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PBCLKE +// PBCLKE +// +// [Bit 1] RW (@ 0x40001820) \nPort B Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PBCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PACLKE ------------------------------- +// SVD Line: 5448 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PACLKE +// PACLKE +// +// [Bit 0] RW (@ 0x40001820) \nPort A Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PACLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ----------------------------- Register RTree: SCUCG_PPCLKEN1 --------------------------------- +// SVD Line: 5169 + +// SFDITEM_REG__SCUCG_PPCLKEN1 +// PPCLKEN1 +// +// [Bits 31..0] RW (@ 0x40001820) Peripheral Clock Enable Register 1 +// ( (unsigned int)((SCUCG_PPCLKEN1 >> 0) & 0xFFFFFFFF), ((SCUCG_PPCLKEN1 = (SCUCG_PPCLKEN1 & ~(0x3F0F3FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3F0F3F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T21CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T20CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T30CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T12CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T11CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T10CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T16CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T15CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T14CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T13CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PFCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PECLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PDCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PCCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PBCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PACLKE +// +// + + +// -------------------------- Register Item Address: SCUCG_PPCLKEN2 ----------------------------- +// SVD Line: 5468 + +unsigned int SCUCG_PPCLKEN2 __AT (0x40001824); + + + +// ---------------------------- Field Item: SCUCG_PPCLKEN2_FMCLKE ------------------------------- +// SVD Line: 5477 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_FMCLKE +// FMCLKE +// +// [Bit 19] RW (@ 0x40001824) \nFMC (Flash Memory Controller) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// FMCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_LVICLKE ------------------------------- +// SVD Line: 5495 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_LVICLKE +// LVICLKE +// +// [Bit 18] RW (@ 0x40001824) \nLVI (Low Voltage Indicator) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// LVICLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_WDTCLKE ------------------------------- +// SVD Line: 5513 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_WDTCLKE +// WDTCLKE +// +// [Bit 17] RW (@ 0x40001824) \nWDT (Watch-Dog Timer) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// WDTCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN2_WTCLKE ------------------------------- +// SVD Line: 5531 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_WTCLKE +// WTCLKE +// +// [Bit 16] RW (@ 0x40001824) \nWT (Watch Timer) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// WTCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_LCDCLKE ------------------------------- +// SVD Line: 5549 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_LCDCLKE +// LCDCLKE +// +// [Bit 13] RW (@ 0x40001824) \nLCD (LCD Driver) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// LCDCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN2_CRCLKE ------------------------------- +// SVD Line: 5567 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_CRCLKE +// CRCLKE +// +// [Bit 12] RW (@ 0x40001824) \nCRC (Cyclic Redundancy Check) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// CRCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN2_ADCLKE ------------------------------- +// SVD Line: 5585 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_ADCLKE +// ADCLKE +// +// [Bit 10] RW (@ 0x40001824) \nADC (Analog to Digital Converter) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// ADCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_I2C2CLKE ------------------------------ +// SVD Line: 5603 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C2CLKE +// I2C2CLKE +// +// [Bit 8] RW (@ 0x40001824) \nI2C2 (Inter-IC) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// I2C2CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_I2C1CLKE ------------------------------ +// SVD Line: 5621 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C1CLKE +// I2C1CLKE +// +// [Bit 7] RW (@ 0x40001824) \nI2C1 (Inter-IC) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// I2C1CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_I2C0CLKE ------------------------------ +// SVD Line: 5639 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C0CLKE +// I2C0CLKE +// +// [Bit 6] RW (@ 0x40001824) \nI2C0 (Inter-IC) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// I2C0CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// -------------------------- Field Item: SCUCG_PPCLKEN2_UST13CLKE ------------------------------ +// SVD Line: 5657 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST13CLKE +// UST13CLKE +// +// [Bit 5] RW (@ 0x40001824) \nUSART13 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UST13CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// -------------------------- Field Item: SCUCG_PPCLKEN2_UST12CLKE ------------------------------ +// SVD Line: 5675 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST12CLKE +// UST12CLKE +// +// [Bit 4] RW (@ 0x40001824) \nUSART12 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UST12CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_UT1CLKE ------------------------------- +// SVD Line: 5693 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UT1CLKE +// UT1CLKE +// +// [Bit 3] RW (@ 0x40001824) \nUART1 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UT1CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_UT0CLKE ------------------------------- +// SVD Line: 5711 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UT0CLKE +// UT0CLKE +// +// [Bit 2] RW (@ 0x40001824) \nUART0 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UT0CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// -------------------------- Field Item: SCUCG_PPCLKEN2_UST11CLKE ------------------------------ +// SVD Line: 5729 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST11CLKE +// UST11CLKE +// +// [Bit 1] RW (@ 0x40001824) \nUSART11 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UST11CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// -------------------------- Field Item: SCUCG_PPCLKEN2_UST10CLKE ------------------------------ +// SVD Line: 5747 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST10CLKE +// UST10CLKE +// +// [Bit 0] RW (@ 0x40001824) \nUSART10 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UST10CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ----------------------------- Register RTree: SCUCG_PPCLKEN2 --------------------------------- +// SVD Line: 5468 + +// SFDITEM_REG__SCUCG_PPCLKEN2 +// PPCLKEN2 +// +// [Bits 31..0] RW (@ 0x40001824) Peripheral Clock Enable Register 2 +// ( (unsigned int)((SCUCG_PPCLKEN2 >> 0) & 0xFFFFFFFF), ((SCUCG_PPCLKEN2 = (SCUCG_PPCLKEN2 & ~(0xF35FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF35FF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPCLKEN2_FMCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_LVICLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_WDTCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_WTCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_LCDCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_CRCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_ADCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C2CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C1CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C0CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST13CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST12CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UT1CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UT0CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST11CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST10CLKE +// +// + + +// -------------------------- Register Item Address: SCUCG_PPCLKSR ------------------------------ +// SVD Line: 5767 + +unsigned int SCUCG_PPCLKSR __AT (0x40001840); + + + +// ---------------------------- Field Item: SCUCG_PPCLKSR_T20CLK -------------------------------- +// SVD Line: 5776 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_T20CLK +// T20CLK +// +// [Bit 20] RW (@ 0x40001840) \nTIMER20 Clock Selection\n0 : XSOSC = XSOSC clock\n1 : PCLK = PCLK clock +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// T20CLK +// <0=> 0: XSOSC = XSOSC clock +// <1=> 1: PCLK = PCLK clock +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKSR_T30CLK -------------------------------- +// SVD Line: 5794 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_T30CLK +// T30CLK +// +// [Bit 17] RW (@ 0x40001840) \nTIMER30 Clock Selection\n0 : MCLK = MCLK clock\n1 : PCLK = PCLK clock +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// T30CLK +// <0=> 0: MCLK = MCLK clock +// <1=> 1: PCLK = PCLK clock +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKSR_LCDCLK -------------------------------- +// SVD Line: 5812 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_LCDCLK +// LCDCLK +// +// [Bits 7..6] RW (@ 0x40001840) \nLCD (LCD Driver) Clock Selection\n0 : DividedMCLK = A clock of the MCLK which is divided by divider 2\n1 : XSOSC = XSOSC clock\n2 : WDTRC = WDTRC clock\n3 : Reserved - do not use +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// LCDCLK +// <0=> 0: DividedMCLK = A clock of the MCLK which is divided by divider 2 +// <1=> 1: XSOSC = XSOSC clock +// <2=> 2: WDTRC = WDTRC clock +// <3=> 3: +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPCLKSR_WTCLK -------------------------------- +// SVD Line: 5835 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_WTCLK +// WTCLK +// +// [Bits 4..3] RW (@ 0x40001840) \nWT (Watch Timer) Clock Selection\n0 : DividedMCLK = A clock of the MCLK which is divided by divider 2\n1 : XSOSC = XSOSC clock\n2 : WDTRC = WDTRC clock\n3 : Reserved - do not use +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// WTCLK +// <0=> 0: DividedMCLK = A clock of the MCLK which is divided by divider 2 +// <1=> 1: XSOSC = XSOSC clock +// <2=> 2: WDTRC = WDTRC clock +// <3=> 3: +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKSR_WDTCLK -------------------------------- +// SVD Line: 5858 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_WDTCLK +// WDTCLK +// +// [Bit 0] RW (@ 0x40001840) \nWDT (Watch-Dog Timer) Clock Selection\n0 : WDTRC = WDTRC clock\n1 : PCLK = PCLK clock +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// WDTCLK +// <0=> 0: WDTRC = WDTRC clock +// <1=> 1: PCLK = PCLK clock +// +// +// + + +// ------------------------------ Register RTree: SCUCG_PPCLKSR --------------------------------- +// SVD Line: 5767 + +// SFDITEM_REG__SCUCG_PPCLKSR +// PPCLKSR +// +// [Bits 31..0] RW (@ 0x40001840) Peripheral Clock Selection Register +// ( (unsigned int)((SCUCG_PPCLKSR >> 0) & 0xFFFFFFFF), ((SCUCG_PPCLKSR = (SCUCG_PPCLKSR & ~(0x1200D9UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1200D9) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPCLKSR_T20CLK +// SFDITEM_FIELD__SCUCG_PPCLKSR_T30CLK +// SFDITEM_FIELD__SCUCG_PPCLKSR_LCDCLK +// SFDITEM_FIELD__SCUCG_PPCLKSR_WTCLK +// SFDITEM_FIELD__SCUCG_PPCLKSR_WDTCLK +// +// + + +// --------------------------- Register Item Address: SCUCG_PPRST1 ------------------------------ +// SVD Line: 5878 + +unsigned int SCUCG_PPRST1 __AT (0x40001860); + + + +// ----------------------------- Field Item: SCUCG_PPRST1_T21RST -------------------------------- +// SVD Line: 5887 + +// SFDITEM_FIELD__SCUCG_PPRST1_T21RST +// T21RST +// +// [Bit 21] RW (@ 0x40001860) TIMER21 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T21RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T20RST -------------------------------- +// SVD Line: 5893 + +// SFDITEM_FIELD__SCUCG_PPRST1_T20RST +// T20RST +// +// [Bit 20] RW (@ 0x40001860) TIMER20 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T20RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T30RST -------------------------------- +// SVD Line: 5899 + +// SFDITEM_FIELD__SCUCG_PPRST1_T30RST +// T30RST +// +// [Bit 19] RW (@ 0x40001860) TIMER30 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T30RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T12RST -------------------------------- +// SVD Line: 5905 + +// SFDITEM_FIELD__SCUCG_PPRST1_T12RST +// T12RST +// +// [Bit 18] RW (@ 0x40001860) TIMER12 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T12RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T11RST -------------------------------- +// SVD Line: 5911 + +// SFDITEM_FIELD__SCUCG_PPRST1_T11RST +// T11RST +// +// [Bit 17] RW (@ 0x40001860) TIMER11 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T11RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T10RST -------------------------------- +// SVD Line: 5917 + +// SFDITEM_FIELD__SCUCG_PPRST1_T10RST +// T10RST +// +// [Bit 16] RW (@ 0x40001860) TIMER10 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T10RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T16RST -------------------------------- +// SVD Line: 5923 + +// SFDITEM_FIELD__SCUCG_PPRST1_T16RST +// T16RST +// +// [Bit 11] RW (@ 0x40001860) TIMER16 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T16RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T15RST -------------------------------- +// SVD Line: 5929 + +// SFDITEM_FIELD__SCUCG_PPRST1_T15RST +// T15RST +// +// [Bit 10] RW (@ 0x40001860) TIMER15 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T15RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T14RST -------------------------------- +// SVD Line: 5935 + +// SFDITEM_FIELD__SCUCG_PPRST1_T14RST +// T14RST +// +// [Bit 9] RW (@ 0x40001860) TIMER14 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T14RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T13RST -------------------------------- +// SVD Line: 5941 + +// SFDITEM_FIELD__SCUCG_PPRST1_T13RST +// T13RST +// +// [Bit 8] RW (@ 0x40001860) TIMER13 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T13RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PFRST --------------------------------- +// SVD Line: 5947 + +// SFDITEM_FIELD__SCUCG_PPRST1_PFRST +// PFRST +// +// [Bit 5] RW (@ 0x40001860) Port F Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PFRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PERST --------------------------------- +// SVD Line: 5953 + +// SFDITEM_FIELD__SCUCG_PPRST1_PERST +// PERST +// +// [Bit 4] RW (@ 0x40001860) Port E Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PERST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PDRST --------------------------------- +// SVD Line: 5959 + +// SFDITEM_FIELD__SCUCG_PPRST1_PDRST +// PDRST +// +// [Bit 3] RW (@ 0x40001860) Port D Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PDRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PCRST --------------------------------- +// SVD Line: 5965 + +// SFDITEM_FIELD__SCUCG_PPRST1_PCRST +// PCRST +// +// [Bit 2] RW (@ 0x40001860) Port C Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PCRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PBRST --------------------------------- +// SVD Line: 5971 + +// SFDITEM_FIELD__SCUCG_PPRST1_PBRST +// PBRST +// +// [Bit 1] RW (@ 0x40001860) Port B Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PBRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PARST --------------------------------- +// SVD Line: 5977 + +// SFDITEM_FIELD__SCUCG_PPRST1_PARST +// PARST +// +// [Bit 0] RW (@ 0x40001860) Port A Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PARST +// +// +// + + +// ------------------------------ Register RTree: SCUCG_PPRST1 ---------------------------------- +// SVD Line: 5878 + +// SFDITEM_REG__SCUCG_PPRST1 +// PPRST1 +// +// [Bits 31..0] RW (@ 0x40001860) Peripheral Reset Register 1 +// ( (unsigned int)((SCUCG_PPRST1 >> 0) & 0xFFFFFFFF), ((SCUCG_PPRST1 = (SCUCG_PPRST1 & ~(0x3F0F3FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3F0F3F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPRST1_T21RST +// SFDITEM_FIELD__SCUCG_PPRST1_T20RST +// SFDITEM_FIELD__SCUCG_PPRST1_T30RST +// SFDITEM_FIELD__SCUCG_PPRST1_T12RST +// SFDITEM_FIELD__SCUCG_PPRST1_T11RST +// SFDITEM_FIELD__SCUCG_PPRST1_T10RST +// SFDITEM_FIELD__SCUCG_PPRST1_T16RST +// SFDITEM_FIELD__SCUCG_PPRST1_T15RST +// SFDITEM_FIELD__SCUCG_PPRST1_T14RST +// SFDITEM_FIELD__SCUCG_PPRST1_T13RST +// SFDITEM_FIELD__SCUCG_PPRST1_PFRST +// SFDITEM_FIELD__SCUCG_PPRST1_PERST +// SFDITEM_FIELD__SCUCG_PPRST1_PDRST +// SFDITEM_FIELD__SCUCG_PPRST1_PCRST +// SFDITEM_FIELD__SCUCG_PPRST1_PBRST +// SFDITEM_FIELD__SCUCG_PPRST1_PARST +// +// + + +// --------------------------- Register Item Address: SCUCG_PPRST2 ------------------------------ +// SVD Line: 5985 + +unsigned int SCUCG_PPRST2 __AT (0x40001864); + + + +// ----------------------------- Field Item: SCUCG_PPRST2_FMCRST -------------------------------- +// SVD Line: 5994 + +// SFDITEM_FIELD__SCUCG_PPRST2_FMCRST +// FMCRST +// +// [Bit 19] RW (@ 0x40001864) FMC (Flash Memory Controller) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// FMCRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_LVIRST -------------------------------- +// SVD Line: 6000 + +// SFDITEM_FIELD__SCUCG_PPRST2_LVIRST +// LVIRST +// +// [Bit 18] RW (@ 0x40001864) LVI (Low Voltage Indicator) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// LVIRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_WTRST --------------------------------- +// SVD Line: 6006 + +// SFDITEM_FIELD__SCUCG_PPRST2_WTRST +// WTRST +// +// [Bit 16] RW (@ 0x40001864) WT (Watch Timer) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// WTRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_LCDRST -------------------------------- +// SVD Line: 6012 + +// SFDITEM_FIELD__SCUCG_PPRST2_LCDRST +// LCDRST +// +// [Bit 13] RW (@ 0x40001864) LCD (LCD Driver) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// LCDRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_CRRST --------------------------------- +// SVD Line: 6018 + +// SFDITEM_FIELD__SCUCG_PPRST2_CRRST +// CRRST +// +// [Bit 12] RW (@ 0x40001864) CRC (Cyclic Redundancy Check) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// CRRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_ADRST --------------------------------- +// SVD Line: 6024 + +// SFDITEM_FIELD__SCUCG_PPRST2_ADRST +// ADRST +// +// [Bit 10] RW (@ 0x40001864) ADC (Analog to Digital Converter) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// ADRST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_I2C2RST -------------------------------- +// SVD Line: 6030 + +// SFDITEM_FIELD__SCUCG_PPRST2_I2C2RST +// I2C2RST +// +// [Bit 8] RW (@ 0x40001864) I2C2 (Inter-IC) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// I2C2RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_I2C1RST -------------------------------- +// SVD Line: 6036 + +// SFDITEM_FIELD__SCUCG_PPRST2_I2C1RST +// I2C1RST +// +// [Bit 7] RW (@ 0x40001864) I2C1 (Inter-IC) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// I2C1RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_I2C0RST -------------------------------- +// SVD Line: 6042 + +// SFDITEM_FIELD__SCUCG_PPRST2_I2C0RST +// I2C0RST +// +// [Bit 6] RW (@ 0x40001864) I2C0 (Inter-IC) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// I2C0RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_UST13RST ------------------------------- +// SVD Line: 6048 + +// SFDITEM_FIELD__SCUCG_PPRST2_UST13RST +// UST13RST +// +// [Bit 5] RW (@ 0x40001864) USART13 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UST13RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_UST12RST ------------------------------- +// SVD Line: 6054 + +// SFDITEM_FIELD__SCUCG_PPRST2_UST12RST +// UST12RST +// +// [Bit 4] RW (@ 0x40001864) USART12 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UST12RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_UT1RST -------------------------------- +// SVD Line: 6060 + +// SFDITEM_FIELD__SCUCG_PPRST2_UT1RST +// UT1RST +// +// [Bit 3] RW (@ 0x40001864) UART1 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UT1RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_UT0RST -------------------------------- +// SVD Line: 6066 + +// SFDITEM_FIELD__SCUCG_PPRST2_UT0RST +// UT0RST +// +// [Bit 2] RW (@ 0x40001864) UART0 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UT0RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_UST11RST ------------------------------- +// SVD Line: 6072 + +// SFDITEM_FIELD__SCUCG_PPRST2_UST11RST +// UST11RST +// +// [Bit 1] RW (@ 0x40001864) USART11 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UST11RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_UST10RST ------------------------------- +// SVD Line: 6078 + +// SFDITEM_FIELD__SCUCG_PPRST2_UST10RST +// UST10RST +// +// [Bit 0] RW (@ 0x40001864) USART10 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UST10RST +// +// +// + + +// ------------------------------ Register RTree: SCUCG_PPRST2 ---------------------------------- +// SVD Line: 5985 + +// SFDITEM_REG__SCUCG_PPRST2 +// PPRST2 +// +// [Bits 31..0] RW (@ 0x40001864) Peripheral Reset Register 2 +// ( (unsigned int)((SCUCG_PPRST2 >> 0) & 0xFFFFFFFF), ((SCUCG_PPRST2 = (SCUCG_PPRST2 & ~(0xD35FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD35FF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPRST2_FMCRST +// SFDITEM_FIELD__SCUCG_PPRST2_LVIRST +// SFDITEM_FIELD__SCUCG_PPRST2_WTRST +// SFDITEM_FIELD__SCUCG_PPRST2_LCDRST +// SFDITEM_FIELD__SCUCG_PPRST2_CRRST +// SFDITEM_FIELD__SCUCG_PPRST2_ADRST +// SFDITEM_FIELD__SCUCG_PPRST2_I2C2RST +// SFDITEM_FIELD__SCUCG_PPRST2_I2C1RST +// SFDITEM_FIELD__SCUCG_PPRST2_I2C0RST +// SFDITEM_FIELD__SCUCG_PPRST2_UST13RST +// SFDITEM_FIELD__SCUCG_PPRST2_UST12RST +// SFDITEM_FIELD__SCUCG_PPRST2_UT1RST +// SFDITEM_FIELD__SCUCG_PPRST2_UT0RST +// SFDITEM_FIELD__SCUCG_PPRST2_UST11RST +// SFDITEM_FIELD__SCUCG_PPRST2_UST10RST +// +// + + +// --------------------------- Register Item Address: SCUCG_XTFLSR ------------------------------ +// SVD Line: 6085 + +unsigned int SCUCG_XTFLSR __AT (0x40001880); + + + +// ----------------------------- Field Item: SCUCG_XTFLSR_WTIDKY -------------------------------- +// SVD Line: 6094 + +// SFDITEM_FIELD__SCUCG_XTFLSR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001880) Write Identification Key (0x9b37) +// +// ( (unsigned short)((SCUCG_XTFLSR >> 16) & 0x0), ((SCUCG_XTFLSR = (SCUCG_XTFLSR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: SCUCG_XTFLSR_XRNS --------------------------------- +// SVD Line: 6107 + +// SFDITEM_FIELD__SCUCG_XTFLSR_XRNS +// XRNS +// +// [Bits 2..0] RW (@ 0x40001880) \nExternal Main Oscillator Filter Selection\n0 : LE4p5MHz = x-tal LE 4.5MHz\n1 : LE6p5MHz = 4.5MHz GT x-tal LE 6.5MHz\n2 : LE8p5MHz = 6.5MHz GT x-tal LE 8.5MHz\n3 : LE10p5MHz = 8.5MHz GT x-tal LE 10.5MHz\n4 : LE12p5MHz = 10.5MHz GT x-tal LE 12.5MHz\n5 : LE16p5MHz = 12.5MHz GT x-tal LE 16.5MHz\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) SCUCG_XTFLSR ) +// XRNS +// <0=> 0: LE4p5MHz = x-tal LE 4.5MHz +// <1=> 1: LE6p5MHz = 4.5MHz GT x-tal LE 6.5MHz +// <2=> 2: LE8p5MHz = 6.5MHz GT x-tal LE 8.5MHz +// <3=> 3: LE10p5MHz = 8.5MHz GT x-tal LE 10.5MHz +// <4=> 4: LE12p5MHz = 10.5MHz GT x-tal LE 12.5MHz +// <5=> 5: LE16p5MHz = 12.5MHz GT x-tal LE 16.5MHz +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------ Register RTree: SCUCG_XTFLSR ---------------------------------- +// SVD Line: 6085 + +// SFDITEM_REG__SCUCG_XTFLSR +// XTFLSR +// +// [Bits 31..0] RW (@ 0x40001880) X-tal Filter Selection Register +// ( (unsigned int)((SCUCG_XTFLSR >> 0) & 0xFFFFFFFF), ((SCUCG_XTFLSR = (SCUCG_XTFLSR & ~(0xFFFF0007UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF0007) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_XTFLSR_WTIDKY +// SFDITEM_FIELD__SCUCG_XTFLSR_XRNS +// +// + + +// --------------------------------- Peripheral View: SCUCG ------------------------------------- +// SVD Line: 4573 + +// SCUCG +// SCUCG +// SFDITEM_REG__SCUCG_SCCR +// SFDITEM_REG__SCUCG_CLKSRCR +// SFDITEM_REG__SCUCG_SCDIVR1 +// SFDITEM_REG__SCUCG_SCDIVR2 +// SFDITEM_REG__SCUCG_CLKOCR +// SFDITEM_REG__SCUCG_CMONCR +// SFDITEM_REG__SCUCG_PPCLKEN1 +// SFDITEM_REG__SCUCG_PPCLKEN2 +// SFDITEM_REG__SCUCG_PPCLKSR +// SFDITEM_REG__SCUCG_PPRST1 +// SFDITEM_REG__SCUCG_PPRST2 +// SFDITEM_REG__SCUCG_XTFLSR +// +// + + +// --------------------------- Register Item Address: SCULV_LVICR ------------------------------- +// SVD Line: 6168 + +unsigned int SCULV_LVICR __AT (0x40005100); + + + +// ------------------------------ Field Item: SCULV_LVICR_LVIEN --------------------------------- +// SVD Line: 6177 + +// SFDITEM_FIELD__SCULV_LVICR_LVIEN +// LVIEN +// +// [Bit 7] RW (@ 0x40005100) \nLVI Enable\n0 : Disable = Disable low voltage indicator.\n1 : Enable = Enable low voltage indicator. +// +// ( (unsigned int) SCULV_LVICR ) +// LVIEN +// <0=> 0: Disable = Disable low voltage indicator. +// <1=> 1: Enable = Enable low voltage indicator. +// +// +// + + +// ----------------------------- Field Item: SCULV_LVICR_LVINTEN -------------------------------- +// SVD Line: 6195 + +// SFDITEM_FIELD__SCULV_LVICR_LVINTEN +// LVINTEN +// +// [Bit 5] RW (@ 0x40005100) \nLVI Interrupt Enable\n0 : Disable = Disable low voltage indicator interrupt.\n1 : Enable = Enable low voltage indicator interrupt. +// +// ( (unsigned int) SCULV_LVICR ) +// LVINTEN +// <0=> 0: Disable = Disable low voltage indicator interrupt. +// <1=> 1: Enable = Enable low voltage indicator interrupt. +// +// +// + + +// ----------------------------- Field Item: SCULV_LVICR_LVIFLAG -------------------------------- +// SVD Line: 6213 + +// SFDITEM_FIELD__SCULV_LVICR_LVIFLAG +// LVIFLAG +// +// [Bit 4] RW (@ 0x40005100) \nLVI Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) SCULV_LVICR ) +// LVIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: SCULV_LVICR_LVIVS --------------------------------- +// SVD Line: 6231 + +// SFDITEM_FIELD__SCULV_LVICR_LVIVS +// LVIVS +// +// [Bits 3..0] RW (@ 0x40005100) \nLVI Voltage Selection\n0 : Reserved - do not use\n1 : Reserved - do not use\n2 : Reserved - do not use\n3 : DNW3 = Do not write.\n4 : 2p00V = 2.00V\n5 : 2p13V = 2.13V\n6 : 2p28V = 2.28V\n7 : 2p46V = 2.46V\n8 : 2p67V = 2.67V\n9 : 3p04V = 3.04V\n10 : 3p20V = 3.20V\n11 : 3p55V = 3.55V\n12 : 3p75V = 3.75V\n13 : 3p99V = 3.99V\n14 : 4p25V = 4.25V\n15 : 4p55V = 4.55V +// +// ( (unsigned int) SCULV_LVICR ) +// LVIVS +// <0=> 0: +// <1=> 1: +// <2=> 2: +// <3=> 3: DNW3 = Do not write. +// <4=> 4: 2p00V = 2.00V +// <5=> 5: 2p13V = 2.13V +// <6=> 6: 2p28V = 2.28V +// <7=> 7: 2p46V = 2.46V +// <8=> 8: 2p67V = 2.67V +// <9=> 9: 3p04V = 3.04V +// <10=> 10: 3p20V = 3.20V +// <11=> 11: 3p55V = 3.55V +// <12=> 12: 3p75V = 3.75V +// <13=> 13: 3p99V = 3.99V +// <14=> 14: 4p25V = 4.25V +// <15=> 15: 4p55V = 4.55V +// +// +// + + +// ------------------------------- Register RTree: SCULV_LVICR ---------------------------------- +// SVD Line: 6168 + +// SFDITEM_REG__SCULV_LVICR +// LVICR +// +// [Bits 31..0] RW (@ 0x40005100) Low Voltage Indicator Control Register +// ( (unsigned int)((SCULV_LVICR >> 0) & 0xFFFFFFFF), ((SCULV_LVICR = (SCULV_LVICR & ~(0xBFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xBF) << 0 ) ) )) +// SFDITEM_FIELD__SCULV_LVICR_LVIEN +// SFDITEM_FIELD__SCULV_LVICR_LVINTEN +// SFDITEM_FIELD__SCULV_LVICR_LVIFLAG +// SFDITEM_FIELD__SCULV_LVICR_LVIVS +// +// + + +// --------------------------- Register Item Address: SCULV_LVRCR ------------------------------- +// SVD Line: 6306 + +unsigned int SCULV_LVRCR __AT (0x40005104); + + + +// ------------------------------ Field Item: SCULV_LVRCR_LVREN --------------------------------- +// SVD Line: 6315 + +// SFDITEM_FIELD__SCULV_LVRCR_LVREN +// LVREN +// +// [Bits 7..0] RW (@ 0x40005104) LVR Enable +// +// ( (unsigned char)((SCULV_LVRCR >> 0) & 0xFF), ((SCULV_LVRCR = (SCULV_LVRCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: SCULV_LVRCR ---------------------------------- +// SVD Line: 6306 + +// SFDITEM_REG__SCULV_LVRCR +// LVRCR +// +// [Bits 31..0] RW (@ 0x40005104) Low Voltage Reset Control Register +// ( (unsigned int)((SCULV_LVRCR >> 0) & 0xFFFFFFFF), ((SCULV_LVRCR = (SCULV_LVRCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__SCULV_LVRCR_LVREN +// +// + + +// --------------------------------- Peripheral View: SCULV ------------------------------------- +// SVD Line: 6149 + +// SCULV +// SCULV +// SFDITEM_REG__SCULV_LVICR +// SFDITEM_REG__SCULV_LVRCR +// +// + + +// ------------------------------ Register Item Address: Pn_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int Pn_MOD __AT (0x50000000); + + + +// -------------------------------- Field Item: Pn_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__Pn_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x50000000) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__Pn_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x50000000) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__Pn_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x50000000) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__Pn_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x50000000) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__Pn_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x50000000) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__Pn_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x50000000) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__Pn_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x50000000) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__Pn_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x50000000) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__Pn_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x50000000) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__Pn_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x50000000) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__Pn_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x50000000) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__Pn_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x50000000) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__Pn_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x50000000) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__Pn_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x50000000) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__Pn_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x50000000) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__Pn_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x50000000) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: Pn_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__Pn_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x50000000) Port n Mode Register +// ( (unsigned int)((Pn_MOD >> 0) & 0xFFFFFFFF), ((Pn_MOD = (Pn_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_MOD_MODE15 +// SFDITEM_FIELD__Pn_MOD_MODE14 +// SFDITEM_FIELD__Pn_MOD_MODE13 +// SFDITEM_FIELD__Pn_MOD_MODE12 +// SFDITEM_FIELD__Pn_MOD_MODE11 +// SFDITEM_FIELD__Pn_MOD_MODE10 +// SFDITEM_FIELD__Pn_MOD_MODE9 +// SFDITEM_FIELD__Pn_MOD_MODE8 +// SFDITEM_FIELD__Pn_MOD_MODE7 +// SFDITEM_FIELD__Pn_MOD_MODE6 +// SFDITEM_FIELD__Pn_MOD_MODE5 +// SFDITEM_FIELD__Pn_MOD_MODE4 +// SFDITEM_FIELD__Pn_MOD_MODE3 +// SFDITEM_FIELD__Pn_MOD_MODE2 +// SFDITEM_FIELD__Pn_MOD_MODE1 +// SFDITEM_FIELD__Pn_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: Pn_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int Pn_TYP __AT (0x50000004); + + + +// -------------------------------- Field Item: Pn_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__Pn_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x50000004) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__Pn_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x50000004) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__Pn_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x50000004) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__Pn_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x50000004) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__Pn_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x50000004) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__Pn_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x50000004) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__Pn_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x50000004) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__Pn_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x50000004) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__Pn_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x50000004) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__Pn_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x50000004) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__Pn_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x50000004) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__Pn_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x50000004) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__Pn_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x50000004) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__Pn_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x50000004) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__Pn_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x50000004) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__Pn_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x50000004) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: Pn_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__Pn_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x50000004) Port n Output Type Selection Register +// ( (unsigned int)((Pn_TYP >> 0) & 0xFFFFFFFF), ((Pn_TYP = (Pn_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_TYP_TYP15 +// SFDITEM_FIELD__Pn_TYP_TYP14 +// SFDITEM_FIELD__Pn_TYP_TYP13 +// SFDITEM_FIELD__Pn_TYP_TYP12 +// SFDITEM_FIELD__Pn_TYP_TYP11 +// SFDITEM_FIELD__Pn_TYP_TYP10 +// SFDITEM_FIELD__Pn_TYP_TYP9 +// SFDITEM_FIELD__Pn_TYP_TYP8 +// SFDITEM_FIELD__Pn_TYP_TYP7 +// SFDITEM_FIELD__Pn_TYP_TYP6 +// SFDITEM_FIELD__Pn_TYP_TYP5 +// SFDITEM_FIELD__Pn_TYP_TYP4 +// SFDITEM_FIELD__Pn_TYP_TYP3 +// SFDITEM_FIELD__Pn_TYP_TYP2 +// SFDITEM_FIELD__Pn_TYP_TYP1 +// SFDITEM_FIELD__Pn_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: Pn_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int Pn_AFSR1 __AT (0x50000008); + + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x50000008) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x50000008) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x50000008) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x50000008) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x50000008) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x50000008) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x50000008) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x50000008) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: Pn_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__Pn_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x50000008) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((Pn_AFSR1 >> 0) & 0xFFFFFFFF), ((Pn_AFSR1 = (Pn_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_AFSR1_AFSR7 +// SFDITEM_FIELD__Pn_AFSR1_AFSR6 +// SFDITEM_FIELD__Pn_AFSR1_AFSR5 +// SFDITEM_FIELD__Pn_AFSR1_AFSR4 +// SFDITEM_FIELD__Pn_AFSR1_AFSR3 +// SFDITEM_FIELD__Pn_AFSR1_AFSR2 +// SFDITEM_FIELD__Pn_AFSR1_AFSR1 +// SFDITEM_FIELD__Pn_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: Pn_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int Pn_AFSR2 __AT (0x5000000C); + + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x5000000C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x5000000C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x5000000C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x5000000C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x5000000C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x5000000C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x5000000C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x5000000C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: Pn_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__Pn_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x5000000C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((Pn_AFSR2 >> 0) & 0xFFFFFFFF), ((Pn_AFSR2 = (Pn_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_AFSR2_AFSR15 +// SFDITEM_FIELD__Pn_AFSR2_AFSR14 +// SFDITEM_FIELD__Pn_AFSR2_AFSR13 +// SFDITEM_FIELD__Pn_AFSR2_AFSR12 +// SFDITEM_FIELD__Pn_AFSR2_AFSR11 +// SFDITEM_FIELD__Pn_AFSR2_AFSR10 +// SFDITEM_FIELD__Pn_AFSR2_AFSR9 +// SFDITEM_FIELD__Pn_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: Pn_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int Pn_PUPD __AT (0x50000010); + + + +// ------------------------------- Field Item: Pn_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__Pn_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__Pn_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__Pn_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__Pn_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__Pn_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__Pn_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__Pn_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__Pn_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__Pn_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__Pn_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__Pn_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__Pn_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__Pn_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__Pn_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__Pn_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__Pn_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: Pn_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__Pn_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x50000010) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((Pn_PUPD >> 0) & 0xFFFFFFFF), ((Pn_PUPD = (Pn_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_PUPD_PUPD15 +// SFDITEM_FIELD__Pn_PUPD_PUPD14 +// SFDITEM_FIELD__Pn_PUPD_PUPD13 +// SFDITEM_FIELD__Pn_PUPD_PUPD12 +// SFDITEM_FIELD__Pn_PUPD_PUPD11 +// SFDITEM_FIELD__Pn_PUPD_PUPD10 +// SFDITEM_FIELD__Pn_PUPD_PUPD9 +// SFDITEM_FIELD__Pn_PUPD_PUPD8 +// SFDITEM_FIELD__Pn_PUPD_PUPD7 +// SFDITEM_FIELD__Pn_PUPD_PUPD6 +// SFDITEM_FIELD__Pn_PUPD_PUPD5 +// SFDITEM_FIELD__Pn_PUPD_PUPD4 +// SFDITEM_FIELD__Pn_PUPD_PUPD3 +// SFDITEM_FIELD__Pn_PUPD_PUPD2 +// SFDITEM_FIELD__Pn_PUPD_PUPD1 +// SFDITEM_FIELD__Pn_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: Pn_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int Pn_INDR __AT (0x50000014); + + + +// ------------------------------- Field Item: Pn_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__Pn_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x50000014) Port n Input Data 15 +// +// ( (unsigned int) Pn_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__Pn_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x50000014) Port n Input Data 14 +// +// ( (unsigned int) Pn_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__Pn_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x50000014) Port n Input Data 13 +// +// ( (unsigned int) Pn_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__Pn_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x50000014) Port n Input Data 12 +// +// ( (unsigned int) Pn_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__Pn_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x50000014) Port n Input Data 11 +// +// ( (unsigned int) Pn_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__Pn_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x50000014) Port n Input Data 10 +// +// ( (unsigned int) Pn_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__Pn_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x50000014) Port n Input Data 9 +// +// ( (unsigned int) Pn_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__Pn_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x50000014) Port n Input Data 8 +// +// ( (unsigned int) Pn_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__Pn_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x50000014) Port n Input Data 7 +// +// ( (unsigned int) Pn_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__Pn_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x50000014) Port n Input Data 6 +// +// ( (unsigned int) Pn_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__Pn_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x50000014) Port n Input Data 5 +// +// ( (unsigned int) Pn_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__Pn_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x50000014) Port n Input Data 4 +// +// ( (unsigned int) Pn_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__Pn_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x50000014) Port n Input Data 3 +// +// ( (unsigned int) Pn_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__Pn_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x50000014) Port n Input Data 2 +// +// ( (unsigned int) Pn_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__Pn_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x50000014) Port n Input Data 1 +// +// ( (unsigned int) Pn_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__Pn_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x50000014) Port n Input Data 0 +// +// ( (unsigned int) Pn_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: Pn_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__Pn_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x50000014) Port n Input Data Register +// ( (unsigned int)((Pn_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__Pn_INDR_INDR15 +// SFDITEM_FIELD__Pn_INDR_INDR14 +// SFDITEM_FIELD__Pn_INDR_INDR13 +// SFDITEM_FIELD__Pn_INDR_INDR12 +// SFDITEM_FIELD__Pn_INDR_INDR11 +// SFDITEM_FIELD__Pn_INDR_INDR10 +// SFDITEM_FIELD__Pn_INDR_INDR9 +// SFDITEM_FIELD__Pn_INDR_INDR8 +// SFDITEM_FIELD__Pn_INDR_INDR7 +// SFDITEM_FIELD__Pn_INDR_INDR6 +// SFDITEM_FIELD__Pn_INDR_INDR5 +// SFDITEM_FIELD__Pn_INDR_INDR4 +// SFDITEM_FIELD__Pn_INDR_INDR3 +// SFDITEM_FIELD__Pn_INDR_INDR2 +// SFDITEM_FIELD__Pn_INDR_INDR1 +// SFDITEM_FIELD__Pn_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: Pn_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int Pn_OUTDR __AT (0x50000018); + + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x50000018) Port n Output Data 15 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x50000018) Port n Output Data 14 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x50000018) Port n Output Data 13 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x50000018) Port n Output Data 12 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x50000018) Port n Output Data 11 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x50000018) Port n Output Data 10 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x50000018) Port n Output Data 9 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x50000018) Port n Output Data 8 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x50000018) Port n Output Data 7 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x50000018) Port n Output Data 6 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x50000018) Port n Output Data 5 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x50000018) Port n Output Data 4 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x50000018) Port n Output Data 3 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x50000018) Port n Output Data 2 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x50000018) Port n Output Data 1 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x50000018) Port n Output Data 0 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: Pn_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__Pn_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x50000018) Port n Output Data Register +// ( (unsigned int)((Pn_OUTDR >> 0) & 0xFFFFFFFF), ((Pn_OUTDR = (Pn_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_OUTDR_OUTDR15 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR14 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR13 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR12 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR11 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR10 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR9 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR8 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR7 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR6 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR5 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR4 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR3 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR2 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR1 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: Pn_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int Pn_BSR __AT (0x5000001C); + + + +// -------------------------------- Field Item: Pn_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__Pn_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x5000001C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__Pn_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x5000001C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__Pn_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x5000001C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__Pn_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x5000001C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__Pn_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x5000001C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__Pn_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x5000001C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__Pn_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x5000001C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__Pn_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x5000001C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__Pn_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x5000001C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__Pn_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x5000001C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__Pn_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x5000001C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__Pn_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x5000001C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__Pn_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x5000001C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__Pn_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x5000001C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__Pn_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x5000001C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__Pn_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x5000001C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: Pn_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__Pn_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x5000001C) Port n Output Bit Set Register +// ( (unsigned int)((Pn_BSR >> 0) & 0xFFFFFFFF), ((Pn_BSR = (Pn_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_BSR_BSR15 +// SFDITEM_FIELD__Pn_BSR_BSR14 +// SFDITEM_FIELD__Pn_BSR_BSR13 +// SFDITEM_FIELD__Pn_BSR_BSR12 +// SFDITEM_FIELD__Pn_BSR_BSR11 +// SFDITEM_FIELD__Pn_BSR_BSR10 +// SFDITEM_FIELD__Pn_BSR_BSR9 +// SFDITEM_FIELD__Pn_BSR_BSR8 +// SFDITEM_FIELD__Pn_BSR_BSR7 +// SFDITEM_FIELD__Pn_BSR_BSR6 +// SFDITEM_FIELD__Pn_BSR_BSR5 +// SFDITEM_FIELD__Pn_BSR_BSR4 +// SFDITEM_FIELD__Pn_BSR_BSR3 +// SFDITEM_FIELD__Pn_BSR_BSR2 +// SFDITEM_FIELD__Pn_BSR_BSR1 +// SFDITEM_FIELD__Pn_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: Pn_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int Pn_BCR __AT (0x50000020); + + + +// -------------------------------- Field Item: Pn_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__Pn_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x50000020) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__Pn_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x50000020) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__Pn_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x50000020) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__Pn_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x50000020) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__Pn_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x50000020) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__Pn_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x50000020) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__Pn_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x50000020) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__Pn_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x50000020) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__Pn_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x50000020) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__Pn_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x50000020) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__Pn_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x50000020) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__Pn_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x50000020) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__Pn_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x50000020) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__Pn_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x50000020) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__Pn_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x50000020) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__Pn_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x50000020) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: Pn_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__Pn_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x50000020) Port n Output Bit Clear Register +// ( (unsigned int)((Pn_BCR >> 0) & 0xFFFFFFFF), ((Pn_BCR = (Pn_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_BCR_BCR15 +// SFDITEM_FIELD__Pn_BCR_BCR14 +// SFDITEM_FIELD__Pn_BCR_BCR13 +// SFDITEM_FIELD__Pn_BCR_BCR12 +// SFDITEM_FIELD__Pn_BCR_BCR11 +// SFDITEM_FIELD__Pn_BCR_BCR10 +// SFDITEM_FIELD__Pn_BCR_BCR9 +// SFDITEM_FIELD__Pn_BCR_BCR8 +// SFDITEM_FIELD__Pn_BCR_BCR7 +// SFDITEM_FIELD__Pn_BCR_BCR6 +// SFDITEM_FIELD__Pn_BCR_BCR5 +// SFDITEM_FIELD__Pn_BCR_BCR4 +// SFDITEM_FIELD__Pn_BCR_BCR3 +// SFDITEM_FIELD__Pn_BCR_BCR2 +// SFDITEM_FIELD__Pn_BCR_BCR1 +// SFDITEM_FIELD__Pn_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: Pn_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int Pn_OUTDMSK __AT (0x50000024); + + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x50000024) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x50000024) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x50000024) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x50000024) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x50000024) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x50000024) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x50000024) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x50000024) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x50000024) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x50000024) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x50000024) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x50000024) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x50000024) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x50000024) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x50000024) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x50000024) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: Pn_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__Pn_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x50000024) Port n Output Data Mask Register +// ( (unsigned int)((Pn_OUTDMSK >> 0) & 0xFFFFFFFF), ((Pn_OUTDMSK = (Pn_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: Pn_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int Pn_DBCR __AT (0x50000028); + + + +// -------------------------------- Field Item: Pn_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__Pn_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x50000028) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) Pn_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: Pn_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__Pn_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x50000028) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: Pn_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__Pn_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x50000028) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__Pn_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x50000028) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__Pn_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x50000028) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__Pn_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x50000028) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__Pn_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x50000028) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__Pn_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x50000028) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__Pn_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x50000028) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__Pn_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x50000028) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__Pn_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x50000028) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__Pn_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x50000028) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__Pn_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x50000028) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: Pn_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__Pn_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x50000028) Port n Debounce Control Register +// ( (unsigned int)((Pn_DBCR >> 0) & 0xFFFFFFFF), ((Pn_DBCR = (Pn_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_DBCR_DBCLK +// SFDITEM_FIELD__Pn_DBCR_DBEN11 +// SFDITEM_FIELD__Pn_DBCR_DBEN10 +// SFDITEM_FIELD__Pn_DBCR_DBEN9 +// SFDITEM_FIELD__Pn_DBCR_DBEN8 +// SFDITEM_FIELD__Pn_DBCR_DBEN7 +// SFDITEM_FIELD__Pn_DBCR_DBEN6 +// SFDITEM_FIELD__Pn_DBCR_DBEN5 +// SFDITEM_FIELD__Pn_DBCR_DBEN4 +// SFDITEM_FIELD__Pn_DBCR_DBEN3 +// SFDITEM_FIELD__Pn_DBCR_DBEN2 +// SFDITEM_FIELD__Pn_DBCR_DBEN1 +// SFDITEM_FIELD__Pn_DBCR_DBEN0 +// +// + + +// ----------------------------------- Peripheral View: Pn -------------------------------------- +// SVD Line: 6337 + +// Pn +// Pn +// SFDITEM_REG__Pn_MOD +// SFDITEM_REG__Pn_TYP +// SFDITEM_REG__Pn_AFSR1 +// SFDITEM_REG__Pn_AFSR2 +// SFDITEM_REG__Pn_PUPD +// SFDITEM_REG__Pn_INDR +// SFDITEM_REG__Pn_OUTDR +// SFDITEM_REG__Pn_BSR +// SFDITEM_REG__Pn_BCR +// SFDITEM_REG__Pn_OUTDMSK +// SFDITEM_REG__Pn_DBCR +// +// + + +// ------------------------------ Register Item Address: PA_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PA_MOD __AT (0x30000000); + + + +// -------------------------------- Field Item: PA_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PA_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000000) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PA_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000000) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PA_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000000) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PA_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000000) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PA_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000000) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PA_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000000) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PA_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000000) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PA_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000000) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PA_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000000) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PA_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000000) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PA_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000000) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PA_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000000) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PA_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000000) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PA_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000000) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PA_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000000) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PA_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000000) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PA_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PA_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000000) Port n Mode Register +// ( (unsigned int)((PA_MOD >> 0) & 0xFFFFFFFF), ((PA_MOD = (PA_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_MOD_MODE15 +// SFDITEM_FIELD__PA_MOD_MODE14 +// SFDITEM_FIELD__PA_MOD_MODE13 +// SFDITEM_FIELD__PA_MOD_MODE12 +// SFDITEM_FIELD__PA_MOD_MODE11 +// SFDITEM_FIELD__PA_MOD_MODE10 +// SFDITEM_FIELD__PA_MOD_MODE9 +// SFDITEM_FIELD__PA_MOD_MODE8 +// SFDITEM_FIELD__PA_MOD_MODE7 +// SFDITEM_FIELD__PA_MOD_MODE6 +// SFDITEM_FIELD__PA_MOD_MODE5 +// SFDITEM_FIELD__PA_MOD_MODE4 +// SFDITEM_FIELD__PA_MOD_MODE3 +// SFDITEM_FIELD__PA_MOD_MODE2 +// SFDITEM_FIELD__PA_MOD_MODE1 +// SFDITEM_FIELD__PA_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PA_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PA_TYP __AT (0x30000004); + + + +// -------------------------------- Field Item: PA_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PA_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000004) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PA_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000004) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PA_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000004) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PA_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000004) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PA_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000004) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PA_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000004) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PA_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000004) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PA_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000004) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PA_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000004) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PA_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000004) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PA_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000004) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PA_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000004) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PA_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000004) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PA_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000004) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PA_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000004) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PA_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000004) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PA_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PA_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000004) Port n Output Type Selection Register +// ( (unsigned int)((PA_TYP >> 0) & 0xFFFFFFFF), ((PA_TYP = (PA_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_TYP_TYP15 +// SFDITEM_FIELD__PA_TYP_TYP14 +// SFDITEM_FIELD__PA_TYP_TYP13 +// SFDITEM_FIELD__PA_TYP_TYP12 +// SFDITEM_FIELD__PA_TYP_TYP11 +// SFDITEM_FIELD__PA_TYP_TYP10 +// SFDITEM_FIELD__PA_TYP_TYP9 +// SFDITEM_FIELD__PA_TYP_TYP8 +// SFDITEM_FIELD__PA_TYP_TYP7 +// SFDITEM_FIELD__PA_TYP_TYP6 +// SFDITEM_FIELD__PA_TYP_TYP5 +// SFDITEM_FIELD__PA_TYP_TYP4 +// SFDITEM_FIELD__PA_TYP_TYP3 +// SFDITEM_FIELD__PA_TYP_TYP2 +// SFDITEM_FIELD__PA_TYP_TYP1 +// SFDITEM_FIELD__PA_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PA_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PA_AFSR1 __AT (0x30000008); + + + +// ------------------------------- Field Item: PA_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PA_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000008) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PA_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000008) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PA_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000008) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PA_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000008) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PA_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000008) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PA_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000008) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PA_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000008) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PA_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000008) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PA_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PA_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000008) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PA_AFSR1 >> 0) & 0xFFFFFFFF), ((PA_AFSR1 = (PA_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_AFSR1_AFSR7 +// SFDITEM_FIELD__PA_AFSR1_AFSR6 +// SFDITEM_FIELD__PA_AFSR1_AFSR5 +// SFDITEM_FIELD__PA_AFSR1_AFSR4 +// SFDITEM_FIELD__PA_AFSR1_AFSR3 +// SFDITEM_FIELD__PA_AFSR1_AFSR2 +// SFDITEM_FIELD__PA_AFSR1_AFSR1 +// SFDITEM_FIELD__PA_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PA_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PA_AFSR2 __AT (0x3000000C); + + + +// ------------------------------- Field Item: PA_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PA_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000000C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PA_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000000C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PA_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000000C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PA_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000000C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PA_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000000C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PA_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000000C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PA_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000000C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PA_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000000C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PA_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PA_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000000C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PA_AFSR2 >> 0) & 0xFFFFFFFF), ((PA_AFSR2 = (PA_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_AFSR2_AFSR15 +// SFDITEM_FIELD__PA_AFSR2_AFSR14 +// SFDITEM_FIELD__PA_AFSR2_AFSR13 +// SFDITEM_FIELD__PA_AFSR2_AFSR12 +// SFDITEM_FIELD__PA_AFSR2_AFSR11 +// SFDITEM_FIELD__PA_AFSR2_AFSR10 +// SFDITEM_FIELD__PA_AFSR2_AFSR9 +// SFDITEM_FIELD__PA_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PA_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PA_PUPD __AT (0x30000010); + + + +// ------------------------------- Field Item: PA_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PA_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PA_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PA_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PA_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PA_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PA_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PA_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PA_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PA_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PA_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PA_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PA_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PA_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PA_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PA_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PA_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PA_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PA_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PA_PUPD >> 0) & 0xFFFFFFFF), ((PA_PUPD = (PA_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PUPD_PUPD15 +// SFDITEM_FIELD__PA_PUPD_PUPD14 +// SFDITEM_FIELD__PA_PUPD_PUPD13 +// SFDITEM_FIELD__PA_PUPD_PUPD12 +// SFDITEM_FIELD__PA_PUPD_PUPD11 +// SFDITEM_FIELD__PA_PUPD_PUPD10 +// SFDITEM_FIELD__PA_PUPD_PUPD9 +// SFDITEM_FIELD__PA_PUPD_PUPD8 +// SFDITEM_FIELD__PA_PUPD_PUPD7 +// SFDITEM_FIELD__PA_PUPD_PUPD6 +// SFDITEM_FIELD__PA_PUPD_PUPD5 +// SFDITEM_FIELD__PA_PUPD_PUPD4 +// SFDITEM_FIELD__PA_PUPD_PUPD3 +// SFDITEM_FIELD__PA_PUPD_PUPD2 +// SFDITEM_FIELD__PA_PUPD_PUPD1 +// SFDITEM_FIELD__PA_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PA_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PA_INDR __AT (0x30000014); + + + +// ------------------------------- Field Item: PA_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PA_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000014) Port n Input Data 15 +// +// ( (unsigned int) PA_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PA_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000014) Port n Input Data 14 +// +// ( (unsigned int) PA_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PA_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000014) Port n Input Data 13 +// +// ( (unsigned int) PA_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PA_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000014) Port n Input Data 12 +// +// ( (unsigned int) PA_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PA_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000014) Port n Input Data 11 +// +// ( (unsigned int) PA_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PA_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000014) Port n Input Data 10 +// +// ( (unsigned int) PA_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PA_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000014) Port n Input Data 9 +// +// ( (unsigned int) PA_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PA_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000014) Port n Input Data 8 +// +// ( (unsigned int) PA_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PA_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000014) Port n Input Data 7 +// +// ( (unsigned int) PA_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PA_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000014) Port n Input Data 6 +// +// ( (unsigned int) PA_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PA_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000014) Port n Input Data 5 +// +// ( (unsigned int) PA_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PA_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000014) Port n Input Data 4 +// +// ( (unsigned int) PA_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PA_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000014) Port n Input Data 3 +// +// ( (unsigned int) PA_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PA_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000014) Port n Input Data 2 +// +// ( (unsigned int) PA_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PA_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000014) Port n Input Data 1 +// +// ( (unsigned int) PA_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PA_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000014) Port n Input Data 0 +// +// ( (unsigned int) PA_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PA_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PA_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000014) Port n Input Data Register +// ( (unsigned int)((PA_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PA_INDR_INDR15 +// SFDITEM_FIELD__PA_INDR_INDR14 +// SFDITEM_FIELD__PA_INDR_INDR13 +// SFDITEM_FIELD__PA_INDR_INDR12 +// SFDITEM_FIELD__PA_INDR_INDR11 +// SFDITEM_FIELD__PA_INDR_INDR10 +// SFDITEM_FIELD__PA_INDR_INDR9 +// SFDITEM_FIELD__PA_INDR_INDR8 +// SFDITEM_FIELD__PA_INDR_INDR7 +// SFDITEM_FIELD__PA_INDR_INDR6 +// SFDITEM_FIELD__PA_INDR_INDR5 +// SFDITEM_FIELD__PA_INDR_INDR4 +// SFDITEM_FIELD__PA_INDR_INDR3 +// SFDITEM_FIELD__PA_INDR_INDR2 +// SFDITEM_FIELD__PA_INDR_INDR1 +// SFDITEM_FIELD__PA_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PA_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PA_OUTDR __AT (0x30000018); + + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000018) Port n Output Data 15 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000018) Port n Output Data 14 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000018) Port n Output Data 13 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000018) Port n Output Data 12 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000018) Port n Output Data 11 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000018) Port n Output Data 10 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000018) Port n Output Data 9 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000018) Port n Output Data 8 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000018) Port n Output Data 7 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000018) Port n Output Data 6 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000018) Port n Output Data 5 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000018) Port n Output Data 4 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000018) Port n Output Data 3 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000018) Port n Output Data 2 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000018) Port n Output Data 1 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000018) Port n Output Data 0 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PA_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PA_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000018) Port n Output Data Register +// ( (unsigned int)((PA_OUTDR >> 0) & 0xFFFFFFFF), ((PA_OUTDR = (PA_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_OUTDR_OUTDR15 +// SFDITEM_FIELD__PA_OUTDR_OUTDR14 +// SFDITEM_FIELD__PA_OUTDR_OUTDR13 +// SFDITEM_FIELD__PA_OUTDR_OUTDR12 +// SFDITEM_FIELD__PA_OUTDR_OUTDR11 +// SFDITEM_FIELD__PA_OUTDR_OUTDR10 +// SFDITEM_FIELD__PA_OUTDR_OUTDR9 +// SFDITEM_FIELD__PA_OUTDR_OUTDR8 +// SFDITEM_FIELD__PA_OUTDR_OUTDR7 +// SFDITEM_FIELD__PA_OUTDR_OUTDR6 +// SFDITEM_FIELD__PA_OUTDR_OUTDR5 +// SFDITEM_FIELD__PA_OUTDR_OUTDR4 +// SFDITEM_FIELD__PA_OUTDR_OUTDR3 +// SFDITEM_FIELD__PA_OUTDR_OUTDR2 +// SFDITEM_FIELD__PA_OUTDR_OUTDR1 +// SFDITEM_FIELD__PA_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PA_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PA_BSR __AT (0x3000001C); + + + +// -------------------------------- Field Item: PA_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PA_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000001C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PA_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000001C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PA_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000001C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PA_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000001C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PA_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000001C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PA_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000001C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PA_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000001C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PA_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000001C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PA_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000001C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PA_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000001C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PA_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000001C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PA_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000001C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PA_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000001C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PA_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000001C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PA_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000001C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PA_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000001C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PA_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PA_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000001C) Port n Output Bit Set Register +// ( (unsigned int)((PA_BSR >> 0) & 0xFFFFFFFF), ((PA_BSR = (PA_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_BSR_BSR15 +// SFDITEM_FIELD__PA_BSR_BSR14 +// SFDITEM_FIELD__PA_BSR_BSR13 +// SFDITEM_FIELD__PA_BSR_BSR12 +// SFDITEM_FIELD__PA_BSR_BSR11 +// SFDITEM_FIELD__PA_BSR_BSR10 +// SFDITEM_FIELD__PA_BSR_BSR9 +// SFDITEM_FIELD__PA_BSR_BSR8 +// SFDITEM_FIELD__PA_BSR_BSR7 +// SFDITEM_FIELD__PA_BSR_BSR6 +// SFDITEM_FIELD__PA_BSR_BSR5 +// SFDITEM_FIELD__PA_BSR_BSR4 +// SFDITEM_FIELD__PA_BSR_BSR3 +// SFDITEM_FIELD__PA_BSR_BSR2 +// SFDITEM_FIELD__PA_BSR_BSR1 +// SFDITEM_FIELD__PA_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PA_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PA_BCR __AT (0x30000020); + + + +// -------------------------------- Field Item: PA_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PA_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000020) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PA_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000020) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PA_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000020) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PA_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000020) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PA_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000020) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PA_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000020) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PA_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000020) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PA_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000020) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PA_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000020) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PA_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000020) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PA_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000020) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PA_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000020) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PA_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000020) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PA_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000020) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PA_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000020) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PA_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000020) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PA_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PA_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000020) Port n Output Bit Clear Register +// ( (unsigned int)((PA_BCR >> 0) & 0xFFFFFFFF), ((PA_BCR = (PA_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_BCR_BCR15 +// SFDITEM_FIELD__PA_BCR_BCR14 +// SFDITEM_FIELD__PA_BCR_BCR13 +// SFDITEM_FIELD__PA_BCR_BCR12 +// SFDITEM_FIELD__PA_BCR_BCR11 +// SFDITEM_FIELD__PA_BCR_BCR10 +// SFDITEM_FIELD__PA_BCR_BCR9 +// SFDITEM_FIELD__PA_BCR_BCR8 +// SFDITEM_FIELD__PA_BCR_BCR7 +// SFDITEM_FIELD__PA_BCR_BCR6 +// SFDITEM_FIELD__PA_BCR_BCR5 +// SFDITEM_FIELD__PA_BCR_BCR4 +// SFDITEM_FIELD__PA_BCR_BCR3 +// SFDITEM_FIELD__PA_BCR_BCR2 +// SFDITEM_FIELD__PA_BCR_BCR1 +// SFDITEM_FIELD__PA_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PA_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PA_OUTDMSK __AT (0x30000024); + + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000024) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000024) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000024) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000024) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000024) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000024) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000024) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000024) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000024) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000024) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000024) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000024) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000024) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000024) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000024) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000024) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PA_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PA_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000024) Port n Output Data Mask Register +// ( (unsigned int)((PA_OUTDMSK >> 0) & 0xFFFFFFFF), ((PA_OUTDMSK = (PA_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PA_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PA_DBCR __AT (0x30000028); + + + +// -------------------------------- Field Item: PA_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PA_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000028) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PA_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PA_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PA_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000028) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PA_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PA_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000028) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PA_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000028) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PA_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000028) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PA_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000028) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PA_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000028) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PA_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000028) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PA_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000028) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PA_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000028) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PA_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000028) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PA_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000028) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PA_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000028) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PA_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PA_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000028) Port n Debounce Control Register +// ( (unsigned int)((PA_DBCR >> 0) & 0xFFFFFFFF), ((PA_DBCR = (PA_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_DBCR_DBCLK +// SFDITEM_FIELD__PA_DBCR_DBEN11 +// SFDITEM_FIELD__PA_DBCR_DBEN10 +// SFDITEM_FIELD__PA_DBCR_DBEN9 +// SFDITEM_FIELD__PA_DBCR_DBEN8 +// SFDITEM_FIELD__PA_DBCR_DBEN7 +// SFDITEM_FIELD__PA_DBCR_DBEN6 +// SFDITEM_FIELD__PA_DBCR_DBEN5 +// SFDITEM_FIELD__PA_DBCR_DBEN4 +// SFDITEM_FIELD__PA_DBCR_DBEN3 +// SFDITEM_FIELD__PA_DBCR_DBEN2 +// SFDITEM_FIELD__PA_DBCR_DBEN1 +// SFDITEM_FIELD__PA_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_MOD -------------------------------- +// SVD Line: 9350 + +unsigned int PA_PA_MOD __AT (0x30000000); + + + +// ------------------------------ Field Item: PA_PA_MOD_MODE11 ---------------------------------- +// SVD Line: 9360 + +// SFDITEM_FIELD__PA_PA_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000000) Port n Mode Selection 11 +// +// ( (unsigned char)((PA_PA_MOD >> 22) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_MOD_MODE10 ---------------------------------- +// SVD Line: 9366 + +// SFDITEM_FIELD__PA_PA_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000000) Port n Mode Selection 10 +// +// ( (unsigned char)((PA_PA_MOD >> 20) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE9 ---------------------------------- +// SVD Line: 9372 + +// SFDITEM_FIELD__PA_PA_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000000) Port n Mode Selection 9 +// +// ( (unsigned char)((PA_PA_MOD >> 18) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE8 ---------------------------------- +// SVD Line: 9378 + +// SFDITEM_FIELD__PA_PA_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000000) Port n Mode Selection 8 +// +// ( (unsigned char)((PA_PA_MOD >> 16) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE7 ---------------------------------- +// SVD Line: 9384 + +// SFDITEM_FIELD__PA_PA_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000000) Port n Mode Selection 7 +// +// ( (unsigned char)((PA_PA_MOD >> 14) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE6 ---------------------------------- +// SVD Line: 9390 + +// SFDITEM_FIELD__PA_PA_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000000) Port n Mode Selection 6 +// +// ( (unsigned char)((PA_PA_MOD >> 12) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE5 ---------------------------------- +// SVD Line: 9396 + +// SFDITEM_FIELD__PA_PA_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000000) Port n Mode Selection 5 +// +// ( (unsigned char)((PA_PA_MOD >> 10) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE4 ---------------------------------- +// SVD Line: 9402 + +// SFDITEM_FIELD__PA_PA_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000000) Port n Mode Selection 4 +// +// ( (unsigned char)((PA_PA_MOD >> 8) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE3 ---------------------------------- +// SVD Line: 9408 + +// SFDITEM_FIELD__PA_PA_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000000) Port n Mode Selection 3 +// +// ( (unsigned char)((PA_PA_MOD >> 6) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE2 ---------------------------------- +// SVD Line: 9414 + +// SFDITEM_FIELD__PA_PA_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000000) Port n Mode Selection 2 +// +// ( (unsigned char)((PA_PA_MOD >> 4) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE1 ---------------------------------- +// SVD Line: 9420 + +// SFDITEM_FIELD__PA_PA_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000000) Port n Mode Selection 1 +// +// ( (unsigned char)((PA_PA_MOD >> 2) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE0 ---------------------------------- +// SVD Line: 9426 + +// SFDITEM_FIELD__PA_PA_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000000) Port n Mode Selection 0 +// +// ( (unsigned char)((PA_PA_MOD >> 0) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PA_PA_MOD ----------------------------------- +// SVD Line: 9350 + +// SFDITEM_REG__PA_PA_MOD +// PA_MOD +// +// [Bits 31..0] RW (@ 0x30000000) Port n Mode Register +// ( (unsigned int)((PA_PA_MOD >> 0) & 0xFFFFFFFF), ((PA_PA_MOD = (PA_PA_MOD & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_MOD_MODE11 +// SFDITEM_FIELD__PA_PA_MOD_MODE10 +// SFDITEM_FIELD__PA_PA_MOD_MODE9 +// SFDITEM_FIELD__PA_PA_MOD_MODE8 +// SFDITEM_FIELD__PA_PA_MOD_MODE7 +// SFDITEM_FIELD__PA_PA_MOD_MODE6 +// SFDITEM_FIELD__PA_PA_MOD_MODE5 +// SFDITEM_FIELD__PA_PA_MOD_MODE4 +// SFDITEM_FIELD__PA_PA_MOD_MODE3 +// SFDITEM_FIELD__PA_PA_MOD_MODE2 +// SFDITEM_FIELD__PA_PA_MOD_MODE1 +// SFDITEM_FIELD__PA_PA_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_TYP -------------------------------- +// SVD Line: 9434 + +unsigned int PA_PA_TYP __AT (0x30000004); + + + +// ------------------------------- Field Item: PA_PA_TYP_TYP11 ---------------------------------- +// SVD Line: 9444 + +// SFDITEM_FIELD__PA_PA_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000004) Port n Output Type Selection 11 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP10 ---------------------------------- +// SVD Line: 9450 + +// SFDITEM_FIELD__PA_PA_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000004) Port n Output Type Selection 10 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP9 ----------------------------------- +// SVD Line: 9456 + +// SFDITEM_FIELD__PA_PA_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000004) Port n Output Type Selection 9 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP8 ----------------------------------- +// SVD Line: 9462 + +// SFDITEM_FIELD__PA_PA_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000004) Port n Output Type Selection 8 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP7 ----------------------------------- +// SVD Line: 9468 + +// SFDITEM_FIELD__PA_PA_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000004) Port n Output Type Selection 7 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP6 ----------------------------------- +// SVD Line: 9474 + +// SFDITEM_FIELD__PA_PA_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000004) Port n Output Type Selection 6 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP5 ----------------------------------- +// SVD Line: 9480 + +// SFDITEM_FIELD__PA_PA_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000004) Port n Output Type Selection 5 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP4 ----------------------------------- +// SVD Line: 9486 + +// SFDITEM_FIELD__PA_PA_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000004) Port n Output Type Selection 4 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP3 ----------------------------------- +// SVD Line: 9492 + +// SFDITEM_FIELD__PA_PA_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000004) Port n Output Type Selection 3 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP2 ----------------------------------- +// SVD Line: 9498 + +// SFDITEM_FIELD__PA_PA_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000004) Port n Output Type Selection 2 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP1 ----------------------------------- +// SVD Line: 9504 + +// SFDITEM_FIELD__PA_PA_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000004) Port n Output Type Selection 1 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP0 ----------------------------------- +// SVD Line: 9510 + +// SFDITEM_FIELD__PA_PA_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000004) Port n Output Type Selection 0 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PA_PA_TYP ----------------------------------- +// SVD Line: 9434 + +// SFDITEM_REG__PA_PA_TYP +// PA_TYP +// +// [Bits 31..0] RW (@ 0x30000004) Port n Output Type Selection Register +// ( (unsigned int)((PA_PA_TYP >> 0) & 0xFFFFFFFF), ((PA_PA_TYP = (PA_PA_TYP & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_TYP_TYP11 +// SFDITEM_FIELD__PA_PA_TYP_TYP10 +// SFDITEM_FIELD__PA_PA_TYP_TYP9 +// SFDITEM_FIELD__PA_PA_TYP_TYP8 +// SFDITEM_FIELD__PA_PA_TYP_TYP7 +// SFDITEM_FIELD__PA_PA_TYP_TYP6 +// SFDITEM_FIELD__PA_PA_TYP_TYP5 +// SFDITEM_FIELD__PA_PA_TYP_TYP4 +// SFDITEM_FIELD__PA_PA_TYP_TYP3 +// SFDITEM_FIELD__PA_PA_TYP_TYP2 +// SFDITEM_FIELD__PA_PA_TYP_TYP1 +// SFDITEM_FIELD__PA_PA_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PA_PA_AFSR1 ------------------------------- +// SVD Line: 9518 + +unsigned int PA_PA_AFSR1 __AT (0x30000008); + + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR7 --------------------------------- +// SVD Line: 9528 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000008) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 28) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR6 --------------------------------- +// SVD Line: 9534 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000008) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 24) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR5 --------------------------------- +// SVD Line: 9540 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000008) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 20) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR4 --------------------------------- +// SVD Line: 9546 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000008) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 16) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR3 --------------------------------- +// SVD Line: 9552 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000008) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 12) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR2 --------------------------------- +// SVD Line: 9558 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000008) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 8) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR1 --------------------------------- +// SVD Line: 9564 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000008) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 4) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR0 --------------------------------- +// SVD Line: 9570 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000008) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 0) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PA_PA_AFSR1 ---------------------------------- +// SVD Line: 9518 + +// SFDITEM_REG__PA_PA_AFSR1 +// PA_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000008) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PA_PA_AFSR1 >> 0) & 0xFFFFFFFF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR7 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR6 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR5 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR4 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR3 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR2 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR1 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PA_PA_AFSR2 ------------------------------- +// SVD Line: 9578 + +unsigned int PA_PA_AFSR2 __AT (0x3000000C); + + + +// ----------------------------- Field Item: PA_PA_AFSR2_AFSR11 --------------------------------- +// SVD Line: 9588 + +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000000C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PA_PA_AFSR2 >> 12) & 0xF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PA_PA_AFSR2_AFSR10 --------------------------------- +// SVD Line: 9594 + +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000000C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PA_PA_AFSR2 >> 8) & 0xF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR2_AFSR9 --------------------------------- +// SVD Line: 9600 + +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000000C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PA_PA_AFSR2 >> 4) & 0xF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR2_AFSR8 --------------------------------- +// SVD Line: 9606 + +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000000C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PA_PA_AFSR2 >> 0) & 0xF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PA_PA_AFSR2 ---------------------------------- +// SVD Line: 9578 + +// SFDITEM_REG__PA_PA_AFSR2 +// PA_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000000C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PA_PA_AFSR2 >> 0) & 0xFFFFFFFF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR11 +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR10 +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR9 +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PA_PA_PUPD ------------------------------- +// SVD Line: 9614 + +unsigned int PA_PA_PUPD __AT (0x30000010); + + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD11 --------------------------------- +// SVD Line: 9624 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PA_PA_PUPD >> 22) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD10 --------------------------------- +// SVD Line: 9630 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PA_PA_PUPD >> 20) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD9 ---------------------------------- +// SVD Line: 9636 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PA_PA_PUPD >> 18) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD8 ---------------------------------- +// SVD Line: 9642 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PA_PA_PUPD >> 16) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD7 ---------------------------------- +// SVD Line: 9648 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PA_PA_PUPD >> 14) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD6 ---------------------------------- +// SVD Line: 9654 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PA_PA_PUPD >> 12) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD5 ---------------------------------- +// SVD Line: 9660 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PA_PA_PUPD >> 10) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD4 ---------------------------------- +// SVD Line: 9666 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PA_PA_PUPD >> 8) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD3 ---------------------------------- +// SVD Line: 9672 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PA_PA_PUPD >> 6) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD2 ---------------------------------- +// SVD Line: 9678 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PA_PA_PUPD >> 4) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD1 ---------------------------------- +// SVD Line: 9684 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PA_PA_PUPD >> 2) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD0 ---------------------------------- +// SVD Line: 9690 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PA_PA_PUPD >> 0) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PA_PA_PUPD ----------------------------------- +// SVD Line: 9614 + +// SFDITEM_REG__PA_PA_PUPD +// PA_PUPD +// +// [Bits 31..0] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PA_PA_PUPD >> 0) & 0xFFFFFFFF), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_PUPD_PUPD11 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD10 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD9 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD8 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD7 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD6 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD5 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD4 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD3 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD2 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD1 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_INDR ------------------------------- +// SVD Line: 9698 + +unsigned int PA_PA_INDR __AT (0x30000014); + + + +// ------------------------------ Field Item: PA_PA_INDR_INDR11 --------------------------------- +// SVD Line: 9708 + +// SFDITEM_FIELD__PA_PA_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000014) Port n Input Data 11 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR10 --------------------------------- +// SVD Line: 9714 + +// SFDITEM_FIELD__PA_PA_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000014) Port n Input Data 10 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR9 ---------------------------------- +// SVD Line: 9720 + +// SFDITEM_FIELD__PA_PA_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000014) Port n Input Data 9 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR8 ---------------------------------- +// SVD Line: 9726 + +// SFDITEM_FIELD__PA_PA_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000014) Port n Input Data 8 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR7 ---------------------------------- +// SVD Line: 9732 + +// SFDITEM_FIELD__PA_PA_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000014) Port n Input Data 7 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR6 ---------------------------------- +// SVD Line: 9738 + +// SFDITEM_FIELD__PA_PA_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000014) Port n Input Data 6 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR5 ---------------------------------- +// SVD Line: 9744 + +// SFDITEM_FIELD__PA_PA_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000014) Port n Input Data 5 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR4 ---------------------------------- +// SVD Line: 9750 + +// SFDITEM_FIELD__PA_PA_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000014) Port n Input Data 4 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR3 ---------------------------------- +// SVD Line: 9756 + +// SFDITEM_FIELD__PA_PA_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000014) Port n Input Data 3 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR2 ---------------------------------- +// SVD Line: 9762 + +// SFDITEM_FIELD__PA_PA_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000014) Port n Input Data 2 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR1 ---------------------------------- +// SVD Line: 9768 + +// SFDITEM_FIELD__PA_PA_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000014) Port n Input Data 1 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR0 ---------------------------------- +// SVD Line: 9774 + +// SFDITEM_FIELD__PA_PA_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000014) Port n Input Data 0 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PA_PA_INDR ----------------------------------- +// SVD Line: 9698 + +// SFDITEM_REG__PA_PA_INDR +// PA_INDR +// +// [Bits 31..0] RO (@ 0x30000014) Port n Input Data Register +// ( (unsigned int)((PA_PA_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PA_PA_INDR_INDR11 +// SFDITEM_FIELD__PA_PA_INDR_INDR10 +// SFDITEM_FIELD__PA_PA_INDR_INDR9 +// SFDITEM_FIELD__PA_PA_INDR_INDR8 +// SFDITEM_FIELD__PA_PA_INDR_INDR7 +// SFDITEM_FIELD__PA_PA_INDR_INDR6 +// SFDITEM_FIELD__PA_PA_INDR_INDR5 +// SFDITEM_FIELD__PA_PA_INDR_INDR4 +// SFDITEM_FIELD__PA_PA_INDR_INDR3 +// SFDITEM_FIELD__PA_PA_INDR_INDR2 +// SFDITEM_FIELD__PA_PA_INDR_INDR1 +// SFDITEM_FIELD__PA_PA_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PA_PA_OUTDR ------------------------------- +// SVD Line: 9782 + +unsigned int PA_PA_OUTDR __AT (0x30000018); + + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 9792 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000018) Port n Output Data 11 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 9798 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000018) Port n Output Data 10 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 9804 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000018) Port n Output Data 9 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 9810 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000018) Port n Output Data 8 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 9816 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000018) Port n Output Data 7 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 9822 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000018) Port n Output Data 6 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 9828 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000018) Port n Output Data 5 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 9834 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000018) Port n Output Data 4 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 9840 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000018) Port n Output Data 3 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 9846 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000018) Port n Output Data 2 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 9852 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000018) Port n Output Data 1 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 9858 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000018) Port n Output Data 0 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PA_PA_OUTDR ---------------------------------- +// SVD Line: 9782 + +// SFDITEM_REG__PA_PA_OUTDR +// PA_OUTDR +// +// [Bits 31..0] RW (@ 0x30000018) Port n Output Data Register +// ( (unsigned int)((PA_PA_OUTDR >> 0) & 0xFFFFFFFF), ((PA_PA_OUTDR = (PA_PA_OUTDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR11 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR10 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR9 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR8 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR7 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR6 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR5 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR4 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR3 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR2 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR1 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_BSR -------------------------------- +// SVD Line: 9866 + +unsigned int PA_PA_BSR __AT (0x3000001C); + + + +// ------------------------------- Field Item: PA_PA_BSR_BSR11 ---------------------------------- +// SVD Line: 9876 + +// SFDITEM_FIELD__PA_PA_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000001C) Port n Output Bit Set 11 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR10 ---------------------------------- +// SVD Line: 9882 + +// SFDITEM_FIELD__PA_PA_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000001C) Port n Output Bit Set 10 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR9 ----------------------------------- +// SVD Line: 9888 + +// SFDITEM_FIELD__PA_PA_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000001C) Port n Output Bit Set 9 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR8 ----------------------------------- +// SVD Line: 9894 + +// SFDITEM_FIELD__PA_PA_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000001C) Port n Output Bit Set 8 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR7 ----------------------------------- +// SVD Line: 9900 + +// SFDITEM_FIELD__PA_PA_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000001C) Port n Output Bit Set 7 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR6 ----------------------------------- +// SVD Line: 9906 + +// SFDITEM_FIELD__PA_PA_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000001C) Port n Output Bit Set 6 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR5 ----------------------------------- +// SVD Line: 9912 + +// SFDITEM_FIELD__PA_PA_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000001C) Port n Output Bit Set 5 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR4 ----------------------------------- +// SVD Line: 9918 + +// SFDITEM_FIELD__PA_PA_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000001C) Port n Output Bit Set 4 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR3 ----------------------------------- +// SVD Line: 9924 + +// SFDITEM_FIELD__PA_PA_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000001C) Port n Output Bit Set 3 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR2 ----------------------------------- +// SVD Line: 9930 + +// SFDITEM_FIELD__PA_PA_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000001C) Port n Output Bit Set 2 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR1 ----------------------------------- +// SVD Line: 9936 + +// SFDITEM_FIELD__PA_PA_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000001C) Port n Output Bit Set 1 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR0 ----------------------------------- +// SVD Line: 9942 + +// SFDITEM_FIELD__PA_PA_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000001C) Port n Output Bit Set 0 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PA_PA_BSR ----------------------------------- +// SVD Line: 9866 + +// SFDITEM_REG__PA_PA_BSR +// PA_BSR +// +// [Bits 31..0] WO (@ 0x3000001C) Port n Output Bit Set Register +// ( (unsigned int)((PA_PA_BSR >> 0) & 0xFFFFFFFF), ((PA_PA_BSR = (PA_PA_BSR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_BSR_BSR11 +// SFDITEM_FIELD__PA_PA_BSR_BSR10 +// SFDITEM_FIELD__PA_PA_BSR_BSR9 +// SFDITEM_FIELD__PA_PA_BSR_BSR8 +// SFDITEM_FIELD__PA_PA_BSR_BSR7 +// SFDITEM_FIELD__PA_PA_BSR_BSR6 +// SFDITEM_FIELD__PA_PA_BSR_BSR5 +// SFDITEM_FIELD__PA_PA_BSR_BSR4 +// SFDITEM_FIELD__PA_PA_BSR_BSR3 +// SFDITEM_FIELD__PA_PA_BSR_BSR2 +// SFDITEM_FIELD__PA_PA_BSR_BSR1 +// SFDITEM_FIELD__PA_PA_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_BCR -------------------------------- +// SVD Line: 9950 + +unsigned int PA_PA_BCR __AT (0x30000020); + + + +// ------------------------------- Field Item: PA_PA_BCR_BCR11 ---------------------------------- +// SVD Line: 9960 + +// SFDITEM_FIELD__PA_PA_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000020) Port n Output Bit Clear 11 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR10 ---------------------------------- +// SVD Line: 9966 + +// SFDITEM_FIELD__PA_PA_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000020) Port n Output Bit Clear 10 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR9 ----------------------------------- +// SVD Line: 9972 + +// SFDITEM_FIELD__PA_PA_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000020) Port n Output Bit Clear 9 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR8 ----------------------------------- +// SVD Line: 9978 + +// SFDITEM_FIELD__PA_PA_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000020) Port n Output Bit Clear 8 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR7 ----------------------------------- +// SVD Line: 9984 + +// SFDITEM_FIELD__PA_PA_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000020) Port n Output Bit Clear 7 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR6 ----------------------------------- +// SVD Line: 9990 + +// SFDITEM_FIELD__PA_PA_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000020) Port n Output Bit Clear 6 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR5 ----------------------------------- +// SVD Line: 9996 + +// SFDITEM_FIELD__PA_PA_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000020) Port n Output Bit Clear 5 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR4 ----------------------------------- +// SVD Line: 10002 + +// SFDITEM_FIELD__PA_PA_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000020) Port n Output Bit Clear 4 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR3 ----------------------------------- +// SVD Line: 10008 + +// SFDITEM_FIELD__PA_PA_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000020) Port n Output Bit Clear 3 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR2 ----------------------------------- +// SVD Line: 10014 + +// SFDITEM_FIELD__PA_PA_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000020) Port n Output Bit Clear 2 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR1 ----------------------------------- +// SVD Line: 10020 + +// SFDITEM_FIELD__PA_PA_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000020) Port n Output Bit Clear 1 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR0 ----------------------------------- +// SVD Line: 10026 + +// SFDITEM_FIELD__PA_PA_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000020) Port n Output Bit Clear 0 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PA_PA_BCR ----------------------------------- +// SVD Line: 9950 + +// SFDITEM_REG__PA_PA_BCR +// PA_BCR +// +// [Bits 31..0] WO (@ 0x30000020) Port n Output Bit Clear Register +// ( (unsigned int)((PA_PA_BCR >> 0) & 0xFFFFFFFF), ((PA_PA_BCR = (PA_PA_BCR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_BCR_BCR11 +// SFDITEM_FIELD__PA_PA_BCR_BCR10 +// SFDITEM_FIELD__PA_PA_BCR_BCR9 +// SFDITEM_FIELD__PA_PA_BCR_BCR8 +// SFDITEM_FIELD__PA_PA_BCR_BCR7 +// SFDITEM_FIELD__PA_PA_BCR_BCR6 +// SFDITEM_FIELD__PA_PA_BCR_BCR5 +// SFDITEM_FIELD__PA_PA_BCR_BCR4 +// SFDITEM_FIELD__PA_PA_BCR_BCR3 +// SFDITEM_FIELD__PA_PA_BCR_BCR2 +// SFDITEM_FIELD__PA_PA_BCR_BCR1 +// SFDITEM_FIELD__PA_PA_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PA_PA_OUTDMSK ------------------------------ +// SVD Line: 10034 + +unsigned int PA_PA_OUTDMSK __AT (0x30000024); + + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 10044 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000024) Port n Output Data Mask 11 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 10050 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000024) Port n Output Data Mask 10 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 10056 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000024) Port n Output Data Mask 9 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 10062 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000024) Port n Output Data Mask 8 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 10068 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000024) Port n Output Data Mask 7 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 10074 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000024) Port n Output Data Mask 6 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 10080 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000024) Port n Output Data Mask 5 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 10086 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000024) Port n Output Data Mask 4 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 10092 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000024) Port n Output Data Mask 3 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 10098 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000024) Port n Output Data Mask 2 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 10104 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000024) Port n Output Data Mask 1 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 10110 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000024) Port n Output Data Mask 0 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PA_PA_OUTDMSK --------------------------------- +// SVD Line: 10034 + +// SFDITEM_REG__PA_PA_OUTDMSK +// PA_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000024) Port n Output Data Mask Register +// ( (unsigned int)((PA_PA_OUTDMSK >> 0) & 0xFFFFFFFF), ((PA_PA_OUTDMSK = (PA_PA_OUTDMSK & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------------- Peripheral View: PA -------------------------------------- +// SVD Line: 9336 + +// PA +// PA +// SFDITEM_REG__PA_MOD +// SFDITEM_REG__PA_TYP +// SFDITEM_REG__PA_AFSR1 +// SFDITEM_REG__PA_AFSR2 +// SFDITEM_REG__PA_PUPD +// SFDITEM_REG__PA_INDR +// SFDITEM_REG__PA_OUTDR +// SFDITEM_REG__PA_BSR +// SFDITEM_REG__PA_BCR +// SFDITEM_REG__PA_OUTDMSK +// SFDITEM_REG__PA_DBCR +// SFDITEM_REG__PA_PA_MOD +// SFDITEM_REG__PA_PA_TYP +// SFDITEM_REG__PA_PA_AFSR1 +// SFDITEM_REG__PA_PA_AFSR2 +// SFDITEM_REG__PA_PA_PUPD +// SFDITEM_REG__PA_PA_INDR +// SFDITEM_REG__PA_PA_OUTDR +// SFDITEM_REG__PA_PA_BSR +// SFDITEM_REG__PA_PA_BCR +// SFDITEM_REG__PA_PA_OUTDMSK +// +// + + +// ------------------------------ Register Item Address: PB_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PB_MOD __AT (0x30000100); + + + +// -------------------------------- Field Item: PB_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PB_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000100) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PB_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000100) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PB_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000100) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PB_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000100) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PB_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000100) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PB_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000100) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PB_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000100) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PB_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000100) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PB_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000100) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PB_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000100) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PB_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000100) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PB_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000100) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PB_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000100) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PB_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000100) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PB_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000100) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PB_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000100) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PB_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PB_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000100) Port n Mode Register +// ( (unsigned int)((PB_MOD >> 0) & 0xFFFFFFFF), ((PB_MOD = (PB_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_MOD_MODE15 +// SFDITEM_FIELD__PB_MOD_MODE14 +// SFDITEM_FIELD__PB_MOD_MODE13 +// SFDITEM_FIELD__PB_MOD_MODE12 +// SFDITEM_FIELD__PB_MOD_MODE11 +// SFDITEM_FIELD__PB_MOD_MODE10 +// SFDITEM_FIELD__PB_MOD_MODE9 +// SFDITEM_FIELD__PB_MOD_MODE8 +// SFDITEM_FIELD__PB_MOD_MODE7 +// SFDITEM_FIELD__PB_MOD_MODE6 +// SFDITEM_FIELD__PB_MOD_MODE5 +// SFDITEM_FIELD__PB_MOD_MODE4 +// SFDITEM_FIELD__PB_MOD_MODE3 +// SFDITEM_FIELD__PB_MOD_MODE2 +// SFDITEM_FIELD__PB_MOD_MODE1 +// SFDITEM_FIELD__PB_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PB_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PB_TYP __AT (0x30000104); + + + +// -------------------------------- Field Item: PB_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PB_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000104) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PB_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000104) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PB_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000104) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PB_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000104) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PB_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000104) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PB_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000104) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PB_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000104) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PB_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000104) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PB_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000104) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PB_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000104) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PB_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000104) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PB_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000104) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PB_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000104) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PB_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000104) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PB_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000104) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PB_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000104) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PB_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PB_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000104) Port n Output Type Selection Register +// ( (unsigned int)((PB_TYP >> 0) & 0xFFFFFFFF), ((PB_TYP = (PB_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_TYP_TYP15 +// SFDITEM_FIELD__PB_TYP_TYP14 +// SFDITEM_FIELD__PB_TYP_TYP13 +// SFDITEM_FIELD__PB_TYP_TYP12 +// SFDITEM_FIELD__PB_TYP_TYP11 +// SFDITEM_FIELD__PB_TYP_TYP10 +// SFDITEM_FIELD__PB_TYP_TYP9 +// SFDITEM_FIELD__PB_TYP_TYP8 +// SFDITEM_FIELD__PB_TYP_TYP7 +// SFDITEM_FIELD__PB_TYP_TYP6 +// SFDITEM_FIELD__PB_TYP_TYP5 +// SFDITEM_FIELD__PB_TYP_TYP4 +// SFDITEM_FIELD__PB_TYP_TYP3 +// SFDITEM_FIELD__PB_TYP_TYP2 +// SFDITEM_FIELD__PB_TYP_TYP1 +// SFDITEM_FIELD__PB_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PB_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PB_AFSR1 __AT (0x30000108); + + + +// ------------------------------- Field Item: PB_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PB_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000108) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PB_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000108) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PB_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000108) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PB_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000108) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PB_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000108) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PB_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000108) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PB_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000108) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PB_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000108) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PB_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PB_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000108) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PB_AFSR1 >> 0) & 0xFFFFFFFF), ((PB_AFSR1 = (PB_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_AFSR1_AFSR7 +// SFDITEM_FIELD__PB_AFSR1_AFSR6 +// SFDITEM_FIELD__PB_AFSR1_AFSR5 +// SFDITEM_FIELD__PB_AFSR1_AFSR4 +// SFDITEM_FIELD__PB_AFSR1_AFSR3 +// SFDITEM_FIELD__PB_AFSR1_AFSR2 +// SFDITEM_FIELD__PB_AFSR1_AFSR1 +// SFDITEM_FIELD__PB_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PB_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PB_AFSR2 __AT (0x3000010C); + + + +// ------------------------------- Field Item: PB_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PB_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000010C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PB_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000010C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PB_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000010C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PB_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000010C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PB_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000010C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PB_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000010C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PB_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000010C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PB_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000010C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PB_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PB_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000010C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PB_AFSR2 >> 0) & 0xFFFFFFFF), ((PB_AFSR2 = (PB_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_AFSR2_AFSR15 +// SFDITEM_FIELD__PB_AFSR2_AFSR14 +// SFDITEM_FIELD__PB_AFSR2_AFSR13 +// SFDITEM_FIELD__PB_AFSR2_AFSR12 +// SFDITEM_FIELD__PB_AFSR2_AFSR11 +// SFDITEM_FIELD__PB_AFSR2_AFSR10 +// SFDITEM_FIELD__PB_AFSR2_AFSR9 +// SFDITEM_FIELD__PB_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PB_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PB_PUPD __AT (0x30000110); + + + +// ------------------------------- Field Item: PB_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PB_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PB_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PB_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PB_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PB_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PB_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PB_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PB_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PB_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PB_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PB_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PB_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PB_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PB_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PB_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PB_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PB_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PB_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PB_PUPD >> 0) & 0xFFFFFFFF), ((PB_PUPD = (PB_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PUPD_PUPD15 +// SFDITEM_FIELD__PB_PUPD_PUPD14 +// SFDITEM_FIELD__PB_PUPD_PUPD13 +// SFDITEM_FIELD__PB_PUPD_PUPD12 +// SFDITEM_FIELD__PB_PUPD_PUPD11 +// SFDITEM_FIELD__PB_PUPD_PUPD10 +// SFDITEM_FIELD__PB_PUPD_PUPD9 +// SFDITEM_FIELD__PB_PUPD_PUPD8 +// SFDITEM_FIELD__PB_PUPD_PUPD7 +// SFDITEM_FIELD__PB_PUPD_PUPD6 +// SFDITEM_FIELD__PB_PUPD_PUPD5 +// SFDITEM_FIELD__PB_PUPD_PUPD4 +// SFDITEM_FIELD__PB_PUPD_PUPD3 +// SFDITEM_FIELD__PB_PUPD_PUPD2 +// SFDITEM_FIELD__PB_PUPD_PUPD1 +// SFDITEM_FIELD__PB_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PB_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PB_INDR __AT (0x30000114); + + + +// ------------------------------- Field Item: PB_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PB_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000114) Port n Input Data 15 +// +// ( (unsigned int) PB_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PB_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000114) Port n Input Data 14 +// +// ( (unsigned int) PB_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PB_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000114) Port n Input Data 13 +// +// ( (unsigned int) PB_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PB_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000114) Port n Input Data 12 +// +// ( (unsigned int) PB_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PB_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000114) Port n Input Data 11 +// +// ( (unsigned int) PB_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PB_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000114) Port n Input Data 10 +// +// ( (unsigned int) PB_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PB_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000114) Port n Input Data 9 +// +// ( (unsigned int) PB_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PB_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000114) Port n Input Data 8 +// +// ( (unsigned int) PB_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PB_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000114) Port n Input Data 7 +// +// ( (unsigned int) PB_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PB_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000114) Port n Input Data 6 +// +// ( (unsigned int) PB_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PB_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000114) Port n Input Data 5 +// +// ( (unsigned int) PB_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PB_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000114) Port n Input Data 4 +// +// ( (unsigned int) PB_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PB_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000114) Port n Input Data 3 +// +// ( (unsigned int) PB_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PB_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000114) Port n Input Data 2 +// +// ( (unsigned int) PB_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PB_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000114) Port n Input Data 1 +// +// ( (unsigned int) PB_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PB_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000114) Port n Input Data 0 +// +// ( (unsigned int) PB_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PB_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PB_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000114) Port n Input Data Register +// ( (unsigned int)((PB_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PB_INDR_INDR15 +// SFDITEM_FIELD__PB_INDR_INDR14 +// SFDITEM_FIELD__PB_INDR_INDR13 +// SFDITEM_FIELD__PB_INDR_INDR12 +// SFDITEM_FIELD__PB_INDR_INDR11 +// SFDITEM_FIELD__PB_INDR_INDR10 +// SFDITEM_FIELD__PB_INDR_INDR9 +// SFDITEM_FIELD__PB_INDR_INDR8 +// SFDITEM_FIELD__PB_INDR_INDR7 +// SFDITEM_FIELD__PB_INDR_INDR6 +// SFDITEM_FIELD__PB_INDR_INDR5 +// SFDITEM_FIELD__PB_INDR_INDR4 +// SFDITEM_FIELD__PB_INDR_INDR3 +// SFDITEM_FIELD__PB_INDR_INDR2 +// SFDITEM_FIELD__PB_INDR_INDR1 +// SFDITEM_FIELD__PB_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PB_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PB_OUTDR __AT (0x30000118); + + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000118) Port n Output Data 15 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000118) Port n Output Data 14 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000118) Port n Output Data 13 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000118) Port n Output Data 12 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000118) Port n Output Data 11 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000118) Port n Output Data 10 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000118) Port n Output Data 9 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000118) Port n Output Data 8 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000118) Port n Output Data 7 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000118) Port n Output Data 6 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000118) Port n Output Data 5 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000118) Port n Output Data 4 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000118) Port n Output Data 3 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000118) Port n Output Data 2 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000118) Port n Output Data 1 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000118) Port n Output Data 0 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PB_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PB_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000118) Port n Output Data Register +// ( (unsigned int)((PB_OUTDR >> 0) & 0xFFFFFFFF), ((PB_OUTDR = (PB_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_OUTDR_OUTDR15 +// SFDITEM_FIELD__PB_OUTDR_OUTDR14 +// SFDITEM_FIELD__PB_OUTDR_OUTDR13 +// SFDITEM_FIELD__PB_OUTDR_OUTDR12 +// SFDITEM_FIELD__PB_OUTDR_OUTDR11 +// SFDITEM_FIELD__PB_OUTDR_OUTDR10 +// SFDITEM_FIELD__PB_OUTDR_OUTDR9 +// SFDITEM_FIELD__PB_OUTDR_OUTDR8 +// SFDITEM_FIELD__PB_OUTDR_OUTDR7 +// SFDITEM_FIELD__PB_OUTDR_OUTDR6 +// SFDITEM_FIELD__PB_OUTDR_OUTDR5 +// SFDITEM_FIELD__PB_OUTDR_OUTDR4 +// SFDITEM_FIELD__PB_OUTDR_OUTDR3 +// SFDITEM_FIELD__PB_OUTDR_OUTDR2 +// SFDITEM_FIELD__PB_OUTDR_OUTDR1 +// SFDITEM_FIELD__PB_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PB_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PB_BSR __AT (0x3000011C); + + + +// -------------------------------- Field Item: PB_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PB_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000011C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PB_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000011C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PB_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000011C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PB_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000011C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PB_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000011C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PB_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000011C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PB_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000011C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PB_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000011C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PB_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000011C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PB_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000011C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PB_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000011C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PB_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000011C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PB_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000011C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PB_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000011C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PB_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000011C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PB_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000011C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PB_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PB_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000011C) Port n Output Bit Set Register +// ( (unsigned int)((PB_BSR >> 0) & 0xFFFFFFFF), ((PB_BSR = (PB_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_BSR_BSR15 +// SFDITEM_FIELD__PB_BSR_BSR14 +// SFDITEM_FIELD__PB_BSR_BSR13 +// SFDITEM_FIELD__PB_BSR_BSR12 +// SFDITEM_FIELD__PB_BSR_BSR11 +// SFDITEM_FIELD__PB_BSR_BSR10 +// SFDITEM_FIELD__PB_BSR_BSR9 +// SFDITEM_FIELD__PB_BSR_BSR8 +// SFDITEM_FIELD__PB_BSR_BSR7 +// SFDITEM_FIELD__PB_BSR_BSR6 +// SFDITEM_FIELD__PB_BSR_BSR5 +// SFDITEM_FIELD__PB_BSR_BSR4 +// SFDITEM_FIELD__PB_BSR_BSR3 +// SFDITEM_FIELD__PB_BSR_BSR2 +// SFDITEM_FIELD__PB_BSR_BSR1 +// SFDITEM_FIELD__PB_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PB_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PB_BCR __AT (0x30000120); + + + +// -------------------------------- Field Item: PB_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PB_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000120) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PB_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000120) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PB_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000120) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PB_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000120) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PB_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000120) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PB_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000120) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PB_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000120) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PB_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000120) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PB_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000120) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PB_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000120) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PB_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000120) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PB_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000120) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PB_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000120) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PB_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000120) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PB_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000120) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PB_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000120) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PB_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PB_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000120) Port n Output Bit Clear Register +// ( (unsigned int)((PB_BCR >> 0) & 0xFFFFFFFF), ((PB_BCR = (PB_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_BCR_BCR15 +// SFDITEM_FIELD__PB_BCR_BCR14 +// SFDITEM_FIELD__PB_BCR_BCR13 +// SFDITEM_FIELD__PB_BCR_BCR12 +// SFDITEM_FIELD__PB_BCR_BCR11 +// SFDITEM_FIELD__PB_BCR_BCR10 +// SFDITEM_FIELD__PB_BCR_BCR9 +// SFDITEM_FIELD__PB_BCR_BCR8 +// SFDITEM_FIELD__PB_BCR_BCR7 +// SFDITEM_FIELD__PB_BCR_BCR6 +// SFDITEM_FIELD__PB_BCR_BCR5 +// SFDITEM_FIELD__PB_BCR_BCR4 +// SFDITEM_FIELD__PB_BCR_BCR3 +// SFDITEM_FIELD__PB_BCR_BCR2 +// SFDITEM_FIELD__PB_BCR_BCR1 +// SFDITEM_FIELD__PB_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PB_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PB_OUTDMSK __AT (0x30000124); + + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000124) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000124) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000124) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000124) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000124) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000124) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000124) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000124) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000124) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000124) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000124) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000124) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000124) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000124) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000124) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000124) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PB_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PB_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000124) Port n Output Data Mask Register +// ( (unsigned int)((PB_OUTDMSK >> 0) & 0xFFFFFFFF), ((PB_OUTDMSK = (PB_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PB_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PB_DBCR __AT (0x30000128); + + + +// -------------------------------- Field Item: PB_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PB_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000128) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PB_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PB_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PB_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000128) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PB_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PB_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000128) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PB_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000128) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PB_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000128) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PB_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000128) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PB_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000128) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PB_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000128) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PB_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000128) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PB_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000128) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PB_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000128) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PB_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000128) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PB_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000128) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PB_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PB_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000128) Port n Debounce Control Register +// ( (unsigned int)((PB_DBCR >> 0) & 0xFFFFFFFF), ((PB_DBCR = (PB_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_DBCR_DBCLK +// SFDITEM_FIELD__PB_DBCR_DBEN11 +// SFDITEM_FIELD__PB_DBCR_DBEN10 +// SFDITEM_FIELD__PB_DBCR_DBEN9 +// SFDITEM_FIELD__PB_DBCR_DBEN8 +// SFDITEM_FIELD__PB_DBCR_DBEN7 +// SFDITEM_FIELD__PB_DBCR_DBEN6 +// SFDITEM_FIELD__PB_DBCR_DBEN5 +// SFDITEM_FIELD__PB_DBCR_DBEN4 +// SFDITEM_FIELD__PB_DBCR_DBEN3 +// SFDITEM_FIELD__PB_DBCR_DBEN2 +// SFDITEM_FIELD__PB_DBCR_DBEN1 +// SFDITEM_FIELD__PB_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_MOD -------------------------------- +// SVD Line: 10134 + +unsigned int PB_PB_MOD __AT (0x30000100); + + + +// ------------------------------ Field Item: PB_PB_MOD_MODE15 ---------------------------------- +// SVD Line: 10144 + +// SFDITEM_FIELD__PB_PB_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000100) Port n Mode Selection 15 +// +// ( (unsigned char)((PB_PB_MOD >> 30) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 30 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 30 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE14 ---------------------------------- +// SVD Line: 10150 + +// SFDITEM_FIELD__PB_PB_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000100) Port n Mode Selection 14 +// +// ( (unsigned char)((PB_PB_MOD >> 28) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE13 ---------------------------------- +// SVD Line: 10156 + +// SFDITEM_FIELD__PB_PB_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000100) Port n Mode Selection 13 +// +// ( (unsigned char)((PB_PB_MOD >> 26) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 26 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 26 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE12 ---------------------------------- +// SVD Line: 10162 + +// SFDITEM_FIELD__PB_PB_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000100) Port n Mode Selection 12 +// +// ( (unsigned char)((PB_PB_MOD >> 24) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE11 ---------------------------------- +// SVD Line: 10168 + +// SFDITEM_FIELD__PB_PB_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000100) Port n Mode Selection 11 +// +// ( (unsigned char)((PB_PB_MOD >> 22) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE10 ---------------------------------- +// SVD Line: 10174 + +// SFDITEM_FIELD__PB_PB_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000100) Port n Mode Selection 10 +// +// ( (unsigned char)((PB_PB_MOD >> 20) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE9 ---------------------------------- +// SVD Line: 10180 + +// SFDITEM_FIELD__PB_PB_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000100) Port n Mode Selection 9 +// +// ( (unsigned char)((PB_PB_MOD >> 18) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE8 ---------------------------------- +// SVD Line: 10186 + +// SFDITEM_FIELD__PB_PB_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000100) Port n Mode Selection 8 +// +// ( (unsigned char)((PB_PB_MOD >> 16) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE7 ---------------------------------- +// SVD Line: 10192 + +// SFDITEM_FIELD__PB_PB_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000100) Port n Mode Selection 7 +// +// ( (unsigned char)((PB_PB_MOD >> 14) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE6 ---------------------------------- +// SVD Line: 10198 + +// SFDITEM_FIELD__PB_PB_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000100) Port n Mode Selection 6 +// +// ( (unsigned char)((PB_PB_MOD >> 12) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE5 ---------------------------------- +// SVD Line: 10204 + +// SFDITEM_FIELD__PB_PB_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000100) Port n Mode Selection 5 +// +// ( (unsigned char)((PB_PB_MOD >> 10) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE4 ---------------------------------- +// SVD Line: 10210 + +// SFDITEM_FIELD__PB_PB_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000100) Port n Mode Selection 4 +// +// ( (unsigned char)((PB_PB_MOD >> 8) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE3 ---------------------------------- +// SVD Line: 10216 + +// SFDITEM_FIELD__PB_PB_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000100) Port n Mode Selection 3 +// +// ( (unsigned char)((PB_PB_MOD >> 6) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE2 ---------------------------------- +// SVD Line: 10222 + +// SFDITEM_FIELD__PB_PB_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000100) Port n Mode Selection 2 +// +// ( (unsigned char)((PB_PB_MOD >> 4) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE1 ---------------------------------- +// SVD Line: 10228 + +// SFDITEM_FIELD__PB_PB_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000100) Port n Mode Selection 1 +// +// ( (unsigned char)((PB_PB_MOD >> 2) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE0 ---------------------------------- +// SVD Line: 10234 + +// SFDITEM_FIELD__PB_PB_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000100) Port n Mode Selection 0 +// +// ( (unsigned char)((PB_PB_MOD >> 0) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PB_PB_MOD ----------------------------------- +// SVD Line: 10134 + +// SFDITEM_REG__PB_PB_MOD +// PB_MOD +// +// [Bits 31..0] RW (@ 0x30000100) Port n Mode Register +// ( (unsigned int)((PB_PB_MOD >> 0) & 0xFFFFFFFF), ((PB_PB_MOD = (PB_PB_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_MOD_MODE15 +// SFDITEM_FIELD__PB_PB_MOD_MODE14 +// SFDITEM_FIELD__PB_PB_MOD_MODE13 +// SFDITEM_FIELD__PB_PB_MOD_MODE12 +// SFDITEM_FIELD__PB_PB_MOD_MODE11 +// SFDITEM_FIELD__PB_PB_MOD_MODE10 +// SFDITEM_FIELD__PB_PB_MOD_MODE9 +// SFDITEM_FIELD__PB_PB_MOD_MODE8 +// SFDITEM_FIELD__PB_PB_MOD_MODE7 +// SFDITEM_FIELD__PB_PB_MOD_MODE6 +// SFDITEM_FIELD__PB_PB_MOD_MODE5 +// SFDITEM_FIELD__PB_PB_MOD_MODE4 +// SFDITEM_FIELD__PB_PB_MOD_MODE3 +// SFDITEM_FIELD__PB_PB_MOD_MODE2 +// SFDITEM_FIELD__PB_PB_MOD_MODE1 +// SFDITEM_FIELD__PB_PB_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_TYP -------------------------------- +// SVD Line: 10242 + +unsigned int PB_PB_TYP __AT (0x30000104); + + + +// ------------------------------- Field Item: PB_PB_TYP_TYP15 ---------------------------------- +// SVD Line: 10252 + +// SFDITEM_FIELD__PB_PB_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000104) Port n Output Type Selection 15 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP15 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP14 ---------------------------------- +// SVD Line: 10258 + +// SFDITEM_FIELD__PB_PB_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000104) Port n Output Type Selection 14 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP14 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP13 ---------------------------------- +// SVD Line: 10264 + +// SFDITEM_FIELD__PB_PB_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000104) Port n Output Type Selection 13 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP13 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP12 ---------------------------------- +// SVD Line: 10270 + +// SFDITEM_FIELD__PB_PB_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000104) Port n Output Type Selection 12 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP12 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP11 ---------------------------------- +// SVD Line: 10276 + +// SFDITEM_FIELD__PB_PB_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000104) Port n Output Type Selection 11 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP10 ---------------------------------- +// SVD Line: 10282 + +// SFDITEM_FIELD__PB_PB_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000104) Port n Output Type Selection 10 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP9 ----------------------------------- +// SVD Line: 10288 + +// SFDITEM_FIELD__PB_PB_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000104) Port n Output Type Selection 9 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP8 ----------------------------------- +// SVD Line: 10294 + +// SFDITEM_FIELD__PB_PB_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000104) Port n Output Type Selection 8 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP7 ----------------------------------- +// SVD Line: 10300 + +// SFDITEM_FIELD__PB_PB_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000104) Port n Output Type Selection 7 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP6 ----------------------------------- +// SVD Line: 10306 + +// SFDITEM_FIELD__PB_PB_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000104) Port n Output Type Selection 6 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP5 ----------------------------------- +// SVD Line: 10312 + +// SFDITEM_FIELD__PB_PB_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000104) Port n Output Type Selection 5 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP4 ----------------------------------- +// SVD Line: 10318 + +// SFDITEM_FIELD__PB_PB_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000104) Port n Output Type Selection 4 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP3 ----------------------------------- +// SVD Line: 10324 + +// SFDITEM_FIELD__PB_PB_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000104) Port n Output Type Selection 3 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP2 ----------------------------------- +// SVD Line: 10330 + +// SFDITEM_FIELD__PB_PB_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000104) Port n Output Type Selection 2 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP1 ----------------------------------- +// SVD Line: 10336 + +// SFDITEM_FIELD__PB_PB_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000104) Port n Output Type Selection 1 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP0 ----------------------------------- +// SVD Line: 10342 + +// SFDITEM_FIELD__PB_PB_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000104) Port n Output Type Selection 0 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PB_PB_TYP ----------------------------------- +// SVD Line: 10242 + +// SFDITEM_REG__PB_PB_TYP +// PB_TYP +// +// [Bits 31..0] RW (@ 0x30000104) Port n Output Type Selection Register +// ( (unsigned int)((PB_PB_TYP >> 0) & 0xFFFFFFFF), ((PB_PB_TYP = (PB_PB_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_TYP_TYP15 +// SFDITEM_FIELD__PB_PB_TYP_TYP14 +// SFDITEM_FIELD__PB_PB_TYP_TYP13 +// SFDITEM_FIELD__PB_PB_TYP_TYP12 +// SFDITEM_FIELD__PB_PB_TYP_TYP11 +// SFDITEM_FIELD__PB_PB_TYP_TYP10 +// SFDITEM_FIELD__PB_PB_TYP_TYP9 +// SFDITEM_FIELD__PB_PB_TYP_TYP8 +// SFDITEM_FIELD__PB_PB_TYP_TYP7 +// SFDITEM_FIELD__PB_PB_TYP_TYP6 +// SFDITEM_FIELD__PB_PB_TYP_TYP5 +// SFDITEM_FIELD__PB_PB_TYP_TYP4 +// SFDITEM_FIELD__PB_PB_TYP_TYP3 +// SFDITEM_FIELD__PB_PB_TYP_TYP2 +// SFDITEM_FIELD__PB_PB_TYP_TYP1 +// SFDITEM_FIELD__PB_PB_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PB_PB_AFSR1 ------------------------------- +// SVD Line: 10350 + +unsigned int PB_PB_AFSR1 __AT (0x30000108); + + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR7 --------------------------------- +// SVD Line: 10360 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000108) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 28) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR6 --------------------------------- +// SVD Line: 10366 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000108) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 24) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR5 --------------------------------- +// SVD Line: 10372 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000108) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 20) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR4 --------------------------------- +// SVD Line: 10378 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000108) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 16) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR3 --------------------------------- +// SVD Line: 10384 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000108) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 12) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR2 --------------------------------- +// SVD Line: 10390 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000108) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 8) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR1 --------------------------------- +// SVD Line: 10396 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000108) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 4) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR0 --------------------------------- +// SVD Line: 10402 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000108) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 0) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PB_PB_AFSR1 ---------------------------------- +// SVD Line: 10350 + +// SFDITEM_REG__PB_PB_AFSR1 +// PB_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000108) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PB_PB_AFSR1 >> 0) & 0xFFFFFFFF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR7 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR6 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR5 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR4 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR3 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR2 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR1 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PB_PB_AFSR2 ------------------------------- +// SVD Line: 10410 + +unsigned int PB_PB_AFSR2 __AT (0x3000010C); + + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR15 --------------------------------- +// SVD Line: 10420 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000010C) Port n Alternative Function Selection 15 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 28) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR14 --------------------------------- +// SVD Line: 10426 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000010C) Port n Alternative Function Selection 14 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 24) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR13 --------------------------------- +// SVD Line: 10432 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000010C) Port n Alternative Function Selection 13 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 20) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR12 --------------------------------- +// SVD Line: 10438 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000010C) Port n Alternative Function Selection 12 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 16) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR11 --------------------------------- +// SVD Line: 10444 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000010C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 12) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR10 --------------------------------- +// SVD Line: 10450 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000010C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 8) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR2_AFSR9 --------------------------------- +// SVD Line: 10456 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000010C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 4) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR2_AFSR8 --------------------------------- +// SVD Line: 10462 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000010C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 0) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PB_PB_AFSR2 ---------------------------------- +// SVD Line: 10410 + +// SFDITEM_REG__PB_PB_AFSR2 +// PB_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000010C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PB_PB_AFSR2 >> 0) & 0xFFFFFFFF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR15 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR14 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR13 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR12 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR11 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR10 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR9 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PB_PB_PUPD ------------------------------- +// SVD Line: 10470 + +unsigned int PB_PB_PUPD __AT (0x30000110); + + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD15 --------------------------------- +// SVD Line: 10480 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 15 +// +// ( (unsigned char)((PB_PB_PUPD >> 30) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 30 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 30 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD14 --------------------------------- +// SVD Line: 10486 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 14 +// +// ( (unsigned char)((PB_PB_PUPD >> 28) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD13 --------------------------------- +// SVD Line: 10492 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 13 +// +// ( (unsigned char)((PB_PB_PUPD >> 26) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 26 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 26 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD12 --------------------------------- +// SVD Line: 10498 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 12 +// +// ( (unsigned char)((PB_PB_PUPD >> 24) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD11 --------------------------------- +// SVD Line: 10504 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PB_PB_PUPD >> 22) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD10 --------------------------------- +// SVD Line: 10510 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PB_PB_PUPD >> 20) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD9 ---------------------------------- +// SVD Line: 10516 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PB_PB_PUPD >> 18) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD8 ---------------------------------- +// SVD Line: 10522 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PB_PB_PUPD >> 16) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD7 ---------------------------------- +// SVD Line: 10528 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PB_PB_PUPD >> 14) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD6 ---------------------------------- +// SVD Line: 10534 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PB_PB_PUPD >> 12) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD5 ---------------------------------- +// SVD Line: 10540 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PB_PB_PUPD >> 10) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD4 ---------------------------------- +// SVD Line: 10546 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PB_PB_PUPD >> 8) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD3 ---------------------------------- +// SVD Line: 10552 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PB_PB_PUPD >> 6) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD2 ---------------------------------- +// SVD Line: 10558 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PB_PB_PUPD >> 4) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD1 ---------------------------------- +// SVD Line: 10564 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PB_PB_PUPD >> 2) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD0 ---------------------------------- +// SVD Line: 10570 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PB_PB_PUPD >> 0) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PB_PB_PUPD ----------------------------------- +// SVD Line: 10470 + +// SFDITEM_REG__PB_PB_PUPD +// PB_PUPD +// +// [Bits 31..0] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PB_PB_PUPD >> 0) & 0xFFFFFFFF), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_PUPD_PUPD15 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD14 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD13 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD12 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD11 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD10 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD9 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD8 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD7 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD6 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD5 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD4 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD3 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD2 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD1 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_INDR ------------------------------- +// SVD Line: 10578 + +unsigned int PB_PB_INDR __AT (0x30000114); + + + +// ------------------------------ Field Item: PB_PB_INDR_INDR15 --------------------------------- +// SVD Line: 10588 + +// SFDITEM_FIELD__PB_PB_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000114) Port n Input Data 15 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR15 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR14 --------------------------------- +// SVD Line: 10594 + +// SFDITEM_FIELD__PB_PB_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000114) Port n Input Data 14 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR14 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR13 --------------------------------- +// SVD Line: 10600 + +// SFDITEM_FIELD__PB_PB_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000114) Port n Input Data 13 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR13 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR12 --------------------------------- +// SVD Line: 10606 + +// SFDITEM_FIELD__PB_PB_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000114) Port n Input Data 12 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR12 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR11 --------------------------------- +// SVD Line: 10612 + +// SFDITEM_FIELD__PB_PB_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000114) Port n Input Data 11 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR10 --------------------------------- +// SVD Line: 10618 + +// SFDITEM_FIELD__PB_PB_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000114) Port n Input Data 10 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR9 ---------------------------------- +// SVD Line: 10624 + +// SFDITEM_FIELD__PB_PB_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000114) Port n Input Data 9 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR8 ---------------------------------- +// SVD Line: 10630 + +// SFDITEM_FIELD__PB_PB_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000114) Port n Input Data 8 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR7 ---------------------------------- +// SVD Line: 10636 + +// SFDITEM_FIELD__PB_PB_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000114) Port n Input Data 7 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR6 ---------------------------------- +// SVD Line: 10642 + +// SFDITEM_FIELD__PB_PB_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000114) Port n Input Data 6 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR5 ---------------------------------- +// SVD Line: 10648 + +// SFDITEM_FIELD__PB_PB_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000114) Port n Input Data 5 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR4 ---------------------------------- +// SVD Line: 10654 + +// SFDITEM_FIELD__PB_PB_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000114) Port n Input Data 4 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR3 ---------------------------------- +// SVD Line: 10660 + +// SFDITEM_FIELD__PB_PB_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000114) Port n Input Data 3 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR2 ---------------------------------- +// SVD Line: 10666 + +// SFDITEM_FIELD__PB_PB_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000114) Port n Input Data 2 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR1 ---------------------------------- +// SVD Line: 10672 + +// SFDITEM_FIELD__PB_PB_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000114) Port n Input Data 1 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR0 ---------------------------------- +// SVD Line: 10678 + +// SFDITEM_FIELD__PB_PB_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000114) Port n Input Data 0 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PB_PB_INDR ----------------------------------- +// SVD Line: 10578 + +// SFDITEM_REG__PB_PB_INDR +// PB_INDR +// +// [Bits 31..0] RO (@ 0x30000114) Port n Input Data Register +// ( (unsigned int)((PB_PB_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PB_PB_INDR_INDR15 +// SFDITEM_FIELD__PB_PB_INDR_INDR14 +// SFDITEM_FIELD__PB_PB_INDR_INDR13 +// SFDITEM_FIELD__PB_PB_INDR_INDR12 +// SFDITEM_FIELD__PB_PB_INDR_INDR11 +// SFDITEM_FIELD__PB_PB_INDR_INDR10 +// SFDITEM_FIELD__PB_PB_INDR_INDR9 +// SFDITEM_FIELD__PB_PB_INDR_INDR8 +// SFDITEM_FIELD__PB_PB_INDR_INDR7 +// SFDITEM_FIELD__PB_PB_INDR_INDR6 +// SFDITEM_FIELD__PB_PB_INDR_INDR5 +// SFDITEM_FIELD__PB_PB_INDR_INDR4 +// SFDITEM_FIELD__PB_PB_INDR_INDR3 +// SFDITEM_FIELD__PB_PB_INDR_INDR2 +// SFDITEM_FIELD__PB_PB_INDR_INDR1 +// SFDITEM_FIELD__PB_PB_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PB_PB_OUTDR ------------------------------- +// SVD Line: 10686 + +unsigned int PB_PB_OUTDR __AT (0x30000118); + + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR15 -------------------------------- +// SVD Line: 10696 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000118) Port n Output Data 15 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR15 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR14 -------------------------------- +// SVD Line: 10702 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000118) Port n Output Data 14 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR14 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR13 -------------------------------- +// SVD Line: 10708 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000118) Port n Output Data 13 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR13 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR12 -------------------------------- +// SVD Line: 10714 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000118) Port n Output Data 12 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR12 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 10720 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000118) Port n Output Data 11 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 10726 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000118) Port n Output Data 10 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 10732 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000118) Port n Output Data 9 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 10738 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000118) Port n Output Data 8 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 10744 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000118) Port n Output Data 7 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 10750 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000118) Port n Output Data 6 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 10756 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000118) Port n Output Data 5 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 10762 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000118) Port n Output Data 4 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 10768 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000118) Port n Output Data 3 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 10774 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000118) Port n Output Data 2 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 10780 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000118) Port n Output Data 1 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 10786 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000118) Port n Output Data 0 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PB_PB_OUTDR ---------------------------------- +// SVD Line: 10686 + +// SFDITEM_REG__PB_PB_OUTDR +// PB_OUTDR +// +// [Bits 31..0] RW (@ 0x30000118) Port n Output Data Register +// ( (unsigned int)((PB_PB_OUTDR >> 0) & 0xFFFFFFFF), ((PB_PB_OUTDR = (PB_PB_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR15 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR14 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR13 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR12 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR11 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR10 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR9 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR8 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR7 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR6 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR5 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR4 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR3 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR2 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR1 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_BSR -------------------------------- +// SVD Line: 10794 + +unsigned int PB_PB_BSR __AT (0x3000011C); + + + +// ------------------------------- Field Item: PB_PB_BSR_BSR15 ---------------------------------- +// SVD Line: 10804 + +// SFDITEM_FIELD__PB_PB_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000011C) Port n Output Bit Set 15 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR15 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR14 ---------------------------------- +// SVD Line: 10810 + +// SFDITEM_FIELD__PB_PB_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000011C) Port n Output Bit Set 14 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR14 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR13 ---------------------------------- +// SVD Line: 10816 + +// SFDITEM_FIELD__PB_PB_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000011C) Port n Output Bit Set 13 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR13 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR12 ---------------------------------- +// SVD Line: 10822 + +// SFDITEM_FIELD__PB_PB_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000011C) Port n Output Bit Set 12 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR12 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR11 ---------------------------------- +// SVD Line: 10828 + +// SFDITEM_FIELD__PB_PB_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000011C) Port n Output Bit Set 11 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR10 ---------------------------------- +// SVD Line: 10834 + +// SFDITEM_FIELD__PB_PB_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000011C) Port n Output Bit Set 10 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR9 ----------------------------------- +// SVD Line: 10840 + +// SFDITEM_FIELD__PB_PB_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000011C) Port n Output Bit Set 9 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR8 ----------------------------------- +// SVD Line: 10846 + +// SFDITEM_FIELD__PB_PB_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000011C) Port n Output Bit Set 8 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR7 ----------------------------------- +// SVD Line: 10852 + +// SFDITEM_FIELD__PB_PB_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000011C) Port n Output Bit Set 7 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR6 ----------------------------------- +// SVD Line: 10858 + +// SFDITEM_FIELD__PB_PB_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000011C) Port n Output Bit Set 6 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR5 ----------------------------------- +// SVD Line: 10864 + +// SFDITEM_FIELD__PB_PB_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000011C) Port n Output Bit Set 5 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR4 ----------------------------------- +// SVD Line: 10870 + +// SFDITEM_FIELD__PB_PB_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000011C) Port n Output Bit Set 4 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR3 ----------------------------------- +// SVD Line: 10876 + +// SFDITEM_FIELD__PB_PB_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000011C) Port n Output Bit Set 3 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR2 ----------------------------------- +// SVD Line: 10882 + +// SFDITEM_FIELD__PB_PB_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000011C) Port n Output Bit Set 2 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR1 ----------------------------------- +// SVD Line: 10888 + +// SFDITEM_FIELD__PB_PB_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000011C) Port n Output Bit Set 1 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR0 ----------------------------------- +// SVD Line: 10894 + +// SFDITEM_FIELD__PB_PB_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000011C) Port n Output Bit Set 0 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PB_PB_BSR ----------------------------------- +// SVD Line: 10794 + +// SFDITEM_REG__PB_PB_BSR +// PB_BSR +// +// [Bits 31..0] WO (@ 0x3000011C) Port n Output Bit Set Register +// ( (unsigned int)((PB_PB_BSR >> 0) & 0xFFFFFFFF), ((PB_PB_BSR = (PB_PB_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_BSR_BSR15 +// SFDITEM_FIELD__PB_PB_BSR_BSR14 +// SFDITEM_FIELD__PB_PB_BSR_BSR13 +// SFDITEM_FIELD__PB_PB_BSR_BSR12 +// SFDITEM_FIELD__PB_PB_BSR_BSR11 +// SFDITEM_FIELD__PB_PB_BSR_BSR10 +// SFDITEM_FIELD__PB_PB_BSR_BSR9 +// SFDITEM_FIELD__PB_PB_BSR_BSR8 +// SFDITEM_FIELD__PB_PB_BSR_BSR7 +// SFDITEM_FIELD__PB_PB_BSR_BSR6 +// SFDITEM_FIELD__PB_PB_BSR_BSR5 +// SFDITEM_FIELD__PB_PB_BSR_BSR4 +// SFDITEM_FIELD__PB_PB_BSR_BSR3 +// SFDITEM_FIELD__PB_PB_BSR_BSR2 +// SFDITEM_FIELD__PB_PB_BSR_BSR1 +// SFDITEM_FIELD__PB_PB_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_BCR -------------------------------- +// SVD Line: 10902 + +unsigned int PB_PB_BCR __AT (0x30000120); + + + +// ------------------------------- Field Item: PB_PB_BCR_BCR15 ---------------------------------- +// SVD Line: 10912 + +// SFDITEM_FIELD__PB_PB_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000120) Port n Output Bit Clear 15 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR15 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR14 ---------------------------------- +// SVD Line: 10918 + +// SFDITEM_FIELD__PB_PB_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000120) Port n Output Bit Clear 14 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR14 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR13 ---------------------------------- +// SVD Line: 10924 + +// SFDITEM_FIELD__PB_PB_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000120) Port n Output Bit Clear 13 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR13 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR12 ---------------------------------- +// SVD Line: 10930 + +// SFDITEM_FIELD__PB_PB_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000120) Port n Output Bit Clear 12 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR12 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR11 ---------------------------------- +// SVD Line: 10936 + +// SFDITEM_FIELD__PB_PB_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000120) Port n Output Bit Clear 11 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR10 ---------------------------------- +// SVD Line: 10942 + +// SFDITEM_FIELD__PB_PB_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000120) Port n Output Bit Clear 10 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR9 ----------------------------------- +// SVD Line: 10948 + +// SFDITEM_FIELD__PB_PB_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000120) Port n Output Bit Clear 9 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR8 ----------------------------------- +// SVD Line: 10954 + +// SFDITEM_FIELD__PB_PB_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000120) Port n Output Bit Clear 8 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR7 ----------------------------------- +// SVD Line: 10960 + +// SFDITEM_FIELD__PB_PB_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000120) Port n Output Bit Clear 7 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR6 ----------------------------------- +// SVD Line: 10966 + +// SFDITEM_FIELD__PB_PB_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000120) Port n Output Bit Clear 6 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR5 ----------------------------------- +// SVD Line: 10972 + +// SFDITEM_FIELD__PB_PB_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000120) Port n Output Bit Clear 5 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR4 ----------------------------------- +// SVD Line: 10978 + +// SFDITEM_FIELD__PB_PB_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000120) Port n Output Bit Clear 4 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR3 ----------------------------------- +// SVD Line: 10984 + +// SFDITEM_FIELD__PB_PB_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000120) Port n Output Bit Clear 3 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR2 ----------------------------------- +// SVD Line: 10990 + +// SFDITEM_FIELD__PB_PB_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000120) Port n Output Bit Clear 2 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR1 ----------------------------------- +// SVD Line: 10996 + +// SFDITEM_FIELD__PB_PB_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000120) Port n Output Bit Clear 1 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR0 ----------------------------------- +// SVD Line: 11002 + +// SFDITEM_FIELD__PB_PB_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000120) Port n Output Bit Clear 0 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PB_PB_BCR ----------------------------------- +// SVD Line: 10902 + +// SFDITEM_REG__PB_PB_BCR +// PB_BCR +// +// [Bits 31..0] WO (@ 0x30000120) Port n Output Bit Clear Register +// ( (unsigned int)((PB_PB_BCR >> 0) & 0xFFFFFFFF), ((PB_PB_BCR = (PB_PB_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_BCR_BCR15 +// SFDITEM_FIELD__PB_PB_BCR_BCR14 +// SFDITEM_FIELD__PB_PB_BCR_BCR13 +// SFDITEM_FIELD__PB_PB_BCR_BCR12 +// SFDITEM_FIELD__PB_PB_BCR_BCR11 +// SFDITEM_FIELD__PB_PB_BCR_BCR10 +// SFDITEM_FIELD__PB_PB_BCR_BCR9 +// SFDITEM_FIELD__PB_PB_BCR_BCR8 +// SFDITEM_FIELD__PB_PB_BCR_BCR7 +// SFDITEM_FIELD__PB_PB_BCR_BCR6 +// SFDITEM_FIELD__PB_PB_BCR_BCR5 +// SFDITEM_FIELD__PB_PB_BCR_BCR4 +// SFDITEM_FIELD__PB_PB_BCR_BCR3 +// SFDITEM_FIELD__PB_PB_BCR_BCR2 +// SFDITEM_FIELD__PB_PB_BCR_BCR1 +// SFDITEM_FIELD__PB_PB_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PB_PB_OUTDMSK ------------------------------ +// SVD Line: 11010 + +unsigned int PB_PB_OUTDMSK __AT (0x30000124); + + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK15 ------------------------------ +// SVD Line: 11020 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000124) Port n Output Data Mask 15 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK15 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK14 ------------------------------ +// SVD Line: 11026 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000124) Port n Output Data Mask 14 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK14 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK13 ------------------------------ +// SVD Line: 11032 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000124) Port n Output Data Mask 13 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK13 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK12 ------------------------------ +// SVD Line: 11038 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000124) Port n Output Data Mask 12 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK12 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 11044 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000124) Port n Output Data Mask 11 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 11050 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000124) Port n Output Data Mask 10 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 11056 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000124) Port n Output Data Mask 9 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 11062 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000124) Port n Output Data Mask 8 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 11068 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000124) Port n Output Data Mask 7 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 11074 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000124) Port n Output Data Mask 6 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 11080 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000124) Port n Output Data Mask 5 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 11086 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000124) Port n Output Data Mask 4 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 11092 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000124) Port n Output Data Mask 3 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 11098 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000124) Port n Output Data Mask 2 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 11104 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000124) Port n Output Data Mask 1 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 11110 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000124) Port n Output Data Mask 0 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PB_PB_OUTDMSK --------------------------------- +// SVD Line: 11010 + +// SFDITEM_REG__PB_PB_OUTDMSK +// PB_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000124) Port n Output Data Mask Register +// ( (unsigned int)((PB_PB_OUTDMSK >> 0) & 0xFFFFFFFF), ((PB_PB_OUTDMSK = (PB_PB_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_DBCR ------------------------------- +// SVD Line: 11118 + +unsigned int PB_PB_DBCR __AT (0x30000128); + + + +// ------------------------------ Field Item: PB_PB_DBCR_DBCLK ---------------------------------- +// SVD Line: 11128 + +// SFDITEM_FIELD__PB_PB_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000128) Port n Debounce Filter Sampling Clock Selection +// +// ( (unsigned char)((PB_PB_DBCR >> 16) & 0x7), ((PB_PB_DBCR = (PB_PB_DBCR & ~(0x7UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN11 --------------------------------- +// SVD Line: 11134 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000128) Port n Debounce Enable 11 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN11 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN10 --------------------------------- +// SVD Line: 11140 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000128) Port n Debounce Enable 10 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN10 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN9 ---------------------------------- +// SVD Line: 11146 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000128) Port n Debounce Enable 9 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN9 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN8 ---------------------------------- +// SVD Line: 11152 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000128) Port n Debounce Enable 8 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN8 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN7 ---------------------------------- +// SVD Line: 11158 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000128) Port n Debounce Enable 7 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN7 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN6 ---------------------------------- +// SVD Line: 11164 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000128) Port n Debounce Enable 6 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN6 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN5 ---------------------------------- +// SVD Line: 11170 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000128) Port n Debounce Enable 5 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN5 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN4 ---------------------------------- +// SVD Line: 11176 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000128) Port n Debounce Enable 4 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN4 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN3 ---------------------------------- +// SVD Line: 11182 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000128) Port n Debounce Enable 3 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN3 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN2 ---------------------------------- +// SVD Line: 11188 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000128) Port n Debounce Enable 2 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN2 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN1 ---------------------------------- +// SVD Line: 11194 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000128) Port n Debounce Enable 1 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN1 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN0 ---------------------------------- +// SVD Line: 11200 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000128) Port n Debounce Enable 0 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN0 +// +// +// + + +// ------------------------------- Register RTree: PB_PB_DBCR ----------------------------------- +// SVD Line: 11118 + +// SFDITEM_REG__PB_PB_DBCR +// PB_DBCR +// +// [Bits 31..0] RW (@ 0x30000128) Port n Debounce Control Register +// ( (unsigned int)((PB_PB_DBCR >> 0) & 0xFFFFFFFF), ((PB_PB_DBCR = (PB_PB_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_DBCR_DBCLK +// SFDITEM_FIELD__PB_PB_DBCR_DBEN11 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN10 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN9 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN8 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN7 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN6 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN5 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN4 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN3 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN2 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN1 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN0 +// +// + + +// ----------------------------------- Peripheral View: PB -------------------------------------- +// SVD Line: 10120 + +// PB +// PB +// SFDITEM_REG__PB_MOD +// SFDITEM_REG__PB_TYP +// SFDITEM_REG__PB_AFSR1 +// SFDITEM_REG__PB_AFSR2 +// SFDITEM_REG__PB_PUPD +// SFDITEM_REG__PB_INDR +// SFDITEM_REG__PB_OUTDR +// SFDITEM_REG__PB_BSR +// SFDITEM_REG__PB_BCR +// SFDITEM_REG__PB_OUTDMSK +// SFDITEM_REG__PB_DBCR +// SFDITEM_REG__PB_PB_MOD +// SFDITEM_REG__PB_PB_TYP +// SFDITEM_REG__PB_PB_AFSR1 +// SFDITEM_REG__PB_PB_AFSR2 +// SFDITEM_REG__PB_PB_PUPD +// SFDITEM_REG__PB_PB_INDR +// SFDITEM_REG__PB_PB_OUTDR +// SFDITEM_REG__PB_PB_BSR +// SFDITEM_REG__PB_PB_BCR +// SFDITEM_REG__PB_PB_OUTDMSK +// SFDITEM_REG__PB_PB_DBCR +// +// + + +// ------------------------------ Register Item Address: PC_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PC_MOD __AT (0x30000200); + + + +// -------------------------------- Field Item: PC_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PC_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000200) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PC_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000200) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PC_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000200) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PC_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000200) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PC_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000200) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PC_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000200) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PC_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000200) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PC_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000200) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PC_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000200) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PC_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000200) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PC_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000200) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PC_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000200) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PC_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000200) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PC_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000200) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PC_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000200) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PC_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000200) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PC_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PC_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000200) Port n Mode Register +// ( (unsigned int)((PC_MOD >> 0) & 0xFFFFFFFF), ((PC_MOD = (PC_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_MOD_MODE15 +// SFDITEM_FIELD__PC_MOD_MODE14 +// SFDITEM_FIELD__PC_MOD_MODE13 +// SFDITEM_FIELD__PC_MOD_MODE12 +// SFDITEM_FIELD__PC_MOD_MODE11 +// SFDITEM_FIELD__PC_MOD_MODE10 +// SFDITEM_FIELD__PC_MOD_MODE9 +// SFDITEM_FIELD__PC_MOD_MODE8 +// SFDITEM_FIELD__PC_MOD_MODE7 +// SFDITEM_FIELD__PC_MOD_MODE6 +// SFDITEM_FIELD__PC_MOD_MODE5 +// SFDITEM_FIELD__PC_MOD_MODE4 +// SFDITEM_FIELD__PC_MOD_MODE3 +// SFDITEM_FIELD__PC_MOD_MODE2 +// SFDITEM_FIELD__PC_MOD_MODE1 +// SFDITEM_FIELD__PC_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PC_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PC_TYP __AT (0x30000204); + + + +// -------------------------------- Field Item: PC_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PC_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000204) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PC_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000204) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PC_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000204) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PC_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000204) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PC_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000204) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PC_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000204) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PC_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000204) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PC_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000204) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PC_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000204) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PC_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000204) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PC_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000204) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PC_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000204) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PC_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000204) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PC_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000204) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PC_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000204) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PC_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000204) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PC_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PC_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000204) Port n Output Type Selection Register +// ( (unsigned int)((PC_TYP >> 0) & 0xFFFFFFFF), ((PC_TYP = (PC_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_TYP_TYP15 +// SFDITEM_FIELD__PC_TYP_TYP14 +// SFDITEM_FIELD__PC_TYP_TYP13 +// SFDITEM_FIELD__PC_TYP_TYP12 +// SFDITEM_FIELD__PC_TYP_TYP11 +// SFDITEM_FIELD__PC_TYP_TYP10 +// SFDITEM_FIELD__PC_TYP_TYP9 +// SFDITEM_FIELD__PC_TYP_TYP8 +// SFDITEM_FIELD__PC_TYP_TYP7 +// SFDITEM_FIELD__PC_TYP_TYP6 +// SFDITEM_FIELD__PC_TYP_TYP5 +// SFDITEM_FIELD__PC_TYP_TYP4 +// SFDITEM_FIELD__PC_TYP_TYP3 +// SFDITEM_FIELD__PC_TYP_TYP2 +// SFDITEM_FIELD__PC_TYP_TYP1 +// SFDITEM_FIELD__PC_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PC_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PC_AFSR1 __AT (0x30000208); + + + +// ------------------------------- Field Item: PC_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PC_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000208) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PC_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000208) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PC_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000208) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PC_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000208) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PC_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000208) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PC_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000208) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PC_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000208) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PC_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000208) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PC_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PC_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000208) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PC_AFSR1 >> 0) & 0xFFFFFFFF), ((PC_AFSR1 = (PC_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_AFSR1_AFSR7 +// SFDITEM_FIELD__PC_AFSR1_AFSR6 +// SFDITEM_FIELD__PC_AFSR1_AFSR5 +// SFDITEM_FIELD__PC_AFSR1_AFSR4 +// SFDITEM_FIELD__PC_AFSR1_AFSR3 +// SFDITEM_FIELD__PC_AFSR1_AFSR2 +// SFDITEM_FIELD__PC_AFSR1_AFSR1 +// SFDITEM_FIELD__PC_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PC_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PC_AFSR2 __AT (0x3000020C); + + + +// ------------------------------- Field Item: PC_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PC_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000020C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PC_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000020C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PC_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000020C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PC_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000020C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PC_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000020C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PC_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000020C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PC_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000020C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PC_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000020C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PC_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PC_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000020C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PC_AFSR2 >> 0) & 0xFFFFFFFF), ((PC_AFSR2 = (PC_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_AFSR2_AFSR15 +// SFDITEM_FIELD__PC_AFSR2_AFSR14 +// SFDITEM_FIELD__PC_AFSR2_AFSR13 +// SFDITEM_FIELD__PC_AFSR2_AFSR12 +// SFDITEM_FIELD__PC_AFSR2_AFSR11 +// SFDITEM_FIELD__PC_AFSR2_AFSR10 +// SFDITEM_FIELD__PC_AFSR2_AFSR9 +// SFDITEM_FIELD__PC_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PC_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PC_PUPD __AT (0x30000210); + + + +// ------------------------------- Field Item: PC_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PC_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PC_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PC_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PC_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PC_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PC_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PC_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PC_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PC_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PC_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PC_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PC_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PC_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PC_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PC_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PC_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PC_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PC_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PC_PUPD >> 0) & 0xFFFFFFFF), ((PC_PUPD = (PC_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PUPD_PUPD15 +// SFDITEM_FIELD__PC_PUPD_PUPD14 +// SFDITEM_FIELD__PC_PUPD_PUPD13 +// SFDITEM_FIELD__PC_PUPD_PUPD12 +// SFDITEM_FIELD__PC_PUPD_PUPD11 +// SFDITEM_FIELD__PC_PUPD_PUPD10 +// SFDITEM_FIELD__PC_PUPD_PUPD9 +// SFDITEM_FIELD__PC_PUPD_PUPD8 +// SFDITEM_FIELD__PC_PUPD_PUPD7 +// SFDITEM_FIELD__PC_PUPD_PUPD6 +// SFDITEM_FIELD__PC_PUPD_PUPD5 +// SFDITEM_FIELD__PC_PUPD_PUPD4 +// SFDITEM_FIELD__PC_PUPD_PUPD3 +// SFDITEM_FIELD__PC_PUPD_PUPD2 +// SFDITEM_FIELD__PC_PUPD_PUPD1 +// SFDITEM_FIELD__PC_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PC_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PC_INDR __AT (0x30000214); + + + +// ------------------------------- Field Item: PC_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PC_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000214) Port n Input Data 15 +// +// ( (unsigned int) PC_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PC_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000214) Port n Input Data 14 +// +// ( (unsigned int) PC_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PC_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000214) Port n Input Data 13 +// +// ( (unsigned int) PC_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PC_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000214) Port n Input Data 12 +// +// ( (unsigned int) PC_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PC_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000214) Port n Input Data 11 +// +// ( (unsigned int) PC_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PC_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000214) Port n Input Data 10 +// +// ( (unsigned int) PC_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PC_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000214) Port n Input Data 9 +// +// ( (unsigned int) PC_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PC_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000214) Port n Input Data 8 +// +// ( (unsigned int) PC_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PC_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000214) Port n Input Data 7 +// +// ( (unsigned int) PC_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PC_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000214) Port n Input Data 6 +// +// ( (unsigned int) PC_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PC_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000214) Port n Input Data 5 +// +// ( (unsigned int) PC_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PC_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000214) Port n Input Data 4 +// +// ( (unsigned int) PC_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PC_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000214) Port n Input Data 3 +// +// ( (unsigned int) PC_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PC_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000214) Port n Input Data 2 +// +// ( (unsigned int) PC_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PC_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000214) Port n Input Data 1 +// +// ( (unsigned int) PC_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PC_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000214) Port n Input Data 0 +// +// ( (unsigned int) PC_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PC_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PC_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000214) Port n Input Data Register +// ( (unsigned int)((PC_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PC_INDR_INDR15 +// SFDITEM_FIELD__PC_INDR_INDR14 +// SFDITEM_FIELD__PC_INDR_INDR13 +// SFDITEM_FIELD__PC_INDR_INDR12 +// SFDITEM_FIELD__PC_INDR_INDR11 +// SFDITEM_FIELD__PC_INDR_INDR10 +// SFDITEM_FIELD__PC_INDR_INDR9 +// SFDITEM_FIELD__PC_INDR_INDR8 +// SFDITEM_FIELD__PC_INDR_INDR7 +// SFDITEM_FIELD__PC_INDR_INDR6 +// SFDITEM_FIELD__PC_INDR_INDR5 +// SFDITEM_FIELD__PC_INDR_INDR4 +// SFDITEM_FIELD__PC_INDR_INDR3 +// SFDITEM_FIELD__PC_INDR_INDR2 +// SFDITEM_FIELD__PC_INDR_INDR1 +// SFDITEM_FIELD__PC_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PC_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PC_OUTDR __AT (0x30000218); + + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000218) Port n Output Data 15 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000218) Port n Output Data 14 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000218) Port n Output Data 13 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000218) Port n Output Data 12 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000218) Port n Output Data 11 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000218) Port n Output Data 10 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000218) Port n Output Data 9 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000218) Port n Output Data 8 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000218) Port n Output Data 7 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000218) Port n Output Data 6 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000218) Port n Output Data 5 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000218) Port n Output Data 4 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000218) Port n Output Data 3 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000218) Port n Output Data 2 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000218) Port n Output Data 1 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000218) Port n Output Data 0 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PC_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PC_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000218) Port n Output Data Register +// ( (unsigned int)((PC_OUTDR >> 0) & 0xFFFFFFFF), ((PC_OUTDR = (PC_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_OUTDR_OUTDR15 +// SFDITEM_FIELD__PC_OUTDR_OUTDR14 +// SFDITEM_FIELD__PC_OUTDR_OUTDR13 +// SFDITEM_FIELD__PC_OUTDR_OUTDR12 +// SFDITEM_FIELD__PC_OUTDR_OUTDR11 +// SFDITEM_FIELD__PC_OUTDR_OUTDR10 +// SFDITEM_FIELD__PC_OUTDR_OUTDR9 +// SFDITEM_FIELD__PC_OUTDR_OUTDR8 +// SFDITEM_FIELD__PC_OUTDR_OUTDR7 +// SFDITEM_FIELD__PC_OUTDR_OUTDR6 +// SFDITEM_FIELD__PC_OUTDR_OUTDR5 +// SFDITEM_FIELD__PC_OUTDR_OUTDR4 +// SFDITEM_FIELD__PC_OUTDR_OUTDR3 +// SFDITEM_FIELD__PC_OUTDR_OUTDR2 +// SFDITEM_FIELD__PC_OUTDR_OUTDR1 +// SFDITEM_FIELD__PC_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PC_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PC_BSR __AT (0x3000021C); + + + +// -------------------------------- Field Item: PC_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PC_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000021C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PC_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000021C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PC_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000021C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PC_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000021C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PC_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000021C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PC_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000021C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PC_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000021C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PC_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000021C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PC_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000021C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PC_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000021C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PC_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000021C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PC_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000021C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PC_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000021C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PC_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000021C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PC_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000021C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PC_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000021C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PC_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PC_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000021C) Port n Output Bit Set Register +// ( (unsigned int)((PC_BSR >> 0) & 0xFFFFFFFF), ((PC_BSR = (PC_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_BSR_BSR15 +// SFDITEM_FIELD__PC_BSR_BSR14 +// SFDITEM_FIELD__PC_BSR_BSR13 +// SFDITEM_FIELD__PC_BSR_BSR12 +// SFDITEM_FIELD__PC_BSR_BSR11 +// SFDITEM_FIELD__PC_BSR_BSR10 +// SFDITEM_FIELD__PC_BSR_BSR9 +// SFDITEM_FIELD__PC_BSR_BSR8 +// SFDITEM_FIELD__PC_BSR_BSR7 +// SFDITEM_FIELD__PC_BSR_BSR6 +// SFDITEM_FIELD__PC_BSR_BSR5 +// SFDITEM_FIELD__PC_BSR_BSR4 +// SFDITEM_FIELD__PC_BSR_BSR3 +// SFDITEM_FIELD__PC_BSR_BSR2 +// SFDITEM_FIELD__PC_BSR_BSR1 +// SFDITEM_FIELD__PC_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PC_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PC_BCR __AT (0x30000220); + + + +// -------------------------------- Field Item: PC_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PC_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000220) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PC_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000220) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PC_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000220) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PC_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000220) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PC_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000220) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PC_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000220) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PC_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000220) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PC_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000220) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PC_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000220) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PC_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000220) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PC_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000220) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PC_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000220) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PC_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000220) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PC_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000220) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PC_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000220) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PC_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000220) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PC_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PC_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000220) Port n Output Bit Clear Register +// ( (unsigned int)((PC_BCR >> 0) & 0xFFFFFFFF), ((PC_BCR = (PC_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_BCR_BCR15 +// SFDITEM_FIELD__PC_BCR_BCR14 +// SFDITEM_FIELD__PC_BCR_BCR13 +// SFDITEM_FIELD__PC_BCR_BCR12 +// SFDITEM_FIELD__PC_BCR_BCR11 +// SFDITEM_FIELD__PC_BCR_BCR10 +// SFDITEM_FIELD__PC_BCR_BCR9 +// SFDITEM_FIELD__PC_BCR_BCR8 +// SFDITEM_FIELD__PC_BCR_BCR7 +// SFDITEM_FIELD__PC_BCR_BCR6 +// SFDITEM_FIELD__PC_BCR_BCR5 +// SFDITEM_FIELD__PC_BCR_BCR4 +// SFDITEM_FIELD__PC_BCR_BCR3 +// SFDITEM_FIELD__PC_BCR_BCR2 +// SFDITEM_FIELD__PC_BCR_BCR1 +// SFDITEM_FIELD__PC_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PC_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PC_OUTDMSK __AT (0x30000224); + + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000224) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000224) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000224) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000224) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000224) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000224) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000224) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000224) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000224) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000224) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000224) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000224) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000224) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000224) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000224) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000224) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PC_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PC_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000224) Port n Output Data Mask Register +// ( (unsigned int)((PC_OUTDMSK >> 0) & 0xFFFFFFFF), ((PC_OUTDMSK = (PC_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PC_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PC_DBCR __AT (0x30000228); + + + +// -------------------------------- Field Item: PC_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PC_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000228) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PC_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PC_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PC_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000228) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PC_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PC_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000228) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PC_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000228) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PC_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000228) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PC_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000228) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PC_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000228) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PC_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000228) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PC_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000228) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PC_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000228) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PC_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000228) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PC_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000228) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PC_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000228) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PC_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PC_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000228) Port n Debounce Control Register +// ( (unsigned int)((PC_DBCR >> 0) & 0xFFFFFFFF), ((PC_DBCR = (PC_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_DBCR_DBCLK +// SFDITEM_FIELD__PC_DBCR_DBEN11 +// SFDITEM_FIELD__PC_DBCR_DBEN10 +// SFDITEM_FIELD__PC_DBCR_DBEN9 +// SFDITEM_FIELD__PC_DBCR_DBEN8 +// SFDITEM_FIELD__PC_DBCR_DBEN7 +// SFDITEM_FIELD__PC_DBCR_DBEN6 +// SFDITEM_FIELD__PC_DBCR_DBEN5 +// SFDITEM_FIELD__PC_DBCR_DBEN4 +// SFDITEM_FIELD__PC_DBCR_DBEN3 +// SFDITEM_FIELD__PC_DBCR_DBEN2 +// SFDITEM_FIELD__PC_DBCR_DBEN1 +// SFDITEM_FIELD__PC_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_MOD -------------------------------- +// SVD Line: 11224 + +unsigned int PC_PC_MOD __AT (0x30000200); + + + +// ------------------------------ Field Item: PC_PC_MOD_MODE12 ---------------------------------- +// SVD Line: 11234 + +// SFDITEM_FIELD__PC_PC_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000200) Port n Mode Selection 12 +// +// ( (unsigned char)((PC_PC_MOD >> 24) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_MOD_MODE11 ---------------------------------- +// SVD Line: 11240 + +// SFDITEM_FIELD__PC_PC_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000200) Port n Mode Selection 11 +// +// ( (unsigned char)((PC_PC_MOD >> 22) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_MOD_MODE10 ---------------------------------- +// SVD Line: 11246 + +// SFDITEM_FIELD__PC_PC_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000200) Port n Mode Selection 10 +// +// ( (unsigned char)((PC_PC_MOD >> 20) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE9 ---------------------------------- +// SVD Line: 11252 + +// SFDITEM_FIELD__PC_PC_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000200) Port n Mode Selection 9 +// +// ( (unsigned char)((PC_PC_MOD >> 18) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE8 ---------------------------------- +// SVD Line: 11258 + +// SFDITEM_FIELD__PC_PC_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000200) Port n Mode Selection 8 +// +// ( (unsigned char)((PC_PC_MOD >> 16) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE7 ---------------------------------- +// SVD Line: 11264 + +// SFDITEM_FIELD__PC_PC_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000200) Port n Mode Selection 7 +// +// ( (unsigned char)((PC_PC_MOD >> 14) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE6 ---------------------------------- +// SVD Line: 11270 + +// SFDITEM_FIELD__PC_PC_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000200) Port n Mode Selection 6 +// +// ( (unsigned char)((PC_PC_MOD >> 12) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE5 ---------------------------------- +// SVD Line: 11276 + +// SFDITEM_FIELD__PC_PC_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000200) Port n Mode Selection 5 +// +// ( (unsigned char)((PC_PC_MOD >> 10) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE4 ---------------------------------- +// SVD Line: 11282 + +// SFDITEM_FIELD__PC_PC_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000200) Port n Mode Selection 4 +// +// ( (unsigned char)((PC_PC_MOD >> 8) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE3 ---------------------------------- +// SVD Line: 11288 + +// SFDITEM_FIELD__PC_PC_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000200) Port n Mode Selection 3 +// +// ( (unsigned char)((PC_PC_MOD >> 6) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE2 ---------------------------------- +// SVD Line: 11294 + +// SFDITEM_FIELD__PC_PC_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000200) Port n Mode Selection 2 +// +// ( (unsigned char)((PC_PC_MOD >> 4) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE1 ---------------------------------- +// SVD Line: 11300 + +// SFDITEM_FIELD__PC_PC_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000200) Port n Mode Selection 1 +// +// ( (unsigned char)((PC_PC_MOD >> 2) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE0 ---------------------------------- +// SVD Line: 11306 + +// SFDITEM_FIELD__PC_PC_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000200) Port n Mode Selection 0 +// +// ( (unsigned char)((PC_PC_MOD >> 0) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PC_PC_MOD ----------------------------------- +// SVD Line: 11224 + +// SFDITEM_REG__PC_PC_MOD +// PC_MOD +// +// [Bits 31..0] RW (@ 0x30000200) Port n Mode Register +// ( (unsigned int)((PC_PC_MOD >> 0) & 0xFFFFFFFF), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3FFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_MOD_MODE12 +// SFDITEM_FIELD__PC_PC_MOD_MODE11 +// SFDITEM_FIELD__PC_PC_MOD_MODE10 +// SFDITEM_FIELD__PC_PC_MOD_MODE9 +// SFDITEM_FIELD__PC_PC_MOD_MODE8 +// SFDITEM_FIELD__PC_PC_MOD_MODE7 +// SFDITEM_FIELD__PC_PC_MOD_MODE6 +// SFDITEM_FIELD__PC_PC_MOD_MODE5 +// SFDITEM_FIELD__PC_PC_MOD_MODE4 +// SFDITEM_FIELD__PC_PC_MOD_MODE3 +// SFDITEM_FIELD__PC_PC_MOD_MODE2 +// SFDITEM_FIELD__PC_PC_MOD_MODE1 +// SFDITEM_FIELD__PC_PC_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_TYP -------------------------------- +// SVD Line: 11314 + +unsigned int PC_PC_TYP __AT (0x30000204); + + + +// ------------------------------- Field Item: PC_PC_TYP_TYP12 ---------------------------------- +// SVD Line: 11324 + +// SFDITEM_FIELD__PC_PC_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000204) Port n Output Type Selection 12 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP12 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP11 ---------------------------------- +// SVD Line: 11330 + +// SFDITEM_FIELD__PC_PC_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000204) Port n Output Type Selection 11 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP10 ---------------------------------- +// SVD Line: 11336 + +// SFDITEM_FIELD__PC_PC_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000204) Port n Output Type Selection 10 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP9 ----------------------------------- +// SVD Line: 11342 + +// SFDITEM_FIELD__PC_PC_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000204) Port n Output Type Selection 9 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP8 ----------------------------------- +// SVD Line: 11348 + +// SFDITEM_FIELD__PC_PC_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000204) Port n Output Type Selection 8 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP7 ----------------------------------- +// SVD Line: 11354 + +// SFDITEM_FIELD__PC_PC_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000204) Port n Output Type Selection 7 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP6 ----------------------------------- +// SVD Line: 11360 + +// SFDITEM_FIELD__PC_PC_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000204) Port n Output Type Selection 6 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP5 ----------------------------------- +// SVD Line: 11366 + +// SFDITEM_FIELD__PC_PC_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000204) Port n Output Type Selection 5 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP4 ----------------------------------- +// SVD Line: 11372 + +// SFDITEM_FIELD__PC_PC_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000204) Port n Output Type Selection 4 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP3 ----------------------------------- +// SVD Line: 11378 + +// SFDITEM_FIELD__PC_PC_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000204) Port n Output Type Selection 3 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP2 ----------------------------------- +// SVD Line: 11384 + +// SFDITEM_FIELD__PC_PC_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000204) Port n Output Type Selection 2 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP1 ----------------------------------- +// SVD Line: 11390 + +// SFDITEM_FIELD__PC_PC_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000204) Port n Output Type Selection 1 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP0 ----------------------------------- +// SVD Line: 11396 + +// SFDITEM_FIELD__PC_PC_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000204) Port n Output Type Selection 0 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PC_PC_TYP ----------------------------------- +// SVD Line: 11314 + +// SFDITEM_REG__PC_PC_TYP +// PC_TYP +// +// [Bits 31..0] RW (@ 0x30000204) Port n Output Type Selection Register +// ( (unsigned int)((PC_PC_TYP >> 0) & 0xFFFFFFFF), ((PC_PC_TYP = (PC_PC_TYP & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_TYP_TYP12 +// SFDITEM_FIELD__PC_PC_TYP_TYP11 +// SFDITEM_FIELD__PC_PC_TYP_TYP10 +// SFDITEM_FIELD__PC_PC_TYP_TYP9 +// SFDITEM_FIELD__PC_PC_TYP_TYP8 +// SFDITEM_FIELD__PC_PC_TYP_TYP7 +// SFDITEM_FIELD__PC_PC_TYP_TYP6 +// SFDITEM_FIELD__PC_PC_TYP_TYP5 +// SFDITEM_FIELD__PC_PC_TYP_TYP4 +// SFDITEM_FIELD__PC_PC_TYP_TYP3 +// SFDITEM_FIELD__PC_PC_TYP_TYP2 +// SFDITEM_FIELD__PC_PC_TYP_TYP1 +// SFDITEM_FIELD__PC_PC_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PC_PC_AFSR1 ------------------------------- +// SVD Line: 11404 + +unsigned int PC_PC_AFSR1 __AT (0x30000208); + + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR7 --------------------------------- +// SVD Line: 11414 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000208) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 28) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR6 --------------------------------- +// SVD Line: 11420 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000208) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 24) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR5 --------------------------------- +// SVD Line: 11426 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000208) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 20) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR4 --------------------------------- +// SVD Line: 11432 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000208) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 16) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR3 --------------------------------- +// SVD Line: 11438 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000208) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 12) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR2 --------------------------------- +// SVD Line: 11444 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000208) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 8) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR1 --------------------------------- +// SVD Line: 11450 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000208) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 4) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR0 --------------------------------- +// SVD Line: 11456 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000208) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 0) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PC_PC_AFSR1 ---------------------------------- +// SVD Line: 11404 + +// SFDITEM_REG__PC_PC_AFSR1 +// PC_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000208) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PC_PC_AFSR1 >> 0) & 0xFFFFFFFF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR7 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR6 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR5 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR4 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR3 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR2 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR1 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PC_PC_AFSR2 ------------------------------- +// SVD Line: 11464 + +unsigned int PC_PC_AFSR2 __AT (0x3000020C); + + + +// ----------------------------- Field Item: PC_PC_AFSR2_AFSR12 --------------------------------- +// SVD Line: 11474 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000020C) Port n Alternative Function Selection 12 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 16) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PC_PC_AFSR2_AFSR11 --------------------------------- +// SVD Line: 11480 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000020C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 12) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PC_PC_AFSR2_AFSR10 --------------------------------- +// SVD Line: 11486 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000020C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 8) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR2_AFSR9 --------------------------------- +// SVD Line: 11492 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000020C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 4) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR2_AFSR8 --------------------------------- +// SVD Line: 11498 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000020C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 0) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PC_PC_AFSR2 ---------------------------------- +// SVD Line: 11464 + +// SFDITEM_REG__PC_PC_AFSR2 +// PC_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000020C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PC_PC_AFSR2 >> 0) & 0xFFFFFFFF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR12 +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR11 +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR10 +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR9 +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PC_PC_PUPD ------------------------------- +// SVD Line: 11506 + +unsigned int PC_PC_PUPD __AT (0x30000210); + + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD12 --------------------------------- +// SVD Line: 11516 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 12 +// +// ( (unsigned char)((PC_PC_PUPD >> 24) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD11 --------------------------------- +// SVD Line: 11522 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PC_PC_PUPD >> 22) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD10 --------------------------------- +// SVD Line: 11528 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PC_PC_PUPD >> 20) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD9 ---------------------------------- +// SVD Line: 11534 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PC_PC_PUPD >> 18) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD8 ---------------------------------- +// SVD Line: 11540 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PC_PC_PUPD >> 16) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD7 ---------------------------------- +// SVD Line: 11546 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PC_PC_PUPD >> 14) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD6 ---------------------------------- +// SVD Line: 11552 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PC_PC_PUPD >> 12) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD5 ---------------------------------- +// SVD Line: 11558 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PC_PC_PUPD >> 10) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD4 ---------------------------------- +// SVD Line: 11564 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PC_PC_PUPD >> 8) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD3 ---------------------------------- +// SVD Line: 11570 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PC_PC_PUPD >> 6) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD2 ---------------------------------- +// SVD Line: 11576 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PC_PC_PUPD >> 4) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD1 ---------------------------------- +// SVD Line: 11582 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PC_PC_PUPD >> 2) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD0 ---------------------------------- +// SVD Line: 11588 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PC_PC_PUPD >> 0) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PC_PC_PUPD ----------------------------------- +// SVD Line: 11506 + +// SFDITEM_REG__PC_PC_PUPD +// PC_PUPD +// +// [Bits 31..0] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PC_PC_PUPD >> 0) & 0xFFFFFFFF), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3FFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_PUPD_PUPD12 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD11 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD10 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD9 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD8 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD7 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD6 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD5 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD4 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD3 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD2 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD1 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_INDR ------------------------------- +// SVD Line: 11596 + +unsigned int PC_PC_INDR __AT (0x30000214); + + + +// ------------------------------ Field Item: PC_PC_INDR_INDR12 --------------------------------- +// SVD Line: 11606 + +// SFDITEM_FIELD__PC_PC_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000214) Port n Input Data 12 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR12 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR11 --------------------------------- +// SVD Line: 11612 + +// SFDITEM_FIELD__PC_PC_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000214) Port n Input Data 11 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR10 --------------------------------- +// SVD Line: 11618 + +// SFDITEM_FIELD__PC_PC_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000214) Port n Input Data 10 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR9 ---------------------------------- +// SVD Line: 11624 + +// SFDITEM_FIELD__PC_PC_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000214) Port n Input Data 9 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR8 ---------------------------------- +// SVD Line: 11630 + +// SFDITEM_FIELD__PC_PC_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000214) Port n Input Data 8 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR7 ---------------------------------- +// SVD Line: 11636 + +// SFDITEM_FIELD__PC_PC_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000214) Port n Input Data 7 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR6 ---------------------------------- +// SVD Line: 11642 + +// SFDITEM_FIELD__PC_PC_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000214) Port n Input Data 6 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR5 ---------------------------------- +// SVD Line: 11648 + +// SFDITEM_FIELD__PC_PC_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000214) Port n Input Data 5 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR4 ---------------------------------- +// SVD Line: 11654 + +// SFDITEM_FIELD__PC_PC_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000214) Port n Input Data 4 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR3 ---------------------------------- +// SVD Line: 11660 + +// SFDITEM_FIELD__PC_PC_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000214) Port n Input Data 3 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR2 ---------------------------------- +// SVD Line: 11666 + +// SFDITEM_FIELD__PC_PC_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000214) Port n Input Data 2 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR1 ---------------------------------- +// SVD Line: 11672 + +// SFDITEM_FIELD__PC_PC_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000214) Port n Input Data 1 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR0 ---------------------------------- +// SVD Line: 11678 + +// SFDITEM_FIELD__PC_PC_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000214) Port n Input Data 0 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PC_PC_INDR ----------------------------------- +// SVD Line: 11596 + +// SFDITEM_REG__PC_PC_INDR +// PC_INDR +// +// [Bits 31..0] RO (@ 0x30000214) Port n Input Data Register +// ( (unsigned int)((PC_PC_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PC_PC_INDR_INDR12 +// SFDITEM_FIELD__PC_PC_INDR_INDR11 +// SFDITEM_FIELD__PC_PC_INDR_INDR10 +// SFDITEM_FIELD__PC_PC_INDR_INDR9 +// SFDITEM_FIELD__PC_PC_INDR_INDR8 +// SFDITEM_FIELD__PC_PC_INDR_INDR7 +// SFDITEM_FIELD__PC_PC_INDR_INDR6 +// SFDITEM_FIELD__PC_PC_INDR_INDR5 +// SFDITEM_FIELD__PC_PC_INDR_INDR4 +// SFDITEM_FIELD__PC_PC_INDR_INDR3 +// SFDITEM_FIELD__PC_PC_INDR_INDR2 +// SFDITEM_FIELD__PC_PC_INDR_INDR1 +// SFDITEM_FIELD__PC_PC_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PC_PC_OUTDR ------------------------------- +// SVD Line: 11686 + +unsigned int PC_PC_OUTDR __AT (0x30000218); + + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR12 -------------------------------- +// SVD Line: 11696 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000218) Port n Output Data 12 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR12 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 11702 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000218) Port n Output Data 11 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 11708 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000218) Port n Output Data 10 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 11714 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000218) Port n Output Data 9 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 11720 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000218) Port n Output Data 8 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 11726 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000218) Port n Output Data 7 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 11732 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000218) Port n Output Data 6 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 11738 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000218) Port n Output Data 5 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 11744 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000218) Port n Output Data 4 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 11750 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000218) Port n Output Data 3 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 11756 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000218) Port n Output Data 2 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 11762 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000218) Port n Output Data 1 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 11768 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000218) Port n Output Data 0 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PC_PC_OUTDR ---------------------------------- +// SVD Line: 11686 + +// SFDITEM_REG__PC_PC_OUTDR +// PC_OUTDR +// +// [Bits 31..0] RW (@ 0x30000218) Port n Output Data Register +// ( (unsigned int)((PC_PC_OUTDR >> 0) & 0xFFFFFFFF), ((PC_PC_OUTDR = (PC_PC_OUTDR & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR12 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR11 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR10 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR9 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR8 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR7 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR6 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR5 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR4 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR3 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR2 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR1 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_BSR -------------------------------- +// SVD Line: 11776 + +unsigned int PC_PC_BSR __AT (0x3000021C); + + + +// ------------------------------- Field Item: PC_PC_BSR_BSR12 ---------------------------------- +// SVD Line: 11786 + +// SFDITEM_FIELD__PC_PC_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000021C) Port n Output Bit Set 12 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR12 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR11 ---------------------------------- +// SVD Line: 11792 + +// SFDITEM_FIELD__PC_PC_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000021C) Port n Output Bit Set 11 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR10 ---------------------------------- +// SVD Line: 11798 + +// SFDITEM_FIELD__PC_PC_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000021C) Port n Output Bit Set 10 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR9 ----------------------------------- +// SVD Line: 11804 + +// SFDITEM_FIELD__PC_PC_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000021C) Port n Output Bit Set 9 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR8 ----------------------------------- +// SVD Line: 11810 + +// SFDITEM_FIELD__PC_PC_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000021C) Port n Output Bit Set 8 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR7 ----------------------------------- +// SVD Line: 11816 + +// SFDITEM_FIELD__PC_PC_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000021C) Port n Output Bit Set 7 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR6 ----------------------------------- +// SVD Line: 11822 + +// SFDITEM_FIELD__PC_PC_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000021C) Port n Output Bit Set 6 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR5 ----------------------------------- +// SVD Line: 11828 + +// SFDITEM_FIELD__PC_PC_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000021C) Port n Output Bit Set 5 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR4 ----------------------------------- +// SVD Line: 11834 + +// SFDITEM_FIELD__PC_PC_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000021C) Port n Output Bit Set 4 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR3 ----------------------------------- +// SVD Line: 11840 + +// SFDITEM_FIELD__PC_PC_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000021C) Port n Output Bit Set 3 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR2 ----------------------------------- +// SVD Line: 11846 + +// SFDITEM_FIELD__PC_PC_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000021C) Port n Output Bit Set 2 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR1 ----------------------------------- +// SVD Line: 11852 + +// SFDITEM_FIELD__PC_PC_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000021C) Port n Output Bit Set 1 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR0 ----------------------------------- +// SVD Line: 11858 + +// SFDITEM_FIELD__PC_PC_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000021C) Port n Output Bit Set 0 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PC_PC_BSR ----------------------------------- +// SVD Line: 11776 + +// SFDITEM_REG__PC_PC_BSR +// PC_BSR +// +// [Bits 31..0] WO (@ 0x3000021C) Port n Output Bit Set Register +// ( (unsigned int)((PC_PC_BSR >> 0) & 0xFFFFFFFF), ((PC_PC_BSR = (PC_PC_BSR & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_BSR_BSR12 +// SFDITEM_FIELD__PC_PC_BSR_BSR11 +// SFDITEM_FIELD__PC_PC_BSR_BSR10 +// SFDITEM_FIELD__PC_PC_BSR_BSR9 +// SFDITEM_FIELD__PC_PC_BSR_BSR8 +// SFDITEM_FIELD__PC_PC_BSR_BSR7 +// SFDITEM_FIELD__PC_PC_BSR_BSR6 +// SFDITEM_FIELD__PC_PC_BSR_BSR5 +// SFDITEM_FIELD__PC_PC_BSR_BSR4 +// SFDITEM_FIELD__PC_PC_BSR_BSR3 +// SFDITEM_FIELD__PC_PC_BSR_BSR2 +// SFDITEM_FIELD__PC_PC_BSR_BSR1 +// SFDITEM_FIELD__PC_PC_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_BCR -------------------------------- +// SVD Line: 11866 + +unsigned int PC_PC_BCR __AT (0x30000220); + + + +// ------------------------------- Field Item: PC_PC_BCR_BCR12 ---------------------------------- +// SVD Line: 11876 + +// SFDITEM_FIELD__PC_PC_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000220) Port n Output Bit Clear 12 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR12 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR11 ---------------------------------- +// SVD Line: 11882 + +// SFDITEM_FIELD__PC_PC_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000220) Port n Output Bit Clear 11 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR10 ---------------------------------- +// SVD Line: 11888 + +// SFDITEM_FIELD__PC_PC_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000220) Port n Output Bit Clear 10 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR9 ----------------------------------- +// SVD Line: 11894 + +// SFDITEM_FIELD__PC_PC_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000220) Port n Output Bit Clear 9 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR8 ----------------------------------- +// SVD Line: 11900 + +// SFDITEM_FIELD__PC_PC_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000220) Port n Output Bit Clear 8 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR7 ----------------------------------- +// SVD Line: 11906 + +// SFDITEM_FIELD__PC_PC_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000220) Port n Output Bit Clear 7 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR6 ----------------------------------- +// SVD Line: 11912 + +// SFDITEM_FIELD__PC_PC_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000220) Port n Output Bit Clear 6 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR5 ----------------------------------- +// SVD Line: 11918 + +// SFDITEM_FIELD__PC_PC_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000220) Port n Output Bit Clear 5 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR4 ----------------------------------- +// SVD Line: 11924 + +// SFDITEM_FIELD__PC_PC_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000220) Port n Output Bit Clear 4 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR3 ----------------------------------- +// SVD Line: 11930 + +// SFDITEM_FIELD__PC_PC_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000220) Port n Output Bit Clear 3 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR2 ----------------------------------- +// SVD Line: 11936 + +// SFDITEM_FIELD__PC_PC_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000220) Port n Output Bit Clear 2 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR1 ----------------------------------- +// SVD Line: 11942 + +// SFDITEM_FIELD__PC_PC_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000220) Port n Output Bit Clear 1 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR0 ----------------------------------- +// SVD Line: 11948 + +// SFDITEM_FIELD__PC_PC_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000220) Port n Output Bit Clear 0 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PC_PC_BCR ----------------------------------- +// SVD Line: 11866 + +// SFDITEM_REG__PC_PC_BCR +// PC_BCR +// +// [Bits 31..0] WO (@ 0x30000220) Port n Output Bit Clear Register +// ( (unsigned int)((PC_PC_BCR >> 0) & 0xFFFFFFFF), ((PC_PC_BCR = (PC_PC_BCR & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_BCR_BCR12 +// SFDITEM_FIELD__PC_PC_BCR_BCR11 +// SFDITEM_FIELD__PC_PC_BCR_BCR10 +// SFDITEM_FIELD__PC_PC_BCR_BCR9 +// SFDITEM_FIELD__PC_PC_BCR_BCR8 +// SFDITEM_FIELD__PC_PC_BCR_BCR7 +// SFDITEM_FIELD__PC_PC_BCR_BCR6 +// SFDITEM_FIELD__PC_PC_BCR_BCR5 +// SFDITEM_FIELD__PC_PC_BCR_BCR4 +// SFDITEM_FIELD__PC_PC_BCR_BCR3 +// SFDITEM_FIELD__PC_PC_BCR_BCR2 +// SFDITEM_FIELD__PC_PC_BCR_BCR1 +// SFDITEM_FIELD__PC_PC_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PC_PC_OUTDMSK ------------------------------ +// SVD Line: 11956 + +unsigned int PC_PC_OUTDMSK __AT (0x30000224); + + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK12 ------------------------------ +// SVD Line: 11966 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000224) Port n Output Data Mask 12 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK12 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 11972 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000224) Port n Output Data Mask 11 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 11978 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000224) Port n Output Data Mask 10 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 11984 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000224) Port n Output Data Mask 9 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 11990 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000224) Port n Output Data Mask 8 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 11996 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000224) Port n Output Data Mask 7 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 12002 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000224) Port n Output Data Mask 6 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 12008 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000224) Port n Output Data Mask 5 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 12014 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000224) Port n Output Data Mask 4 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 12020 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000224) Port n Output Data Mask 3 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 12026 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000224) Port n Output Data Mask 2 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 12032 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000224) Port n Output Data Mask 1 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 12038 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000224) Port n Output Data Mask 0 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PC_PC_OUTDMSK --------------------------------- +// SVD Line: 11956 + +// SFDITEM_REG__PC_PC_OUTDMSK +// PC_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000224) Port n Output Data Mask Register +// ( (unsigned int)((PC_PC_OUTDMSK >> 0) & 0xFFFFFFFF), ((PC_PC_OUTDMSK = (PC_PC_OUTDMSK & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_DBCR ------------------------------- +// SVD Line: 12046 + +unsigned int PC_PC_DBCR __AT (0x30000228); + + + +// ------------------------------ Field Item: PC_PC_DBCR_DBCLK ---------------------------------- +// SVD Line: 12056 + +// SFDITEM_FIELD__PC_PC_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000228) Port n Debounce Filter Sampling Clock Selection +// +// ( (unsigned char)((PC_PC_DBCR >> 16) & 0x7), ((PC_PC_DBCR = (PC_PC_DBCR & ~(0x7UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_DBCR_DBEN3 ---------------------------------- +// SVD Line: 12062 + +// SFDITEM_FIELD__PC_PC_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000228) Port n Debounce Enable 3 +// +// ( (unsigned int) PC_PC_DBCR ) +// DBEN3 +// +// +// + + +// ------------------------------ Field Item: PC_PC_DBCR_DBEN2 ---------------------------------- +// SVD Line: 12068 + +// SFDITEM_FIELD__PC_PC_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000228) Port n Debounce Enable 2 +// +// ( (unsigned int) PC_PC_DBCR ) +// DBEN2 +// +// +// + + +// ------------------------------ Field Item: PC_PC_DBCR_DBEN1 ---------------------------------- +// SVD Line: 12074 + +// SFDITEM_FIELD__PC_PC_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000228) Port n Debounce Enable 1 +// +// ( (unsigned int) PC_PC_DBCR ) +// DBEN1 +// +// +// + + +// ------------------------------ Field Item: PC_PC_DBCR_DBEN0 ---------------------------------- +// SVD Line: 12080 + +// SFDITEM_FIELD__PC_PC_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000228) Port n Debounce Enable 0 +// +// ( (unsigned int) PC_PC_DBCR ) +// DBEN0 +// +// +// + + +// ------------------------------- Register RTree: PC_PC_DBCR ----------------------------------- +// SVD Line: 12046 + +// SFDITEM_REG__PC_PC_DBCR +// PC_DBCR +// +// [Bits 31..0] RW (@ 0x30000228) Port n Debounce Control Register +// ( (unsigned int)((PC_PC_DBCR >> 0) & 0xFFFFFFFF), ((PC_PC_DBCR = (PC_PC_DBCR & ~(0x7000FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7000F) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_DBCR_DBCLK +// SFDITEM_FIELD__PC_PC_DBCR_DBEN3 +// SFDITEM_FIELD__PC_PC_DBCR_DBEN2 +// SFDITEM_FIELD__PC_PC_DBCR_DBEN1 +// SFDITEM_FIELD__PC_PC_DBCR_DBEN0 +// +// + + +// ----------------------------------- Peripheral View: PC -------------------------------------- +// SVD Line: 11210 + +// PC +// PC +// SFDITEM_REG__PC_MOD +// SFDITEM_REG__PC_TYP +// SFDITEM_REG__PC_AFSR1 +// SFDITEM_REG__PC_AFSR2 +// SFDITEM_REG__PC_PUPD +// SFDITEM_REG__PC_INDR +// SFDITEM_REG__PC_OUTDR +// SFDITEM_REG__PC_BSR +// SFDITEM_REG__PC_BCR +// SFDITEM_REG__PC_OUTDMSK +// SFDITEM_REG__PC_DBCR +// SFDITEM_REG__PC_PC_MOD +// SFDITEM_REG__PC_PC_TYP +// SFDITEM_REG__PC_PC_AFSR1 +// SFDITEM_REG__PC_PC_AFSR2 +// SFDITEM_REG__PC_PC_PUPD +// SFDITEM_REG__PC_PC_INDR +// SFDITEM_REG__PC_PC_OUTDR +// SFDITEM_REG__PC_PC_BSR +// SFDITEM_REG__PC_PC_BCR +// SFDITEM_REG__PC_PC_OUTDMSK +// SFDITEM_REG__PC_PC_DBCR +// +// + + +// ------------------------------ Register Item Address: PD_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PD_MOD __AT (0x30000300); + + + +// -------------------------------- Field Item: PD_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PD_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000300) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PD_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000300) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PD_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000300) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PD_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000300) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PD_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000300) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PD_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000300) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PD_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000300) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PD_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000300) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PD_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000300) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PD_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000300) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PD_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000300) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PD_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000300) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PD_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000300) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PD_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000300) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PD_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000300) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PD_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000300) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PD_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PD_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000300) Port n Mode Register +// ( (unsigned int)((PD_MOD >> 0) & 0xFFFFFFFF), ((PD_MOD = (PD_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_MOD_MODE15 +// SFDITEM_FIELD__PD_MOD_MODE14 +// SFDITEM_FIELD__PD_MOD_MODE13 +// SFDITEM_FIELD__PD_MOD_MODE12 +// SFDITEM_FIELD__PD_MOD_MODE11 +// SFDITEM_FIELD__PD_MOD_MODE10 +// SFDITEM_FIELD__PD_MOD_MODE9 +// SFDITEM_FIELD__PD_MOD_MODE8 +// SFDITEM_FIELD__PD_MOD_MODE7 +// SFDITEM_FIELD__PD_MOD_MODE6 +// SFDITEM_FIELD__PD_MOD_MODE5 +// SFDITEM_FIELD__PD_MOD_MODE4 +// SFDITEM_FIELD__PD_MOD_MODE3 +// SFDITEM_FIELD__PD_MOD_MODE2 +// SFDITEM_FIELD__PD_MOD_MODE1 +// SFDITEM_FIELD__PD_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PD_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PD_TYP __AT (0x30000304); + + + +// -------------------------------- Field Item: PD_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PD_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000304) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PD_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000304) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PD_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000304) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PD_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000304) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PD_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000304) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PD_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000304) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PD_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000304) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PD_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000304) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PD_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000304) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PD_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000304) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PD_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000304) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PD_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000304) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PD_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000304) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PD_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000304) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PD_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000304) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PD_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000304) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PD_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PD_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000304) Port n Output Type Selection Register +// ( (unsigned int)((PD_TYP >> 0) & 0xFFFFFFFF), ((PD_TYP = (PD_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_TYP_TYP15 +// SFDITEM_FIELD__PD_TYP_TYP14 +// SFDITEM_FIELD__PD_TYP_TYP13 +// SFDITEM_FIELD__PD_TYP_TYP12 +// SFDITEM_FIELD__PD_TYP_TYP11 +// SFDITEM_FIELD__PD_TYP_TYP10 +// SFDITEM_FIELD__PD_TYP_TYP9 +// SFDITEM_FIELD__PD_TYP_TYP8 +// SFDITEM_FIELD__PD_TYP_TYP7 +// SFDITEM_FIELD__PD_TYP_TYP6 +// SFDITEM_FIELD__PD_TYP_TYP5 +// SFDITEM_FIELD__PD_TYP_TYP4 +// SFDITEM_FIELD__PD_TYP_TYP3 +// SFDITEM_FIELD__PD_TYP_TYP2 +// SFDITEM_FIELD__PD_TYP_TYP1 +// SFDITEM_FIELD__PD_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PD_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PD_AFSR1 __AT (0x30000308); + + + +// ------------------------------- Field Item: PD_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PD_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000308) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PD_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000308) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PD_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000308) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PD_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000308) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PD_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000308) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PD_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000308) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PD_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000308) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PD_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000308) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PD_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PD_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000308) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PD_AFSR1 >> 0) & 0xFFFFFFFF), ((PD_AFSR1 = (PD_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_AFSR1_AFSR7 +// SFDITEM_FIELD__PD_AFSR1_AFSR6 +// SFDITEM_FIELD__PD_AFSR1_AFSR5 +// SFDITEM_FIELD__PD_AFSR1_AFSR4 +// SFDITEM_FIELD__PD_AFSR1_AFSR3 +// SFDITEM_FIELD__PD_AFSR1_AFSR2 +// SFDITEM_FIELD__PD_AFSR1_AFSR1 +// SFDITEM_FIELD__PD_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PD_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PD_AFSR2 __AT (0x3000030C); + + + +// ------------------------------- Field Item: PD_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PD_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000030C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PD_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000030C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PD_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000030C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PD_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000030C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PD_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000030C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PD_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000030C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PD_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000030C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PD_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000030C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PD_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PD_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000030C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PD_AFSR2 >> 0) & 0xFFFFFFFF), ((PD_AFSR2 = (PD_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_AFSR2_AFSR15 +// SFDITEM_FIELD__PD_AFSR2_AFSR14 +// SFDITEM_FIELD__PD_AFSR2_AFSR13 +// SFDITEM_FIELD__PD_AFSR2_AFSR12 +// SFDITEM_FIELD__PD_AFSR2_AFSR11 +// SFDITEM_FIELD__PD_AFSR2_AFSR10 +// SFDITEM_FIELD__PD_AFSR2_AFSR9 +// SFDITEM_FIELD__PD_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PD_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PD_PUPD __AT (0x30000310); + + + +// ------------------------------- Field Item: PD_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PD_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PD_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PD_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PD_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PD_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PD_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PD_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PD_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PD_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PD_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PD_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PD_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PD_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PD_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PD_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PD_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PD_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PD_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PD_PUPD >> 0) & 0xFFFFFFFF), ((PD_PUPD = (PD_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PUPD_PUPD15 +// SFDITEM_FIELD__PD_PUPD_PUPD14 +// SFDITEM_FIELD__PD_PUPD_PUPD13 +// SFDITEM_FIELD__PD_PUPD_PUPD12 +// SFDITEM_FIELD__PD_PUPD_PUPD11 +// SFDITEM_FIELD__PD_PUPD_PUPD10 +// SFDITEM_FIELD__PD_PUPD_PUPD9 +// SFDITEM_FIELD__PD_PUPD_PUPD8 +// SFDITEM_FIELD__PD_PUPD_PUPD7 +// SFDITEM_FIELD__PD_PUPD_PUPD6 +// SFDITEM_FIELD__PD_PUPD_PUPD5 +// SFDITEM_FIELD__PD_PUPD_PUPD4 +// SFDITEM_FIELD__PD_PUPD_PUPD3 +// SFDITEM_FIELD__PD_PUPD_PUPD2 +// SFDITEM_FIELD__PD_PUPD_PUPD1 +// SFDITEM_FIELD__PD_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PD_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PD_INDR __AT (0x30000314); + + + +// ------------------------------- Field Item: PD_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PD_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000314) Port n Input Data 15 +// +// ( (unsigned int) PD_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PD_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000314) Port n Input Data 14 +// +// ( (unsigned int) PD_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PD_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000314) Port n Input Data 13 +// +// ( (unsigned int) PD_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PD_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000314) Port n Input Data 12 +// +// ( (unsigned int) PD_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PD_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000314) Port n Input Data 11 +// +// ( (unsigned int) PD_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PD_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000314) Port n Input Data 10 +// +// ( (unsigned int) PD_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PD_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000314) Port n Input Data 9 +// +// ( (unsigned int) PD_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PD_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000314) Port n Input Data 8 +// +// ( (unsigned int) PD_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PD_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000314) Port n Input Data 7 +// +// ( (unsigned int) PD_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PD_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000314) Port n Input Data 6 +// +// ( (unsigned int) PD_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PD_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000314) Port n Input Data 5 +// +// ( (unsigned int) PD_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PD_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000314) Port n Input Data 4 +// +// ( (unsigned int) PD_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PD_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000314) Port n Input Data 3 +// +// ( (unsigned int) PD_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PD_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000314) Port n Input Data 2 +// +// ( (unsigned int) PD_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PD_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000314) Port n Input Data 1 +// +// ( (unsigned int) PD_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PD_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000314) Port n Input Data 0 +// +// ( (unsigned int) PD_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PD_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PD_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000314) Port n Input Data Register +// ( (unsigned int)((PD_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PD_INDR_INDR15 +// SFDITEM_FIELD__PD_INDR_INDR14 +// SFDITEM_FIELD__PD_INDR_INDR13 +// SFDITEM_FIELD__PD_INDR_INDR12 +// SFDITEM_FIELD__PD_INDR_INDR11 +// SFDITEM_FIELD__PD_INDR_INDR10 +// SFDITEM_FIELD__PD_INDR_INDR9 +// SFDITEM_FIELD__PD_INDR_INDR8 +// SFDITEM_FIELD__PD_INDR_INDR7 +// SFDITEM_FIELD__PD_INDR_INDR6 +// SFDITEM_FIELD__PD_INDR_INDR5 +// SFDITEM_FIELD__PD_INDR_INDR4 +// SFDITEM_FIELD__PD_INDR_INDR3 +// SFDITEM_FIELD__PD_INDR_INDR2 +// SFDITEM_FIELD__PD_INDR_INDR1 +// SFDITEM_FIELD__PD_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PD_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PD_OUTDR __AT (0x30000318); + + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000318) Port n Output Data 15 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000318) Port n Output Data 14 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000318) Port n Output Data 13 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000318) Port n Output Data 12 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000318) Port n Output Data 11 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000318) Port n Output Data 10 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000318) Port n Output Data 9 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000318) Port n Output Data 8 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000318) Port n Output Data 7 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000318) Port n Output Data 6 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000318) Port n Output Data 5 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000318) Port n Output Data 4 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000318) Port n Output Data 3 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000318) Port n Output Data 2 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000318) Port n Output Data 1 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000318) Port n Output Data 0 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PD_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PD_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000318) Port n Output Data Register +// ( (unsigned int)((PD_OUTDR >> 0) & 0xFFFFFFFF), ((PD_OUTDR = (PD_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_OUTDR_OUTDR15 +// SFDITEM_FIELD__PD_OUTDR_OUTDR14 +// SFDITEM_FIELD__PD_OUTDR_OUTDR13 +// SFDITEM_FIELD__PD_OUTDR_OUTDR12 +// SFDITEM_FIELD__PD_OUTDR_OUTDR11 +// SFDITEM_FIELD__PD_OUTDR_OUTDR10 +// SFDITEM_FIELD__PD_OUTDR_OUTDR9 +// SFDITEM_FIELD__PD_OUTDR_OUTDR8 +// SFDITEM_FIELD__PD_OUTDR_OUTDR7 +// SFDITEM_FIELD__PD_OUTDR_OUTDR6 +// SFDITEM_FIELD__PD_OUTDR_OUTDR5 +// SFDITEM_FIELD__PD_OUTDR_OUTDR4 +// SFDITEM_FIELD__PD_OUTDR_OUTDR3 +// SFDITEM_FIELD__PD_OUTDR_OUTDR2 +// SFDITEM_FIELD__PD_OUTDR_OUTDR1 +// SFDITEM_FIELD__PD_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PD_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PD_BSR __AT (0x3000031C); + + + +// -------------------------------- Field Item: PD_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PD_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000031C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PD_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000031C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PD_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000031C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PD_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000031C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PD_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000031C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PD_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000031C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PD_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000031C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PD_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000031C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PD_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000031C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PD_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000031C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PD_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000031C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PD_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000031C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PD_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000031C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PD_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000031C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PD_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000031C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PD_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000031C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PD_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PD_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000031C) Port n Output Bit Set Register +// ( (unsigned int)((PD_BSR >> 0) & 0xFFFFFFFF), ((PD_BSR = (PD_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_BSR_BSR15 +// SFDITEM_FIELD__PD_BSR_BSR14 +// SFDITEM_FIELD__PD_BSR_BSR13 +// SFDITEM_FIELD__PD_BSR_BSR12 +// SFDITEM_FIELD__PD_BSR_BSR11 +// SFDITEM_FIELD__PD_BSR_BSR10 +// SFDITEM_FIELD__PD_BSR_BSR9 +// SFDITEM_FIELD__PD_BSR_BSR8 +// SFDITEM_FIELD__PD_BSR_BSR7 +// SFDITEM_FIELD__PD_BSR_BSR6 +// SFDITEM_FIELD__PD_BSR_BSR5 +// SFDITEM_FIELD__PD_BSR_BSR4 +// SFDITEM_FIELD__PD_BSR_BSR3 +// SFDITEM_FIELD__PD_BSR_BSR2 +// SFDITEM_FIELD__PD_BSR_BSR1 +// SFDITEM_FIELD__PD_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PD_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PD_BCR __AT (0x30000320); + + + +// -------------------------------- Field Item: PD_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PD_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000320) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PD_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000320) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PD_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000320) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PD_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000320) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PD_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000320) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PD_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000320) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PD_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000320) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PD_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000320) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PD_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000320) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PD_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000320) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PD_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000320) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PD_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000320) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PD_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000320) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PD_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000320) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PD_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000320) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PD_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000320) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PD_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PD_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000320) Port n Output Bit Clear Register +// ( (unsigned int)((PD_BCR >> 0) & 0xFFFFFFFF), ((PD_BCR = (PD_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_BCR_BCR15 +// SFDITEM_FIELD__PD_BCR_BCR14 +// SFDITEM_FIELD__PD_BCR_BCR13 +// SFDITEM_FIELD__PD_BCR_BCR12 +// SFDITEM_FIELD__PD_BCR_BCR11 +// SFDITEM_FIELD__PD_BCR_BCR10 +// SFDITEM_FIELD__PD_BCR_BCR9 +// SFDITEM_FIELD__PD_BCR_BCR8 +// SFDITEM_FIELD__PD_BCR_BCR7 +// SFDITEM_FIELD__PD_BCR_BCR6 +// SFDITEM_FIELD__PD_BCR_BCR5 +// SFDITEM_FIELD__PD_BCR_BCR4 +// SFDITEM_FIELD__PD_BCR_BCR3 +// SFDITEM_FIELD__PD_BCR_BCR2 +// SFDITEM_FIELD__PD_BCR_BCR1 +// SFDITEM_FIELD__PD_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PD_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PD_OUTDMSK __AT (0x30000324); + + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000324) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000324) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000324) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000324) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000324) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000324) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000324) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000324) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000324) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000324) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000324) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000324) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000324) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000324) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000324) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000324) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PD_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PD_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000324) Port n Output Data Mask Register +// ( (unsigned int)((PD_OUTDMSK >> 0) & 0xFFFFFFFF), ((PD_OUTDMSK = (PD_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PD_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PD_DBCR __AT (0x30000328); + + + +// -------------------------------- Field Item: PD_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PD_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000328) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PD_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PD_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PD_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000328) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PD_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PD_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000328) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PD_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000328) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PD_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000328) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PD_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000328) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PD_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000328) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PD_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000328) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PD_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000328) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PD_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000328) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PD_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000328) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PD_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000328) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PD_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000328) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PD_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PD_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000328) Port n Debounce Control Register +// ( (unsigned int)((PD_DBCR >> 0) & 0xFFFFFFFF), ((PD_DBCR = (PD_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_DBCR_DBCLK +// SFDITEM_FIELD__PD_DBCR_DBEN11 +// SFDITEM_FIELD__PD_DBCR_DBEN10 +// SFDITEM_FIELD__PD_DBCR_DBEN9 +// SFDITEM_FIELD__PD_DBCR_DBEN8 +// SFDITEM_FIELD__PD_DBCR_DBEN7 +// SFDITEM_FIELD__PD_DBCR_DBEN6 +// SFDITEM_FIELD__PD_DBCR_DBEN5 +// SFDITEM_FIELD__PD_DBCR_DBEN4 +// SFDITEM_FIELD__PD_DBCR_DBEN3 +// SFDITEM_FIELD__PD_DBCR_DBEN2 +// SFDITEM_FIELD__PD_DBCR_DBEN1 +// SFDITEM_FIELD__PD_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_MOD -------------------------------- +// SVD Line: 12104 + +unsigned int PD_PD_MOD __AT (0x30000300); + + + +// ------------------------------- Field Item: PD_PD_MOD_MODE7 ---------------------------------- +// SVD Line: 12114 + +// SFDITEM_FIELD__PD_PD_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000300) Port n Mode Selection 7 +// +// ( (unsigned char)((PD_PD_MOD >> 14) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE6 ---------------------------------- +// SVD Line: 12120 + +// SFDITEM_FIELD__PD_PD_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000300) Port n Mode Selection 6 +// +// ( (unsigned char)((PD_PD_MOD >> 12) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE5 ---------------------------------- +// SVD Line: 12126 + +// SFDITEM_FIELD__PD_PD_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000300) Port n Mode Selection 5 +// +// ( (unsigned char)((PD_PD_MOD >> 10) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE4 ---------------------------------- +// SVD Line: 12132 + +// SFDITEM_FIELD__PD_PD_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000300) Port n Mode Selection 4 +// +// ( (unsigned char)((PD_PD_MOD >> 8) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE3 ---------------------------------- +// SVD Line: 12138 + +// SFDITEM_FIELD__PD_PD_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000300) Port n Mode Selection 3 +// +// ( (unsigned char)((PD_PD_MOD >> 6) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE2 ---------------------------------- +// SVD Line: 12144 + +// SFDITEM_FIELD__PD_PD_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000300) Port n Mode Selection 2 +// +// ( (unsigned char)((PD_PD_MOD >> 4) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE1 ---------------------------------- +// SVD Line: 12150 + +// SFDITEM_FIELD__PD_PD_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000300) Port n Mode Selection 1 +// +// ( (unsigned char)((PD_PD_MOD >> 2) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE0 ---------------------------------- +// SVD Line: 12156 + +// SFDITEM_FIELD__PD_PD_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000300) Port n Mode Selection 0 +// +// ( (unsigned char)((PD_PD_MOD >> 0) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PD_PD_MOD ----------------------------------- +// SVD Line: 12104 + +// SFDITEM_REG__PD_PD_MOD +// PD_MOD +// +// [Bits 31..0] RW (@ 0x30000300) Port n Mode Register +// ( (unsigned int)((PD_PD_MOD >> 0) & 0xFFFFFFFF), ((PD_PD_MOD = (PD_PD_MOD & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_MOD_MODE7 +// SFDITEM_FIELD__PD_PD_MOD_MODE6 +// SFDITEM_FIELD__PD_PD_MOD_MODE5 +// SFDITEM_FIELD__PD_PD_MOD_MODE4 +// SFDITEM_FIELD__PD_PD_MOD_MODE3 +// SFDITEM_FIELD__PD_PD_MOD_MODE2 +// SFDITEM_FIELD__PD_PD_MOD_MODE1 +// SFDITEM_FIELD__PD_PD_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_TYP -------------------------------- +// SVD Line: 12164 + +unsigned int PD_PD_TYP __AT (0x30000304); + + + +// ------------------------------- Field Item: PD_PD_TYP_TYP7 ----------------------------------- +// SVD Line: 12174 + +// SFDITEM_FIELD__PD_PD_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000304) Port n Output Type Selection 7 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP6 ----------------------------------- +// SVD Line: 12180 + +// SFDITEM_FIELD__PD_PD_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000304) Port n Output Type Selection 6 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP5 ----------------------------------- +// SVD Line: 12186 + +// SFDITEM_FIELD__PD_PD_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000304) Port n Output Type Selection 5 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP4 ----------------------------------- +// SVD Line: 12192 + +// SFDITEM_FIELD__PD_PD_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000304) Port n Output Type Selection 4 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP3 ----------------------------------- +// SVD Line: 12198 + +// SFDITEM_FIELD__PD_PD_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000304) Port n Output Type Selection 3 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP2 ----------------------------------- +// SVD Line: 12204 + +// SFDITEM_FIELD__PD_PD_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000304) Port n Output Type Selection 2 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP1 ----------------------------------- +// SVD Line: 12210 + +// SFDITEM_FIELD__PD_PD_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000304) Port n Output Type Selection 1 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP0 ----------------------------------- +// SVD Line: 12216 + +// SFDITEM_FIELD__PD_PD_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000304) Port n Output Type Selection 0 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PD_PD_TYP ----------------------------------- +// SVD Line: 12164 + +// SFDITEM_REG__PD_PD_TYP +// PD_TYP +// +// [Bits 31..0] RW (@ 0x30000304) Port n Output Type Selection Register +// ( (unsigned int)((PD_PD_TYP >> 0) & 0xFFFFFFFF), ((PD_PD_TYP = (PD_PD_TYP & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_TYP_TYP7 +// SFDITEM_FIELD__PD_PD_TYP_TYP6 +// SFDITEM_FIELD__PD_PD_TYP_TYP5 +// SFDITEM_FIELD__PD_PD_TYP_TYP4 +// SFDITEM_FIELD__PD_PD_TYP_TYP3 +// SFDITEM_FIELD__PD_PD_TYP_TYP2 +// SFDITEM_FIELD__PD_PD_TYP_TYP1 +// SFDITEM_FIELD__PD_PD_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PD_PD_AFSR1 ------------------------------- +// SVD Line: 12224 + +unsigned int PD_PD_AFSR1 __AT (0x30000308); + + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR7 --------------------------------- +// SVD Line: 12234 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000308) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 28) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR6 --------------------------------- +// SVD Line: 12240 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000308) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 24) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR5 --------------------------------- +// SVD Line: 12246 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000308) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 20) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR4 --------------------------------- +// SVD Line: 12252 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000308) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 16) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR3 --------------------------------- +// SVD Line: 12258 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000308) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 12) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR2 --------------------------------- +// SVD Line: 12264 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000308) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 8) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR1 --------------------------------- +// SVD Line: 12270 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000308) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 4) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR0 --------------------------------- +// SVD Line: 12276 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000308) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 0) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PD_PD_AFSR1 ---------------------------------- +// SVD Line: 12224 + +// SFDITEM_REG__PD_PD_AFSR1 +// PD_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000308) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PD_PD_AFSR1 >> 0) & 0xFFFFFFFF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR7 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR6 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR5 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR4 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR3 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR2 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR1 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PD_PD_AFSR2 ------------------------------- +// SVD Line: 12284 + +unsigned int PD_PD_AFSR2 __AT (0x3000030C); + + + +// ------------------------------- Register Item: PD_PD_AFSR2 ----------------------------------- +// SVD Line: 12284 + +// SFDITEM_REG__PD_PD_AFSR2 +// PD_AFSR2 +// [Bits 31..0] RW (@ 0x3000030C) Port n Alternative Function Selection Register 2 +// +// ( (unsigned int)((PD_PD_AFSR2 >> 0) & 0xFFFFFFFF), ((PD_PD_AFSR2 = (PD_PD_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------- Register Item Address: PD_PD_PUPD ------------------------------- +// SVD Line: 12294 + +unsigned int PD_PD_PUPD __AT (0x30000310); + + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD7 ---------------------------------- +// SVD Line: 12304 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PD_PD_PUPD >> 14) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD6 ---------------------------------- +// SVD Line: 12310 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PD_PD_PUPD >> 12) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD5 ---------------------------------- +// SVD Line: 12316 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PD_PD_PUPD >> 10) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD4 ---------------------------------- +// SVD Line: 12322 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PD_PD_PUPD >> 8) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD3 ---------------------------------- +// SVD Line: 12328 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PD_PD_PUPD >> 6) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD2 ---------------------------------- +// SVD Line: 12334 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PD_PD_PUPD >> 4) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD1 ---------------------------------- +// SVD Line: 12340 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PD_PD_PUPD >> 2) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD0 ---------------------------------- +// SVD Line: 12346 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PD_PD_PUPD >> 0) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PD_PD_PUPD ----------------------------------- +// SVD Line: 12294 + +// SFDITEM_REG__PD_PD_PUPD +// PD_PUPD +// +// [Bits 31..0] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PD_PD_PUPD >> 0) & 0xFFFFFFFF), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_PUPD_PUPD7 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD6 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD5 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD4 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD3 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD2 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD1 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_INDR ------------------------------- +// SVD Line: 12354 + +unsigned int PD_PD_INDR __AT (0x30000314); + + + +// ------------------------------ Field Item: PD_PD_INDR_INDR7 ---------------------------------- +// SVD Line: 12364 + +// SFDITEM_FIELD__PD_PD_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000314) Port n Input Data 7 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR6 ---------------------------------- +// SVD Line: 12370 + +// SFDITEM_FIELD__PD_PD_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000314) Port n Input Data 6 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR5 ---------------------------------- +// SVD Line: 12376 + +// SFDITEM_FIELD__PD_PD_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000314) Port n Input Data 5 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR4 ---------------------------------- +// SVD Line: 12382 + +// SFDITEM_FIELD__PD_PD_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000314) Port n Input Data 4 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR3 ---------------------------------- +// SVD Line: 12388 + +// SFDITEM_FIELD__PD_PD_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000314) Port n Input Data 3 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR2 ---------------------------------- +// SVD Line: 12394 + +// SFDITEM_FIELD__PD_PD_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000314) Port n Input Data 2 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR1 ---------------------------------- +// SVD Line: 12400 + +// SFDITEM_FIELD__PD_PD_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000314) Port n Input Data 1 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR0 ---------------------------------- +// SVD Line: 12406 + +// SFDITEM_FIELD__PD_PD_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000314) Port n Input Data 0 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PD_PD_INDR ----------------------------------- +// SVD Line: 12354 + +// SFDITEM_REG__PD_PD_INDR +// PD_INDR +// +// [Bits 31..0] RO (@ 0x30000314) Port n Input Data Register +// ( (unsigned int)((PD_PD_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PD_PD_INDR_INDR7 +// SFDITEM_FIELD__PD_PD_INDR_INDR6 +// SFDITEM_FIELD__PD_PD_INDR_INDR5 +// SFDITEM_FIELD__PD_PD_INDR_INDR4 +// SFDITEM_FIELD__PD_PD_INDR_INDR3 +// SFDITEM_FIELD__PD_PD_INDR_INDR2 +// SFDITEM_FIELD__PD_PD_INDR_INDR1 +// SFDITEM_FIELD__PD_PD_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PD_PD_OUTDR ------------------------------- +// SVD Line: 12414 + +unsigned int PD_PD_OUTDR __AT (0x30000318); + + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 12424 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000318) Port n Output Data 7 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 12430 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000318) Port n Output Data 6 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 12436 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000318) Port n Output Data 5 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 12442 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000318) Port n Output Data 4 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 12448 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000318) Port n Output Data 3 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 12454 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000318) Port n Output Data 2 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 12460 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000318) Port n Output Data 1 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 12466 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000318) Port n Output Data 0 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PD_PD_OUTDR ---------------------------------- +// SVD Line: 12414 + +// SFDITEM_REG__PD_PD_OUTDR +// PD_OUTDR +// +// [Bits 31..0] RW (@ 0x30000318) Port n Output Data Register +// ( (unsigned int)((PD_PD_OUTDR >> 0) & 0xFFFFFFFF), ((PD_PD_OUTDR = (PD_PD_OUTDR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR7 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR6 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR5 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR4 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR3 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR2 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR1 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_BSR -------------------------------- +// SVD Line: 12474 + +unsigned int PD_PD_BSR __AT (0x3000031C); + + + +// ------------------------------- Field Item: PD_PD_BSR_BSR7 ----------------------------------- +// SVD Line: 12484 + +// SFDITEM_FIELD__PD_PD_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000031C) Port n Output Bit Set 7 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR6 ----------------------------------- +// SVD Line: 12490 + +// SFDITEM_FIELD__PD_PD_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000031C) Port n Output Bit Set 6 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR5 ----------------------------------- +// SVD Line: 12496 + +// SFDITEM_FIELD__PD_PD_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000031C) Port n Output Bit Set 5 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR4 ----------------------------------- +// SVD Line: 12502 + +// SFDITEM_FIELD__PD_PD_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000031C) Port n Output Bit Set 4 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR3 ----------------------------------- +// SVD Line: 12508 + +// SFDITEM_FIELD__PD_PD_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000031C) Port n Output Bit Set 3 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR2 ----------------------------------- +// SVD Line: 12514 + +// SFDITEM_FIELD__PD_PD_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000031C) Port n Output Bit Set 2 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR1 ----------------------------------- +// SVD Line: 12520 + +// SFDITEM_FIELD__PD_PD_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000031C) Port n Output Bit Set 1 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR0 ----------------------------------- +// SVD Line: 12526 + +// SFDITEM_FIELD__PD_PD_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000031C) Port n Output Bit Set 0 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PD_PD_BSR ----------------------------------- +// SVD Line: 12474 + +// SFDITEM_REG__PD_PD_BSR +// PD_BSR +// +// [Bits 31..0] WO (@ 0x3000031C) Port n Output Bit Set Register +// ( (unsigned int)((PD_PD_BSR >> 0) & 0xFFFFFFFF), ((PD_PD_BSR = (PD_PD_BSR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_BSR_BSR7 +// SFDITEM_FIELD__PD_PD_BSR_BSR6 +// SFDITEM_FIELD__PD_PD_BSR_BSR5 +// SFDITEM_FIELD__PD_PD_BSR_BSR4 +// SFDITEM_FIELD__PD_PD_BSR_BSR3 +// SFDITEM_FIELD__PD_PD_BSR_BSR2 +// SFDITEM_FIELD__PD_PD_BSR_BSR1 +// SFDITEM_FIELD__PD_PD_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_BCR -------------------------------- +// SVD Line: 12534 + +unsigned int PD_PD_BCR __AT (0x30000320); + + + +// ------------------------------- Field Item: PD_PD_BCR_BCR7 ----------------------------------- +// SVD Line: 12544 + +// SFDITEM_FIELD__PD_PD_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000320) Port n Output Bit Clear 7 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR6 ----------------------------------- +// SVD Line: 12550 + +// SFDITEM_FIELD__PD_PD_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000320) Port n Output Bit Clear 6 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR5 ----------------------------------- +// SVD Line: 12556 + +// SFDITEM_FIELD__PD_PD_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000320) Port n Output Bit Clear 5 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR4 ----------------------------------- +// SVD Line: 12562 + +// SFDITEM_FIELD__PD_PD_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000320) Port n Output Bit Clear 4 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR3 ----------------------------------- +// SVD Line: 12568 + +// SFDITEM_FIELD__PD_PD_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000320) Port n Output Bit Clear 3 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR2 ----------------------------------- +// SVD Line: 12574 + +// SFDITEM_FIELD__PD_PD_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000320) Port n Output Bit Clear 2 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR1 ----------------------------------- +// SVD Line: 12580 + +// SFDITEM_FIELD__PD_PD_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000320) Port n Output Bit Clear 1 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR0 ----------------------------------- +// SVD Line: 12586 + +// SFDITEM_FIELD__PD_PD_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000320) Port n Output Bit Clear 0 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PD_PD_BCR ----------------------------------- +// SVD Line: 12534 + +// SFDITEM_REG__PD_PD_BCR +// PD_BCR +// +// [Bits 31..0] WO (@ 0x30000320) Port n Output Bit Clear Register +// ( (unsigned int)((PD_PD_BCR >> 0) & 0xFFFFFFFF), ((PD_PD_BCR = (PD_PD_BCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_BCR_BCR7 +// SFDITEM_FIELD__PD_PD_BCR_BCR6 +// SFDITEM_FIELD__PD_PD_BCR_BCR5 +// SFDITEM_FIELD__PD_PD_BCR_BCR4 +// SFDITEM_FIELD__PD_PD_BCR_BCR3 +// SFDITEM_FIELD__PD_PD_BCR_BCR2 +// SFDITEM_FIELD__PD_PD_BCR_BCR1 +// SFDITEM_FIELD__PD_PD_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PD_PD_OUTDMSK ------------------------------ +// SVD Line: 12594 + +unsigned int PD_PD_OUTDMSK __AT (0x30000324); + + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 12604 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000324) Port n Output Data Mask 7 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 12610 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000324) Port n Output Data Mask 6 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 12616 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000324) Port n Output Data Mask 5 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 12622 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000324) Port n Output Data Mask 4 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 12628 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000324) Port n Output Data Mask 3 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 12634 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000324) Port n Output Data Mask 2 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 12640 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000324) Port n Output Data Mask 1 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 12646 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000324) Port n Output Data Mask 0 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PD_PD_OUTDMSK --------------------------------- +// SVD Line: 12594 + +// SFDITEM_REG__PD_PD_OUTDMSK +// PD_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000324) Port n Output Data Mask Register +// ( (unsigned int)((PD_PD_OUTDMSK >> 0) & 0xFFFFFFFF), ((PD_PD_OUTDMSK = (PD_PD_OUTDMSK & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------------- Peripheral View: PD -------------------------------------- +// SVD Line: 12090 + +// PD +// PD +// SFDITEM_REG__PD_MOD +// SFDITEM_REG__PD_TYP +// SFDITEM_REG__PD_AFSR1 +// SFDITEM_REG__PD_AFSR2 +// SFDITEM_REG__PD_PUPD +// SFDITEM_REG__PD_INDR +// SFDITEM_REG__PD_OUTDR +// SFDITEM_REG__PD_BSR +// SFDITEM_REG__PD_BCR +// SFDITEM_REG__PD_OUTDMSK +// SFDITEM_REG__PD_DBCR +// SFDITEM_REG__PD_PD_MOD +// SFDITEM_REG__PD_PD_TYP +// SFDITEM_REG__PD_PD_AFSR1 +// SFDITEM_REG__PD_PD_AFSR2 +// SFDITEM_REG__PD_PD_PUPD +// SFDITEM_REG__PD_PD_INDR +// SFDITEM_REG__PD_PD_OUTDR +// SFDITEM_REG__PD_PD_BSR +// SFDITEM_REG__PD_PD_BCR +// SFDITEM_REG__PD_PD_OUTDMSK +// +// + + +// ------------------------------ Register Item Address: PE_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PE_MOD __AT (0x30000400); + + + +// -------------------------------- Field Item: PE_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PE_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000400) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PE_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000400) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PE_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000400) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PE_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000400) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PE_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000400) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PE_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000400) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PE_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000400) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PE_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000400) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PE_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000400) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PE_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000400) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PE_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000400) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PE_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000400) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PE_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000400) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PE_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000400) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PE_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000400) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PE_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000400) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PE_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PE_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000400) Port n Mode Register +// ( (unsigned int)((PE_MOD >> 0) & 0xFFFFFFFF), ((PE_MOD = (PE_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_MOD_MODE15 +// SFDITEM_FIELD__PE_MOD_MODE14 +// SFDITEM_FIELD__PE_MOD_MODE13 +// SFDITEM_FIELD__PE_MOD_MODE12 +// SFDITEM_FIELD__PE_MOD_MODE11 +// SFDITEM_FIELD__PE_MOD_MODE10 +// SFDITEM_FIELD__PE_MOD_MODE9 +// SFDITEM_FIELD__PE_MOD_MODE8 +// SFDITEM_FIELD__PE_MOD_MODE7 +// SFDITEM_FIELD__PE_MOD_MODE6 +// SFDITEM_FIELD__PE_MOD_MODE5 +// SFDITEM_FIELD__PE_MOD_MODE4 +// SFDITEM_FIELD__PE_MOD_MODE3 +// SFDITEM_FIELD__PE_MOD_MODE2 +// SFDITEM_FIELD__PE_MOD_MODE1 +// SFDITEM_FIELD__PE_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PE_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PE_TYP __AT (0x30000404); + + + +// -------------------------------- Field Item: PE_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PE_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000404) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PE_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000404) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PE_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000404) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PE_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000404) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PE_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000404) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PE_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000404) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PE_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000404) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PE_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000404) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PE_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000404) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PE_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000404) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PE_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000404) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PE_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000404) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PE_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000404) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PE_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000404) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PE_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000404) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PE_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000404) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PE_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PE_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000404) Port n Output Type Selection Register +// ( (unsigned int)((PE_TYP >> 0) & 0xFFFFFFFF), ((PE_TYP = (PE_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_TYP_TYP15 +// SFDITEM_FIELD__PE_TYP_TYP14 +// SFDITEM_FIELD__PE_TYP_TYP13 +// SFDITEM_FIELD__PE_TYP_TYP12 +// SFDITEM_FIELD__PE_TYP_TYP11 +// SFDITEM_FIELD__PE_TYP_TYP10 +// SFDITEM_FIELD__PE_TYP_TYP9 +// SFDITEM_FIELD__PE_TYP_TYP8 +// SFDITEM_FIELD__PE_TYP_TYP7 +// SFDITEM_FIELD__PE_TYP_TYP6 +// SFDITEM_FIELD__PE_TYP_TYP5 +// SFDITEM_FIELD__PE_TYP_TYP4 +// SFDITEM_FIELD__PE_TYP_TYP3 +// SFDITEM_FIELD__PE_TYP_TYP2 +// SFDITEM_FIELD__PE_TYP_TYP1 +// SFDITEM_FIELD__PE_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PE_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PE_AFSR1 __AT (0x30000408); + + + +// ------------------------------- Field Item: PE_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PE_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000408) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PE_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000408) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PE_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000408) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PE_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000408) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PE_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000408) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PE_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000408) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PE_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000408) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PE_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000408) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PE_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PE_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000408) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PE_AFSR1 >> 0) & 0xFFFFFFFF), ((PE_AFSR1 = (PE_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_AFSR1_AFSR7 +// SFDITEM_FIELD__PE_AFSR1_AFSR6 +// SFDITEM_FIELD__PE_AFSR1_AFSR5 +// SFDITEM_FIELD__PE_AFSR1_AFSR4 +// SFDITEM_FIELD__PE_AFSR1_AFSR3 +// SFDITEM_FIELD__PE_AFSR1_AFSR2 +// SFDITEM_FIELD__PE_AFSR1_AFSR1 +// SFDITEM_FIELD__PE_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PE_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PE_AFSR2 __AT (0x3000040C); + + + +// ------------------------------- Field Item: PE_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PE_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000040C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PE_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000040C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PE_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000040C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PE_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000040C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PE_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000040C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PE_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000040C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PE_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000040C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PE_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000040C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PE_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PE_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000040C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PE_AFSR2 >> 0) & 0xFFFFFFFF), ((PE_AFSR2 = (PE_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_AFSR2_AFSR15 +// SFDITEM_FIELD__PE_AFSR2_AFSR14 +// SFDITEM_FIELD__PE_AFSR2_AFSR13 +// SFDITEM_FIELD__PE_AFSR2_AFSR12 +// SFDITEM_FIELD__PE_AFSR2_AFSR11 +// SFDITEM_FIELD__PE_AFSR2_AFSR10 +// SFDITEM_FIELD__PE_AFSR2_AFSR9 +// SFDITEM_FIELD__PE_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PE_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PE_PUPD __AT (0x30000410); + + + +// ------------------------------- Field Item: PE_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PE_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PE_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PE_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PE_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PE_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PE_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PE_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PE_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PE_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PE_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PE_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PE_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PE_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PE_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PE_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PE_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PE_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PE_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PE_PUPD >> 0) & 0xFFFFFFFF), ((PE_PUPD = (PE_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PUPD_PUPD15 +// SFDITEM_FIELD__PE_PUPD_PUPD14 +// SFDITEM_FIELD__PE_PUPD_PUPD13 +// SFDITEM_FIELD__PE_PUPD_PUPD12 +// SFDITEM_FIELD__PE_PUPD_PUPD11 +// SFDITEM_FIELD__PE_PUPD_PUPD10 +// SFDITEM_FIELD__PE_PUPD_PUPD9 +// SFDITEM_FIELD__PE_PUPD_PUPD8 +// SFDITEM_FIELD__PE_PUPD_PUPD7 +// SFDITEM_FIELD__PE_PUPD_PUPD6 +// SFDITEM_FIELD__PE_PUPD_PUPD5 +// SFDITEM_FIELD__PE_PUPD_PUPD4 +// SFDITEM_FIELD__PE_PUPD_PUPD3 +// SFDITEM_FIELD__PE_PUPD_PUPD2 +// SFDITEM_FIELD__PE_PUPD_PUPD1 +// SFDITEM_FIELD__PE_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PE_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PE_INDR __AT (0x30000414); + + + +// ------------------------------- Field Item: PE_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PE_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000414) Port n Input Data 15 +// +// ( (unsigned int) PE_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PE_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000414) Port n Input Data 14 +// +// ( (unsigned int) PE_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PE_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000414) Port n Input Data 13 +// +// ( (unsigned int) PE_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PE_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000414) Port n Input Data 12 +// +// ( (unsigned int) PE_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PE_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000414) Port n Input Data 11 +// +// ( (unsigned int) PE_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PE_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000414) Port n Input Data 10 +// +// ( (unsigned int) PE_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PE_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000414) Port n Input Data 9 +// +// ( (unsigned int) PE_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PE_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000414) Port n Input Data 8 +// +// ( (unsigned int) PE_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PE_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000414) Port n Input Data 7 +// +// ( (unsigned int) PE_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PE_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000414) Port n Input Data 6 +// +// ( (unsigned int) PE_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PE_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000414) Port n Input Data 5 +// +// ( (unsigned int) PE_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PE_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000414) Port n Input Data 4 +// +// ( (unsigned int) PE_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PE_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000414) Port n Input Data 3 +// +// ( (unsigned int) PE_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PE_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000414) Port n Input Data 2 +// +// ( (unsigned int) PE_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PE_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000414) Port n Input Data 1 +// +// ( (unsigned int) PE_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PE_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000414) Port n Input Data 0 +// +// ( (unsigned int) PE_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PE_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PE_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000414) Port n Input Data Register +// ( (unsigned int)((PE_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PE_INDR_INDR15 +// SFDITEM_FIELD__PE_INDR_INDR14 +// SFDITEM_FIELD__PE_INDR_INDR13 +// SFDITEM_FIELD__PE_INDR_INDR12 +// SFDITEM_FIELD__PE_INDR_INDR11 +// SFDITEM_FIELD__PE_INDR_INDR10 +// SFDITEM_FIELD__PE_INDR_INDR9 +// SFDITEM_FIELD__PE_INDR_INDR8 +// SFDITEM_FIELD__PE_INDR_INDR7 +// SFDITEM_FIELD__PE_INDR_INDR6 +// SFDITEM_FIELD__PE_INDR_INDR5 +// SFDITEM_FIELD__PE_INDR_INDR4 +// SFDITEM_FIELD__PE_INDR_INDR3 +// SFDITEM_FIELD__PE_INDR_INDR2 +// SFDITEM_FIELD__PE_INDR_INDR1 +// SFDITEM_FIELD__PE_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PE_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PE_OUTDR __AT (0x30000418); + + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000418) Port n Output Data 15 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000418) Port n Output Data 14 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000418) Port n Output Data 13 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000418) Port n Output Data 12 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000418) Port n Output Data 11 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000418) Port n Output Data 10 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000418) Port n Output Data 9 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000418) Port n Output Data 8 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000418) Port n Output Data 7 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000418) Port n Output Data 6 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000418) Port n Output Data 5 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000418) Port n Output Data 4 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000418) Port n Output Data 3 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000418) Port n Output Data 2 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000418) Port n Output Data 1 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000418) Port n Output Data 0 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PE_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PE_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000418) Port n Output Data Register +// ( (unsigned int)((PE_OUTDR >> 0) & 0xFFFFFFFF), ((PE_OUTDR = (PE_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_OUTDR_OUTDR15 +// SFDITEM_FIELD__PE_OUTDR_OUTDR14 +// SFDITEM_FIELD__PE_OUTDR_OUTDR13 +// SFDITEM_FIELD__PE_OUTDR_OUTDR12 +// SFDITEM_FIELD__PE_OUTDR_OUTDR11 +// SFDITEM_FIELD__PE_OUTDR_OUTDR10 +// SFDITEM_FIELD__PE_OUTDR_OUTDR9 +// SFDITEM_FIELD__PE_OUTDR_OUTDR8 +// SFDITEM_FIELD__PE_OUTDR_OUTDR7 +// SFDITEM_FIELD__PE_OUTDR_OUTDR6 +// SFDITEM_FIELD__PE_OUTDR_OUTDR5 +// SFDITEM_FIELD__PE_OUTDR_OUTDR4 +// SFDITEM_FIELD__PE_OUTDR_OUTDR3 +// SFDITEM_FIELD__PE_OUTDR_OUTDR2 +// SFDITEM_FIELD__PE_OUTDR_OUTDR1 +// SFDITEM_FIELD__PE_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PE_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PE_BSR __AT (0x3000041C); + + + +// -------------------------------- Field Item: PE_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PE_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000041C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PE_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000041C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PE_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000041C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PE_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000041C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PE_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000041C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PE_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000041C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PE_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000041C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PE_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000041C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PE_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000041C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PE_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000041C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PE_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000041C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PE_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000041C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PE_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000041C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PE_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000041C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PE_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000041C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PE_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000041C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PE_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PE_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000041C) Port n Output Bit Set Register +// ( (unsigned int)((PE_BSR >> 0) & 0xFFFFFFFF), ((PE_BSR = (PE_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_BSR_BSR15 +// SFDITEM_FIELD__PE_BSR_BSR14 +// SFDITEM_FIELD__PE_BSR_BSR13 +// SFDITEM_FIELD__PE_BSR_BSR12 +// SFDITEM_FIELD__PE_BSR_BSR11 +// SFDITEM_FIELD__PE_BSR_BSR10 +// SFDITEM_FIELD__PE_BSR_BSR9 +// SFDITEM_FIELD__PE_BSR_BSR8 +// SFDITEM_FIELD__PE_BSR_BSR7 +// SFDITEM_FIELD__PE_BSR_BSR6 +// SFDITEM_FIELD__PE_BSR_BSR5 +// SFDITEM_FIELD__PE_BSR_BSR4 +// SFDITEM_FIELD__PE_BSR_BSR3 +// SFDITEM_FIELD__PE_BSR_BSR2 +// SFDITEM_FIELD__PE_BSR_BSR1 +// SFDITEM_FIELD__PE_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PE_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PE_BCR __AT (0x30000420); + + + +// -------------------------------- Field Item: PE_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PE_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000420) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PE_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000420) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PE_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000420) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PE_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000420) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PE_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000420) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PE_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000420) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PE_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000420) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PE_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000420) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PE_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000420) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PE_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000420) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PE_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000420) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PE_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000420) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PE_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000420) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PE_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000420) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PE_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000420) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PE_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000420) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PE_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PE_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000420) Port n Output Bit Clear Register +// ( (unsigned int)((PE_BCR >> 0) & 0xFFFFFFFF), ((PE_BCR = (PE_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_BCR_BCR15 +// SFDITEM_FIELD__PE_BCR_BCR14 +// SFDITEM_FIELD__PE_BCR_BCR13 +// SFDITEM_FIELD__PE_BCR_BCR12 +// SFDITEM_FIELD__PE_BCR_BCR11 +// SFDITEM_FIELD__PE_BCR_BCR10 +// SFDITEM_FIELD__PE_BCR_BCR9 +// SFDITEM_FIELD__PE_BCR_BCR8 +// SFDITEM_FIELD__PE_BCR_BCR7 +// SFDITEM_FIELD__PE_BCR_BCR6 +// SFDITEM_FIELD__PE_BCR_BCR5 +// SFDITEM_FIELD__PE_BCR_BCR4 +// SFDITEM_FIELD__PE_BCR_BCR3 +// SFDITEM_FIELD__PE_BCR_BCR2 +// SFDITEM_FIELD__PE_BCR_BCR1 +// SFDITEM_FIELD__PE_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PE_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PE_OUTDMSK __AT (0x30000424); + + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000424) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000424) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000424) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000424) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000424) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000424) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000424) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000424) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000424) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000424) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000424) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000424) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000424) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000424) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000424) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000424) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PE_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PE_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000424) Port n Output Data Mask Register +// ( (unsigned int)((PE_OUTDMSK >> 0) & 0xFFFFFFFF), ((PE_OUTDMSK = (PE_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PE_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PE_DBCR __AT (0x30000428); + + + +// -------------------------------- Field Item: PE_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PE_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000428) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PE_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PE_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PE_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000428) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PE_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PE_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000428) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PE_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000428) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PE_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000428) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PE_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000428) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PE_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000428) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PE_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000428) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PE_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000428) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PE_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000428) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PE_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000428) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PE_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000428) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PE_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000428) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PE_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PE_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000428) Port n Debounce Control Register +// ( (unsigned int)((PE_DBCR >> 0) & 0xFFFFFFFF), ((PE_DBCR = (PE_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_DBCR_DBCLK +// SFDITEM_FIELD__PE_DBCR_DBEN11 +// SFDITEM_FIELD__PE_DBCR_DBEN10 +// SFDITEM_FIELD__PE_DBCR_DBEN9 +// SFDITEM_FIELD__PE_DBCR_DBEN8 +// SFDITEM_FIELD__PE_DBCR_DBEN7 +// SFDITEM_FIELD__PE_DBCR_DBEN6 +// SFDITEM_FIELD__PE_DBCR_DBEN5 +// SFDITEM_FIELD__PE_DBCR_DBEN4 +// SFDITEM_FIELD__PE_DBCR_DBEN3 +// SFDITEM_FIELD__PE_DBCR_DBEN2 +// SFDITEM_FIELD__PE_DBCR_DBEN1 +// SFDITEM_FIELD__PE_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_MOD -------------------------------- +// SVD Line: 12670 + +unsigned int PE_PE_MOD __AT (0x30000400); + + + +// ------------------------------ Field Item: PE_PE_MOD_MODE15 ---------------------------------- +// SVD Line: 12680 + +// SFDITEM_FIELD__PE_PE_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000400) Port n Mode Selection 15 +// +// ( (unsigned char)((PE_PE_MOD >> 30) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 30 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 30 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE14 ---------------------------------- +// SVD Line: 12686 + +// SFDITEM_FIELD__PE_PE_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000400) Port n Mode Selection 14 +// +// ( (unsigned char)((PE_PE_MOD >> 28) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE13 ---------------------------------- +// SVD Line: 12692 + +// SFDITEM_FIELD__PE_PE_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000400) Port n Mode Selection 13 +// +// ( (unsigned char)((PE_PE_MOD >> 26) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 26 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 26 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE12 ---------------------------------- +// SVD Line: 12698 + +// SFDITEM_FIELD__PE_PE_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000400) Port n Mode Selection 12 +// +// ( (unsigned char)((PE_PE_MOD >> 24) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE11 ---------------------------------- +// SVD Line: 12704 + +// SFDITEM_FIELD__PE_PE_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000400) Port n Mode Selection 11 +// +// ( (unsigned char)((PE_PE_MOD >> 22) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE10 ---------------------------------- +// SVD Line: 12710 + +// SFDITEM_FIELD__PE_PE_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000400) Port n Mode Selection 10 +// +// ( (unsigned char)((PE_PE_MOD >> 20) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE9 ---------------------------------- +// SVD Line: 12716 + +// SFDITEM_FIELD__PE_PE_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000400) Port n Mode Selection 9 +// +// ( (unsigned char)((PE_PE_MOD >> 18) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE8 ---------------------------------- +// SVD Line: 12722 + +// SFDITEM_FIELD__PE_PE_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000400) Port n Mode Selection 8 +// +// ( (unsigned char)((PE_PE_MOD >> 16) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE7 ---------------------------------- +// SVD Line: 12728 + +// SFDITEM_FIELD__PE_PE_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000400) Port n Mode Selection 7 +// +// ( (unsigned char)((PE_PE_MOD >> 14) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE6 ---------------------------------- +// SVD Line: 12734 + +// SFDITEM_FIELD__PE_PE_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000400) Port n Mode Selection 6 +// +// ( (unsigned char)((PE_PE_MOD >> 12) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE5 ---------------------------------- +// SVD Line: 12740 + +// SFDITEM_FIELD__PE_PE_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000400) Port n Mode Selection 5 +// +// ( (unsigned char)((PE_PE_MOD >> 10) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE4 ---------------------------------- +// SVD Line: 12746 + +// SFDITEM_FIELD__PE_PE_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000400) Port n Mode Selection 4 +// +// ( (unsigned char)((PE_PE_MOD >> 8) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE3 ---------------------------------- +// SVD Line: 12752 + +// SFDITEM_FIELD__PE_PE_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000400) Port n Mode Selection 3 +// +// ( (unsigned char)((PE_PE_MOD >> 6) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE2 ---------------------------------- +// SVD Line: 12758 + +// SFDITEM_FIELD__PE_PE_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000400) Port n Mode Selection 2 +// +// ( (unsigned char)((PE_PE_MOD >> 4) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE1 ---------------------------------- +// SVD Line: 12764 + +// SFDITEM_FIELD__PE_PE_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000400) Port n Mode Selection 1 +// +// ( (unsigned char)((PE_PE_MOD >> 2) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE0 ---------------------------------- +// SVD Line: 12770 + +// SFDITEM_FIELD__PE_PE_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000400) Port n Mode Selection 0 +// +// ( (unsigned char)((PE_PE_MOD >> 0) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PE_PE_MOD ----------------------------------- +// SVD Line: 12670 + +// SFDITEM_REG__PE_PE_MOD +// PE_MOD +// +// [Bits 31..0] RW (@ 0x30000400) Port n Mode Register +// ( (unsigned int)((PE_PE_MOD >> 0) & 0xFFFFFFFF), ((PE_PE_MOD = (PE_PE_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_MOD_MODE15 +// SFDITEM_FIELD__PE_PE_MOD_MODE14 +// SFDITEM_FIELD__PE_PE_MOD_MODE13 +// SFDITEM_FIELD__PE_PE_MOD_MODE12 +// SFDITEM_FIELD__PE_PE_MOD_MODE11 +// SFDITEM_FIELD__PE_PE_MOD_MODE10 +// SFDITEM_FIELD__PE_PE_MOD_MODE9 +// SFDITEM_FIELD__PE_PE_MOD_MODE8 +// SFDITEM_FIELD__PE_PE_MOD_MODE7 +// SFDITEM_FIELD__PE_PE_MOD_MODE6 +// SFDITEM_FIELD__PE_PE_MOD_MODE5 +// SFDITEM_FIELD__PE_PE_MOD_MODE4 +// SFDITEM_FIELD__PE_PE_MOD_MODE3 +// SFDITEM_FIELD__PE_PE_MOD_MODE2 +// SFDITEM_FIELD__PE_PE_MOD_MODE1 +// SFDITEM_FIELD__PE_PE_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_TYP -------------------------------- +// SVD Line: 12778 + +unsigned int PE_PE_TYP __AT (0x30000404); + + + +// ------------------------------- Field Item: PE_PE_TYP_TYP15 ---------------------------------- +// SVD Line: 12788 + +// SFDITEM_FIELD__PE_PE_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000404) Port n Output Type Selection 15 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP15 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP14 ---------------------------------- +// SVD Line: 12794 + +// SFDITEM_FIELD__PE_PE_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000404) Port n Output Type Selection 14 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP14 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP13 ---------------------------------- +// SVD Line: 12800 + +// SFDITEM_FIELD__PE_PE_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000404) Port n Output Type Selection 13 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP13 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP12 ---------------------------------- +// SVD Line: 12806 + +// SFDITEM_FIELD__PE_PE_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000404) Port n Output Type Selection 12 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP12 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP11 ---------------------------------- +// SVD Line: 12812 + +// SFDITEM_FIELD__PE_PE_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000404) Port n Output Type Selection 11 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP10 ---------------------------------- +// SVD Line: 12818 + +// SFDITEM_FIELD__PE_PE_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000404) Port n Output Type Selection 10 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP9 ----------------------------------- +// SVD Line: 12824 + +// SFDITEM_FIELD__PE_PE_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000404) Port n Output Type Selection 9 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP8 ----------------------------------- +// SVD Line: 12830 + +// SFDITEM_FIELD__PE_PE_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000404) Port n Output Type Selection 8 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP7 ----------------------------------- +// SVD Line: 12836 + +// SFDITEM_FIELD__PE_PE_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000404) Port n Output Type Selection 7 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP6 ----------------------------------- +// SVD Line: 12842 + +// SFDITEM_FIELD__PE_PE_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000404) Port n Output Type Selection 6 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP5 ----------------------------------- +// SVD Line: 12848 + +// SFDITEM_FIELD__PE_PE_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000404) Port n Output Type Selection 5 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP4 ----------------------------------- +// SVD Line: 12854 + +// SFDITEM_FIELD__PE_PE_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000404) Port n Output Type Selection 4 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP3 ----------------------------------- +// SVD Line: 12860 + +// SFDITEM_FIELD__PE_PE_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000404) Port n Output Type Selection 3 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP2 ----------------------------------- +// SVD Line: 12866 + +// SFDITEM_FIELD__PE_PE_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000404) Port n Output Type Selection 2 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP1 ----------------------------------- +// SVD Line: 12872 + +// SFDITEM_FIELD__PE_PE_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000404) Port n Output Type Selection 1 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP0 ----------------------------------- +// SVD Line: 12878 + +// SFDITEM_FIELD__PE_PE_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000404) Port n Output Type Selection 0 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PE_PE_TYP ----------------------------------- +// SVD Line: 12778 + +// SFDITEM_REG__PE_PE_TYP +// PE_TYP +// +// [Bits 31..0] RW (@ 0x30000404) Port n Output Type Selection Register +// ( (unsigned int)((PE_PE_TYP >> 0) & 0xFFFFFFFF), ((PE_PE_TYP = (PE_PE_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_TYP_TYP15 +// SFDITEM_FIELD__PE_PE_TYP_TYP14 +// SFDITEM_FIELD__PE_PE_TYP_TYP13 +// SFDITEM_FIELD__PE_PE_TYP_TYP12 +// SFDITEM_FIELD__PE_PE_TYP_TYP11 +// SFDITEM_FIELD__PE_PE_TYP_TYP10 +// SFDITEM_FIELD__PE_PE_TYP_TYP9 +// SFDITEM_FIELD__PE_PE_TYP_TYP8 +// SFDITEM_FIELD__PE_PE_TYP_TYP7 +// SFDITEM_FIELD__PE_PE_TYP_TYP6 +// SFDITEM_FIELD__PE_PE_TYP_TYP5 +// SFDITEM_FIELD__PE_PE_TYP_TYP4 +// SFDITEM_FIELD__PE_PE_TYP_TYP3 +// SFDITEM_FIELD__PE_PE_TYP_TYP2 +// SFDITEM_FIELD__PE_PE_TYP_TYP1 +// SFDITEM_FIELD__PE_PE_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PE_PE_AFSR1 ------------------------------- +// SVD Line: 12886 + +unsigned int PE_PE_AFSR1 __AT (0x30000408); + + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR7 --------------------------------- +// SVD Line: 12896 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000408) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 28) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR6 --------------------------------- +// SVD Line: 12902 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000408) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 24) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR5 --------------------------------- +// SVD Line: 12908 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000408) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 20) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR4 --------------------------------- +// SVD Line: 12914 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000408) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 16) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR3 --------------------------------- +// SVD Line: 12920 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000408) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 12) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR2 --------------------------------- +// SVD Line: 12926 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000408) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 8) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR1 --------------------------------- +// SVD Line: 12932 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000408) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 4) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR0 --------------------------------- +// SVD Line: 12938 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000408) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 0) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PE_PE_AFSR1 ---------------------------------- +// SVD Line: 12886 + +// SFDITEM_REG__PE_PE_AFSR1 +// PE_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000408) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PE_PE_AFSR1 >> 0) & 0xFFFFFFFF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR7 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR6 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR5 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR4 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR3 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR2 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR1 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PE_PE_AFSR2 ------------------------------- +// SVD Line: 12946 + +unsigned int PE_PE_AFSR2 __AT (0x3000040C); + + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR15 --------------------------------- +// SVD Line: 12956 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000040C) Port n Alternative Function Selection 15 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 28) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR14 --------------------------------- +// SVD Line: 12962 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000040C) Port n Alternative Function Selection 14 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 24) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR13 --------------------------------- +// SVD Line: 12968 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000040C) Port n Alternative Function Selection 13 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 20) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR12 --------------------------------- +// SVD Line: 12974 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000040C) Port n Alternative Function Selection 12 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 16) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR11 --------------------------------- +// SVD Line: 12980 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000040C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 12) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR10 --------------------------------- +// SVD Line: 12986 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000040C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 8) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR2_AFSR9 --------------------------------- +// SVD Line: 12992 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000040C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 4) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR2_AFSR8 --------------------------------- +// SVD Line: 12998 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000040C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 0) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PE_PE_AFSR2 ---------------------------------- +// SVD Line: 12946 + +// SFDITEM_REG__PE_PE_AFSR2 +// PE_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000040C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PE_PE_AFSR2 >> 0) & 0xFFFFFFFF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR15 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR14 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR13 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR12 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR11 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR10 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR9 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PE_PE_PUPD ------------------------------- +// SVD Line: 13006 + +unsigned int PE_PE_PUPD __AT (0x30000410); + + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD15 --------------------------------- +// SVD Line: 13016 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 15 +// +// ( (unsigned char)((PE_PE_PUPD >> 30) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 30 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 30 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD14 --------------------------------- +// SVD Line: 13022 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 14 +// +// ( (unsigned char)((PE_PE_PUPD >> 28) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD13 --------------------------------- +// SVD Line: 13028 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 13 +// +// ( (unsigned char)((PE_PE_PUPD >> 26) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 26 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 26 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD12 --------------------------------- +// SVD Line: 13034 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 12 +// +// ( (unsigned char)((PE_PE_PUPD >> 24) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD11 --------------------------------- +// SVD Line: 13040 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PE_PE_PUPD >> 22) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD10 --------------------------------- +// SVD Line: 13046 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PE_PE_PUPD >> 20) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD9 ---------------------------------- +// SVD Line: 13052 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PE_PE_PUPD >> 18) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD8 ---------------------------------- +// SVD Line: 13058 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PE_PE_PUPD >> 16) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD7 ---------------------------------- +// SVD Line: 13064 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PE_PE_PUPD >> 14) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD6 ---------------------------------- +// SVD Line: 13070 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PE_PE_PUPD >> 12) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD5 ---------------------------------- +// SVD Line: 13076 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PE_PE_PUPD >> 10) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD4 ---------------------------------- +// SVD Line: 13082 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PE_PE_PUPD >> 8) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD3 ---------------------------------- +// SVD Line: 13088 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PE_PE_PUPD >> 6) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD2 ---------------------------------- +// SVD Line: 13094 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PE_PE_PUPD >> 4) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD1 ---------------------------------- +// SVD Line: 13100 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PE_PE_PUPD >> 2) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD0 ---------------------------------- +// SVD Line: 13106 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PE_PE_PUPD >> 0) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PE_PE_PUPD ----------------------------------- +// SVD Line: 13006 + +// SFDITEM_REG__PE_PE_PUPD +// PE_PUPD +// +// [Bits 31..0] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PE_PE_PUPD >> 0) & 0xFFFFFFFF), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_PUPD_PUPD15 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD14 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD13 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD12 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD11 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD10 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD9 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD8 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD7 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD6 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD5 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD4 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD3 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD2 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD1 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_INDR ------------------------------- +// SVD Line: 13114 + +unsigned int PE_PE_INDR __AT (0x30000414); + + + +// ------------------------------ Field Item: PE_PE_INDR_INDR15 --------------------------------- +// SVD Line: 13124 + +// SFDITEM_FIELD__PE_PE_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000414) Port n Input Data 15 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR15 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR14 --------------------------------- +// SVD Line: 13130 + +// SFDITEM_FIELD__PE_PE_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000414) Port n Input Data 14 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR14 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR13 --------------------------------- +// SVD Line: 13136 + +// SFDITEM_FIELD__PE_PE_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000414) Port n Input Data 13 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR13 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR12 --------------------------------- +// SVD Line: 13142 + +// SFDITEM_FIELD__PE_PE_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000414) Port n Input Data 12 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR12 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR11 --------------------------------- +// SVD Line: 13148 + +// SFDITEM_FIELD__PE_PE_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000414) Port n Input Data 11 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR10 --------------------------------- +// SVD Line: 13154 + +// SFDITEM_FIELD__PE_PE_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000414) Port n Input Data 10 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR9 ---------------------------------- +// SVD Line: 13160 + +// SFDITEM_FIELD__PE_PE_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000414) Port n Input Data 9 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR8 ---------------------------------- +// SVD Line: 13166 + +// SFDITEM_FIELD__PE_PE_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000414) Port n Input Data 8 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR7 ---------------------------------- +// SVD Line: 13172 + +// SFDITEM_FIELD__PE_PE_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000414) Port n Input Data 7 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR6 ---------------------------------- +// SVD Line: 13178 + +// SFDITEM_FIELD__PE_PE_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000414) Port n Input Data 6 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR5 ---------------------------------- +// SVD Line: 13184 + +// SFDITEM_FIELD__PE_PE_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000414) Port n Input Data 5 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR4 ---------------------------------- +// SVD Line: 13190 + +// SFDITEM_FIELD__PE_PE_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000414) Port n Input Data 4 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR3 ---------------------------------- +// SVD Line: 13196 + +// SFDITEM_FIELD__PE_PE_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000414) Port n Input Data 3 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR2 ---------------------------------- +// SVD Line: 13202 + +// SFDITEM_FIELD__PE_PE_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000414) Port n Input Data 2 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR1 ---------------------------------- +// SVD Line: 13208 + +// SFDITEM_FIELD__PE_PE_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000414) Port n Input Data 1 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR0 ---------------------------------- +// SVD Line: 13214 + +// SFDITEM_FIELD__PE_PE_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000414) Port n Input Data 0 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PE_PE_INDR ----------------------------------- +// SVD Line: 13114 + +// SFDITEM_REG__PE_PE_INDR +// PE_INDR +// +// [Bits 31..0] RO (@ 0x30000414) Port n Input Data Register +// ( (unsigned int)((PE_PE_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PE_PE_INDR_INDR15 +// SFDITEM_FIELD__PE_PE_INDR_INDR14 +// SFDITEM_FIELD__PE_PE_INDR_INDR13 +// SFDITEM_FIELD__PE_PE_INDR_INDR12 +// SFDITEM_FIELD__PE_PE_INDR_INDR11 +// SFDITEM_FIELD__PE_PE_INDR_INDR10 +// SFDITEM_FIELD__PE_PE_INDR_INDR9 +// SFDITEM_FIELD__PE_PE_INDR_INDR8 +// SFDITEM_FIELD__PE_PE_INDR_INDR7 +// SFDITEM_FIELD__PE_PE_INDR_INDR6 +// SFDITEM_FIELD__PE_PE_INDR_INDR5 +// SFDITEM_FIELD__PE_PE_INDR_INDR4 +// SFDITEM_FIELD__PE_PE_INDR_INDR3 +// SFDITEM_FIELD__PE_PE_INDR_INDR2 +// SFDITEM_FIELD__PE_PE_INDR_INDR1 +// SFDITEM_FIELD__PE_PE_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PE_PE_OUTDR ------------------------------- +// SVD Line: 13222 + +unsigned int PE_PE_OUTDR __AT (0x30000418); + + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR15 -------------------------------- +// SVD Line: 13232 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000418) Port n Output Data 15 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR15 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR14 -------------------------------- +// SVD Line: 13238 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000418) Port n Output Data 14 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR14 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR13 -------------------------------- +// SVD Line: 13244 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000418) Port n Output Data 13 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR13 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR12 -------------------------------- +// SVD Line: 13250 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000418) Port n Output Data 12 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR12 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 13256 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000418) Port n Output Data 11 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 13262 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000418) Port n Output Data 10 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 13268 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000418) Port n Output Data 9 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 13274 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000418) Port n Output Data 8 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 13280 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000418) Port n Output Data 7 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 13286 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000418) Port n Output Data 6 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 13292 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000418) Port n Output Data 5 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 13298 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000418) Port n Output Data 4 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 13304 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000418) Port n Output Data 3 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 13310 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000418) Port n Output Data 2 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 13316 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000418) Port n Output Data 1 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 13322 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000418) Port n Output Data 0 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PE_PE_OUTDR ---------------------------------- +// SVD Line: 13222 + +// SFDITEM_REG__PE_PE_OUTDR +// PE_OUTDR +// +// [Bits 31..0] RW (@ 0x30000418) Port n Output Data Register +// ( (unsigned int)((PE_PE_OUTDR >> 0) & 0xFFFFFFFF), ((PE_PE_OUTDR = (PE_PE_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR15 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR14 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR13 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR12 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR11 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR10 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR9 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR8 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR7 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR6 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR5 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR4 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR3 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR2 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR1 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_BSR -------------------------------- +// SVD Line: 13330 + +unsigned int PE_PE_BSR __AT (0x3000041C); + + + +// ------------------------------- Field Item: PE_PE_BSR_BSR15 ---------------------------------- +// SVD Line: 13340 + +// SFDITEM_FIELD__PE_PE_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000041C) Port n Output Bit Set 15 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR15 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR14 ---------------------------------- +// SVD Line: 13346 + +// SFDITEM_FIELD__PE_PE_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000041C) Port n Output Bit Set 14 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR14 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR13 ---------------------------------- +// SVD Line: 13352 + +// SFDITEM_FIELD__PE_PE_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000041C) Port n Output Bit Set 13 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR13 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR12 ---------------------------------- +// SVD Line: 13358 + +// SFDITEM_FIELD__PE_PE_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000041C) Port n Output Bit Set 12 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR12 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR11 ---------------------------------- +// SVD Line: 13364 + +// SFDITEM_FIELD__PE_PE_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000041C) Port n Output Bit Set 11 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR10 ---------------------------------- +// SVD Line: 13370 + +// SFDITEM_FIELD__PE_PE_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000041C) Port n Output Bit Set 10 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR9 ----------------------------------- +// SVD Line: 13376 + +// SFDITEM_FIELD__PE_PE_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000041C) Port n Output Bit Set 9 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR8 ----------------------------------- +// SVD Line: 13382 + +// SFDITEM_FIELD__PE_PE_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000041C) Port n Output Bit Set 8 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR7 ----------------------------------- +// SVD Line: 13388 + +// SFDITEM_FIELD__PE_PE_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000041C) Port n Output Bit Set 7 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR6 ----------------------------------- +// SVD Line: 13394 + +// SFDITEM_FIELD__PE_PE_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000041C) Port n Output Bit Set 6 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR5 ----------------------------------- +// SVD Line: 13400 + +// SFDITEM_FIELD__PE_PE_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000041C) Port n Output Bit Set 5 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR4 ----------------------------------- +// SVD Line: 13406 + +// SFDITEM_FIELD__PE_PE_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000041C) Port n Output Bit Set 4 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR3 ----------------------------------- +// SVD Line: 13412 + +// SFDITEM_FIELD__PE_PE_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000041C) Port n Output Bit Set 3 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR2 ----------------------------------- +// SVD Line: 13418 + +// SFDITEM_FIELD__PE_PE_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000041C) Port n Output Bit Set 2 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR1 ----------------------------------- +// SVD Line: 13424 + +// SFDITEM_FIELD__PE_PE_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000041C) Port n Output Bit Set 1 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR0 ----------------------------------- +// SVD Line: 13430 + +// SFDITEM_FIELD__PE_PE_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000041C) Port n Output Bit Set 0 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PE_PE_BSR ----------------------------------- +// SVD Line: 13330 + +// SFDITEM_REG__PE_PE_BSR +// PE_BSR +// +// [Bits 31..0] WO (@ 0x3000041C) Port n Output Bit Set Register +// ( (unsigned int)((PE_PE_BSR >> 0) & 0xFFFFFFFF), ((PE_PE_BSR = (PE_PE_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_BSR_BSR15 +// SFDITEM_FIELD__PE_PE_BSR_BSR14 +// SFDITEM_FIELD__PE_PE_BSR_BSR13 +// SFDITEM_FIELD__PE_PE_BSR_BSR12 +// SFDITEM_FIELD__PE_PE_BSR_BSR11 +// SFDITEM_FIELD__PE_PE_BSR_BSR10 +// SFDITEM_FIELD__PE_PE_BSR_BSR9 +// SFDITEM_FIELD__PE_PE_BSR_BSR8 +// SFDITEM_FIELD__PE_PE_BSR_BSR7 +// SFDITEM_FIELD__PE_PE_BSR_BSR6 +// SFDITEM_FIELD__PE_PE_BSR_BSR5 +// SFDITEM_FIELD__PE_PE_BSR_BSR4 +// SFDITEM_FIELD__PE_PE_BSR_BSR3 +// SFDITEM_FIELD__PE_PE_BSR_BSR2 +// SFDITEM_FIELD__PE_PE_BSR_BSR1 +// SFDITEM_FIELD__PE_PE_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_BCR -------------------------------- +// SVD Line: 13438 + +unsigned int PE_PE_BCR __AT (0x30000420); + + + +// ------------------------------- Field Item: PE_PE_BCR_BCR15 ---------------------------------- +// SVD Line: 13448 + +// SFDITEM_FIELD__PE_PE_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000420) Port n Output Bit Clear 15 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR15 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR14 ---------------------------------- +// SVD Line: 13454 + +// SFDITEM_FIELD__PE_PE_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000420) Port n Output Bit Clear 14 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR14 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR13 ---------------------------------- +// SVD Line: 13460 + +// SFDITEM_FIELD__PE_PE_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000420) Port n Output Bit Clear 13 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR13 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR12 ---------------------------------- +// SVD Line: 13466 + +// SFDITEM_FIELD__PE_PE_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000420) Port n Output Bit Clear 12 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR12 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR11 ---------------------------------- +// SVD Line: 13472 + +// SFDITEM_FIELD__PE_PE_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000420) Port n Output Bit Clear 11 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR10 ---------------------------------- +// SVD Line: 13478 + +// SFDITEM_FIELD__PE_PE_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000420) Port n Output Bit Clear 10 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR9 ----------------------------------- +// SVD Line: 13484 + +// SFDITEM_FIELD__PE_PE_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000420) Port n Output Bit Clear 9 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR8 ----------------------------------- +// SVD Line: 13490 + +// SFDITEM_FIELD__PE_PE_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000420) Port n Output Bit Clear 8 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR7 ----------------------------------- +// SVD Line: 13496 + +// SFDITEM_FIELD__PE_PE_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000420) Port n Output Bit Clear 7 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR6 ----------------------------------- +// SVD Line: 13502 + +// SFDITEM_FIELD__PE_PE_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000420) Port n Output Bit Clear 6 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR5 ----------------------------------- +// SVD Line: 13508 + +// SFDITEM_FIELD__PE_PE_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000420) Port n Output Bit Clear 5 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR4 ----------------------------------- +// SVD Line: 13514 + +// SFDITEM_FIELD__PE_PE_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000420) Port n Output Bit Clear 4 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR3 ----------------------------------- +// SVD Line: 13520 + +// SFDITEM_FIELD__PE_PE_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000420) Port n Output Bit Clear 3 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR2 ----------------------------------- +// SVD Line: 13526 + +// SFDITEM_FIELD__PE_PE_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000420) Port n Output Bit Clear 2 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR1 ----------------------------------- +// SVD Line: 13532 + +// SFDITEM_FIELD__PE_PE_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000420) Port n Output Bit Clear 1 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR0 ----------------------------------- +// SVD Line: 13538 + +// SFDITEM_FIELD__PE_PE_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000420) Port n Output Bit Clear 0 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PE_PE_BCR ----------------------------------- +// SVD Line: 13438 + +// SFDITEM_REG__PE_PE_BCR +// PE_BCR +// +// [Bits 31..0] WO (@ 0x30000420) Port n Output Bit Clear Register +// ( (unsigned int)((PE_PE_BCR >> 0) & 0xFFFFFFFF), ((PE_PE_BCR = (PE_PE_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_BCR_BCR15 +// SFDITEM_FIELD__PE_PE_BCR_BCR14 +// SFDITEM_FIELD__PE_PE_BCR_BCR13 +// SFDITEM_FIELD__PE_PE_BCR_BCR12 +// SFDITEM_FIELD__PE_PE_BCR_BCR11 +// SFDITEM_FIELD__PE_PE_BCR_BCR10 +// SFDITEM_FIELD__PE_PE_BCR_BCR9 +// SFDITEM_FIELD__PE_PE_BCR_BCR8 +// SFDITEM_FIELD__PE_PE_BCR_BCR7 +// SFDITEM_FIELD__PE_PE_BCR_BCR6 +// SFDITEM_FIELD__PE_PE_BCR_BCR5 +// SFDITEM_FIELD__PE_PE_BCR_BCR4 +// SFDITEM_FIELD__PE_PE_BCR_BCR3 +// SFDITEM_FIELD__PE_PE_BCR_BCR2 +// SFDITEM_FIELD__PE_PE_BCR_BCR1 +// SFDITEM_FIELD__PE_PE_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PE_PE_OUTDMSK ------------------------------ +// SVD Line: 13546 + +unsigned int PE_PE_OUTDMSK __AT (0x30000424); + + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK15 ------------------------------ +// SVD Line: 13556 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000424) Port n Output Data Mask 15 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK15 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK14 ------------------------------ +// SVD Line: 13562 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000424) Port n Output Data Mask 14 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK14 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK13 ------------------------------ +// SVD Line: 13568 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000424) Port n Output Data Mask 13 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK13 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK12 ------------------------------ +// SVD Line: 13574 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000424) Port n Output Data Mask 12 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK12 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 13580 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000424) Port n Output Data Mask 11 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 13586 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000424) Port n Output Data Mask 10 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 13592 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000424) Port n Output Data Mask 9 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 13598 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000424) Port n Output Data Mask 8 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 13604 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000424) Port n Output Data Mask 7 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 13610 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000424) Port n Output Data Mask 6 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 13616 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000424) Port n Output Data Mask 5 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 13622 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000424) Port n Output Data Mask 4 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 13628 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000424) Port n Output Data Mask 3 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 13634 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000424) Port n Output Data Mask 2 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 13640 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000424) Port n Output Data Mask 1 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 13646 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000424) Port n Output Data Mask 0 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PE_PE_OUTDMSK --------------------------------- +// SVD Line: 13546 + +// SFDITEM_REG__PE_PE_OUTDMSK +// PE_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000424) Port n Output Data Mask Register +// ( (unsigned int)((PE_PE_OUTDMSK >> 0) & 0xFFFFFFFF), ((PE_PE_OUTDMSK = (PE_PE_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_DBCR ------------------------------- +// SVD Line: 13654 + +unsigned int PE_PE_DBCR __AT (0x30000428); + + + +// ------------------------------ Field Item: PE_PE_DBCR_DBCLK ---------------------------------- +// SVD Line: 13664 + +// SFDITEM_FIELD__PE_PE_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000428) Port n Debounce Filter Sampling Clock Selection +// +// ( (unsigned char)((PE_PE_DBCR >> 16) & 0x7), ((PE_PE_DBCR = (PE_PE_DBCR & ~(0x7UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_DBCR_DBEN3 ---------------------------------- +// SVD Line: 13670 + +// SFDITEM_FIELD__PE_PE_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000428) Port n Debounce Enable 3 +// +// ( (unsigned int) PE_PE_DBCR ) +// DBEN3 +// +// +// + + +// ------------------------------ Field Item: PE_PE_DBCR_DBEN2 ---------------------------------- +// SVD Line: 13676 + +// SFDITEM_FIELD__PE_PE_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000428) Port n Debounce Enable 2 +// +// ( (unsigned int) PE_PE_DBCR ) +// DBEN2 +// +// +// + + +// ------------------------------ Field Item: PE_PE_DBCR_DBEN1 ---------------------------------- +// SVD Line: 13682 + +// SFDITEM_FIELD__PE_PE_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000428) Port n Debounce Enable 1 +// +// ( (unsigned int) PE_PE_DBCR ) +// DBEN1 +// +// +// + + +// ------------------------------ Field Item: PE_PE_DBCR_DBEN0 ---------------------------------- +// SVD Line: 13688 + +// SFDITEM_FIELD__PE_PE_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000428) Port n Debounce Enable 0 +// +// ( (unsigned int) PE_PE_DBCR ) +// DBEN0 +// +// +// + + +// ------------------------------- Register RTree: PE_PE_DBCR ----------------------------------- +// SVD Line: 13654 + +// SFDITEM_REG__PE_PE_DBCR +// PE_DBCR +// +// [Bits 31..0] RW (@ 0x30000428) Port n Debounce Control Register +// ( (unsigned int)((PE_PE_DBCR >> 0) & 0xFFFFFFFF), ((PE_PE_DBCR = (PE_PE_DBCR & ~(0x7000FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7000F) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_DBCR_DBCLK +// SFDITEM_FIELD__PE_PE_DBCR_DBEN3 +// SFDITEM_FIELD__PE_PE_DBCR_DBEN2 +// SFDITEM_FIELD__PE_PE_DBCR_DBEN1 +// SFDITEM_FIELD__PE_PE_DBCR_DBEN0 +// +// + + +// ----------------------------------- Peripheral View: PE -------------------------------------- +// SVD Line: 12656 + +// PE +// PE +// SFDITEM_REG__PE_MOD +// SFDITEM_REG__PE_TYP +// SFDITEM_REG__PE_AFSR1 +// SFDITEM_REG__PE_AFSR2 +// SFDITEM_REG__PE_PUPD +// SFDITEM_REG__PE_INDR +// SFDITEM_REG__PE_OUTDR +// SFDITEM_REG__PE_BSR +// SFDITEM_REG__PE_BCR +// SFDITEM_REG__PE_OUTDMSK +// SFDITEM_REG__PE_DBCR +// SFDITEM_REG__PE_PE_MOD +// SFDITEM_REG__PE_PE_TYP +// SFDITEM_REG__PE_PE_AFSR1 +// SFDITEM_REG__PE_PE_AFSR2 +// SFDITEM_REG__PE_PE_PUPD +// SFDITEM_REG__PE_PE_INDR +// SFDITEM_REG__PE_PE_OUTDR +// SFDITEM_REG__PE_PE_BSR +// SFDITEM_REG__PE_PE_BCR +// SFDITEM_REG__PE_PE_OUTDMSK +// SFDITEM_REG__PE_PE_DBCR +// +// + + +// ------------------------------ Register Item Address: PF_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PF_MOD __AT (0x30000500); + + + +// -------------------------------- Field Item: PF_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PF_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000500) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PF_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000500) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PF_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000500) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PF_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000500) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PF_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000500) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PF_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000500) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PF_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000500) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PF_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000500) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PF_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000500) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PF_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000500) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PF_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000500) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PF_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000500) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PF_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000500) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PF_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000500) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PF_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000500) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PF_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000500) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PF_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PF_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000500) Port n Mode Register +// ( (unsigned int)((PF_MOD >> 0) & 0xFFFFFFFF), ((PF_MOD = (PF_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_MOD_MODE15 +// SFDITEM_FIELD__PF_MOD_MODE14 +// SFDITEM_FIELD__PF_MOD_MODE13 +// SFDITEM_FIELD__PF_MOD_MODE12 +// SFDITEM_FIELD__PF_MOD_MODE11 +// SFDITEM_FIELD__PF_MOD_MODE10 +// SFDITEM_FIELD__PF_MOD_MODE9 +// SFDITEM_FIELD__PF_MOD_MODE8 +// SFDITEM_FIELD__PF_MOD_MODE7 +// SFDITEM_FIELD__PF_MOD_MODE6 +// SFDITEM_FIELD__PF_MOD_MODE5 +// SFDITEM_FIELD__PF_MOD_MODE4 +// SFDITEM_FIELD__PF_MOD_MODE3 +// SFDITEM_FIELD__PF_MOD_MODE2 +// SFDITEM_FIELD__PF_MOD_MODE1 +// SFDITEM_FIELD__PF_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PF_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PF_TYP __AT (0x30000504); + + + +// -------------------------------- Field Item: PF_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PF_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000504) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PF_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000504) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PF_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000504) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PF_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000504) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PF_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000504) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PF_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000504) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PF_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000504) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PF_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000504) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PF_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000504) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PF_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000504) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PF_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000504) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PF_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000504) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PF_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000504) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PF_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000504) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PF_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000504) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PF_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000504) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PF_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PF_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000504) Port n Output Type Selection Register +// ( (unsigned int)((PF_TYP >> 0) & 0xFFFFFFFF), ((PF_TYP = (PF_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_TYP_TYP15 +// SFDITEM_FIELD__PF_TYP_TYP14 +// SFDITEM_FIELD__PF_TYP_TYP13 +// SFDITEM_FIELD__PF_TYP_TYP12 +// SFDITEM_FIELD__PF_TYP_TYP11 +// SFDITEM_FIELD__PF_TYP_TYP10 +// SFDITEM_FIELD__PF_TYP_TYP9 +// SFDITEM_FIELD__PF_TYP_TYP8 +// SFDITEM_FIELD__PF_TYP_TYP7 +// SFDITEM_FIELD__PF_TYP_TYP6 +// SFDITEM_FIELD__PF_TYP_TYP5 +// SFDITEM_FIELD__PF_TYP_TYP4 +// SFDITEM_FIELD__PF_TYP_TYP3 +// SFDITEM_FIELD__PF_TYP_TYP2 +// SFDITEM_FIELD__PF_TYP_TYP1 +// SFDITEM_FIELD__PF_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PF_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PF_AFSR1 __AT (0x30000508); + + + +// ------------------------------- Field Item: PF_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PF_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000508) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PF_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000508) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PF_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000508) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PF_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000508) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PF_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000508) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PF_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000508) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PF_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000508) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PF_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000508) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PF_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PF_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000508) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PF_AFSR1 >> 0) & 0xFFFFFFFF), ((PF_AFSR1 = (PF_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_AFSR1_AFSR7 +// SFDITEM_FIELD__PF_AFSR1_AFSR6 +// SFDITEM_FIELD__PF_AFSR1_AFSR5 +// SFDITEM_FIELD__PF_AFSR1_AFSR4 +// SFDITEM_FIELD__PF_AFSR1_AFSR3 +// SFDITEM_FIELD__PF_AFSR1_AFSR2 +// SFDITEM_FIELD__PF_AFSR1_AFSR1 +// SFDITEM_FIELD__PF_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PF_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PF_AFSR2 __AT (0x3000050C); + + + +// ------------------------------- Field Item: PF_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PF_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000050C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PF_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000050C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PF_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000050C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PF_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000050C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PF_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000050C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PF_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000050C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PF_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000050C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PF_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000050C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PF_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PF_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000050C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PF_AFSR2 >> 0) & 0xFFFFFFFF), ((PF_AFSR2 = (PF_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_AFSR2_AFSR15 +// SFDITEM_FIELD__PF_AFSR2_AFSR14 +// SFDITEM_FIELD__PF_AFSR2_AFSR13 +// SFDITEM_FIELD__PF_AFSR2_AFSR12 +// SFDITEM_FIELD__PF_AFSR2_AFSR11 +// SFDITEM_FIELD__PF_AFSR2_AFSR10 +// SFDITEM_FIELD__PF_AFSR2_AFSR9 +// SFDITEM_FIELD__PF_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PF_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PF_PUPD __AT (0x30000510); + + + +// ------------------------------- Field Item: PF_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PF_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PF_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PF_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PF_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PF_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PF_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PF_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PF_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PF_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PF_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PF_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PF_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PF_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PF_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PF_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PF_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PF_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PF_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PF_PUPD >> 0) & 0xFFFFFFFF), ((PF_PUPD = (PF_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PUPD_PUPD15 +// SFDITEM_FIELD__PF_PUPD_PUPD14 +// SFDITEM_FIELD__PF_PUPD_PUPD13 +// SFDITEM_FIELD__PF_PUPD_PUPD12 +// SFDITEM_FIELD__PF_PUPD_PUPD11 +// SFDITEM_FIELD__PF_PUPD_PUPD10 +// SFDITEM_FIELD__PF_PUPD_PUPD9 +// SFDITEM_FIELD__PF_PUPD_PUPD8 +// SFDITEM_FIELD__PF_PUPD_PUPD7 +// SFDITEM_FIELD__PF_PUPD_PUPD6 +// SFDITEM_FIELD__PF_PUPD_PUPD5 +// SFDITEM_FIELD__PF_PUPD_PUPD4 +// SFDITEM_FIELD__PF_PUPD_PUPD3 +// SFDITEM_FIELD__PF_PUPD_PUPD2 +// SFDITEM_FIELD__PF_PUPD_PUPD1 +// SFDITEM_FIELD__PF_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PF_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PF_INDR __AT (0x30000514); + + + +// ------------------------------- Field Item: PF_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PF_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000514) Port n Input Data 15 +// +// ( (unsigned int) PF_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PF_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000514) Port n Input Data 14 +// +// ( (unsigned int) PF_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PF_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000514) Port n Input Data 13 +// +// ( (unsigned int) PF_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PF_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000514) Port n Input Data 12 +// +// ( (unsigned int) PF_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PF_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000514) Port n Input Data 11 +// +// ( (unsigned int) PF_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PF_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000514) Port n Input Data 10 +// +// ( (unsigned int) PF_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PF_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000514) Port n Input Data 9 +// +// ( (unsigned int) PF_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PF_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000514) Port n Input Data 8 +// +// ( (unsigned int) PF_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PF_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000514) Port n Input Data 7 +// +// ( (unsigned int) PF_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PF_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000514) Port n Input Data 6 +// +// ( (unsigned int) PF_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PF_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000514) Port n Input Data 5 +// +// ( (unsigned int) PF_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PF_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000514) Port n Input Data 4 +// +// ( (unsigned int) PF_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PF_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000514) Port n Input Data 3 +// +// ( (unsigned int) PF_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PF_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000514) Port n Input Data 2 +// +// ( (unsigned int) PF_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PF_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000514) Port n Input Data 1 +// +// ( (unsigned int) PF_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PF_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000514) Port n Input Data 0 +// +// ( (unsigned int) PF_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PF_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PF_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000514) Port n Input Data Register +// ( (unsigned int)((PF_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PF_INDR_INDR15 +// SFDITEM_FIELD__PF_INDR_INDR14 +// SFDITEM_FIELD__PF_INDR_INDR13 +// SFDITEM_FIELD__PF_INDR_INDR12 +// SFDITEM_FIELD__PF_INDR_INDR11 +// SFDITEM_FIELD__PF_INDR_INDR10 +// SFDITEM_FIELD__PF_INDR_INDR9 +// SFDITEM_FIELD__PF_INDR_INDR8 +// SFDITEM_FIELD__PF_INDR_INDR7 +// SFDITEM_FIELD__PF_INDR_INDR6 +// SFDITEM_FIELD__PF_INDR_INDR5 +// SFDITEM_FIELD__PF_INDR_INDR4 +// SFDITEM_FIELD__PF_INDR_INDR3 +// SFDITEM_FIELD__PF_INDR_INDR2 +// SFDITEM_FIELD__PF_INDR_INDR1 +// SFDITEM_FIELD__PF_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PF_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PF_OUTDR __AT (0x30000518); + + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000518) Port n Output Data 15 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000518) Port n Output Data 14 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000518) Port n Output Data 13 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000518) Port n Output Data 12 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000518) Port n Output Data 11 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000518) Port n Output Data 10 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000518) Port n Output Data 9 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000518) Port n Output Data 8 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000518) Port n Output Data 7 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000518) Port n Output Data 6 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000518) Port n Output Data 5 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000518) Port n Output Data 4 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000518) Port n Output Data 3 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000518) Port n Output Data 2 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000518) Port n Output Data 1 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000518) Port n Output Data 0 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PF_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PF_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000518) Port n Output Data Register +// ( (unsigned int)((PF_OUTDR >> 0) & 0xFFFFFFFF), ((PF_OUTDR = (PF_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_OUTDR_OUTDR15 +// SFDITEM_FIELD__PF_OUTDR_OUTDR14 +// SFDITEM_FIELD__PF_OUTDR_OUTDR13 +// SFDITEM_FIELD__PF_OUTDR_OUTDR12 +// SFDITEM_FIELD__PF_OUTDR_OUTDR11 +// SFDITEM_FIELD__PF_OUTDR_OUTDR10 +// SFDITEM_FIELD__PF_OUTDR_OUTDR9 +// SFDITEM_FIELD__PF_OUTDR_OUTDR8 +// SFDITEM_FIELD__PF_OUTDR_OUTDR7 +// SFDITEM_FIELD__PF_OUTDR_OUTDR6 +// SFDITEM_FIELD__PF_OUTDR_OUTDR5 +// SFDITEM_FIELD__PF_OUTDR_OUTDR4 +// SFDITEM_FIELD__PF_OUTDR_OUTDR3 +// SFDITEM_FIELD__PF_OUTDR_OUTDR2 +// SFDITEM_FIELD__PF_OUTDR_OUTDR1 +// SFDITEM_FIELD__PF_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PF_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PF_BSR __AT (0x3000051C); + + + +// -------------------------------- Field Item: PF_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PF_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000051C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PF_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000051C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PF_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000051C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PF_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000051C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PF_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000051C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PF_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000051C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PF_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000051C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PF_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000051C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PF_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000051C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PF_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000051C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PF_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000051C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PF_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000051C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PF_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000051C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PF_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000051C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PF_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000051C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PF_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000051C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PF_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PF_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000051C) Port n Output Bit Set Register +// ( (unsigned int)((PF_BSR >> 0) & 0xFFFFFFFF), ((PF_BSR = (PF_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_BSR_BSR15 +// SFDITEM_FIELD__PF_BSR_BSR14 +// SFDITEM_FIELD__PF_BSR_BSR13 +// SFDITEM_FIELD__PF_BSR_BSR12 +// SFDITEM_FIELD__PF_BSR_BSR11 +// SFDITEM_FIELD__PF_BSR_BSR10 +// SFDITEM_FIELD__PF_BSR_BSR9 +// SFDITEM_FIELD__PF_BSR_BSR8 +// SFDITEM_FIELD__PF_BSR_BSR7 +// SFDITEM_FIELD__PF_BSR_BSR6 +// SFDITEM_FIELD__PF_BSR_BSR5 +// SFDITEM_FIELD__PF_BSR_BSR4 +// SFDITEM_FIELD__PF_BSR_BSR3 +// SFDITEM_FIELD__PF_BSR_BSR2 +// SFDITEM_FIELD__PF_BSR_BSR1 +// SFDITEM_FIELD__PF_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PF_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PF_BCR __AT (0x30000520); + + + +// -------------------------------- Field Item: PF_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PF_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000520) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PF_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000520) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PF_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000520) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PF_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000520) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PF_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000520) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PF_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000520) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PF_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000520) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PF_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000520) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PF_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000520) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PF_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000520) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PF_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000520) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PF_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000520) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PF_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000520) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PF_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000520) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PF_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000520) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PF_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000520) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PF_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PF_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000520) Port n Output Bit Clear Register +// ( (unsigned int)((PF_BCR >> 0) & 0xFFFFFFFF), ((PF_BCR = (PF_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_BCR_BCR15 +// SFDITEM_FIELD__PF_BCR_BCR14 +// SFDITEM_FIELD__PF_BCR_BCR13 +// SFDITEM_FIELD__PF_BCR_BCR12 +// SFDITEM_FIELD__PF_BCR_BCR11 +// SFDITEM_FIELD__PF_BCR_BCR10 +// SFDITEM_FIELD__PF_BCR_BCR9 +// SFDITEM_FIELD__PF_BCR_BCR8 +// SFDITEM_FIELD__PF_BCR_BCR7 +// SFDITEM_FIELD__PF_BCR_BCR6 +// SFDITEM_FIELD__PF_BCR_BCR5 +// SFDITEM_FIELD__PF_BCR_BCR4 +// SFDITEM_FIELD__PF_BCR_BCR3 +// SFDITEM_FIELD__PF_BCR_BCR2 +// SFDITEM_FIELD__PF_BCR_BCR1 +// SFDITEM_FIELD__PF_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PF_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PF_OUTDMSK __AT (0x30000524); + + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000524) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000524) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000524) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000524) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000524) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000524) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000524) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000524) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000524) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000524) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000524) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000524) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000524) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000524) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000524) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000524) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PF_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PF_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000524) Port n Output Data Mask Register +// ( (unsigned int)((PF_OUTDMSK >> 0) & 0xFFFFFFFF), ((PF_OUTDMSK = (PF_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PF_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PF_DBCR __AT (0x30000528); + + + +// -------------------------------- Field Item: PF_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PF_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000528) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PF_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PF_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PF_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000528) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PF_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PF_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000528) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PF_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000528) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PF_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000528) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PF_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000528) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PF_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000528) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PF_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000528) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PF_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000528) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PF_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000528) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PF_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000528) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PF_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000528) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PF_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000528) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PF_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PF_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000528) Port n Debounce Control Register +// ( (unsigned int)((PF_DBCR >> 0) & 0xFFFFFFFF), ((PF_DBCR = (PF_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_DBCR_DBCLK +// SFDITEM_FIELD__PF_DBCR_DBEN11 +// SFDITEM_FIELD__PF_DBCR_DBEN10 +// SFDITEM_FIELD__PF_DBCR_DBEN9 +// SFDITEM_FIELD__PF_DBCR_DBEN8 +// SFDITEM_FIELD__PF_DBCR_DBEN7 +// SFDITEM_FIELD__PF_DBCR_DBEN6 +// SFDITEM_FIELD__PF_DBCR_DBEN5 +// SFDITEM_FIELD__PF_DBCR_DBEN4 +// SFDITEM_FIELD__PF_DBCR_DBEN3 +// SFDITEM_FIELD__PF_DBCR_DBEN2 +// SFDITEM_FIELD__PF_DBCR_DBEN1 +// SFDITEM_FIELD__PF_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_MOD -------------------------------- +// SVD Line: 13712 + +unsigned int PF_PF_MOD __AT (0x30000500); + + + +// ------------------------------ Field Item: PF_PF_MOD_MODE11 ---------------------------------- +// SVD Line: 13722 + +// SFDITEM_FIELD__PF_PF_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000500) Port n Mode Selection 11 +// +// ( (unsigned char)((PF_PF_MOD >> 22) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_MOD_MODE10 ---------------------------------- +// SVD Line: 13728 + +// SFDITEM_FIELD__PF_PF_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000500) Port n Mode Selection 10 +// +// ( (unsigned char)((PF_PF_MOD >> 20) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE9 ---------------------------------- +// SVD Line: 13734 + +// SFDITEM_FIELD__PF_PF_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000500) Port n Mode Selection 9 +// +// ( (unsigned char)((PF_PF_MOD >> 18) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE8 ---------------------------------- +// SVD Line: 13740 + +// SFDITEM_FIELD__PF_PF_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000500) Port n Mode Selection 8 +// +// ( (unsigned char)((PF_PF_MOD >> 16) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE7 ---------------------------------- +// SVD Line: 13746 + +// SFDITEM_FIELD__PF_PF_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000500) Port n Mode Selection 7 +// +// ( (unsigned char)((PF_PF_MOD >> 14) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE6 ---------------------------------- +// SVD Line: 13752 + +// SFDITEM_FIELD__PF_PF_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000500) Port n Mode Selection 6 +// +// ( (unsigned char)((PF_PF_MOD >> 12) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE5 ---------------------------------- +// SVD Line: 13758 + +// SFDITEM_FIELD__PF_PF_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000500) Port n Mode Selection 5 +// +// ( (unsigned char)((PF_PF_MOD >> 10) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE4 ---------------------------------- +// SVD Line: 13764 + +// SFDITEM_FIELD__PF_PF_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000500) Port n Mode Selection 4 +// +// ( (unsigned char)((PF_PF_MOD >> 8) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE3 ---------------------------------- +// SVD Line: 13770 + +// SFDITEM_FIELD__PF_PF_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000500) Port n Mode Selection 3 +// +// ( (unsigned char)((PF_PF_MOD >> 6) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE2 ---------------------------------- +// SVD Line: 13776 + +// SFDITEM_FIELD__PF_PF_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000500) Port n Mode Selection 2 +// +// ( (unsigned char)((PF_PF_MOD >> 4) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE1 ---------------------------------- +// SVD Line: 13782 + +// SFDITEM_FIELD__PF_PF_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000500) Port n Mode Selection 1 +// +// ( (unsigned char)((PF_PF_MOD >> 2) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE0 ---------------------------------- +// SVD Line: 13788 + +// SFDITEM_FIELD__PF_PF_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000500) Port n Mode Selection 0 +// +// ( (unsigned char)((PF_PF_MOD >> 0) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PF_PF_MOD ----------------------------------- +// SVD Line: 13712 + +// SFDITEM_REG__PF_PF_MOD +// PF_MOD +// +// [Bits 31..0] RW (@ 0x30000500) Port n Mode Register +// ( (unsigned int)((PF_PF_MOD >> 0) & 0xFFFFFFFF), ((PF_PF_MOD = (PF_PF_MOD & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_MOD_MODE11 +// SFDITEM_FIELD__PF_PF_MOD_MODE10 +// SFDITEM_FIELD__PF_PF_MOD_MODE9 +// SFDITEM_FIELD__PF_PF_MOD_MODE8 +// SFDITEM_FIELD__PF_PF_MOD_MODE7 +// SFDITEM_FIELD__PF_PF_MOD_MODE6 +// SFDITEM_FIELD__PF_PF_MOD_MODE5 +// SFDITEM_FIELD__PF_PF_MOD_MODE4 +// SFDITEM_FIELD__PF_PF_MOD_MODE3 +// SFDITEM_FIELD__PF_PF_MOD_MODE2 +// SFDITEM_FIELD__PF_PF_MOD_MODE1 +// SFDITEM_FIELD__PF_PF_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_TYP -------------------------------- +// SVD Line: 13796 + +unsigned int PF_PF_TYP __AT (0x30000504); + + + +// ------------------------------- Field Item: PF_PF_TYP_TYP11 ---------------------------------- +// SVD Line: 13806 + +// SFDITEM_FIELD__PF_PF_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000504) Port n Output Type Selection 11 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP10 ---------------------------------- +// SVD Line: 13812 + +// SFDITEM_FIELD__PF_PF_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000504) Port n Output Type Selection 10 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP9 ----------------------------------- +// SVD Line: 13818 + +// SFDITEM_FIELD__PF_PF_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000504) Port n Output Type Selection 9 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP8 ----------------------------------- +// SVD Line: 13824 + +// SFDITEM_FIELD__PF_PF_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000504) Port n Output Type Selection 8 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP7 ----------------------------------- +// SVD Line: 13830 + +// SFDITEM_FIELD__PF_PF_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000504) Port n Output Type Selection 7 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP6 ----------------------------------- +// SVD Line: 13836 + +// SFDITEM_FIELD__PF_PF_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000504) Port n Output Type Selection 6 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP5 ----------------------------------- +// SVD Line: 13842 + +// SFDITEM_FIELD__PF_PF_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000504) Port n Output Type Selection 5 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP4 ----------------------------------- +// SVD Line: 13848 + +// SFDITEM_FIELD__PF_PF_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000504) Port n Output Type Selection 4 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP3 ----------------------------------- +// SVD Line: 13854 + +// SFDITEM_FIELD__PF_PF_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000504) Port n Output Type Selection 3 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP2 ----------------------------------- +// SVD Line: 13860 + +// SFDITEM_FIELD__PF_PF_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000504) Port n Output Type Selection 2 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP1 ----------------------------------- +// SVD Line: 13866 + +// SFDITEM_FIELD__PF_PF_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000504) Port n Output Type Selection 1 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP0 ----------------------------------- +// SVD Line: 13872 + +// SFDITEM_FIELD__PF_PF_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000504) Port n Output Type Selection 0 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PF_PF_TYP ----------------------------------- +// SVD Line: 13796 + +// SFDITEM_REG__PF_PF_TYP +// PF_TYP +// +// [Bits 31..0] RW (@ 0x30000504) Port n Output Type Selection Register +// ( (unsigned int)((PF_PF_TYP >> 0) & 0xFFFFFFFF), ((PF_PF_TYP = (PF_PF_TYP & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_TYP_TYP11 +// SFDITEM_FIELD__PF_PF_TYP_TYP10 +// SFDITEM_FIELD__PF_PF_TYP_TYP9 +// SFDITEM_FIELD__PF_PF_TYP_TYP8 +// SFDITEM_FIELD__PF_PF_TYP_TYP7 +// SFDITEM_FIELD__PF_PF_TYP_TYP6 +// SFDITEM_FIELD__PF_PF_TYP_TYP5 +// SFDITEM_FIELD__PF_PF_TYP_TYP4 +// SFDITEM_FIELD__PF_PF_TYP_TYP3 +// SFDITEM_FIELD__PF_PF_TYP_TYP2 +// SFDITEM_FIELD__PF_PF_TYP_TYP1 +// SFDITEM_FIELD__PF_PF_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PF_PF_AFSR1 ------------------------------- +// SVD Line: 13880 + +unsigned int PF_PF_AFSR1 __AT (0x30000508); + + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR7 --------------------------------- +// SVD Line: 13890 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000508) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 28) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR6 --------------------------------- +// SVD Line: 13896 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000508) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 24) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR5 --------------------------------- +// SVD Line: 13902 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000508) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 20) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR4 --------------------------------- +// SVD Line: 13908 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000508) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 16) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR3 --------------------------------- +// SVD Line: 13914 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000508) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 12) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR2 --------------------------------- +// SVD Line: 13920 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000508) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 8) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR1 --------------------------------- +// SVD Line: 13926 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000508) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 4) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR0 --------------------------------- +// SVD Line: 13932 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000508) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 0) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PF_PF_AFSR1 ---------------------------------- +// SVD Line: 13880 + +// SFDITEM_REG__PF_PF_AFSR1 +// PF_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000508) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PF_PF_AFSR1 >> 0) & 0xFFFFFFFF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR7 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR6 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR5 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR4 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR3 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR2 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR1 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PF_PF_AFSR2 ------------------------------- +// SVD Line: 13940 + +unsigned int PF_PF_AFSR2 __AT (0x3000050C); + + + +// ----------------------------- Field Item: PF_PF_AFSR2_AFSR11 --------------------------------- +// SVD Line: 13950 + +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000050C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PF_PF_AFSR2 >> 12) & 0xF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PF_PF_AFSR2_AFSR10 --------------------------------- +// SVD Line: 13956 + +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000050C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PF_PF_AFSR2 >> 8) & 0xF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR2_AFSR9 --------------------------------- +// SVD Line: 13962 + +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000050C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PF_PF_AFSR2 >> 4) & 0xF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR2_AFSR8 --------------------------------- +// SVD Line: 13968 + +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000050C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PF_PF_AFSR2 >> 0) & 0xF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PF_PF_AFSR2 ---------------------------------- +// SVD Line: 13940 + +// SFDITEM_REG__PF_PF_AFSR2 +// PF_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000050C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PF_PF_AFSR2 >> 0) & 0xFFFFFFFF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR11 +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR10 +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR9 +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PF_PF_PUPD ------------------------------- +// SVD Line: 13976 + +unsigned int PF_PF_PUPD __AT (0x30000510); + + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD11 --------------------------------- +// SVD Line: 13986 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PF_PF_PUPD >> 22) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD10 --------------------------------- +// SVD Line: 13992 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PF_PF_PUPD >> 20) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD9 ---------------------------------- +// SVD Line: 13998 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PF_PF_PUPD >> 18) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD8 ---------------------------------- +// SVD Line: 14004 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PF_PF_PUPD >> 16) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD7 ---------------------------------- +// SVD Line: 14010 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PF_PF_PUPD >> 14) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD6 ---------------------------------- +// SVD Line: 14016 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PF_PF_PUPD >> 12) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD5 ---------------------------------- +// SVD Line: 14022 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PF_PF_PUPD >> 10) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD4 ---------------------------------- +// SVD Line: 14028 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PF_PF_PUPD >> 8) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD3 ---------------------------------- +// SVD Line: 14034 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PF_PF_PUPD >> 6) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD2 ---------------------------------- +// SVD Line: 14040 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PF_PF_PUPD >> 4) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD1 ---------------------------------- +// SVD Line: 14046 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PF_PF_PUPD >> 2) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD0 ---------------------------------- +// SVD Line: 14052 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PF_PF_PUPD >> 0) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PF_PF_PUPD ----------------------------------- +// SVD Line: 13976 + +// SFDITEM_REG__PF_PF_PUPD +// PF_PUPD +// +// [Bits 31..0] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PF_PF_PUPD >> 0) & 0xFFFFFFFF), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_PUPD_PUPD11 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD10 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD9 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD8 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD7 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD6 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD5 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD4 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD3 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD2 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD1 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_INDR ------------------------------- +// SVD Line: 14060 + +unsigned int PF_PF_INDR __AT (0x30000514); + + + +// ------------------------------ Field Item: PF_PF_INDR_INDR11 --------------------------------- +// SVD Line: 14070 + +// SFDITEM_FIELD__PF_PF_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000514) Port n Input Data 11 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR10 --------------------------------- +// SVD Line: 14076 + +// SFDITEM_FIELD__PF_PF_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000514) Port n Input Data 10 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR9 ---------------------------------- +// SVD Line: 14082 + +// SFDITEM_FIELD__PF_PF_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000514) Port n Input Data 9 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR8 ---------------------------------- +// SVD Line: 14088 + +// SFDITEM_FIELD__PF_PF_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000514) Port n Input Data 8 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR7 ---------------------------------- +// SVD Line: 14094 + +// SFDITEM_FIELD__PF_PF_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000514) Port n Input Data 7 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR6 ---------------------------------- +// SVD Line: 14100 + +// SFDITEM_FIELD__PF_PF_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000514) Port n Input Data 6 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR5 ---------------------------------- +// SVD Line: 14106 + +// SFDITEM_FIELD__PF_PF_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000514) Port n Input Data 5 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR4 ---------------------------------- +// SVD Line: 14112 + +// SFDITEM_FIELD__PF_PF_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000514) Port n Input Data 4 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR3 ---------------------------------- +// SVD Line: 14118 + +// SFDITEM_FIELD__PF_PF_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000514) Port n Input Data 3 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR2 ---------------------------------- +// SVD Line: 14124 + +// SFDITEM_FIELD__PF_PF_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000514) Port n Input Data 2 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR1 ---------------------------------- +// SVD Line: 14130 + +// SFDITEM_FIELD__PF_PF_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000514) Port n Input Data 1 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR0 ---------------------------------- +// SVD Line: 14136 + +// SFDITEM_FIELD__PF_PF_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000514) Port n Input Data 0 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PF_PF_INDR ----------------------------------- +// SVD Line: 14060 + +// SFDITEM_REG__PF_PF_INDR +// PF_INDR +// +// [Bits 31..0] RO (@ 0x30000514) Port n Input Data Register +// ( (unsigned int)((PF_PF_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PF_PF_INDR_INDR11 +// SFDITEM_FIELD__PF_PF_INDR_INDR10 +// SFDITEM_FIELD__PF_PF_INDR_INDR9 +// SFDITEM_FIELD__PF_PF_INDR_INDR8 +// SFDITEM_FIELD__PF_PF_INDR_INDR7 +// SFDITEM_FIELD__PF_PF_INDR_INDR6 +// SFDITEM_FIELD__PF_PF_INDR_INDR5 +// SFDITEM_FIELD__PF_PF_INDR_INDR4 +// SFDITEM_FIELD__PF_PF_INDR_INDR3 +// SFDITEM_FIELD__PF_PF_INDR_INDR2 +// SFDITEM_FIELD__PF_PF_INDR_INDR1 +// SFDITEM_FIELD__PF_PF_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PF_PF_OUTDR ------------------------------- +// SVD Line: 14144 + +unsigned int PF_PF_OUTDR __AT (0x30000518); + + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 14154 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000518) Port n Output Data 11 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 14160 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000518) Port n Output Data 10 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 14166 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000518) Port n Output Data 9 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 14172 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000518) Port n Output Data 8 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 14178 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000518) Port n Output Data 7 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 14184 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000518) Port n Output Data 6 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 14190 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000518) Port n Output Data 5 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 14196 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000518) Port n Output Data 4 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 14202 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000518) Port n Output Data 3 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 14208 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000518) Port n Output Data 2 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 14214 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000518) Port n Output Data 1 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 14220 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000518) Port n Output Data 0 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PF_PF_OUTDR ---------------------------------- +// SVD Line: 14144 + +// SFDITEM_REG__PF_PF_OUTDR +// PF_OUTDR +// +// [Bits 31..0] RW (@ 0x30000518) Port n Output Data Register +// ( (unsigned int)((PF_PF_OUTDR >> 0) & 0xFFFFFFFF), ((PF_PF_OUTDR = (PF_PF_OUTDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR11 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR10 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR9 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR8 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR7 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR6 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR5 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR4 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR3 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR2 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR1 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_BSR -------------------------------- +// SVD Line: 14228 + +unsigned int PF_PF_BSR __AT (0x3000051C); + + + +// ------------------------------- Field Item: PF_PF_BSR_BSR11 ---------------------------------- +// SVD Line: 14238 + +// SFDITEM_FIELD__PF_PF_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000051C) Port n Output Bit Set 11 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR10 ---------------------------------- +// SVD Line: 14244 + +// SFDITEM_FIELD__PF_PF_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000051C) Port n Output Bit Set 10 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR9 ----------------------------------- +// SVD Line: 14250 + +// SFDITEM_FIELD__PF_PF_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000051C) Port n Output Bit Set 9 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR8 ----------------------------------- +// SVD Line: 14256 + +// SFDITEM_FIELD__PF_PF_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000051C) Port n Output Bit Set 8 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR7 ----------------------------------- +// SVD Line: 14262 + +// SFDITEM_FIELD__PF_PF_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000051C) Port n Output Bit Set 7 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR6 ----------------------------------- +// SVD Line: 14268 + +// SFDITEM_FIELD__PF_PF_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000051C) Port n Output Bit Set 6 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR5 ----------------------------------- +// SVD Line: 14274 + +// SFDITEM_FIELD__PF_PF_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000051C) Port n Output Bit Set 5 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR4 ----------------------------------- +// SVD Line: 14280 + +// SFDITEM_FIELD__PF_PF_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000051C) Port n Output Bit Set 4 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR3 ----------------------------------- +// SVD Line: 14286 + +// SFDITEM_FIELD__PF_PF_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000051C) Port n Output Bit Set 3 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR2 ----------------------------------- +// SVD Line: 14292 + +// SFDITEM_FIELD__PF_PF_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000051C) Port n Output Bit Set 2 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR1 ----------------------------------- +// SVD Line: 14298 + +// SFDITEM_FIELD__PF_PF_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000051C) Port n Output Bit Set 1 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR0 ----------------------------------- +// SVD Line: 14304 + +// SFDITEM_FIELD__PF_PF_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000051C) Port n Output Bit Set 0 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PF_PF_BSR ----------------------------------- +// SVD Line: 14228 + +// SFDITEM_REG__PF_PF_BSR +// PF_BSR +// +// [Bits 31..0] WO (@ 0x3000051C) Port n Output Bit Set Register +// ( (unsigned int)((PF_PF_BSR >> 0) & 0xFFFFFFFF), ((PF_PF_BSR = (PF_PF_BSR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_BSR_BSR11 +// SFDITEM_FIELD__PF_PF_BSR_BSR10 +// SFDITEM_FIELD__PF_PF_BSR_BSR9 +// SFDITEM_FIELD__PF_PF_BSR_BSR8 +// SFDITEM_FIELD__PF_PF_BSR_BSR7 +// SFDITEM_FIELD__PF_PF_BSR_BSR6 +// SFDITEM_FIELD__PF_PF_BSR_BSR5 +// SFDITEM_FIELD__PF_PF_BSR_BSR4 +// SFDITEM_FIELD__PF_PF_BSR_BSR3 +// SFDITEM_FIELD__PF_PF_BSR_BSR2 +// SFDITEM_FIELD__PF_PF_BSR_BSR1 +// SFDITEM_FIELD__PF_PF_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_BCR -------------------------------- +// SVD Line: 14312 + +unsigned int PF_PF_BCR __AT (0x30000520); + + + +// ------------------------------- Field Item: PF_PF_BCR_BCR11 ---------------------------------- +// SVD Line: 14322 + +// SFDITEM_FIELD__PF_PF_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000520) Port n Output Bit Clear 11 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR10 ---------------------------------- +// SVD Line: 14328 + +// SFDITEM_FIELD__PF_PF_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000520) Port n Output Bit Clear 10 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR9 ----------------------------------- +// SVD Line: 14334 + +// SFDITEM_FIELD__PF_PF_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000520) Port n Output Bit Clear 9 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR8 ----------------------------------- +// SVD Line: 14340 + +// SFDITEM_FIELD__PF_PF_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000520) Port n Output Bit Clear 8 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR7 ----------------------------------- +// SVD Line: 14346 + +// SFDITEM_FIELD__PF_PF_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000520) Port n Output Bit Clear 7 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR6 ----------------------------------- +// SVD Line: 14352 + +// SFDITEM_FIELD__PF_PF_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000520) Port n Output Bit Clear 6 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR5 ----------------------------------- +// SVD Line: 14358 + +// SFDITEM_FIELD__PF_PF_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000520) Port n Output Bit Clear 5 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR4 ----------------------------------- +// SVD Line: 14364 + +// SFDITEM_FIELD__PF_PF_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000520) Port n Output Bit Clear 4 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR3 ----------------------------------- +// SVD Line: 14370 + +// SFDITEM_FIELD__PF_PF_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000520) Port n Output Bit Clear 3 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR2 ----------------------------------- +// SVD Line: 14376 + +// SFDITEM_FIELD__PF_PF_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000520) Port n Output Bit Clear 2 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR1 ----------------------------------- +// SVD Line: 14382 + +// SFDITEM_FIELD__PF_PF_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000520) Port n Output Bit Clear 1 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR0 ----------------------------------- +// SVD Line: 14388 + +// SFDITEM_FIELD__PF_PF_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000520) Port n Output Bit Clear 0 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PF_PF_BCR ----------------------------------- +// SVD Line: 14312 + +// SFDITEM_REG__PF_PF_BCR +// PF_BCR +// +// [Bits 31..0] WO (@ 0x30000520) Port n Output Bit Clear Register +// ( (unsigned int)((PF_PF_BCR >> 0) & 0xFFFFFFFF), ((PF_PF_BCR = (PF_PF_BCR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_BCR_BCR11 +// SFDITEM_FIELD__PF_PF_BCR_BCR10 +// SFDITEM_FIELD__PF_PF_BCR_BCR9 +// SFDITEM_FIELD__PF_PF_BCR_BCR8 +// SFDITEM_FIELD__PF_PF_BCR_BCR7 +// SFDITEM_FIELD__PF_PF_BCR_BCR6 +// SFDITEM_FIELD__PF_PF_BCR_BCR5 +// SFDITEM_FIELD__PF_PF_BCR_BCR4 +// SFDITEM_FIELD__PF_PF_BCR_BCR3 +// SFDITEM_FIELD__PF_PF_BCR_BCR2 +// SFDITEM_FIELD__PF_PF_BCR_BCR1 +// SFDITEM_FIELD__PF_PF_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PF_PF_OUTDMSK ------------------------------ +// SVD Line: 14396 + +unsigned int PF_PF_OUTDMSK __AT (0x30000524); + + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 14406 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000524) Port n Output Data Mask 11 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 14412 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000524) Port n Output Data Mask 10 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 14418 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000524) Port n Output Data Mask 9 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 14424 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000524) Port n Output Data Mask 8 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 14430 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000524) Port n Output Data Mask 7 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 14436 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000524) Port n Output Data Mask 6 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 14442 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000524) Port n Output Data Mask 5 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 14448 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000524) Port n Output Data Mask 4 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 14454 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000524) Port n Output Data Mask 3 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 14460 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000524) Port n Output Data Mask 2 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 14466 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000524) Port n Output Data Mask 1 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 14472 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000524) Port n Output Data Mask 0 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PF_PF_OUTDMSK --------------------------------- +// SVD Line: 14396 + +// SFDITEM_REG__PF_PF_OUTDMSK +// PF_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000524) Port n Output Data Mask Register +// ( (unsigned int)((PF_PF_OUTDMSK >> 0) & 0xFFFFFFFF), ((PF_PF_OUTDMSK = (PF_PF_OUTDMSK & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------------- Peripheral View: PF -------------------------------------- +// SVD Line: 13698 + +// PF +// PF +// SFDITEM_REG__PF_MOD +// SFDITEM_REG__PF_TYP +// SFDITEM_REG__PF_AFSR1 +// SFDITEM_REG__PF_AFSR2 +// SFDITEM_REG__PF_PUPD +// SFDITEM_REG__PF_INDR +// SFDITEM_REG__PF_OUTDR +// SFDITEM_REG__PF_BSR +// SFDITEM_REG__PF_BCR +// SFDITEM_REG__PF_OUTDMSK +// SFDITEM_REG__PF_DBCR +// SFDITEM_REG__PF_PF_MOD +// SFDITEM_REG__PF_PF_TYP +// SFDITEM_REG__PF_PF_AFSR1 +// SFDITEM_REG__PF_PF_AFSR2 +// SFDITEM_REG__PF_PF_PUPD +// SFDITEM_REG__PF_PF_INDR +// SFDITEM_REG__PF_PF_OUTDR +// SFDITEM_REG__PF_PF_BSR +// SFDITEM_REG__PF_PF_BCR +// SFDITEM_REG__PF_PF_OUTDMSK +// +// + + +// ----------------------------- Register Item Address: FMC_ADR --------------------------------- +// SVD Line: 14496 + +unsigned int FMC_ADR __AT (0x40001B00); + + + +// -------------------------------- Field Item: FMC_ADR_ADDR ------------------------------------ +// SVD Line: 14505 + +// SFDITEM_FIELD__FMC_ADR_ADDR +// ADDR +// +// [Bits 31..0] RW (@ 0x40001B00) Flash Memory Address Pointer +// +// ( (unsigned int)((FMC_ADR >> 0) & 0xFFFFFFFF), ((FMC_ADR = (FMC_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: FMC_ADR ------------------------------------ +// SVD Line: 14496 + +// SFDITEM_REG__FMC_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40001B00) Flash Memory Address Register +// ( (unsigned int)((FMC_ADR >> 0) & 0xFFFFFFFF), ((FMC_ADR = (FMC_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__FMC_ADR_ADDR +// +// + + +// ----------------------------- Register Item Address: FMC_IDR1 -------------------------------- +// SVD Line: 14513 + +unsigned int FMC_IDR1 __AT (0x40001B04); + + + +// -------------------------------- Field Item: FMC_IDR1_ID1 ------------------------------------ +// SVD Line: 14522 + +// SFDITEM_FIELD__FMC_IDR1_ID1 +// ID1 +// +// [Bits 31..0] RW (@ 0x40001B04) Flash Memory Identification 1 +// +// ( (unsigned int)((FMC_IDR1 >> 0) & 0xFFFFFFFF), ((FMC_IDR1 = (FMC_IDR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: FMC_IDR1 ------------------------------------ +// SVD Line: 14513 + +// SFDITEM_REG__FMC_IDR1 +// IDR1 +// +// [Bits 31..0] RW (@ 0x40001B04) Flash Memory Identification Register 1 +// ( (unsigned int)((FMC_IDR1 >> 0) & 0xFFFFFFFF), ((FMC_IDR1 = (FMC_IDR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__FMC_IDR1_ID1 +// +// + + +// ----------------------------- Register Item Address: FMC_IDR2 -------------------------------- +// SVD Line: 14530 + +unsigned int FMC_IDR2 __AT (0x40001B08); + + + +// -------------------------------- Field Item: FMC_IDR2_ID2 ------------------------------------ +// SVD Line: 14539 + +// SFDITEM_FIELD__FMC_IDR2_ID2 +// ID2 +// +// [Bits 31..0] RW (@ 0x40001B08) Flash Memory Identification 2 +// +// ( (unsigned int)((FMC_IDR2 >> 0) & 0xFFFFFFFF), ((FMC_IDR2 = (FMC_IDR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: FMC_IDR2 ------------------------------------ +// SVD Line: 14530 + +// SFDITEM_REG__FMC_IDR2 +// IDR2 +// +// [Bits 31..0] RW (@ 0x40001B08) Flash Memory Identification Register 2 +// ( (unsigned int)((FMC_IDR2 >> 0) & 0xFFFFFFFF), ((FMC_IDR2 = (FMC_IDR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__FMC_IDR2_ID2 +// +// + + +// ------------------------------ Register Item Address: FMC_CR --------------------------------- +// SVD Line: 14547 + +unsigned int FMC_CR __AT (0x40001B0C); + + + +// -------------------------------- Field Item: FMC_CR_WTIDKY ----------------------------------- +// SVD Line: 14556 + +// SFDITEM_FIELD__FMC_CR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001B0C) Write Identification Key (0x6c93) +// +// ( (unsigned short)((FMC_CR >> 16) & 0x0), ((FMC_CR = (FMC_CR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// -------------------------------- Field Item: FMC_CR_FMKEY ------------------------------------ +// SVD Line: 14569 + +// SFDITEM_FIELD__FMC_CR_FMKEY +// FMKEY +// +// [Bits 15..8] RW (@ 0x40001B0C) Flash Memory Operation Area Selection +// +// ( (unsigned char)((FMC_CR >> 8) & 0xFF), ((FMC_CR = (FMC_CR & ~(0xFFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 8 ) ) )) +// +// +// + + +// -------------------------------- Field Item: FMC_CR_FMBUSY ----------------------------------- +// SVD Line: 14575 + +// SFDITEM_FIELD__FMC_CR_FMBUSY +// FMBUSY +// +// [Bit 7] RO (@ 0x40001B0C) Flash Memory Operation Mode Busy +// +// ( (unsigned int) FMC_CR ) +// FMBUSY +// +// +// + + +// --------------------------------- Field Item: FMC_CR_FMOD ------------------------------------ +// SVD Line: 14581 + +// SFDITEM_FIELD__FMC_CR_FMOD +// FMOD +// +// [Bits 3..0] RW (@ 0x40001B0C) Flash Memory Operation Mode Selection +// +// ( (unsigned char)((FMC_CR >> 0) & 0xF), ((FMC_CR = (FMC_CR & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: FMC_CR ------------------------------------- +// SVD Line: 14547 + +// SFDITEM_REG__FMC_CR +// CR +// +// [Bits 31..0] RW (@ 0x40001B0C) Flash Memory Control Register +// ( (unsigned int)((FMC_CR >> 0) & 0xFFFFFFFF), ((FMC_CR = (FMC_CR & ~(0xFFFFFF0FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF0F) << 0 ) ) )) +// SFDITEM_FIELD__FMC_CR_WTIDKY +// SFDITEM_FIELD__FMC_CR_FMKEY +// SFDITEM_FIELD__FMC_CR_FMBUSY +// SFDITEM_FIELD__FMC_CR_FMOD +// +// + + +// ----------------------------- Register Item Address: FMC_BCR --------------------------------- +// SVD Line: 14589 + +unsigned int FMC_BCR __AT (0x40001B10); + + + +// ------------------------------- Field Item: FMC_BCR_WTIDKY ----------------------------------- +// SVD Line: 14598 + +// SFDITEM_FIELD__FMC_BCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001B10) Write Identification Key (0xc1be) +// +// ( (unsigned short)((FMC_BCR >> 16) & 0x0), ((FMC_BCR = (FMC_BCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: FMC_BCR_CNF3BEN ---------------------------------- +// SVD Line: 14611 + +// SFDITEM_FIELD__FMC_BCR_CNF3BEN +// CNF3BEN +// +// [Bits 11..8] RW (@ 0x40001B10) Configure Option Page 3 Bulk Erase Enable +// +// ( (unsigned char)((FMC_BCR >> 8) & 0xF), ((FMC_BCR = (FMC_BCR & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: FMC_BCR_CNF2BEN ---------------------------------- +// SVD Line: 14617 + +// SFDITEM_FIELD__FMC_BCR_CNF2BEN +// CNF2BEN +// +// [Bits 7..4] RW (@ 0x40001B10) Configure Option Page 2 Bulk Erase Enable +// +// ( (unsigned char)((FMC_BCR >> 4) & 0xF), ((FMC_BCR = (FMC_BCR & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: FMC_BCR_CNF1BEN ---------------------------------- +// SVD Line: 14623 + +// SFDITEM_FIELD__FMC_BCR_CNF1BEN +// CNF1BEN +// +// [Bits 3..0] RW (@ 0x40001B10) Configure Option Page 1 Bulk Erase Enable +// +// ( (unsigned char)((FMC_BCR >> 0) & 0xF), ((FMC_BCR = (FMC_BCR & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: FMC_BCR ------------------------------------ +// SVD Line: 14589 + +// SFDITEM_REG__FMC_BCR +// BCR +// +// [Bits 31..0] RW (@ 0x40001B10) Flash Memory Configure Area Bulk Erase Control Register +// ( (unsigned int)((FMC_BCR >> 0) & 0xFFFFFFFF), ((FMC_BCR = (FMC_BCR & ~(0xFFFF0FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF0FFF) << 0 ) ) )) +// SFDITEM_FIELD__FMC_BCR_WTIDKY +// SFDITEM_FIELD__FMC_BCR_CNF3BEN +// SFDITEM_FIELD__FMC_BCR_CNF2BEN +// SFDITEM_FIELD__FMC_BCR_CNF1BEN +// +// + + +// ---------------------------- Register Item Address: FMC_ERFLAG ------------------------------- +// SVD Line: 14631 + +unsigned int FMC_ERFLAG __AT (0x40001B14); + + + +// ----------------------------- Field Item: FMC_ERFLAG_INSTFLAG -------------------------------- +// SVD Line: 14640 + +// SFDITEM_FIELD__FMC_ERFLAG_INSTFLAG +// INSTFLAG +// +// [Bit 1] RW (@ 0x40001B14) Don't care +// +// ( (unsigned int) FMC_ERFLAG ) +// INSTFLAG +// +// +// + + +// ----------------------------- Field Item: FMC_ERFLAG_FMOPFLAG -------------------------------- +// SVD Line: 14646 + +// SFDITEM_FIELD__FMC_ERFLAG_FMOPFLAG +// FMOPFLAG +// +// [Bit 0] RW (@ 0x40001B14) Error bit of Flash Memory Operation Procedure +// +// ( (unsigned int) FMC_ERFLAG ) +// FMOPFLAG +// +// +// + + +// ------------------------------- Register RTree: FMC_ERFLAG ----------------------------------- +// SVD Line: 14631 + +// SFDITEM_REG__FMC_ERFLAG +// ERFLAG +// +// [Bits 31..0] RW (@ 0x40001B14) Flash Memory Error Flag +// ( (unsigned int)((FMC_ERFLAG >> 0) & 0xFFFFFFFF), ((FMC_ERFLAG = (FMC_ERFLAG & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3) << 0 ) ) )) +// SFDITEM_FIELD__FMC_ERFLAG_INSTFLAG +// SFDITEM_FIELD__FMC_ERFLAG_FMOPFLAG +// +// + + +// --------------------------- Register Item Address: FMC_PAGEBUF ------------------------------- +// SVD Line: 14654 + +unsigned int FMC_PAGEBUF __AT (0x40001C00); + + + +// ------------------------------- Register Item: FMC_PAGEBUF ----------------------------------- +// SVD Line: 14654 + +// SFDITEM_REG__FMC_PAGEBUF +// PAGEBUF +// [Bits 31..0] WO (@ 0x40001C00) Flash Memory Page Buffer Area (128bytes/Accessed by 32bit Word Only) +// +// ( (unsigned int)((FMC_PAGEBUF >> 0) & 0xFFFFFFFF), ((FMC_PAGEBUF = (FMC_PAGEBUF & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: FMC -------------------------------------- +// SVD Line: 14482 + +// FMC +// FMC +// SFDITEM_REG__FMC_ADR +// SFDITEM_REG__FMC_IDR1 +// SFDITEM_REG__FMC_IDR2 +// SFDITEM_REG__FMC_CR +// SFDITEM_REG__FMC_BCR +// SFDITEM_REG__FMC_ERFLAG +// SFDITEM_REG__FMC_PAGEBUF +// +// + + +// ------------------------------ Register Item Address: WDT_CR --------------------------------- +// SVD Line: 14684 + +unsigned int WDT_CR __AT (0x40001A00); + + + +// -------------------------------- Field Item: WDT_CR_WTIDKY ----------------------------------- +// SVD Line: 14693 + +// SFDITEM_FIELD__WDT_CR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001A00) Write Identification Key (0x5a69) +// +// ( (unsigned short)((WDT_CR >> 16) & 0x0), ((WDT_CR = (WDT_CR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// -------------------------------- Field Item: WDT_CR_RSTEN ------------------------------------ +// SVD Line: 14706 + +// SFDITEM_FIELD__WDT_CR_RSTEN +// RSTEN +// +// [Bits 15..10] RW (@ 0x40001A00) \nWatch-Dog Timer Reset Enable\n0 : Enable = Enable Watch-Dog Timer reset.\n1 : Reserved - do not use\n2 : Reserved - do not use\n3 : Reserved - do not use\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use\n16 : Reserved - do not use\n17 : Reserved - do not use\n18 : Reserved - do not use\n19 : Reserved - do not use\n20 : Reserved - do not use\n21 : Reserved - do not use\n22 : Reserved - do not use\n23 : Reserved - do not use\n24 : Reserved - do not use\n25 : Reserved - do not use\n26 : Reserved - do not use\n27 : Reserved - do not use\n28 : Reserved - do not use\n29 : Reserved - do not use\n30 : Reserved - do not use\n31 : Reserved - do not use\n32 : Reserved - do not use\n33 : Reserved - do not use\n34 : Reserved - do not use\n35 : Reserved - do not use\n36 : Reserved - do not use\n37 : Disable = Disable Watch-Dog Timer reset. (0x25)\n38 : Reserved - do not use\n39 : Reserved - do not use\n40 : Reserved - do not use\n41 : Reserved - do not use\n42 : Reserved - do not use\n43 : Reserved - do not use\n44 : Reserved - do not use\n45 : Reserved - do not use\n46 : Reserved - do not use\n47 : Reserved - do not use\n48 : Reserved - do not use\n49 : Reserved - do not use\n50 : Reserved - do not use\n51 : Reserved - do not use\n52 : Reserved - do not use\n53 : Reserved - do not use\n54 : Reserved - do not use\n55 : Reserved - do not use\n56 : Reserved - do not use\n57 : Reserved - do not use\n58 : Reserved - do not use\n59 : Reserved - do not use\n60 : Reserved - do not use\n61 : Reserved - do not use\n62 : Reserved - do not use\n63 : Reserved - do not use +// +// ( (unsigned int) WDT_CR ) +// RSTEN +// <0=> 0: Enable = Enable Watch-Dog Timer reset. +// <1=> 1: +// <2=> 2: +// <3=> 3: +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// <16=> 16: +// <17=> 17: +// <18=> 18: +// <19=> 19: +// <20=> 20: +// <21=> 21: +// <22=> 22: +// <23=> 23: +// <24=> 24: +// <25=> 25: +// <26=> 26: +// <27=> 27: +// <28=> 28: +// <29=> 29: +// <30=> 30: +// <31=> 31: +// <32=> 32: +// <33=> 33: +// <34=> 34: +// <35=> 35: +// <36=> 36: +// <37=> 37: Disable = Disable Watch-Dog Timer reset. (0x25) +// <38=> 38: +// <39=> 39: +// <40=> 40: +// <41=> 41: +// <42=> 42: +// <43=> 43: +// <44=> 44: +// <45=> 45: +// <46=> 46: +// <47=> 47: +// <48=> 48: +// <49=> 49: +// <50=> 50: +// <51=> 51: +// <52=> 52: +// <53=> 53: +// <54=> 54: +// <55=> 55: +// <56=> 56: +// <57=> 57: +// <58=> 58: +// <59=> 59: +// <60=> 60: +// <61=> 61: +// <62=> 62: +// <63=> 63: +// +// +// + + +// -------------------------------- Field Item: WDT_CR_CNTEN ------------------------------------ +// SVD Line: 14724 + +// SFDITEM_FIELD__WDT_CR_CNTEN +// CNTEN +// +// [Bits 9..4] RW (@ 0x40001A00) \nWatch-Dog Timer Counter Enable\n0 : Enable = Enable Watch-Dog Timer counter.\n1 : Reserved - do not use\n2 : Reserved - do not use\n3 : Reserved - do not use\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use\n16 : Reserved - do not use\n17 : Reserved - do not use\n18 : Reserved - do not use\n19 : Reserved - do not use\n20 : Reserved - do not use\n21 : Reserved - do not use\n22 : Reserved - do not use\n23 : Reserved - do not use\n24 : Reserved - do not use\n25 : Reserved - do not use\n26 : Disable = Disable Watch-Dog Timer counter. (0x1a)\n27 : Reserved - do not use\n28 : Reserved - do not use\n29 : Reserved - do not use\n30 : Reserved - do not use\n31 : Reserved - do not use\n32 : Reserved - do not use\n33 : Reserved - do not use\n34 : Reserved - do not use\n35 : Reserved - do not use\n36 : Reserved - do not use\n37 : Reserved - do not use\n38 : Reserved - do not use\n39 : Reserved - do not use\n40 : Reserved - do not use\n41 : Reserved - do not use\n42 : Reserved - do not use\n43 : Reserved - do not use\n44 : Reserved - do not use\n45 : Reserved - do not use\n46 : Reserved - do not use\n47 : Reserved - do not use\n48 : Reserved - do not use\n49 : Reserved - do not use\n50 : Reserved - do not use\n51 : Reserved - do not use\n52 : Reserved - do not use\n53 : Reserved - do not use\n54 : Reserved - do not use\n55 : Reserved - do not use\n56 : Reserved - do not use\n57 : Reserved - do not use\n58 : Reserved - do not use\n59 : Reserved - do not use\n60 : Reserved - do not use\n61 : Reserved - do not use\n62 : Reserved - do not use\n63 : Reserved - do not use +// +// ( (unsigned int) WDT_CR ) +// CNTEN +// <0=> 0: Enable = Enable Watch-Dog Timer counter. +// <1=> 1: +// <2=> 2: +// <3=> 3: +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// <16=> 16: +// <17=> 17: +// <18=> 18: +// <19=> 19: +// <20=> 20: +// <21=> 21: +// <22=> 22: +// <23=> 23: +// <24=> 24: +// <25=> 25: +// <26=> 26: Disable = Disable Watch-Dog Timer counter. (0x1a) +// <27=> 27: +// <28=> 28: +// <29=> 29: +// <30=> 30: +// <31=> 31: +// <32=> 32: +// <33=> 33: +// <34=> 34: +// <35=> 35: +// <36=> 36: +// <37=> 37: +// <38=> 38: +// <39=> 39: +// <40=> 40: +// <41=> 41: +// <42=> 42: +// <43=> 43: +// <44=> 44: +// <45=> 45: +// <46=> 46: +// <47=> 47: +// <48=> 48: +// <49=> 49: +// <50=> 50: +// <51=> 51: +// <52=> 52: +// <53=> 53: +// <54=> 54: +// <55=> 55: +// <56=> 56: +// <57=> 57: +// <58=> 58: +// <59=> 59: +// <60=> 60: +// <61=> 61: +// <62=> 62: +// <63=> 63: +// +// +// + + +// ------------------------------- Field Item: WDT_CR_WINMIEN ----------------------------------- +// SVD Line: 14742 + +// SFDITEM_FIELD__WDT_CR_WINMIEN +// WINMIEN +// +// [Bit 3] RW (@ 0x40001A00) \nWatch-Dog Timer Window Match Interrupt Enable\n0 : Disable = Disable window data match interrupt.\n1 : Enable = Enable window data match interrupt. +// +// ( (unsigned int) WDT_CR ) +// WINMIEN +// <0=> 0: Disable = Disable window data match interrupt. +// <1=> 1: Enable = Enable window data match interrupt. +// +// +// + + +// -------------------------------- Field Item: WDT_CR_UNFIEN ----------------------------------- +// SVD Line: 14760 + +// SFDITEM_FIELD__WDT_CR_UNFIEN +// UNFIEN +// +// [Bit 2] RW (@ 0x40001A00) \nWatch-Dog Timer Underflow Interrupt Enable\n0 : Disable = Disable Watch-Dog Timer underflow interrupt.\n1 : Enable = Enable Watch-Dog Timer underflow interrupt. +// +// ( (unsigned int) WDT_CR ) +// UNFIEN +// <0=> 0: Disable = Disable Watch-Dog Timer underflow interrupt. +// <1=> 1: Enable = Enable Watch-Dog Timer underflow interrupt. +// +// +// + + +// -------------------------------- Field Item: WDT_CR_CLKDIV ----------------------------------- +// SVD Line: 14778 + +// SFDITEM_FIELD__WDT_CR_CLKDIV +// CLKDIV +// +// [Bits 1..0] RW (@ 0x40001A00) \nWatch-Dog Timer Clock Divider\n0 : fWDT4 = fWDT/4\n1 : fWDT16 = fWDT/16\n2 : fWDT64 = fWDT/64\n3 : fWDT256 = fWDT/256 +// +// ( (unsigned int) WDT_CR ) +// CLKDIV +// <0=> 0: fWDT4 = fWDT/4 +// <1=> 1: fWDT16 = fWDT/16 +// <2=> 2: fWDT64 = fWDT/64 +// <3=> 3: fWDT256 = fWDT/256 +// +// +// + + +// --------------------------------- Register RTree: WDT_CR ------------------------------------- +// SVD Line: 14684 + +// SFDITEM_REG__WDT_CR +// CR +// +// [Bits 31..0] RW (@ 0x40001A00) Watch-Dog Timer Control Register +// ( (unsigned int)((WDT_CR >> 0) & 0xFFFFFFFF), ((WDT_CR = (WDT_CR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__WDT_CR_WTIDKY +// SFDITEM_FIELD__WDT_CR_RSTEN +// SFDITEM_FIELD__WDT_CR_CNTEN +// SFDITEM_FIELD__WDT_CR_WINMIEN +// SFDITEM_FIELD__WDT_CR_UNFIEN +// SFDITEM_FIELD__WDT_CR_CLKDIV +// +// + + +// ------------------------------ Register Item Address: WDT_SR --------------------------------- +// SVD Line: 14808 + +unsigned int WDT_SR __AT (0x40001A04); + + + +// ------------------------------- Field Item: WDT_SR_DBGCNTEN ---------------------------------- +// SVD Line: 14817 + +// SFDITEM_FIELD__WDT_SR_DBGCNTEN +// DBGCNTEN +// +// [Bit 7] RW (@ 0x40001A04) \nWatch-Dog Timer Counter Enable when the core is halted in debug mode\n0 : Run = The Watch-Dog Timer counter continues even if the core is halted\n1 : Stop = The Watch-Dog Timer counter is stopped when the core is halted +// +// ( (unsigned int) WDT_SR ) +// DBGCNTEN +// <0=> 0: Run = The Watch-Dog Timer counter continues even if the core is halted +// <1=> 1: Stop = The Watch-Dog Timer counter is stopped when the core is halted +// +// +// + + +// ------------------------------ Field Item: WDT_SR_WINMIFLAG ---------------------------------- +// SVD Line: 14835 + +// SFDITEM_FIELD__WDT_SR_WINMIFLAG +// WINMIFLAG +// +// [Bit 1] RW (@ 0x40001A04) \nWatch-Dog Timer Window Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) WDT_SR ) +// WINMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Field Item: WDT_SR_UNFIFLAG ---------------------------------- +// SVD Line: 14853 + +// SFDITEM_FIELD__WDT_SR_UNFIFLAG +// UNFIFLAG +// +// [Bit 0] RW (@ 0x40001A04) \nWatch-Dog Timer Underflow Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) WDT_SR ) +// UNFIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// --------------------------------- Register RTree: WDT_SR ------------------------------------- +// SVD Line: 14808 + +// SFDITEM_REG__WDT_SR +// SR +// +// [Bits 31..0] RW (@ 0x40001A04) Watch-Dog Timer Status Register +// ( (unsigned int)((WDT_SR >> 0) & 0xFFFFFFFF), ((WDT_SR = (WDT_SR & ~(0x83UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x83) << 0 ) ) )) +// SFDITEM_FIELD__WDT_SR_DBGCNTEN +// SFDITEM_FIELD__WDT_SR_WINMIFLAG +// SFDITEM_FIELD__WDT_SR_UNFIFLAG +// +// + + +// ------------------------------ Register Item Address: WDT_DR --------------------------------- +// SVD Line: 14873 + +unsigned int WDT_DR __AT (0x40001A08); + + + +// --------------------------------- Field Item: WDT_DR_DATA ------------------------------------ +// SVD Line: 14882 + +// SFDITEM_FIELD__WDT_DR_DATA +// DATA +// +// [Bits 23..0] RW (@ 0x40001A08) Watch-Dog Timer Data +// +// ( (unsigned int)((WDT_DR >> 0) & 0xFFFFFF), ((WDT_DR = (WDT_DR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: WDT_DR ------------------------------------- +// SVD Line: 14873 + +// SFDITEM_REG__WDT_DR +// DR +// +// [Bits 31..0] RW (@ 0x40001A08) Watch-Dog Timer Data Register +// ( (unsigned int)((WDT_DR >> 0) & 0xFFFFFFFF), ((WDT_DR = (WDT_DR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__WDT_DR_DATA +// +// + + +// ----------------------------- Register Item Address: WDT_CNT --------------------------------- +// SVD Line: 14890 + +unsigned int WDT_CNT __AT (0x40001A0C); + + + +// --------------------------------- Field Item: WDT_CNT_CNT ------------------------------------ +// SVD Line: 14899 + +// SFDITEM_FIELD__WDT_CNT_CNT +// CNT +// +// [Bits 23..0] RO (@ 0x40001A0C) Watch-Dog Timer Counter +// +// ( (unsigned int)((WDT_CNT >> 0) & 0xFFFFFF) ) +// +// +// + + +// --------------------------------- Register RTree: WDT_CNT ------------------------------------ +// SVD Line: 14890 + +// SFDITEM_REG__WDT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40001A0C) Watch-Dog Timer Counter Register +// ( (unsigned int)((WDT_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__WDT_CNT_CNT +// +// + + +// ---------------------------- Register Item Address: WDT_WINDR -------------------------------- +// SVD Line: 14907 + +unsigned int WDT_WINDR __AT (0x40001A10); + + + +// ------------------------------- Field Item: WDT_WINDR_WDATA ---------------------------------- +// SVD Line: 14916 + +// SFDITEM_FIELD__WDT_WINDR_WDATA +// WDATA +// +// [Bits 23..0] RW (@ 0x40001A10) Watch-Dog Timer Window Data +// +// ( (unsigned int)((WDT_WINDR >> 0) & 0xFFFFFF), ((WDT_WINDR = (WDT_WINDR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: WDT_WINDR ----------------------------------- +// SVD Line: 14907 + +// SFDITEM_REG__WDT_WINDR +// WINDR +// +// [Bits 31..0] RW (@ 0x40001A10) Watch-Dog Timer Window Data Register +// ( (unsigned int)((WDT_WINDR >> 0) & 0xFFFFFFFF), ((WDT_WINDR = (WDT_WINDR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__WDT_WINDR_WDATA +// +// + + +// ----------------------------- Register Item Address: WDT_CNTR -------------------------------- +// SVD Line: 14924 + +unsigned int WDT_CNTR __AT (0x40001A14); + + + +// -------------------------------- Field Item: WDT_CNTR_CNTR ----------------------------------- +// SVD Line: 14933 + +// SFDITEM_FIELD__WDT_CNTR_CNTR +// CNTR +// +// [Bits 7..0] WO (@ 0x40001A14) Watch-Dog Timer Counter Reload +// +// ( (unsigned char)((WDT_CNTR >> 0) & 0x0), ((WDT_CNTR = (WDT_CNTR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: WDT_CNTR ------------------------------------ +// SVD Line: 14924 + +// SFDITEM_REG__WDT_CNTR +// CNTR +// +// [Bits 31..0] WO (@ 0x40001A14) Watch-Dog Timer Counter Reload Register +// ( (unsigned int)((WDT_CNTR >> 0) & 0xFFFFFFFF), ((WDT_CNTR = (WDT_CNTR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__WDT_CNTR_CNTR +// +// + + +// ---------------------------------- Peripheral View: WDT -------------------------------------- +// SVD Line: 14665 + +// WDT +// WDT +// SFDITEM_REG__WDT_CR +// SFDITEM_REG__WDT_SR +// SFDITEM_REG__WDT_DR +// SFDITEM_REG__WDT_CNT +// SFDITEM_REG__WDT_WINDR +// SFDITEM_REG__WDT_CNTR +// +// + + +// ------------------------------ Register Item Address: WT_CR ---------------------------------- +// SVD Line: 14974 + +unsigned int WT_CR __AT (0x40002000); + + + +// --------------------------------- Field Item: WT_CR_WTEN ------------------------------------- +// SVD Line: 14983 + +// SFDITEM_FIELD__WT_CR_WTEN +// WTEN +// +// [Bit 7] RW (@ 0x40002000) \nWatch Timer Operation Enable\n0 : Disable = Disable watch timer operation.\n1 : Enable = Enable watch timer operation. +// +// ( (unsigned int) WT_CR ) +// WTEN +// <0=> 0: Disable = Disable watch timer operation. +// <1=> 1: Enable = Enable watch timer operation. +// +// +// + + +// -------------------------------- Field Item: WT_CR_WTINTV ------------------------------------ +// SVD Line: 15001 + +// SFDITEM_FIELD__WT_CR_WTINTV +// WTINTV +// +// [Bits 5..4] RW (@ 0x40002000) \nWatch Timer Interval Selection\n0 : fWT2Pow7 = fWT/2^7\n1 : fWT2Pow13 = fWT/2^13\n2 : fWT2Pow14 = fWT/2^14\n3 : fWT2Pow14DR = fWT/(2^14x(WTDR value + 1)) +// +// ( (unsigned int) WT_CR ) +// WTINTV +// <0=> 0: fWT2Pow7 = fWT/2^7 +// <1=> 1: fWT2Pow13 = fWT/2^13 +// <2=> 2: fWT2Pow14 = fWT/2^14 +// <3=> 3: fWT2Pow14DR = fWT/(2^14x(WTDR value + 1)) +// +// +// + + +// --------------------------------- Field Item: WT_CR_WTIEN ------------------------------------ +// SVD Line: 15029 + +// SFDITEM_FIELD__WT_CR_WTIEN +// WTIEN +// +// [Bit 3] RW (@ 0x40002000) \nWatch Timer Interrupt Enable\n0 : Disable = Disable watch timer interrupt.\n1 : Enable = Enable watch timer interrupt. +// +// ( (unsigned int) WT_CR ) +// WTIEN +// <0=> 0: Disable = Disable watch timer interrupt. +// <1=> 1: Enable = Enable watch timer interrupt. +// +// +// + + +// -------------------------------- Field Item: WT_CR_WTIFLAG ----------------------------------- +// SVD Line: 15047 + +// SFDITEM_FIELD__WT_CR_WTIFLAG +// WTIFLAG +// +// [Bit 1] RW (@ 0x40002000) \nWatch Timer Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) WT_CR ) +// WTIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// --------------------------------- Field Item: WT_CR_WTCLR ------------------------------------ +// SVD Line: 15065 + +// SFDITEM_FIELD__WT_CR_WTCLR +// WTCLR +// +// [Bit 0] RW (@ 0x40002000) \nWatch Timer Counter and Divider Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear the counter and divider. (Automatically cleared to '0b' after operation) +// +// ( (unsigned int) WT_CR ) +// WTCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the counter and divider. (Automatically cleared to '0b' after operation) +// +// +// + + +// ---------------------------------- Register RTree: WT_CR ------------------------------------- +// SVD Line: 14974 + +// SFDITEM_REG__WT_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002000) Watch Timer Control Register +// ( (unsigned int)((WT_CR >> 0) & 0xFFFFFFFF), ((WT_CR = (WT_CR & ~(0xBBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xBB) << 0 ) ) )) +// SFDITEM_FIELD__WT_CR_WTEN +// SFDITEM_FIELD__WT_CR_WTINTV +// SFDITEM_FIELD__WT_CR_WTIEN +// SFDITEM_FIELD__WT_CR_WTIFLAG +// SFDITEM_FIELD__WT_CR_WTCLR +// +// + + +// ------------------------------ Register Item Address: WT_DR ---------------------------------- +// SVD Line: 15085 + +unsigned int WT_DR __AT (0x40002004); + + + +// -------------------------------- Field Item: WT_DR_WTDATA ------------------------------------ +// SVD Line: 15094 + +// SFDITEM_FIELD__WT_DR_WTDATA +// WTDATA +// +// [Bits 11..0] RW (@ 0x40002004) Watch Timer Data +// +// ( (unsigned short)((WT_DR >> 0) & 0xFFF), ((WT_DR = (WT_DR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Register RTree: WT_DR ------------------------------------- +// SVD Line: 15085 + +// SFDITEM_REG__WT_DR +// DR +// +// [Bits 31..0] RW (@ 0x40002004) Watch Timer Data Register +// ( (unsigned int)((WT_DR >> 0) & 0xFFFFFFFF), ((WT_DR = (WT_DR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__WT_DR_WTDATA +// +// + + +// ------------------------------ Register Item Address: WT_CNT --------------------------------- +// SVD Line: 15102 + +unsigned int WT_CNT __AT (0x40002008); + + + +// --------------------------------- Field Item: WT_CNT_CNT ------------------------------------- +// SVD Line: 15111 + +// SFDITEM_FIELD__WT_CNT_CNT +// CNT +// +// [Bits 11..0] RO (@ 0x40002008) Watch Timer Counter +// +// ( (unsigned short)((WT_CNT >> 0) & 0xFFF) ) +// +// +// + + +// --------------------------------- Register RTree: WT_CNT ------------------------------------- +// SVD Line: 15102 + +// SFDITEM_REG__WT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002008) Watch Timer Counter Register +// ( (unsigned int)((WT_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__WT_CNT_CNT +// +// + + +// ----------------------------------- Peripheral View: WT -------------------------------------- +// SVD Line: 14955 + +// WT +// WT +// SFDITEM_REG__WT_CR +// SFDITEM_REG__WT_DR +// SFDITEM_REG__WT_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER1n_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER1n_CR __AT (0x51000000); + + + +// ------------------------------ Field Item: TIMER1n_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER1n_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x51000000) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER1n_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x51000000) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER1n_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER1n_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x51000000) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER1n_CR >> 12) & 0x3), ((TIMER1n_CR = (TIMER1n_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER1n_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x51000000) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER1n_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER1n_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER1n_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x51000000) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER1n_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER1n_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x51000000) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER1n_CR >> 6) & 0x3), ((TIMER1n_CR = (TIMER1n_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER1n_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER1n_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x51000000) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER1n_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER1n_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x51000000) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER1n_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER1n_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER1n_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x51000000) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER1n_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER1n_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x51000000) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER1n_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER1n_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x51000000) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER1n_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x51000000) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER1n_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER1n_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER1n_CR +// CR +// +// [Bits 31..0] RW (@ 0x51000000) TIMER1n Control Register +// ( (unsigned int)((TIMER1n_CR >> 0) & 0xFFFFFFFF), ((TIMER1n_CR = (TIMER1n_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER1n_CR_T1nEN +// SFDITEM_FIELD__TIMER1n_CR_T1nCLK +// SFDITEM_FIELD__TIMER1n_CR_T1nMS +// SFDITEM_FIELD__TIMER1n_CR_T1nECE +// SFDITEM_FIELD__TIMER1n_CR_T1nOPOL +// SFDITEM_FIELD__TIMER1n_CR_T1nCPOL +// SFDITEM_FIELD__TIMER1n_CR_T1nMIEN +// SFDITEM_FIELD__TIMER1n_CR_T1nCIEN +// SFDITEM_FIELD__TIMER1n_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER1n_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER1n_CR_T1nPAU +// SFDITEM_FIELD__TIMER1n_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER1n_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER1n_ADR __AT (0x51000004); + + + +// ------------------------------ Field Item: TIMER1n_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER1n_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x51000004) TIMER1n A Data +// +// ( (unsigned short)((TIMER1n_ADR >> 0) & 0xFFFF), ((TIMER1n_ADR = (TIMER1n_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER1n_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER1n_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x51000004) TIMER1n A Data Register +// ( (unsigned int)((TIMER1n_ADR >> 0) & 0xFFFFFFFF), ((TIMER1n_ADR = (TIMER1n_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER1n_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER1n_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER1n_BDR __AT (0x51000008); + + + +// ------------------------------ Field Item: TIMER1n_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER1n_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x51000008) TIMER1n B Data +// +// ( (unsigned short)((TIMER1n_BDR >> 0) & 0xFFFF), ((TIMER1n_BDR = (TIMER1n_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER1n_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER1n_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x51000008) TIMER1n B Data Register +// ( (unsigned int)((TIMER1n_BDR >> 0) & 0xFFFFFFFF), ((TIMER1n_BDR = (TIMER1n_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER1n_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER1n_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER1n_CAPDR __AT (0x5100000C); + + + +// ----------------------------- Field Item: TIMER1n_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER1n_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x5100000C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER1n_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER1n_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER1n_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x5100000C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER1n_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER1n_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER1n_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER1n_PREDR __AT (0x51000010); + + + +// ----------------------------- Field Item: TIMER1n_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER1n_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x51000010) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER1n_PREDR >> 0) & 0xFFF), ((TIMER1n_PREDR = (TIMER1n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER1n_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER1n_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x51000010) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER1n_PREDR >> 0) & 0xFFFFFFFF), ((TIMER1n_PREDR = (TIMER1n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER1n_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER1n_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER1n_CNT __AT (0x51000014); + + + +// ------------------------------- Field Item: TIMER1n_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER1n_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x51000014) TIMER1n Counter +// +// ( (unsigned short)((TIMER1n_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER1n_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER1n_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x51000014) TIMER1n Counter Register +// ( (unsigned int)((TIMER1n_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER1n_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER1n ------------------------------------ +// SVD Line: 15121 + +// TIMER1n +// TIMER1n +// SFDITEM_REG__TIMER1n_CR +// SFDITEM_REG__TIMER1n_ADR +// SFDITEM_REG__TIMER1n_BDR +// SFDITEM_REG__TIMER1n_CAPDR +// SFDITEM_REG__TIMER1n_PREDR +// SFDITEM_REG__TIMER1n_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER10_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER10_CR __AT (0x40002100); + + + +// ------------------------------ Field Item: TIMER10_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER10_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002100) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER10_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER10_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002100) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER10_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER10_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002100) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER10_CR >> 12) & 0x3), ((TIMER10_CR = (TIMER10_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER10_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002100) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER10_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER10_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER10_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002100) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER10_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER10_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER10_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002100) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER10_CR >> 6) & 0x3), ((TIMER10_CR = (TIMER10_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER10_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER10_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002100) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER10_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER10_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER10_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002100) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER10_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER10_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER10_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002100) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER10_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER10_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER10_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002100) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER10_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER10_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002100) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER10_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER10_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002100) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER10_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER10_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER10_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002100) TIMER1n Control Register +// ( (unsigned int)((TIMER10_CR >> 0) & 0xFFFFFFFF), ((TIMER10_CR = (TIMER10_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER10_CR_T1nEN +// SFDITEM_FIELD__TIMER10_CR_T1nCLK +// SFDITEM_FIELD__TIMER10_CR_T1nMS +// SFDITEM_FIELD__TIMER10_CR_T1nECE +// SFDITEM_FIELD__TIMER10_CR_T1nOPOL +// SFDITEM_FIELD__TIMER10_CR_T1nCPOL +// SFDITEM_FIELD__TIMER10_CR_T1nMIEN +// SFDITEM_FIELD__TIMER10_CR_T1nCIEN +// SFDITEM_FIELD__TIMER10_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER10_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER10_CR_T1nPAU +// SFDITEM_FIELD__TIMER10_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER10_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER10_ADR __AT (0x40002104); + + + +// ------------------------------ Field Item: TIMER10_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER10_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002104) TIMER1n A Data +// +// ( (unsigned short)((TIMER10_ADR >> 0) & 0xFFFF), ((TIMER10_ADR = (TIMER10_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER10_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER10_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002104) TIMER1n A Data Register +// ( (unsigned int)((TIMER10_ADR >> 0) & 0xFFFFFFFF), ((TIMER10_ADR = (TIMER10_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER10_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER10_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER10_BDR __AT (0x40002108); + + + +// ------------------------------ Field Item: TIMER10_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER10_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002108) TIMER1n B Data +// +// ( (unsigned short)((TIMER10_BDR >> 0) & 0xFFFF), ((TIMER10_BDR = (TIMER10_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER10_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER10_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002108) TIMER1n B Data Register +// ( (unsigned int)((TIMER10_BDR >> 0) & 0xFFFFFFFF), ((TIMER10_BDR = (TIMER10_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER10_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER10_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER10_CAPDR __AT (0x4000210C); + + + +// ----------------------------- Field Item: TIMER10_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER10_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000210C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER10_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER10_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER10_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000210C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER10_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER10_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER10_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER10_PREDR __AT (0x40002110); + + + +// ----------------------------- Field Item: TIMER10_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER10_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002110) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER10_PREDR >> 0) & 0xFFF), ((TIMER10_PREDR = (TIMER10_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER10_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER10_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002110) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER10_PREDR >> 0) & 0xFFFFFFFF), ((TIMER10_PREDR = (TIMER10_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER10_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER10_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER10_CNT __AT (0x40002114); + + + +// ------------------------------- Field Item: TIMER10_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER10_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002114) TIMER1n Counter +// +// ( (unsigned short)((TIMER10_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER10_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER10_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002114) TIMER1n Counter Register +// ( (unsigned int)((TIMER10_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER10_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER10 ------------------------------------ +// SVD Line: 15305 + +// TIMER10 +// TIMER10 +// SFDITEM_REG__TIMER10_CR +// SFDITEM_REG__TIMER10_ADR +// SFDITEM_REG__TIMER10_BDR +// SFDITEM_REG__TIMER10_CAPDR +// SFDITEM_REG__TIMER10_PREDR +// SFDITEM_REG__TIMER10_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER11_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER11_CR __AT (0x40002200); + + + +// ------------------------------ Field Item: TIMER11_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER11_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002200) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER11_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER11_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002200) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER11_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER11_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002200) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER11_CR >> 12) & 0x3), ((TIMER11_CR = (TIMER11_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER11_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002200) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER11_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER11_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER11_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002200) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER11_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER11_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER11_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002200) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER11_CR >> 6) & 0x3), ((TIMER11_CR = (TIMER11_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER11_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER11_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002200) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER11_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER11_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER11_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002200) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER11_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER11_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER11_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002200) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER11_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER11_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER11_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002200) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER11_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER11_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002200) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER11_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER11_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002200) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER11_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER11_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER11_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002200) TIMER1n Control Register +// ( (unsigned int)((TIMER11_CR >> 0) & 0xFFFFFFFF), ((TIMER11_CR = (TIMER11_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER11_CR_T1nEN +// SFDITEM_FIELD__TIMER11_CR_T1nCLK +// SFDITEM_FIELD__TIMER11_CR_T1nMS +// SFDITEM_FIELD__TIMER11_CR_T1nECE +// SFDITEM_FIELD__TIMER11_CR_T1nOPOL +// SFDITEM_FIELD__TIMER11_CR_T1nCPOL +// SFDITEM_FIELD__TIMER11_CR_T1nMIEN +// SFDITEM_FIELD__TIMER11_CR_T1nCIEN +// SFDITEM_FIELD__TIMER11_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER11_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER11_CR_T1nPAU +// SFDITEM_FIELD__TIMER11_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER11_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER11_ADR __AT (0x40002204); + + + +// ------------------------------ Field Item: TIMER11_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER11_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002204) TIMER1n A Data +// +// ( (unsigned short)((TIMER11_ADR >> 0) & 0xFFFF), ((TIMER11_ADR = (TIMER11_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER11_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER11_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002204) TIMER1n A Data Register +// ( (unsigned int)((TIMER11_ADR >> 0) & 0xFFFFFFFF), ((TIMER11_ADR = (TIMER11_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER11_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER11_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER11_BDR __AT (0x40002208); + + + +// ------------------------------ Field Item: TIMER11_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER11_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002208) TIMER1n B Data +// +// ( (unsigned short)((TIMER11_BDR >> 0) & 0xFFFF), ((TIMER11_BDR = (TIMER11_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER11_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER11_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002208) TIMER1n B Data Register +// ( (unsigned int)((TIMER11_BDR >> 0) & 0xFFFFFFFF), ((TIMER11_BDR = (TIMER11_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER11_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER11_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER11_CAPDR __AT (0x4000220C); + + + +// ----------------------------- Field Item: TIMER11_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER11_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000220C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER11_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER11_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER11_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000220C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER11_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER11_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER11_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER11_PREDR __AT (0x40002210); + + + +// ----------------------------- Field Item: TIMER11_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER11_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002210) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER11_PREDR >> 0) & 0xFFF), ((TIMER11_PREDR = (TIMER11_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER11_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER11_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002210) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER11_PREDR >> 0) & 0xFFFFFFFF), ((TIMER11_PREDR = (TIMER11_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER11_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER11_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER11_CNT __AT (0x40002214); + + + +// ------------------------------- Field Item: TIMER11_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER11_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002214) TIMER1n Counter +// +// ( (unsigned short)((TIMER11_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER11_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER11_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002214) TIMER1n Counter Register +// ( (unsigned int)((TIMER11_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER11_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER11 ------------------------------------ +// SVD Line: 15324 + +// TIMER11 +// TIMER11 +// SFDITEM_REG__TIMER11_CR +// SFDITEM_REG__TIMER11_ADR +// SFDITEM_REG__TIMER11_BDR +// SFDITEM_REG__TIMER11_CAPDR +// SFDITEM_REG__TIMER11_PREDR +// SFDITEM_REG__TIMER11_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER12_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER12_CR __AT (0x40002300); + + + +// ------------------------------ Field Item: TIMER12_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER12_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002300) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER12_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER12_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002300) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER12_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER12_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002300) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER12_CR >> 12) & 0x3), ((TIMER12_CR = (TIMER12_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER12_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002300) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER12_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER12_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER12_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002300) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER12_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER12_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER12_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002300) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER12_CR >> 6) & 0x3), ((TIMER12_CR = (TIMER12_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER12_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER12_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002300) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER12_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER12_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER12_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002300) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER12_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER12_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER12_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002300) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER12_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER12_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER12_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002300) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER12_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER12_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002300) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER12_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER12_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002300) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER12_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER12_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER12_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002300) TIMER1n Control Register +// ( (unsigned int)((TIMER12_CR >> 0) & 0xFFFFFFFF), ((TIMER12_CR = (TIMER12_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER12_CR_T1nEN +// SFDITEM_FIELD__TIMER12_CR_T1nCLK +// SFDITEM_FIELD__TIMER12_CR_T1nMS +// SFDITEM_FIELD__TIMER12_CR_T1nECE +// SFDITEM_FIELD__TIMER12_CR_T1nOPOL +// SFDITEM_FIELD__TIMER12_CR_T1nCPOL +// SFDITEM_FIELD__TIMER12_CR_T1nMIEN +// SFDITEM_FIELD__TIMER12_CR_T1nCIEN +// SFDITEM_FIELD__TIMER12_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER12_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER12_CR_T1nPAU +// SFDITEM_FIELD__TIMER12_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER12_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER12_ADR __AT (0x40002304); + + + +// ------------------------------ Field Item: TIMER12_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER12_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002304) TIMER1n A Data +// +// ( (unsigned short)((TIMER12_ADR >> 0) & 0xFFFF), ((TIMER12_ADR = (TIMER12_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER12_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER12_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002304) TIMER1n A Data Register +// ( (unsigned int)((TIMER12_ADR >> 0) & 0xFFFFFFFF), ((TIMER12_ADR = (TIMER12_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER12_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER12_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER12_BDR __AT (0x40002308); + + + +// ------------------------------ Field Item: TIMER12_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER12_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002308) TIMER1n B Data +// +// ( (unsigned short)((TIMER12_BDR >> 0) & 0xFFFF), ((TIMER12_BDR = (TIMER12_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER12_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER12_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002308) TIMER1n B Data Register +// ( (unsigned int)((TIMER12_BDR >> 0) & 0xFFFFFFFF), ((TIMER12_BDR = (TIMER12_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER12_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER12_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER12_CAPDR __AT (0x4000230C); + + + +// ----------------------------- Field Item: TIMER12_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER12_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000230C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER12_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER12_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER12_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000230C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER12_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER12_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER12_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER12_PREDR __AT (0x40002310); + + + +// ----------------------------- Field Item: TIMER12_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER12_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002310) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER12_PREDR >> 0) & 0xFFF), ((TIMER12_PREDR = (TIMER12_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER12_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER12_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002310) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER12_PREDR >> 0) & 0xFFFFFFFF), ((TIMER12_PREDR = (TIMER12_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER12_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER12_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER12_CNT __AT (0x40002314); + + + +// ------------------------------- Field Item: TIMER12_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER12_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002314) TIMER1n Counter +// +// ( (unsigned short)((TIMER12_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER12_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER12_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002314) TIMER1n Counter Register +// ( (unsigned int)((TIMER12_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER12_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER12 ------------------------------------ +// SVD Line: 15343 + +// TIMER12 +// TIMER12 +// SFDITEM_REG__TIMER12_CR +// SFDITEM_REG__TIMER12_ADR +// SFDITEM_REG__TIMER12_BDR +// SFDITEM_REG__TIMER12_CAPDR +// SFDITEM_REG__TIMER12_PREDR +// SFDITEM_REG__TIMER12_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER13_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER13_CR __AT (0x40002700); + + + +// ------------------------------ Field Item: TIMER13_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER13_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002700) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER13_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER13_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002700) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER13_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER13_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002700) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER13_CR >> 12) & 0x3), ((TIMER13_CR = (TIMER13_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER13_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002700) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER13_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER13_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER13_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002700) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER13_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER13_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER13_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002700) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER13_CR >> 6) & 0x3), ((TIMER13_CR = (TIMER13_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER13_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER13_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002700) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER13_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER13_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER13_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002700) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER13_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER13_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER13_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002700) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER13_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER13_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER13_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002700) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER13_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER13_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002700) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER13_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER13_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002700) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER13_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER13_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER13_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002700) TIMER1n Control Register +// ( (unsigned int)((TIMER13_CR >> 0) & 0xFFFFFFFF), ((TIMER13_CR = (TIMER13_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER13_CR_T1nEN +// SFDITEM_FIELD__TIMER13_CR_T1nCLK +// SFDITEM_FIELD__TIMER13_CR_T1nMS +// SFDITEM_FIELD__TIMER13_CR_T1nECE +// SFDITEM_FIELD__TIMER13_CR_T1nOPOL +// SFDITEM_FIELD__TIMER13_CR_T1nCPOL +// SFDITEM_FIELD__TIMER13_CR_T1nMIEN +// SFDITEM_FIELD__TIMER13_CR_T1nCIEN +// SFDITEM_FIELD__TIMER13_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER13_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER13_CR_T1nPAU +// SFDITEM_FIELD__TIMER13_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER13_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER13_ADR __AT (0x40002704); + + + +// ------------------------------ Field Item: TIMER13_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER13_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002704) TIMER1n A Data +// +// ( (unsigned short)((TIMER13_ADR >> 0) & 0xFFFF), ((TIMER13_ADR = (TIMER13_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER13_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER13_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002704) TIMER1n A Data Register +// ( (unsigned int)((TIMER13_ADR >> 0) & 0xFFFFFFFF), ((TIMER13_ADR = (TIMER13_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER13_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER13_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER13_BDR __AT (0x40002708); + + + +// ------------------------------ Field Item: TIMER13_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER13_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002708) TIMER1n B Data +// +// ( (unsigned short)((TIMER13_BDR >> 0) & 0xFFFF), ((TIMER13_BDR = (TIMER13_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER13_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER13_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002708) TIMER1n B Data Register +// ( (unsigned int)((TIMER13_BDR >> 0) & 0xFFFFFFFF), ((TIMER13_BDR = (TIMER13_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER13_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER13_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER13_CAPDR __AT (0x4000270C); + + + +// ----------------------------- Field Item: TIMER13_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER13_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000270C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER13_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER13_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER13_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000270C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER13_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER13_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER13_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER13_PREDR __AT (0x40002710); + + + +// ----------------------------- Field Item: TIMER13_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER13_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002710) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER13_PREDR >> 0) & 0xFFF), ((TIMER13_PREDR = (TIMER13_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER13_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER13_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002710) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER13_PREDR >> 0) & 0xFFFFFFFF), ((TIMER13_PREDR = (TIMER13_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER13_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER13_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER13_CNT __AT (0x40002714); + + + +// ------------------------------- Field Item: TIMER13_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER13_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002714) TIMER1n Counter +// +// ( (unsigned short)((TIMER13_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER13_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER13_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002714) TIMER1n Counter Register +// ( (unsigned int)((TIMER13_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER13_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER13 ------------------------------------ +// SVD Line: 15362 + +// TIMER13 +// TIMER13 +// SFDITEM_REG__TIMER13_CR +// SFDITEM_REG__TIMER13_ADR +// SFDITEM_REG__TIMER13_BDR +// SFDITEM_REG__TIMER13_CAPDR +// SFDITEM_REG__TIMER13_PREDR +// SFDITEM_REG__TIMER13_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER14_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER14_CR __AT (0x40002800); + + + +// ------------------------------ Field Item: TIMER14_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER14_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002800) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER14_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER14_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002800) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER14_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER14_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002800) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER14_CR >> 12) & 0x3), ((TIMER14_CR = (TIMER14_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER14_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002800) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER14_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER14_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER14_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002800) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER14_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER14_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER14_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002800) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER14_CR >> 6) & 0x3), ((TIMER14_CR = (TIMER14_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER14_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER14_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002800) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER14_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER14_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER14_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002800) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER14_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER14_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER14_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002800) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER14_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER14_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER14_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002800) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER14_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER14_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002800) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER14_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER14_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002800) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER14_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER14_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER14_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002800) TIMER1n Control Register +// ( (unsigned int)((TIMER14_CR >> 0) & 0xFFFFFFFF), ((TIMER14_CR = (TIMER14_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER14_CR_T1nEN +// SFDITEM_FIELD__TIMER14_CR_T1nCLK +// SFDITEM_FIELD__TIMER14_CR_T1nMS +// SFDITEM_FIELD__TIMER14_CR_T1nECE +// SFDITEM_FIELD__TIMER14_CR_T1nOPOL +// SFDITEM_FIELD__TIMER14_CR_T1nCPOL +// SFDITEM_FIELD__TIMER14_CR_T1nMIEN +// SFDITEM_FIELD__TIMER14_CR_T1nCIEN +// SFDITEM_FIELD__TIMER14_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER14_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER14_CR_T1nPAU +// SFDITEM_FIELD__TIMER14_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER14_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER14_ADR __AT (0x40002804); + + + +// ------------------------------ Field Item: TIMER14_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER14_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002804) TIMER1n A Data +// +// ( (unsigned short)((TIMER14_ADR >> 0) & 0xFFFF), ((TIMER14_ADR = (TIMER14_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER14_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER14_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002804) TIMER1n A Data Register +// ( (unsigned int)((TIMER14_ADR >> 0) & 0xFFFFFFFF), ((TIMER14_ADR = (TIMER14_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER14_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER14_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER14_BDR __AT (0x40002808); + + + +// ------------------------------ Field Item: TIMER14_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER14_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002808) TIMER1n B Data +// +// ( (unsigned short)((TIMER14_BDR >> 0) & 0xFFFF), ((TIMER14_BDR = (TIMER14_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER14_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER14_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002808) TIMER1n B Data Register +// ( (unsigned int)((TIMER14_BDR >> 0) & 0xFFFFFFFF), ((TIMER14_BDR = (TIMER14_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER14_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER14_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER14_CAPDR __AT (0x4000280C); + + + +// ----------------------------- Field Item: TIMER14_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER14_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000280C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER14_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER14_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER14_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000280C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER14_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER14_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER14_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER14_PREDR __AT (0x40002810); + + + +// ----------------------------- Field Item: TIMER14_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER14_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002810) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER14_PREDR >> 0) & 0xFFF), ((TIMER14_PREDR = (TIMER14_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER14_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER14_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002810) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER14_PREDR >> 0) & 0xFFFFFFFF), ((TIMER14_PREDR = (TIMER14_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER14_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER14_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER14_CNT __AT (0x40002814); + + + +// ------------------------------- Field Item: TIMER14_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER14_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002814) TIMER1n Counter +// +// ( (unsigned short)((TIMER14_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER14_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER14_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002814) TIMER1n Counter Register +// ( (unsigned int)((TIMER14_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER14_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER14 ------------------------------------ +// SVD Line: 15381 + +// TIMER14 +// TIMER14 +// SFDITEM_REG__TIMER14_CR +// SFDITEM_REG__TIMER14_ADR +// SFDITEM_REG__TIMER14_BDR +// SFDITEM_REG__TIMER14_CAPDR +// SFDITEM_REG__TIMER14_PREDR +// SFDITEM_REG__TIMER14_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER15_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER15_CR __AT (0x40002900); + + + +// ------------------------------ Field Item: TIMER15_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER15_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002900) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER15_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER15_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002900) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER15_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER15_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002900) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER15_CR >> 12) & 0x3), ((TIMER15_CR = (TIMER15_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER15_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002900) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER15_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER15_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER15_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002900) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER15_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER15_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER15_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002900) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER15_CR >> 6) & 0x3), ((TIMER15_CR = (TIMER15_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER15_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER15_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002900) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER15_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER15_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER15_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002900) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER15_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER15_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER15_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002900) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER15_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER15_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER15_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002900) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER15_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER15_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002900) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER15_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER15_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002900) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER15_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER15_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER15_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002900) TIMER1n Control Register +// ( (unsigned int)((TIMER15_CR >> 0) & 0xFFFFFFFF), ((TIMER15_CR = (TIMER15_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER15_CR_T1nEN +// SFDITEM_FIELD__TIMER15_CR_T1nCLK +// SFDITEM_FIELD__TIMER15_CR_T1nMS +// SFDITEM_FIELD__TIMER15_CR_T1nECE +// SFDITEM_FIELD__TIMER15_CR_T1nOPOL +// SFDITEM_FIELD__TIMER15_CR_T1nCPOL +// SFDITEM_FIELD__TIMER15_CR_T1nMIEN +// SFDITEM_FIELD__TIMER15_CR_T1nCIEN +// SFDITEM_FIELD__TIMER15_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER15_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER15_CR_T1nPAU +// SFDITEM_FIELD__TIMER15_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER15_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER15_ADR __AT (0x40002904); + + + +// ------------------------------ Field Item: TIMER15_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER15_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002904) TIMER1n A Data +// +// ( (unsigned short)((TIMER15_ADR >> 0) & 0xFFFF), ((TIMER15_ADR = (TIMER15_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER15_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER15_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002904) TIMER1n A Data Register +// ( (unsigned int)((TIMER15_ADR >> 0) & 0xFFFFFFFF), ((TIMER15_ADR = (TIMER15_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER15_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER15_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER15_BDR __AT (0x40002908); + + + +// ------------------------------ Field Item: TIMER15_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER15_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002908) TIMER1n B Data +// +// ( (unsigned short)((TIMER15_BDR >> 0) & 0xFFFF), ((TIMER15_BDR = (TIMER15_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER15_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER15_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002908) TIMER1n B Data Register +// ( (unsigned int)((TIMER15_BDR >> 0) & 0xFFFFFFFF), ((TIMER15_BDR = (TIMER15_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER15_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER15_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER15_CAPDR __AT (0x4000290C); + + + +// ----------------------------- Field Item: TIMER15_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER15_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000290C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER15_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER15_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER15_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000290C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER15_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER15_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER15_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER15_PREDR __AT (0x40002910); + + + +// ----------------------------- Field Item: TIMER15_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER15_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002910) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER15_PREDR >> 0) & 0xFFF), ((TIMER15_PREDR = (TIMER15_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER15_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER15_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002910) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER15_PREDR >> 0) & 0xFFFFFFFF), ((TIMER15_PREDR = (TIMER15_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER15_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER15_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER15_CNT __AT (0x40002914); + + + +// ------------------------------- Field Item: TIMER15_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER15_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002914) TIMER1n Counter +// +// ( (unsigned short)((TIMER15_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER15_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER15_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002914) TIMER1n Counter Register +// ( (unsigned int)((TIMER15_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER15_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER15 ------------------------------------ +// SVD Line: 15400 + +// TIMER15 +// TIMER15 +// SFDITEM_REG__TIMER15_CR +// SFDITEM_REG__TIMER15_ADR +// SFDITEM_REG__TIMER15_BDR +// SFDITEM_REG__TIMER15_CAPDR +// SFDITEM_REG__TIMER15_PREDR +// SFDITEM_REG__TIMER15_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER16_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER16_CR __AT (0x40002A00); + + + +// ------------------------------ Field Item: TIMER16_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER16_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002A00) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER16_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER16_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002A00) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER16_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER16_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002A00) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER16_CR >> 12) & 0x3), ((TIMER16_CR = (TIMER16_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER16_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002A00) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER16_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER16_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER16_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002A00) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER16_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER16_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER16_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002A00) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER16_CR >> 6) & 0x3), ((TIMER16_CR = (TIMER16_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER16_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER16_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002A00) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER16_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER16_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER16_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002A00) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER16_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER16_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER16_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002A00) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER16_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER16_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER16_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002A00) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER16_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER16_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002A00) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER16_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER16_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002A00) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER16_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER16_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER16_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002A00) TIMER1n Control Register +// ( (unsigned int)((TIMER16_CR >> 0) & 0xFFFFFFFF), ((TIMER16_CR = (TIMER16_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER16_CR_T1nEN +// SFDITEM_FIELD__TIMER16_CR_T1nCLK +// SFDITEM_FIELD__TIMER16_CR_T1nMS +// SFDITEM_FIELD__TIMER16_CR_T1nECE +// SFDITEM_FIELD__TIMER16_CR_T1nOPOL +// SFDITEM_FIELD__TIMER16_CR_T1nCPOL +// SFDITEM_FIELD__TIMER16_CR_T1nMIEN +// SFDITEM_FIELD__TIMER16_CR_T1nCIEN +// SFDITEM_FIELD__TIMER16_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER16_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER16_CR_T1nPAU +// SFDITEM_FIELD__TIMER16_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER16_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER16_ADR __AT (0x40002A04); + + + +// ------------------------------ Field Item: TIMER16_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER16_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002A04) TIMER1n A Data +// +// ( (unsigned short)((TIMER16_ADR >> 0) & 0xFFFF), ((TIMER16_ADR = (TIMER16_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER16_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER16_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002A04) TIMER1n A Data Register +// ( (unsigned int)((TIMER16_ADR >> 0) & 0xFFFFFFFF), ((TIMER16_ADR = (TIMER16_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER16_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER16_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER16_BDR __AT (0x40002A08); + + + +// ------------------------------ Field Item: TIMER16_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER16_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002A08) TIMER1n B Data +// +// ( (unsigned short)((TIMER16_BDR >> 0) & 0xFFFF), ((TIMER16_BDR = (TIMER16_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER16_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER16_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002A08) TIMER1n B Data Register +// ( (unsigned int)((TIMER16_BDR >> 0) & 0xFFFFFFFF), ((TIMER16_BDR = (TIMER16_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER16_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER16_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER16_CAPDR __AT (0x40002A0C); + + + +// ----------------------------- Field Item: TIMER16_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER16_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x40002A0C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER16_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER16_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER16_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x40002A0C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER16_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER16_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER16_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER16_PREDR __AT (0x40002A10); + + + +// ----------------------------- Field Item: TIMER16_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER16_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002A10) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER16_PREDR >> 0) & 0xFFF), ((TIMER16_PREDR = (TIMER16_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER16_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER16_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002A10) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER16_PREDR >> 0) & 0xFFFFFFFF), ((TIMER16_PREDR = (TIMER16_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER16_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER16_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER16_CNT __AT (0x40002A14); + + + +// ------------------------------- Field Item: TIMER16_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER16_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002A14) TIMER1n Counter +// +// ( (unsigned short)((TIMER16_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER16_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER16_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002A14) TIMER1n Counter Register +// ( (unsigned int)((TIMER16_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER16_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER16 ------------------------------------ +// SVD Line: 15419 + +// TIMER16 +// TIMER16 +// SFDITEM_REG__TIMER16_CR +// SFDITEM_REG__TIMER16_ADR +// SFDITEM_REG__TIMER16_BDR +// SFDITEM_REG__TIMER16_CAPDR +// SFDITEM_REG__TIMER16_PREDR +// SFDITEM_REG__TIMER16_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER2n_CR ------------------------------- +// SVD Line: 15452 + +unsigned int TIMER2n_CR __AT (0x52000000); + + + +// ------------------------------ Field Item: TIMER2n_CR_T2nEN ---------------------------------- +// SVD Line: 15461 + +// SFDITEM_FIELD__TIMER2n_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x52000000) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER2n_CR ) +// T2nEN +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nCLK --------------------------------- +// SVD Line: 15467 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x52000000) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER2n_CR ) +// T2nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nMS ---------------------------------- +// SVD Line: 15473 + +// SFDITEM_FIELD__TIMER2n_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x52000000) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER2n_CR >> 12) & 0x3), ((TIMER2n_CR = (TIMER2n_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nECE --------------------------------- +// SVD Line: 15479 + +// SFDITEM_FIELD__TIMER2n_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x52000000) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER2n_CR ) +// T2nECE +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_CAPSEL --------------------------------- +// SVD Line: 15485 + +// SFDITEM_FIELD__TIMER2n_CR_CAPSEL +// CAPSEL +// +// [Bits 10..9] RW (@ 0x52000000) TIMER2n Capture Signal Selection +// +// ( (unsigned char)((TIMER2n_CR >> 9) & 0x3), ((TIMER2n_CR = (TIMER2n_CR & ~(0x3UL << 9 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 9 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER2n_CR_T2nOPOL --------------------------------- +// SVD Line: 15491 + +// SFDITEM_FIELD__TIMER2n_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x52000000) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER2n_CR ) +// T2nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER2n_CR_T2nCPOL --------------------------------- +// SVD Line: 15497 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x52000000) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER2n_CR >> 6) & 0x3), ((TIMER2n_CR = (TIMER2n_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER2n_CR_T2nMIEN --------------------------------- +// SVD Line: 15503 + +// SFDITEM_FIELD__TIMER2n_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x52000000) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER2n_CR ) +// T2nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER2n_CR_T2nCIEN --------------------------------- +// SVD Line: 15509 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x52000000) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER2n_CR ) +// T2nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER2n_CR_T2nMIFLAG -------------------------------- +// SVD Line: 15515 + +// SFDITEM_FIELD__TIMER2n_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x52000000) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER2n_CR ) +// T2nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER2n_CR_T2nCIFLAG -------------------------------- +// SVD Line: 15521 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x52000000) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER2n_CR ) +// T2nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nPAU --------------------------------- +// SVD Line: 15527 + +// SFDITEM_FIELD__TIMER2n_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x52000000) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER2n_CR ) +// T2nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nCLR --------------------------------- +// SVD Line: 15533 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x52000000) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER2n_CR ) +// T2nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER2n_CR ----------------------------------- +// SVD Line: 15452 + +// SFDITEM_REG__TIMER2n_CR +// CR +// +// [Bits 31..0] RW (@ 0x52000000) TIMER2n Control Register +// ( (unsigned int)((TIMER2n_CR >> 0) & 0xFFFFFFFF), ((TIMER2n_CR = (TIMER2n_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER2n_CR_T2nEN +// SFDITEM_FIELD__TIMER2n_CR_T2nCLK +// SFDITEM_FIELD__TIMER2n_CR_T2nMS +// SFDITEM_FIELD__TIMER2n_CR_T2nECE +// SFDITEM_FIELD__TIMER2n_CR_CAPSEL +// SFDITEM_FIELD__TIMER2n_CR_T2nOPOL +// SFDITEM_FIELD__TIMER2n_CR_T2nCPOL +// SFDITEM_FIELD__TIMER2n_CR_T2nMIEN +// SFDITEM_FIELD__TIMER2n_CR_T2nCIEN +// SFDITEM_FIELD__TIMER2n_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER2n_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER2n_CR_T2nPAU +// SFDITEM_FIELD__TIMER2n_CR_T2nCLR +// +// + + +// --------------------------- Register Item Address: TIMER2n_ADR ------------------------------- +// SVD Line: 15541 + +unsigned int TIMER2n_ADR __AT (0x52000004); + + + +// ------------------------------ Field Item: TIMER2n_ADR_ADATA --------------------------------- +// SVD Line: 15550 + +// SFDITEM_FIELD__TIMER2n_ADR_ADATA +// ADATA +// +// [Bits 31..0] RW (@ 0x52000004) TIMER2n A Data +// +// ( (unsigned int)((TIMER2n_ADR >> 0) & 0xFFFFFFFF), ((TIMER2n_ADR = (TIMER2n_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER2n_ADR ---------------------------------- +// SVD Line: 15541 + +// SFDITEM_REG__TIMER2n_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x52000004) TIMER2n A Data Register +// ( (unsigned int)((TIMER2n_ADR >> 0) & 0xFFFFFFFF), ((TIMER2n_ADR = (TIMER2n_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER2n_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER2n_BDR ------------------------------- +// SVD Line: 15558 + +unsigned int TIMER2n_BDR __AT (0x52000008); + + + +// ------------------------------ Field Item: TIMER2n_BDR_BDATA --------------------------------- +// SVD Line: 15567 + +// SFDITEM_FIELD__TIMER2n_BDR_BDATA +// BDATA +// +// [Bits 31..0] RW (@ 0x52000008) TIMER2n B Data +// +// ( (unsigned int)((TIMER2n_BDR >> 0) & 0xFFFFFFFF), ((TIMER2n_BDR = (TIMER2n_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER2n_BDR ---------------------------------- +// SVD Line: 15558 + +// SFDITEM_REG__TIMER2n_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x52000008) TIMER2n B Data Register +// ( (unsigned int)((TIMER2n_BDR >> 0) & 0xFFFFFFFF), ((TIMER2n_BDR = (TIMER2n_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER2n_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER2n_CAPDR ------------------------------ +// SVD Line: 15575 + +unsigned int TIMER2n_CAPDR __AT (0x5200000C); + + + +// ----------------------------- Field Item: TIMER2n_CAPDR_CAPD --------------------------------- +// SVD Line: 15584 + +// SFDITEM_FIELD__TIMER2n_CAPDR_CAPD +// CAPD +// +// [Bits 31..0] RO (@ 0x5200000C) TIMER2n Capture Data +// +// ( (unsigned int)((TIMER2n_CAPDR >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER2n_CAPDR --------------------------------- +// SVD Line: 15575 + +// SFDITEM_REG__TIMER2n_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x5200000C) TIMER2n Capture Data Register +// ( (unsigned int)((TIMER2n_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER2n_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER2n_PREDR ------------------------------ +// SVD Line: 15592 + +unsigned int TIMER2n_PREDR __AT (0x52000010); + + + +// ----------------------------- Field Item: TIMER2n_PREDR_PRED --------------------------------- +// SVD Line: 15601 + +// SFDITEM_FIELD__TIMER2n_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x52000010) TIMER2n Prescaler Data +// +// ( (unsigned short)((TIMER2n_PREDR >> 0) & 0xFFF), ((TIMER2n_PREDR = (TIMER2n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER2n_PREDR --------------------------------- +// SVD Line: 15592 + +// SFDITEM_REG__TIMER2n_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x52000010) TIMER2n Prescaler Data Register +// ( (unsigned int)((TIMER2n_PREDR >> 0) & 0xFFFFFFFF), ((TIMER2n_PREDR = (TIMER2n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER2n_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER2n_CNT ------------------------------- +// SVD Line: 15609 + +unsigned int TIMER2n_CNT __AT (0x52000014); + + + +// ------------------------------- Field Item: TIMER2n_CNT_CNT ---------------------------------- +// SVD Line: 15618 + +// SFDITEM_FIELD__TIMER2n_CNT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x52000014) TIMER2n Counter +// +// ( (unsigned int)((TIMER2n_CNT >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER2n_CNT ---------------------------------- +// SVD Line: 15609 + +// SFDITEM_REG__TIMER2n_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x52000014) TIMER2n Counter Register +// ( (unsigned int)((TIMER2n_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER2n_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER2n ------------------------------------ +// SVD Line: 15438 + +// TIMER2n +// TIMER2n +// SFDITEM_REG__TIMER2n_CR +// SFDITEM_REG__TIMER2n_ADR +// SFDITEM_REG__TIMER2n_BDR +// SFDITEM_REG__TIMER2n_CAPDR +// SFDITEM_REG__TIMER2n_PREDR +// SFDITEM_REG__TIMER2n_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER20_CR ------------------------------- +// SVD Line: 15452 + +unsigned int TIMER20_CR __AT (0x40002500); + + + +// ------------------------------ Field Item: TIMER20_CR_T2nEN ---------------------------------- +// SVD Line: 15461 + +// SFDITEM_FIELD__TIMER20_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x40002500) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER20_CR ) +// T2nEN +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nCLK --------------------------------- +// SVD Line: 15467 + +// SFDITEM_FIELD__TIMER20_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x40002500) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER20_CR ) +// T2nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nMS ---------------------------------- +// SVD Line: 15473 + +// SFDITEM_FIELD__TIMER20_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x40002500) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER20_CR >> 12) & 0x3), ((TIMER20_CR = (TIMER20_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nECE --------------------------------- +// SVD Line: 15479 + +// SFDITEM_FIELD__TIMER20_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x40002500) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER20_CR ) +// T2nECE +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_CAPSEL --------------------------------- +// SVD Line: 15485 + +// SFDITEM_FIELD__TIMER20_CR_CAPSEL +// CAPSEL +// +// [Bits 10..9] RW (@ 0x40002500) TIMER2n Capture Signal Selection +// +// ( (unsigned char)((TIMER20_CR >> 9) & 0x3), ((TIMER20_CR = (TIMER20_CR & ~(0x3UL << 9 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 9 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER20_CR_T2nOPOL --------------------------------- +// SVD Line: 15491 + +// SFDITEM_FIELD__TIMER20_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x40002500) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER20_CR ) +// T2nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER20_CR_T2nCPOL --------------------------------- +// SVD Line: 15497 + +// SFDITEM_FIELD__TIMER20_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x40002500) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER20_CR >> 6) & 0x3), ((TIMER20_CR = (TIMER20_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER20_CR_T2nMIEN --------------------------------- +// SVD Line: 15503 + +// SFDITEM_FIELD__TIMER20_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x40002500) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER20_CR ) +// T2nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER20_CR_T2nCIEN --------------------------------- +// SVD Line: 15509 + +// SFDITEM_FIELD__TIMER20_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x40002500) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER20_CR ) +// T2nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER20_CR_T2nMIFLAG -------------------------------- +// SVD Line: 15515 + +// SFDITEM_FIELD__TIMER20_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x40002500) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER20_CR ) +// T2nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER20_CR_T2nCIFLAG -------------------------------- +// SVD Line: 15521 + +// SFDITEM_FIELD__TIMER20_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x40002500) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER20_CR ) +// T2nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nPAU --------------------------------- +// SVD Line: 15527 + +// SFDITEM_FIELD__TIMER20_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x40002500) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER20_CR ) +// T2nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nCLR --------------------------------- +// SVD Line: 15533 + +// SFDITEM_FIELD__TIMER20_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x40002500) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER20_CR ) +// T2nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER20_CR ----------------------------------- +// SVD Line: 15452 + +// SFDITEM_REG__TIMER20_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002500) TIMER2n Control Register +// ( (unsigned int)((TIMER20_CR >> 0) & 0xFFFFFFFF), ((TIMER20_CR = (TIMER20_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_CR_T2nEN +// SFDITEM_FIELD__TIMER20_CR_T2nCLK +// SFDITEM_FIELD__TIMER20_CR_T2nMS +// SFDITEM_FIELD__TIMER20_CR_T2nECE +// SFDITEM_FIELD__TIMER20_CR_CAPSEL +// SFDITEM_FIELD__TIMER20_CR_T2nOPOL +// SFDITEM_FIELD__TIMER20_CR_T2nCPOL +// SFDITEM_FIELD__TIMER20_CR_T2nMIEN +// SFDITEM_FIELD__TIMER20_CR_T2nCIEN +// SFDITEM_FIELD__TIMER20_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER20_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER20_CR_T2nPAU +// SFDITEM_FIELD__TIMER20_CR_T2nCLR +// +// + + +// --------------------------- Register Item Address: TIMER20_ADR ------------------------------- +// SVD Line: 15541 + +unsigned int TIMER20_ADR __AT (0x40002504); + + + +// ------------------------------ Field Item: TIMER20_ADR_ADATA --------------------------------- +// SVD Line: 15550 + +// SFDITEM_FIELD__TIMER20_ADR_ADATA +// ADATA +// +// [Bits 31..0] RW (@ 0x40002504) TIMER2n A Data +// +// ( (unsigned int)((TIMER20_ADR >> 0) & 0xFFFFFFFF), ((TIMER20_ADR = (TIMER20_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER20_ADR ---------------------------------- +// SVD Line: 15541 + +// SFDITEM_REG__TIMER20_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002504) TIMER2n A Data Register +// ( (unsigned int)((TIMER20_ADR >> 0) & 0xFFFFFFFF), ((TIMER20_ADR = (TIMER20_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER20_BDR ------------------------------- +// SVD Line: 15558 + +unsigned int TIMER20_BDR __AT (0x40002508); + + + +// ------------------------------ Field Item: TIMER20_BDR_BDATA --------------------------------- +// SVD Line: 15567 + +// SFDITEM_FIELD__TIMER20_BDR_BDATA +// BDATA +// +// [Bits 31..0] RW (@ 0x40002508) TIMER2n B Data +// +// ( (unsigned int)((TIMER20_BDR >> 0) & 0xFFFFFFFF), ((TIMER20_BDR = (TIMER20_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER20_BDR ---------------------------------- +// SVD Line: 15558 + +// SFDITEM_REG__TIMER20_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002508) TIMER2n B Data Register +// ( (unsigned int)((TIMER20_BDR >> 0) & 0xFFFFFFFF), ((TIMER20_BDR = (TIMER20_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER20_CAPDR ------------------------------ +// SVD Line: 15575 + +unsigned int TIMER20_CAPDR __AT (0x4000250C); + + + +// ----------------------------- Field Item: TIMER20_CAPDR_CAPD --------------------------------- +// SVD Line: 15584 + +// SFDITEM_FIELD__TIMER20_CAPDR_CAPD +// CAPD +// +// [Bits 31..0] RO (@ 0x4000250C) TIMER2n Capture Data +// +// ( (unsigned int)((TIMER20_CAPDR >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER20_CAPDR --------------------------------- +// SVD Line: 15575 + +// SFDITEM_REG__TIMER20_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000250C) TIMER2n Capture Data Register +// ( (unsigned int)((TIMER20_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER20_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER20_PREDR ------------------------------ +// SVD Line: 15592 + +unsigned int TIMER20_PREDR __AT (0x40002510); + + + +// ----------------------------- Field Item: TIMER20_PREDR_PRED --------------------------------- +// SVD Line: 15601 + +// SFDITEM_FIELD__TIMER20_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002510) TIMER2n Prescaler Data +// +// ( (unsigned short)((TIMER20_PREDR >> 0) & 0xFFF), ((TIMER20_PREDR = (TIMER20_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER20_PREDR --------------------------------- +// SVD Line: 15592 + +// SFDITEM_REG__TIMER20_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002510) TIMER2n Prescaler Data Register +// ( (unsigned int)((TIMER20_PREDR >> 0) & 0xFFFFFFFF), ((TIMER20_PREDR = (TIMER20_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER20_CNT ------------------------------- +// SVD Line: 15609 + +unsigned int TIMER20_CNT __AT (0x40002514); + + + +// ------------------------------- Field Item: TIMER20_CNT_CNT ---------------------------------- +// SVD Line: 15618 + +// SFDITEM_FIELD__TIMER20_CNT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002514) TIMER2n Counter +// +// ( (unsigned int)((TIMER20_CNT >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER20_CNT ---------------------------------- +// SVD Line: 15609 + +// SFDITEM_REG__TIMER20_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002514) TIMER2n Counter Register +// ( (unsigned int)((TIMER20_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER20_CNT_CNT +// +// + + +// ------------------------ Register Item Address: TIMER20_TIMER20_CR --------------------------- +// SVD Line: 15647 + +unsigned int TIMER20_TIMER20_CR __AT (0x40002500); + + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nEN ------------------------------ +// SVD Line: 15657 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x40002500) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nEN +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nCLK ----------------------------- +// SVD Line: 15663 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x40002500) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nCLK +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nMS ------------------------------ +// SVD Line: 15669 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x40002500) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER20_TIMER20_CR >> 12) & 0x3), ((TIMER20_TIMER20_CR = (TIMER20_TIMER20_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nECE ----------------------------- +// SVD Line: 15675 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x40002500) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nECE +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_CAPSEL ----------------------------- +// SVD Line: 15681 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_CAPSEL +// CAPSEL +// +// [Bits 10..9] RW (@ 0x40002500) TIMER2n Capture Signal Selection +// +// ( (unsigned char)((TIMER20_TIMER20_CR >> 9) & 0x3), ((TIMER20_TIMER20_CR = (TIMER20_TIMER20_CR & ~(0x3UL << 9 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 9 ) ) )) +// +// +// + + +// ------------------------- Field Item: TIMER20_TIMER20_CR_T2nOPOL ----------------------------- +// SVD Line: 15687 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x40002500) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nOPOL +// +// +// + + +// ------------------------- Field Item: TIMER20_TIMER20_CR_T2nCPOL ----------------------------- +// SVD Line: 15693 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x40002500) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER20_TIMER20_CR >> 6) & 0x3), ((TIMER20_TIMER20_CR = (TIMER20_TIMER20_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------- Field Item: TIMER20_TIMER20_CR_T2nMIEN ----------------------------- +// SVD Line: 15699 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x40002500) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nMIEN +// +// +// + + +// ------------------------- Field Item: TIMER20_TIMER20_CR_T2nCIEN ----------------------------- +// SVD Line: 15705 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x40002500) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nCIEN +// +// +// + + +// ------------------------ Field Item: TIMER20_TIMER20_CR_T2nMIFLAG ---------------------------- +// SVD Line: 15711 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x40002500) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nMIFLAG +// +// +// + + +// ------------------------ Field Item: TIMER20_TIMER20_CR_T2nCIFLAG ---------------------------- +// SVD Line: 15717 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x40002500) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nCIFLAG +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nPAU ----------------------------- +// SVD Line: 15723 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x40002500) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nPAU +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nCLR ----------------------------- +// SVD Line: 15729 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x40002500) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nCLR +// +// +// + + +// --------------------------- Register RTree: TIMER20_TIMER20_CR ------------------------------- +// SVD Line: 15647 + +// SFDITEM_REG__TIMER20_TIMER20_CR +// TIMER20_CR +// +// [Bits 31..0] RW (@ 0x40002500) TIMER2n Control Register +// ( (unsigned int)((TIMER20_TIMER20_CR >> 0) & 0xFFFFFFFF), ((TIMER20_TIMER20_CR = (TIMER20_TIMER20_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nEN +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCLK +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMS +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nECE +// SFDITEM_FIELD__TIMER20_TIMER20_CR_CAPSEL +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nOPOL +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCPOL +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMIEN +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCIEN +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nPAU +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCLR +// +// + + +// -------------------------------- Peripheral View: TIMER20 ------------------------------------ +// SVD Line: 15628 + +// TIMER20 +// TIMER20 +// SFDITEM_REG__TIMER20_CR +// SFDITEM_REG__TIMER20_ADR +// SFDITEM_REG__TIMER20_BDR +// SFDITEM_REG__TIMER20_CAPDR +// SFDITEM_REG__TIMER20_PREDR +// SFDITEM_REG__TIMER20_CNT +// SFDITEM_REG__TIMER20_TIMER20_CR +// +// + + +// ---------------------------- Register Item Address: TIMER21_CR ------------------------------- +// SVD Line: 15452 + +unsigned int TIMER21_CR __AT (0x40002600); + + + +// ------------------------------ Field Item: TIMER21_CR_T2nEN ---------------------------------- +// SVD Line: 15461 + +// SFDITEM_FIELD__TIMER21_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x40002600) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER21_CR ) +// T2nEN +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nCLK --------------------------------- +// SVD Line: 15467 + +// SFDITEM_FIELD__TIMER21_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x40002600) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER21_CR ) +// T2nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nMS ---------------------------------- +// SVD Line: 15473 + +// SFDITEM_FIELD__TIMER21_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x40002600) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER21_CR >> 12) & 0x3), ((TIMER21_CR = (TIMER21_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nECE --------------------------------- +// SVD Line: 15479 + +// SFDITEM_FIELD__TIMER21_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x40002600) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER21_CR ) +// T2nECE +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_CAPSEL --------------------------------- +// SVD Line: 15485 + +// SFDITEM_FIELD__TIMER21_CR_CAPSEL +// CAPSEL +// +// [Bits 10..9] RW (@ 0x40002600) TIMER2n Capture Signal Selection +// +// ( (unsigned char)((TIMER21_CR >> 9) & 0x3), ((TIMER21_CR = (TIMER21_CR & ~(0x3UL << 9 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 9 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER21_CR_T2nOPOL --------------------------------- +// SVD Line: 15491 + +// SFDITEM_FIELD__TIMER21_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x40002600) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER21_CR ) +// T2nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER21_CR_T2nCPOL --------------------------------- +// SVD Line: 15497 + +// SFDITEM_FIELD__TIMER21_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x40002600) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER21_CR >> 6) & 0x3), ((TIMER21_CR = (TIMER21_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER21_CR_T2nMIEN --------------------------------- +// SVD Line: 15503 + +// SFDITEM_FIELD__TIMER21_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x40002600) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER21_CR ) +// T2nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER21_CR_T2nCIEN --------------------------------- +// SVD Line: 15509 + +// SFDITEM_FIELD__TIMER21_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x40002600) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER21_CR ) +// T2nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER21_CR_T2nMIFLAG -------------------------------- +// SVD Line: 15515 + +// SFDITEM_FIELD__TIMER21_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x40002600) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER21_CR ) +// T2nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER21_CR_T2nCIFLAG -------------------------------- +// SVD Line: 15521 + +// SFDITEM_FIELD__TIMER21_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x40002600) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER21_CR ) +// T2nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nPAU --------------------------------- +// SVD Line: 15527 + +// SFDITEM_FIELD__TIMER21_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x40002600) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER21_CR ) +// T2nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nCLR --------------------------------- +// SVD Line: 15533 + +// SFDITEM_FIELD__TIMER21_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x40002600) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER21_CR ) +// T2nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER21_CR ----------------------------------- +// SVD Line: 15452 + +// SFDITEM_REG__TIMER21_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002600) TIMER2n Control Register +// ( (unsigned int)((TIMER21_CR >> 0) & 0xFFFFFFFF), ((TIMER21_CR = (TIMER21_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_CR_T2nEN +// SFDITEM_FIELD__TIMER21_CR_T2nCLK +// SFDITEM_FIELD__TIMER21_CR_T2nMS +// SFDITEM_FIELD__TIMER21_CR_T2nECE +// SFDITEM_FIELD__TIMER21_CR_CAPSEL +// SFDITEM_FIELD__TIMER21_CR_T2nOPOL +// SFDITEM_FIELD__TIMER21_CR_T2nCPOL +// SFDITEM_FIELD__TIMER21_CR_T2nMIEN +// SFDITEM_FIELD__TIMER21_CR_T2nCIEN +// SFDITEM_FIELD__TIMER21_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER21_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER21_CR_T2nPAU +// SFDITEM_FIELD__TIMER21_CR_T2nCLR +// +// + + +// --------------------------- Register Item Address: TIMER21_ADR ------------------------------- +// SVD Line: 15541 + +unsigned int TIMER21_ADR __AT (0x40002604); + + + +// ------------------------------ Field Item: TIMER21_ADR_ADATA --------------------------------- +// SVD Line: 15550 + +// SFDITEM_FIELD__TIMER21_ADR_ADATA +// ADATA +// +// [Bits 31..0] RW (@ 0x40002604) TIMER2n A Data +// +// ( (unsigned int)((TIMER21_ADR >> 0) & 0xFFFFFFFF), ((TIMER21_ADR = (TIMER21_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER21_ADR ---------------------------------- +// SVD Line: 15541 + +// SFDITEM_REG__TIMER21_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002604) TIMER2n A Data Register +// ( (unsigned int)((TIMER21_ADR >> 0) & 0xFFFFFFFF), ((TIMER21_ADR = (TIMER21_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER21_BDR ------------------------------- +// SVD Line: 15558 + +unsigned int TIMER21_BDR __AT (0x40002608); + + + +// ------------------------------ Field Item: TIMER21_BDR_BDATA --------------------------------- +// SVD Line: 15567 + +// SFDITEM_FIELD__TIMER21_BDR_BDATA +// BDATA +// +// [Bits 31..0] RW (@ 0x40002608) TIMER2n B Data +// +// ( (unsigned int)((TIMER21_BDR >> 0) & 0xFFFFFFFF), ((TIMER21_BDR = (TIMER21_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER21_BDR ---------------------------------- +// SVD Line: 15558 + +// SFDITEM_REG__TIMER21_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002608) TIMER2n B Data Register +// ( (unsigned int)((TIMER21_BDR >> 0) & 0xFFFFFFFF), ((TIMER21_BDR = (TIMER21_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER21_CAPDR ------------------------------ +// SVD Line: 15575 + +unsigned int TIMER21_CAPDR __AT (0x4000260C); + + + +// ----------------------------- Field Item: TIMER21_CAPDR_CAPD --------------------------------- +// SVD Line: 15584 + +// SFDITEM_FIELD__TIMER21_CAPDR_CAPD +// CAPD +// +// [Bits 31..0] RO (@ 0x4000260C) TIMER2n Capture Data +// +// ( (unsigned int)((TIMER21_CAPDR >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER21_CAPDR --------------------------------- +// SVD Line: 15575 + +// SFDITEM_REG__TIMER21_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000260C) TIMER2n Capture Data Register +// ( (unsigned int)((TIMER21_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER21_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER21_PREDR ------------------------------ +// SVD Line: 15592 + +unsigned int TIMER21_PREDR __AT (0x40002610); + + + +// ----------------------------- Field Item: TIMER21_PREDR_PRED --------------------------------- +// SVD Line: 15601 + +// SFDITEM_FIELD__TIMER21_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002610) TIMER2n Prescaler Data +// +// ( (unsigned short)((TIMER21_PREDR >> 0) & 0xFFF), ((TIMER21_PREDR = (TIMER21_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER21_PREDR --------------------------------- +// SVD Line: 15592 + +// SFDITEM_REG__TIMER21_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002610) TIMER2n Prescaler Data Register +// ( (unsigned int)((TIMER21_PREDR >> 0) & 0xFFFFFFFF), ((TIMER21_PREDR = (TIMER21_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER21_CNT ------------------------------- +// SVD Line: 15609 + +unsigned int TIMER21_CNT __AT (0x40002614); + + + +// ------------------------------- Field Item: TIMER21_CNT_CNT ---------------------------------- +// SVD Line: 15618 + +// SFDITEM_FIELD__TIMER21_CNT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002614) TIMER2n Counter +// +// ( (unsigned int)((TIMER21_CNT >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER21_CNT ---------------------------------- +// SVD Line: 15609 + +// SFDITEM_REG__TIMER21_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002614) TIMER2n Counter Register +// ( (unsigned int)((TIMER21_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER21_CNT_CNT +// +// + + +// ------------------------ Register Item Address: TIMER21_TIMER21_CR --------------------------- +// SVD Line: 15758 + +unsigned int TIMER21_TIMER21_CR __AT (0x40002600); + + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nEN ------------------------------ +// SVD Line: 15768 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x40002600) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nEN +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nCLK ----------------------------- +// SVD Line: 15774 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x40002600) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nCLK +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nMS ------------------------------ +// SVD Line: 15780 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x40002600) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER21_TIMER21_CR >> 12) & 0x3), ((TIMER21_TIMER21_CR = (TIMER21_TIMER21_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nECE ----------------------------- +// SVD Line: 15786 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x40002600) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nECE +// +// +// + + +// ------------------------- Field Item: TIMER21_TIMER21_CR_T2nOPOL ----------------------------- +// SVD Line: 15792 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x40002600) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nOPOL +// +// +// + + +// ------------------------- Field Item: TIMER21_TIMER21_CR_T2nCPOL ----------------------------- +// SVD Line: 15798 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x40002600) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER21_TIMER21_CR >> 6) & 0x3), ((TIMER21_TIMER21_CR = (TIMER21_TIMER21_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------- Field Item: TIMER21_TIMER21_CR_T2nMIEN ----------------------------- +// SVD Line: 15804 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x40002600) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nMIEN +// +// +// + + +// ------------------------- Field Item: TIMER21_TIMER21_CR_T2nCIEN ----------------------------- +// SVD Line: 15810 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x40002600) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nCIEN +// +// +// + + +// ------------------------ Field Item: TIMER21_TIMER21_CR_T2nMIFLAG ---------------------------- +// SVD Line: 15816 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x40002600) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nMIFLAG +// +// +// + + +// ------------------------ Field Item: TIMER21_TIMER21_CR_T2nCIFLAG ---------------------------- +// SVD Line: 15822 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x40002600) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nCIFLAG +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nPAU ----------------------------- +// SVD Line: 15828 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x40002600) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nPAU +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nCLR ----------------------------- +// SVD Line: 15834 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x40002600) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nCLR +// +// +// + + +// --------------------------- Register RTree: TIMER21_TIMER21_CR ------------------------------- +// SVD Line: 15758 + +// SFDITEM_REG__TIMER21_TIMER21_CR +// TIMER21_CR +// +// [Bits 31..0] RW (@ 0x40002600) TIMER2n Control Register +// ( (unsigned int)((TIMER21_TIMER21_CR >> 0) & 0xFFFFFFFF), ((TIMER21_TIMER21_CR = (TIMER21_TIMER21_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nEN +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCLK +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMS +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nECE +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nOPOL +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCPOL +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMIEN +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCIEN +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nPAU +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCLR +// +// + + +// -------------------------------- Peripheral View: TIMER21 ------------------------------------ +// SVD Line: 15739 + +// TIMER21 +// TIMER21 +// SFDITEM_REG__TIMER21_CR +// SFDITEM_REG__TIMER21_ADR +// SFDITEM_REG__TIMER21_BDR +// SFDITEM_REG__TIMER21_CAPDR +// SFDITEM_REG__TIMER21_PREDR +// SFDITEM_REG__TIMER21_CNT +// SFDITEM_REG__TIMER21_TIMER21_CR +// +// + + +// ---------------------------- Register Item Address: TIMER3n_CR ------------------------------- +// SVD Line: 15858 + +unsigned int TIMER3n_CR __AT (0x53000000); + + + +// ------------------------------ Field Item: TIMER3n_CR_T3nEN ---------------------------------- +// SVD Line: 15867 + +// SFDITEM_FIELD__TIMER3n_CR_T3nEN +// T3nEN +// +// [Bit 15] RW (@ 0x53000000) \nTIMER3n Operation Enable\n0 : Disable = Disable TIMER3n Operation.\n1 : Enable = Enable TIMER3n Operation. (Counter Clear and Start) +// +// ( (unsigned int) TIMER3n_CR ) +// T3nEN +// <0=> 0: Disable = Disable TIMER3n Operation. +// <1=> 1: Enable = Enable TIMER3n Operation. (Counter Clear and Start) +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_T3nCLK --------------------------------- +// SVD Line: 15885 + +// SFDITEM_FIELD__TIMER3n_CR_T3nCLK +// T3nCLK +// +// [Bit 14] RW (@ 0x53000000) \nTIMER3n Clock Selection\n0 : IntPrescaledClock = Select an Internal Prescaler Clock.\n1 : ExtClock = Select an External Clock. +// +// ( (unsigned int) TIMER3n_CR ) +// T3nCLK +// <0=> 0: IntPrescaledClock = Select an Internal Prescaler Clock. +// <1=> 1: ExtClock = Select an External Clock. +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_T3nMS ---------------------------------- +// SVD Line: 15903 + +// SFDITEM_FIELD__TIMER3n_CR_T3nMS +// T3nMS +// +// [Bits 13..12] RW (@ 0x53000000) \nTIMER3n Operation Mode Selection\n0 : IntervalMode = Interval mode. (All match interrupts can occur)\n1 : CaptureMode = Capture mode. (The Period-match interrupt can occur)\n2 : BackToBackMode = Back-to-back mode. (All interrupts can occur)\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER3n_CR ) +// T3nMS +// <0=> 0: IntervalMode = Interval mode. (All match interrupts can occur) +// <1=> 1: CaptureMode = Capture mode. (The Period-match interrupt can occur) +// <2=> 2: BackToBackMode = Back-to-back mode. (All interrupts can occur) +// <3=> 3: +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_T3nECE --------------------------------- +// SVD Line: 15926 + +// SFDITEM_FIELD__TIMER3n_CR_T3nECE +// T3nECE +// +// [Bit 11] RW (@ 0x53000000) \nTIMER3n External Clock Edge Selection\n0 : FallingEdge = Select falling edge of external clock.\n1 : RisingEdge = Select rising edge of external clock. +// +// ( (unsigned int) TIMER3n_CR ) +// T3nECE +// <0=> 0: FallingEdge = Select falling edge of external clock. +// <1=> 1: RisingEdge = Select rising edge of external clock. +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_FORCA ---------------------------------- +// SVD Line: 15944 + +// SFDITEM_FIELD__TIMER3n_CR_FORCA +// FORCA +// +// [Bit 10] RW (@ 0x53000000) \nTIMER3n Output Mode Selection\n0 : AllChannelMode = 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.)\n1 : AChannelMode = Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) +// +// ( (unsigned int) TIMER3n_CR ) +// FORCA +// <0=> 0: AllChannelMode = 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.) +// <1=> 1: AChannelMode = Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_DLYEN ---------------------------------- +// SVD Line: 15962 + +// SFDITEM_FIELD__TIMER3n_CR_DLYEN +// DLYEN +// +// [Bit 9] RW (@ 0x53000000) \nDelay Time Insertion Enable\n0 : Disable = Disable delay time insertion to the PWM3nxA/PWM3nxB.\n1 : Enable = Enable delay time insertion to the PWM3nxA/PWM3nxB. +// +// ( (unsigned int) TIMER3n_CR ) +// DLYEN +// <0=> 0: Disable = Disable delay time insertion to the PWM3nxA/PWM3nxB. +// <1=> 1: Enable = Enable delay time insertion to the PWM3nxA/PWM3nxB. +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_DLYPOS --------------------------------- +// SVD Line: 15980 + +// SFDITEM_FIELD__TIMER3n_CR_DLYPOS +// DLYPOS +// +// [Bit 8] RW (@ 0x53000000) \nDelay Time Insertion Position\n0 : FrontABehindB = Insert in front of PWM3nxA and behind PWM3nxB pins.\n1 : BehindAFrontB = Insert behind PWM3nxA and in front of PWM3nxB pins. +// +// ( (unsigned int) TIMER3n_CR ) +// DLYPOS +// <0=> 0: FrontABehindB = Insert in front of PWM3nxA and behind PWM3nxB pins. +// <1=> 1: BehindAFrontB = Insert behind PWM3nxA and in front of PWM3nxB pins. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_CR_T3nCPOL --------------------------------- +// SVD Line: 15998 + +// SFDITEM_FIELD__TIMER3n_CR_T3nCPOL +// T3nCPOL +// +// [Bits 7..6] RW (@ 0x53000000) \nTIMER3n Capture Polarity Selection\n0 : FallingEdge = Capture on falling edge.\n1 : RisingEdge = Capture on rising edge.\n2 : BothEdge = Capture on both falling and rising edge.\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER3n_CR ) +// T3nCPOL +// <0=> 0: FallingEdge = Capture on falling edge. +// <1=> 1: RisingEdge = Capture on rising edge. +// <2=> 2: BothEdge = Capture on both falling and rising edge. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: TIMER3n_CR_UPDT ---------------------------------- +// SVD Line: 16021 + +// SFDITEM_FIELD__TIMER3n_CR_UPDT +// UPDT +// +// [Bits 5..4] RW (@ 0x53000000) \nData Reload Time Selection\n0 : AtWriting = Update data to buffer at the time of writing.\n1 : AtPeriodMatch = Update data to buffer at period match.\n2 : AtBottom = Update data to buffer at bottom.\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER3n_CR ) +// UPDT +// <0=> 0: AtWriting = Update data to buffer at the time of writing. +// <1=> 1: AtPeriodMatch = Update data to buffer at period match. +// <2=> 2: AtBottom = Update data to buffer at bottom. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: TIMER3n_CR_PMOC ---------------------------------- +// SVD Line: 16044 + +// SFDITEM_FIELD__TIMER3n_CR_PMOC +// PMOC +// +// [Bits 3..1] RW (@ 0x53000000) \nPeriod Match Interrupt Occurrence Selection\n0 : Every1PeriodMatch = Once every 1 period match.\n1 : Every2PeriodMatch = Once every 2 period match.\n2 : Every3PeriodMatch = Once every 3 period match.\n3 : Every4PeriodMatch = Once every 4 period match.\n4 : Every5PeriodMatch = Once every 5 period match.\n5 : Every6PeriodMatch = Once every 6 period match.\n6 : Every7PeriodMatch = Once every 7 period match.\n7 : Every8PeriodMatch = Once every 8 period match. +// +// ( (unsigned int) TIMER3n_CR ) +// PMOC +// <0=> 0: Every1PeriodMatch = Once every 1 period match. +// <1=> 1: Every2PeriodMatch = Once every 2 period match. +// <2=> 2: Every3PeriodMatch = Once every 3 period match. +// <3=> 3: Every4PeriodMatch = Once every 4 period match. +// <4=> 4: Every5PeriodMatch = Once every 5 period match. +// <5=> 5: Every6PeriodMatch = Once every 6 period match. +// <6=> 6: Every7PeriodMatch = Once every 7 period match. +// <7=> 7: Every8PeriodMatch = Once every 8 period match. +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_T3nCLR --------------------------------- +// SVD Line: 16092 + +// SFDITEM_FIELD__TIMER3n_CR_T3nCLR +// T3nCLR +// +// [Bit 0] RW (@ 0x53000000) \nTIMER3n Counter and Prescaler Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) +// +// ( (unsigned int) TIMER3n_CR ) +// T3nCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_CR ----------------------------------- +// SVD Line: 15858 + +// SFDITEM_REG__TIMER3n_CR +// CR +// +// [Bits 31..0] RW (@ 0x53000000) TIMER3n Control Register +// ( (unsigned int)((TIMER3n_CR >> 0) & 0xFFFFFFFF), ((TIMER3n_CR = (TIMER3n_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_CR_T3nEN +// SFDITEM_FIELD__TIMER3n_CR_T3nCLK +// SFDITEM_FIELD__TIMER3n_CR_T3nMS +// SFDITEM_FIELD__TIMER3n_CR_T3nECE +// SFDITEM_FIELD__TIMER3n_CR_FORCA +// SFDITEM_FIELD__TIMER3n_CR_DLYEN +// SFDITEM_FIELD__TIMER3n_CR_DLYPOS +// SFDITEM_FIELD__TIMER3n_CR_T3nCPOL +// SFDITEM_FIELD__TIMER3n_CR_UPDT +// SFDITEM_FIELD__TIMER3n_CR_PMOC +// SFDITEM_FIELD__TIMER3n_CR_T3nCLR +// +// + + +// --------------------------- Register Item Address: TIMER3n_PDR ------------------------------- +// SVD Line: 16112 + +unsigned int TIMER3n_PDR __AT (0x53000004); + + + +// ------------------------------ Field Item: TIMER3n_PDR_PDATA --------------------------------- +// SVD Line: 16121 + +// SFDITEM_FIELD__TIMER3n_PDR_PDATA +// PDATA +// +// [Bits 15..0] RW (@ 0x53000004) TIMER3n Period Data +// +// ( (unsigned short)((TIMER3n_PDR >> 0) & 0xFFFF), ((TIMER3n_PDR = (TIMER3n_PDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_PDR ---------------------------------- +// SVD Line: 16112 + +// SFDITEM_REG__TIMER3n_PDR +// PDR +// +// [Bits 31..0] RW (@ 0x53000004) TIMER3n Period Data Register +// ( (unsigned int)((TIMER3n_PDR >> 0) & 0xFFFFFFFF), ((TIMER3n_PDR = (TIMER3n_PDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_PDR_PDATA +// +// + + +// --------------------------- Register Item Address: TIMER3n_ADR ------------------------------- +// SVD Line: 16129 + +unsigned int TIMER3n_ADR __AT (0x53000008); + + + +// ------------------------------ Field Item: TIMER3n_ADR_ADATA --------------------------------- +// SVD Line: 16138 + +// SFDITEM_FIELD__TIMER3n_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x53000008) TIMER3n A Data +// +// ( (unsigned short)((TIMER3n_ADR >> 0) & 0xFFFF), ((TIMER3n_ADR = (TIMER3n_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_ADR ---------------------------------- +// SVD Line: 16129 + +// SFDITEM_REG__TIMER3n_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x53000008) TIMER3n A Data Register +// ( (unsigned int)((TIMER3n_ADR >> 0) & 0xFFFFFFFF), ((TIMER3n_ADR = (TIMER3n_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER3n_BDR ------------------------------- +// SVD Line: 16146 + +unsigned int TIMER3n_BDR __AT (0x5300000C); + + + +// ------------------------------ Field Item: TIMER3n_BDR_BDATA --------------------------------- +// SVD Line: 16155 + +// SFDITEM_FIELD__TIMER3n_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x5300000C) TIMER3n B Data +// +// ( (unsigned short)((TIMER3n_BDR >> 0) & 0xFFFF), ((TIMER3n_BDR = (TIMER3n_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_BDR ---------------------------------- +// SVD Line: 16146 + +// SFDITEM_REG__TIMER3n_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x5300000C) TIMER3n B Data Register +// ( (unsigned int)((TIMER3n_BDR >> 0) & 0xFFFFFFFF), ((TIMER3n_BDR = (TIMER3n_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_BDR_BDATA +// +// + + +// --------------------------- Register Item Address: TIMER3n_CDR ------------------------------- +// SVD Line: 16163 + +unsigned int TIMER3n_CDR __AT (0x53000010); + + + +// ------------------------------ Field Item: TIMER3n_CDR_CDATA --------------------------------- +// SVD Line: 16172 + +// SFDITEM_FIELD__TIMER3n_CDR_CDATA +// CDATA +// +// [Bits 15..0] RW (@ 0x53000010) TIMER3n C Data +// +// ( (unsigned short)((TIMER3n_CDR >> 0) & 0xFFFF), ((TIMER3n_CDR = (TIMER3n_CDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_CDR ---------------------------------- +// SVD Line: 16163 + +// SFDITEM_REG__TIMER3n_CDR +// CDR +// +// [Bits 31..0] RW (@ 0x53000010) TIMER3n C Data Register +// ( (unsigned int)((TIMER3n_CDR >> 0) & 0xFFFFFFFF), ((TIMER3n_CDR = (TIMER3n_CDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_CDR_CDATA +// +// + + +// -------------------------- Register Item Address: TIMER3n_CAPDR ------------------------------ +// SVD Line: 16180 + +unsigned int TIMER3n_CAPDR __AT (0x53000014); + + + +// ----------------------------- Field Item: TIMER3n_CAPDR_CAPD --------------------------------- +// SVD Line: 16189 + +// SFDITEM_FIELD__TIMER3n_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x53000014) TIMER3n Capture Data +// +// ( (unsigned short)((TIMER3n_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_CAPDR --------------------------------- +// SVD Line: 16180 + +// SFDITEM_REG__TIMER3n_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x53000014) TIMER3n Capture Data Register +// ( (unsigned int)((TIMER3n_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER3n_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER3n_PREDR ------------------------------ +// SVD Line: 16197 + +unsigned int TIMER3n_PREDR __AT (0x53000018); + + + +// ----------------------------- Field Item: TIMER3n_PREDR_PRED --------------------------------- +// SVD Line: 16206 + +// SFDITEM_FIELD__TIMER3n_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x53000018) TIMER3n Prescaler Data +// +// ( (unsigned short)((TIMER3n_PREDR >> 0) & 0xFFF), ((TIMER3n_PREDR = (TIMER3n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_PREDR --------------------------------- +// SVD Line: 16197 + +// SFDITEM_REG__TIMER3n_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x53000018) TIMER3n Prescaler Data Register +// ( (unsigned int)((TIMER3n_PREDR >> 0) & 0xFFFFFFFF), ((TIMER3n_PREDR = (TIMER3n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER3n_CNT ------------------------------- +// SVD Line: 16214 + +unsigned int TIMER3n_CNT __AT (0x5300001C); + + + +// ------------------------------- Field Item: TIMER3n_CNT_CNT ---------------------------------- +// SVD Line: 16223 + +// SFDITEM_FIELD__TIMER3n_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x5300001C) TIMER3n Counter +// +// ( (unsigned short)((TIMER3n_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_CNT ---------------------------------- +// SVD Line: 16214 + +// SFDITEM_REG__TIMER3n_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x5300001C) TIMER3n Counter Register +// ( (unsigned int)((TIMER3n_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER3n_CNT_CNT +// +// + + +// -------------------------- Register Item Address: TIMER3n_OUTCR ------------------------------ +// SVD Line: 16231 + +unsigned int TIMER3n_OUTCR __AT (0x53000020); + + + +// ---------------------------- Field Item: TIMER3n_OUTCR_WTIDKY -------------------------------- +// SVD Line: 16240 + +// SFDITEM_FIELD__TIMER3n_OUTCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x53000020) Write Identification Key +// +// ( (unsigned short)((TIMER3n_OUTCR >> 16) & 0x0), ((TIMER3n_OUTCR = (TIMER3n_OUTCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_POLB --------------------------------- +// SVD Line: 16246 + +// SFDITEM_FIELD__TIMER3n_OUTCR_POLB +// POLB +// +// [Bit 15] RW (@ 0x53000020) \nPWM3nxB Output Polarity Selection\n0 : StartLow = Low level start. (The PWM3nxB pins are started with low level after counting.)\n1 : StartHigh = High level start. (The PWM3nxB pins are started with high level after counting) +// +// ( (unsigned int) TIMER3n_OUTCR ) +// POLB +// <0=> 0: StartLow = Low level start. (The PWM3nxB pins are started with low level after counting.) +// <1=> 1: StartHigh = High level start. (The PWM3nxB pins are started with high level after counting) +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_POLA --------------------------------- +// SVD Line: 16264 + +// SFDITEM_FIELD__TIMER3n_OUTCR_POLA +// POLA +// +// [Bit 14] RW (@ 0x53000020) \nPWM3nxA Output Polarity Selection\n0 : StartLow = Low level start. (The PWM3nxA pins are started with low level after counting.)\n1 : StartHigh = High level start. (The PWM3nxA pins are started with high level after counting) +// +// ( (unsigned int) TIMER3n_OUTCR ) +// POLA +// <0=> 0: StartLow = Low level start. (The PWM3nxA pins are started with low level after counting.) +// <1=> 1: StartHigh = High level start. (The PWM3nxA pins are started with high level after counting) +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PABOE -------------------------------- +// SVD Line: 16282 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PABOE +// PABOE +// +// [Bit 13] RW (@ 0x53000020) \nPWM3nAB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PABOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PBBOE -------------------------------- +// SVD Line: 16300 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PBBOE +// PBBOE +// +// [Bit 12] RW (@ 0x53000020) \nPWM3nBB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PBBOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PCBOE -------------------------------- +// SVD Line: 16318 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PCBOE +// PCBOE +// +// [Bit 11] RW (@ 0x53000020) \nPWM3nCB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PCBOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PAAOE -------------------------------- +// SVD Line: 16336 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PAAOE +// PAAOE +// +// [Bit 10] RW (@ 0x53000020) \nPWM3nAA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PAAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PBAOE -------------------------------- +// SVD Line: 16354 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PBAOE +// PBAOE +// +// [Bit 9] RW (@ 0x53000020) \nPWM3nBA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PBAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PCAOE -------------------------------- +// SVD Line: 16372 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PCAOE +// PCAOE +// +// [Bit 8] RW (@ 0x53000020) \nPWM3nCA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PCAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLAB -------------------------------- +// SVD Line: 16390 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLAB +// LVLAB +// +// [Bit 6] RW (@ 0x53000020) \nConfigure PWM3nAB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLAB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLBB -------------------------------- +// SVD Line: 16408 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLBB +// LVLBB +// +// [Bit 5] RW (@ 0x53000020) \nConfigure PWM3nBB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLBB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLCB -------------------------------- +// SVD Line: 16426 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLCB +// LVLCB +// +// [Bit 4] RW (@ 0x53000020) \nConfigure PWM3nCB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLCB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLAA -------------------------------- +// SVD Line: 16444 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLAA +// LVLAA +// +// [Bit 2] RW (@ 0x53000020) \nConfigure PWM3nAA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLAA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLBA -------------------------------- +// SVD Line: 16462 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLBA +// LVLBA +// +// [Bit 1] RW (@ 0x53000020) \nConfigure PWM3nBA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLBA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLCA -------------------------------- +// SVD Line: 16480 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLCA +// LVLCA +// +// [Bit 0] RW (@ 0x53000020) \nConfigure PWM3nCA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLCA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_OUTCR --------------------------------- +// SVD Line: 16231 + +// SFDITEM_REG__TIMER3n_OUTCR +// OUTCR +// +// [Bits 31..0] RW (@ 0x53000020) TIMER3n Output Control Register +// ( (unsigned int)((TIMER3n_OUTCR >> 0) & 0xFFFFFFFF), ((TIMER3n_OUTCR = (TIMER3n_OUTCR & ~(0xFFFFFF77UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF77) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_OUTCR_WTIDKY +// SFDITEM_FIELD__TIMER3n_OUTCR_POLB +// SFDITEM_FIELD__TIMER3n_OUTCR_POLA +// SFDITEM_FIELD__TIMER3n_OUTCR_PABOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PBBOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PCBOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PAAOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PBAOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PCAOE +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLAB +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLBB +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLCB +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLAA +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLBA +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLCA +// +// + + +// --------------------------- Register Item Address: TIMER3n_DLY ------------------------------- +// SVD Line: 16500 + +unsigned int TIMER3n_DLY __AT (0x53000024); + + + +// ------------------------------- Field Item: TIMER3n_DLY_DLY ---------------------------------- +// SVD Line: 16509 + +// SFDITEM_FIELD__TIMER3n_DLY_DLY +// DLY +// +// [Bits 9..0] RW (@ 0x53000024) TIMER3n PWM Delay Data +// +// ( (unsigned short)((TIMER3n_DLY >> 0) & 0x3FF), ((TIMER3n_DLY = (TIMER3n_DLY & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0x3FF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_DLY ---------------------------------- +// SVD Line: 16500 + +// SFDITEM_REG__TIMER3n_DLY +// DLY +// +// [Bits 31..0] RW (@ 0x53000024) TIMER3n PWM Output Delay Data Register +// ( (unsigned int)((TIMER3n_DLY >> 0) & 0xFFFFFFFF), ((TIMER3n_DLY = (TIMER3n_DLY & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_DLY_DLY +// +// + + +// -------------------------- Register Item Address: TIMER3n_INTCR ------------------------------ +// SVD Line: 16517 + +unsigned int TIMER3n_INTCR __AT (0x53000028); + + + +// ---------------------------- Field Item: TIMER3n_INTCR_HIZIEN -------------------------------- +// SVD Line: 16526 + +// SFDITEM_FIELD__TIMER3n_INTCR_HIZIEN +// HIZIEN +// +// [Bit 6] RW (@ 0x53000028) \nTIMER3n Output High-Impedance Interrupt Enable\n0 : Disable = Disable TIMER3n output high-impedance interrupt.\n1 : Enable = Enable TIMER3n output high-impedance interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// HIZIEN +// <0=> 0: Disable = Disable TIMER3n output high-impedance interrupt. +// <1=> 1: Enable = Enable TIMER3n output high-impedance interrupt. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_INTCR_T3nCIEN ------------------------------- +// SVD Line: 16544 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nCIEN +// T3nCIEN +// +// [Bit 5] RW (@ 0x53000028) \nTIMER3n Capture Interrupt Enable\n0 : Disable = Disable TIMER3n capture interrupt.\n1 : Enable = Enable TIMER3n capture interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nCIEN +// <0=> 0: Disable = Disable TIMER3n capture interrupt. +// <1=> 1: Enable = Enable TIMER3n capture interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nBTIEN ------------------------------- +// SVD Line: 16562 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nBTIEN +// T3nBTIEN +// +// [Bit 4] RW (@ 0x53000028) \nTIMER3n Bottom Interrupt Enable\n0 : Disable = Disable TIMER3n bottom interrupt.\n1 : Enable = Enable TIMER3n bottom interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nBTIEN +// <0=> 0: Disable = Disable TIMER3n bottom interrupt. +// <1=> 1: Enable = Enable TIMER3n bottom interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nPMIEN ------------------------------- +// SVD Line: 16580 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nPMIEN +// T3nPMIEN +// +// [Bit 3] RW (@ 0x53000028) \nTIMER3n Period Match Interrupt Enable\n0 : Disable = Disable TIMER3n period interrupt.\n1 : Enable = Enable TIMER3n period interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nPMIEN +// <0=> 0: Disable = Disable TIMER3n period interrupt. +// <1=> 1: Enable = Enable TIMER3n period interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nAMIEN ------------------------------- +// SVD Line: 16598 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nAMIEN +// T3nAMIEN +// +// [Bit 2] RW (@ 0x53000028) \nTIMER3n A-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n A-ch match interrupt.\n1 : Enable = Enable TIMER3n A-ch match interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nAMIEN +// <0=> 0: Disable = Disable TIMER3n A-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n A-ch match interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nBMIEN ------------------------------- +// SVD Line: 16616 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nBMIEN +// T3nBMIEN +// +// [Bit 1] RW (@ 0x53000028) \nTIMER3n B-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n B-ch match interrupt.\n1 : Enable = Enable TIMER3n B-ch match interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nBMIEN +// <0=> 0: Disable = Disable TIMER3n B-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n B-ch match interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nCMIEN ------------------------------- +// SVD Line: 16634 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nCMIEN +// T3nCMIEN +// +// [Bit 0] RW (@ 0x53000028) \nTIMER3n C-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n C-ch match interrupt.\n1 : Enable = Enable TIMER3n C-ch match interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nCMIEN +// <0=> 0: Disable = Disable TIMER3n C-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n C-ch match interrupt. +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_INTCR --------------------------------- +// SVD Line: 16517 + +// SFDITEM_REG__TIMER3n_INTCR +// INTCR +// +// [Bits 31..0] RW (@ 0x53000028) TIMER3n Interrupt Control Register +// ( (unsigned int)((TIMER3n_INTCR >> 0) & 0xFFFFFFFF), ((TIMER3n_INTCR = (TIMER3n_INTCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_INTCR_HIZIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nCIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nBTIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nPMIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nAMIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nBMIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nCMIEN +// +// + + +// ------------------------- Register Item Address: TIMER3n_INTFLAG ----------------------------- +// SVD Line: 16654 + +unsigned int TIMER3n_INTFLAG __AT (0x5300002C); + + + +// -------------------------- Field Item: TIMER3n_INTFLAG_HIZIFLAG ------------------------------ +// SVD Line: 16663 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_HIZIFLAG +// HIZIFLAG +// +// [Bit 6] RW (@ 0x5300002C) \nTIMER3n Output High-Impedance Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// HIZIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// -------------------------- Field Item: TIMER3n_INTFLAG_T3nCIFLAG ----------------------------- +// SVD Line: 16681 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nCIFLAG +// T3nCIFLAG +// +// [Bit 5] RW (@ 0x5300002C) \nTIMER3n Capture Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nCIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nBTIFLAG ----------------------------- +// SVD Line: 16699 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nBTIFLAG +// T3nBTIFLAG +// +// [Bit 4] RW (@ 0x5300002C) \nTIMER3n Bottom Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nBTIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nPMIFLAG ----------------------------- +// SVD Line: 16717 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nPMIFLAG +// T3nPMIFLAG +// +// [Bit 3] RW (@ 0x5300002C) \nTIMER3n Period Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nPMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nAMIFLAG ----------------------------- +// SVD Line: 16735 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nAMIFLAG +// T3nAMIFLAG +// +// [Bit 2] RW (@ 0x5300002C) \nTIMER3n A-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nAMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nBMIFLAG ----------------------------- +// SVD Line: 16753 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nBMIFLAG +// T3nBMIFLAG +// +// [Bit 1] RW (@ 0x5300002C) \nTIMER3n B-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nBMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nCMIFLAG ----------------------------- +// SVD Line: 16771 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nCMIFLAG +// T3nCMIFLAG +// +// [Bit 0] RW (@ 0x5300002C) \nTIMER3n C-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nCMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ----------------------------- Register RTree: TIMER3n_INTFLAG -------------------------------- +// SVD Line: 16654 + +// SFDITEM_REG__TIMER3n_INTFLAG +// INTFLAG +// +// [Bits 31..0] RW (@ 0x5300002C) TIMER3n Interrupt Flag Register +// ( (unsigned int)((TIMER3n_INTFLAG >> 0) & 0xFFFFFFFF), ((TIMER3n_INTFLAG = (TIMER3n_INTFLAG & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_INTFLAG_HIZIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nCIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nBTIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nPMIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nAMIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nBMIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nCMIFLAG +// +// + + +// -------------------------- Register Item Address: TIMER3n_HIZCR ------------------------------ +// SVD Line: 16791 + +unsigned int TIMER3n_HIZCR __AT (0x53000030); + + + +// ----------------------------- Field Item: TIMER3n_HIZCR_HIZEN -------------------------------- +// SVD Line: 16800 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZEN +// HIZEN +// +// [Bit 7] RW (@ 0x53000030) \nPWM3nxA/PWM3nxB Output High-Impedance Enable\n0 : Disable = Disable to control the output high-impedance.\n1 : Enable = Enable to control the output high-impedance. +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HIZEN +// <0=> 0: Disable = Disable to control the output high-impedance. +// <1=> 1: Enable = Enable to control the output high-impedance. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_HIZCR_HIZSW -------------------------------- +// SVD Line: 16818 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZSW +// HIZSW +// +// [Bit 4] RW (@ 0x53000030) \nHigh-Impedance Output Software Setting\n0 : NoEffect = No effect.\n1 : HiZ = PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HIZSW +// <0=> 0: NoEffect = No effect. +// <1=> 1: HiZ = PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) +// +// +// + + +// ----------------------------- Field Item: TIMER3n_HIZCR_HEDGE -------------------------------- +// SVD Line: 16836 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HEDGE +// HEDGE +// +// [Bit 2] RW (@ 0x53000030) \nHigh-Impedance Edge Selection\n0 : FallingEdge = Falling edge of the BLNK pin.\n1 : RisingEdge = Rising edge of the BLNK pin. +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HEDGE +// <0=> 0: FallingEdge = Falling edge of the BLNK pin. +// <1=> 1: RisingEdge = Rising edge of the BLNK pin. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_HIZCR_HIZSTA -------------------------------- +// SVD Line: 16854 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZSTA +// HIZSTA +// +// [Bit 1] RO (@ 0x53000030) \nHigh-Impedance Status\n0 : NoHiZ = Indicates that the pins are not under a Hi-Z state.\n1 : HiZ = Indicates that the pins are under a Hi-Z state. +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HIZSTA +// <0=> 0: NoHiZ = Indicates that the pins are not under a Hi-Z state. +// <1=> 1: HiZ = Indicates that the pins are under a Hi-Z state. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_HIZCR_HIZCLR -------------------------------- +// SVD Line: 16872 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZCLR +// HIZCLR +// +// [Bit 0] RW (@ 0x53000030) \nHigh-Impedance Output Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HIZCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_HIZCR --------------------------------- +// SVD Line: 16791 + +// SFDITEM_REG__TIMER3n_HIZCR +// HIZCR +// +// [Bits 31..0] RW (@ 0x53000030) TIMER3n High-Impedance Control Register +// ( (unsigned int)((TIMER3n_HIZCR >> 0) & 0xFFFFFFFF), ((TIMER3n_HIZCR = (TIMER3n_HIZCR & ~(0x95UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x95) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZEN +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZSW +// SFDITEM_FIELD__TIMER3n_HIZCR_HEDGE +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZSTA +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZCLR +// +// + + +// -------------------------- Register Item Address: TIMER3n_ADTCR ------------------------------ +// SVD Line: 16892 + +unsigned int TIMER3n_ADTCR __AT (0x53000034); + + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nBTTG ------------------------------- +// SVD Line: 16901 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nBTTG +// T3nBTTG +// +// [Bit 4] RW (@ 0x53000034) \nSelect TIMER3n Bottom for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by bottom.\n1 : Enable = Enable ADC trigger signal generator by bottom. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nBTTG +// <0=> 0: Disable = Disable ADC trigger signal generator by bottom. +// <1=> 1: Enable = Enable ADC trigger signal generator by bottom. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nPMTG ------------------------------- +// SVD Line: 16919 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nPMTG +// T3nPMTG +// +// [Bit 3] RW (@ 0x53000034) \nSelect TIMER3n Period Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by period match.\n1 : Enable = Enable ADC trigger signal generator by period match. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nPMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by period match. +// <1=> 1: Enable = Enable ADC trigger signal generator by period match. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nAMTG ------------------------------- +// SVD Line: 16937 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nAMTG +// T3nAMTG +// +// [Bit 2] RW (@ 0x53000034) \nSelect TIMER3n A-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by A-ch match.\n1 : Enable = Enable ADC trigger signal generator by A-ch match. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nAMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by A-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by A-ch match. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nBMTG ------------------------------- +// SVD Line: 16955 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nBMTG +// T3nBMTG +// +// [Bit 1] RW (@ 0x53000034) \nSelect TIMER3n B-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by B-ch match.\n1 : Enable = Enable ADC trigger signal generator by B-ch match. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nBMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by B-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by B-ch match. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nCMTG ------------------------------- +// SVD Line: 16973 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nCMTG +// T3nCMTG +// +// [Bit 0] RW (@ 0x53000034) \nSelect TIMER3n C-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by C-ch match.\n1 : Enable = Enable ADC trigger signal generator by C-ch match. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nCMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by C-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by C-ch match. +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_ADTCR --------------------------------- +// SVD Line: 16892 + +// SFDITEM_REG__TIMER3n_ADTCR +// ADTCR +// +// [Bits 31..0] RW (@ 0x53000034) TIMER3n ADC Trigger Control Register +// ( (unsigned int)((TIMER3n_ADTCR >> 0) & 0xFFFFFFFF), ((TIMER3n_ADTCR = (TIMER3n_ADTCR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nBTTG +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nPMTG +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nAMTG +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nBMTG +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nCMTG +// +// + + +// -------------------------- Register Item Address: TIMER3n_ADTDR ------------------------------ +// SVD Line: 16993 + +unsigned int TIMER3n_ADTDR __AT (0x53000038); + + + +// ---------------------------- Field Item: TIMER3n_ADTDR_ADTDATA ------------------------------- +// SVD Line: 17002 + +// SFDITEM_FIELD__TIMER3n_ADTDR_ADTDATA +// ADTDATA +// +// [Bits 13..0] RW (@ 0x53000038) TIMER3n ADC Trigger Generation Data +// +// ( (unsigned short)((TIMER3n_ADTDR >> 0) & 0x3FFF), ((TIMER3n_ADTDR = (TIMER3n_ADTDR & ~(0x3FFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0x3FFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_ADTDR --------------------------------- +// SVD Line: 16993 + +// SFDITEM_REG__TIMER3n_ADTDR +// ADTDR +// +// [Bits 31..0] RW (@ 0x53000038) TIMER3n ADC Trigger Generator Data Register +// ( (unsigned int)((TIMER3n_ADTDR >> 0) & 0xFFFFFFFF), ((TIMER3n_ADTDR = (TIMER3n_ADTDR & ~(0x3FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_ADTDR_ADTDATA +// +// + + +// -------------------------------- Peripheral View: TIMER3n ------------------------------------ +// SVD Line: 15844 + +// TIMER3n +// TIMER3n +// SFDITEM_REG__TIMER3n_CR +// SFDITEM_REG__TIMER3n_PDR +// SFDITEM_REG__TIMER3n_ADR +// SFDITEM_REG__TIMER3n_BDR +// SFDITEM_REG__TIMER3n_CDR +// SFDITEM_REG__TIMER3n_CAPDR +// SFDITEM_REG__TIMER3n_PREDR +// SFDITEM_REG__TIMER3n_CNT +// SFDITEM_REG__TIMER3n_OUTCR +// SFDITEM_REG__TIMER3n_DLY +// SFDITEM_REG__TIMER3n_INTCR +// SFDITEM_REG__TIMER3n_INTFLAG +// SFDITEM_REG__TIMER3n_HIZCR +// SFDITEM_REG__TIMER3n_ADTCR +// SFDITEM_REG__TIMER3n_ADTDR +// +// + + +// ---------------------------- Register Item Address: TIMER30_CR ------------------------------- +// SVD Line: 15858 + +unsigned int TIMER30_CR __AT (0x40002400); + + + +// ------------------------------ Field Item: TIMER30_CR_T3nEN ---------------------------------- +// SVD Line: 15867 + +// SFDITEM_FIELD__TIMER30_CR_T3nEN +// T3nEN +// +// [Bit 15] RW (@ 0x40002400) \nTIMER3n Operation Enable\n0 : Disable = Disable TIMER3n Operation.\n1 : Enable = Enable TIMER3n Operation. (Counter Clear and Start) +// +// ( (unsigned int) TIMER30_CR ) +// T3nEN +// <0=> 0: Disable = Disable TIMER3n Operation. +// <1=> 1: Enable = Enable TIMER3n Operation. (Counter Clear and Start) +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_T3nCLK --------------------------------- +// SVD Line: 15885 + +// SFDITEM_FIELD__TIMER30_CR_T3nCLK +// T3nCLK +// +// [Bit 14] RW (@ 0x40002400) \nTIMER3n Clock Selection\n0 : IntPrescaledClock = Select an Internal Prescaler Clock.\n1 : ExtClock = Select an External Clock. +// +// ( (unsigned int) TIMER30_CR ) +// T3nCLK +// <0=> 0: IntPrescaledClock = Select an Internal Prescaler Clock. +// <1=> 1: ExtClock = Select an External Clock. +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_T3nMS ---------------------------------- +// SVD Line: 15903 + +// SFDITEM_FIELD__TIMER30_CR_T3nMS +// T3nMS +// +// [Bits 13..12] RW (@ 0x40002400) \nTIMER3n Operation Mode Selection\n0 : IntervalMode = Interval mode. (All match interrupts can occur)\n1 : CaptureMode = Capture mode. (The Period-match interrupt can occur)\n2 : BackToBackMode = Back-to-back mode. (All interrupts can occur)\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER30_CR ) +// T3nMS +// <0=> 0: IntervalMode = Interval mode. (All match interrupts can occur) +// <1=> 1: CaptureMode = Capture mode. (The Period-match interrupt can occur) +// <2=> 2: BackToBackMode = Back-to-back mode. (All interrupts can occur) +// <3=> 3: +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_T3nECE --------------------------------- +// SVD Line: 15926 + +// SFDITEM_FIELD__TIMER30_CR_T3nECE +// T3nECE +// +// [Bit 11] RW (@ 0x40002400) \nTIMER3n External Clock Edge Selection\n0 : FallingEdge = Select falling edge of external clock.\n1 : RisingEdge = Select rising edge of external clock. +// +// ( (unsigned int) TIMER30_CR ) +// T3nECE +// <0=> 0: FallingEdge = Select falling edge of external clock. +// <1=> 1: RisingEdge = Select rising edge of external clock. +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_FORCA ---------------------------------- +// SVD Line: 15944 + +// SFDITEM_FIELD__TIMER30_CR_FORCA +// FORCA +// +// [Bit 10] RW (@ 0x40002400) \nTIMER3n Output Mode Selection\n0 : AllChannelMode = 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.)\n1 : AChannelMode = Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) +// +// ( (unsigned int) TIMER30_CR ) +// FORCA +// <0=> 0: AllChannelMode = 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.) +// <1=> 1: AChannelMode = Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_DLYEN ---------------------------------- +// SVD Line: 15962 + +// SFDITEM_FIELD__TIMER30_CR_DLYEN +// DLYEN +// +// [Bit 9] RW (@ 0x40002400) \nDelay Time Insertion Enable\n0 : Disable = Disable delay time insertion to the PWM3nxA/PWM3nxB.\n1 : Enable = Enable delay time insertion to the PWM3nxA/PWM3nxB. +// +// ( (unsigned int) TIMER30_CR ) +// DLYEN +// <0=> 0: Disable = Disable delay time insertion to the PWM3nxA/PWM3nxB. +// <1=> 1: Enable = Enable delay time insertion to the PWM3nxA/PWM3nxB. +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_DLYPOS --------------------------------- +// SVD Line: 15980 + +// SFDITEM_FIELD__TIMER30_CR_DLYPOS +// DLYPOS +// +// [Bit 8] RW (@ 0x40002400) \nDelay Time Insertion Position\n0 : FrontABehindB = Insert in front of PWM3nxA and behind PWM3nxB pins.\n1 : BehindAFrontB = Insert behind PWM3nxA and in front of PWM3nxB pins. +// +// ( (unsigned int) TIMER30_CR ) +// DLYPOS +// <0=> 0: FrontABehindB = Insert in front of PWM3nxA and behind PWM3nxB pins. +// <1=> 1: BehindAFrontB = Insert behind PWM3nxA and in front of PWM3nxB pins. +// +// +// + + +// ----------------------------- Field Item: TIMER30_CR_T3nCPOL --------------------------------- +// SVD Line: 15998 + +// SFDITEM_FIELD__TIMER30_CR_T3nCPOL +// T3nCPOL +// +// [Bits 7..6] RW (@ 0x40002400) \nTIMER3n Capture Polarity Selection\n0 : FallingEdge = Capture on falling edge.\n1 : RisingEdge = Capture on rising edge.\n2 : BothEdge = Capture on both falling and rising edge.\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER30_CR ) +// T3nCPOL +// <0=> 0: FallingEdge = Capture on falling edge. +// <1=> 1: RisingEdge = Capture on rising edge. +// <2=> 2: BothEdge = Capture on both falling and rising edge. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: TIMER30_CR_UPDT ---------------------------------- +// SVD Line: 16021 + +// SFDITEM_FIELD__TIMER30_CR_UPDT +// UPDT +// +// [Bits 5..4] RW (@ 0x40002400) \nData Reload Time Selection\n0 : AtWriting = Update data to buffer at the time of writing.\n1 : AtPeriodMatch = Update data to buffer at period match.\n2 : AtBottom = Update data to buffer at bottom.\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER30_CR ) +// UPDT +// <0=> 0: AtWriting = Update data to buffer at the time of writing. +// <1=> 1: AtPeriodMatch = Update data to buffer at period match. +// <2=> 2: AtBottom = Update data to buffer at bottom. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: TIMER30_CR_PMOC ---------------------------------- +// SVD Line: 16044 + +// SFDITEM_FIELD__TIMER30_CR_PMOC +// PMOC +// +// [Bits 3..1] RW (@ 0x40002400) \nPeriod Match Interrupt Occurrence Selection\n0 : Every1PeriodMatch = Once every 1 period match.\n1 : Every2PeriodMatch = Once every 2 period match.\n2 : Every3PeriodMatch = Once every 3 period match.\n3 : Every4PeriodMatch = Once every 4 period match.\n4 : Every5PeriodMatch = Once every 5 period match.\n5 : Every6PeriodMatch = Once every 6 period match.\n6 : Every7PeriodMatch = Once every 7 period match.\n7 : Every8PeriodMatch = Once every 8 period match. +// +// ( (unsigned int) TIMER30_CR ) +// PMOC +// <0=> 0: Every1PeriodMatch = Once every 1 period match. +// <1=> 1: Every2PeriodMatch = Once every 2 period match. +// <2=> 2: Every3PeriodMatch = Once every 3 period match. +// <3=> 3: Every4PeriodMatch = Once every 4 period match. +// <4=> 4: Every5PeriodMatch = Once every 5 period match. +// <5=> 5: Every6PeriodMatch = Once every 6 period match. +// <6=> 6: Every7PeriodMatch = Once every 7 period match. +// <7=> 7: Every8PeriodMatch = Once every 8 period match. +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_T3nCLR --------------------------------- +// SVD Line: 16092 + +// SFDITEM_FIELD__TIMER30_CR_T3nCLR +// T3nCLR +// +// [Bit 0] RW (@ 0x40002400) \nTIMER3n Counter and Prescaler Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) +// +// ( (unsigned int) TIMER30_CR ) +// T3nCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_CR ----------------------------------- +// SVD Line: 15858 + +// SFDITEM_REG__TIMER30_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002400) TIMER3n Control Register +// ( (unsigned int)((TIMER30_CR >> 0) & 0xFFFFFFFF), ((TIMER30_CR = (TIMER30_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_CR_T3nEN +// SFDITEM_FIELD__TIMER30_CR_T3nCLK +// SFDITEM_FIELD__TIMER30_CR_T3nMS +// SFDITEM_FIELD__TIMER30_CR_T3nECE +// SFDITEM_FIELD__TIMER30_CR_FORCA +// SFDITEM_FIELD__TIMER30_CR_DLYEN +// SFDITEM_FIELD__TIMER30_CR_DLYPOS +// SFDITEM_FIELD__TIMER30_CR_T3nCPOL +// SFDITEM_FIELD__TIMER30_CR_UPDT +// SFDITEM_FIELD__TIMER30_CR_PMOC +// SFDITEM_FIELD__TIMER30_CR_T3nCLR +// +// + + +// --------------------------- Register Item Address: TIMER30_PDR ------------------------------- +// SVD Line: 16112 + +unsigned int TIMER30_PDR __AT (0x40002404); + + + +// ------------------------------ Field Item: TIMER30_PDR_PDATA --------------------------------- +// SVD Line: 16121 + +// SFDITEM_FIELD__TIMER30_PDR_PDATA +// PDATA +// +// [Bits 15..0] RW (@ 0x40002404) TIMER3n Period Data +// +// ( (unsigned short)((TIMER30_PDR >> 0) & 0xFFFF), ((TIMER30_PDR = (TIMER30_PDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_PDR ---------------------------------- +// SVD Line: 16112 + +// SFDITEM_REG__TIMER30_PDR +// PDR +// +// [Bits 31..0] RW (@ 0x40002404) TIMER3n Period Data Register +// ( (unsigned int)((TIMER30_PDR >> 0) & 0xFFFFFFFF), ((TIMER30_PDR = (TIMER30_PDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_PDR_PDATA +// +// + + +// --------------------------- Register Item Address: TIMER30_ADR ------------------------------- +// SVD Line: 16129 + +unsigned int TIMER30_ADR __AT (0x40002408); + + + +// ------------------------------ Field Item: TIMER30_ADR_ADATA --------------------------------- +// SVD Line: 16138 + +// SFDITEM_FIELD__TIMER30_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002408) TIMER3n A Data +// +// ( (unsigned short)((TIMER30_ADR >> 0) & 0xFFFF), ((TIMER30_ADR = (TIMER30_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_ADR ---------------------------------- +// SVD Line: 16129 + +// SFDITEM_REG__TIMER30_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002408) TIMER3n A Data Register +// ( (unsigned int)((TIMER30_ADR >> 0) & 0xFFFFFFFF), ((TIMER30_ADR = (TIMER30_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER30_BDR ------------------------------- +// SVD Line: 16146 + +unsigned int TIMER30_BDR __AT (0x4000240C); + + + +// ------------------------------ Field Item: TIMER30_BDR_BDATA --------------------------------- +// SVD Line: 16155 + +// SFDITEM_FIELD__TIMER30_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x4000240C) TIMER3n B Data +// +// ( (unsigned short)((TIMER30_BDR >> 0) & 0xFFFF), ((TIMER30_BDR = (TIMER30_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_BDR ---------------------------------- +// SVD Line: 16146 + +// SFDITEM_REG__TIMER30_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x4000240C) TIMER3n B Data Register +// ( (unsigned int)((TIMER30_BDR >> 0) & 0xFFFFFFFF), ((TIMER30_BDR = (TIMER30_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_BDR_BDATA +// +// + + +// --------------------------- Register Item Address: TIMER30_CDR ------------------------------- +// SVD Line: 16163 + +unsigned int TIMER30_CDR __AT (0x40002410); + + + +// ------------------------------ Field Item: TIMER30_CDR_CDATA --------------------------------- +// SVD Line: 16172 + +// SFDITEM_FIELD__TIMER30_CDR_CDATA +// CDATA +// +// [Bits 15..0] RW (@ 0x40002410) TIMER3n C Data +// +// ( (unsigned short)((TIMER30_CDR >> 0) & 0xFFFF), ((TIMER30_CDR = (TIMER30_CDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_CDR ---------------------------------- +// SVD Line: 16163 + +// SFDITEM_REG__TIMER30_CDR +// CDR +// +// [Bits 31..0] RW (@ 0x40002410) TIMER3n C Data Register +// ( (unsigned int)((TIMER30_CDR >> 0) & 0xFFFFFFFF), ((TIMER30_CDR = (TIMER30_CDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_CDR_CDATA +// +// + + +// -------------------------- Register Item Address: TIMER30_CAPDR ------------------------------ +// SVD Line: 16180 + +unsigned int TIMER30_CAPDR __AT (0x40002414); + + + +// ----------------------------- Field Item: TIMER30_CAPDR_CAPD --------------------------------- +// SVD Line: 16189 + +// SFDITEM_FIELD__TIMER30_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x40002414) TIMER3n Capture Data +// +// ( (unsigned short)((TIMER30_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER30_CAPDR --------------------------------- +// SVD Line: 16180 + +// SFDITEM_REG__TIMER30_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x40002414) TIMER3n Capture Data Register +// ( (unsigned int)((TIMER30_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER30_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER30_PREDR ------------------------------ +// SVD Line: 16197 + +unsigned int TIMER30_PREDR __AT (0x40002418); + + + +// ----------------------------- Field Item: TIMER30_PREDR_PRED --------------------------------- +// SVD Line: 16206 + +// SFDITEM_FIELD__TIMER30_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002418) TIMER3n Prescaler Data +// +// ( (unsigned short)((TIMER30_PREDR >> 0) & 0xFFF), ((TIMER30_PREDR = (TIMER30_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER30_PREDR --------------------------------- +// SVD Line: 16197 + +// SFDITEM_REG__TIMER30_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002418) TIMER3n Prescaler Data Register +// ( (unsigned int)((TIMER30_PREDR >> 0) & 0xFFFFFFFF), ((TIMER30_PREDR = (TIMER30_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER30_CNT ------------------------------- +// SVD Line: 16214 + +unsigned int TIMER30_CNT __AT (0x4000241C); + + + +// ------------------------------- Field Item: TIMER30_CNT_CNT ---------------------------------- +// SVD Line: 16223 + +// SFDITEM_FIELD__TIMER30_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x4000241C) TIMER3n Counter +// +// ( (unsigned short)((TIMER30_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_CNT ---------------------------------- +// SVD Line: 16214 + +// SFDITEM_REG__TIMER30_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x4000241C) TIMER3n Counter Register +// ( (unsigned int)((TIMER30_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER30_CNT_CNT +// +// + + +// -------------------------- Register Item Address: TIMER30_OUTCR ------------------------------ +// SVD Line: 16231 + +unsigned int TIMER30_OUTCR __AT (0x40002420); + + + +// ---------------------------- Field Item: TIMER30_OUTCR_WTIDKY -------------------------------- +// SVD Line: 16240 + +// SFDITEM_FIELD__TIMER30_OUTCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40002420) Write Identification Key +// +// ( (unsigned short)((TIMER30_OUTCR >> 16) & 0x0), ((TIMER30_OUTCR = (TIMER30_OUTCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_POLB --------------------------------- +// SVD Line: 16246 + +// SFDITEM_FIELD__TIMER30_OUTCR_POLB +// POLB +// +// [Bit 15] RW (@ 0x40002420) \nPWM3nxB Output Polarity Selection\n0 : StartLow = Low level start. (The PWM3nxB pins are started with low level after counting.)\n1 : StartHigh = High level start. (The PWM3nxB pins are started with high level after counting) +// +// ( (unsigned int) TIMER30_OUTCR ) +// POLB +// <0=> 0: StartLow = Low level start. (The PWM3nxB pins are started with low level after counting.) +// <1=> 1: StartHigh = High level start. (The PWM3nxB pins are started with high level after counting) +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_POLA --------------------------------- +// SVD Line: 16264 + +// SFDITEM_FIELD__TIMER30_OUTCR_POLA +// POLA +// +// [Bit 14] RW (@ 0x40002420) \nPWM3nxA Output Polarity Selection\n0 : StartLow = Low level start. (The PWM3nxA pins are started with low level after counting.)\n1 : StartHigh = High level start. (The PWM3nxA pins are started with high level after counting) +// +// ( (unsigned int) TIMER30_OUTCR ) +// POLA +// <0=> 0: StartLow = Low level start. (The PWM3nxA pins are started with low level after counting.) +// <1=> 1: StartHigh = High level start. (The PWM3nxA pins are started with high level after counting) +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PABOE -------------------------------- +// SVD Line: 16282 + +// SFDITEM_FIELD__TIMER30_OUTCR_PABOE +// PABOE +// +// [Bit 13] RW (@ 0x40002420) \nPWM3nAB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PABOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PBBOE -------------------------------- +// SVD Line: 16300 + +// SFDITEM_FIELD__TIMER30_OUTCR_PBBOE +// PBBOE +// +// [Bit 12] RW (@ 0x40002420) \nPWM3nBB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PBBOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PCBOE -------------------------------- +// SVD Line: 16318 + +// SFDITEM_FIELD__TIMER30_OUTCR_PCBOE +// PCBOE +// +// [Bit 11] RW (@ 0x40002420) \nPWM3nCB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PCBOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PAAOE -------------------------------- +// SVD Line: 16336 + +// SFDITEM_FIELD__TIMER30_OUTCR_PAAOE +// PAAOE +// +// [Bit 10] RW (@ 0x40002420) \nPWM3nAA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PAAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PBAOE -------------------------------- +// SVD Line: 16354 + +// SFDITEM_FIELD__TIMER30_OUTCR_PBAOE +// PBAOE +// +// [Bit 9] RW (@ 0x40002420) \nPWM3nBA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PBAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PCAOE -------------------------------- +// SVD Line: 16372 + +// SFDITEM_FIELD__TIMER30_OUTCR_PCAOE +// PCAOE +// +// [Bit 8] RW (@ 0x40002420) \nPWM3nCA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PCAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLAB -------------------------------- +// SVD Line: 16390 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLAB +// LVLAB +// +// [Bit 6] RW (@ 0x40002420) \nConfigure PWM3nAB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLAB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLBB -------------------------------- +// SVD Line: 16408 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLBB +// LVLBB +// +// [Bit 5] RW (@ 0x40002420) \nConfigure PWM3nBB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLBB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLCB -------------------------------- +// SVD Line: 16426 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLCB +// LVLCB +// +// [Bit 4] RW (@ 0x40002420) \nConfigure PWM3nCB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLCB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLAA -------------------------------- +// SVD Line: 16444 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLAA +// LVLAA +// +// [Bit 2] RW (@ 0x40002420) \nConfigure PWM3nAA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLAA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLBA -------------------------------- +// SVD Line: 16462 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLBA +// LVLBA +// +// [Bit 1] RW (@ 0x40002420) \nConfigure PWM3nBA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLBA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLCA -------------------------------- +// SVD Line: 16480 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLCA +// LVLCA +// +// [Bit 0] RW (@ 0x40002420) \nConfigure PWM3nCA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLCA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ------------------------------ Register RTree: TIMER30_OUTCR --------------------------------- +// SVD Line: 16231 + +// SFDITEM_REG__TIMER30_OUTCR +// OUTCR +// +// [Bits 31..0] RW (@ 0x40002420) TIMER3n Output Control Register +// ( (unsigned int)((TIMER30_OUTCR >> 0) & 0xFFFFFFFF), ((TIMER30_OUTCR = (TIMER30_OUTCR & ~(0xFFFFFF77UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF77) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_OUTCR_WTIDKY +// SFDITEM_FIELD__TIMER30_OUTCR_POLB +// SFDITEM_FIELD__TIMER30_OUTCR_POLA +// SFDITEM_FIELD__TIMER30_OUTCR_PABOE +// SFDITEM_FIELD__TIMER30_OUTCR_PBBOE +// SFDITEM_FIELD__TIMER30_OUTCR_PCBOE +// SFDITEM_FIELD__TIMER30_OUTCR_PAAOE +// SFDITEM_FIELD__TIMER30_OUTCR_PBAOE +// SFDITEM_FIELD__TIMER30_OUTCR_PCAOE +// SFDITEM_FIELD__TIMER30_OUTCR_LVLAB +// SFDITEM_FIELD__TIMER30_OUTCR_LVLBB +// SFDITEM_FIELD__TIMER30_OUTCR_LVLCB +// SFDITEM_FIELD__TIMER30_OUTCR_LVLAA +// SFDITEM_FIELD__TIMER30_OUTCR_LVLBA +// SFDITEM_FIELD__TIMER30_OUTCR_LVLCA +// +// + + +// --------------------------- Register Item Address: TIMER30_DLY ------------------------------- +// SVD Line: 16500 + +unsigned int TIMER30_DLY __AT (0x40002424); + + + +// ------------------------------- Field Item: TIMER30_DLY_DLY ---------------------------------- +// SVD Line: 16509 + +// SFDITEM_FIELD__TIMER30_DLY_DLY +// DLY +// +// [Bits 9..0] RW (@ 0x40002424) TIMER3n PWM Delay Data +// +// ( (unsigned short)((TIMER30_DLY >> 0) & 0x3FF), ((TIMER30_DLY = (TIMER30_DLY & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0x3FF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_DLY ---------------------------------- +// SVD Line: 16500 + +// SFDITEM_REG__TIMER30_DLY +// DLY +// +// [Bits 31..0] RW (@ 0x40002424) TIMER3n PWM Output Delay Data Register +// ( (unsigned int)((TIMER30_DLY >> 0) & 0xFFFFFFFF), ((TIMER30_DLY = (TIMER30_DLY & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_DLY_DLY +// +// + + +// -------------------------- Register Item Address: TIMER30_INTCR ------------------------------ +// SVD Line: 16517 + +unsigned int TIMER30_INTCR __AT (0x40002428); + + + +// ---------------------------- Field Item: TIMER30_INTCR_HIZIEN -------------------------------- +// SVD Line: 16526 + +// SFDITEM_FIELD__TIMER30_INTCR_HIZIEN +// HIZIEN +// +// [Bit 6] RW (@ 0x40002428) \nTIMER3n Output High-Impedance Interrupt Enable\n0 : Disable = Disable TIMER3n output high-impedance interrupt.\n1 : Enable = Enable TIMER3n output high-impedance interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// HIZIEN +// <0=> 0: Disable = Disable TIMER3n output high-impedance interrupt. +// <1=> 1: Enable = Enable TIMER3n output high-impedance interrupt. +// +// +// + + +// ---------------------------- Field Item: TIMER30_INTCR_T3nCIEN ------------------------------- +// SVD Line: 16544 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nCIEN +// T3nCIEN +// +// [Bit 5] RW (@ 0x40002428) \nTIMER3n Capture Interrupt Enable\n0 : Disable = Disable TIMER3n capture interrupt.\n1 : Enable = Enable TIMER3n capture interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nCIEN +// <0=> 0: Disable = Disable TIMER3n capture interrupt. +// <1=> 1: Enable = Enable TIMER3n capture interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nBTIEN ------------------------------- +// SVD Line: 16562 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nBTIEN +// T3nBTIEN +// +// [Bit 4] RW (@ 0x40002428) \nTIMER3n Bottom Interrupt Enable\n0 : Disable = Disable TIMER3n bottom interrupt.\n1 : Enable = Enable TIMER3n bottom interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nBTIEN +// <0=> 0: Disable = Disable TIMER3n bottom interrupt. +// <1=> 1: Enable = Enable TIMER3n bottom interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nPMIEN ------------------------------- +// SVD Line: 16580 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nPMIEN +// T3nPMIEN +// +// [Bit 3] RW (@ 0x40002428) \nTIMER3n Period Match Interrupt Enable\n0 : Disable = Disable TIMER3n period interrupt.\n1 : Enable = Enable TIMER3n period interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nPMIEN +// <0=> 0: Disable = Disable TIMER3n period interrupt. +// <1=> 1: Enable = Enable TIMER3n period interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nAMIEN ------------------------------- +// SVD Line: 16598 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nAMIEN +// T3nAMIEN +// +// [Bit 2] RW (@ 0x40002428) \nTIMER3n A-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n A-ch match interrupt.\n1 : Enable = Enable TIMER3n A-ch match interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nAMIEN +// <0=> 0: Disable = Disable TIMER3n A-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n A-ch match interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nBMIEN ------------------------------- +// SVD Line: 16616 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nBMIEN +// T3nBMIEN +// +// [Bit 1] RW (@ 0x40002428) \nTIMER3n B-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n B-ch match interrupt.\n1 : Enable = Enable TIMER3n B-ch match interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nBMIEN +// <0=> 0: Disable = Disable TIMER3n B-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n B-ch match interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nCMIEN ------------------------------- +// SVD Line: 16634 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nCMIEN +// T3nCMIEN +// +// [Bit 0] RW (@ 0x40002428) \nTIMER3n C-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n C-ch match interrupt.\n1 : Enable = Enable TIMER3n C-ch match interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nCMIEN +// <0=> 0: Disable = Disable TIMER3n C-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n C-ch match interrupt. +// +// +// + + +// ------------------------------ Register RTree: TIMER30_INTCR --------------------------------- +// SVD Line: 16517 + +// SFDITEM_REG__TIMER30_INTCR +// INTCR +// +// [Bits 31..0] RW (@ 0x40002428) TIMER3n Interrupt Control Register +// ( (unsigned int)((TIMER30_INTCR >> 0) & 0xFFFFFFFF), ((TIMER30_INTCR = (TIMER30_INTCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_INTCR_HIZIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nCIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nBTIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nPMIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nAMIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nBMIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nCMIEN +// +// + + +// ------------------------- Register Item Address: TIMER30_INTFLAG ----------------------------- +// SVD Line: 16654 + +unsigned int TIMER30_INTFLAG __AT (0x4000242C); + + + +// -------------------------- Field Item: TIMER30_INTFLAG_HIZIFLAG ------------------------------ +// SVD Line: 16663 + +// SFDITEM_FIELD__TIMER30_INTFLAG_HIZIFLAG +// HIZIFLAG +// +// [Bit 6] RW (@ 0x4000242C) \nTIMER3n Output High-Impedance Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// HIZIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// -------------------------- Field Item: TIMER30_INTFLAG_T3nCIFLAG ----------------------------- +// SVD Line: 16681 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nCIFLAG +// T3nCIFLAG +// +// [Bit 5] RW (@ 0x4000242C) \nTIMER3n Capture Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nCIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nBTIFLAG ----------------------------- +// SVD Line: 16699 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nBTIFLAG +// T3nBTIFLAG +// +// [Bit 4] RW (@ 0x4000242C) \nTIMER3n Bottom Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nBTIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nPMIFLAG ----------------------------- +// SVD Line: 16717 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nPMIFLAG +// T3nPMIFLAG +// +// [Bit 3] RW (@ 0x4000242C) \nTIMER3n Period Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nPMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nAMIFLAG ----------------------------- +// SVD Line: 16735 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nAMIFLAG +// T3nAMIFLAG +// +// [Bit 2] RW (@ 0x4000242C) \nTIMER3n A-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nAMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nBMIFLAG ----------------------------- +// SVD Line: 16753 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nBMIFLAG +// T3nBMIFLAG +// +// [Bit 1] RW (@ 0x4000242C) \nTIMER3n B-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nBMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nCMIFLAG ----------------------------- +// SVD Line: 16771 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nCMIFLAG +// T3nCMIFLAG +// +// [Bit 0] RW (@ 0x4000242C) \nTIMER3n C-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nCMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ----------------------------- Register RTree: TIMER30_INTFLAG -------------------------------- +// SVD Line: 16654 + +// SFDITEM_REG__TIMER30_INTFLAG +// INTFLAG +// +// [Bits 31..0] RW (@ 0x4000242C) TIMER3n Interrupt Flag Register +// ( (unsigned int)((TIMER30_INTFLAG >> 0) & 0xFFFFFFFF), ((TIMER30_INTFLAG = (TIMER30_INTFLAG & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_INTFLAG_HIZIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nCIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nBTIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nPMIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nAMIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nBMIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nCMIFLAG +// +// + + +// -------------------------- Register Item Address: TIMER30_HIZCR ------------------------------ +// SVD Line: 16791 + +unsigned int TIMER30_HIZCR __AT (0x40002430); + + + +// ----------------------------- Field Item: TIMER30_HIZCR_HIZEN -------------------------------- +// SVD Line: 16800 + +// SFDITEM_FIELD__TIMER30_HIZCR_HIZEN +// HIZEN +// +// [Bit 7] RW (@ 0x40002430) \nPWM3nxA/PWM3nxB Output High-Impedance Enable\n0 : Disable = Disable to control the output high-impedance.\n1 : Enable = Enable to control the output high-impedance. +// +// ( (unsigned int) TIMER30_HIZCR ) +// HIZEN +// <0=> 0: Disable = Disable to control the output high-impedance. +// <1=> 1: Enable = Enable to control the output high-impedance. +// +// +// + + +// ----------------------------- Field Item: TIMER30_HIZCR_HIZSW -------------------------------- +// SVD Line: 16818 + +// SFDITEM_FIELD__TIMER30_HIZCR_HIZSW +// HIZSW +// +// [Bit 4] RW (@ 0x40002430) \nHigh-Impedance Output Software Setting\n0 : NoEffect = No effect.\n1 : HiZ = PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) +// +// ( (unsigned int) TIMER30_HIZCR ) +// HIZSW +// <0=> 0: NoEffect = No effect. +// <1=> 1: HiZ = PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) +// +// +// + + +// ----------------------------- Field Item: TIMER30_HIZCR_HEDGE -------------------------------- +// SVD Line: 16836 + +// SFDITEM_FIELD__TIMER30_HIZCR_HEDGE +// HEDGE +// +// [Bit 2] RW (@ 0x40002430) \nHigh-Impedance Edge Selection\n0 : FallingEdge = Falling edge of the BLNK pin.\n1 : RisingEdge = Rising edge of the BLNK pin. +// +// ( (unsigned int) TIMER30_HIZCR ) +// HEDGE +// <0=> 0: FallingEdge = Falling edge of the BLNK pin. +// <1=> 1: RisingEdge = Rising edge of the BLNK pin. +// +// +// + + +// ---------------------------- Field Item: TIMER30_HIZCR_HIZSTA -------------------------------- +// SVD Line: 16854 + +// SFDITEM_FIELD__TIMER30_HIZCR_HIZSTA +// HIZSTA +// +// [Bit 1] RO (@ 0x40002430) \nHigh-Impedance Status\n0 : NoHiZ = Indicates that the pins are not under a Hi-Z state.\n1 : HiZ = Indicates that the pins are under a Hi-Z state. +// +// ( (unsigned int) TIMER30_HIZCR ) +// HIZSTA +// <0=> 0: NoHiZ = Indicates that the pins are not under a Hi-Z state. +// <1=> 1: HiZ = Indicates that the pins are under a Hi-Z state. +// +// +// + + +// ---------------------------- Field Item: TIMER30_HIZCR_HIZCLR -------------------------------- +// SVD Line: 16872 + +// SFDITEM_FIELD__TIMER30_HIZCR_HIZCLR +// HIZCLR +// +// [Bit 0] RW (@ 0x40002430) \nHigh-Impedance Output Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) +// +// ( (unsigned int) TIMER30_HIZCR ) +// HIZCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) +// +// +// + + +// ------------------------------ Register RTree: TIMER30_HIZCR --------------------------------- +// SVD Line: 16791 + +// SFDITEM_REG__TIMER30_HIZCR +// HIZCR +// +// [Bits 31..0] RW (@ 0x40002430) TIMER3n High-Impedance Control Register +// ( (unsigned int)((TIMER30_HIZCR >> 0) & 0xFFFFFFFF), ((TIMER30_HIZCR = (TIMER30_HIZCR & ~(0x95UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x95) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_HIZCR_HIZEN +// SFDITEM_FIELD__TIMER30_HIZCR_HIZSW +// SFDITEM_FIELD__TIMER30_HIZCR_HEDGE +// SFDITEM_FIELD__TIMER30_HIZCR_HIZSTA +// SFDITEM_FIELD__TIMER30_HIZCR_HIZCLR +// +// + + +// -------------------------- Register Item Address: TIMER30_ADTCR ------------------------------ +// SVD Line: 16892 + +unsigned int TIMER30_ADTCR __AT (0x40002434); + + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nBTTG ------------------------------- +// SVD Line: 16901 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nBTTG +// T3nBTTG +// +// [Bit 4] RW (@ 0x40002434) \nSelect TIMER3n Bottom for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by bottom.\n1 : Enable = Enable ADC trigger signal generator by bottom. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nBTTG +// <0=> 0: Disable = Disable ADC trigger signal generator by bottom. +// <1=> 1: Enable = Enable ADC trigger signal generator by bottom. +// +// +// + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nPMTG ------------------------------- +// SVD Line: 16919 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nPMTG +// T3nPMTG +// +// [Bit 3] RW (@ 0x40002434) \nSelect TIMER3n Period Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by period match.\n1 : Enable = Enable ADC trigger signal generator by period match. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nPMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by period match. +// <1=> 1: Enable = Enable ADC trigger signal generator by period match. +// +// +// + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nAMTG ------------------------------- +// SVD Line: 16937 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nAMTG +// T3nAMTG +// +// [Bit 2] RW (@ 0x40002434) \nSelect TIMER3n A-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by A-ch match.\n1 : Enable = Enable ADC trigger signal generator by A-ch match. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nAMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by A-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by A-ch match. +// +// +// + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nBMTG ------------------------------- +// SVD Line: 16955 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nBMTG +// T3nBMTG +// +// [Bit 1] RW (@ 0x40002434) \nSelect TIMER3n B-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by B-ch match.\n1 : Enable = Enable ADC trigger signal generator by B-ch match. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nBMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by B-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by B-ch match. +// +// +// + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nCMTG ------------------------------- +// SVD Line: 16973 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nCMTG +// T3nCMTG +// +// [Bit 0] RW (@ 0x40002434) \nSelect TIMER3n C-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by C-ch match.\n1 : Enable = Enable ADC trigger signal generator by C-ch match. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nCMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by C-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by C-ch match. +// +// +// + + +// ------------------------------ Register RTree: TIMER30_ADTCR --------------------------------- +// SVD Line: 16892 + +// SFDITEM_REG__TIMER30_ADTCR +// ADTCR +// +// [Bits 31..0] RW (@ 0x40002434) TIMER3n ADC Trigger Control Register +// ( (unsigned int)((TIMER30_ADTCR >> 0) & 0xFFFFFFFF), ((TIMER30_ADTCR = (TIMER30_ADTCR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_ADTCR_T3nBTTG +// SFDITEM_FIELD__TIMER30_ADTCR_T3nPMTG +// SFDITEM_FIELD__TIMER30_ADTCR_T3nAMTG +// SFDITEM_FIELD__TIMER30_ADTCR_T3nBMTG +// SFDITEM_FIELD__TIMER30_ADTCR_T3nCMTG +// +// + + +// -------------------------- Register Item Address: TIMER30_ADTDR ------------------------------ +// SVD Line: 16993 + +unsigned int TIMER30_ADTDR __AT (0x40002438); + + + +// ---------------------------- Field Item: TIMER30_ADTDR_ADTDATA ------------------------------- +// SVD Line: 17002 + +// SFDITEM_FIELD__TIMER30_ADTDR_ADTDATA +// ADTDATA +// +// [Bits 13..0] RW (@ 0x40002438) TIMER3n ADC Trigger Generation Data +// +// ( (unsigned short)((TIMER30_ADTDR >> 0) & 0x3FFF), ((TIMER30_ADTDR = (TIMER30_ADTDR & ~(0x3FFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0x3FFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER30_ADTDR --------------------------------- +// SVD Line: 16993 + +// SFDITEM_REG__TIMER30_ADTDR +// ADTDR +// +// [Bits 31..0] RW (@ 0x40002438) TIMER3n ADC Trigger Generator Data Register +// ( (unsigned int)((TIMER30_ADTDR >> 0) & 0xFFFFFFFF), ((TIMER30_ADTDR = (TIMER30_ADTDR & ~(0x3FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_ADTDR_ADTDATA +// +// + + +// ------------------------ Register Item Address: TIMER30_T30_OUTCR ---------------------------- +// SVD Line: 17031 + +unsigned int TIMER30_T30_OUTCR __AT (0x40002420); + + + +// -------------------------- Field Item: TIMER30_T30_OUTCR_WTIDKY ------------------------------ +// SVD Line: 17041 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40002420) Write Identification Key (0xe06c) +// +// ( (unsigned short)((TIMER30_T30_OUTCR >> 16) & 0x0), ((TIMER30_T30_OUTCR = (TIMER30_T30_OUTCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_POLB ------------------------------- +// SVD Line: 17054 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_POLB +// POLB +// +// [Bit 15] RW (@ 0x40002420) PWM3nxB Output Polarity Selection +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// POLB +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_POLA ------------------------------- +// SVD Line: 17060 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_POLA +// POLA +// +// [Bit 14] RW (@ 0x40002420) PWM3nxA Output Polarity Selection +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// POLA +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PABOE ------------------------------ +// SVD Line: 17066 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PABOE +// PABOE +// +// [Bit 13] RW (@ 0x40002420) PWM3nAB Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PABOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PBBOE ------------------------------ +// SVD Line: 17072 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PBBOE +// PBBOE +// +// [Bit 12] RW (@ 0x40002420) PWM3nBB Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PBBOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PCBOE ------------------------------ +// SVD Line: 17078 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PCBOE +// PCBOE +// +// [Bit 11] RW (@ 0x40002420) PWM3nCB Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PCBOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PAAOE ------------------------------ +// SVD Line: 17084 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PAAOE +// PAAOE +// +// [Bit 10] RW (@ 0x40002420) PWM3nAA Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PAAOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PBAOE ------------------------------ +// SVD Line: 17090 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PBAOE +// PBAOE +// +// [Bit 9] RW (@ 0x40002420) PWM3nBA Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PBAOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PCAOE ------------------------------ +// SVD Line: 17096 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PCAOE +// PCAOE +// +// [Bit 8] RW (@ 0x40002420) PWM3nCA Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PCAOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLAB ------------------------------ +// SVD Line: 17102 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLAB +// LVLAB +// +// [Bit 6] RW (@ 0x40002420) Configure PWM3nAB Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLAB +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLBB ------------------------------ +// SVD Line: 17108 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLBB +// LVLBB +// +// [Bit 5] RW (@ 0x40002420) Configure PWM3nBB Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLBB +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLCB ------------------------------ +// SVD Line: 17114 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLCB +// LVLCB +// +// [Bit 4] RW (@ 0x40002420) Configure PWM3nCB Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLCB +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLAA ------------------------------ +// SVD Line: 17120 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLAA +// LVLAA +// +// [Bit 2] RW (@ 0x40002420) Configure PWM3nAA Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLAA +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLBA ------------------------------ +// SVD Line: 17126 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLBA +// LVLBA +// +// [Bit 1] RW (@ 0x40002420) Configure PWM3nBA Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLBA +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLCA ------------------------------ +// SVD Line: 17132 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLCA +// LVLCA +// +// [Bit 0] RW (@ 0x40002420) Configure PWM3nCA Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLCA +// +// +// + + +// ---------------------------- Register RTree: TIMER30_T30_OUTCR ------------------------------- +// SVD Line: 17031 + +// SFDITEM_REG__TIMER30_T30_OUTCR +// T30_OUTCR +// +// [Bits 31..0] RW (@ 0x40002420) TIMER3n Output Control Register +// ( (unsigned int)((TIMER30_T30_OUTCR >> 0) & 0xFFFFFFFF), ((TIMER30_T30_OUTCR = (TIMER30_T30_OUTCR & ~(0xFFFFFF77UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF77) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_T30_OUTCR_WTIDKY +// SFDITEM_FIELD__TIMER30_T30_OUTCR_POLB +// SFDITEM_FIELD__TIMER30_T30_OUTCR_POLA +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PABOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PBBOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PCBOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PAAOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PBAOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PCAOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLAB +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLBB +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLCB +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLAA +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLBA +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLCA +// +// + + +// -------------------------------- Peripheral View: TIMER30 ------------------------------------ +// SVD Line: 17012 + +// TIMER30 +// TIMER30 +// SFDITEM_REG__TIMER30_CR +// SFDITEM_REG__TIMER30_PDR +// SFDITEM_REG__TIMER30_ADR +// SFDITEM_REG__TIMER30_BDR +// SFDITEM_REG__TIMER30_CDR +// SFDITEM_REG__TIMER30_CAPDR +// SFDITEM_REG__TIMER30_PREDR +// SFDITEM_REG__TIMER30_CNT +// SFDITEM_REG__TIMER30_OUTCR +// SFDITEM_REG__TIMER30_DLY +// SFDITEM_REG__TIMER30_INTCR +// SFDITEM_REG__TIMER30_INTFLAG +// SFDITEM_REG__TIMER30_HIZCR +// SFDITEM_REG__TIMER30_ADTCR +// SFDITEM_REG__TIMER30_ADTDR +// SFDITEM_REG__TIMER30_T30_OUTCR +// +// + + +// ------------------------------ Register Item Address: ADC_CR --------------------------------- +// SVD Line: 17161 + +unsigned int ADC_CR __AT (0x40003000); + + + +// -------------------------------- Field Item: ADC_CR_ADCEN ------------------------------------ +// SVD Line: 17170 + +// SFDITEM_FIELD__ADC_CR_ADCEN +// ADCEN +// +// [Bit 15] RW (@ 0x40003000) \nADC Module Enable\n0 : Disable = Disable ADC module operation.\n1 : Enable = Enable ADC module operation. +// +// ( (unsigned int) ADC_CR ) +// ADCEN +// <0=> 0: Disable = Disable ADC module operation. +// <1=> 1: Enable = Enable ADC module operation. +// +// +// + + +// --------------------------------- Field Item: ADC_CR_TRIG ------------------------------------ +// SVD Line: 17188 + +// SFDITEM_FIELD__ADC_CR_TRIG +// TRIG +// +// [Bits 13..11] RW (@ 0x40003000) \nADC Trigger Signal Selection\n0 : ADST = Select ADST.\n1 : TIMER10 = Select TIMER10 A-Match Signal.\n2 : TIMER11 = Select TIMER11 A-Match Signal.\n3 : TIMER12 = Select TIMER12 A-Match Signal.\n4 : TIMER30 = Select ADC Trigger Signal from TIMER30.\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) ADC_CR ) +// TRIG +// <0=> 0: ADST = Select ADST. +// <1=> 1: TIMER10 = Select TIMER10 A-Match Signal. +// <2=> 2: TIMER11 = Select TIMER11 A-Match Signal. +// <3=> 3: TIMER12 = Select TIMER12 A-Match Signal. +// <4=> 4: TIMER30 = Select ADC Trigger Signal from TIMER30. +// <5=> 5: +// <6=> 6: +// <7=> 7: +// +// +// + + +// -------------------------------- Field Item: ADC_CR_REFSEL ----------------------------------- +// SVD Line: 17221 + +// SFDITEM_FIELD__ADC_CR_REFSEL +// REFSEL +// +// [Bit 10] RW (@ 0x40003000) \nADC Reference Selection\n0 : Vdd = Select analog power (VDD).\n1 : AVref = Select external reference (AVREF). +// +// ( (unsigned int) ADC_CR ) +// REFSEL +// <0=> 0: Vdd = Select analog power (VDD). +// <1=> 1: AVref = Select external reference (AVREF). +// +// +// + + +// --------------------------------- Field Item: ADC_CR_ADST ------------------------------------ +// SVD Line: 17239 + +// SFDITEM_FIELD__ADC_CR_ADST +// ADST +// +// [Bit 8] RW (@ 0x40003000) \nADC Conversion Start\n0 : NoEffect = No effect.\n1 : Start = Trigger signal generation for conversion start. +// +// ( (unsigned int) ADC_CR ) +// ADST +// <0=> 0: NoEffect = No effect. +// <1=> 1: Start = Trigger signal generation for conversion start. +// +// +// + + +// -------------------------------- Field Item: ADC_CR_ADCIEN ----------------------------------- +// SVD Line: 17257 + +// SFDITEM_FIELD__ADC_CR_ADCIEN +// ADCIEN +// +// [Bit 5] RW (@ 0x40003000) \nADC Interrupt Enable\n0 : Disable = Disable ADC interrupt.\n1 : Enable = Enable ADC interrupt. +// +// ( (unsigned int) ADC_CR ) +// ADCIEN +// <0=> 0: Disable = Disable ADC interrupt. +// <1=> 1: Enable = Enable ADC interrupt. +// +// +// + + +// ------------------------------- Field Item: ADC_CR_ADCIFLAG ---------------------------------- +// SVD Line: 17275 + +// SFDITEM_FIELD__ADC_CR_ADCIFLAG +// ADCIFLAG +// +// [Bit 4] RW (@ 0x40003000) \nADC Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) ADC_CR ) +// ADCIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// -------------------------------- Field Item: ADC_CR_ADSEL ------------------------------------ +// SVD Line: 17293 + +// SFDITEM_FIELD__ADC_CR_ADSEL +// ADSEL +// +// [Bits 3..0] RW (@ 0x40003000) \nA/D Converter Channel Selection\n0 : AN0 = Select AN0.\n1 : AN1 = Select AN1.\n2 : AN2 = Select AN2.\n3 : AN3 = Select AN3.\n4 : AN4 = Select AN4.\n5 : AN5 = Select AN5.\n6 : AN6 = Select AN6.\n7 : AN7 = Select AN7.\n8 : AN8 = Select AN8.\n9 : AN9 = Select AN9.\n10 : AN10 = Select AN10.\n11 : AN11 = Select AN11\n12 : AN12 = Select AN12\n13 : AN13 = Select AN13\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) ADC_CR ) +// ADSEL +// <0=> 0: AN0 = Select AN0. +// <1=> 1: AN1 = Select AN1. +// <2=> 2: AN2 = Select AN2. +// <3=> 3: AN3 = Select AN3. +// <4=> 4: AN4 = Select AN4. +// <5=> 5: AN5 = Select AN5. +// <6=> 6: AN6 = Select AN6. +// <7=> 7: AN7 = Select AN7. +// <8=> 8: AN8 = Select AN8. +// <9=> 9: AN9 = Select AN9. +// <10=> 10: AN10 = Select AN10. +// <11=> 11: AN11 = Select AN11 +// <12=> 12: AN12 = Select AN12 +// <13=> 13: AN13 = Select AN13 +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------------- Register RTree: ADC_CR ------------------------------------- +// SVD Line: 17161 + +// SFDITEM_REG__ADC_CR +// CR +// +// [Bits 31..0] RW (@ 0x40003000) A/D Converter Control Register +// ( (unsigned int)((ADC_CR >> 0) & 0xFFFFFFFF), ((ADC_CR = (ADC_CR & ~(0xBD3FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xBD3F) << 0 ) ) )) +// SFDITEM_FIELD__ADC_CR_ADCEN +// SFDITEM_FIELD__ADC_CR_TRIG +// SFDITEM_FIELD__ADC_CR_REFSEL +// SFDITEM_FIELD__ADC_CR_ADST +// SFDITEM_FIELD__ADC_CR_ADCIEN +// SFDITEM_FIELD__ADC_CR_ADCIFLAG +// SFDITEM_FIELD__ADC_CR_ADSEL +// +// + + +// ------------------------------ Register Item Address: ADC_DR --------------------------------- +// SVD Line: 17373 + +unsigned int ADC_DR __AT (0x40003004); + + + +// -------------------------------- Field Item: ADC_DR_ADDATA ----------------------------------- +// SVD Line: 17382 + +// SFDITEM_FIELD__ADC_DR_ADDATA +// ADDATA +// +// [Bits 11..0] RO (@ 0x40003004) A/D Converter Result Data +// +// ( (unsigned short)((ADC_DR >> 0) & 0xFFF) ) +// +// +// + + +// --------------------------------- Register RTree: ADC_DR ------------------------------------- +// SVD Line: 17373 + +// SFDITEM_REG__ADC_DR +// DR +// +// [Bits 31..0] RO (@ 0x40003004) A/D Converter Data Register +// ( (unsigned int)((ADC_DR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__ADC_DR_ADDATA +// +// + + +// ---------------------------- Register Item Address: ADC_PREDR -------------------------------- +// SVD Line: 17390 + +unsigned int ADC_PREDR __AT (0x40003008); + + + +// ------------------------------- Field Item: ADC_PREDR_PRED ----------------------------------- +// SVD Line: 17399 + +// SFDITEM_FIELD__ADC_PREDR_PRED +// PRED +// +// [Bits 4..0] RW (@ 0x40003008) A/D Converter Prescaler Data +// +// ( (unsigned char)((ADC_PREDR >> 0) & 0x1F), ((ADC_PREDR = (ADC_PREDR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x1F) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: ADC_PREDR ----------------------------------- +// SVD Line: 17390 + +// SFDITEM_REG__ADC_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40003008) A/D Converter Prescaler Data Register +// ( (unsigned int)((ADC_PREDR >> 0) & 0xFFFFFFFF), ((ADC_PREDR = (ADC_PREDR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1F) << 0 ) ) )) +// SFDITEM_FIELD__ADC_PREDR_PRED +// +// + + +// ---------------------------------- Peripheral View: ADC -------------------------------------- +// SVD Line: 17142 + +// ADC +// ADC +// SFDITEM_REG__ADC_CR +// SFDITEM_REG__ADC_DR +// SFDITEM_REG__ADC_PREDR +// +// + + +// --------------------------- Register Item Address: USART1n_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART1n_CR1 __AT (0x54000000); + + + +// ----------------------------- Field Item: USART1n_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART1n_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x54000000) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART1n_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART1n_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x54000000) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART1n_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART1n_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x54000000) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART1n_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART1n_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x54000000) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART1n_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART1n_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x54000000) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART1n_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART1n_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x54000000) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART1n_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART1n_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x54000000) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART1n_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART1n_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x54000000) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART1n_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART1n_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x54000000) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART1n_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART1n_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x54000000) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART1n_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART1n_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x54000000) Enable the transmitter unit. +// +// ( (unsigned int) USART1n_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART1n_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x54000000) Enable the receiver unit. +// +// ( (unsigned int) USART1n_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART1n_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART1n_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x54000000) USART1n Control Register 1 +// ( (unsigned int)((USART1n_CR1 >> 0) & 0xFFFFFFFF), ((USART1n_CR1 = (USART1n_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_CR1_USTnMS +// SFDITEM_FIELD__USART1n_CR1_USTnP +// SFDITEM_FIELD__USART1n_CR1_USTnS +// SFDITEM_FIELD__USART1n_CR1_ORDn +// SFDITEM_FIELD__USART1n_CR1_CPOLn +// SFDITEM_FIELD__USART1n_CR1_CPHAn +// SFDITEM_FIELD__USART1n_CR1_DRIEn +// SFDITEM_FIELD__USART1n_CR1_TXCIEn +// SFDITEM_FIELD__USART1n_CR1_RXCIEn +// SFDITEM_FIELD__USART1n_CR1_WAKEIEn +// SFDITEM_FIELD__USART1n_CR1_TXEn +// SFDITEM_FIELD__USART1n_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART1n_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART1n_CR2 __AT (0x54000004); + + + +// ----------------------------- Field Item: USART1n_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART1n_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x54000004) Activate USART1n Block +// +// ( (unsigned int) USART1n_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART1n_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART1n_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x54000004) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART1n_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART1n_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x54000004) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART1n_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART1n_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x54000004) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART1n_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART1n_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x54000004) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART1n_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART1n_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART1n_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x54000004) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART1n_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART1n_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART1n_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x54000004) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART1n_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART1n_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x54000004) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART1n_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART1n_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x54000004) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART1n_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART1n_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x54000004) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART1n_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART1n_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART1n_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x54000004) USART1n Control Register 2 +// ( (unsigned int)((USART1n_CR2 >> 0) & 0xFFFFFFFF), ((USART1n_CR2 = (USART1n_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_CR2_USTnEN +// SFDITEM_FIELD__USART1n_CR2_DBLSn +// SFDITEM_FIELD__USART1n_CR2_MASTERn +// SFDITEM_FIELD__USART1n_CR2_LOOPSn +// SFDITEM_FIELD__USART1n_CR2_DISSCKn +// SFDITEM_FIELD__USART1n_CR2_USTnSSEN +// SFDITEM_FIELD__USART1n_CR2_FXCHn +// SFDITEM_FIELD__USART1n_CR2_USTnSB +// SFDITEM_FIELD__USART1n_CR2_USTnTX8 +// SFDITEM_FIELD__USART1n_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART1n_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART1n_ST __AT (0x5400000C); + + + +// ------------------------------- Field Item: USART1n_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART1n_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x5400000C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART1n_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART1n_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x5400000C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART1n_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART1n_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x5400000C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART1n_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART1n_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART1n_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x5400000C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART1n_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART1n_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x5400000C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART1n_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART1n_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x5400000C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART1n_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART1n_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x5400000C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART1n_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART1n_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART1n_ST +// ST +// +// [Bits 31..0] RW (@ 0x5400000C) USART1n Status Register +// ( (unsigned int)((USART1n_ST >> 0) & 0xFFFFFFFF), ((USART1n_ST = (USART1n_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_ST_DREn +// SFDITEM_FIELD__USART1n_ST_TXCn +// SFDITEM_FIELD__USART1n_ST_RXCn +// SFDITEM_FIELD__USART1n_ST_WAKEn +// SFDITEM_FIELD__USART1n_ST_DORn +// SFDITEM_FIELD__USART1n_ST_FEn +// SFDITEM_FIELD__USART1n_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART1n_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART1n_BDR __AT (0x54000010); + + + +// ------------------------------ Field Item: USART1n_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART1n_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x54000010) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART1n_BDR >> 0) & 0xFFF), ((USART1n_BDR = (USART1n_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART1n_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART1n_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x54000010) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART1n_BDR >> 0) & 0xFFFFFFFF), ((USART1n_BDR = (USART1n_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART1n_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART1n_DR __AT (0x54000014); + + + +// ------------------------------- Field Item: USART1n_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART1n_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x54000014) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART1n_DR >> 0) & 0xFF), ((USART1n_DR = (USART1n_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART1n_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART1n_DR +// DR +// +// [Bits 31..0] RW (@ 0x54000014) USART1n Data Register +// ( (unsigned int)((USART1n_DR >> 0) & 0xFFFFFFFF), ((USART1n_DR = (USART1n_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART1n ------------------------------------ +// SVD Line: 17409 + +// USART1n +// USART1n +// SFDITEM_REG__USART1n_CR1 +// SFDITEM_REG__USART1n_CR2 +// SFDITEM_REG__USART1n_ST +// SFDITEM_REG__USART1n_BDR +// SFDITEM_REG__USART1n_DR +// +// + + +// --------------------------- Register Item Address: USART10_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART10_CR1 __AT (0x40003800); + + + +// ----------------------------- Field Item: USART10_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART10_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x40003800) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART10_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART10_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x40003800) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART10_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART10_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x40003800) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART10_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART10_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x40003800) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART10_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART10_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x40003800) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART10_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART10_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x40003800) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART10_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART10_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x40003800) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART10_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART10_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART10_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x40003800) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART10_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART10_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART10_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x40003800) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART10_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART10_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART10_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x40003800) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART10_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART10_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x40003800) Enable the transmitter unit. +// +// ( (unsigned int) USART10_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART10_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x40003800) Enable the receiver unit. +// +// ( (unsigned int) USART10_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART10_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART10_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x40003800) USART1n Control Register 1 +// ( (unsigned int)((USART10_CR1 >> 0) & 0xFFFFFFFF), ((USART10_CR1 = (USART10_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART10_CR1_USTnMS +// SFDITEM_FIELD__USART10_CR1_USTnP +// SFDITEM_FIELD__USART10_CR1_USTnS +// SFDITEM_FIELD__USART10_CR1_ORDn +// SFDITEM_FIELD__USART10_CR1_CPOLn +// SFDITEM_FIELD__USART10_CR1_CPHAn +// SFDITEM_FIELD__USART10_CR1_DRIEn +// SFDITEM_FIELD__USART10_CR1_TXCIEn +// SFDITEM_FIELD__USART10_CR1_RXCIEn +// SFDITEM_FIELD__USART10_CR1_WAKEIEn +// SFDITEM_FIELD__USART10_CR1_TXEn +// SFDITEM_FIELD__USART10_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART10_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART10_CR2 __AT (0x40003804); + + + +// ----------------------------- Field Item: USART10_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART10_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x40003804) Activate USART1n Block +// +// ( (unsigned int) USART10_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART10_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART10_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x40003804) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART10_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART10_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x40003804) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART10_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART10_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x40003804) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART10_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART10_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x40003804) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART10_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART10_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART10_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x40003804) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART10_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART10_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART10_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x40003804) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART10_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART10_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x40003804) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART10_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART10_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x40003804) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART10_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART10_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x40003804) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART10_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART10_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART10_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x40003804) USART1n Control Register 2 +// ( (unsigned int)((USART10_CR2 >> 0) & 0xFFFFFFFF), ((USART10_CR2 = (USART10_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART10_CR2_USTnEN +// SFDITEM_FIELD__USART10_CR2_DBLSn +// SFDITEM_FIELD__USART10_CR2_MASTERn +// SFDITEM_FIELD__USART10_CR2_LOOPSn +// SFDITEM_FIELD__USART10_CR2_DISSCKn +// SFDITEM_FIELD__USART10_CR2_USTnSSEN +// SFDITEM_FIELD__USART10_CR2_FXCHn +// SFDITEM_FIELD__USART10_CR2_USTnSB +// SFDITEM_FIELD__USART10_CR2_USTnTX8 +// SFDITEM_FIELD__USART10_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART10_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART10_ST __AT (0x4000380C); + + + +// ------------------------------- Field Item: USART10_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART10_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x4000380C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART10_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART10_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x4000380C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART10_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART10_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x4000380C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART10_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART10_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART10_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x4000380C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART10_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART10_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x4000380C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART10_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART10_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x4000380C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART10_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART10_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x4000380C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART10_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART10_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART10_ST +// ST +// +// [Bits 31..0] RW (@ 0x4000380C) USART1n Status Register +// ( (unsigned int)((USART10_ST >> 0) & 0xFFFFFFFF), ((USART10_ST = (USART10_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART10_ST_DREn +// SFDITEM_FIELD__USART10_ST_TXCn +// SFDITEM_FIELD__USART10_ST_RXCn +// SFDITEM_FIELD__USART10_ST_WAKEn +// SFDITEM_FIELD__USART10_ST_DORn +// SFDITEM_FIELD__USART10_ST_FEn +// SFDITEM_FIELD__USART10_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART10_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART10_BDR __AT (0x40003810); + + + +// ------------------------------ Field Item: USART10_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART10_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x40003810) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART10_BDR >> 0) & 0xFFF), ((USART10_BDR = (USART10_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART10_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART10_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40003810) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART10_BDR >> 0) & 0xFFFFFFFF), ((USART10_BDR = (USART10_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART10_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART10_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART10_DR __AT (0x40003814); + + + +// ------------------------------- Field Item: USART10_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART10_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40003814) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART10_DR >> 0) & 0xFF), ((USART10_DR = (USART10_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART10_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART10_DR +// DR +// +// [Bits 31..0] RW (@ 0x40003814) USART1n Data Register +// ( (unsigned int)((USART10_DR >> 0) & 0xFFFFFFFF), ((USART10_DR = (USART10_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART10_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART10 ------------------------------------ +// SVD Line: 17763 + +// USART10 +// USART10 +// SFDITEM_REG__USART10_CR1 +// SFDITEM_REG__USART10_CR2 +// SFDITEM_REG__USART10_ST +// SFDITEM_REG__USART10_BDR +// SFDITEM_REG__USART10_DR +// +// + + +// --------------------------- Register Item Address: USART11_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART11_CR1 __AT (0x40003900); + + + +// ----------------------------- Field Item: USART11_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART11_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x40003900) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART11_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART11_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x40003900) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART11_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART11_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x40003900) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART11_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART11_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x40003900) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART11_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART11_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x40003900) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART11_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART11_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x40003900) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART11_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART11_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x40003900) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART11_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART11_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART11_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x40003900) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART11_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART11_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART11_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x40003900) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART11_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART11_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART11_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x40003900) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART11_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART11_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x40003900) Enable the transmitter unit. +// +// ( (unsigned int) USART11_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART11_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x40003900) Enable the receiver unit. +// +// ( (unsigned int) USART11_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART11_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART11_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x40003900) USART1n Control Register 1 +// ( (unsigned int)((USART11_CR1 >> 0) & 0xFFFFFFFF), ((USART11_CR1 = (USART11_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART11_CR1_USTnMS +// SFDITEM_FIELD__USART11_CR1_USTnP +// SFDITEM_FIELD__USART11_CR1_USTnS +// SFDITEM_FIELD__USART11_CR1_ORDn +// SFDITEM_FIELD__USART11_CR1_CPOLn +// SFDITEM_FIELD__USART11_CR1_CPHAn +// SFDITEM_FIELD__USART11_CR1_DRIEn +// SFDITEM_FIELD__USART11_CR1_TXCIEn +// SFDITEM_FIELD__USART11_CR1_RXCIEn +// SFDITEM_FIELD__USART11_CR1_WAKEIEn +// SFDITEM_FIELD__USART11_CR1_TXEn +// SFDITEM_FIELD__USART11_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART11_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART11_CR2 __AT (0x40003904); + + + +// ----------------------------- Field Item: USART11_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART11_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x40003904) Activate USART1n Block +// +// ( (unsigned int) USART11_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART11_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART11_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x40003904) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART11_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART11_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x40003904) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART11_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART11_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x40003904) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART11_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART11_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x40003904) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART11_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART11_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART11_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x40003904) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART11_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART11_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART11_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x40003904) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART11_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART11_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x40003904) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART11_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART11_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x40003904) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART11_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART11_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x40003904) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART11_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART11_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART11_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x40003904) USART1n Control Register 2 +// ( (unsigned int)((USART11_CR2 >> 0) & 0xFFFFFFFF), ((USART11_CR2 = (USART11_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART11_CR2_USTnEN +// SFDITEM_FIELD__USART11_CR2_DBLSn +// SFDITEM_FIELD__USART11_CR2_MASTERn +// SFDITEM_FIELD__USART11_CR2_LOOPSn +// SFDITEM_FIELD__USART11_CR2_DISSCKn +// SFDITEM_FIELD__USART11_CR2_USTnSSEN +// SFDITEM_FIELD__USART11_CR2_FXCHn +// SFDITEM_FIELD__USART11_CR2_USTnSB +// SFDITEM_FIELD__USART11_CR2_USTnTX8 +// SFDITEM_FIELD__USART11_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART11_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART11_ST __AT (0x4000390C); + + + +// ------------------------------- Field Item: USART11_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART11_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x4000390C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART11_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART11_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x4000390C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART11_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART11_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x4000390C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART11_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART11_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART11_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x4000390C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART11_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART11_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x4000390C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART11_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART11_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x4000390C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART11_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART11_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x4000390C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART11_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART11_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART11_ST +// ST +// +// [Bits 31..0] RW (@ 0x4000390C) USART1n Status Register +// ( (unsigned int)((USART11_ST >> 0) & 0xFFFFFFFF), ((USART11_ST = (USART11_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART11_ST_DREn +// SFDITEM_FIELD__USART11_ST_TXCn +// SFDITEM_FIELD__USART11_ST_RXCn +// SFDITEM_FIELD__USART11_ST_WAKEn +// SFDITEM_FIELD__USART11_ST_DORn +// SFDITEM_FIELD__USART11_ST_FEn +// SFDITEM_FIELD__USART11_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART11_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART11_BDR __AT (0x40003910); + + + +// ------------------------------ Field Item: USART11_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART11_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x40003910) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART11_BDR >> 0) & 0xFFF), ((USART11_BDR = (USART11_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART11_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART11_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40003910) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART11_BDR >> 0) & 0xFFFFFFFF), ((USART11_BDR = (USART11_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART11_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART11_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART11_DR __AT (0x40003914); + + + +// ------------------------------- Field Item: USART11_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART11_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40003914) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART11_DR >> 0) & 0xFF), ((USART11_DR = (USART11_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART11_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART11_DR +// DR +// +// [Bits 31..0] RW (@ 0x40003914) USART1n Data Register +// ( (unsigned int)((USART11_DR >> 0) & 0xFFFFFFFF), ((USART11_DR = (USART11_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART11_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART11 ------------------------------------ +// SVD Line: 17782 + +// USART11 +// USART11 +// SFDITEM_REG__USART11_CR1 +// SFDITEM_REG__USART11_CR2 +// SFDITEM_REG__USART11_ST +// SFDITEM_REG__USART11_BDR +// SFDITEM_REG__USART11_DR +// +// + + +// --------------------------- Register Item Address: USART12_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART12_CR1 __AT (0x40003A00); + + + +// ----------------------------- Field Item: USART12_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART12_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x40003A00) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART12_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART12_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x40003A00) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART12_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART12_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x40003A00) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART12_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART12_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x40003A00) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART12_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART12_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x40003A00) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART12_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART12_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x40003A00) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART12_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART12_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x40003A00) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART12_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART12_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART12_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x40003A00) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART12_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART12_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART12_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x40003A00) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART12_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART12_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART12_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x40003A00) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART12_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART12_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x40003A00) Enable the transmitter unit. +// +// ( (unsigned int) USART12_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART12_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x40003A00) Enable the receiver unit. +// +// ( (unsigned int) USART12_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART12_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART12_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x40003A00) USART1n Control Register 1 +// ( (unsigned int)((USART12_CR1 >> 0) & 0xFFFFFFFF), ((USART12_CR1 = (USART12_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART12_CR1_USTnMS +// SFDITEM_FIELD__USART12_CR1_USTnP +// SFDITEM_FIELD__USART12_CR1_USTnS +// SFDITEM_FIELD__USART12_CR1_ORDn +// SFDITEM_FIELD__USART12_CR1_CPOLn +// SFDITEM_FIELD__USART12_CR1_CPHAn +// SFDITEM_FIELD__USART12_CR1_DRIEn +// SFDITEM_FIELD__USART12_CR1_TXCIEn +// SFDITEM_FIELD__USART12_CR1_RXCIEn +// SFDITEM_FIELD__USART12_CR1_WAKEIEn +// SFDITEM_FIELD__USART12_CR1_TXEn +// SFDITEM_FIELD__USART12_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART12_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART12_CR2 __AT (0x40003A04); + + + +// ----------------------------- Field Item: USART12_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART12_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x40003A04) Activate USART1n Block +// +// ( (unsigned int) USART12_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART12_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART12_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x40003A04) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART12_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART12_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x40003A04) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART12_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART12_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x40003A04) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART12_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART12_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x40003A04) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART12_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART12_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART12_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x40003A04) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART12_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART12_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART12_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x40003A04) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART12_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART12_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x40003A04) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART12_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART12_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x40003A04) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART12_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART12_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x40003A04) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART12_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART12_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART12_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x40003A04) USART1n Control Register 2 +// ( (unsigned int)((USART12_CR2 >> 0) & 0xFFFFFFFF), ((USART12_CR2 = (USART12_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART12_CR2_USTnEN +// SFDITEM_FIELD__USART12_CR2_DBLSn +// SFDITEM_FIELD__USART12_CR2_MASTERn +// SFDITEM_FIELD__USART12_CR2_LOOPSn +// SFDITEM_FIELD__USART12_CR2_DISSCKn +// SFDITEM_FIELD__USART12_CR2_USTnSSEN +// SFDITEM_FIELD__USART12_CR2_FXCHn +// SFDITEM_FIELD__USART12_CR2_USTnSB +// SFDITEM_FIELD__USART12_CR2_USTnTX8 +// SFDITEM_FIELD__USART12_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART12_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART12_ST __AT (0x40003A0C); + + + +// ------------------------------- Field Item: USART12_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART12_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x40003A0C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART12_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART12_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x40003A0C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART12_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART12_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x40003A0C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART12_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART12_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART12_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x40003A0C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART12_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART12_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x40003A0C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART12_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART12_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x40003A0C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART12_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART12_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x40003A0C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART12_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART12_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART12_ST +// ST +// +// [Bits 31..0] RW (@ 0x40003A0C) USART1n Status Register +// ( (unsigned int)((USART12_ST >> 0) & 0xFFFFFFFF), ((USART12_ST = (USART12_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART12_ST_DREn +// SFDITEM_FIELD__USART12_ST_TXCn +// SFDITEM_FIELD__USART12_ST_RXCn +// SFDITEM_FIELD__USART12_ST_WAKEn +// SFDITEM_FIELD__USART12_ST_DORn +// SFDITEM_FIELD__USART12_ST_FEn +// SFDITEM_FIELD__USART12_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART12_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART12_BDR __AT (0x40003A10); + + + +// ------------------------------ Field Item: USART12_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART12_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x40003A10) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART12_BDR >> 0) & 0xFFF), ((USART12_BDR = (USART12_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART12_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART12_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40003A10) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART12_BDR >> 0) & 0xFFFFFFFF), ((USART12_BDR = (USART12_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART12_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART12_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART12_DR __AT (0x40003A14); + + + +// ------------------------------- Field Item: USART12_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART12_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40003A14) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART12_DR >> 0) & 0xFF), ((USART12_DR = (USART12_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART12_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART12_DR +// DR +// +// [Bits 31..0] RW (@ 0x40003A14) USART1n Data Register +// ( (unsigned int)((USART12_DR >> 0) & 0xFFFFFFFF), ((USART12_DR = (USART12_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART12_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART12 ------------------------------------ +// SVD Line: 17801 + +// USART12 +// USART12 +// SFDITEM_REG__USART12_CR1 +// SFDITEM_REG__USART12_CR2 +// SFDITEM_REG__USART12_ST +// SFDITEM_REG__USART12_BDR +// SFDITEM_REG__USART12_DR +// +// + + +// --------------------------- Register Item Address: USART13_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART13_CR1 __AT (0x40003B00); + + + +// ----------------------------- Field Item: USART13_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART13_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x40003B00) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART13_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART13_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x40003B00) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART13_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART13_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x40003B00) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART13_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART13_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x40003B00) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART13_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART13_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x40003B00) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART13_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART13_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x40003B00) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART13_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART13_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x40003B00) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART13_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART13_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART13_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x40003B00) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART13_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART13_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART13_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x40003B00) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART13_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART13_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART13_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x40003B00) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART13_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART13_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x40003B00) Enable the transmitter unit. +// +// ( (unsigned int) USART13_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART13_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x40003B00) Enable the receiver unit. +// +// ( (unsigned int) USART13_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART13_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART13_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x40003B00) USART1n Control Register 1 +// ( (unsigned int)((USART13_CR1 >> 0) & 0xFFFFFFFF), ((USART13_CR1 = (USART13_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART13_CR1_USTnMS +// SFDITEM_FIELD__USART13_CR1_USTnP +// SFDITEM_FIELD__USART13_CR1_USTnS +// SFDITEM_FIELD__USART13_CR1_ORDn +// SFDITEM_FIELD__USART13_CR1_CPOLn +// SFDITEM_FIELD__USART13_CR1_CPHAn +// SFDITEM_FIELD__USART13_CR1_DRIEn +// SFDITEM_FIELD__USART13_CR1_TXCIEn +// SFDITEM_FIELD__USART13_CR1_RXCIEn +// SFDITEM_FIELD__USART13_CR1_WAKEIEn +// SFDITEM_FIELD__USART13_CR1_TXEn +// SFDITEM_FIELD__USART13_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART13_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART13_CR2 __AT (0x40003B04); + + + +// ----------------------------- Field Item: USART13_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART13_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x40003B04) Activate USART1n Block +// +// ( (unsigned int) USART13_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART13_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART13_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x40003B04) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART13_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART13_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x40003B04) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART13_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART13_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x40003B04) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART13_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART13_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x40003B04) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART13_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART13_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART13_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x40003B04) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART13_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART13_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART13_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x40003B04) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART13_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART13_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x40003B04) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART13_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART13_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x40003B04) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART13_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART13_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x40003B04) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART13_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART13_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART13_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x40003B04) USART1n Control Register 2 +// ( (unsigned int)((USART13_CR2 >> 0) & 0xFFFFFFFF), ((USART13_CR2 = (USART13_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART13_CR2_USTnEN +// SFDITEM_FIELD__USART13_CR2_DBLSn +// SFDITEM_FIELD__USART13_CR2_MASTERn +// SFDITEM_FIELD__USART13_CR2_LOOPSn +// SFDITEM_FIELD__USART13_CR2_DISSCKn +// SFDITEM_FIELD__USART13_CR2_USTnSSEN +// SFDITEM_FIELD__USART13_CR2_FXCHn +// SFDITEM_FIELD__USART13_CR2_USTnSB +// SFDITEM_FIELD__USART13_CR2_USTnTX8 +// SFDITEM_FIELD__USART13_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART13_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART13_ST __AT (0x40003B0C); + + + +// ------------------------------- Field Item: USART13_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART13_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x40003B0C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART13_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART13_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x40003B0C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART13_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART13_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x40003B0C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART13_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART13_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART13_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x40003B0C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART13_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART13_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x40003B0C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART13_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART13_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x40003B0C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART13_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART13_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x40003B0C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART13_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART13_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART13_ST +// ST +// +// [Bits 31..0] RW (@ 0x40003B0C) USART1n Status Register +// ( (unsigned int)((USART13_ST >> 0) & 0xFFFFFFFF), ((USART13_ST = (USART13_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART13_ST_DREn +// SFDITEM_FIELD__USART13_ST_TXCn +// SFDITEM_FIELD__USART13_ST_RXCn +// SFDITEM_FIELD__USART13_ST_WAKEn +// SFDITEM_FIELD__USART13_ST_DORn +// SFDITEM_FIELD__USART13_ST_FEn +// SFDITEM_FIELD__USART13_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART13_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART13_BDR __AT (0x40003B10); + + + +// ------------------------------ Field Item: USART13_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART13_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x40003B10) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART13_BDR >> 0) & 0xFFF), ((USART13_BDR = (USART13_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART13_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART13_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40003B10) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART13_BDR >> 0) & 0xFFFFFFFF), ((USART13_BDR = (USART13_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART13_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART13_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART13_DR __AT (0x40003B14); + + + +// ------------------------------- Field Item: USART13_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART13_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40003B14) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART13_DR >> 0) & 0xFF), ((USART13_DR = (USART13_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART13_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART13_DR +// DR +// +// [Bits 31..0] RW (@ 0x40003B14) USART1n Data Register +// ( (unsigned int)((USART13_DR >> 0) & 0xFFFFFFFF), ((USART13_DR = (USART13_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART13_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART13 ------------------------------------ +// SVD Line: 17820 + +// USART13 +// USART13 +// SFDITEM_REG__USART13_CR1 +// SFDITEM_REG__USART13_CR2 +// SFDITEM_REG__USART13_ST +// SFDITEM_REG__USART13_BDR +// SFDITEM_REG__USART13_DR +// +// + + +// ---------------------------- Register Item Address: UARTn_RBR -------------------------------- +// SVD Line: 17853 + +unsigned int UARTn_RBR __AT (0x55000000); + + + +// -------------------------------- Field Item: UARTn_RBR_RBR ----------------------------------- +// SVD Line: 17862 + +// SFDITEM_FIELD__UARTn_RBR_RBR +// RBR +// +// [Bits 7..0] RO (@ 0x55000000) UARTn Receive Data Buffer +// +// ( (unsigned char)((UARTn_RBR >> 0) & 0xFF) ) +// +// +// + + +// -------------------------------- Register RTree: UARTn_RBR ----------------------------------- +// SVD Line: 17853 + +// SFDITEM_REG__UARTn_RBR +// RBR +// +// [Bits 31..0] RO (@ 0x55000000) UARTn Receive Data Buffer Register +// ( (unsigned int)((UARTn_RBR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UARTn_RBR_RBR +// +// + + +// ---------------------------- Register Item Address: UARTn_THR -------------------------------- +// SVD Line: 17870 + +unsigned int UARTn_THR __AT (0x55000000); + + + +// -------------------------------- Field Item: UARTn_THR_THR ----------------------------------- +// SVD Line: 17879 + +// SFDITEM_FIELD__UARTn_THR_THR +// THR +// +// [Bits 7..0] WO (@ 0x55000000) UARTn Transmit Data Hold +// +// ( (unsigned char)((UARTn_THR >> 0) & 0x0), ((UARTn_THR = (UARTn_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UARTn_THR ----------------------------------- +// SVD Line: 17870 + +// SFDITEM_REG__UARTn_THR +// THR +// +// [Bits 31..0] WO (@ 0x55000000) UARTn Transmit Data Hold Register +// ( (unsigned int)((UARTn_THR >> 0) & 0xFFFFFFFF), ((UARTn_THR = (UARTn_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_THR_THR +// +// + + +// ---------------------------- Register Item Address: UARTn_IER -------------------------------- +// SVD Line: 17887 + +unsigned int UARTn_IER __AT (0x55000004); + + + +// ------------------------------- Field Item: UARTn_IER_TXEIE ---------------------------------- +// SVD Line: 17896 + +// SFDITEM_FIELD__UARTn_IER_TXEIE +// TXEIE +// +// [Bit 3] RW (@ 0x55000004) Transmit Empty Interrupt Enable +// +// ( (unsigned int) UARTn_IER ) +// TXEIE +// +// +// + + +// ------------------------------- Field Item: UARTn_IER_RLSIE ---------------------------------- +// SVD Line: 17902 + +// SFDITEM_FIELD__UARTn_IER_RLSIE +// RLSIE +// +// [Bit 2] RW (@ 0x55000004) Receiver Line Status Interrupt Enable +// +// ( (unsigned int) UARTn_IER ) +// RLSIE +// +// +// + + +// ------------------------------ Field Item: UARTn_IER_THREIE ---------------------------------- +// SVD Line: 17908 + +// SFDITEM_FIELD__UARTn_IER_THREIE +// THREIE +// +// [Bit 1] RW (@ 0x55000004) Transmit Holding Register Empty Interrupt Enable +// +// ( (unsigned int) UARTn_IER ) +// THREIE +// +// +// + + +// ------------------------------- Field Item: UARTn_IER_DRIE ----------------------------------- +// SVD Line: 17914 + +// SFDITEM_FIELD__UARTn_IER_DRIE +// DRIE +// +// [Bit 0] RW (@ 0x55000004) Data Receive Interrupt Enable +// +// ( (unsigned int) UARTn_IER ) +// DRIE +// +// +// + + +// -------------------------------- Register RTree: UARTn_IER ----------------------------------- +// SVD Line: 17887 + +// SFDITEM_REG__UARTn_IER +// IER +// +// [Bits 31..0] RW (@ 0x55000004) UARTn Interrupt Enable Register +// ( (unsigned int)((UARTn_IER >> 0) & 0xFFFFFFFF), ((UARTn_IER = (UARTn_IER & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_IER_TXEIE +// SFDITEM_FIELD__UARTn_IER_RLSIE +// SFDITEM_FIELD__UARTn_IER_THREIE +// SFDITEM_FIELD__UARTn_IER_DRIE +// +// + + +// ---------------------------- Register Item Address: UARTn_IIR -------------------------------- +// SVD Line: 17922 + +unsigned int UARTn_IIR __AT (0x55000008); + + + +// -------------------------------- Field Item: UARTn_IIR_TXE ----------------------------------- +// SVD Line: 17931 + +// SFDITEM_FIELD__UARTn_IIR_TXE +// TXE +// +// [Bit 4] RO (@ 0x55000008) Transmit Complete Interrupt Source ID +// +// ( (unsigned int) UARTn_IIR ) +// TXE +// +// +// + + +// -------------------------------- Field Item: UARTn_IIR_IID ----------------------------------- +// SVD Line: 17937 + +// SFDITEM_FIELD__UARTn_IIR_IID +// IID +// +// [Bits 2..1] RO (@ 0x55000008) UARTn Interrupt ID +// +// ( (unsigned char)((UARTn_IIR >> 1) & 0x3) ) +// +// +// + + +// ------------------------------- Field Item: UARTn_IIR_IPEN ----------------------------------- +// SVD Line: 17943 + +// SFDITEM_FIELD__UARTn_IIR_IPEN +// IPEN +// +// [Bit 0] RO (@ 0x55000008) Interrupt Pending +// +// ( (unsigned int) UARTn_IIR ) +// IPEN +// +// +// + + +// -------------------------------- Register RTree: UARTn_IIR ----------------------------------- +// SVD Line: 17922 + +// SFDITEM_REG__UARTn_IIR +// IIR +// +// [Bits 31..0] RO (@ 0x55000008) UARTn Interrupt ID Register +// ( (unsigned int)((UARTn_IIR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UARTn_IIR_TXE +// SFDITEM_FIELD__UARTn_IIR_IID +// SFDITEM_FIELD__UARTn_IIR_IPEN +// +// + + +// ---------------------------- Register Item Address: UARTn_LCR -------------------------------- +// SVD Line: 17951 + +unsigned int UARTn_LCR __AT (0x5500000C); + + + +// ------------------------------- Field Item: UARTn_LCR_BREAK ---------------------------------- +// SVD Line: 17960 + +// SFDITEM_FIELD__UARTn_LCR_BREAK +// BREAK +// +// [Bit 6] RW (@ 0x5500000C) Transfer Break Control +// +// ( (unsigned int) UARTn_LCR ) +// BREAK +// +// +// + + +// ------------------------------ Field Item: UARTn_LCR_STICKP ---------------------------------- +// SVD Line: 17966 + +// SFDITEM_FIELD__UARTn_LCR_STICKP +// STICKP +// +// [Bit 5] RW (@ 0x5500000C) Force Parity +// +// ( (unsigned int) UARTn_LCR ) +// STICKP +// +// +// + + +// ------------------------------ Field Item: UARTn_LCR_PARITY ---------------------------------- +// SVD Line: 17972 + +// SFDITEM_FIELD__UARTn_LCR_PARITY +// PARITY +// +// [Bit 4] RW (@ 0x5500000C) Parity Mode and Parity Stuck Selection +// +// ( (unsigned int) UARTn_LCR ) +// PARITY +// +// +// + + +// -------------------------------- Field Item: UARTn_LCR_PEN ----------------------------------- +// SVD Line: 17978 + +// SFDITEM_FIELD__UARTn_LCR_PEN +// PEN +// +// [Bit 3] RW (@ 0x5500000C) Parity Bit Transfer Enable +// +// ( (unsigned int) UARTn_LCR ) +// PEN +// +// +// + + +// ------------------------------ Field Item: UARTn_LCR_STOPBIT --------------------------------- +// SVD Line: 17984 + +// SFDITEM_FIELD__UARTn_LCR_STOPBIT +// STOPBIT +// +// [Bit 2] RW (@ 0x5500000C) Stop Bit Length Selection +// +// ( (unsigned int) UARTn_LCR ) +// STOPBIT +// +// +// + + +// ------------------------------- Field Item: UARTn_LCR_DLEN ----------------------------------- +// SVD Line: 17990 + +// SFDITEM_FIELD__UARTn_LCR_DLEN +// DLEN +// +// [Bits 1..0] RW (@ 0x5500000C) Data Length Selection +// +// ( (unsigned char)((UARTn_LCR >> 0) & 0x3), ((UARTn_LCR = (UARTn_LCR & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UARTn_LCR ----------------------------------- +// SVD Line: 17951 + +// SFDITEM_REG__UARTn_LCR +// LCR +// +// [Bits 31..0] RW (@ 0x5500000C) UARTn Line Control Register +// ( (unsigned int)((UARTn_LCR >> 0) & 0xFFFFFFFF), ((UARTn_LCR = (UARTn_LCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_LCR_BREAK +// SFDITEM_FIELD__UARTn_LCR_STICKP +// SFDITEM_FIELD__UARTn_LCR_PARITY +// SFDITEM_FIELD__UARTn_LCR_PEN +// SFDITEM_FIELD__UARTn_LCR_STOPBIT +// SFDITEM_FIELD__UARTn_LCR_DLEN +// +// + + +// ---------------------------- Register Item Address: UARTn_DCR -------------------------------- +// SVD Line: 17998 + +unsigned int UARTn_DCR __AT (0x55000010); + + + +// ------------------------------- Field Item: UARTn_DCR_LBON ----------------------------------- +// SVD Line: 18007 + +// SFDITEM_FIELD__UARTn_DCR_LBON +// LBON +// +// [Bit 4] RW (@ 0x55000010) Local Loopback Test Mode Enable +// +// ( (unsigned int) UARTn_DCR ) +// LBON +// +// +// + + +// ------------------------------- Field Item: UARTn_DCR_RXINV ---------------------------------- +// SVD Line: 18013 + +// SFDITEM_FIELD__UARTn_DCR_RXINV +// RXINV +// +// [Bit 3] RW (@ 0x55000010) Receive Data Inversion Selection +// +// ( (unsigned int) UARTn_DCR ) +// RXINV +// +// +// + + +// ------------------------------- Field Item: UARTn_DCR_TXINV ---------------------------------- +// SVD Line: 18019 + +// SFDITEM_FIELD__UARTn_DCR_TXINV +// TXINV +// +// [Bit 2] RW (@ 0x55000010) Transmit Data Inversion Selection +// +// ( (unsigned int) UARTn_DCR ) +// TXINV +// +// +// + + +// -------------------------------- Register RTree: UARTn_DCR ----------------------------------- +// SVD Line: 17998 + +// SFDITEM_REG__UARTn_DCR +// DCR +// +// [Bits 31..0] RW (@ 0x55000010) UARTn Data Control Register +// ( (unsigned int)((UARTn_DCR >> 0) & 0xFFFFFFFF), ((UARTn_DCR = (UARTn_DCR & ~(0x1CUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1C) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_DCR_LBON +// SFDITEM_FIELD__UARTn_DCR_RXINV +// SFDITEM_FIELD__UARTn_DCR_TXINV +// +// + + +// ---------------------------- Register Item Address: UARTn_LSR -------------------------------- +// SVD Line: 18027 + +unsigned int UARTn_LSR __AT (0x55000014); + + + +// ------------------------------- Field Item: UARTn_LSR_TEMT ----------------------------------- +// SVD Line: 18036 + +// SFDITEM_FIELD__UARTn_LSR_TEMT +// TEMT +// +// [Bit 6] RO (@ 0x55000014) Transmit Register Empty +// +// ( (unsigned int) UARTn_LSR ) +// TEMT +// +// +// + + +// ------------------------------- Field Item: UARTn_LSR_THRE ----------------------------------- +// SVD Line: 18042 + +// SFDITEM_FIELD__UARTn_LSR_THRE +// THRE +// +// [Bit 5] RO (@ 0x55000014) Transmit Hold Register Empty +// +// ( (unsigned int) UARTn_LSR ) +// THRE +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_BI ------------------------------------ +// SVD Line: 18048 + +// SFDITEM_FIELD__UARTn_LSR_BI +// BI +// +// [Bit 4] RO (@ 0x55000014) Break Condition Indication +// +// ( (unsigned int) UARTn_LSR ) +// BI +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_FE ------------------------------------ +// SVD Line: 18054 + +// SFDITEM_FIELD__UARTn_LSR_FE +// FE +// +// [Bit 3] RO (@ 0x55000014) Frame Error Indicator +// +// ( (unsigned int) UARTn_LSR ) +// FE +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_PE ------------------------------------ +// SVD Line: 18060 + +// SFDITEM_FIELD__UARTn_LSR_PE +// PE +// +// [Bit 2] RO (@ 0x55000014) Parity Error Indicator +// +// ( (unsigned int) UARTn_LSR ) +// PE +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_OE ------------------------------------ +// SVD Line: 18066 + +// SFDITEM_FIELD__UARTn_LSR_OE +// OE +// +// [Bit 1] RO (@ 0x55000014) Overrun Error Indicator +// +// ( (unsigned int) UARTn_LSR ) +// OE +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_DR ------------------------------------ +// SVD Line: 18072 + +// SFDITEM_FIELD__UARTn_LSR_DR +// DR +// +// [Bit 0] RO (@ 0x55000014) Data Receive Indicator +// +// ( (unsigned int) UARTn_LSR ) +// DR +// +// +// + + +// -------------------------------- Register RTree: UARTn_LSR ----------------------------------- +// SVD Line: 18027 + +// SFDITEM_REG__UARTn_LSR +// LSR +// +// [Bits 31..0] RO (@ 0x55000014) UARTn Line Status Register +// ( (unsigned int)((UARTn_LSR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UARTn_LSR_TEMT +// SFDITEM_FIELD__UARTn_LSR_THRE +// SFDITEM_FIELD__UARTn_LSR_BI +// SFDITEM_FIELD__UARTn_LSR_FE +// SFDITEM_FIELD__UARTn_LSR_PE +// SFDITEM_FIELD__UARTn_LSR_OE +// SFDITEM_FIELD__UARTn_LSR_DR +// +// + + +// ---------------------------- Register Item Address: UARTn_BDR -------------------------------- +// SVD Line: 18080 + +unsigned int UARTn_BDR __AT (0x55000020); + + + +// -------------------------------- Field Item: UARTn_BDR_BDR ----------------------------------- +// SVD Line: 18089 + +// SFDITEM_FIELD__UARTn_BDR_BDR +// BDR +// +// [Bits 15..0] RW (@ 0x55000020) Baud Rate Divider Latch Value +// +// ( (unsigned short)((UARTn_BDR >> 0) & 0xFFFF), ((UARTn_BDR = (UARTn_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UARTn_BDR ----------------------------------- +// SVD Line: 18080 + +// SFDITEM_REG__UARTn_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x55000020) UARTn Baud Rate Divisor Latch Register +// ( (unsigned int)((UARTn_BDR >> 0) & 0xFFFFFFFF), ((UARTn_BDR = (UARTn_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_BDR_BDR +// +// + + +// ---------------------------- Register Item Address: UARTn_BFR -------------------------------- +// SVD Line: 18097 + +unsigned int UARTn_BFR __AT (0x55000024); + + + +// -------------------------------- Field Item: UARTn_BFR_BFR ----------------------------------- +// SVD Line: 18106 + +// SFDITEM_FIELD__UARTn_BFR_BFR +// BFR +// +// [Bits 7..0] RW (@ 0x55000024) Fraction Counter value +// +// ( (unsigned char)((UARTn_BFR >> 0) & 0xFF), ((UARTn_BFR = (UARTn_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UARTn_BFR ----------------------------------- +// SVD Line: 18097 + +// SFDITEM_REG__UARTn_BFR +// BFR +// +// [Bits 31..0] RW (@ 0x55000024) UARTn Baud Rate Fractional Counter Value +// ( (unsigned int)((UARTn_BFR >> 0) & 0xFFFFFFFF), ((UARTn_BFR = (UARTn_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_BFR_BFR +// +// + + +// ---------------------------- Register Item Address: UARTn_IDTR ------------------------------- +// SVD Line: 18121 + +unsigned int UARTn_IDTR __AT (0x55000030); + + + +// ------------------------------- Field Item: UARTn_IDTR_SMS ----------------------------------- +// SVD Line: 18130 + +// SFDITEM_FIELD__UARTn_IDTR_SMS +// SMS +// +// [Bit 7] RW (@ 0x55000030) Start Bit Multi Sampling Enable +// +// ( (unsigned int) UARTn_IDTR ) +// SMS +// +// +// + + +// ------------------------------- Field Item: UARTn_IDTR_DMS ----------------------------------- +// SVD Line: 18136 + +// SFDITEM_FIELD__UARTn_IDTR_DMS +// DMS +// +// [Bit 6] RW (@ 0x55000030) Data Bit Multi Sampling Enable +// +// ( (unsigned int) UARTn_IDTR ) +// DMS +// +// +// + + +// ----------------------------- Field Item: UARTn_IDTR_WAITVAL --------------------------------- +// SVD Line: 18142 + +// SFDITEM_FIELD__UARTn_IDTR_WAITVAL +// WAITVAL +// +// [Bits 2..0] RW (@ 0x55000030) Wait Time Value +// +// ( (unsigned char)((UARTn_IDTR >> 0) & 0x7), ((UARTn_IDTR = (UARTn_IDTR & ~(0x7UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: UARTn_IDTR ----------------------------------- +// SVD Line: 18121 + +// SFDITEM_REG__UARTn_IDTR +// IDTR +// +// [Bits 31..0] RW (@ 0x55000030) UARTn Inter-frame Delay Time Register +// ( (unsigned int)((UARTn_IDTR >> 0) & 0xFFFFFFFF), ((UARTn_IDTR = (UARTn_IDTR & ~(0xC7UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xC7) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_IDTR_SMS +// SFDITEM_FIELD__UARTn_IDTR_DMS +// SFDITEM_FIELD__UARTn_IDTR_WAITVAL +// +// + + +// --------------------------------- Peripheral View: UARTn ------------------------------------- +// SVD Line: 17839 + +// UARTn +// UARTn +// SFDITEM_REG__UARTn_RBR +// SFDITEM_REG__UARTn_THR +// SFDITEM_REG__UARTn_IER +// SFDITEM_REG__UARTn_IIR +// SFDITEM_REG__UARTn_LCR +// SFDITEM_REG__UARTn_DCR +// SFDITEM_REG__UARTn_LSR +// SFDITEM_REG__UARTn_BDR +// SFDITEM_REG__UARTn_BFR +// SFDITEM_REG__UARTn_IDTR +// +// + + +// ---------------------------- Register Item Address: UART0_RBR -------------------------------- +// SVD Line: 17853 + +unsigned int UART0_RBR __AT (0x40004000); + + + +// -------------------------------- Field Item: UART0_RBR_RBR ----------------------------------- +// SVD Line: 17862 + +// SFDITEM_FIELD__UART0_RBR_RBR +// RBR +// +// [Bits 7..0] RO (@ 0x40004000) UARTn Receive Data Buffer +// +// ( (unsigned char)((UART0_RBR >> 0) & 0xFF) ) +// +// +// + + +// -------------------------------- Register RTree: UART0_RBR ----------------------------------- +// SVD Line: 17853 + +// SFDITEM_REG__UART0_RBR +// RBR +// +// [Bits 31..0] RO (@ 0x40004000) UARTn Receive Data Buffer Register +// ( (unsigned int)((UART0_RBR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART0_RBR_RBR +// +// + + +// ---------------------------- Register Item Address: UART0_THR -------------------------------- +// SVD Line: 17870 + +unsigned int UART0_THR __AT (0x40004000); + + + +// -------------------------------- Field Item: UART0_THR_THR ----------------------------------- +// SVD Line: 17879 + +// SFDITEM_FIELD__UART0_THR_THR +// THR +// +// [Bits 7..0] WO (@ 0x40004000) UARTn Transmit Data Hold +// +// ( (unsigned char)((UART0_THR >> 0) & 0x0), ((UART0_THR = (UART0_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART0_THR ----------------------------------- +// SVD Line: 17870 + +// SFDITEM_REG__UART0_THR +// THR +// +// [Bits 31..0] WO (@ 0x40004000) UARTn Transmit Data Hold Register +// ( (unsigned int)((UART0_THR >> 0) & 0xFFFFFFFF), ((UART0_THR = (UART0_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UART0_THR_THR +// +// + + +// ---------------------------- Register Item Address: UART0_IER -------------------------------- +// SVD Line: 17887 + +unsigned int UART0_IER __AT (0x40004004); + + + +// ------------------------------- Field Item: UART0_IER_TXEIE ---------------------------------- +// SVD Line: 17896 + +// SFDITEM_FIELD__UART0_IER_TXEIE +// TXEIE +// +// [Bit 3] RW (@ 0x40004004) Transmit Empty Interrupt Enable +// +// ( (unsigned int) UART0_IER ) +// TXEIE +// +// +// + + +// ------------------------------- Field Item: UART0_IER_RLSIE ---------------------------------- +// SVD Line: 17902 + +// SFDITEM_FIELD__UART0_IER_RLSIE +// RLSIE +// +// [Bit 2] RW (@ 0x40004004) Receiver Line Status Interrupt Enable +// +// ( (unsigned int) UART0_IER ) +// RLSIE +// +// +// + + +// ------------------------------ Field Item: UART0_IER_THREIE ---------------------------------- +// SVD Line: 17908 + +// SFDITEM_FIELD__UART0_IER_THREIE +// THREIE +// +// [Bit 1] RW (@ 0x40004004) Transmit Holding Register Empty Interrupt Enable +// +// ( (unsigned int) UART0_IER ) +// THREIE +// +// +// + + +// ------------------------------- Field Item: UART0_IER_DRIE ----------------------------------- +// SVD Line: 17914 + +// SFDITEM_FIELD__UART0_IER_DRIE +// DRIE +// +// [Bit 0] RW (@ 0x40004004) Data Receive Interrupt Enable +// +// ( (unsigned int) UART0_IER ) +// DRIE +// +// +// + + +// -------------------------------- Register RTree: UART0_IER ----------------------------------- +// SVD Line: 17887 + +// SFDITEM_REG__UART0_IER +// IER +// +// [Bits 31..0] RW (@ 0x40004004) UARTn Interrupt Enable Register +// ( (unsigned int)((UART0_IER >> 0) & 0xFFFFFFFF), ((UART0_IER = (UART0_IER & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__UART0_IER_TXEIE +// SFDITEM_FIELD__UART0_IER_RLSIE +// SFDITEM_FIELD__UART0_IER_THREIE +// SFDITEM_FIELD__UART0_IER_DRIE +// +// + + +// ---------------------------- Register Item Address: UART0_IIR -------------------------------- +// SVD Line: 17922 + +unsigned int UART0_IIR __AT (0x40004008); + + + +// -------------------------------- Field Item: UART0_IIR_TXE ----------------------------------- +// SVD Line: 17931 + +// SFDITEM_FIELD__UART0_IIR_TXE +// TXE +// +// [Bit 4] RO (@ 0x40004008) Transmit Complete Interrupt Source ID +// +// ( (unsigned int) UART0_IIR ) +// TXE +// +// +// + + +// -------------------------------- Field Item: UART0_IIR_IID ----------------------------------- +// SVD Line: 17937 + +// SFDITEM_FIELD__UART0_IIR_IID +// IID +// +// [Bits 2..1] RO (@ 0x40004008) UARTn Interrupt ID +// +// ( (unsigned char)((UART0_IIR >> 1) & 0x3) ) +// +// +// + + +// ------------------------------- Field Item: UART0_IIR_IPEN ----------------------------------- +// SVD Line: 17943 + +// SFDITEM_FIELD__UART0_IIR_IPEN +// IPEN +// +// [Bit 0] RO (@ 0x40004008) Interrupt Pending +// +// ( (unsigned int) UART0_IIR ) +// IPEN +// +// +// + + +// -------------------------------- Register RTree: UART0_IIR ----------------------------------- +// SVD Line: 17922 + +// SFDITEM_REG__UART0_IIR +// IIR +// +// [Bits 31..0] RO (@ 0x40004008) UARTn Interrupt ID Register +// ( (unsigned int)((UART0_IIR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART0_IIR_TXE +// SFDITEM_FIELD__UART0_IIR_IID +// SFDITEM_FIELD__UART0_IIR_IPEN +// +// + + +// ---------------------------- Register Item Address: UART0_LCR -------------------------------- +// SVD Line: 17951 + +unsigned int UART0_LCR __AT (0x4000400C); + + + +// ------------------------------- Field Item: UART0_LCR_BREAK ---------------------------------- +// SVD Line: 17960 + +// SFDITEM_FIELD__UART0_LCR_BREAK +// BREAK +// +// [Bit 6] RW (@ 0x4000400C) Transfer Break Control +// +// ( (unsigned int) UART0_LCR ) +// BREAK +// +// +// + + +// ------------------------------ Field Item: UART0_LCR_STICKP ---------------------------------- +// SVD Line: 17966 + +// SFDITEM_FIELD__UART0_LCR_STICKP +// STICKP +// +// [Bit 5] RW (@ 0x4000400C) Force Parity +// +// ( (unsigned int) UART0_LCR ) +// STICKP +// +// +// + + +// ------------------------------ Field Item: UART0_LCR_PARITY ---------------------------------- +// SVD Line: 17972 + +// SFDITEM_FIELD__UART0_LCR_PARITY +// PARITY +// +// [Bit 4] RW (@ 0x4000400C) Parity Mode and Parity Stuck Selection +// +// ( (unsigned int) UART0_LCR ) +// PARITY +// +// +// + + +// -------------------------------- Field Item: UART0_LCR_PEN ----------------------------------- +// SVD Line: 17978 + +// SFDITEM_FIELD__UART0_LCR_PEN +// PEN +// +// [Bit 3] RW (@ 0x4000400C) Parity Bit Transfer Enable +// +// ( (unsigned int) UART0_LCR ) +// PEN +// +// +// + + +// ------------------------------ Field Item: UART0_LCR_STOPBIT --------------------------------- +// SVD Line: 17984 + +// SFDITEM_FIELD__UART0_LCR_STOPBIT +// STOPBIT +// +// [Bit 2] RW (@ 0x4000400C) Stop Bit Length Selection +// +// ( (unsigned int) UART0_LCR ) +// STOPBIT +// +// +// + + +// ------------------------------- Field Item: UART0_LCR_DLEN ----------------------------------- +// SVD Line: 17990 + +// SFDITEM_FIELD__UART0_LCR_DLEN +// DLEN +// +// [Bits 1..0] RW (@ 0x4000400C) Data Length Selection +// +// ( (unsigned char)((UART0_LCR >> 0) & 0x3), ((UART0_LCR = (UART0_LCR & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART0_LCR ----------------------------------- +// SVD Line: 17951 + +// SFDITEM_REG__UART0_LCR +// LCR +// +// [Bits 31..0] RW (@ 0x4000400C) UARTn Line Control Register +// ( (unsigned int)((UART0_LCR >> 0) & 0xFFFFFFFF), ((UART0_LCR = (UART0_LCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__UART0_LCR_BREAK +// SFDITEM_FIELD__UART0_LCR_STICKP +// SFDITEM_FIELD__UART0_LCR_PARITY +// SFDITEM_FIELD__UART0_LCR_PEN +// SFDITEM_FIELD__UART0_LCR_STOPBIT +// SFDITEM_FIELD__UART0_LCR_DLEN +// +// + + +// ---------------------------- Register Item Address: UART0_DCR -------------------------------- +// SVD Line: 17998 + +unsigned int UART0_DCR __AT (0x40004010); + + + +// ------------------------------- Field Item: UART0_DCR_LBON ----------------------------------- +// SVD Line: 18007 + +// SFDITEM_FIELD__UART0_DCR_LBON +// LBON +// +// [Bit 4] RW (@ 0x40004010) Local Loopback Test Mode Enable +// +// ( (unsigned int) UART0_DCR ) +// LBON +// +// +// + + +// ------------------------------- Field Item: UART0_DCR_RXINV ---------------------------------- +// SVD Line: 18013 + +// SFDITEM_FIELD__UART0_DCR_RXINV +// RXINV +// +// [Bit 3] RW (@ 0x40004010) Receive Data Inversion Selection +// +// ( (unsigned int) UART0_DCR ) +// RXINV +// +// +// + + +// ------------------------------- Field Item: UART0_DCR_TXINV ---------------------------------- +// SVD Line: 18019 + +// SFDITEM_FIELD__UART0_DCR_TXINV +// TXINV +// +// [Bit 2] RW (@ 0x40004010) Transmit Data Inversion Selection +// +// ( (unsigned int) UART0_DCR ) +// TXINV +// +// +// + + +// -------------------------------- Register RTree: UART0_DCR ----------------------------------- +// SVD Line: 17998 + +// SFDITEM_REG__UART0_DCR +// DCR +// +// [Bits 31..0] RW (@ 0x40004010) UARTn Data Control Register +// ( (unsigned int)((UART0_DCR >> 0) & 0xFFFFFFFF), ((UART0_DCR = (UART0_DCR & ~(0x1CUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1C) << 0 ) ) )) +// SFDITEM_FIELD__UART0_DCR_LBON +// SFDITEM_FIELD__UART0_DCR_RXINV +// SFDITEM_FIELD__UART0_DCR_TXINV +// +// + + +// ---------------------------- Register Item Address: UART0_LSR -------------------------------- +// SVD Line: 18027 + +unsigned int UART0_LSR __AT (0x40004014); + + + +// ------------------------------- Field Item: UART0_LSR_TEMT ----------------------------------- +// SVD Line: 18036 + +// SFDITEM_FIELD__UART0_LSR_TEMT +// TEMT +// +// [Bit 6] RO (@ 0x40004014) Transmit Register Empty +// +// ( (unsigned int) UART0_LSR ) +// TEMT +// +// +// + + +// ------------------------------- Field Item: UART0_LSR_THRE ----------------------------------- +// SVD Line: 18042 + +// SFDITEM_FIELD__UART0_LSR_THRE +// THRE +// +// [Bit 5] RO (@ 0x40004014) Transmit Hold Register Empty +// +// ( (unsigned int) UART0_LSR ) +// THRE +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_BI ------------------------------------ +// SVD Line: 18048 + +// SFDITEM_FIELD__UART0_LSR_BI +// BI +// +// [Bit 4] RO (@ 0x40004014) Break Condition Indication +// +// ( (unsigned int) UART0_LSR ) +// BI +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_FE ------------------------------------ +// SVD Line: 18054 + +// SFDITEM_FIELD__UART0_LSR_FE +// FE +// +// [Bit 3] RO (@ 0x40004014) Frame Error Indicator +// +// ( (unsigned int) UART0_LSR ) +// FE +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_PE ------------------------------------ +// SVD Line: 18060 + +// SFDITEM_FIELD__UART0_LSR_PE +// PE +// +// [Bit 2] RO (@ 0x40004014) Parity Error Indicator +// +// ( (unsigned int) UART0_LSR ) +// PE +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_OE ------------------------------------ +// SVD Line: 18066 + +// SFDITEM_FIELD__UART0_LSR_OE +// OE +// +// [Bit 1] RO (@ 0x40004014) Overrun Error Indicator +// +// ( (unsigned int) UART0_LSR ) +// OE +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_DR ------------------------------------ +// SVD Line: 18072 + +// SFDITEM_FIELD__UART0_LSR_DR +// DR +// +// [Bit 0] RO (@ 0x40004014) Data Receive Indicator +// +// ( (unsigned int) UART0_LSR ) +// DR +// +// +// + + +// -------------------------------- Register RTree: UART0_LSR ----------------------------------- +// SVD Line: 18027 + +// SFDITEM_REG__UART0_LSR +// LSR +// +// [Bits 31..0] RO (@ 0x40004014) UARTn Line Status Register +// ( (unsigned int)((UART0_LSR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART0_LSR_TEMT +// SFDITEM_FIELD__UART0_LSR_THRE +// SFDITEM_FIELD__UART0_LSR_BI +// SFDITEM_FIELD__UART0_LSR_FE +// SFDITEM_FIELD__UART0_LSR_PE +// SFDITEM_FIELD__UART0_LSR_OE +// SFDITEM_FIELD__UART0_LSR_DR +// +// + + +// ---------------------------- Register Item Address: UART0_BDR -------------------------------- +// SVD Line: 18080 + +unsigned int UART0_BDR __AT (0x40004020); + + + +// -------------------------------- Field Item: UART0_BDR_BDR ----------------------------------- +// SVD Line: 18089 + +// SFDITEM_FIELD__UART0_BDR_BDR +// BDR +// +// [Bits 15..0] RW (@ 0x40004020) Baud Rate Divider Latch Value +// +// ( (unsigned short)((UART0_BDR >> 0) & 0xFFFF), ((UART0_BDR = (UART0_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART0_BDR ----------------------------------- +// SVD Line: 18080 + +// SFDITEM_REG__UART0_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40004020) UARTn Baud Rate Divisor Latch Register +// ( (unsigned int)((UART0_BDR >> 0) & 0xFFFFFFFF), ((UART0_BDR = (UART0_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__UART0_BDR_BDR +// +// + + +// ---------------------------- Register Item Address: UART0_BFR -------------------------------- +// SVD Line: 18097 + +unsigned int UART0_BFR __AT (0x40004024); + + + +// -------------------------------- Field Item: UART0_BFR_BFR ----------------------------------- +// SVD Line: 18106 + +// SFDITEM_FIELD__UART0_BFR_BFR +// BFR +// +// [Bits 7..0] RW (@ 0x40004024) Fraction Counter value +// +// ( (unsigned char)((UART0_BFR >> 0) & 0xFF), ((UART0_BFR = (UART0_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART0_BFR ----------------------------------- +// SVD Line: 18097 + +// SFDITEM_REG__UART0_BFR +// BFR +// +// [Bits 31..0] RW (@ 0x40004024) UARTn Baud Rate Fractional Counter Value +// ( (unsigned int)((UART0_BFR >> 0) & 0xFFFFFFFF), ((UART0_BFR = (UART0_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UART0_BFR_BFR +// +// + + +// ---------------------------- Register Item Address: UART0_IDTR ------------------------------- +// SVD Line: 18121 + +unsigned int UART0_IDTR __AT (0x40004030); + + + +// ------------------------------- Field Item: UART0_IDTR_SMS ----------------------------------- +// SVD Line: 18130 + +// SFDITEM_FIELD__UART0_IDTR_SMS +// SMS +// +// [Bit 7] RW (@ 0x40004030) Start Bit Multi Sampling Enable +// +// ( (unsigned int) UART0_IDTR ) +// SMS +// +// +// + + +// ------------------------------- Field Item: UART0_IDTR_DMS ----------------------------------- +// SVD Line: 18136 + +// SFDITEM_FIELD__UART0_IDTR_DMS +// DMS +// +// [Bit 6] RW (@ 0x40004030) Data Bit Multi Sampling Enable +// +// ( (unsigned int) UART0_IDTR ) +// DMS +// +// +// + + +// ----------------------------- Field Item: UART0_IDTR_WAITVAL --------------------------------- +// SVD Line: 18142 + +// SFDITEM_FIELD__UART0_IDTR_WAITVAL +// WAITVAL +// +// [Bits 2..0] RW (@ 0x40004030) Wait Time Value +// +// ( (unsigned char)((UART0_IDTR >> 0) & 0x7), ((UART0_IDTR = (UART0_IDTR & ~(0x7UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: UART0_IDTR ----------------------------------- +// SVD Line: 18121 + +// SFDITEM_REG__UART0_IDTR +// IDTR +// +// [Bits 31..0] RW (@ 0x40004030) UARTn Inter-frame Delay Time Register +// ( (unsigned int)((UART0_IDTR >> 0) & 0xFFFFFFFF), ((UART0_IDTR = (UART0_IDTR & ~(0xC7UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xC7) << 0 ) ) )) +// SFDITEM_FIELD__UART0_IDTR_SMS +// SFDITEM_FIELD__UART0_IDTR_DMS +// SFDITEM_FIELD__UART0_IDTR_WAITVAL +// +// + + +// --------------------------------- Peripheral View: UART0 ------------------------------------- +// SVD Line: 18152 + +// UART0 +// UART0 +// SFDITEM_REG__UART0_RBR +// SFDITEM_REG__UART0_THR +// SFDITEM_REG__UART0_IER +// SFDITEM_REG__UART0_IIR +// SFDITEM_REG__UART0_LCR +// SFDITEM_REG__UART0_DCR +// SFDITEM_REG__UART0_LSR +// SFDITEM_REG__UART0_BDR +// SFDITEM_REG__UART0_BFR +// SFDITEM_REG__UART0_IDTR +// +// + + +// ---------------------------- Register Item Address: UART1_RBR -------------------------------- +// SVD Line: 17853 + +unsigned int UART1_RBR __AT (0x40004100); + + + +// -------------------------------- Field Item: UART1_RBR_RBR ----------------------------------- +// SVD Line: 17862 + +// SFDITEM_FIELD__UART1_RBR_RBR +// RBR +// +// [Bits 7..0] RO (@ 0x40004100) UARTn Receive Data Buffer +// +// ( (unsigned char)((UART1_RBR >> 0) & 0xFF) ) +// +// +// + + +// -------------------------------- Register RTree: UART1_RBR ----------------------------------- +// SVD Line: 17853 + +// SFDITEM_REG__UART1_RBR +// RBR +// +// [Bits 31..0] RO (@ 0x40004100) UARTn Receive Data Buffer Register +// ( (unsigned int)((UART1_RBR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART1_RBR_RBR +// +// + + +// ---------------------------- Register Item Address: UART1_THR -------------------------------- +// SVD Line: 17870 + +unsigned int UART1_THR __AT (0x40004100); + + + +// -------------------------------- Field Item: UART1_THR_THR ----------------------------------- +// SVD Line: 17879 + +// SFDITEM_FIELD__UART1_THR_THR +// THR +// +// [Bits 7..0] WO (@ 0x40004100) UARTn Transmit Data Hold +// +// ( (unsigned char)((UART1_THR >> 0) & 0x0), ((UART1_THR = (UART1_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART1_THR ----------------------------------- +// SVD Line: 17870 + +// SFDITEM_REG__UART1_THR +// THR +// +// [Bits 31..0] WO (@ 0x40004100) UARTn Transmit Data Hold Register +// ( (unsigned int)((UART1_THR >> 0) & 0xFFFFFFFF), ((UART1_THR = (UART1_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UART1_THR_THR +// +// + + +// ---------------------------- Register Item Address: UART1_IER -------------------------------- +// SVD Line: 17887 + +unsigned int UART1_IER __AT (0x40004104); + + + +// ------------------------------- Field Item: UART1_IER_TXEIE ---------------------------------- +// SVD Line: 17896 + +// SFDITEM_FIELD__UART1_IER_TXEIE +// TXEIE +// +// [Bit 3] RW (@ 0x40004104) Transmit Empty Interrupt Enable +// +// ( (unsigned int) UART1_IER ) +// TXEIE +// +// +// + + +// ------------------------------- Field Item: UART1_IER_RLSIE ---------------------------------- +// SVD Line: 17902 + +// SFDITEM_FIELD__UART1_IER_RLSIE +// RLSIE +// +// [Bit 2] RW (@ 0x40004104) Receiver Line Status Interrupt Enable +// +// ( (unsigned int) UART1_IER ) +// RLSIE +// +// +// + + +// ------------------------------ Field Item: UART1_IER_THREIE ---------------------------------- +// SVD Line: 17908 + +// SFDITEM_FIELD__UART1_IER_THREIE +// THREIE +// +// [Bit 1] RW (@ 0x40004104) Transmit Holding Register Empty Interrupt Enable +// +// ( (unsigned int) UART1_IER ) +// THREIE +// +// +// + + +// ------------------------------- Field Item: UART1_IER_DRIE ----------------------------------- +// SVD Line: 17914 + +// SFDITEM_FIELD__UART1_IER_DRIE +// DRIE +// +// [Bit 0] RW (@ 0x40004104) Data Receive Interrupt Enable +// +// ( (unsigned int) UART1_IER ) +// DRIE +// +// +// + + +// -------------------------------- Register RTree: UART1_IER ----------------------------------- +// SVD Line: 17887 + +// SFDITEM_REG__UART1_IER +// IER +// +// [Bits 31..0] RW (@ 0x40004104) UARTn Interrupt Enable Register +// ( (unsigned int)((UART1_IER >> 0) & 0xFFFFFFFF), ((UART1_IER = (UART1_IER & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__UART1_IER_TXEIE +// SFDITEM_FIELD__UART1_IER_RLSIE +// SFDITEM_FIELD__UART1_IER_THREIE +// SFDITEM_FIELD__UART1_IER_DRIE +// +// + + +// ---------------------------- Register Item Address: UART1_IIR -------------------------------- +// SVD Line: 17922 + +unsigned int UART1_IIR __AT (0x40004108); + + + +// -------------------------------- Field Item: UART1_IIR_TXE ----------------------------------- +// SVD Line: 17931 + +// SFDITEM_FIELD__UART1_IIR_TXE +// TXE +// +// [Bit 4] RO (@ 0x40004108) Transmit Complete Interrupt Source ID +// +// ( (unsigned int) UART1_IIR ) +// TXE +// +// +// + + +// -------------------------------- Field Item: UART1_IIR_IID ----------------------------------- +// SVD Line: 17937 + +// SFDITEM_FIELD__UART1_IIR_IID +// IID +// +// [Bits 2..1] RO (@ 0x40004108) UARTn Interrupt ID +// +// ( (unsigned char)((UART1_IIR >> 1) & 0x3) ) +// +// +// + + +// ------------------------------- Field Item: UART1_IIR_IPEN ----------------------------------- +// SVD Line: 17943 + +// SFDITEM_FIELD__UART1_IIR_IPEN +// IPEN +// +// [Bit 0] RO (@ 0x40004108) Interrupt Pending +// +// ( (unsigned int) UART1_IIR ) +// IPEN +// +// +// + + +// -------------------------------- Register RTree: UART1_IIR ----------------------------------- +// SVD Line: 17922 + +// SFDITEM_REG__UART1_IIR +// IIR +// +// [Bits 31..0] RO (@ 0x40004108) UARTn Interrupt ID Register +// ( (unsigned int)((UART1_IIR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART1_IIR_TXE +// SFDITEM_FIELD__UART1_IIR_IID +// SFDITEM_FIELD__UART1_IIR_IPEN +// +// + + +// ---------------------------- Register Item Address: UART1_LCR -------------------------------- +// SVD Line: 17951 + +unsigned int UART1_LCR __AT (0x4000410C); + + + +// ------------------------------- Field Item: UART1_LCR_BREAK ---------------------------------- +// SVD Line: 17960 + +// SFDITEM_FIELD__UART1_LCR_BREAK +// BREAK +// +// [Bit 6] RW (@ 0x4000410C) Transfer Break Control +// +// ( (unsigned int) UART1_LCR ) +// BREAK +// +// +// + + +// ------------------------------ Field Item: UART1_LCR_STICKP ---------------------------------- +// SVD Line: 17966 + +// SFDITEM_FIELD__UART1_LCR_STICKP +// STICKP +// +// [Bit 5] RW (@ 0x4000410C) Force Parity +// +// ( (unsigned int) UART1_LCR ) +// STICKP +// +// +// + + +// ------------------------------ Field Item: UART1_LCR_PARITY ---------------------------------- +// SVD Line: 17972 + +// SFDITEM_FIELD__UART1_LCR_PARITY +// PARITY +// +// [Bit 4] RW (@ 0x4000410C) Parity Mode and Parity Stuck Selection +// +// ( (unsigned int) UART1_LCR ) +// PARITY +// +// +// + + +// -------------------------------- Field Item: UART1_LCR_PEN ----------------------------------- +// SVD Line: 17978 + +// SFDITEM_FIELD__UART1_LCR_PEN +// PEN +// +// [Bit 3] RW (@ 0x4000410C) Parity Bit Transfer Enable +// +// ( (unsigned int) UART1_LCR ) +// PEN +// +// +// + + +// ------------------------------ Field Item: UART1_LCR_STOPBIT --------------------------------- +// SVD Line: 17984 + +// SFDITEM_FIELD__UART1_LCR_STOPBIT +// STOPBIT +// +// [Bit 2] RW (@ 0x4000410C) Stop Bit Length Selection +// +// ( (unsigned int) UART1_LCR ) +// STOPBIT +// +// +// + + +// ------------------------------- Field Item: UART1_LCR_DLEN ----------------------------------- +// SVD Line: 17990 + +// SFDITEM_FIELD__UART1_LCR_DLEN +// DLEN +// +// [Bits 1..0] RW (@ 0x4000410C) Data Length Selection +// +// ( (unsigned char)((UART1_LCR >> 0) & 0x3), ((UART1_LCR = (UART1_LCR & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART1_LCR ----------------------------------- +// SVD Line: 17951 + +// SFDITEM_REG__UART1_LCR +// LCR +// +// [Bits 31..0] RW (@ 0x4000410C) UARTn Line Control Register +// ( (unsigned int)((UART1_LCR >> 0) & 0xFFFFFFFF), ((UART1_LCR = (UART1_LCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__UART1_LCR_BREAK +// SFDITEM_FIELD__UART1_LCR_STICKP +// SFDITEM_FIELD__UART1_LCR_PARITY +// SFDITEM_FIELD__UART1_LCR_PEN +// SFDITEM_FIELD__UART1_LCR_STOPBIT +// SFDITEM_FIELD__UART1_LCR_DLEN +// +// + + +// ---------------------------- Register Item Address: UART1_DCR -------------------------------- +// SVD Line: 17998 + +unsigned int UART1_DCR __AT (0x40004110); + + + +// ------------------------------- Field Item: UART1_DCR_LBON ----------------------------------- +// SVD Line: 18007 + +// SFDITEM_FIELD__UART1_DCR_LBON +// LBON +// +// [Bit 4] RW (@ 0x40004110) Local Loopback Test Mode Enable +// +// ( (unsigned int) UART1_DCR ) +// LBON +// +// +// + + +// ------------------------------- Field Item: UART1_DCR_RXINV ---------------------------------- +// SVD Line: 18013 + +// SFDITEM_FIELD__UART1_DCR_RXINV +// RXINV +// +// [Bit 3] RW (@ 0x40004110) Receive Data Inversion Selection +// +// ( (unsigned int) UART1_DCR ) +// RXINV +// +// +// + + +// ------------------------------- Field Item: UART1_DCR_TXINV ---------------------------------- +// SVD Line: 18019 + +// SFDITEM_FIELD__UART1_DCR_TXINV +// TXINV +// +// [Bit 2] RW (@ 0x40004110) Transmit Data Inversion Selection +// +// ( (unsigned int) UART1_DCR ) +// TXINV +// +// +// + + +// -------------------------------- Register RTree: UART1_DCR ----------------------------------- +// SVD Line: 17998 + +// SFDITEM_REG__UART1_DCR +// DCR +// +// [Bits 31..0] RW (@ 0x40004110) UARTn Data Control Register +// ( (unsigned int)((UART1_DCR >> 0) & 0xFFFFFFFF), ((UART1_DCR = (UART1_DCR & ~(0x1CUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1C) << 0 ) ) )) +// SFDITEM_FIELD__UART1_DCR_LBON +// SFDITEM_FIELD__UART1_DCR_RXINV +// SFDITEM_FIELD__UART1_DCR_TXINV +// +// + + +// ---------------------------- Register Item Address: UART1_LSR -------------------------------- +// SVD Line: 18027 + +unsigned int UART1_LSR __AT (0x40004114); + + + +// ------------------------------- Field Item: UART1_LSR_TEMT ----------------------------------- +// SVD Line: 18036 + +// SFDITEM_FIELD__UART1_LSR_TEMT +// TEMT +// +// [Bit 6] RO (@ 0x40004114) Transmit Register Empty +// +// ( (unsigned int) UART1_LSR ) +// TEMT +// +// +// + + +// ------------------------------- Field Item: UART1_LSR_THRE ----------------------------------- +// SVD Line: 18042 + +// SFDITEM_FIELD__UART1_LSR_THRE +// THRE +// +// [Bit 5] RO (@ 0x40004114) Transmit Hold Register Empty +// +// ( (unsigned int) UART1_LSR ) +// THRE +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_BI ------------------------------------ +// SVD Line: 18048 + +// SFDITEM_FIELD__UART1_LSR_BI +// BI +// +// [Bit 4] RO (@ 0x40004114) Break Condition Indication +// +// ( (unsigned int) UART1_LSR ) +// BI +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_FE ------------------------------------ +// SVD Line: 18054 + +// SFDITEM_FIELD__UART1_LSR_FE +// FE +// +// [Bit 3] RO (@ 0x40004114) Frame Error Indicator +// +// ( (unsigned int) UART1_LSR ) +// FE +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_PE ------------------------------------ +// SVD Line: 18060 + +// SFDITEM_FIELD__UART1_LSR_PE +// PE +// +// [Bit 2] RO (@ 0x40004114) Parity Error Indicator +// +// ( (unsigned int) UART1_LSR ) +// PE +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_OE ------------------------------------ +// SVD Line: 18066 + +// SFDITEM_FIELD__UART1_LSR_OE +// OE +// +// [Bit 1] RO (@ 0x40004114) Overrun Error Indicator +// +// ( (unsigned int) UART1_LSR ) +// OE +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_DR ------------------------------------ +// SVD Line: 18072 + +// SFDITEM_FIELD__UART1_LSR_DR +// DR +// +// [Bit 0] RO (@ 0x40004114) Data Receive Indicator +// +// ( (unsigned int) UART1_LSR ) +// DR +// +// +// + + +// -------------------------------- Register RTree: UART1_LSR ----------------------------------- +// SVD Line: 18027 + +// SFDITEM_REG__UART1_LSR +// LSR +// +// [Bits 31..0] RO (@ 0x40004114) UARTn Line Status Register +// ( (unsigned int)((UART1_LSR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART1_LSR_TEMT +// SFDITEM_FIELD__UART1_LSR_THRE +// SFDITEM_FIELD__UART1_LSR_BI +// SFDITEM_FIELD__UART1_LSR_FE +// SFDITEM_FIELD__UART1_LSR_PE +// SFDITEM_FIELD__UART1_LSR_OE +// SFDITEM_FIELD__UART1_LSR_DR +// +// + + +// ---------------------------- Register Item Address: UART1_BDR -------------------------------- +// SVD Line: 18080 + +unsigned int UART1_BDR __AT (0x40004120); + + + +// -------------------------------- Field Item: UART1_BDR_BDR ----------------------------------- +// SVD Line: 18089 + +// SFDITEM_FIELD__UART1_BDR_BDR +// BDR +// +// [Bits 15..0] RW (@ 0x40004120) Baud Rate Divider Latch Value +// +// ( (unsigned short)((UART1_BDR >> 0) & 0xFFFF), ((UART1_BDR = (UART1_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART1_BDR ----------------------------------- +// SVD Line: 18080 + +// SFDITEM_REG__UART1_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40004120) UARTn Baud Rate Divisor Latch Register +// ( (unsigned int)((UART1_BDR >> 0) & 0xFFFFFFFF), ((UART1_BDR = (UART1_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__UART1_BDR_BDR +// +// + + +// ---------------------------- Register Item Address: UART1_BFR -------------------------------- +// SVD Line: 18097 + +unsigned int UART1_BFR __AT (0x40004124); + + + +// -------------------------------- Field Item: UART1_BFR_BFR ----------------------------------- +// SVD Line: 18106 + +// SFDITEM_FIELD__UART1_BFR_BFR +// BFR +// +// [Bits 7..0] RW (@ 0x40004124) Fraction Counter value +// +// ( (unsigned char)((UART1_BFR >> 0) & 0xFF), ((UART1_BFR = (UART1_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART1_BFR ----------------------------------- +// SVD Line: 18097 + +// SFDITEM_REG__UART1_BFR +// BFR +// +// [Bits 31..0] RW (@ 0x40004124) UARTn Baud Rate Fractional Counter Value +// ( (unsigned int)((UART1_BFR >> 0) & 0xFFFFFFFF), ((UART1_BFR = (UART1_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UART1_BFR_BFR +// +// + + +// ---------------------------- Register Item Address: UART1_IDTR ------------------------------- +// SVD Line: 18121 + +unsigned int UART1_IDTR __AT (0x40004130); + + + +// ------------------------------- Field Item: UART1_IDTR_SMS ----------------------------------- +// SVD Line: 18130 + +// SFDITEM_FIELD__UART1_IDTR_SMS +// SMS +// +// [Bit 7] RW (@ 0x40004130) Start Bit Multi Sampling Enable +// +// ( (unsigned int) UART1_IDTR ) +// SMS +// +// +// + + +// ------------------------------- Field Item: UART1_IDTR_DMS ----------------------------------- +// SVD Line: 18136 + +// SFDITEM_FIELD__UART1_IDTR_DMS +// DMS +// +// [Bit 6] RW (@ 0x40004130) Data Bit Multi Sampling Enable +// +// ( (unsigned int) UART1_IDTR ) +// DMS +// +// +// + + +// ----------------------------- Field Item: UART1_IDTR_WAITVAL --------------------------------- +// SVD Line: 18142 + +// SFDITEM_FIELD__UART1_IDTR_WAITVAL +// WAITVAL +// +// [Bits 2..0] RW (@ 0x40004130) Wait Time Value +// +// ( (unsigned char)((UART1_IDTR >> 0) & 0x7), ((UART1_IDTR = (UART1_IDTR & ~(0x7UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: UART1_IDTR ----------------------------------- +// SVD Line: 18121 + +// SFDITEM_REG__UART1_IDTR +// IDTR +// +// [Bits 31..0] RW (@ 0x40004130) UARTn Inter-frame Delay Time Register +// ( (unsigned int)((UART1_IDTR >> 0) & 0xFFFFFFFF), ((UART1_IDTR = (UART1_IDTR & ~(0xC7UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xC7) << 0 ) ) )) +// SFDITEM_FIELD__UART1_IDTR_SMS +// SFDITEM_FIELD__UART1_IDTR_DMS +// SFDITEM_FIELD__UART1_IDTR_WAITVAL +// +// + + +// --------------------------------- Peripheral View: UART1 ------------------------------------- +// SVD Line: 18171 + +// UART1 +// UART1 +// SFDITEM_REG__UART1_RBR +// SFDITEM_REG__UART1_THR +// SFDITEM_REG__UART1_IER +// SFDITEM_REG__UART1_IIR +// SFDITEM_REG__UART1_LCR +// SFDITEM_REG__UART1_DCR +// SFDITEM_REG__UART1_LSR +// SFDITEM_REG__UART1_BDR +// SFDITEM_REG__UART1_BFR +// SFDITEM_REG__UART1_IDTR +// +// + + +// ----------------------------- Register Item Address: I2Cn_CR --------------------------------- +// SVD Line: 18204 + +unsigned int I2Cn_CR __AT (0x56000000); + + + +// ------------------------------- Field Item: I2Cn_CR_I2CnEN ----------------------------------- +// SVD Line: 18213 + +// SFDITEM_FIELD__I2Cn_CR_I2CnEN +// I2CnEN +// +// [Bit 7] RW (@ 0x56000000) Activate I2Cn Block by supplying +// +// ( (unsigned int) I2Cn_CR ) +// I2CnEN +// +// +// + + +// ------------------------------ Field Item: I2Cn_CR_TXDLYENBn --------------------------------- +// SVD Line: 18219 + +// SFDITEM_FIELD__I2Cn_CR_TXDLYENBn +// TXDLYENBn +// +// [Bit 6] RW (@ 0x56000000) SDHR Register Control +// +// ( (unsigned int) I2Cn_CR ) +// TXDLYENBn +// +// +// + + +// ------------------------------- Field Item: I2Cn_CR_I2CnIEN ---------------------------------- +// SVD Line: 18225 + +// SFDITEM_FIELD__I2Cn_CR_I2CnIEN +// I2CnIEN +// +// [Bit 5] RW (@ 0x56000000) I2Cn Interrupt Enable +// +// ( (unsigned int) I2Cn_CR ) +// I2CnIEN +// +// +// + + +// ------------------------------ Field Item: I2Cn_CR_I2CnIFLAG --------------------------------- +// SVD Line: 18231 + +// SFDITEM_FIELD__I2Cn_CR_I2CnIFLAG +// I2CnIFLAG +// +// [Bit 4] RW (@ 0x56000000) I2Cn Interrupt Flag +// +// ( (unsigned int) I2Cn_CR ) +// I2CnIFLAG +// +// +// + + +// ------------------------------- Field Item: I2Cn_CR_ACKnEN ----------------------------------- +// SVD Line: 18237 + +// SFDITEM_FIELD__I2Cn_CR_ACKnEN +// ACKnEN +// +// [Bit 3] RW (@ 0x56000000) Controls ACK signal generation at ninth SCL period +// +// ( (unsigned int) I2Cn_CR ) +// ACKnEN +// +// +// + + +// ------------------------------ Field Item: I2Cn_CR_IMASTERn ---------------------------------- +// SVD Line: 18243 + +// SFDITEM_FIELD__I2Cn_CR_IMASTERn +// IMASTERn +// +// [Bit 2] RO (@ 0x56000000) Represent Operation Mode of I2Cn +// +// ( (unsigned int) I2Cn_CR ) +// IMASTERn +// +// +// + + +// ------------------------------- Field Item: I2Cn_CR_STOPCn ----------------------------------- +// SVD Line: 18249 + +// SFDITEM_FIELD__I2Cn_CR_STOPCn +// STOPCn +// +// [Bit 1] RW (@ 0x56000000) STOP Condition Generation when I2Cn is master +// +// ( (unsigned int) I2Cn_CR ) +// STOPCn +// +// +// + + +// ------------------------------- Field Item: I2Cn_CR_STARTCn ---------------------------------- +// SVD Line: 18255 + +// SFDITEM_FIELD__I2Cn_CR_STARTCn +// STARTCn +// +// [Bit 0] RW (@ 0x56000000) START Condition Generation when I2Cn is master +// +// ( (unsigned int) I2Cn_CR ) +// STARTCn +// +// +// + + +// --------------------------------- Register RTree: I2Cn_CR ------------------------------------ +// SVD Line: 18204 + +// SFDITEM_REG__I2Cn_CR +// CR +// +// [Bits 31..0] RW (@ 0x56000000) I2Cn Control Register +// ( (unsigned int)((I2Cn_CR >> 0) & 0xFFFFFFFF), ((I2Cn_CR = (I2Cn_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_CR_I2CnEN +// SFDITEM_FIELD__I2Cn_CR_TXDLYENBn +// SFDITEM_FIELD__I2Cn_CR_I2CnIEN +// SFDITEM_FIELD__I2Cn_CR_I2CnIFLAG +// SFDITEM_FIELD__I2Cn_CR_ACKnEN +// SFDITEM_FIELD__I2Cn_CR_IMASTERn +// SFDITEM_FIELD__I2Cn_CR_STOPCn +// SFDITEM_FIELD__I2Cn_CR_STARTCn +// +// + + +// ----------------------------- Register Item Address: I2Cn_ST --------------------------------- +// SVD Line: 18263 + +unsigned int I2Cn_ST __AT (0x56000004); + + + +// ------------------------------- Field Item: I2Cn_ST_GCALLn ----------------------------------- +// SVD Line: 18272 + +// SFDITEM_FIELD__I2Cn_ST_GCALLn +// GCALLn +// +// [Bit 7] RW (@ 0x56000004) This bit has different meaning depending on whether I2C is master or slave. When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. When I2C is a slave, this bit is used to indicate general call. +// +// ( (unsigned int) I2Cn_ST ) +// GCALLn +// +// +// + + +// -------------------------------- Field Item: I2Cn_ST_TENDn ----------------------------------- +// SVD Line: 18282 + +// SFDITEM_FIELD__I2Cn_ST_TENDn +// TENDn +// +// [Bit 6] RW (@ 0x56000004) This bit is set when 1-byte of data is transferred completely +// +// ( (unsigned int) I2Cn_ST ) +// TENDn +// +// +// + + +// ------------------------------- Field Item: I2Cn_ST_STOPDn ----------------------------------- +// SVD Line: 18288 + +// SFDITEM_FIELD__I2Cn_ST_STOPDn +// STOPDn +// +// [Bit 5] RW (@ 0x56000004) This bit is set when a STOP condition is detected +// +// ( (unsigned int) I2Cn_ST ) +// STOPDn +// +// +// + + +// -------------------------------- Field Item: I2Cn_ST_SSELn ----------------------------------- +// SVD Line: 18294 + +// SFDITEM_FIELD__I2Cn_ST_SSELn +// SSELn +// +// [Bit 4] RW (@ 0x56000004) This bit is set when I2C is addressed by other master +// +// ( (unsigned int) I2Cn_ST ) +// SSELn +// +// +// + + +// ------------------------------- Field Item: I2Cn_ST_MLOSTn ----------------------------------- +// SVD Line: 18300 + +// SFDITEM_FIELD__I2Cn_ST_MLOSTn +// MLOSTn +// +// [Bit 3] RW (@ 0x56000004) This bit represents the result of bus arbitration in master mode +// +// ( (unsigned int) I2Cn_ST ) +// MLOSTn +// +// +// + + +// -------------------------------- Field Item: I2Cn_ST_BUSYn ----------------------------------- +// SVD Line: 18306 + +// SFDITEM_FIELD__I2Cn_ST_BUSYn +// BUSYn +// +// [Bit 2] RW (@ 0x56000004) This bit reflects bus status +// +// ( (unsigned int) I2Cn_ST ) +// BUSYn +// +// +// + + +// ------------------------------- Field Item: I2Cn_ST_TMODEn ----------------------------------- +// SVD Line: 18312 + +// SFDITEM_FIELD__I2Cn_ST_TMODEn +// TMODEn +// +// [Bit 1] RO (@ 0x56000004) This bit is used to indicate whether I2C is transmitter or receiver +// +// ( (unsigned int) I2Cn_ST ) +// TMODEn +// +// +// + + +// ------------------------------- Field Item: I2Cn_ST_RXACKn ----------------------------------- +// SVD Line: 18318 + +// SFDITEM_FIELD__I2Cn_ST_RXACKn +// RXACKn +// +// [Bit 0] RW (@ 0x56000004) This bit shows the state of ACK signal +// +// ( (unsigned int) I2Cn_ST ) +// RXACKn +// +// +// + + +// --------------------------------- Register RTree: I2Cn_ST ------------------------------------ +// SVD Line: 18263 + +// SFDITEM_REG__I2Cn_ST +// ST +// +// [Bits 31..0] RW (@ 0x56000004) I2Cn Status Register +// ( (unsigned int)((I2Cn_ST >> 0) & 0xFFFFFFFF), ((I2Cn_ST = (I2Cn_ST & ~(0xFDUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFD) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_ST_GCALLn +// SFDITEM_FIELD__I2Cn_ST_TENDn +// SFDITEM_FIELD__I2Cn_ST_STOPDn +// SFDITEM_FIELD__I2Cn_ST_SSELn +// SFDITEM_FIELD__I2Cn_ST_MLOSTn +// SFDITEM_FIELD__I2Cn_ST_BUSYn +// SFDITEM_FIELD__I2Cn_ST_TMODEn +// SFDITEM_FIELD__I2Cn_ST_RXACKn +// +// + + +// ---------------------------- Register Item Address: I2Cn_SAR1 -------------------------------- +// SVD Line: 18326 + +unsigned int I2Cn_SAR1 __AT (0x56000008); + + + +// ------------------------------- Field Item: I2Cn_SAR1_SLAn ----------------------------------- +// SVD Line: 18335 + +// SFDITEM_FIELD__I2Cn_SAR1_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x56000008) These bits configure the slave address 1 in slave mode +// +// ( (unsigned char)((I2Cn_SAR1 >> 1) & 0x7F), ((I2Cn_SAR1 = (I2Cn_SAR1 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2Cn_SAR1_GCALLnEN --------------------------------- +// SVD Line: 18341 + +// SFDITEM_FIELD__I2Cn_SAR1_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x56000008) This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode +// +// ( (unsigned int) I2Cn_SAR1 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SAR1 ----------------------------------- +// SVD Line: 18326 + +// SFDITEM_REG__I2Cn_SAR1 +// SAR1 +// +// [Bits 31..0] RW (@ 0x56000008) I2Cn Slave Address Register 1 +// ( (unsigned int)((I2Cn_SAR1 >> 0) & 0xFFFFFFFF), ((I2Cn_SAR1 = (I2Cn_SAR1 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SAR1_SLAn +// SFDITEM_FIELD__I2Cn_SAR1_GCALLnEN +// +// + + +// ---------------------------- Register Item Address: I2Cn_SAR2 -------------------------------- +// SVD Line: 18349 + +unsigned int I2Cn_SAR2 __AT (0x5600000C); + + + +// ------------------------------- Field Item: I2Cn_SAR2_SLAn ----------------------------------- +// SVD Line: 18358 + +// SFDITEM_FIELD__I2Cn_SAR2_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x5600000C) These bits configure the slave address 2 in slave mode +// +// ( (unsigned char)((I2Cn_SAR2 >> 1) & 0x7F), ((I2Cn_SAR2 = (I2Cn_SAR2 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2Cn_SAR2_GCALLnEN --------------------------------- +// SVD Line: 18364 + +// SFDITEM_FIELD__I2Cn_SAR2_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x5600000C) This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode +// +// ( (unsigned int) I2Cn_SAR2 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SAR2 ----------------------------------- +// SVD Line: 18349 + +// SFDITEM_REG__I2Cn_SAR2 +// SAR2 +// +// [Bits 31..0] RW (@ 0x5600000C) I2Cn Slave Address Register 2 +// ( (unsigned int)((I2Cn_SAR2 >> 0) & 0xFFFFFFFF), ((I2Cn_SAR2 = (I2Cn_SAR2 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SAR2_SLAn +// SFDITEM_FIELD__I2Cn_SAR2_GCALLnEN +// +// + + +// ----------------------------- Register Item Address: I2Cn_DR --------------------------------- +// SVD Line: 18372 + +unsigned int I2Cn_DR __AT (0x56000010); + + + +// -------------------------------- Field Item: I2Cn_DR_DATA ------------------------------------ +// SVD Line: 18381 + +// SFDITEM_FIELD__I2Cn_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x56000010) The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((I2Cn_DR >> 0) & 0xFF), ((I2Cn_DR = (I2Cn_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: I2Cn_DR ------------------------------------ +// SVD Line: 18372 + +// SFDITEM_REG__I2Cn_DR +// DR +// +// [Bits 31..0] RW (@ 0x56000010) I2Cn Data Register +// ( (unsigned int)((I2Cn_DR >> 0) & 0xFFFFFFFF), ((I2Cn_DR = (I2Cn_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_DR_DATA +// +// + + +// ---------------------------- Register Item Address: I2Cn_SDHR -------------------------------- +// SVD Line: 18389 + +unsigned int I2Cn_SDHR __AT (0x56000014); + + + +// ------------------------------- Field Item: I2Cn_SDHR_HLDT ----------------------------------- +// SVD Line: 18398 + +// SFDITEM_FIELD__I2Cn_SDHR_HLDT +// HLDT +// +// [Bits 11..0] RW (@ 0x56000014) This register is used to control SDA output timing from the falling edge of SCL +// +// ( (unsigned short)((I2Cn_SDHR >> 0) & 0xFFF), ((I2Cn_SDHR = (I2Cn_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SDHR ----------------------------------- +// SVD Line: 18389 + +// SFDITEM_REG__I2Cn_SDHR +// SDHR +// +// [Bits 31..0] RW (@ 0x56000014) I2Cn SDA Hold Time Register +// ( (unsigned int)((I2Cn_SDHR >> 0) & 0xFFFFFFFF), ((I2Cn_SDHR = (I2Cn_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SDHR_HLDT +// +// + + +// ---------------------------- Register Item Address: I2Cn_SCLR -------------------------------- +// SVD Line: 18406 + +unsigned int I2Cn_SCLR __AT (0x56000018); + + + +// ------------------------------- Field Item: I2Cn_SCLR_SCLL ----------------------------------- +// SVD Line: 18415 + +// SFDITEM_FIELD__I2Cn_SCLR_SCLL +// SCLL +// +// [Bits 11..0] RW (@ 0x56000018) This register defines the low period of SCL in master mode +// +// ( (unsigned short)((I2Cn_SCLR >> 0) & 0xFFF), ((I2Cn_SCLR = (I2Cn_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SCLR ----------------------------------- +// SVD Line: 18406 + +// SFDITEM_REG__I2Cn_SCLR +// SCLR +// +// [Bits 31..0] RW (@ 0x56000018) I2Cn SCL Low Period Register +// ( (unsigned int)((I2Cn_SCLR >> 0) & 0xFFFFFFFF), ((I2Cn_SCLR = (I2Cn_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SCLR_SCLL +// +// + + +// ---------------------------- Register Item Address: I2Cn_SCHR -------------------------------- +// SVD Line: 18423 + +unsigned int I2Cn_SCHR __AT (0x5600001C); + + + +// ------------------------------- Field Item: I2Cn_SCHR_SCLH ----------------------------------- +// SVD Line: 18432 + +// SFDITEM_FIELD__I2Cn_SCHR_SCLH +// SCLH +// +// [Bits 11..0] RW (@ 0x5600001C) This register defines the high period of SCL in master mode +// +// ( (unsigned short)((I2Cn_SCHR >> 0) & 0xFFF), ((I2Cn_SCHR = (I2Cn_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SCHR ----------------------------------- +// SVD Line: 18423 + +// SFDITEM_REG__I2Cn_SCHR +// SCHR +// +// [Bits 31..0] RW (@ 0x5600001C) I2Cn SCL High Period Register +// ( (unsigned int)((I2Cn_SCHR >> 0) & 0xFFFFFFFF), ((I2Cn_SCHR = (I2Cn_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SCHR_SCLH +// +// + + +// ---------------------------------- Peripheral View: I2Cn ------------------------------------- +// SVD Line: 18190 + +// I2Cn +// I2Cn +// SFDITEM_REG__I2Cn_CR +// SFDITEM_REG__I2Cn_ST +// SFDITEM_REG__I2Cn_SAR1 +// SFDITEM_REG__I2Cn_SAR2 +// SFDITEM_REG__I2Cn_DR +// SFDITEM_REG__I2Cn_SDHR +// SFDITEM_REG__I2Cn_SCLR +// SFDITEM_REG__I2Cn_SCHR +// +// + + +// ----------------------------- Register Item Address: I2C0_CR --------------------------------- +// SVD Line: 18204 + +unsigned int I2C0_CR __AT (0x40004800); + + + +// ------------------------------- Field Item: I2C0_CR_I2CnEN ----------------------------------- +// SVD Line: 18213 + +// SFDITEM_FIELD__I2C0_CR_I2CnEN +// I2CnEN +// +// [Bit 7] RW (@ 0x40004800) Activate I2Cn Block by supplying +// +// ( (unsigned int) I2C0_CR ) +// I2CnEN +// +// +// + + +// ------------------------------ Field Item: I2C0_CR_TXDLYENBn --------------------------------- +// SVD Line: 18219 + +// SFDITEM_FIELD__I2C0_CR_TXDLYENBn +// TXDLYENBn +// +// [Bit 6] RW (@ 0x40004800) SDHR Register Control +// +// ( (unsigned int) I2C0_CR ) +// TXDLYENBn +// +// +// + + +// ------------------------------- Field Item: I2C0_CR_I2CnIEN ---------------------------------- +// SVD Line: 18225 + +// SFDITEM_FIELD__I2C0_CR_I2CnIEN +// I2CnIEN +// +// [Bit 5] RW (@ 0x40004800) I2Cn Interrupt Enable +// +// ( (unsigned int) I2C0_CR ) +// I2CnIEN +// +// +// + + +// ------------------------------ Field Item: I2C0_CR_I2CnIFLAG --------------------------------- +// SVD Line: 18231 + +// SFDITEM_FIELD__I2C0_CR_I2CnIFLAG +// I2CnIFLAG +// +// [Bit 4] RW (@ 0x40004800) I2Cn Interrupt Flag +// +// ( (unsigned int) I2C0_CR ) +// I2CnIFLAG +// +// +// + + +// ------------------------------- Field Item: I2C0_CR_ACKnEN ----------------------------------- +// SVD Line: 18237 + +// SFDITEM_FIELD__I2C0_CR_ACKnEN +// ACKnEN +// +// [Bit 3] RW (@ 0x40004800) Controls ACK signal generation at ninth SCL period +// +// ( (unsigned int) I2C0_CR ) +// ACKnEN +// +// +// + + +// ------------------------------ Field Item: I2C0_CR_IMASTERn ---------------------------------- +// SVD Line: 18243 + +// SFDITEM_FIELD__I2C0_CR_IMASTERn +// IMASTERn +// +// [Bit 2] RO (@ 0x40004800) Represent Operation Mode of I2Cn +// +// ( (unsigned int) I2C0_CR ) +// IMASTERn +// +// +// + + +// ------------------------------- Field Item: I2C0_CR_STOPCn ----------------------------------- +// SVD Line: 18249 + +// SFDITEM_FIELD__I2C0_CR_STOPCn +// STOPCn +// +// [Bit 1] RW (@ 0x40004800) STOP Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C0_CR ) +// STOPCn +// +// +// + + +// ------------------------------- Field Item: I2C0_CR_STARTCn ---------------------------------- +// SVD Line: 18255 + +// SFDITEM_FIELD__I2C0_CR_STARTCn +// STARTCn +// +// [Bit 0] RW (@ 0x40004800) START Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C0_CR ) +// STARTCn +// +// +// + + +// --------------------------------- Register RTree: I2C0_CR ------------------------------------ +// SVD Line: 18204 + +// SFDITEM_REG__I2C0_CR +// CR +// +// [Bits 31..0] RW (@ 0x40004800) I2Cn Control Register +// ( (unsigned int)((I2C0_CR >> 0) & 0xFFFFFFFF), ((I2C0_CR = (I2C0_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_CR_I2CnEN +// SFDITEM_FIELD__I2C0_CR_TXDLYENBn +// SFDITEM_FIELD__I2C0_CR_I2CnIEN +// SFDITEM_FIELD__I2C0_CR_I2CnIFLAG +// SFDITEM_FIELD__I2C0_CR_ACKnEN +// SFDITEM_FIELD__I2C0_CR_IMASTERn +// SFDITEM_FIELD__I2C0_CR_STOPCn +// SFDITEM_FIELD__I2C0_CR_STARTCn +// +// + + +// ----------------------------- Register Item Address: I2C0_ST --------------------------------- +// SVD Line: 18263 + +unsigned int I2C0_ST __AT (0x40004804); + + + +// ------------------------------- Field Item: I2C0_ST_GCALLn ----------------------------------- +// SVD Line: 18272 + +// SFDITEM_FIELD__I2C0_ST_GCALLn +// GCALLn +// +// [Bit 7] RW (@ 0x40004804) This bit has different meaning depending on whether I2C is master or slave. When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. When I2C is a slave, this bit is used to indicate general call. +// +// ( (unsigned int) I2C0_ST ) +// GCALLn +// +// +// + + +// -------------------------------- Field Item: I2C0_ST_TENDn ----------------------------------- +// SVD Line: 18282 + +// SFDITEM_FIELD__I2C0_ST_TENDn +// TENDn +// +// [Bit 6] RW (@ 0x40004804) This bit is set when 1-byte of data is transferred completely +// +// ( (unsigned int) I2C0_ST ) +// TENDn +// +// +// + + +// ------------------------------- Field Item: I2C0_ST_STOPDn ----------------------------------- +// SVD Line: 18288 + +// SFDITEM_FIELD__I2C0_ST_STOPDn +// STOPDn +// +// [Bit 5] RW (@ 0x40004804) This bit is set when a STOP condition is detected +// +// ( (unsigned int) I2C0_ST ) +// STOPDn +// +// +// + + +// -------------------------------- Field Item: I2C0_ST_SSELn ----------------------------------- +// SVD Line: 18294 + +// SFDITEM_FIELD__I2C0_ST_SSELn +// SSELn +// +// [Bit 4] RW (@ 0x40004804) This bit is set when I2C is addressed by other master +// +// ( (unsigned int) I2C0_ST ) +// SSELn +// +// +// + + +// ------------------------------- Field Item: I2C0_ST_MLOSTn ----------------------------------- +// SVD Line: 18300 + +// SFDITEM_FIELD__I2C0_ST_MLOSTn +// MLOSTn +// +// [Bit 3] RW (@ 0x40004804) This bit represents the result of bus arbitration in master mode +// +// ( (unsigned int) I2C0_ST ) +// MLOSTn +// +// +// + + +// -------------------------------- Field Item: I2C0_ST_BUSYn ----------------------------------- +// SVD Line: 18306 + +// SFDITEM_FIELD__I2C0_ST_BUSYn +// BUSYn +// +// [Bit 2] RW (@ 0x40004804) This bit reflects bus status +// +// ( (unsigned int) I2C0_ST ) +// BUSYn +// +// +// + + +// ------------------------------- Field Item: I2C0_ST_TMODEn ----------------------------------- +// SVD Line: 18312 + +// SFDITEM_FIELD__I2C0_ST_TMODEn +// TMODEn +// +// [Bit 1] RO (@ 0x40004804) This bit is used to indicate whether I2C is transmitter or receiver +// +// ( (unsigned int) I2C0_ST ) +// TMODEn +// +// +// + + +// ------------------------------- Field Item: I2C0_ST_RXACKn ----------------------------------- +// SVD Line: 18318 + +// SFDITEM_FIELD__I2C0_ST_RXACKn +// RXACKn +// +// [Bit 0] RW (@ 0x40004804) This bit shows the state of ACK signal +// +// ( (unsigned int) I2C0_ST ) +// RXACKn +// +// +// + + +// --------------------------------- Register RTree: I2C0_ST ------------------------------------ +// SVD Line: 18263 + +// SFDITEM_REG__I2C0_ST +// ST +// +// [Bits 31..0] RW (@ 0x40004804) I2Cn Status Register +// ( (unsigned int)((I2C0_ST >> 0) & 0xFFFFFFFF), ((I2C0_ST = (I2C0_ST & ~(0xFDUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFD) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_ST_GCALLn +// SFDITEM_FIELD__I2C0_ST_TENDn +// SFDITEM_FIELD__I2C0_ST_STOPDn +// SFDITEM_FIELD__I2C0_ST_SSELn +// SFDITEM_FIELD__I2C0_ST_MLOSTn +// SFDITEM_FIELD__I2C0_ST_BUSYn +// SFDITEM_FIELD__I2C0_ST_TMODEn +// SFDITEM_FIELD__I2C0_ST_RXACKn +// +// + + +// ---------------------------- Register Item Address: I2C0_SAR1 -------------------------------- +// SVD Line: 18326 + +unsigned int I2C0_SAR1 __AT (0x40004808); + + + +// ------------------------------- Field Item: I2C0_SAR1_SLAn ----------------------------------- +// SVD Line: 18335 + +// SFDITEM_FIELD__I2C0_SAR1_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x40004808) These bits configure the slave address 1 in slave mode +// +// ( (unsigned char)((I2C0_SAR1 >> 1) & 0x7F), ((I2C0_SAR1 = (I2C0_SAR1 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C0_SAR1_GCALLnEN --------------------------------- +// SVD Line: 18341 + +// SFDITEM_FIELD__I2C0_SAR1_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x40004808) This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode +// +// ( (unsigned int) I2C0_SAR1 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C0_SAR1 ----------------------------------- +// SVD Line: 18326 + +// SFDITEM_REG__I2C0_SAR1 +// SAR1 +// +// [Bits 31..0] RW (@ 0x40004808) I2Cn Slave Address Register 1 +// ( (unsigned int)((I2C0_SAR1 >> 0) & 0xFFFFFFFF), ((I2C0_SAR1 = (I2C0_SAR1 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SAR1_SLAn +// SFDITEM_FIELD__I2C0_SAR1_GCALLnEN +// +// + + +// ---------------------------- Register Item Address: I2C0_SAR2 -------------------------------- +// SVD Line: 18349 + +unsigned int I2C0_SAR2 __AT (0x4000480C); + + + +// ------------------------------- Field Item: I2C0_SAR2_SLAn ----------------------------------- +// SVD Line: 18358 + +// SFDITEM_FIELD__I2C0_SAR2_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x4000480C) These bits configure the slave address 2 in slave mode +// +// ( (unsigned char)((I2C0_SAR2 >> 1) & 0x7F), ((I2C0_SAR2 = (I2C0_SAR2 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C0_SAR2_GCALLnEN --------------------------------- +// SVD Line: 18364 + +// SFDITEM_FIELD__I2C0_SAR2_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x4000480C) This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode +// +// ( (unsigned int) I2C0_SAR2 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C0_SAR2 ----------------------------------- +// SVD Line: 18349 + +// SFDITEM_REG__I2C0_SAR2 +// SAR2 +// +// [Bits 31..0] RW (@ 0x4000480C) I2Cn Slave Address Register 2 +// ( (unsigned int)((I2C0_SAR2 >> 0) & 0xFFFFFFFF), ((I2C0_SAR2 = (I2C0_SAR2 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SAR2_SLAn +// SFDITEM_FIELD__I2C0_SAR2_GCALLnEN +// +// + + +// ----------------------------- Register Item Address: I2C0_DR --------------------------------- +// SVD Line: 18372 + +unsigned int I2C0_DR __AT (0x40004810); + + + +// -------------------------------- Field Item: I2C0_DR_DATA ------------------------------------ +// SVD Line: 18381 + +// SFDITEM_FIELD__I2C0_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40004810) The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((I2C0_DR >> 0) & 0xFF), ((I2C0_DR = (I2C0_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: I2C0_DR ------------------------------------ +// SVD Line: 18372 + +// SFDITEM_REG__I2C0_DR +// DR +// +// [Bits 31..0] RW (@ 0x40004810) I2Cn Data Register +// ( (unsigned int)((I2C0_DR >> 0) & 0xFFFFFFFF), ((I2C0_DR = (I2C0_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_DR_DATA +// +// + + +// ---------------------------- Register Item Address: I2C0_SDHR -------------------------------- +// SVD Line: 18389 + +unsigned int I2C0_SDHR __AT (0x40004814); + + + +// ------------------------------- Field Item: I2C0_SDHR_HLDT ----------------------------------- +// SVD Line: 18398 + +// SFDITEM_FIELD__I2C0_SDHR_HLDT +// HLDT +// +// [Bits 11..0] RW (@ 0x40004814) This register is used to control SDA output timing from the falling edge of SCL +// +// ( (unsigned short)((I2C0_SDHR >> 0) & 0xFFF), ((I2C0_SDHR = (I2C0_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C0_SDHR ----------------------------------- +// SVD Line: 18389 + +// SFDITEM_REG__I2C0_SDHR +// SDHR +// +// [Bits 31..0] RW (@ 0x40004814) I2Cn SDA Hold Time Register +// ( (unsigned int)((I2C0_SDHR >> 0) & 0xFFFFFFFF), ((I2C0_SDHR = (I2C0_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SDHR_HLDT +// +// + + +// ---------------------------- Register Item Address: I2C0_SCLR -------------------------------- +// SVD Line: 18406 + +unsigned int I2C0_SCLR __AT (0x40004818); + + + +// ------------------------------- Field Item: I2C0_SCLR_SCLL ----------------------------------- +// SVD Line: 18415 + +// SFDITEM_FIELD__I2C0_SCLR_SCLL +// SCLL +// +// [Bits 11..0] RW (@ 0x40004818) This register defines the low period of SCL in master mode +// +// ( (unsigned short)((I2C0_SCLR >> 0) & 0xFFF), ((I2C0_SCLR = (I2C0_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C0_SCLR ----------------------------------- +// SVD Line: 18406 + +// SFDITEM_REG__I2C0_SCLR +// SCLR +// +// [Bits 31..0] RW (@ 0x40004818) I2Cn SCL Low Period Register +// ( (unsigned int)((I2C0_SCLR >> 0) & 0xFFFFFFFF), ((I2C0_SCLR = (I2C0_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SCLR_SCLL +// +// + + +// ---------------------------- Register Item Address: I2C0_SCHR -------------------------------- +// SVD Line: 18423 + +unsigned int I2C0_SCHR __AT (0x4000481C); + + + +// ------------------------------- Field Item: I2C0_SCHR_SCLH ----------------------------------- +// SVD Line: 18432 + +// SFDITEM_FIELD__I2C0_SCHR_SCLH +// SCLH +// +// [Bits 11..0] RW (@ 0x4000481C) This register defines the high period of SCL in master mode +// +// ( (unsigned short)((I2C0_SCHR >> 0) & 0xFFF), ((I2C0_SCHR = (I2C0_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C0_SCHR ----------------------------------- +// SVD Line: 18423 + +// SFDITEM_REG__I2C0_SCHR +// SCHR +// +// [Bits 31..0] RW (@ 0x4000481C) I2Cn SCL High Period Register +// ( (unsigned int)((I2C0_SCHR >> 0) & 0xFFFFFFFF), ((I2C0_SCHR = (I2C0_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SCHR_SCLH +// +// + + +// ---------------------------------- Peripheral View: I2C0 ------------------------------------- +// SVD Line: 18442 + +// I2C0 +// I2C0 +// SFDITEM_REG__I2C0_CR +// SFDITEM_REG__I2C0_ST +// SFDITEM_REG__I2C0_SAR1 +// SFDITEM_REG__I2C0_SAR2 +// SFDITEM_REG__I2C0_DR +// SFDITEM_REG__I2C0_SDHR +// SFDITEM_REG__I2C0_SCLR +// SFDITEM_REG__I2C0_SCHR +// +// + + +// ----------------------------- Register Item Address: I2C1_CR --------------------------------- +// SVD Line: 18204 + +unsigned int I2C1_CR __AT (0x40004900); + + + +// ------------------------------- Field Item: I2C1_CR_I2CnEN ----------------------------------- +// SVD Line: 18213 + +// SFDITEM_FIELD__I2C1_CR_I2CnEN +// I2CnEN +// +// [Bit 7] RW (@ 0x40004900) Activate I2Cn Block by supplying +// +// ( (unsigned int) I2C1_CR ) +// I2CnEN +// +// +// + + +// ------------------------------ Field Item: I2C1_CR_TXDLYENBn --------------------------------- +// SVD Line: 18219 + +// SFDITEM_FIELD__I2C1_CR_TXDLYENBn +// TXDLYENBn +// +// [Bit 6] RW (@ 0x40004900) SDHR Register Control +// +// ( (unsigned int) I2C1_CR ) +// TXDLYENBn +// +// +// + + +// ------------------------------- Field Item: I2C1_CR_I2CnIEN ---------------------------------- +// SVD Line: 18225 + +// SFDITEM_FIELD__I2C1_CR_I2CnIEN +// I2CnIEN +// +// [Bit 5] RW (@ 0x40004900) I2Cn Interrupt Enable +// +// ( (unsigned int) I2C1_CR ) +// I2CnIEN +// +// +// + + +// ------------------------------ Field Item: I2C1_CR_I2CnIFLAG --------------------------------- +// SVD Line: 18231 + +// SFDITEM_FIELD__I2C1_CR_I2CnIFLAG +// I2CnIFLAG +// +// [Bit 4] RW (@ 0x40004900) I2Cn Interrupt Flag +// +// ( (unsigned int) I2C1_CR ) +// I2CnIFLAG +// +// +// + + +// ------------------------------- Field Item: I2C1_CR_ACKnEN ----------------------------------- +// SVD Line: 18237 + +// SFDITEM_FIELD__I2C1_CR_ACKnEN +// ACKnEN +// +// [Bit 3] RW (@ 0x40004900) Controls ACK signal generation at ninth SCL period +// +// ( (unsigned int) I2C1_CR ) +// ACKnEN +// +// +// + + +// ------------------------------ Field Item: I2C1_CR_IMASTERn ---------------------------------- +// SVD Line: 18243 + +// SFDITEM_FIELD__I2C1_CR_IMASTERn +// IMASTERn +// +// [Bit 2] RO (@ 0x40004900) Represent Operation Mode of I2Cn +// +// ( (unsigned int) I2C1_CR ) +// IMASTERn +// +// +// + + +// ------------------------------- Field Item: I2C1_CR_STOPCn ----------------------------------- +// SVD Line: 18249 + +// SFDITEM_FIELD__I2C1_CR_STOPCn +// STOPCn +// +// [Bit 1] RW (@ 0x40004900) STOP Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C1_CR ) +// STOPCn +// +// +// + + +// ------------------------------- Field Item: I2C1_CR_STARTCn ---------------------------------- +// SVD Line: 18255 + +// SFDITEM_FIELD__I2C1_CR_STARTCn +// STARTCn +// +// [Bit 0] RW (@ 0x40004900) START Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C1_CR ) +// STARTCn +// +// +// + + +// --------------------------------- Register RTree: I2C1_CR ------------------------------------ +// SVD Line: 18204 + +// SFDITEM_REG__I2C1_CR +// CR +// +// [Bits 31..0] RW (@ 0x40004900) I2Cn Control Register +// ( (unsigned int)((I2C1_CR >> 0) & 0xFFFFFFFF), ((I2C1_CR = (I2C1_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_CR_I2CnEN +// SFDITEM_FIELD__I2C1_CR_TXDLYENBn +// SFDITEM_FIELD__I2C1_CR_I2CnIEN +// SFDITEM_FIELD__I2C1_CR_I2CnIFLAG +// SFDITEM_FIELD__I2C1_CR_ACKnEN +// SFDITEM_FIELD__I2C1_CR_IMASTERn +// SFDITEM_FIELD__I2C1_CR_STOPCn +// SFDITEM_FIELD__I2C1_CR_STARTCn +// +// + + +// ----------------------------- Register Item Address: I2C1_ST --------------------------------- +// SVD Line: 18263 + +unsigned int I2C1_ST __AT (0x40004904); + + + +// ------------------------------- Field Item: I2C1_ST_GCALLn ----------------------------------- +// SVD Line: 18272 + +// SFDITEM_FIELD__I2C1_ST_GCALLn +// GCALLn +// +// [Bit 7] RW (@ 0x40004904) This bit has different meaning depending on whether I2C is master or slave. When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. When I2C is a slave, this bit is used to indicate general call. +// +// ( (unsigned int) I2C1_ST ) +// GCALLn +// +// +// + + +// -------------------------------- Field Item: I2C1_ST_TENDn ----------------------------------- +// SVD Line: 18282 + +// SFDITEM_FIELD__I2C1_ST_TENDn +// TENDn +// +// [Bit 6] RW (@ 0x40004904) This bit is set when 1-byte of data is transferred completely +// +// ( (unsigned int) I2C1_ST ) +// TENDn +// +// +// + + +// ------------------------------- Field Item: I2C1_ST_STOPDn ----------------------------------- +// SVD Line: 18288 + +// SFDITEM_FIELD__I2C1_ST_STOPDn +// STOPDn +// +// [Bit 5] RW (@ 0x40004904) This bit is set when a STOP condition is detected +// +// ( (unsigned int) I2C1_ST ) +// STOPDn +// +// +// + + +// -------------------------------- Field Item: I2C1_ST_SSELn ----------------------------------- +// SVD Line: 18294 + +// SFDITEM_FIELD__I2C1_ST_SSELn +// SSELn +// +// [Bit 4] RW (@ 0x40004904) This bit is set when I2C is addressed by other master +// +// ( (unsigned int) I2C1_ST ) +// SSELn +// +// +// + + +// ------------------------------- Field Item: I2C1_ST_MLOSTn ----------------------------------- +// SVD Line: 18300 + +// SFDITEM_FIELD__I2C1_ST_MLOSTn +// MLOSTn +// +// [Bit 3] RW (@ 0x40004904) This bit represents the result of bus arbitration in master mode +// +// ( (unsigned int) I2C1_ST ) +// MLOSTn +// +// +// + + +// -------------------------------- Field Item: I2C1_ST_BUSYn ----------------------------------- +// SVD Line: 18306 + +// SFDITEM_FIELD__I2C1_ST_BUSYn +// BUSYn +// +// [Bit 2] RW (@ 0x40004904) This bit reflects bus status +// +// ( (unsigned int) I2C1_ST ) +// BUSYn +// +// +// + + +// ------------------------------- Field Item: I2C1_ST_TMODEn ----------------------------------- +// SVD Line: 18312 + +// SFDITEM_FIELD__I2C1_ST_TMODEn +// TMODEn +// +// [Bit 1] RO (@ 0x40004904) This bit is used to indicate whether I2C is transmitter or receiver +// +// ( (unsigned int) I2C1_ST ) +// TMODEn +// +// +// + + +// ------------------------------- Field Item: I2C1_ST_RXACKn ----------------------------------- +// SVD Line: 18318 + +// SFDITEM_FIELD__I2C1_ST_RXACKn +// RXACKn +// +// [Bit 0] RW (@ 0x40004904) This bit shows the state of ACK signal +// +// ( (unsigned int) I2C1_ST ) +// RXACKn +// +// +// + + +// --------------------------------- Register RTree: I2C1_ST ------------------------------------ +// SVD Line: 18263 + +// SFDITEM_REG__I2C1_ST +// ST +// +// [Bits 31..0] RW (@ 0x40004904) I2Cn Status Register +// ( (unsigned int)((I2C1_ST >> 0) & 0xFFFFFFFF), ((I2C1_ST = (I2C1_ST & ~(0xFDUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFD) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_ST_GCALLn +// SFDITEM_FIELD__I2C1_ST_TENDn +// SFDITEM_FIELD__I2C1_ST_STOPDn +// SFDITEM_FIELD__I2C1_ST_SSELn +// SFDITEM_FIELD__I2C1_ST_MLOSTn +// SFDITEM_FIELD__I2C1_ST_BUSYn +// SFDITEM_FIELD__I2C1_ST_TMODEn +// SFDITEM_FIELD__I2C1_ST_RXACKn +// +// + + +// ---------------------------- Register Item Address: I2C1_SAR1 -------------------------------- +// SVD Line: 18326 + +unsigned int I2C1_SAR1 __AT (0x40004908); + + + +// ------------------------------- Field Item: I2C1_SAR1_SLAn ----------------------------------- +// SVD Line: 18335 + +// SFDITEM_FIELD__I2C1_SAR1_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x40004908) These bits configure the slave address 1 in slave mode +// +// ( (unsigned char)((I2C1_SAR1 >> 1) & 0x7F), ((I2C1_SAR1 = (I2C1_SAR1 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C1_SAR1_GCALLnEN --------------------------------- +// SVD Line: 18341 + +// SFDITEM_FIELD__I2C1_SAR1_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x40004908) This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode +// +// ( (unsigned int) I2C1_SAR1 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C1_SAR1 ----------------------------------- +// SVD Line: 18326 + +// SFDITEM_REG__I2C1_SAR1 +// SAR1 +// +// [Bits 31..0] RW (@ 0x40004908) I2Cn Slave Address Register 1 +// ( (unsigned int)((I2C1_SAR1 >> 0) & 0xFFFFFFFF), ((I2C1_SAR1 = (I2C1_SAR1 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SAR1_SLAn +// SFDITEM_FIELD__I2C1_SAR1_GCALLnEN +// +// + + +// ---------------------------- Register Item Address: I2C1_SAR2 -------------------------------- +// SVD Line: 18349 + +unsigned int I2C1_SAR2 __AT (0x4000490C); + + + +// ------------------------------- Field Item: I2C1_SAR2_SLAn ----------------------------------- +// SVD Line: 18358 + +// SFDITEM_FIELD__I2C1_SAR2_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x4000490C) These bits configure the slave address 2 in slave mode +// +// ( (unsigned char)((I2C1_SAR2 >> 1) & 0x7F), ((I2C1_SAR2 = (I2C1_SAR2 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C1_SAR2_GCALLnEN --------------------------------- +// SVD Line: 18364 + +// SFDITEM_FIELD__I2C1_SAR2_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x4000490C) This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode +// +// ( (unsigned int) I2C1_SAR2 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C1_SAR2 ----------------------------------- +// SVD Line: 18349 + +// SFDITEM_REG__I2C1_SAR2 +// SAR2 +// +// [Bits 31..0] RW (@ 0x4000490C) I2Cn Slave Address Register 2 +// ( (unsigned int)((I2C1_SAR2 >> 0) & 0xFFFFFFFF), ((I2C1_SAR2 = (I2C1_SAR2 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SAR2_SLAn +// SFDITEM_FIELD__I2C1_SAR2_GCALLnEN +// +// + + +// ----------------------------- Register Item Address: I2C1_DR --------------------------------- +// SVD Line: 18372 + +unsigned int I2C1_DR __AT (0x40004910); + + + +// -------------------------------- Field Item: I2C1_DR_DATA ------------------------------------ +// SVD Line: 18381 + +// SFDITEM_FIELD__I2C1_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40004910) The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((I2C1_DR >> 0) & 0xFF), ((I2C1_DR = (I2C1_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: I2C1_DR ------------------------------------ +// SVD Line: 18372 + +// SFDITEM_REG__I2C1_DR +// DR +// +// [Bits 31..0] RW (@ 0x40004910) I2Cn Data Register +// ( (unsigned int)((I2C1_DR >> 0) & 0xFFFFFFFF), ((I2C1_DR = (I2C1_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_DR_DATA +// +// + + +// ---------------------------- Register Item Address: I2C1_SDHR -------------------------------- +// SVD Line: 18389 + +unsigned int I2C1_SDHR __AT (0x40004914); + + + +// ------------------------------- Field Item: I2C1_SDHR_HLDT ----------------------------------- +// SVD Line: 18398 + +// SFDITEM_FIELD__I2C1_SDHR_HLDT +// HLDT +// +// [Bits 11..0] RW (@ 0x40004914) This register is used to control SDA output timing from the falling edge of SCL +// +// ( (unsigned short)((I2C1_SDHR >> 0) & 0xFFF), ((I2C1_SDHR = (I2C1_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C1_SDHR ----------------------------------- +// SVD Line: 18389 + +// SFDITEM_REG__I2C1_SDHR +// SDHR +// +// [Bits 31..0] RW (@ 0x40004914) I2Cn SDA Hold Time Register +// ( (unsigned int)((I2C1_SDHR >> 0) & 0xFFFFFFFF), ((I2C1_SDHR = (I2C1_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SDHR_HLDT +// +// + + +// ---------------------------- Register Item Address: I2C1_SCLR -------------------------------- +// SVD Line: 18406 + +unsigned int I2C1_SCLR __AT (0x40004918); + + + +// ------------------------------- Field Item: I2C1_SCLR_SCLL ----------------------------------- +// SVD Line: 18415 + +// SFDITEM_FIELD__I2C1_SCLR_SCLL +// SCLL +// +// [Bits 11..0] RW (@ 0x40004918) This register defines the low period of SCL in master mode +// +// ( (unsigned short)((I2C1_SCLR >> 0) & 0xFFF), ((I2C1_SCLR = (I2C1_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C1_SCLR ----------------------------------- +// SVD Line: 18406 + +// SFDITEM_REG__I2C1_SCLR +// SCLR +// +// [Bits 31..0] RW (@ 0x40004918) I2Cn SCL Low Period Register +// ( (unsigned int)((I2C1_SCLR >> 0) & 0xFFFFFFFF), ((I2C1_SCLR = (I2C1_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SCLR_SCLL +// +// + + +// ---------------------------- Register Item Address: I2C1_SCHR -------------------------------- +// SVD Line: 18423 + +unsigned int I2C1_SCHR __AT (0x4000491C); + + + +// ------------------------------- Field Item: I2C1_SCHR_SCLH ----------------------------------- +// SVD Line: 18432 + +// SFDITEM_FIELD__I2C1_SCHR_SCLH +// SCLH +// +// [Bits 11..0] RW (@ 0x4000491C) This register defines the high period of SCL in master mode +// +// ( (unsigned short)((I2C1_SCHR >> 0) & 0xFFF), ((I2C1_SCHR = (I2C1_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C1_SCHR ----------------------------------- +// SVD Line: 18423 + +// SFDITEM_REG__I2C1_SCHR +// SCHR +// +// [Bits 31..0] RW (@ 0x4000491C) I2Cn SCL High Period Register +// ( (unsigned int)((I2C1_SCHR >> 0) & 0xFFFFFFFF), ((I2C1_SCHR = (I2C1_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SCHR_SCLH +// +// + + +// ---------------------------------- Peripheral View: I2C1 ------------------------------------- +// SVD Line: 18461 + +// I2C1 +// I2C1 +// SFDITEM_REG__I2C1_CR +// SFDITEM_REG__I2C1_ST +// SFDITEM_REG__I2C1_SAR1 +// SFDITEM_REG__I2C1_SAR2 +// SFDITEM_REG__I2C1_DR +// SFDITEM_REG__I2C1_SDHR +// SFDITEM_REG__I2C1_SCLR +// SFDITEM_REG__I2C1_SCHR +// +// + + +// ----------------------------- Register Item Address: I2C2_CR --------------------------------- +// SVD Line: 18204 + +unsigned int I2C2_CR __AT (0x40004A00); + + + +// ------------------------------- Field Item: I2C2_CR_I2CnEN ----------------------------------- +// SVD Line: 18213 + +// SFDITEM_FIELD__I2C2_CR_I2CnEN +// I2CnEN +// +// [Bit 7] RW (@ 0x40004A00) Activate I2Cn Block by supplying +// +// ( (unsigned int) I2C2_CR ) +// I2CnEN +// +// +// + + +// ------------------------------ Field Item: I2C2_CR_TXDLYENBn --------------------------------- +// SVD Line: 18219 + +// SFDITEM_FIELD__I2C2_CR_TXDLYENBn +// TXDLYENBn +// +// [Bit 6] RW (@ 0x40004A00) SDHR Register Control +// +// ( (unsigned int) I2C2_CR ) +// TXDLYENBn +// +// +// + + +// ------------------------------- Field Item: I2C2_CR_I2CnIEN ---------------------------------- +// SVD Line: 18225 + +// SFDITEM_FIELD__I2C2_CR_I2CnIEN +// I2CnIEN +// +// [Bit 5] RW (@ 0x40004A00) I2Cn Interrupt Enable +// +// ( (unsigned int) I2C2_CR ) +// I2CnIEN +// +// +// + + +// ------------------------------ Field Item: I2C2_CR_I2CnIFLAG --------------------------------- +// SVD Line: 18231 + +// SFDITEM_FIELD__I2C2_CR_I2CnIFLAG +// I2CnIFLAG +// +// [Bit 4] RW (@ 0x40004A00) I2Cn Interrupt Flag +// +// ( (unsigned int) I2C2_CR ) +// I2CnIFLAG +// +// +// + + +// ------------------------------- Field Item: I2C2_CR_ACKnEN ----------------------------------- +// SVD Line: 18237 + +// SFDITEM_FIELD__I2C2_CR_ACKnEN +// ACKnEN +// +// [Bit 3] RW (@ 0x40004A00) Controls ACK signal generation at ninth SCL period +// +// ( (unsigned int) I2C2_CR ) +// ACKnEN +// +// +// + + +// ------------------------------ Field Item: I2C2_CR_IMASTERn ---------------------------------- +// SVD Line: 18243 + +// SFDITEM_FIELD__I2C2_CR_IMASTERn +// IMASTERn +// +// [Bit 2] RO (@ 0x40004A00) Represent Operation Mode of I2Cn +// +// ( (unsigned int) I2C2_CR ) +// IMASTERn +// +// +// + + +// ------------------------------- Field Item: I2C2_CR_STOPCn ----------------------------------- +// SVD Line: 18249 + +// SFDITEM_FIELD__I2C2_CR_STOPCn +// STOPCn +// +// [Bit 1] RW (@ 0x40004A00) STOP Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C2_CR ) +// STOPCn +// +// +// + + +// ------------------------------- Field Item: I2C2_CR_STARTCn ---------------------------------- +// SVD Line: 18255 + +// SFDITEM_FIELD__I2C2_CR_STARTCn +// STARTCn +// +// [Bit 0] RW (@ 0x40004A00) START Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C2_CR ) +// STARTCn +// +// +// + + +// --------------------------------- Register RTree: I2C2_CR ------------------------------------ +// SVD Line: 18204 + +// SFDITEM_REG__I2C2_CR +// CR +// +// [Bits 31..0] RW (@ 0x40004A00) I2Cn Control Register +// ( (unsigned int)((I2C2_CR >> 0) & 0xFFFFFFFF), ((I2C2_CR = (I2C2_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_CR_I2CnEN +// SFDITEM_FIELD__I2C2_CR_TXDLYENBn +// SFDITEM_FIELD__I2C2_CR_I2CnIEN +// SFDITEM_FIELD__I2C2_CR_I2CnIFLAG +// SFDITEM_FIELD__I2C2_CR_ACKnEN +// SFDITEM_FIELD__I2C2_CR_IMASTERn +// SFDITEM_FIELD__I2C2_CR_STOPCn +// SFDITEM_FIELD__I2C2_CR_STARTCn +// +// + + +// ----------------------------- Register Item Address: I2C2_ST --------------------------------- +// SVD Line: 18263 + +unsigned int I2C2_ST __AT (0x40004A04); + + + +// ------------------------------- Field Item: I2C2_ST_GCALLn ----------------------------------- +// SVD Line: 18272 + +// SFDITEM_FIELD__I2C2_ST_GCALLn +// GCALLn +// +// [Bit 7] RW (@ 0x40004A04) This bit has different meaning depending on whether I2C is master or slave. When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. When I2C is a slave, this bit is used to indicate general call. +// +// ( (unsigned int) I2C2_ST ) +// GCALLn +// +// +// + + +// -------------------------------- Field Item: I2C2_ST_TENDn ----------------------------------- +// SVD Line: 18282 + +// SFDITEM_FIELD__I2C2_ST_TENDn +// TENDn +// +// [Bit 6] RW (@ 0x40004A04) This bit is set when 1-byte of data is transferred completely +// +// ( (unsigned int) I2C2_ST ) +// TENDn +// +// +// + + +// ------------------------------- Field Item: I2C2_ST_STOPDn ----------------------------------- +// SVD Line: 18288 + +// SFDITEM_FIELD__I2C2_ST_STOPDn +// STOPDn +// +// [Bit 5] RW (@ 0x40004A04) This bit is set when a STOP condition is detected +// +// ( (unsigned int) I2C2_ST ) +// STOPDn +// +// +// + + +// -------------------------------- Field Item: I2C2_ST_SSELn ----------------------------------- +// SVD Line: 18294 + +// SFDITEM_FIELD__I2C2_ST_SSELn +// SSELn +// +// [Bit 4] RW (@ 0x40004A04) This bit is set when I2C is addressed by other master +// +// ( (unsigned int) I2C2_ST ) +// SSELn +// +// +// + + +// ------------------------------- Field Item: I2C2_ST_MLOSTn ----------------------------------- +// SVD Line: 18300 + +// SFDITEM_FIELD__I2C2_ST_MLOSTn +// MLOSTn +// +// [Bit 3] RW (@ 0x40004A04) This bit represents the result of bus arbitration in master mode +// +// ( (unsigned int) I2C2_ST ) +// MLOSTn +// +// +// + + +// -------------------------------- Field Item: I2C2_ST_BUSYn ----------------------------------- +// SVD Line: 18306 + +// SFDITEM_FIELD__I2C2_ST_BUSYn +// BUSYn +// +// [Bit 2] RW (@ 0x40004A04) This bit reflects bus status +// +// ( (unsigned int) I2C2_ST ) +// BUSYn +// +// +// + + +// ------------------------------- Field Item: I2C2_ST_TMODEn ----------------------------------- +// SVD Line: 18312 + +// SFDITEM_FIELD__I2C2_ST_TMODEn +// TMODEn +// +// [Bit 1] RO (@ 0x40004A04) This bit is used to indicate whether I2C is transmitter or receiver +// +// ( (unsigned int) I2C2_ST ) +// TMODEn +// +// +// + + +// ------------------------------- Field Item: I2C2_ST_RXACKn ----------------------------------- +// SVD Line: 18318 + +// SFDITEM_FIELD__I2C2_ST_RXACKn +// RXACKn +// +// [Bit 0] RW (@ 0x40004A04) This bit shows the state of ACK signal +// +// ( (unsigned int) I2C2_ST ) +// RXACKn +// +// +// + + +// --------------------------------- Register RTree: I2C2_ST ------------------------------------ +// SVD Line: 18263 + +// SFDITEM_REG__I2C2_ST +// ST +// +// [Bits 31..0] RW (@ 0x40004A04) I2Cn Status Register +// ( (unsigned int)((I2C2_ST >> 0) & 0xFFFFFFFF), ((I2C2_ST = (I2C2_ST & ~(0xFDUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFD) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_ST_GCALLn +// SFDITEM_FIELD__I2C2_ST_TENDn +// SFDITEM_FIELD__I2C2_ST_STOPDn +// SFDITEM_FIELD__I2C2_ST_SSELn +// SFDITEM_FIELD__I2C2_ST_MLOSTn +// SFDITEM_FIELD__I2C2_ST_BUSYn +// SFDITEM_FIELD__I2C2_ST_TMODEn +// SFDITEM_FIELD__I2C2_ST_RXACKn +// +// + + +// ---------------------------- Register Item Address: I2C2_SAR1 -------------------------------- +// SVD Line: 18326 + +unsigned int I2C2_SAR1 __AT (0x40004A08); + + + +// ------------------------------- Field Item: I2C2_SAR1_SLAn ----------------------------------- +// SVD Line: 18335 + +// SFDITEM_FIELD__I2C2_SAR1_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x40004A08) These bits configure the slave address 1 in slave mode +// +// ( (unsigned char)((I2C2_SAR1 >> 1) & 0x7F), ((I2C2_SAR1 = (I2C2_SAR1 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C2_SAR1_GCALLnEN --------------------------------- +// SVD Line: 18341 + +// SFDITEM_FIELD__I2C2_SAR1_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x40004A08) This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode +// +// ( (unsigned int) I2C2_SAR1 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C2_SAR1 ----------------------------------- +// SVD Line: 18326 + +// SFDITEM_REG__I2C2_SAR1 +// SAR1 +// +// [Bits 31..0] RW (@ 0x40004A08) I2Cn Slave Address Register 1 +// ( (unsigned int)((I2C2_SAR1 >> 0) & 0xFFFFFFFF), ((I2C2_SAR1 = (I2C2_SAR1 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SAR1_SLAn +// SFDITEM_FIELD__I2C2_SAR1_GCALLnEN +// +// + + +// ---------------------------- Register Item Address: I2C2_SAR2 -------------------------------- +// SVD Line: 18349 + +unsigned int I2C2_SAR2 __AT (0x40004A0C); + + + +// ------------------------------- Field Item: I2C2_SAR2_SLAn ----------------------------------- +// SVD Line: 18358 + +// SFDITEM_FIELD__I2C2_SAR2_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x40004A0C) These bits configure the slave address 2 in slave mode +// +// ( (unsigned char)((I2C2_SAR2 >> 1) & 0x7F), ((I2C2_SAR2 = (I2C2_SAR2 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C2_SAR2_GCALLnEN --------------------------------- +// SVD Line: 18364 + +// SFDITEM_FIELD__I2C2_SAR2_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x40004A0C) This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode +// +// ( (unsigned int) I2C2_SAR2 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C2_SAR2 ----------------------------------- +// SVD Line: 18349 + +// SFDITEM_REG__I2C2_SAR2 +// SAR2 +// +// [Bits 31..0] RW (@ 0x40004A0C) I2Cn Slave Address Register 2 +// ( (unsigned int)((I2C2_SAR2 >> 0) & 0xFFFFFFFF), ((I2C2_SAR2 = (I2C2_SAR2 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SAR2_SLAn +// SFDITEM_FIELD__I2C2_SAR2_GCALLnEN +// +// + + +// ----------------------------- Register Item Address: I2C2_DR --------------------------------- +// SVD Line: 18372 + +unsigned int I2C2_DR __AT (0x40004A10); + + + +// -------------------------------- Field Item: I2C2_DR_DATA ------------------------------------ +// SVD Line: 18381 + +// SFDITEM_FIELD__I2C2_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40004A10) The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((I2C2_DR >> 0) & 0xFF), ((I2C2_DR = (I2C2_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: I2C2_DR ------------------------------------ +// SVD Line: 18372 + +// SFDITEM_REG__I2C2_DR +// DR +// +// [Bits 31..0] RW (@ 0x40004A10) I2Cn Data Register +// ( (unsigned int)((I2C2_DR >> 0) & 0xFFFFFFFF), ((I2C2_DR = (I2C2_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_DR_DATA +// +// + + +// ---------------------------- Register Item Address: I2C2_SDHR -------------------------------- +// SVD Line: 18389 + +unsigned int I2C2_SDHR __AT (0x40004A14); + + + +// ------------------------------- Field Item: I2C2_SDHR_HLDT ----------------------------------- +// SVD Line: 18398 + +// SFDITEM_FIELD__I2C2_SDHR_HLDT +// HLDT +// +// [Bits 11..0] RW (@ 0x40004A14) This register is used to control SDA output timing from the falling edge of SCL +// +// ( (unsigned short)((I2C2_SDHR >> 0) & 0xFFF), ((I2C2_SDHR = (I2C2_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C2_SDHR ----------------------------------- +// SVD Line: 18389 + +// SFDITEM_REG__I2C2_SDHR +// SDHR +// +// [Bits 31..0] RW (@ 0x40004A14) I2Cn SDA Hold Time Register +// ( (unsigned int)((I2C2_SDHR >> 0) & 0xFFFFFFFF), ((I2C2_SDHR = (I2C2_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SDHR_HLDT +// +// + + +// ---------------------------- Register Item Address: I2C2_SCLR -------------------------------- +// SVD Line: 18406 + +unsigned int I2C2_SCLR __AT (0x40004A18); + + + +// ------------------------------- Field Item: I2C2_SCLR_SCLL ----------------------------------- +// SVD Line: 18415 + +// SFDITEM_FIELD__I2C2_SCLR_SCLL +// SCLL +// +// [Bits 11..0] RW (@ 0x40004A18) This register defines the low period of SCL in master mode +// +// ( (unsigned short)((I2C2_SCLR >> 0) & 0xFFF), ((I2C2_SCLR = (I2C2_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C2_SCLR ----------------------------------- +// SVD Line: 18406 + +// SFDITEM_REG__I2C2_SCLR +// SCLR +// +// [Bits 31..0] RW (@ 0x40004A18) I2Cn SCL Low Period Register +// ( (unsigned int)((I2C2_SCLR >> 0) & 0xFFFFFFFF), ((I2C2_SCLR = (I2C2_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SCLR_SCLL +// +// + + +// ---------------------------- Register Item Address: I2C2_SCHR -------------------------------- +// SVD Line: 18423 + +unsigned int I2C2_SCHR __AT (0x40004A1C); + + + +// ------------------------------- Field Item: I2C2_SCHR_SCLH ----------------------------------- +// SVD Line: 18432 + +// SFDITEM_FIELD__I2C2_SCHR_SCLH +// SCLH +// +// [Bits 11..0] RW (@ 0x40004A1C) This register defines the high period of SCL in master mode +// +// ( (unsigned short)((I2C2_SCHR >> 0) & 0xFFF), ((I2C2_SCHR = (I2C2_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C2_SCHR ----------------------------------- +// SVD Line: 18423 + +// SFDITEM_REG__I2C2_SCHR +// SCHR +// +// [Bits 31..0] RW (@ 0x40004A1C) I2Cn SCL High Period Register +// ( (unsigned int)((I2C2_SCHR >> 0) & 0xFFFFFFFF), ((I2C2_SCHR = (I2C2_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SCHR_SCLH +// +// + + +// ---------------------------------- Peripheral View: I2C2 ------------------------------------- +// SVD Line: 18480 + +// I2C2 +// I2C2 +// SFDITEM_REG__I2C2_CR +// SFDITEM_REG__I2C2_ST +// SFDITEM_REG__I2C2_SAR1 +// SFDITEM_REG__I2C2_SAR2 +// SFDITEM_REG__I2C2_DR +// SFDITEM_REG__I2C2_SDHR +// SFDITEM_REG__I2C2_SCLR +// SFDITEM_REG__I2C2_SCHR +// +// + + +// ------------------------------ Register Item Address: LCD_CR --------------------------------- +// SVD Line: 18513 + +unsigned int LCD_CR __AT (0x40005000); + + + +// -------------------------------- Field Item: LCD_CR_IRSEL ------------------------------------ +// SVD Line: 18522 + +// SFDITEM_FIELD__LCD_CR_IRSEL +// IRSEL +// +// [Bits 7..6] RW (@ 0x40005000) \nInternal LCD Bias Dividing Resistor Selection\n0 : RLCD3 = RLCD3: 105/105/80[kohm] @(1/2)/(1/3)/(1/4) bias\n1 : RLCD1 = RLCD1: 10/10/10[kohm] @(1/2)/(1/3)/(1/4) bias\n2 : RLCD2 = RLCD2: 66/66/50[kohm] @(1/2)/(1/3)/(1/4) bias\n3 : RLCD4 = RLCD4: 320/320/240[kohm] @(1/2)/(1/3)/(1/4) bias +// +// ( (unsigned int) LCD_CR ) +// IRSEL +// <0=> 0: RLCD3 = RLCD3: 105/105/80[kohm] @(1/2)/(1/3)/(1/4) bias +// <1=> 1: RLCD1 = RLCD1: 10/10/10[kohm] @(1/2)/(1/3)/(1/4) bias +// <2=> 2: RLCD2 = RLCD2: 66/66/50[kohm] @(1/2)/(1/3)/(1/4) bias +// <3=> 3: RLCD4 = RLCD4: 320/320/240[kohm] @(1/2)/(1/3)/(1/4) bias +// +// +// + + +// --------------------------------- Field Item: LCD_CR_DBS ------------------------------------- +// SVD Line: 18550 + +// SFDITEM_FIELD__LCD_CR_DBS +// DBS +// +// [Bits 5..3] RW (@ 0x40005000) \nLCD Duty and Bias Selection\n0 : Duty8Bias4 = 1/8 duty, 1/4 bias\n1 : Duty6Bias4 = 1/6 duty, 1/4 bias\n2 : Duty5Bias3 = 1/5 duty, 1/3 bias\n3 : Duty4Bias3 = 1/4 duty, 1/3 bias\n4 : Duty3Bias3 = 1/3 duty, 1/3 bias\n5 : Duty3Bias2 = 1/3 duty, 1/2 bias\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) LCD_CR ) +// DBS +// <0=> 0: Duty8Bias4 = 1/8 duty, 1/4 bias +// <1=> 1: Duty6Bias4 = 1/6 duty, 1/4 bias +// <2=> 2: Duty5Bias3 = 1/5 duty, 1/3 bias +// <3=> 3: Duty4Bias3 = 1/4 duty, 1/3 bias +// <4=> 4: Duty3Bias3 = 1/3 duty, 1/3 bias +// <5=> 5: Duty3Bias2 = 1/3 duty, 1/2 bias +// <6=> 6: +// <7=> 7: +// +// +// + + +// --------------------------------- Field Item: LCD_CR_LCLK ------------------------------------ +// SVD Line: 18588 + +// SFDITEM_FIELD__LCD_CR_LCLK +// LCLK +// +// [Bits 2..1] RW (@ 0x40005000) \nLCD Clock Selection (When fLCD = 32.768kHz)\n0 : fLCD256 = 128Hz\n1 : fLCD128 = 256Hz\n2 : fLCD64 = 512Hz\n3 : fLCD32 = 1024Hz +// +// ( (unsigned int) LCD_CR ) +// LCLK +// <0=> 0: fLCD256 = 128Hz +// <1=> 1: fLCD128 = 256Hz +// <2=> 2: fLCD64 = 512Hz +// <3=> 3: fLCD32 = 1024Hz +// +// +// + + +// --------------------------------- Field Item: LCD_CR_DISP ------------------------------------ +// SVD Line: 18616 + +// SFDITEM_FIELD__LCD_CR_DISP +// DISP +// +// [Bit 0] RW (@ 0x40005000) \nLCD Display Control\n0 : Off = Display off\n1 : On = Normal display on +// +// ( (unsigned int) LCD_CR ) +// DISP +// <0=> 0: Off = Display off +// <1=> 1: On = Normal display on +// +// +// + + +// --------------------------------- Register RTree: LCD_CR ------------------------------------- +// SVD Line: 18513 + +// SFDITEM_REG__LCD_CR +// CR +// +// [Bits 31..0] RW (@ 0x40005000) LCD Driver Control Register +// ( (unsigned int)((LCD_CR >> 0) & 0xFFFFFFFF), ((LCD_CR = (LCD_CR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__LCD_CR_IRSEL +// SFDITEM_FIELD__LCD_CR_DBS +// SFDITEM_FIELD__LCD_CR_LCLK +// SFDITEM_FIELD__LCD_CR_DISP +// +// + + +// ----------------------------- Register Item Address: LCD_BCCR -------------------------------- +// SVD Line: 18636 + +unsigned int LCD_BCCR __AT (0x40005004); + + + +// ------------------------------- Field Item: LCD_BCCR_LCDABC ---------------------------------- +// SVD Line: 18645 + +// SFDITEM_FIELD__LCD_BCCR_LCDABC +// LCDABC +// +// [Bit 12] RW (@ 0x40005004) \nLCD Automatic Bias Control\n0 : Off = LCD automatic bias is off\n1 : On = LCD automatic bias is on +// +// ( (unsigned int) LCD_BCCR ) +// LCDABC +// <0=> 0: Off = LCD automatic bias is off +// <1=> 1: On = LCD automatic bias is on +// +// +// + + +// ------------------------------- Field Item: LCD_BCCR_BMSEL ----------------------------------- +// SVD Line: 18663 + +// SFDITEM_FIELD__LCD_BCCR_BMSEL +// BMSEL +// +// [Bits 10..8] RW (@ 0x40005004) \n'Bias Mode A' Time Selection\n0 : BMA1Clk = 'Bias Mode A' for 1-clock of fLCD\n1 : BMA2Clk = 'Bias Mode A' for 2-clock of fLCD\n2 : BMA3Clk = 'Bias Mode A' for 3-clock of fLCD\n3 : BMA4Clk = 'Bias Mode A' for 4-clock of fLCD\n4 : BMA5Clk = 'Bias Mode A' for 5-clock of fLCD\n5 : BMA6Clk = 'Bias Mode A' for 6-clock of fLCD\n6 : BMA7Clk = 'Bias Mode A' for 7-clock of fLCD\n7 : BMA8Clk = 'Bias Mode A' for 8-clock of fLCD +// +// ( (unsigned int) LCD_BCCR ) +// BMSEL +// <0=> 0: BMA1Clk = 'Bias Mode A' for 1-clock of fLCD +// <1=> 1: BMA2Clk = 'Bias Mode A' for 2-clock of fLCD +// <2=> 2: BMA3Clk = 'Bias Mode A' for 3-clock of fLCD +// <3=> 3: BMA4Clk = 'Bias Mode A' for 4-clock of fLCD +// <4=> 4: BMA5Clk = 'Bias Mode A' for 5-clock of fLCD +// <5=> 5: BMA6Clk = 'Bias Mode A' for 6-clock of fLCD +// <6=> 6: BMA7Clk = 'Bias Mode A' for 7-clock of fLCD +// <7=> 7: BMA8Clk = 'Bias Mode A' for 8-clock of fLCD +// +// +// + + +// ------------------------------- Field Item: LCD_BCCR_LCTEN ----------------------------------- +// SVD Line: 18711 + +// SFDITEM_FIELD__LCD_BCCR_LCTEN +// LCTEN +// +// [Bit 5] RW (@ 0x40005004) \nLCD Driver Contrast Control\n0 : Disable = Disable LCD driver contrast.\n1 : Enable = Enable LCD driver contrast. +// +// ( (unsigned int) LCD_BCCR ) +// LCTEN +// <0=> 0: Disable = Disable LCD driver contrast. +// <1=> 1: Enable = Enable LCD driver contrast. +// +// +// + + +// -------------------------------- Field Item: LCD_BCCR_VLCD ----------------------------------- +// SVD Line: 18729 + +// SFDITEM_FIELD__LCD_BCCR_VLCD +// VLCD +// +// [Bits 3..0] RW (@ 0x40005004) \nVLC0 Voltage Control when the contrast is enabled\n0 : Step0 = VDD x 16/31 Step\n1 : Step1 = VDD x 16/30 Step\n2 : Step2 = VDD x 16/29 Step\n3 : Step3 = VDD x 16/28 Step\n4 : Step4 = VDD x 16/27 Step\n5 : Step5 = VDD x 16/26 Step\n6 : Step6 = VDD x 16/25 Step\n7 : Step7 = VDD x 16/24 Step\n8 : Step8 = VDD x 16/23 Step\n9 : Step9 = VDD x 16/22 Step\n10 : Step10 = VDD x 16/21 Step\n11 : Step11 = VDD x 16/20 Step\n12 : Step12 = VDD x 16/19 Step\n13 : Step13 = VDD x 16/18 Step\n14 : Step14 = VDD x 16/17 Step\n15 : Step15 = VDD x 16/16 Step +// +// ( (unsigned int) LCD_BCCR ) +// VLCD +// <0=> 0: Step0 = VDD x 16/31 Step +// <1=> 1: Step1 = VDD x 16/30 Step +// <2=> 2: Step2 = VDD x 16/29 Step +// <3=> 3: Step3 = VDD x 16/28 Step +// <4=> 4: Step4 = VDD x 16/27 Step +// <5=> 5: Step5 = VDD x 16/26 Step +// <6=> 6: Step6 = VDD x 16/25 Step +// <7=> 7: Step7 = VDD x 16/24 Step +// <8=> 8: Step8 = VDD x 16/23 Step +// <9=> 9: Step9 = VDD x 16/22 Step +// <10=> 10: Step10 = VDD x 16/21 Step +// <11=> 11: Step11 = VDD x 16/20 Step +// <12=> 12: Step12 = VDD x 16/19 Step +// <13=> 13: Step13 = VDD x 16/18 Step +// <14=> 14: Step14 = VDD x 16/17 Step +// <15=> 15: Step15 = VDD x 16/16 Step +// +// +// + + +// -------------------------------- Register RTree: LCD_BCCR ------------------------------------ +// SVD Line: 18636 + +// SFDITEM_REG__LCD_BCCR +// BCCR +// +// [Bits 31..0] RW (@ 0x40005004) LCD Automatic Bias and Contrast Control Register +// ( (unsigned int)((LCD_BCCR >> 0) & 0xFFFFFFFF), ((LCD_BCCR = (LCD_BCCR & ~(0x172FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x172F) << 0 ) ) )) +// SFDITEM_FIELD__LCD_BCCR_LCDABC +// SFDITEM_FIELD__LCD_BCCR_BMSEL +// SFDITEM_FIELD__LCD_BCCR_LCTEN +// SFDITEM_FIELD__LCD_BCCR_VLCD +// +// + + +// ----------------------------- Register Item Address: LCD_DR0 --------------------------------- +// SVD Line: 18819 + +unsigned char LCD_DR0 __AT (0x40005010); + + + +// --------------------------------- Register Item: LCD_DR0 ------------------------------------- +// SVD Line: 18819 + +// SFDITEM_REG__LCD_DR0 +// DR0 +// [Bits 7..0] RW (@ 0x40005010) LCD Display Data Register 0 +// +// ( (unsigned char)((LCD_DR0 >> 0) & 0xFFFFFFFF), ((LCD_DR0 = (LCD_DR0 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR1 --------------------------------- +// SVD Line: 18827 + +unsigned char LCD_DR1 __AT (0x40005011); + + + +// --------------------------------- Register Item: LCD_DR1 ------------------------------------- +// SVD Line: 18827 + +// SFDITEM_REG__LCD_DR1 +// DR1 +// [Bits 7..0] RW (@ 0x40005011) LCD Display Data Register 1 +// +// ( (unsigned char)((LCD_DR1 >> 0) & 0xFFFFFFFF), ((LCD_DR1 = (LCD_DR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR2 --------------------------------- +// SVD Line: 18835 + +unsigned char LCD_DR2 __AT (0x40005012); + + + +// --------------------------------- Register Item: LCD_DR2 ------------------------------------- +// SVD Line: 18835 + +// SFDITEM_REG__LCD_DR2 +// DR2 +// [Bits 7..0] RW (@ 0x40005012) LCD Display Data Register 2 +// +// ( (unsigned char)((LCD_DR2 >> 0) & 0xFFFFFFFF), ((LCD_DR2 = (LCD_DR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR3 --------------------------------- +// SVD Line: 18843 + +unsigned char LCD_DR3 __AT (0x40005013); + + + +// --------------------------------- Register Item: LCD_DR3 ------------------------------------- +// SVD Line: 18843 + +// SFDITEM_REG__LCD_DR3 +// DR3 +// [Bits 7..0] RW (@ 0x40005013) LCD Display Data Register 3 +// +// ( (unsigned char)((LCD_DR3 >> 0) & 0xFFFFFFFF), ((LCD_DR3 = (LCD_DR3 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR4 --------------------------------- +// SVD Line: 18851 + +unsigned char LCD_DR4 __AT (0x40005014); + + + +// --------------------------------- Register Item: LCD_DR4 ------------------------------------- +// SVD Line: 18851 + +// SFDITEM_REG__LCD_DR4 +// DR4 +// [Bits 7..0] RW (@ 0x40005014) LCD Display Data Register 4 +// +// ( (unsigned char)((LCD_DR4 >> 0) & 0xFFFFFFFF), ((LCD_DR4 = (LCD_DR4 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR5 --------------------------------- +// SVD Line: 18859 + +unsigned char LCD_DR5 __AT (0x40005015); + + + +// --------------------------------- Register Item: LCD_DR5 ------------------------------------- +// SVD Line: 18859 + +// SFDITEM_REG__LCD_DR5 +// DR5 +// [Bits 7..0] RW (@ 0x40005015) LCD Display Data Register 5 +// +// ( (unsigned char)((LCD_DR5 >> 0) & 0xFFFFFFFF), ((LCD_DR5 = (LCD_DR5 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR6 --------------------------------- +// SVD Line: 18867 + +unsigned char LCD_DR6 __AT (0x40005016); + + + +// --------------------------------- Register Item: LCD_DR6 ------------------------------------- +// SVD Line: 18867 + +// SFDITEM_REG__LCD_DR6 +// DR6 +// [Bits 7..0] RW (@ 0x40005016) LCD Display Data Register 6 +// +// ( (unsigned char)((LCD_DR6 >> 0) & 0xFFFFFFFF), ((LCD_DR6 = (LCD_DR6 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR7 --------------------------------- +// SVD Line: 18875 + +unsigned char LCD_DR7 __AT (0x40005017); + + + +// --------------------------------- Register Item: LCD_DR7 ------------------------------------- +// SVD Line: 18875 + +// SFDITEM_REG__LCD_DR7 +// DR7 +// [Bits 7..0] RW (@ 0x40005017) LCD Display Data Register 7 +// +// ( (unsigned char)((LCD_DR7 >> 0) & 0xFFFFFFFF), ((LCD_DR7 = (LCD_DR7 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR8 --------------------------------- +// SVD Line: 18883 + +unsigned char LCD_DR8 __AT (0x40005018); + + + +// --------------------------------- Register Item: LCD_DR8 ------------------------------------- +// SVD Line: 18883 + +// SFDITEM_REG__LCD_DR8 +// DR8 +// [Bits 7..0] RW (@ 0x40005018) LCD Display Data Register 8 +// +// ( (unsigned char)((LCD_DR8 >> 0) & 0xFFFFFFFF), ((LCD_DR8 = (LCD_DR8 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR9 --------------------------------- +// SVD Line: 18891 + +unsigned char LCD_DR9 __AT (0x40005019); + + + +// --------------------------------- Register Item: LCD_DR9 ------------------------------------- +// SVD Line: 18891 + +// SFDITEM_REG__LCD_DR9 +// DR9 +// [Bits 7..0] RW (@ 0x40005019) LCD Display Data Register 9 +// +// ( (unsigned char)((LCD_DR9 >> 0) & 0xFFFFFFFF), ((LCD_DR9 = (LCD_DR9 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR10 -------------------------------- +// SVD Line: 18899 + +unsigned char LCD_DR10 __AT (0x4000501A); + + + +// --------------------------------- Register Item: LCD_DR10 ------------------------------------ +// SVD Line: 18899 + +// SFDITEM_REG__LCD_DR10 +// DR10 +// [Bits 7..0] RW (@ 0x4000501A) LCD Display Data Register 10 +// +// ( (unsigned char)((LCD_DR10 >> 0) & 0xFFFFFFFF), ((LCD_DR10 = (LCD_DR10 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR11 -------------------------------- +// SVD Line: 18907 + +unsigned char LCD_DR11 __AT (0x4000501B); + + + +// --------------------------------- Register Item: LCD_DR11 ------------------------------------ +// SVD Line: 18907 + +// SFDITEM_REG__LCD_DR11 +// DR11 +// [Bits 7..0] RW (@ 0x4000501B) LCD Display Data Register 11 +// +// ( (unsigned char)((LCD_DR11 >> 0) & 0xFFFFFFFF), ((LCD_DR11 = (LCD_DR11 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR12 -------------------------------- +// SVD Line: 18915 + +unsigned char LCD_DR12 __AT (0x4000501C); + + + +// --------------------------------- Register Item: LCD_DR12 ------------------------------------ +// SVD Line: 18915 + +// SFDITEM_REG__LCD_DR12 +// DR12 +// [Bits 7..0] RW (@ 0x4000501C) LCD Display Data Register 12 +// +// ( (unsigned char)((LCD_DR12 >> 0) & 0xFFFFFFFF), ((LCD_DR12 = (LCD_DR12 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR13 -------------------------------- +// SVD Line: 18923 + +unsigned char LCD_DR13 __AT (0x4000501D); + + + +// --------------------------------- Register Item: LCD_DR13 ------------------------------------ +// SVD Line: 18923 + +// SFDITEM_REG__LCD_DR13 +// DR13 +// [Bits 7..0] RW (@ 0x4000501D) LCD Display Data Register 13 +// +// ( (unsigned char)((LCD_DR13 >> 0) & 0xFFFFFFFF), ((LCD_DR13 = (LCD_DR13 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR14 -------------------------------- +// SVD Line: 18931 + +unsigned char LCD_DR14 __AT (0x4000501E); + + + +// --------------------------------- Register Item: LCD_DR14 ------------------------------------ +// SVD Line: 18931 + +// SFDITEM_REG__LCD_DR14 +// DR14 +// [Bits 7..0] RW (@ 0x4000501E) LCD Display Data Register 14 +// +// ( (unsigned char)((LCD_DR14 >> 0) & 0xFFFFFFFF), ((LCD_DR14 = (LCD_DR14 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR15 -------------------------------- +// SVD Line: 18939 + +unsigned char LCD_DR15 __AT (0x4000501F); + + + +// --------------------------------- Register Item: LCD_DR15 ------------------------------------ +// SVD Line: 18939 + +// SFDITEM_REG__LCD_DR15 +// DR15 +// [Bits 7..0] RW (@ 0x4000501F) LCD Display Data Register 15 +// +// ( (unsigned char)((LCD_DR15 >> 0) & 0xFFFFFFFF), ((LCD_DR15 = (LCD_DR15 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR16 -------------------------------- +// SVD Line: 18947 + +unsigned char LCD_DR16 __AT (0x40005020); + + + +// --------------------------------- Register Item: LCD_DR16 ------------------------------------ +// SVD Line: 18947 + +// SFDITEM_REG__LCD_DR16 +// DR16 +// [Bits 7..0] RW (@ 0x40005020) LCD Display Data Register 16 +// +// ( (unsigned char)((LCD_DR16 >> 0) & 0xFFFFFFFF), ((LCD_DR16 = (LCD_DR16 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR17 -------------------------------- +// SVD Line: 18955 + +unsigned char LCD_DR17 __AT (0x40005021); + + + +// --------------------------------- Register Item: LCD_DR17 ------------------------------------ +// SVD Line: 18955 + +// SFDITEM_REG__LCD_DR17 +// DR17 +// [Bits 7..0] RW (@ 0x40005021) LCD Display Data Register 17 +// +// ( (unsigned char)((LCD_DR17 >> 0) & 0xFFFFFFFF), ((LCD_DR17 = (LCD_DR17 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR18 -------------------------------- +// SVD Line: 18963 + +unsigned char LCD_DR18 __AT (0x40005022); + + + +// --------------------------------- Register Item: LCD_DR18 ------------------------------------ +// SVD Line: 18963 + +// SFDITEM_REG__LCD_DR18 +// DR18 +// [Bits 7..0] RW (@ 0x40005022) LCD Display Data Register 18 +// +// ( (unsigned char)((LCD_DR18 >> 0) & 0xFFFFFFFF), ((LCD_DR18 = (LCD_DR18 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR19 -------------------------------- +// SVD Line: 18971 + +unsigned char LCD_DR19 __AT (0x40005023); + + + +// --------------------------------- Register Item: LCD_DR19 ------------------------------------ +// SVD Line: 18971 + +// SFDITEM_REG__LCD_DR19 +// DR19 +// [Bits 7..0] RW (@ 0x40005023) LCD Display Data Register 19 +// +// ( (unsigned char)((LCD_DR19 >> 0) & 0xFFFFFFFF), ((LCD_DR19 = (LCD_DR19 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR20 -------------------------------- +// SVD Line: 18979 + +unsigned char LCD_DR20 __AT (0x40005024); + + + +// --------------------------------- Register Item: LCD_DR20 ------------------------------------ +// SVD Line: 18979 + +// SFDITEM_REG__LCD_DR20 +// DR20 +// [Bits 7..0] RW (@ 0x40005024) LCD Display Data Register 20 +// +// ( (unsigned char)((LCD_DR20 >> 0) & 0xFFFFFFFF), ((LCD_DR20 = (LCD_DR20 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR21 -------------------------------- +// SVD Line: 18987 + +unsigned char LCD_DR21 __AT (0x40005025); + + + +// --------------------------------- Register Item: LCD_DR21 ------------------------------------ +// SVD Line: 18987 + +// SFDITEM_REG__LCD_DR21 +// DR21 +// [Bits 7..0] RW (@ 0x40005025) LCD Display Data Register 21 +// +// ( (unsigned char)((LCD_DR21 >> 0) & 0xFFFFFFFF), ((LCD_DR21 = (LCD_DR21 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR22 -------------------------------- +// SVD Line: 18995 + +unsigned char LCD_DR22 __AT (0x40005026); + + + +// --------------------------------- Register Item: LCD_DR22 ------------------------------------ +// SVD Line: 18995 + +// SFDITEM_REG__LCD_DR22 +// DR22 +// [Bits 7..0] RW (@ 0x40005026) LCD Display Data Register 22 +// +// ( (unsigned char)((LCD_DR22 >> 0) & 0xFFFFFFFF), ((LCD_DR22 = (LCD_DR22 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR23 -------------------------------- +// SVD Line: 19003 + +unsigned char LCD_DR23 __AT (0x40005027); + + + +// --------------------------------- Register Item: LCD_DR23 ------------------------------------ +// SVD Line: 19003 + +// SFDITEM_REG__LCD_DR23 +// DR23 +// [Bits 7..0] RW (@ 0x40005027) LCD Display Data Register 23 +// +// ( (unsigned char)((LCD_DR23 >> 0) & 0xFFFFFFFF), ((LCD_DR23 = (LCD_DR23 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR24 -------------------------------- +// SVD Line: 19011 + +unsigned char LCD_DR24 __AT (0x40005028); + + + +// --------------------------------- Register Item: LCD_DR24 ------------------------------------ +// SVD Line: 19011 + +// SFDITEM_REG__LCD_DR24 +// DR24 +// [Bits 7..0] RW (@ 0x40005028) LCD Display Data Register 24 +// +// ( (unsigned char)((LCD_DR24 >> 0) & 0xFFFFFFFF), ((LCD_DR24 = (LCD_DR24 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR25 -------------------------------- +// SVD Line: 19019 + +unsigned char LCD_DR25 __AT (0x40005029); + + + +// --------------------------------- Register Item: LCD_DR25 ------------------------------------ +// SVD Line: 19019 + +// SFDITEM_REG__LCD_DR25 +// DR25 +// [Bits 7..0] RW (@ 0x40005029) LCD Display Data Register 25 +// +// ( (unsigned char)((LCD_DR25 >> 0) & 0xFFFFFFFF), ((LCD_DR25 = (LCD_DR25 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR26 -------------------------------- +// SVD Line: 19027 + +unsigned char LCD_DR26 __AT (0x4000502A); + + + +// --------------------------------- Register Item: LCD_DR26 ------------------------------------ +// SVD Line: 19027 + +// SFDITEM_REG__LCD_DR26 +// DR26 +// [Bits 7..0] RW (@ 0x4000502A) LCD Display Data Register 26 +// +// ( (unsigned char)((LCD_DR26 >> 0) & 0xFFFFFFFF), ((LCD_DR26 = (LCD_DR26 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR27 -------------------------------- +// SVD Line: 19035 + +unsigned char LCD_DR27 __AT (0x4000502B); + + + +// --------------------------------- Register Item: LCD_DR27 ------------------------------------ +// SVD Line: 19035 + +// SFDITEM_REG__LCD_DR27 +// DR27 +// [Bits 7..0] RW (@ 0x4000502B) LCD Display Data Register 27 +// +// ( (unsigned char)((LCD_DR27 >> 0) & 0xFFFFFFFF), ((LCD_DR27 = (LCD_DR27 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR28 -------------------------------- +// SVD Line: 19043 + +unsigned char LCD_DR28 __AT (0x4000502C); + + + +// --------------------------------- Register Item: LCD_DR28 ------------------------------------ +// SVD Line: 19043 + +// SFDITEM_REG__LCD_DR28 +// DR28 +// [Bits 7..0] RW (@ 0x4000502C) LCD Display Data Register 28 +// +// ( (unsigned char)((LCD_DR28 >> 0) & 0xFFFFFFFF), ((LCD_DR28 = (LCD_DR28 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR29 -------------------------------- +// SVD Line: 19051 + +unsigned char LCD_DR29 __AT (0x4000502D); + + + +// --------------------------------- Register Item: LCD_DR29 ------------------------------------ +// SVD Line: 19051 + +// SFDITEM_REG__LCD_DR29 +// DR29 +// [Bits 7..0] RW (@ 0x4000502D) LCD Display Data Register 29 +// +// ( (unsigned char)((LCD_DR29 >> 0) & 0xFFFFFFFF), ((LCD_DR29 = (LCD_DR29 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR30 -------------------------------- +// SVD Line: 19059 + +unsigned char LCD_DR30 __AT (0x4000502E); + + + +// --------------------------------- Register Item: LCD_DR30 ------------------------------------ +// SVD Line: 19059 + +// SFDITEM_REG__LCD_DR30 +// DR30 +// [Bits 7..0] RW (@ 0x4000502E) LCD Display Data Register 30 +// +// ( (unsigned char)((LCD_DR30 >> 0) & 0xFFFFFFFF), ((LCD_DR30 = (LCD_DR30 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR31 -------------------------------- +// SVD Line: 19067 + +unsigned char LCD_DR31 __AT (0x4000502F); + + + +// --------------------------------- Register Item: LCD_DR31 ------------------------------------ +// SVD Line: 19067 + +// SFDITEM_REG__LCD_DR31 +// DR31 +// [Bits 7..0] RW (@ 0x4000502F) LCD Display Data Register 31 +// +// ( (unsigned char)((LCD_DR31 >> 0) & 0xFFFFFFFF), ((LCD_DR31 = (LCD_DR31 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR32 -------------------------------- +// SVD Line: 19075 + +unsigned char LCD_DR32 __AT (0x40005030); + + + +// --------------------------------- Register Item: LCD_DR32 ------------------------------------ +// SVD Line: 19075 + +// SFDITEM_REG__LCD_DR32 +// DR32 +// [Bits 7..0] RW (@ 0x40005030) LCD Display Data Register 32 +// +// ( (unsigned char)((LCD_DR32 >> 0) & 0xFFFFFFFF), ((LCD_DR32 = (LCD_DR32 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR33 -------------------------------- +// SVD Line: 19083 + +unsigned char LCD_DR33 __AT (0x40005031); + + + +// --------------------------------- Register Item: LCD_DR33 ------------------------------------ +// SVD Line: 19083 + +// SFDITEM_REG__LCD_DR33 +// DR33 +// [Bits 7..0] RW (@ 0x40005031) LCD Display Data Register 33 +// +// ( (unsigned char)((LCD_DR33 >> 0) & 0xFFFFFFFF), ((LCD_DR33 = (LCD_DR33 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR34 -------------------------------- +// SVD Line: 19091 + +unsigned char LCD_DR34 __AT (0x40005032); + + + +// --------------------------------- Register Item: LCD_DR34 ------------------------------------ +// SVD Line: 19091 + +// SFDITEM_REG__LCD_DR34 +// DR34 +// [Bits 7..0] RW (@ 0x40005032) LCD Display Data Register 34 +// +// ( (unsigned char)((LCD_DR34 >> 0) & 0xFFFFFFFF), ((LCD_DR34 = (LCD_DR34 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR35 -------------------------------- +// SVD Line: 19099 + +unsigned char LCD_DR35 __AT (0x40005033); + + + +// --------------------------------- Register Item: LCD_DR35 ------------------------------------ +// SVD Line: 19099 + +// SFDITEM_REG__LCD_DR35 +// DR35 +// [Bits 7..0] RW (@ 0x40005033) LCD Display Data Register 35 +// +// ( (unsigned char)((LCD_DR35 >> 0) & 0xFFFFFFFF), ((LCD_DR35 = (LCD_DR35 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR36 -------------------------------- +// SVD Line: 19107 + +unsigned char LCD_DR36 __AT (0x40005034); + + + +// --------------------------------- Register Item: LCD_DR36 ------------------------------------ +// SVD Line: 19107 + +// SFDITEM_REG__LCD_DR36 +// DR36 +// [Bits 7..0] RW (@ 0x40005034) LCD Display Data Register 36 +// +// ( (unsigned char)((LCD_DR36 >> 0) & 0xFFFFFFFF), ((LCD_DR36 = (LCD_DR36 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR37 -------------------------------- +// SVD Line: 19115 + +unsigned char LCD_DR37 __AT (0x40005035); + + + +// --------------------------------- Register Item: LCD_DR37 ------------------------------------ +// SVD Line: 19115 + +// SFDITEM_REG__LCD_DR37 +// DR37 +// [Bits 7..0] RW (@ 0x40005035) LCD Display Data Register 37 +// +// ( (unsigned char)((LCD_DR37 >> 0) & 0xFFFFFFFF), ((LCD_DR37 = (LCD_DR37 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR38 -------------------------------- +// SVD Line: 19123 + +unsigned char LCD_DR38 __AT (0x40005036); + + + +// --------------------------------- Register Item: LCD_DR38 ------------------------------------ +// SVD Line: 19123 + +// SFDITEM_REG__LCD_DR38 +// DR38 +// [Bits 7..0] RW (@ 0x40005036) LCD Display Data Register 38 +// +// ( (unsigned char)((LCD_DR38 >> 0) & 0xFFFFFFFF), ((LCD_DR38 = (LCD_DR38 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR39 -------------------------------- +// SVD Line: 19131 + +unsigned char LCD_DR39 __AT (0x40005037); + + + +// --------------------------------- Register Item: LCD_DR39 ------------------------------------ +// SVD Line: 19131 + +// SFDITEM_REG__LCD_DR39 +// DR39 +// [Bits 7..0] RW (@ 0x40005037) LCD Display Data Register 39 +// +// ( (unsigned char)((LCD_DR39 >> 0) & 0xFFFFFFFF), ((LCD_DR39 = (LCD_DR39 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR40 -------------------------------- +// SVD Line: 19139 + +unsigned char LCD_DR40 __AT (0x40005038); + + + +// --------------------------------- Register Item: LCD_DR40 ------------------------------------ +// SVD Line: 19139 + +// SFDITEM_REG__LCD_DR40 +// DR40 +// [Bits 7..0] RW (@ 0x40005038) LCD Display Data Register 40 +// +// ( (unsigned char)((LCD_DR40 >> 0) & 0xFFFFFFFF), ((LCD_DR40 = (LCD_DR40 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR41 -------------------------------- +// SVD Line: 19147 + +unsigned char LCD_DR41 __AT (0x40005039); + + + +// --------------------------------- Register Item: LCD_DR41 ------------------------------------ +// SVD Line: 19147 + +// SFDITEM_REG__LCD_DR41 +// DR41 +// [Bits 7..0] RW (@ 0x40005039) LCD Display Data Register 41 +// +// ( (unsigned char)((LCD_DR41 >> 0) & 0xFFFFFFFF), ((LCD_DR41 = (LCD_DR41 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR42 -------------------------------- +// SVD Line: 19155 + +unsigned char LCD_DR42 __AT (0x4000503A); + + + +// --------------------------------- Register Item: LCD_DR42 ------------------------------------ +// SVD Line: 19155 + +// SFDITEM_REG__LCD_DR42 +// DR42 +// [Bits 7..0] RW (@ 0x4000503A) LCD Display Data Register 42 +// +// ( (unsigned char)((LCD_DR42 >> 0) & 0xFFFFFFFF), ((LCD_DR42 = (LCD_DR42 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR43 -------------------------------- +// SVD Line: 19163 + +unsigned char LCD_DR43 __AT (0x4000503B); + + + +// --------------------------------- Register Item: LCD_DR43 ------------------------------------ +// SVD Line: 19163 + +// SFDITEM_REG__LCD_DR43 +// DR43 +// [Bits 7..0] RW (@ 0x4000503B) LCD Display Data Register 43 +// +// ( (unsigned char)((LCD_DR43 >> 0) & 0xFFFFFFFF), ((LCD_DR43 = (LCD_DR43 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: LCD -------------------------------------- +// SVD Line: 18499 + +// LCD +// LCD +// SFDITEM_REG__LCD_CR +// SFDITEM_REG__LCD_BCCR +// SFDITEM_REG__LCD_DR0 +// SFDITEM_REG__LCD_DR1 +// SFDITEM_REG__LCD_DR2 +// SFDITEM_REG__LCD_DR3 +// SFDITEM_REG__LCD_DR4 +// SFDITEM_REG__LCD_DR5 +// SFDITEM_REG__LCD_DR6 +// SFDITEM_REG__LCD_DR7 +// SFDITEM_REG__LCD_DR8 +// SFDITEM_REG__LCD_DR9 +// SFDITEM_REG__LCD_DR10 +// SFDITEM_REG__LCD_DR11 +// SFDITEM_REG__LCD_DR12 +// SFDITEM_REG__LCD_DR13 +// SFDITEM_REG__LCD_DR14 +// SFDITEM_REG__LCD_DR15 +// SFDITEM_REG__LCD_DR16 +// SFDITEM_REG__LCD_DR17 +// SFDITEM_REG__LCD_DR18 +// SFDITEM_REG__LCD_DR19 +// SFDITEM_REG__LCD_DR20 +// SFDITEM_REG__LCD_DR21 +// SFDITEM_REG__LCD_DR22 +// SFDITEM_REG__LCD_DR23 +// SFDITEM_REG__LCD_DR24 +// SFDITEM_REG__LCD_DR25 +// SFDITEM_REG__LCD_DR26 +// SFDITEM_REG__LCD_DR27 +// SFDITEM_REG__LCD_DR28 +// SFDITEM_REG__LCD_DR29 +// SFDITEM_REG__LCD_DR30 +// SFDITEM_REG__LCD_DR31 +// SFDITEM_REG__LCD_DR32 +// SFDITEM_REG__LCD_DR33 +// SFDITEM_REG__LCD_DR34 +// SFDITEM_REG__LCD_DR35 +// SFDITEM_REG__LCD_DR36 +// SFDITEM_REG__LCD_DR37 +// SFDITEM_REG__LCD_DR38 +// SFDITEM_REG__LCD_DR39 +// SFDITEM_REG__LCD_DR40 +// SFDITEM_REG__LCD_DR41 +// SFDITEM_REG__LCD_DR42 +// SFDITEM_REG__LCD_DR43 +// +// + + +// ------------------------------ Register Item Address: CRC_CR --------------------------------- +// SVD Line: 19187 + +unsigned int CRC_CR __AT (0x30001000); + + + +// --------------------------------- Field Item: CRC_CR_MODS ------------------------------------ +// SVD Line: 19196 + +// SFDITEM_FIELD__CRC_CR_MODS +// MODS +// +// [Bit 7] RW (@ 0x30001000) \nUser/Auto Mode Selection\n0 : UserMode = User Mode (Calculate every data written to the CRC_IN register)\n1 : AutoMode = Auto Mode (Calculate till CRC_SADR == CRC_EADR) +// +// ( (unsigned int) CRC_CR ) +// MODS +// <0=> 0: UserMode = User Mode (Calculate every data written to the CRC_IN register) +// <1=> 1: AutoMode = Auto Mode (Calculate till CRC_SADR == CRC_EADR) +// +// +// + + +// -------------------------------- Field Item: CRC_CR_RLTCLR ----------------------------------- +// SVD Line: 19214 + +// SFDITEM_FIELD__CRC_CR_RLTCLR +// RLTCLR +// +// [Bit 6] RW (@ 0x30001000) \nCRC/Checksum Result Data Register (CRCRLT) Initialization\n0 : NoEffect = No effect.\n1 : Init = Initialize the CRC_RLT register with the value of CRC_INIT. (This bit is automatically cleared to '0' after operation.) +// +// ( (unsigned int) CRC_CR ) +// RLTCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Init = Initialize the CRC_RLT register with the value of CRC_INIT. (This bit is automatically cleared to '0' after operation.) +// +// +// + + +// -------------------------------- Field Item: CRC_CR_MDSEL ------------------------------------ +// SVD Line: 19232 + +// SFDITEM_FIELD__CRC_CR_MDSEL +// MDSEL +// +// [Bit 5] RW (@ 0x30001000) \nCRC/Checksum Selection\n0 : CRC = Select CRC.\n1 : Checksum = Select Checksum. +// +// ( (unsigned int) CRC_CR ) +// MDSEL +// <0=> 0: CRC = Select CRC. +// <1=> 1: Checksum = Select Checksum. +// +// +// + + +// -------------------------------- Field Item: CRC_CR_POLYS ------------------------------------ +// SVD Line: 19250 + +// SFDITEM_FIELD__CRC_CR_POLYS +// POLYS +// +// [Bit 4] RW (@ 0x30001000) \nPolynomial Selection (CRC only)\n0 : CRC16_CCITT = CRC16-CCITT (G1(x) = x16 + x12 + x5 + 1)\n1 : CRC16 = CRC16 (G2(x) = x16 + x15 + x2 + 1) +// +// ( (unsigned int) CRC_CR ) +// POLYS +// <0=> 0: CRC16_CCITT = CRC16-CCITT (G1(x) = x16 + x12 + x5 + 1) +// <1=> 1: CRC16 = CRC16 (G2(x) = x16 + x15 + x2 + 1) +// +// +// + + +// -------------------------------- Field Item: CRC_CR_SARINC ----------------------------------- +// SVD Line: 19268 + +// SFDITEM_FIELD__CRC_CR_SARINC +// SARINC +// +// [Bit 3] RW (@ 0x30001000) \nCRC/Checksum Start Address Auto Increment Control (User mode only)\n0 : Disable = No effect.\n1 : Enable = The CRC/Checksum start address register is incremented as the selected input size every writing to the CRC_IN register. +// +// ( (unsigned int) CRC_CR ) +// SARINC +// <0=> 0: Disable = No effect. +// <1=> 1: Enable = The CRC/Checksum start address register is incremented as the selected input size every writing to the CRC_IN register. +// +// +// + + +// ------------------------------- Field Item: CRC_CR_FIRSTBS ----------------------------------- +// SVD Line: 19286 + +// SFDITEM_FIELD__CRC_CR_FIRSTBS +// FIRSTBS +// +// [Bit 1] RW (@ 0x30001000) \nFirst Shifted-in Selection (CRC only)\n0 : msbFirst = msb first\n1 : lsbFirst = lsb first +// +// ( (unsigned int) CRC_CR ) +// FIRSTBS +// <0=> 0: msbFirst = msb first +// <1=> 1: lsbFirst = lsb first +// +// +// + + +// -------------------------------- Field Item: CRC_CR_CRCRUN ----------------------------------- +// SVD Line: 19304 + +// SFDITEM_FIELD__CRC_CR_CRCRUN +// CRCRUN +// +// [Bit 0] RW (@ 0x30001000) \nCRC/Checksum Start Control and Busy\n0 : Stop = Not busy. The CRC operation can be finished by writing '0' to this bit while running.\n1 : Start = Start CRC operation. This bit is automatically cleared to '0' when the value of CRC_SADR register reaches the value of CRC_EADR register. +// +// ( (unsigned int) CRC_CR ) +// CRCRUN +// <0=> 0: Stop = Not busy. The CRC operation can be finished by writing '0' to this bit while running. +// <1=> 1: Start = Start CRC operation. This bit is automatically cleared to '0' when the value of CRC_SADR register reaches the value of CRC_EADR register. +// +// +// + + +// --------------------------------- Register RTree: CRC_CR ------------------------------------- +// SVD Line: 19187 + +// SFDITEM_REG__CRC_CR +// CR +// +// [Bits 31..0] RW (@ 0x30001000) CRC/Checksum Control Register +// ( (unsigned int)((CRC_CR >> 0) & 0xFFFFFFFF), ((CRC_CR = (CRC_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__CRC_CR_MODS +// SFDITEM_FIELD__CRC_CR_RLTCLR +// SFDITEM_FIELD__CRC_CR_MDSEL +// SFDITEM_FIELD__CRC_CR_POLYS +// SFDITEM_FIELD__CRC_CR_SARINC +// SFDITEM_FIELD__CRC_CR_FIRSTBS +// SFDITEM_FIELD__CRC_CR_CRCRUN +// +// + + +// ------------------------------ Register Item Address: CRC_IN --------------------------------- +// SVD Line: 19324 + +unsigned int CRC_IN __AT (0x30001004); + + + +// -------------------------------- Field Item: CRC_IN_INDATA ----------------------------------- +// SVD Line: 19333 + +// SFDITEM_FIELD__CRC_IN_INDATA +// INDATA +// +// [Bits 31..0] RW (@ 0x30001004) CRC Input Data +// +// ( (unsigned int)((CRC_IN >> 0) & 0xFFFFFFFF), ((CRC_IN = (CRC_IN & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: CRC_IN ------------------------------------- +// SVD Line: 19324 + +// SFDITEM_REG__CRC_IN +// IN +// +// [Bits 31..0] RW (@ 0x30001004) CRC/Checksum Input Data Register +// ( (unsigned int)((CRC_IN >> 0) & 0xFFFFFFFF), ((CRC_IN = (CRC_IN & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__CRC_IN_INDATA +// +// + + +// ----------------------------- Register Item Address: CRC_RLT --------------------------------- +// SVD Line: 19341 + +unsigned int CRC_RLT __AT (0x30001008); + + + +// ------------------------------- Field Item: CRC_RLT_RLTDATA ---------------------------------- +// SVD Line: 19350 + +// SFDITEM_FIELD__CRC_RLT_RLTDATA +// RLTDATA +// +// [Bits 15..0] RO (@ 0x30001008) CRC Result Data +// +// ( (unsigned short)((CRC_RLT >> 0) & 0xFFFF) ) +// +// +// + + +// --------------------------------- Register RTree: CRC_RLT ------------------------------------ +// SVD Line: 19341 + +// SFDITEM_REG__CRC_RLT +// RLT +// +// [Bits 31..0] RO (@ 0x30001008) CRC/Checksum Result Data Register +// ( (unsigned int)((CRC_RLT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__CRC_RLT_RLTDATA +// +// + + +// ----------------------------- Register Item Address: CRC_INIT -------------------------------- +// SVD Line: 19358 + +unsigned int CRC_INIT __AT (0x3000100C); + + + +// ------------------------------ Field Item: CRC_INIT_INIDATA ---------------------------------- +// SVD Line: 19367 + +// SFDITEM_FIELD__CRC_INIT_INIDATA +// INIDATA +// +// [Bits 15..0] RW (@ 0x3000100C) CRC Initial Data +// +// ( (unsigned short)((CRC_INIT >> 0) & 0xFFFF), ((CRC_INIT = (CRC_INIT & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: CRC_INIT ------------------------------------ +// SVD Line: 19358 + +// SFDITEM_REG__CRC_INIT +// INIT +// +// [Bits 31..0] RW (@ 0x3000100C) CRC/Checksum Initial Data Register +// ( (unsigned int)((CRC_INIT >> 0) & 0xFFFFFFFF), ((CRC_INIT = (CRC_INIT & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__CRC_INIT_INIDATA +// +// + + +// ----------------------------- Register Item Address: CRC_SADR -------------------------------- +// SVD Line: 19375 + +unsigned int CRC_SADR __AT (0x30001010); + + + +// -------------------------------- Field Item: CRC_SADR_SADR ----------------------------------- +// SVD Line: 19384 + +// SFDITEM_FIELD__CRC_SADR_SADR +// SADR +// +// [Bits 31..2] RW (@ 0x30001010) CRC Start Address +// +// ( (unsigned int)((CRC_SADR >> 2) & 0x3FFFFFFF), ((CRC_SADR = (CRC_SADR & ~(0x3FFFFFFFUL << 2 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFFFFFF) << 2 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: CRC_SADR ------------------------------------ +// SVD Line: 19375 + +// SFDITEM_REG__CRC_SADR +// SADR +// +// [Bits 31..0] RW (@ 0x30001010) CRC/Checksum Start Address Register +// ( (unsigned int)((CRC_SADR >> 0) & 0xFFFFFFFF), ((CRC_SADR = (CRC_SADR & ~(0xFFFFFFFCUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFC) << 0 ) ) )) +// SFDITEM_FIELD__CRC_SADR_SADR +// +// + + +// ----------------------------- Register Item Address: CRC_EADR -------------------------------- +// SVD Line: 19392 + +unsigned int CRC_EADR __AT (0x30001014); + + + +// -------------------------------- Field Item: CRC_EADR_EADR ----------------------------------- +// SVD Line: 19401 + +// SFDITEM_FIELD__CRC_EADR_EADR +// EADR +// +// [Bits 31..2] RW (@ 0x30001014) CRC End Address +// +// ( (unsigned int)((CRC_EADR >> 2) & 0x3FFFFFFF), ((CRC_EADR = (CRC_EADR & ~(0x3FFFFFFFUL << 2 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFFFFFF) << 2 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: CRC_EADR ------------------------------------ +// SVD Line: 19392 + +// SFDITEM_REG__CRC_EADR +// EADR +// +// [Bits 31..0] RW (@ 0x30001014) CRC/Checksum End Address Register +// ( (unsigned int)((CRC_EADR >> 0) & 0xFFFFFFFF), ((CRC_EADR = (CRC_EADR & ~(0xFFFFFFFCUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFC) << 0 ) ) )) +// SFDITEM_FIELD__CRC_EADR_EADR +// +// + + +// ---------------------------------- Peripheral View: CRC -------------------------------------- +// SVD Line: 19173 + +// CRC +// CRC +// SFDITEM_REG__CRC_CR +// SFDITEM_REG__CRC_IN +// SFDITEM_REG__CRC_RLT +// SFDITEM_REG__CRC_INIT +// SFDITEM_REG__CRC_SADR +// SFDITEM_REG__CRC_EADR +// +// + + +// --------------------------- Register Item Address: COA0_TRIM00 ------------------------------- +// SVD Line: 19425 + +unsigned int COA0_TRIM00 __AT (0x1FFFF000); + + + +// ------------------------------- Register Item: COA0_TRIM00 ----------------------------------- +// SVD Line: 19425 + +// SFDITEM_REG__COA0_TRIM00 +// TRIM00 +// [Bits 31..0] RO (@ 0x1FFFF000) System Related Trim Value 00 +// +// ( (unsigned int)((COA0_TRIM00 >> 0) & 0xFFFFFFFF), ((COA0_TRIM00 = (COA0_TRIM00 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM01 ------------------------------- +// SVD Line: 19434 + +unsigned int COA0_TRIM01 __AT (0x1FFFF004); + + + +// ------------------------------- Register Item: COA0_TRIM01 ----------------------------------- +// SVD Line: 19434 + +// SFDITEM_REG__COA0_TRIM01 +// TRIM01 +// [Bits 31..0] RO (@ 0x1FFFF004) System Related Trim Value 01 +// +// ( (unsigned int)((COA0_TRIM01 >> 0) & 0xFFFFFFFF), ((COA0_TRIM01 = (COA0_TRIM01 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM02 ------------------------------- +// SVD Line: 19442 + +unsigned int COA0_TRIM02 __AT (0x1FFFF008); + + + +// ------------------------------- Register Item: COA0_TRIM02 ----------------------------------- +// SVD Line: 19442 + +// SFDITEM_REG__COA0_TRIM02 +// TRIM02 +// [Bits 31..0] RO (@ 0x1FFFF008) System Related Trim Value 02 +// +// ( (unsigned int)((COA0_TRIM02 >> 0) & 0xFFFFFFFF), ((COA0_TRIM02 = (COA0_TRIM02 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM03 ------------------------------- +// SVD Line: 19450 + +unsigned int COA0_TRIM03 __AT (0x1FFFF00C); + + + +// ------------------------------- Register Item: COA0_TRIM03 ----------------------------------- +// SVD Line: 19450 + +// SFDITEM_REG__COA0_TRIM03 +// TRIM03 +// [Bits 31..0] RO (@ 0x1FFFF00C) System Related Trim Value 03 +// +// ( (unsigned int)((COA0_TRIM03 >> 0) & 0xFFFFFFFF), ((COA0_TRIM03 = (COA0_TRIM03 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM04 ------------------------------- +// SVD Line: 19458 + +unsigned int COA0_TRIM04 __AT (0x1FFFF010); + + + +// ------------------------------- Register Item: COA0_TRIM04 ----------------------------------- +// SVD Line: 19458 + +// SFDITEM_REG__COA0_TRIM04 +// TRIM04 +// [Bits 31..0] RO (@ 0x1FFFF010) System Related Trim Value 04 +// +// ( (unsigned int)((COA0_TRIM04 >> 0) & 0xFFFFFFFF), ((COA0_TRIM04 = (COA0_TRIM04 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM05 ------------------------------- +// SVD Line: 19466 + +unsigned int COA0_TRIM05 __AT (0x1FFFF014); + + + +// ------------------------------- Register Item: COA0_TRIM05 ----------------------------------- +// SVD Line: 19466 + +// SFDITEM_REG__COA0_TRIM05 +// TRIM05 +// [Bits 31..0] RO (@ 0x1FFFF014) System Related Trim Value 05 +// +// ( (unsigned int)((COA0_TRIM05 >> 0) & 0xFFFFFFFF), ((COA0_TRIM05 = (COA0_TRIM05 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM06 ------------------------------- +// SVD Line: 19474 + +unsigned int COA0_TRIM06 __AT (0x1FFFF018); + + + +// ------------------------------- Register Item: COA0_TRIM06 ----------------------------------- +// SVD Line: 19474 + +// SFDITEM_REG__COA0_TRIM06 +// TRIM06 +// [Bits 31..0] RO (@ 0x1FFFF018) System Related Trim Value 06 +// +// ( (unsigned int)((COA0_TRIM06 >> 0) & 0xFFFFFFFF), ((COA0_TRIM06 = (COA0_TRIM06 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM07 ------------------------------- +// SVD Line: 19482 + +unsigned int COA0_TRIM07 __AT (0x1FFFF01C); + + + +// ------------------------------- Register Item: COA0_TRIM07 ----------------------------------- +// SVD Line: 19482 + +// SFDITEM_REG__COA0_TRIM07 +// TRIM07 +// [Bits 31..0] RO (@ 0x1FFFF01C) System Related Trim Value 07 +// +// ( (unsigned int)((COA0_TRIM07 >> 0) & 0xFFFFFFFF), ((COA0_TRIM07 = (COA0_TRIM07 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM08 ------------------------------- +// SVD Line: 19490 + +unsigned int COA0_TRIM08 __AT (0x1FFFF020); + + + +// ------------------------------- Register Item: COA0_TRIM08 ----------------------------------- +// SVD Line: 19490 + +// SFDITEM_REG__COA0_TRIM08 +// TRIM08 +// [Bits 31..0] RO (@ 0x1FFFF020) System Related Trim Value 08 +// +// ( (unsigned int)((COA0_TRIM08 >> 0) & 0xFFFFFFFF), ((COA0_TRIM08 = (COA0_TRIM08 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM09 ------------------------------- +// SVD Line: 19498 + +unsigned int COA0_TRIM09 __AT (0x1FFFF024); + + + +// ------------------------------- Register Item: COA0_TRIM09 ----------------------------------- +// SVD Line: 19498 + +// SFDITEM_REG__COA0_TRIM09 +// TRIM09 +// [Bits 31..0] RO (@ 0x1FFFF024) System Related Trim Value 09 +// +// ( (unsigned int)((COA0_TRIM09 >> 0) & 0xFFFFFFFF), ((COA0_TRIM09 = (COA0_TRIM09 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM10 ------------------------------- +// SVD Line: 19506 + +unsigned int COA0_TRIM10 __AT (0x1FFFF028); + + + +// ------------------------------- Register Item: COA0_TRIM10 ----------------------------------- +// SVD Line: 19506 + +// SFDITEM_REG__COA0_TRIM10 +// TRIM10 +// [Bits 31..0] RO (@ 0x1FFFF028) System Related Trim Value 10 +// +// ( (unsigned int)((COA0_TRIM10 >> 0) & 0xFFFFFFFF), ((COA0_TRIM10 = (COA0_TRIM10 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM11 ------------------------------- +// SVD Line: 19514 + +unsigned int COA0_TRIM11 __AT (0x1FFFF02C); + + + +// ------------------------------- Register Item: COA0_TRIM11 ----------------------------------- +// SVD Line: 19514 + +// SFDITEM_REG__COA0_TRIM11 +// TRIM11 +// [Bits 31..0] RO (@ 0x1FFFF02C) System Related Trim Value 11 +// +// ( (unsigned int)((COA0_TRIM11 >> 0) & 0xFFFFFFFF), ((COA0_TRIM11 = (COA0_TRIM11 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM12 ------------------------------- +// SVD Line: 19522 + +unsigned int COA0_TRIM12 __AT (0x1FFFF030); + + + +// ------------------------------- Register Item: COA0_TRIM12 ----------------------------------- +// SVD Line: 19522 + +// SFDITEM_REG__COA0_TRIM12 +// TRIM12 +// [Bits 31..0] RO (@ 0x1FFFF030) System Related Trim Value 12 +// +// ( (unsigned int)((COA0_TRIM12 >> 0) & 0xFFFFFFFF), ((COA0_TRIM12 = (COA0_TRIM12 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM13 ------------------------------- +// SVD Line: 19530 + +unsigned int COA0_TRIM13 __AT (0x1FFFF034); + + + +// ------------------------------- Register Item: COA0_TRIM13 ----------------------------------- +// SVD Line: 19530 + +// SFDITEM_REG__COA0_TRIM13 +// TRIM13 +// [Bits 31..0] RO (@ 0x1FFFF034) System Related Trim Value 13 +// +// ( (unsigned int)((COA0_TRIM13 >> 0) & 0xFFFFFFFF), ((COA0_TRIM13 = (COA0_TRIM13 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM14 ------------------------------- +// SVD Line: 19538 + +unsigned int COA0_TRIM14 __AT (0x1FFFF038); + + + +// ------------------------------- Register Item: COA0_TRIM14 ----------------------------------- +// SVD Line: 19538 + +// SFDITEM_REG__COA0_TRIM14 +// TRIM14 +// [Bits 31..0] RO (@ 0x1FFFF038) System Related Trim Value 14 +// +// ( (unsigned int)((COA0_TRIM14 >> 0) & 0xFFFFFFFF), ((COA0_TRIM14 = (COA0_TRIM14 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM15 ------------------------------- +// SVD Line: 19546 + +unsigned int COA0_TRIM15 __AT (0x1FFFF03C); + + + +// ------------------------------- Register Item: COA0_TRIM15 ----------------------------------- +// SVD Line: 19546 + +// SFDITEM_REG__COA0_TRIM15 +// TRIM15 +// [Bits 31..0] RO (@ 0x1FFFF03C) System Related Trim Value 15 +// +// ( (unsigned int)((COA0_TRIM15 >> 0) & 0xFFFFFFFF), ((COA0_TRIM15 = (COA0_TRIM15 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM16 ------------------------------- +// SVD Line: 19554 + +unsigned int COA0_TRIM16 __AT (0x1FFFF040); + + + +// ------------------------------- Register Item: COA0_TRIM16 ----------------------------------- +// SVD Line: 19554 + +// SFDITEM_REG__COA0_TRIM16 +// TRIM16 +// [Bits 31..0] RO (@ 0x1FFFF040) System Related Trim Value 16 +// +// ( (unsigned int)((COA0_TRIM16 >> 0) & 0xFFFFFFFF), ((COA0_TRIM16 = (COA0_TRIM16 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM17 ------------------------------- +// SVD Line: 19562 + +unsigned int COA0_TRIM17 __AT (0x1FFFF044); + + + +// ------------------------------- Register Item: COA0_TRIM17 ----------------------------------- +// SVD Line: 19562 + +// SFDITEM_REG__COA0_TRIM17 +// TRIM17 +// [Bits 31..0] RO (@ 0x1FFFF044) System Related Trim Value 17 +// +// ( (unsigned int)((COA0_TRIM17 >> 0) & 0xFFFFFFFF), ((COA0_TRIM17 = (COA0_TRIM17 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM18 ------------------------------- +// SVD Line: 19570 + +unsigned int COA0_TRIM18 __AT (0x1FFFF048); + + + +// ------------------------------- Register Item: COA0_TRIM18 ----------------------------------- +// SVD Line: 19570 + +// SFDITEM_REG__COA0_TRIM18 +// TRIM18 +// [Bits 31..0] RO (@ 0x1FFFF048) System Related Trim Value 18 +// +// ( (unsigned int)((COA0_TRIM18 >> 0) & 0xFFFFFFFF), ((COA0_TRIM18 = (COA0_TRIM18 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM19 ------------------------------- +// SVD Line: 19578 + +unsigned int COA0_TRIM19 __AT (0x1FFFF04C); + + + +// ------------------------------- Register Item: COA0_TRIM19 ----------------------------------- +// SVD Line: 19578 + +// SFDITEM_REG__COA0_TRIM19 +// TRIM19 +// [Bits 31..0] RO (@ 0x1FFFF04C) System Related Trim Value 19 +// +// ( (unsigned int)((COA0_TRIM19 >> 0) & 0xFFFFFFFF), ((COA0_TRIM19 = (COA0_TRIM19 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------ Register Item Address: COA0_CONF_MF1CNFIG --------------------------- +// SVD Line: 19586 + +unsigned int COA0_CONF_MF1CNFIG __AT (0x1FFFF050); + + + +// -------------------------- Field Item: COA0_CONF_MF1CNFIG_XYCDN ------------------------------ +// SVD Line: 19594 + +// SFDITEM_FIELD__COA0_CONF_MF1CNFIG_XYCDN +// XYCDN +// +// [Bits 31..0] RO (@ 0x1FFFF050) X and Y Coordinates +// +// ( (unsigned int)((COA0_CONF_MF1CNFIG >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// --------------------------- Register RTree: COA0_CONF_MF1CNFIG ------------------------------- +// SVD Line: 19586 + +// SFDITEM_REG__COA0_CONF_MF1CNFIG +// CONF_MF1CNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF050) Manufacture Information 1 +// ( (unsigned int)((COA0_CONF_MF1CNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA0_CONF_MF1CNFIG_XYCDN +// +// + + +// ------------------------ Register Item Address: COA0_CONF_MF2CNFIG --------------------------- +// SVD Line: 19602 + +unsigned int COA0_CONF_MF2CNFIG __AT (0x1FFFF054); + + + +// -------------------------- Field Item: COA0_CONF_MF2CNFIG_LOTNO ------------------------------ +// SVD Line: 19610 + +// SFDITEM_FIELD__COA0_CONF_MF2CNFIG_LOTNO +// LOTNO +// +// [Bits 31..8] RO (@ 0x1FFFF054) Lot Number [23:0] +// +// ( (unsigned int)((COA0_CONF_MF2CNFIG >> 8) & 0xFFFFFF) ) +// +// +// + + +// -------------------------- Field Item: COA0_CONF_MF2CNFIG_WAFNO ------------------------------ +// SVD Line: 19616 + +// SFDITEM_FIELD__COA0_CONF_MF2CNFIG_WAFNO +// WAFNO +// +// [Bits 7..0] RO (@ 0x1FFFF054) Wafer Number +// +// ( (unsigned char)((COA0_CONF_MF2CNFIG >> 0) & 0xFF) ) +// +// +// + + +// --------------------------- Register RTree: COA0_CONF_MF2CNFIG ------------------------------- +// SVD Line: 19602 + +// SFDITEM_REG__COA0_CONF_MF2CNFIG +// CONF_MF2CNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF054) Manufacture Information 2 +// ( (unsigned int)((COA0_CONF_MF2CNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA0_CONF_MF2CNFIG_LOTNO +// SFDITEM_FIELD__COA0_CONF_MF2CNFIG_WAFNO +// +// + + +// ------------------------ Register Item Address: COA0_CONF_MF3CNFIG --------------------------- +// SVD Line: 19624 + +unsigned int COA0_CONF_MF3CNFIG __AT (0x1FFFF058); + + + +// -------------------------- Field Item: COA0_CONF_MF3CNFIG_LOTNO ------------------------------ +// SVD Line: 19632 + +// SFDITEM_FIELD__COA0_CONF_MF3CNFIG_LOTNO +// LOTNO +// +// [Bits 31..0] RO (@ 0x1FFFF058) Lot Number [55:24] +// +// ( (unsigned int)((COA0_CONF_MF3CNFIG >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// --------------------------- Register RTree: COA0_CONF_MF3CNFIG ------------------------------- +// SVD Line: 19624 + +// SFDITEM_REG__COA0_CONF_MF3CNFIG +// CONF_MF3CNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF058) Manufacture Information 3 +// ( (unsigned int)((COA0_CONF_MF3CNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA0_CONF_MF3CNFIG_LOTNO +// +// + + +// ------------------------ Register Item Address: COA0_CONF_MF4CNFIG --------------------------- +// SVD Line: 19640 + +unsigned int COA0_CONF_MF4CNFIG __AT (0x1FFFF05C); + + + +// -------------------------- Field Item: COA0_CONF_MF4CNFIG_LOTNO ------------------------------ +// SVD Line: 19648 + +// SFDITEM_FIELD__COA0_CONF_MF4CNFIG_LOTNO +// LOTNO +// +// [Bits 31..0] RO (@ 0x1FFFF05C) Lot Number [87:56] +// +// ( (unsigned int)((COA0_CONF_MF4CNFIG >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// --------------------------- Register RTree: COA0_CONF_MF4CNFIG ------------------------------- +// SVD Line: 19640 + +// SFDITEM_REG__COA0_CONF_MF4CNFIG +// CONF_MF4CNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF05C) Manufacture Information 4 +// ( (unsigned int)((COA0_CONF_MF4CNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA0_CONF_MF4CNFIG_LOTNO +// +// + + +// --------------------------- Register Item Address: COA0_TRIM24 ------------------------------- +// SVD Line: 19656 + +unsigned int COA0_TRIM24 __AT (0x1FFFF060); + + + +// ------------------------------- Register Item: COA0_TRIM24 ----------------------------------- +// SVD Line: 19656 + +// SFDITEM_REG__COA0_TRIM24 +// TRIM24 +// [Bits 31..0] RO (@ 0x1FFFF060) System Related Trim Value 24 +// +// ( (unsigned int)((COA0_TRIM24 >> 0) & 0xFFFFFFFF), ((COA0_TRIM24 = (COA0_TRIM24 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM25 ------------------------------- +// SVD Line: 19664 + +unsigned int COA0_TRIM25 __AT (0x1FFFF064); + + + +// ------------------------------- Register Item: COA0_TRIM25 ----------------------------------- +// SVD Line: 19664 + +// SFDITEM_REG__COA0_TRIM25 +// TRIM25 +// [Bits 31..0] RO (@ 0x1FFFF064) System Related Trim Value 25 +// +// ( (unsigned int)((COA0_TRIM25 >> 0) & 0xFFFFFFFF), ((COA0_TRIM25 = (COA0_TRIM25 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM26 ------------------------------- +// SVD Line: 19672 + +unsigned int COA0_TRIM26 __AT (0x1FFFF068); + + + +// ------------------------------- Register Item: COA0_TRIM26 ----------------------------------- +// SVD Line: 19672 + +// SFDITEM_REG__COA0_TRIM26 +// TRIM26 +// [Bits 31..0] RO (@ 0x1FFFF068) System Related Trim Value 26 +// +// ( (unsigned int)((COA0_TRIM26 >> 0) & 0xFFFFFFFF), ((COA0_TRIM26 = (COA0_TRIM26 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM27 ------------------------------- +// SVD Line: 19680 + +unsigned int COA0_TRIM27 __AT (0x1FFFF06C); + + + +// ------------------------------- Register Item: COA0_TRIM27 ----------------------------------- +// SVD Line: 19680 + +// SFDITEM_REG__COA0_TRIM27 +// TRIM27 +// [Bits 31..0] RO (@ 0x1FFFF06C) System Related Trim Value 27 +// +// ( (unsigned int)((COA0_TRIM27 >> 0) & 0xFFFFFFFF), ((COA0_TRIM27 = (COA0_TRIM27 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM28 ------------------------------- +// SVD Line: 19688 + +unsigned int COA0_TRIM28 __AT (0x1FFFF070); + + + +// ------------------------------- Register Item: COA0_TRIM28 ----------------------------------- +// SVD Line: 19688 + +// SFDITEM_REG__COA0_TRIM28 +// TRIM28 +// [Bits 31..0] RO (@ 0x1FFFF070) System Related Trim Value 28 +// +// ( (unsigned int)((COA0_TRIM28 >> 0) & 0xFFFFFFFF), ((COA0_TRIM28 = (COA0_TRIM28 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM29 ------------------------------- +// SVD Line: 19696 + +unsigned int COA0_TRIM29 __AT (0x1FFFF074); + + + +// ------------------------------- Register Item: COA0_TRIM29 ----------------------------------- +// SVD Line: 19696 + +// SFDITEM_REG__COA0_TRIM29 +// TRIM29 +// [Bits 31..0] RO (@ 0x1FFFF074) System Related Trim Value 29 +// +// ( (unsigned int)((COA0_TRIM29 >> 0) & 0xFFFFFFFF), ((COA0_TRIM29 = (COA0_TRIM29 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM30 ------------------------------- +// SVD Line: 19704 + +unsigned int COA0_TRIM30 __AT (0x1FFFF078); + + + +// ------------------------------- Register Item: COA0_TRIM30 ----------------------------------- +// SVD Line: 19704 + +// SFDITEM_REG__COA0_TRIM30 +// TRIM30 +// [Bits 31..0] RO (@ 0x1FFFF078) System Related Trim Value 30 +// +// ( (unsigned int)((COA0_TRIM30 >> 0) & 0xFFFFFFFF), ((COA0_TRIM30 = (COA0_TRIM30 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM31 ------------------------------- +// SVD Line: 19712 + +unsigned int COA0_TRIM31 __AT (0x1FFFF07C); + + + +// ------------------------------- Register Item: COA0_TRIM31 ----------------------------------- +// SVD Line: 19712 + +// SFDITEM_REG__COA0_TRIM31 +// TRIM31 +// [Bits 31..0] RO (@ 0x1FFFF07C) System Related Trim Value 31 +// +// ( (unsigned int)((COA0_TRIM31 >> 0) & 0xFFFFFFFF), ((COA0_TRIM31 = (COA0_TRIM31 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: COA0 ------------------------------------- +// SVD Line: 19411 + +// COA0 +// COA0 +// SFDITEM_REG__COA0_TRIM00 +// SFDITEM_REG__COA0_TRIM01 +// SFDITEM_REG__COA0_TRIM02 +// SFDITEM_REG__COA0_TRIM03 +// SFDITEM_REG__COA0_TRIM04 +// SFDITEM_REG__COA0_TRIM05 +// SFDITEM_REG__COA0_TRIM06 +// SFDITEM_REG__COA0_TRIM07 +// SFDITEM_REG__COA0_TRIM08 +// SFDITEM_REG__COA0_TRIM09 +// SFDITEM_REG__COA0_TRIM10 +// SFDITEM_REG__COA0_TRIM11 +// SFDITEM_REG__COA0_TRIM12 +// SFDITEM_REG__COA0_TRIM13 +// SFDITEM_REG__COA0_TRIM14 +// SFDITEM_REG__COA0_TRIM15 +// SFDITEM_REG__COA0_TRIM16 +// SFDITEM_REG__COA0_TRIM17 +// SFDITEM_REG__COA0_TRIM18 +// SFDITEM_REG__COA0_TRIM19 +// SFDITEM_REG__COA0_CONF_MF1CNFIG +// SFDITEM_REG__COA0_CONF_MF2CNFIG +// SFDITEM_REG__COA0_CONF_MF3CNFIG +// SFDITEM_REG__COA0_CONF_MF4CNFIG +// SFDITEM_REG__COA0_TRIM24 +// SFDITEM_REG__COA0_TRIM25 +// SFDITEM_REG__COA0_TRIM26 +// SFDITEM_REG__COA0_TRIM27 +// SFDITEM_REG__COA0_TRIM28 +// SFDITEM_REG__COA0_TRIM29 +// SFDITEM_REG__COA0_TRIM30 +// SFDITEM_REG__COA0_TRIM31 +// +// + + +// --------------------------- Register Item Address: COA1_RPCNFIG ------------------------------ +// SVD Line: 19736 + +unsigned int COA1_RPCNFIG __AT (0x1FFFF200); + + + +// ----------------------------- Field Item: COA1_RPCNFIG_WTIDKY -------------------------------- +// SVD Line: 19745 + +// SFDITEM_FIELD__COA1_RPCNFIG_WTIDKY +// WTIDKY +// +// [Bits 31..4] RO (@ 0x1FFFF200) Write Identification Key (0x69c8a27) +// +// ( (unsigned int)((COA1_RPCNFIG >> 4) & 0xFFFFFFF) ) +// +// +// + + +// ----------------------------- Field Item: COA1_RPCNFIG_READP --------------------------------- +// SVD Line: 19758 + +// SFDITEM_FIELD__COA1_RPCNFIG_READP +// READP +// +// [Bits 1..0] RO (@ 0x1FFFF200) \nRead Protection for Flash Memory Area\n0 : Level2 = 1. Not readable/erasable/writable by 'Debug' / 'Instruction from RAM' 2. Bulk erasable only by 'Instruction from RAM' / 'Debug' 3. Readable/erasable/writable by 'Instruction from Flash Memory'\n1 : Reserved - do not use\n2 : Level1 = 1. Not readable/erasable/writable by 'Debug' 2. Bulk erasable only by 'Debug' 3. Readable/erasable/writable by 'Instruction from Flash Memory and RAM'\n3 : Level0 = No restriction for read/erase/write. +// +// ( (unsigned int) COA1_RPCNFIG ) +// READP +// <0=> 0: Level2 = 1. Not readable/erasable/writable by 'Debug' / 'Instruction from RAM' 2. Bulk erasable only by 'Instruction from RAM' / 'Debug' 3. Readable/erasable/writable by 'Instruction from Flash Memory' +// <1=> 1: +// <2=> 2: Level1 = 1. Not readable/erasable/writable by 'Debug' 2. Bulk erasable only by 'Debug' 3. Readable/erasable/writable by 'Instruction from Flash Memory and RAM' +// <3=> 3: Level0 = No restriction for read/erase/write. +// +// +// + + +// ------------------------------ Register RTree: COA1_RPCNFIG ---------------------------------- +// SVD Line: 19736 + +// SFDITEM_REG__COA1_RPCNFIG +// RPCNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF200) Configuration for Read Protection +// ( (unsigned int)((COA1_RPCNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_RPCNFIG_WTIDKY +// SFDITEM_FIELD__COA1_RPCNFIG_READP +// +// + + +// -------------------------- Register Item Address: COA1_WDTCNFIG ------------------------------ +// SVD Line: 19791 + +unsigned int COA1_WDTCNFIG __AT (0x1FFFF20C); + + + +// ----------------------------- Field Item: COA1_WDTCNFIG_WRCMF -------------------------------- +// SVD Line: 19800 + +// SFDITEM_FIELD__COA1_WDTCNFIG_WRCMF +// WRCMF +// +// [Bits 15..4] RO (@ 0x1FFFF20C) Watch-Dog Timer RC Oscillator Master Configuration +// +// ( (unsigned short)((COA1_WDTCNFIG >> 4) & 0xFFF) ) +// +// +// + + +// ---------------------------- Field Item: COA1_WDTCNFIG_WCLKMF -------------------------------- +// SVD Line: 19823 + +// SFDITEM_FIELD__COA1_WDTCNFIG_WCLKMF +// WCLKMF +// +// [Bit 2] RO (@ 0x1FFFF20C) \nWatch-Dog Timer Clock Selection Master Configuration\n0 : BySW = By S/W (PPCLKSR Register)\n1 : AlwaysWDTRC = Always WDTRC +// +// ( (unsigned int) COA1_WDTCNFIG ) +// WCLKMF +// <0=> 0: BySW = By S/W (PPCLKSR Register) +// <1=> 1: AlwaysWDTRC = Always WDTRC +// +// +// + + +// ---------------------------- Field Item: COA1_WDTCNFIG_WRSTMF -------------------------------- +// SVD Line: 19841 + +// SFDITEM_FIELD__COA1_WDTCNFIG_WRSTMF +// WRSTMF +// +// [Bit 1] RO (@ 0x1FFFF20C) \nWatch-Dog Timer Reset Enable Master Configuration\n0 : AlwaysEnable = Always Enable\n1 : BySW = By S/W (WDTCR Register) +// +// ( (unsigned int) COA1_WDTCNFIG ) +// WRSTMF +// <0=> 0: AlwaysEnable = Always Enable +// <1=> 1: BySW = By S/W (WDTCR Register) +// +// +// + + +// ---------------------------- Field Item: COA1_WDTCNFIG_WCNTMF -------------------------------- +// SVD Line: 19859 + +// SFDITEM_FIELD__COA1_WDTCNFIG_WCNTMF +// WCNTMF +// +// [Bit 0] RO (@ 0x1FFFF20C) \nWatch-Dog Timer Counter Enable Master Configuration\n0 : AlwaysEnable = Always Enable\n1 : BySW = By S/W (WDTCR Register) +// +// ( (unsigned int) COA1_WDTCNFIG ) +// WCNTMF +// <0=> 0: AlwaysEnable = Always Enable +// <1=> 1: BySW = By S/W (WDTCR Register) +// +// +// + + +// ------------------------------ Register RTree: COA1_WDTCNFIG --------------------------------- +// SVD Line: 19791 + +// SFDITEM_REG__COA1_WDTCNFIG +// WDTCNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF20C) Configuration for Watch-Dog Timer +// ( (unsigned int)((COA1_WDTCNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_WDTCNFIG_WRCMF +// SFDITEM_FIELD__COA1_WDTCNFIG_WCLKMF +// SFDITEM_FIELD__COA1_WDTCNFIG_WRSTMF +// SFDITEM_FIELD__COA1_WDTCNFIG_WCNTMF +// +// + + +// -------------------------- Register Item Address: COA1_LVRCNFIG ------------------------------ +// SVD Line: 19879 + +unsigned int COA1_LVRCNFIG __AT (0x1FFFF210); + + + +// ---------------------------- Field Item: COA1_LVRCNFIG_LVRENM -------------------------------- +// SVD Line: 19888 + +// SFDITEM_FIELD__COA1_LVRCNFIG_LVRENM +// LVRENM +// +// [Bits 15..8] RO (@ 0x1FFFF210) LVR Reset Operation Control Master Configuration +// +// ( (unsigned char)((COA1_LVRCNFIG >> 8) & 0xFF) ) +// +// +// + + +// ----------------------------- Field Item: COA1_LVRCNFIG_LVRVS -------------------------------- +// SVD Line: 19906 + +// SFDITEM_FIELD__COA1_LVRCNFIG_LVRVS +// LVRVS +// +// [Bits 3..0] RO (@ 0x1FFFF210) \nLVR Voltage Selection\n0 : 4p55 = 4.55V\n1 : 4p25V = 4.25V\n2 : 3p99V = 3.99V\n3 : 3p75V = 3.75V\n4 : 3p55V = 3.55V\n5 : 3p20V = 3.20V\n6 : 3p04V = 3.04V\n7 : 2p67V = 2.67V\n8 : 2p46V = 2.46V\n9 : 2p28V = 2.28V\n10 : 2p13V = 2.13V\n11 : 2p00V = 2.00V\n12 : DNW12 = Do not write.\n13 : DNW13 = Do not write.\n14 : DNW14 = Do not write.\n15 : 1p62V = 1.62V +// +// ( (unsigned int) COA1_LVRCNFIG ) +// LVRVS +// <0=> 0: 4p55 = 4.55V +// <1=> 1: 4p25V = 4.25V +// <2=> 2: 3p99V = 3.99V +// <3=> 3: 3p75V = 3.75V +// <4=> 4: 3p55V = 3.55V +// <5=> 5: 3p20V = 3.20V +// <6=> 6: 3p04V = 3.04V +// <7=> 7: 2p67V = 2.67V +// <8=> 8: 2p46V = 2.46V +// <9=> 9: 2p28V = 2.28V +// <10=> 10: 2p13V = 2.13V +// <11=> 11: 2p00V = 2.00V +// <12=> 12: DNW12 = Do not write. +// <13=> 13: DNW13 = Do not write. +// <14=> 14: DNW14 = Do not write. +// <15=> 15: 1p62V = 1.62V +// +// +// + + +// ------------------------------ Register RTree: COA1_LVRCNFIG --------------------------------- +// SVD Line: 19879 + +// SFDITEM_REG__COA1_LVRCNFIG +// LVRCNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF210) Configuration for Low Voltage Reset +// ( (unsigned int)((COA1_LVRCNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_LVRCNFIG_LVRENM +// SFDITEM_FIELD__COA1_LVRCNFIG_LVRVS +// +// + + +// -------------------------- Register Item Address: COA1_CNFIGWTP1 ----------------------------- +// SVD Line: 19996 + +unsigned int COA1_CNFIGWTP1 __AT (0x1FFFF214); + + + +// ---------------------------- Field Item: COA1_CNFIGWTP1_CP3WP -------------------------------- +// SVD Line: 20005 + +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP3WP +// CP3WP +// +// [Bit 2] RO (@ 0x1FFFF214) \nConfigure Option Page 3 Erase/Write Protection\n0 : Enable = Enable protection. (Not erasable/writable by instruction)\n1 : Disable = Disable protection. (Erasable/writable by instruction) +// +// ( (unsigned int) COA1_CNFIGWTP1 ) +// CP3WP +// <0=> 0: Enable = Enable protection. (Not erasable/writable by instruction) +// <1=> 1: Disable = Disable protection. (Erasable/writable by instruction) +// +// +// + + +// ---------------------------- Field Item: COA1_CNFIGWTP1_CP2WP -------------------------------- +// SVD Line: 20023 + +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP2WP +// CP2WP +// +// [Bit 1] RO (@ 0x1FFFF214) \nConfigure Option Page 2 Erase/Write Protection\n0 : Enable = Enable protection. (Not erasable/writable by instruction)\n1 : Disable = Disable protection. (Erasable/writable by instruction) +// +// ( (unsigned int) COA1_CNFIGWTP1 ) +// CP2WP +// <0=> 0: Enable = Enable protection. (Not erasable/writable by instruction) +// <1=> 1: Disable = Disable protection. (Erasable/writable by instruction) +// +// +// + + +// ---------------------------- Field Item: COA1_CNFIGWTP1_CP1WP -------------------------------- +// SVD Line: 20041 + +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP1WP +// CP1WP +// +// [Bit 0] RO (@ 0x1FFFF214) \nConfigure Option Page 1 Erase/Write Protection\n0 : Enable = Enable protection. (Not erasable/writable by instruction)\n1 : Disable = Disable protection. (Erasable/writable by instruction) +// +// ( (unsigned int) COA1_CNFIGWTP1 ) +// CP1WP +// <0=> 0: Enable = Enable protection. (Not erasable/writable by instruction) +// <1=> 1: Disable = Disable protection. (Erasable/writable by instruction) +// +// +// + + +// ----------------------------- Register RTree: COA1_CNFIGWTP1 --------------------------------- +// SVD Line: 19996 + +// SFDITEM_REG__COA1_CNFIGWTP1 +// CNFIGWTP1 +// +// [Bits 31..0] RO (@ 0x1FFFF214) Erase/Write Protection for Configure Option Page 1/2/3 +// ( (unsigned int)((COA1_CNFIGWTP1 >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP3WP +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP2WP +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP1WP +// +// + + +// --------------------------- Register Item Address: COA1_FMWTP1 ------------------------------- +// SVD Line: 20061 + +unsigned int COA1_FMWTP1 __AT (0x1FFFF240); + + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP31 --------------------------------- +// SVD Line: 20069 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP31 +// SWTP31 +// +// [Bit 31] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 31\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP31 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP30 --------------------------------- +// SVD Line: 20087 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP30 +// SWTP30 +// +// [Bit 30] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 30\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP30 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP29 --------------------------------- +// SVD Line: 20105 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP29 +// SWTP29 +// +// [Bit 29] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 29\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP29 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP28 --------------------------------- +// SVD Line: 20123 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP28 +// SWTP28 +// +// [Bit 28] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 28\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP28 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP27 --------------------------------- +// SVD Line: 20141 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP27 +// SWTP27 +// +// [Bit 27] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 27\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP27 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP26 --------------------------------- +// SVD Line: 20159 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP26 +// SWTP26 +// +// [Bit 26] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 26\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP26 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP25 --------------------------------- +// SVD Line: 20177 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP25 +// SWTP25 +// +// [Bit 25] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 25\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP25 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP24 --------------------------------- +// SVD Line: 20195 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP24 +// SWTP24 +// +// [Bit 24] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 24\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP24 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP23 --------------------------------- +// SVD Line: 20213 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP23 +// SWTP23 +// +// [Bit 23] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 23\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP23 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP22 --------------------------------- +// SVD Line: 20231 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP22 +// SWTP22 +// +// [Bit 22] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 22\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP22 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP21 --------------------------------- +// SVD Line: 20249 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP21 +// SWTP21 +// +// [Bit 21] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 21\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP21 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP20 --------------------------------- +// SVD Line: 20267 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP20 +// SWTP20 +// +// [Bit 20] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 20\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP20 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP19 --------------------------------- +// SVD Line: 20285 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP19 +// SWTP19 +// +// [Bit 19] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 19\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP19 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP18 --------------------------------- +// SVD Line: 20303 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP18 +// SWTP18 +// +// [Bit 18] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 18\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP18 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP17 --------------------------------- +// SVD Line: 20321 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP17 +// SWTP17 +// +// [Bit 17] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 17\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP17 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP16 --------------------------------- +// SVD Line: 20339 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP16 +// SWTP16 +// +// [Bit 16] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 16\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP16 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP15 --------------------------------- +// SVD Line: 20357 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP15 +// SWTP15 +// +// [Bit 15] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 15\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP15 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP14 --------------------------------- +// SVD Line: 20375 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP14 +// SWTP14 +// +// [Bit 14] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 14\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP14 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP13 --------------------------------- +// SVD Line: 20393 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP13 +// SWTP13 +// +// [Bit 13] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 13\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP13 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP12 --------------------------------- +// SVD Line: 20411 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP12 +// SWTP12 +// +// [Bit 12] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 12\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP12 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP11 --------------------------------- +// SVD Line: 20429 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP11 +// SWTP11 +// +// [Bit 11] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 11\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP11 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP10 --------------------------------- +// SVD Line: 20447 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP10 +// SWTP10 +// +// [Bit 10] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 10\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP10 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP9 --------------------------------- +// SVD Line: 20465 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP9 +// SWTP9 +// +// [Bit 9] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 9\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP9 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP8 --------------------------------- +// SVD Line: 20483 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP8 +// SWTP8 +// +// [Bit 8] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 8\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP8 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP7 --------------------------------- +// SVD Line: 20501 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP7 +// SWTP7 +// +// [Bit 7] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 7\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP7 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP6 --------------------------------- +// SVD Line: 20519 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP6 +// SWTP6 +// +// [Bit 6] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 6\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP6 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP5 --------------------------------- +// SVD Line: 20537 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP5 +// SWTP5 +// +// [Bit 5] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 5\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP5 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP4 --------------------------------- +// SVD Line: 20555 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP4 +// SWTP4 +// +// [Bit 4] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 4\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP4 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP3 --------------------------------- +// SVD Line: 20573 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP3 +// SWTP3 +// +// [Bit 3] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 3\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP3 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP2 --------------------------------- +// SVD Line: 20591 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP2 +// SWTP2 +// +// [Bit 2] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 2\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP2 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP1 --------------------------------- +// SVD Line: 20609 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP1 +// SWTP1 +// +// [Bit 1] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 1\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP1 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP0 --------------------------------- +// SVD Line: 20627 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP0 +// SWTP0 +// +// [Bit 0] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 0\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP0 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------- Register RTree: COA1_FMWTP1 ---------------------------------- +// SVD Line: 20061 + +// SFDITEM_REG__COA1_FMWTP1 +// FMWTP1 +// +// [Bits 31..0] RO (@ 0x1FFFF240) Erase/Write Protection for Flash Memory +// ( (unsigned int)((COA1_FMWTP1 >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_FMWTP1_SWTP31 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP30 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP29 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP28 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP27 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP26 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP25 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP24 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP23 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP22 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP21 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP20 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP19 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP18 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP17 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP16 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP15 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP14 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP13 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP12 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP11 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP10 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP9 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP8 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP7 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP6 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP5 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP4 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP3 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP2 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP1 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP0 +// +// + + +// ---------------------------------- Peripheral View: COA1 ------------------------------------- +// SVD Line: 19722 + +// COA1 +// COA1 +// SFDITEM_REG__COA1_RPCNFIG +// SFDITEM_REG__COA1_WDTCNFIG +// SFDITEM_REG__COA1_LVRCNFIG +// SFDITEM_REG__COA1_CNFIGWTP1 +// SFDITEM_REG__COA1_FMWTP1 +// +// + + +// --------------------------- Register Item Address: COA2_UDATA00 ------------------------------ +// SVD Line: 20663 + +unsigned int COA2_UDATA00 __AT (0x1FFFF400); + + + +// ------------------------------- Register Item: COA2_UDATA00 ---------------------------------- +// SVD Line: 20663 + +// SFDITEM_REG__COA2_UDATA00 +// UDATA00 +// [Bits 31..0] RO (@ 0x1FFFF400) User Data 00 +// +// ( (unsigned int)((COA2_UDATA00 >> 0) & 0xFFFFFFFF), ((COA2_UDATA00 = (COA2_UDATA00 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA01 ------------------------------ +// SVD Line: 20671 + +unsigned int COA2_UDATA01 __AT (0x1FFFF404); + + + +// ------------------------------- Register Item: COA2_UDATA01 ---------------------------------- +// SVD Line: 20671 + +// SFDITEM_REG__COA2_UDATA01 +// UDATA01 +// [Bits 31..0] RO (@ 0x1FFFF404) User Data 01 +// +// ( (unsigned int)((COA2_UDATA01 >> 0) & 0xFFFFFFFF), ((COA2_UDATA01 = (COA2_UDATA01 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA02 ------------------------------ +// SVD Line: 20679 + +unsigned int COA2_UDATA02 __AT (0x1FFFF408); + + + +// ------------------------------- Register Item: COA2_UDATA02 ---------------------------------- +// SVD Line: 20679 + +// SFDITEM_REG__COA2_UDATA02 +// UDATA02 +// [Bits 31..0] RO (@ 0x1FFFF408) User Data 02 +// +// ( (unsigned int)((COA2_UDATA02 >> 0) & 0xFFFFFFFF), ((COA2_UDATA02 = (COA2_UDATA02 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA03 ------------------------------ +// SVD Line: 20687 + +unsigned int COA2_UDATA03 __AT (0x1FFFF40C); + + + +// ------------------------------- Register Item: COA2_UDATA03 ---------------------------------- +// SVD Line: 20687 + +// SFDITEM_REG__COA2_UDATA03 +// UDATA03 +// [Bits 31..0] RO (@ 0x1FFFF40C) User Data 03 +// +// ( (unsigned int)((COA2_UDATA03 >> 0) & 0xFFFFFFFF), ((COA2_UDATA03 = (COA2_UDATA03 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA04 ------------------------------ +// SVD Line: 20695 + +unsigned int COA2_UDATA04 __AT (0x1FFFF410); + + + +// ------------------------------- Register Item: COA2_UDATA04 ---------------------------------- +// SVD Line: 20695 + +// SFDITEM_REG__COA2_UDATA04 +// UDATA04 +// [Bits 31..0] RO (@ 0x1FFFF410) User Data 04 +// +// ( (unsigned int)((COA2_UDATA04 >> 0) & 0xFFFFFFFF), ((COA2_UDATA04 = (COA2_UDATA04 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA05 ------------------------------ +// SVD Line: 20703 + +unsigned int COA2_UDATA05 __AT (0x1FFFF414); + + + +// ------------------------------- Register Item: COA2_UDATA05 ---------------------------------- +// SVD Line: 20703 + +// SFDITEM_REG__COA2_UDATA05 +// UDATA05 +// [Bits 31..0] RO (@ 0x1FFFF414) User Data 05 +// +// ( (unsigned int)((COA2_UDATA05 >> 0) & 0xFFFFFFFF), ((COA2_UDATA05 = (COA2_UDATA05 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA06 ------------------------------ +// SVD Line: 20711 + +unsigned int COA2_UDATA06 __AT (0x1FFFF418); + + + +// ------------------------------- Register Item: COA2_UDATA06 ---------------------------------- +// SVD Line: 20711 + +// SFDITEM_REG__COA2_UDATA06 +// UDATA06 +// [Bits 31..0] RO (@ 0x1FFFF418) User Data 06 +// +// ( (unsigned int)((COA2_UDATA06 >> 0) & 0xFFFFFFFF), ((COA2_UDATA06 = (COA2_UDATA06 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA07 ------------------------------ +// SVD Line: 20719 + +unsigned int COA2_UDATA07 __AT (0x1FFFF41C); + + + +// ------------------------------- Register Item: COA2_UDATA07 ---------------------------------- +// SVD Line: 20719 + +// SFDITEM_REG__COA2_UDATA07 +// UDATA07 +// [Bits 31..0] RO (@ 0x1FFFF41C) User Data 07 +// +// ( (unsigned int)((COA2_UDATA07 >> 0) & 0xFFFFFFFF), ((COA2_UDATA07 = (COA2_UDATA07 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA08 ------------------------------ +// SVD Line: 20727 + +unsigned int COA2_UDATA08 __AT (0x1FFFF420); + + + +// ------------------------------- Register Item: COA2_UDATA08 ---------------------------------- +// SVD Line: 20727 + +// SFDITEM_REG__COA2_UDATA08 +// UDATA08 +// [Bits 31..0] RO (@ 0x1FFFF420) User Data 08 +// +// ( (unsigned int)((COA2_UDATA08 >> 0) & 0xFFFFFFFF), ((COA2_UDATA08 = (COA2_UDATA08 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA09 ------------------------------ +// SVD Line: 20735 + +unsigned int COA2_UDATA09 __AT (0x1FFFF424); + + + +// ------------------------------- Register Item: COA2_UDATA09 ---------------------------------- +// SVD Line: 20735 + +// SFDITEM_REG__COA2_UDATA09 +// UDATA09 +// [Bits 31..0] RO (@ 0x1FFFF424) User Data 09 +// +// ( (unsigned int)((COA2_UDATA09 >> 0) & 0xFFFFFFFF), ((COA2_UDATA09 = (COA2_UDATA09 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA10 ------------------------------ +// SVD Line: 20743 + +unsigned int COA2_UDATA10 __AT (0x1FFFF428); + + + +// ------------------------------- Register Item: COA2_UDATA10 ---------------------------------- +// SVD Line: 20743 + +// SFDITEM_REG__COA2_UDATA10 +// UDATA10 +// [Bits 31..0] RO (@ 0x1FFFF428) User Data 10 +// +// ( (unsigned int)((COA2_UDATA10 >> 0) & 0xFFFFFFFF), ((COA2_UDATA10 = (COA2_UDATA10 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA11 ------------------------------ +// SVD Line: 20751 + +unsigned int COA2_UDATA11 __AT (0x1FFFF42C); + + + +// ------------------------------- Register Item: COA2_UDATA11 ---------------------------------- +// SVD Line: 20751 + +// SFDITEM_REG__COA2_UDATA11 +// UDATA11 +// [Bits 31..0] RO (@ 0x1FFFF42C) User Data 11 +// +// ( (unsigned int)((COA2_UDATA11 >> 0) & 0xFFFFFFFF), ((COA2_UDATA11 = (COA2_UDATA11 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA12 ------------------------------ +// SVD Line: 20759 + +unsigned int COA2_UDATA12 __AT (0x1FFFF430); + + + +// ------------------------------- Register Item: COA2_UDATA12 ---------------------------------- +// SVD Line: 20759 + +// SFDITEM_REG__COA2_UDATA12 +// UDATA12 +// [Bits 31..0] RO (@ 0x1FFFF430) User Data 12 +// +// ( (unsigned int)((COA2_UDATA12 >> 0) & 0xFFFFFFFF), ((COA2_UDATA12 = (COA2_UDATA12 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA13 ------------------------------ +// SVD Line: 20767 + +unsigned int COA2_UDATA13 __AT (0x1FFFF434); + + + +// ------------------------------- Register Item: COA2_UDATA13 ---------------------------------- +// SVD Line: 20767 + +// SFDITEM_REG__COA2_UDATA13 +// UDATA13 +// [Bits 31..0] RO (@ 0x1FFFF434) User Data 13 +// +// ( (unsigned int)((COA2_UDATA13 >> 0) & 0xFFFFFFFF), ((COA2_UDATA13 = (COA2_UDATA13 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA14 ------------------------------ +// SVD Line: 20775 + +unsigned int COA2_UDATA14 __AT (0x1FFFF438); + + + +// ------------------------------- Register Item: COA2_UDATA14 ---------------------------------- +// SVD Line: 20775 + +// SFDITEM_REG__COA2_UDATA14 +// UDATA14 +// [Bits 31..0] RO (@ 0x1FFFF438) User Data 14 +// +// ( (unsigned int)((COA2_UDATA14 >> 0) & 0xFFFFFFFF), ((COA2_UDATA14 = (COA2_UDATA14 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA15 ------------------------------ +// SVD Line: 20783 + +unsigned int COA2_UDATA15 __AT (0x1FFFF43C); + + + +// ------------------------------- Register Item: COA2_UDATA15 ---------------------------------- +// SVD Line: 20783 + +// SFDITEM_REG__COA2_UDATA15 +// UDATA15 +// [Bits 31..0] RO (@ 0x1FFFF43C) User Data 15 +// +// ( (unsigned int)((COA2_UDATA15 >> 0) & 0xFFFFFFFF), ((COA2_UDATA15 = (COA2_UDATA15 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA16 ------------------------------ +// SVD Line: 20791 + +unsigned int COA2_UDATA16 __AT (0x1FFFF440); + + + +// ------------------------------- Register Item: COA2_UDATA16 ---------------------------------- +// SVD Line: 20791 + +// SFDITEM_REG__COA2_UDATA16 +// UDATA16 +// [Bits 31..0] RO (@ 0x1FFFF440) User Data 16 +// +// ( (unsigned int)((COA2_UDATA16 >> 0) & 0xFFFFFFFF), ((COA2_UDATA16 = (COA2_UDATA16 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA17 ------------------------------ +// SVD Line: 20799 + +unsigned int COA2_UDATA17 __AT (0x1FFFF444); + + + +// ------------------------------- Register Item: COA2_UDATA17 ---------------------------------- +// SVD Line: 20799 + +// SFDITEM_REG__COA2_UDATA17 +// UDATA17 +// [Bits 31..0] RO (@ 0x1FFFF444) User Data 17 +// +// ( (unsigned int)((COA2_UDATA17 >> 0) & 0xFFFFFFFF), ((COA2_UDATA17 = (COA2_UDATA17 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA18 ------------------------------ +// SVD Line: 20807 + +unsigned int COA2_UDATA18 __AT (0x1FFFF448); + + + +// ------------------------------- Register Item: COA2_UDATA18 ---------------------------------- +// SVD Line: 20807 + +// SFDITEM_REG__COA2_UDATA18 +// UDATA18 +// [Bits 31..0] RO (@ 0x1FFFF448) User Data 18 +// +// ( (unsigned int)((COA2_UDATA18 >> 0) & 0xFFFFFFFF), ((COA2_UDATA18 = (COA2_UDATA18 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA19 ------------------------------ +// SVD Line: 20815 + +unsigned int COA2_UDATA19 __AT (0x1FFFF44C); + + + +// ------------------------------- Register Item: COA2_UDATA19 ---------------------------------- +// SVD Line: 20815 + +// SFDITEM_REG__COA2_UDATA19 +// UDATA19 +// [Bits 31..0] RO (@ 0x1FFFF44C) User Data 19 +// +// ( (unsigned int)((COA2_UDATA19 >> 0) & 0xFFFFFFFF), ((COA2_UDATA19 = (COA2_UDATA19 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA20 ------------------------------ +// SVD Line: 20823 + +unsigned int COA2_UDATA20 __AT (0x1FFFF450); + + + +// ------------------------------- Register Item: COA2_UDATA20 ---------------------------------- +// SVD Line: 20823 + +// SFDITEM_REG__COA2_UDATA20 +// UDATA20 +// [Bits 31..0] RO (@ 0x1FFFF450) User Data 20 +// +// ( (unsigned int)((COA2_UDATA20 >> 0) & 0xFFFFFFFF), ((COA2_UDATA20 = (COA2_UDATA20 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA21 ------------------------------ +// SVD Line: 20831 + +unsigned int COA2_UDATA21 __AT (0x1FFFF454); + + + +// ------------------------------- Register Item: COA2_UDATA21 ---------------------------------- +// SVD Line: 20831 + +// SFDITEM_REG__COA2_UDATA21 +// UDATA21 +// [Bits 31..0] RO (@ 0x1FFFF454) User Data 21 +// +// ( (unsigned int)((COA2_UDATA21 >> 0) & 0xFFFFFFFF), ((COA2_UDATA21 = (COA2_UDATA21 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA22 ------------------------------ +// SVD Line: 20839 + +unsigned int COA2_UDATA22 __AT (0x1FFFF458); + + + +// ------------------------------- Register Item: COA2_UDATA22 ---------------------------------- +// SVD Line: 20839 + +// SFDITEM_REG__COA2_UDATA22 +// UDATA22 +// [Bits 31..0] RO (@ 0x1FFFF458) User Data 22 +// +// ( (unsigned int)((COA2_UDATA22 >> 0) & 0xFFFFFFFF), ((COA2_UDATA22 = (COA2_UDATA22 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA23 ------------------------------ +// SVD Line: 20847 + +unsigned int COA2_UDATA23 __AT (0x1FFFF45C); + + + +// ------------------------------- Register Item: COA2_UDATA23 ---------------------------------- +// SVD Line: 20847 + +// SFDITEM_REG__COA2_UDATA23 +// UDATA23 +// [Bits 31..0] RO (@ 0x1FFFF45C) User Data 23 +// +// ( (unsigned int)((COA2_UDATA23 >> 0) & 0xFFFFFFFF), ((COA2_UDATA23 = (COA2_UDATA23 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA24 ------------------------------ +// SVD Line: 20855 + +unsigned int COA2_UDATA24 __AT (0x1FFFF460); + + + +// ------------------------------- Register Item: COA2_UDATA24 ---------------------------------- +// SVD Line: 20855 + +// SFDITEM_REG__COA2_UDATA24 +// UDATA24 +// [Bits 31..0] RO (@ 0x1FFFF460) User Data 24 +// +// ( (unsigned int)((COA2_UDATA24 >> 0) & 0xFFFFFFFF), ((COA2_UDATA24 = (COA2_UDATA24 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA25 ------------------------------ +// SVD Line: 20863 + +unsigned int COA2_UDATA25 __AT (0x1FFFF464); + + + +// ------------------------------- Register Item: COA2_UDATA25 ---------------------------------- +// SVD Line: 20863 + +// SFDITEM_REG__COA2_UDATA25 +// UDATA25 +// [Bits 31..0] RO (@ 0x1FFFF464) User Data 25 +// +// ( (unsigned int)((COA2_UDATA25 >> 0) & 0xFFFFFFFF), ((COA2_UDATA25 = (COA2_UDATA25 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA26 ------------------------------ +// SVD Line: 20871 + +unsigned int COA2_UDATA26 __AT (0x1FFFF468); + + + +// ------------------------------- Register Item: COA2_UDATA26 ---------------------------------- +// SVD Line: 20871 + +// SFDITEM_REG__COA2_UDATA26 +// UDATA26 +// [Bits 31..0] RO (@ 0x1FFFF468) User Data 26 +// +// ( (unsigned int)((COA2_UDATA26 >> 0) & 0xFFFFFFFF), ((COA2_UDATA26 = (COA2_UDATA26 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA27 ------------------------------ +// SVD Line: 20879 + +unsigned int COA2_UDATA27 __AT (0x1FFFF46C); + + + +// ------------------------------- Register Item: COA2_UDATA27 ---------------------------------- +// SVD Line: 20879 + +// SFDITEM_REG__COA2_UDATA27 +// UDATA27 +// [Bits 31..0] RO (@ 0x1FFFF46C) User Data 27 +// +// ( (unsigned int)((COA2_UDATA27 >> 0) & 0xFFFFFFFF), ((COA2_UDATA27 = (COA2_UDATA27 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA28 ------------------------------ +// SVD Line: 20887 + +unsigned int COA2_UDATA28 __AT (0x1FFFF470); + + + +// ------------------------------- Register Item: COA2_UDATA28 ---------------------------------- +// SVD Line: 20887 + +// SFDITEM_REG__COA2_UDATA28 +// UDATA28 +// [Bits 31..0] RO (@ 0x1FFFF470) User Data 28 +// +// ( (unsigned int)((COA2_UDATA28 >> 0) & 0xFFFFFFFF), ((COA2_UDATA28 = (COA2_UDATA28 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA29 ------------------------------ +// SVD Line: 20895 + +unsigned int COA2_UDATA29 __AT (0x1FFFF474); + + + +// ------------------------------- Register Item: COA2_UDATA29 ---------------------------------- +// SVD Line: 20895 + +// SFDITEM_REG__COA2_UDATA29 +// UDATA29 +// [Bits 31..0] RO (@ 0x1FFFF474) User Data 29 +// +// ( (unsigned int)((COA2_UDATA29 >> 0) & 0xFFFFFFFF), ((COA2_UDATA29 = (COA2_UDATA29 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA30 ------------------------------ +// SVD Line: 20903 + +unsigned int COA2_UDATA30 __AT (0x1FFFF478); + + + +// ------------------------------- Register Item: COA2_UDATA30 ---------------------------------- +// SVD Line: 20903 + +// SFDITEM_REG__COA2_UDATA30 +// UDATA30 +// [Bits 31..0] RO (@ 0x1FFFF478) User Data 30 +// +// ( (unsigned int)((COA2_UDATA30 >> 0) & 0xFFFFFFFF), ((COA2_UDATA30 = (COA2_UDATA30 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA31 ------------------------------ +// SVD Line: 20911 + +unsigned int COA2_UDATA31 __AT (0x1FFFF47C); + + + +// ------------------------------- Register Item: COA2_UDATA31 ---------------------------------- +// SVD Line: 20911 + +// SFDITEM_REG__COA2_UDATA31 +// UDATA31 +// [Bits 31..0] RO (@ 0x1FFFF47C) User Data 31 +// +// ( (unsigned int)((COA2_UDATA31 >> 0) & 0xFFFFFFFF), ((COA2_UDATA31 = (COA2_UDATA31 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: COA2 ------------------------------------- +// SVD Line: 20649 + +// COA2 +// COA2 +// SFDITEM_REG__COA2_UDATA00 +// SFDITEM_REG__COA2_UDATA01 +// SFDITEM_REG__COA2_UDATA02 +// SFDITEM_REG__COA2_UDATA03 +// SFDITEM_REG__COA2_UDATA04 +// SFDITEM_REG__COA2_UDATA05 +// SFDITEM_REG__COA2_UDATA06 +// SFDITEM_REG__COA2_UDATA07 +// SFDITEM_REG__COA2_UDATA08 +// SFDITEM_REG__COA2_UDATA09 +// SFDITEM_REG__COA2_UDATA10 +// SFDITEM_REG__COA2_UDATA11 +// SFDITEM_REG__COA2_UDATA12 +// SFDITEM_REG__COA2_UDATA13 +// SFDITEM_REG__COA2_UDATA14 +// SFDITEM_REG__COA2_UDATA15 +// SFDITEM_REG__COA2_UDATA16 +// SFDITEM_REG__COA2_UDATA17 +// SFDITEM_REG__COA2_UDATA18 +// SFDITEM_REG__COA2_UDATA19 +// SFDITEM_REG__COA2_UDATA20 +// SFDITEM_REG__COA2_UDATA21 +// SFDITEM_REG__COA2_UDATA22 +// SFDITEM_REG__COA2_UDATA23 +// SFDITEM_REG__COA2_UDATA24 +// SFDITEM_REG__COA2_UDATA25 +// SFDITEM_REG__COA2_UDATA26 +// SFDITEM_REG__COA2_UDATA27 +// SFDITEM_REG__COA2_UDATA28 +// SFDITEM_REG__COA2_UDATA29 +// SFDITEM_REG__COA2_UDATA30 +// SFDITEM_REG__COA2_UDATA31 +// +// + + +// --------------------------- Register Item Address: COA3_UDATA00 ------------------------------ +// SVD Line: 20935 + +unsigned int COA3_UDATA00 __AT (0x1FFFF600); + + + +// ------------------------------- Register Item: COA3_UDATA00 ---------------------------------- +// SVD Line: 20935 + +// SFDITEM_REG__COA3_UDATA00 +// UDATA00 +// [Bits 31..0] RO (@ 0x1FFFF600) User Data 00 +// +// ( (unsigned int)((COA3_UDATA00 >> 0) & 0xFFFFFFFF), ((COA3_UDATA00 = (COA3_UDATA00 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA01 ------------------------------ +// SVD Line: 20943 + +unsigned int COA3_UDATA01 __AT (0x1FFFF604); + + + +// ------------------------------- Register Item: COA3_UDATA01 ---------------------------------- +// SVD Line: 20943 + +// SFDITEM_REG__COA3_UDATA01 +// UDATA01 +// [Bits 31..0] RO (@ 0x1FFFF604) User Data 01 +// +// ( (unsigned int)((COA3_UDATA01 >> 0) & 0xFFFFFFFF), ((COA3_UDATA01 = (COA3_UDATA01 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA02 ------------------------------ +// SVD Line: 20951 + +unsigned int COA3_UDATA02 __AT (0x1FFFF608); + + + +// ------------------------------- Register Item: COA3_UDATA02 ---------------------------------- +// SVD Line: 20951 + +// SFDITEM_REG__COA3_UDATA02 +// UDATA02 +// [Bits 31..0] RO (@ 0x1FFFF608) User Data 02 +// +// ( (unsigned int)((COA3_UDATA02 >> 0) & 0xFFFFFFFF), ((COA3_UDATA02 = (COA3_UDATA02 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA03 ------------------------------ +// SVD Line: 20959 + +unsigned int COA3_UDATA03 __AT (0x1FFFF60C); + + + +// ------------------------------- Register Item: COA3_UDATA03 ---------------------------------- +// SVD Line: 20959 + +// SFDITEM_REG__COA3_UDATA03 +// UDATA03 +// [Bits 31..0] RO (@ 0x1FFFF60C) User Data 03 +// +// ( (unsigned int)((COA3_UDATA03 >> 0) & 0xFFFFFFFF), ((COA3_UDATA03 = (COA3_UDATA03 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA04 ------------------------------ +// SVD Line: 20967 + +unsigned int COA3_UDATA04 __AT (0x1FFFF610); + + + +// ------------------------------- Register Item: COA3_UDATA04 ---------------------------------- +// SVD Line: 20967 + +// SFDITEM_REG__COA3_UDATA04 +// UDATA04 +// [Bits 31..0] RO (@ 0x1FFFF610) User Data 04 +// +// ( (unsigned int)((COA3_UDATA04 >> 0) & 0xFFFFFFFF), ((COA3_UDATA04 = (COA3_UDATA04 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA05 ------------------------------ +// SVD Line: 20975 + +unsigned int COA3_UDATA05 __AT (0x1FFFF614); + + + +// ------------------------------- Register Item: COA3_UDATA05 ---------------------------------- +// SVD Line: 20975 + +// SFDITEM_REG__COA3_UDATA05 +// UDATA05 +// [Bits 31..0] RO (@ 0x1FFFF614) User Data 05 +// +// ( (unsigned int)((COA3_UDATA05 >> 0) & 0xFFFFFFFF), ((COA3_UDATA05 = (COA3_UDATA05 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA06 ------------------------------ +// SVD Line: 20983 + +unsigned int COA3_UDATA06 __AT (0x1FFFF618); + + + +// ------------------------------- Register Item: COA3_UDATA06 ---------------------------------- +// SVD Line: 20983 + +// SFDITEM_REG__COA3_UDATA06 +// UDATA06 +// [Bits 31..0] RO (@ 0x1FFFF618) User Data 06 +// +// ( (unsigned int)((COA3_UDATA06 >> 0) & 0xFFFFFFFF), ((COA3_UDATA06 = (COA3_UDATA06 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA07 ------------------------------ +// SVD Line: 20991 + +unsigned int COA3_UDATA07 __AT (0x1FFFF61C); + + + +// ------------------------------- Register Item: COA3_UDATA07 ---------------------------------- +// SVD Line: 20991 + +// SFDITEM_REG__COA3_UDATA07 +// UDATA07 +// [Bits 31..0] RO (@ 0x1FFFF61C) User Data 07 +// +// ( (unsigned int)((COA3_UDATA07 >> 0) & 0xFFFFFFFF), ((COA3_UDATA07 = (COA3_UDATA07 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA08 ------------------------------ +// SVD Line: 20999 + +unsigned int COA3_UDATA08 __AT (0x1FFFF620); + + + +// ------------------------------- Register Item: COA3_UDATA08 ---------------------------------- +// SVD Line: 20999 + +// SFDITEM_REG__COA3_UDATA08 +// UDATA08 +// [Bits 31..0] RO (@ 0x1FFFF620) User Data 08 +// +// ( (unsigned int)((COA3_UDATA08 >> 0) & 0xFFFFFFFF), ((COA3_UDATA08 = (COA3_UDATA08 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA09 ------------------------------ +// SVD Line: 21007 + +unsigned int COA3_UDATA09 __AT (0x1FFFF624); + + + +// ------------------------------- Register Item: COA3_UDATA09 ---------------------------------- +// SVD Line: 21007 + +// SFDITEM_REG__COA3_UDATA09 +// UDATA09 +// [Bits 31..0] RO (@ 0x1FFFF624) User Data 09 +// +// ( (unsigned int)((COA3_UDATA09 >> 0) & 0xFFFFFFFF), ((COA3_UDATA09 = (COA3_UDATA09 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA10 ------------------------------ +// SVD Line: 21015 + +unsigned int COA3_UDATA10 __AT (0x1FFFF628); + + + +// ------------------------------- Register Item: COA3_UDATA10 ---------------------------------- +// SVD Line: 21015 + +// SFDITEM_REG__COA3_UDATA10 +// UDATA10 +// [Bits 31..0] RO (@ 0x1FFFF628) User Data 10 +// +// ( (unsigned int)((COA3_UDATA10 >> 0) & 0xFFFFFFFF), ((COA3_UDATA10 = (COA3_UDATA10 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA11 ------------------------------ +// SVD Line: 21023 + +unsigned int COA3_UDATA11 __AT (0x1FFFF62C); + + + +// ------------------------------- Register Item: COA3_UDATA11 ---------------------------------- +// SVD Line: 21023 + +// SFDITEM_REG__COA3_UDATA11 +// UDATA11 +// [Bits 31..0] RO (@ 0x1FFFF62C) User Data 11 +// +// ( (unsigned int)((COA3_UDATA11 >> 0) & 0xFFFFFFFF), ((COA3_UDATA11 = (COA3_UDATA11 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA12 ------------------------------ +// SVD Line: 21031 + +unsigned int COA3_UDATA12 __AT (0x1FFFF630); + + + +// ------------------------------- Register Item: COA3_UDATA12 ---------------------------------- +// SVD Line: 21031 + +// SFDITEM_REG__COA3_UDATA12 +// UDATA12 +// [Bits 31..0] RO (@ 0x1FFFF630) User Data 12 +// +// ( (unsigned int)((COA3_UDATA12 >> 0) & 0xFFFFFFFF), ((COA3_UDATA12 = (COA3_UDATA12 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA13 ------------------------------ +// SVD Line: 21039 + +unsigned int COA3_UDATA13 __AT (0x1FFFF634); + + + +// ------------------------------- Register Item: COA3_UDATA13 ---------------------------------- +// SVD Line: 21039 + +// SFDITEM_REG__COA3_UDATA13 +// UDATA13 +// [Bits 31..0] RO (@ 0x1FFFF634) User Data 13 +// +// ( (unsigned int)((COA3_UDATA13 >> 0) & 0xFFFFFFFF), ((COA3_UDATA13 = (COA3_UDATA13 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA14 ------------------------------ +// SVD Line: 21047 + +unsigned int COA3_UDATA14 __AT (0x1FFFF638); + + + +// ------------------------------- Register Item: COA3_UDATA14 ---------------------------------- +// SVD Line: 21047 + +// SFDITEM_REG__COA3_UDATA14 +// UDATA14 +// [Bits 31..0] RO (@ 0x1FFFF638) User Data 14 +// +// ( (unsigned int)((COA3_UDATA14 >> 0) & 0xFFFFFFFF), ((COA3_UDATA14 = (COA3_UDATA14 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA15 ------------------------------ +// SVD Line: 21055 + +unsigned int COA3_UDATA15 __AT (0x1FFFF63C); + + + +// ------------------------------- Register Item: COA3_UDATA15 ---------------------------------- +// SVD Line: 21055 + +// SFDITEM_REG__COA3_UDATA15 +// UDATA15 +// [Bits 31..0] RO (@ 0x1FFFF63C) User Data 15 +// +// ( (unsigned int)((COA3_UDATA15 >> 0) & 0xFFFFFFFF), ((COA3_UDATA15 = (COA3_UDATA15 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA16 ------------------------------ +// SVD Line: 21063 + +unsigned int COA3_UDATA16 __AT (0x1FFFF640); + + + +// ------------------------------- Register Item: COA3_UDATA16 ---------------------------------- +// SVD Line: 21063 + +// SFDITEM_REG__COA3_UDATA16 +// UDATA16 +// [Bits 31..0] RO (@ 0x1FFFF640) User Data 16 +// +// ( (unsigned int)((COA3_UDATA16 >> 0) & 0xFFFFFFFF), ((COA3_UDATA16 = (COA3_UDATA16 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA17 ------------------------------ +// SVD Line: 21071 + +unsigned int COA3_UDATA17 __AT (0x1FFFF644); + + + +// ------------------------------- Register Item: COA3_UDATA17 ---------------------------------- +// SVD Line: 21071 + +// SFDITEM_REG__COA3_UDATA17 +// UDATA17 +// [Bits 31..0] RO (@ 0x1FFFF644) User Data 17 +// +// ( (unsigned int)((COA3_UDATA17 >> 0) & 0xFFFFFFFF), ((COA3_UDATA17 = (COA3_UDATA17 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA18 ------------------------------ +// SVD Line: 21079 + +unsigned int COA3_UDATA18 __AT (0x1FFFF648); + + + +// ------------------------------- Register Item: COA3_UDATA18 ---------------------------------- +// SVD Line: 21079 + +// SFDITEM_REG__COA3_UDATA18 +// UDATA18 +// [Bits 31..0] RO (@ 0x1FFFF648) User Data 18 +// +// ( (unsigned int)((COA3_UDATA18 >> 0) & 0xFFFFFFFF), ((COA3_UDATA18 = (COA3_UDATA18 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA19 ------------------------------ +// SVD Line: 21087 + +unsigned int COA3_UDATA19 __AT (0x1FFFF64C); + + + +// ------------------------------- Register Item: COA3_UDATA19 ---------------------------------- +// SVD Line: 21087 + +// SFDITEM_REG__COA3_UDATA19 +// UDATA19 +// [Bits 31..0] RO (@ 0x1FFFF64C) User Data 19 +// +// ( (unsigned int)((COA3_UDATA19 >> 0) & 0xFFFFFFFF), ((COA3_UDATA19 = (COA3_UDATA19 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA20 ------------------------------ +// SVD Line: 21095 + +unsigned int COA3_UDATA20 __AT (0x1FFFF650); + + + +// ------------------------------- Register Item: COA3_UDATA20 ---------------------------------- +// SVD Line: 21095 + +// SFDITEM_REG__COA3_UDATA20 +// UDATA20 +// [Bits 31..0] RO (@ 0x1FFFF650) User Data 20 +// +// ( (unsigned int)((COA3_UDATA20 >> 0) & 0xFFFFFFFF), ((COA3_UDATA20 = (COA3_UDATA20 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA21 ------------------------------ +// SVD Line: 21103 + +unsigned int COA3_UDATA21 __AT (0x1FFFF654); + + + +// ------------------------------- Register Item: COA3_UDATA21 ---------------------------------- +// SVD Line: 21103 + +// SFDITEM_REG__COA3_UDATA21 +// UDATA21 +// [Bits 31..0] RO (@ 0x1FFFF654) User Data 21 +// +// ( (unsigned int)((COA3_UDATA21 >> 0) & 0xFFFFFFFF), ((COA3_UDATA21 = (COA3_UDATA21 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA22 ------------------------------ +// SVD Line: 21111 + +unsigned int COA3_UDATA22 __AT (0x1FFFF658); + + + +// ------------------------------- Register Item: COA3_UDATA22 ---------------------------------- +// SVD Line: 21111 + +// SFDITEM_REG__COA3_UDATA22 +// UDATA22 +// [Bits 31..0] RO (@ 0x1FFFF658) User Data 22 +// +// ( (unsigned int)((COA3_UDATA22 >> 0) & 0xFFFFFFFF), ((COA3_UDATA22 = (COA3_UDATA22 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA23 ------------------------------ +// SVD Line: 21119 + +unsigned int COA3_UDATA23 __AT (0x1FFFF65C); + + + +// ------------------------------- Register Item: COA3_UDATA23 ---------------------------------- +// SVD Line: 21119 + +// SFDITEM_REG__COA3_UDATA23 +// UDATA23 +// [Bits 31..0] RO (@ 0x1FFFF65C) User Data 23 +// +// ( (unsigned int)((COA3_UDATA23 >> 0) & 0xFFFFFFFF), ((COA3_UDATA23 = (COA3_UDATA23 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA24 ------------------------------ +// SVD Line: 21127 + +unsigned int COA3_UDATA24 __AT (0x1FFFF660); + + + +// ------------------------------- Register Item: COA3_UDATA24 ---------------------------------- +// SVD Line: 21127 + +// SFDITEM_REG__COA3_UDATA24 +// UDATA24 +// [Bits 31..0] RO (@ 0x1FFFF660) User Data 24 +// +// ( (unsigned int)((COA3_UDATA24 >> 0) & 0xFFFFFFFF), ((COA3_UDATA24 = (COA3_UDATA24 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA25 ------------------------------ +// SVD Line: 21135 + +unsigned int COA3_UDATA25 __AT (0x1FFFF664); + + + +// ------------------------------- Register Item: COA3_UDATA25 ---------------------------------- +// SVD Line: 21135 + +// SFDITEM_REG__COA3_UDATA25 +// UDATA25 +// [Bits 31..0] RO (@ 0x1FFFF664) User Data 25 +// +// ( (unsigned int)((COA3_UDATA25 >> 0) & 0xFFFFFFFF), ((COA3_UDATA25 = (COA3_UDATA25 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA26 ------------------------------ +// SVD Line: 21143 + +unsigned int COA3_UDATA26 __AT (0x1FFFF668); + + + +// ------------------------------- Register Item: COA3_UDATA26 ---------------------------------- +// SVD Line: 21143 + +// SFDITEM_REG__COA3_UDATA26 +// UDATA26 +// [Bits 31..0] RO (@ 0x1FFFF668) User Data 26 +// +// ( (unsigned int)((COA3_UDATA26 >> 0) & 0xFFFFFFFF), ((COA3_UDATA26 = (COA3_UDATA26 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA27 ------------------------------ +// SVD Line: 21151 + +unsigned int COA3_UDATA27 __AT (0x1FFFF66C); + + + +// ------------------------------- Register Item: COA3_UDATA27 ---------------------------------- +// SVD Line: 21151 + +// SFDITEM_REG__COA3_UDATA27 +// UDATA27 +// [Bits 31..0] RO (@ 0x1FFFF66C) User Data 27 +// +// ( (unsigned int)((COA3_UDATA27 >> 0) & 0xFFFFFFFF), ((COA3_UDATA27 = (COA3_UDATA27 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA28 ------------------------------ +// SVD Line: 21159 + +unsigned int COA3_UDATA28 __AT (0x1FFFF670); + + + +// ------------------------------- Register Item: COA3_UDATA28 ---------------------------------- +// SVD Line: 21159 + +// SFDITEM_REG__COA3_UDATA28 +// UDATA28 +// [Bits 31..0] RO (@ 0x1FFFF670) User Data 28 +// +// ( (unsigned int)((COA3_UDATA28 >> 0) & 0xFFFFFFFF), ((COA3_UDATA28 = (COA3_UDATA28 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA29 ------------------------------ +// SVD Line: 21167 + +unsigned int COA3_UDATA29 __AT (0x1FFFF674); + + + +// ------------------------------- Register Item: COA3_UDATA29 ---------------------------------- +// SVD Line: 21167 + +// SFDITEM_REG__COA3_UDATA29 +// UDATA29 +// [Bits 31..0] RO (@ 0x1FFFF674) User Data 29 +// +// ( (unsigned int)((COA3_UDATA29 >> 0) & 0xFFFFFFFF), ((COA3_UDATA29 = (COA3_UDATA29 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA30 ------------------------------ +// SVD Line: 21175 + +unsigned int COA3_UDATA30 __AT (0x1FFFF678); + + + +// ------------------------------- Register Item: COA3_UDATA30 ---------------------------------- +// SVD Line: 21175 + +// SFDITEM_REG__COA3_UDATA30 +// UDATA30 +// [Bits 31..0] RO (@ 0x1FFFF678) User Data 30 +// +// ( (unsigned int)((COA3_UDATA30 >> 0) & 0xFFFFFFFF), ((COA3_UDATA30 = (COA3_UDATA30 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA31 ------------------------------ +// SVD Line: 21183 + +unsigned int COA3_UDATA31 __AT (0x1FFFF67C); + + + +// ------------------------------- Register Item: COA3_UDATA31 ---------------------------------- +// SVD Line: 21183 + +// SFDITEM_REG__COA3_UDATA31 +// UDATA31 +// [Bits 31..0] RO (@ 0x1FFFF67C) User Data 31 +// +// ( (unsigned int)((COA3_UDATA31 >> 0) & 0xFFFFFFFF), ((COA3_UDATA31 = (COA3_UDATA31 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: COA3 ------------------------------------- +// SVD Line: 20921 + +// COA3 +// COA3 +// SFDITEM_REG__COA3_UDATA00 +// SFDITEM_REG__COA3_UDATA01 +// SFDITEM_REG__COA3_UDATA02 +// SFDITEM_REG__COA3_UDATA03 +// SFDITEM_REG__COA3_UDATA04 +// SFDITEM_REG__COA3_UDATA05 +// SFDITEM_REG__COA3_UDATA06 +// SFDITEM_REG__COA3_UDATA07 +// SFDITEM_REG__COA3_UDATA08 +// SFDITEM_REG__COA3_UDATA09 +// SFDITEM_REG__COA3_UDATA10 +// SFDITEM_REG__COA3_UDATA11 +// SFDITEM_REG__COA3_UDATA12 +// SFDITEM_REG__COA3_UDATA13 +// SFDITEM_REG__COA3_UDATA14 +// SFDITEM_REG__COA3_UDATA15 +// SFDITEM_REG__COA3_UDATA16 +// SFDITEM_REG__COA3_UDATA17 +// SFDITEM_REG__COA3_UDATA18 +// SFDITEM_REG__COA3_UDATA19 +// SFDITEM_REG__COA3_UDATA20 +// SFDITEM_REG__COA3_UDATA21 +// SFDITEM_REG__COA3_UDATA22 +// SFDITEM_REG__COA3_UDATA23 +// SFDITEM_REG__COA3_UDATA24 +// SFDITEM_REG__COA3_UDATA25 +// SFDITEM_REG__COA3_UDATA26 +// SFDITEM_REG__COA3_UDATA27 +// SFDITEM_REG__COA3_UDATA28 +// SFDITEM_REG__COA3_UDATA29 +// SFDITEM_REG__COA3_UDATA30 +// SFDITEM_REG__COA3_UDATA31 +// +// + + +// ------------------------------ IRQ Num definition: A31G12x ---------------------------------- +// SVD Line: 33 + + + +// ------------------------------------------------------------------------------------------------ +// ----- Interrupt Number Definition ----- +// ------------------------------------------------------------------------------------------------ + + + +// ------------------------ ARM Cortex-M0+ Specific Interrupt Numbers --------------------------- + +// Reset_IRQ +// Reset +// Reset Vector, invoked on Power up and warm reset +// 1 +// +// +// NonMaskableInt_IRQ +// NonMaskableInt +// Non maskable Interrupt, cannot be stopped or preempted +// 2 +// +// +// HardFault_IRQ +// HardFault +// Hard Fault, all classes of Fault +// 3 +// +// +// SVCall_IRQ +// SVCall +// System Service Call via SVC instruction +// 11 +// +// +// PendSV_IRQ +// PendSV +// Pendable request for system service +// 14 +// +// +// SysTick_IRQ +// SysTick +// System Tick Timer +// 15 +// +// + + +// --------------------------- A31G12x Specific Interrupt Numbers ------------------------------- + +// LVI_IRQ +// LVI +// LVI Interrupt +// 16 +// +// +// WUT_IRQ +// WUT +// WUT Interrupt +// 17 +// +// +// WDT_IRQ +// WDT +// WDT Interrupt +// 18 +// +// +// EINT0_IRQ +// EINT0 +// EINT0 Interrupt +// 19 +// +// +// EINT1_IRQ +// EINT1 +// EINT1 Interrupt +// 20 +// +// +// EINT2_IRQ +// EINT2 +// EINT2 Interrupt +// 21 +// +// +// EINT3_IRQ +// EINT3 +// EINT3 Interrupt +// 22 +// +// +// TIMER10_IRQ +// TIMER10 +// TIMER10 Interrupt +// 23 +// +// +// TIMER11_IRQ +// TIMER11 +// TIMER11 Interrupt +// 24 +// +// +// TIMER12_IRQ +// TIMER12 +// TIMER12 Interrupt +// 25 +// +// +// I2C0_IRQ +// I2C0 +// I2C0 Interrupt +// 26 +// +// +// USART10_IRQ +// USART10 +// USART10 Interrupt +// 27 +// +// +// WT_IRQ +// WT +// WT Interrupt +// 28 +// +// +// TIMER30_IRQ +// TIMER30 +// TIMER30 Interrupt +// 29 +// +// +// I2C1_IRQ +// I2C1 +// I2C1 Interrupt +// 30 +// +// +// TIMER20_IRQ +// TIMER20 +// TIMER20 Interrupt +// 31 +// +// +// TIMER21_IRQ +// TIMER21 +// TIMER21 Interrupt +// 32 +// +// +// USART11_IRQ +// USART11 +// USART11 Interrupt +// 33 +// +// +// ADC_IRQ +// ADC +// ADC Interrupt +// 34 +// +// +// UART0_IRQ +// UART0 +// UART0 Interrupt +// 35 +// +// +// UART1_IRQ +// UART1 +// UART1 Interrupt +// 36 +// +// +// TIMER13_IRQ +// TIMER13 +// TIMER13 Interrupt +// 37 +// +// +// TIMER14_IRQ +// TIMER14 +// TIMER14 Interrupt +// 38 +// +// +// TIMER15_IRQ +// TIMER15 +// TIMER15 Interrupt +// 39 +// +// +// TIMER16_IRQ +// TIMER16 +// TIMER16 Interrupt +// 40 +// +// +// I2C2_IRQ +// I2C2 +// I2C2 Interrupt +// 41 +// +// +// USART12_IRQ +// USART12 +// USART12 Interrupt +// 42 +// +// +// USART13_IRQ +// USART13 +// USART13 Interrupt +// 43 +// +// +// A31G12x_IRQTable +// A31G12x Interrupt Table +// 2 +// Reset_IRQ +// NonMaskableInt_IRQ +// HardFault_IRQ +// SVCall_IRQ +// PendSV_IRQ +// SysTick_IRQ +// LVI_IRQ +// WUT_IRQ +// WDT_IRQ +// EINT0_IRQ +// EINT1_IRQ +// EINT2_IRQ +// EINT3_IRQ +// TIMER10_IRQ +// TIMER11_IRQ +// TIMER12_IRQ +// I2C0_IRQ +// USART10_IRQ +// WT_IRQ +// TIMER30_IRQ +// I2C1_IRQ +// TIMER20_IRQ +// TIMER21_IRQ +// USART11_IRQ +// ADC_IRQ +// UART0_IRQ +// UART1_IRQ +// TIMER13_IRQ +// TIMER14_IRQ +// TIMER15_IRQ +// TIMER16_IRQ +// I2C2_IRQ +// USART12_IRQ +// USART13_IRQ +// + + +// ------------------------------------- Menu: A31G12x ----------------------------------------- +// SVD Line: 33 + + + +// ------------------------------- Peripheral Menu: 'A31G12x' ----------------------------------- + + + +// ------------------------------------------------------------------------------------------------ +// ----- Main Menu ----- +// ------------------------------------------------------------------------------------------------ + +// A/D Converter +// ADC +// +// +// CRC & Checksum +// CRC +// +// +// Configuration Option Area +// COA0 +// COA1 +// COA2 +// COA3 +// +// +// Flash Memory Controller +// FMC +// +// +// I2C +// I2C0 +// I2C1 +// I2C2 +// I2Cn +// +// +// Interrupt Controller +// INTC +// +// +// LCD Driver +// LCD +// +// +// PCU & GPIO +// PA +// PB +// PC +// PD +// PE +// PF +// Pn +// +// +// SCU +// SCUCC +// SCUCG +// SCULV +// +// +// Timer/Counter +// TIMER1n +// TIMER2n +// TIMER3n +// TIMER10 +// TIMER11 +// TIMER12 +// TIMER13 +// TIMER14 +// TIMER15 +// TIMER16 +// TIMER20 +// TIMER21 +// TIMER30 +// +// +// UART +// UART0 +// UART1 +// UARTn +// +// +// USART & SPI +// USART1n +// USART10 +// USART11 +// USART12 +// USART13 +// +// +// Watch Timer +// WT +// +// +// Watch-Dog Timer +// WDT +// +// diff --git a/Project/SDK_V2_5_0/Debugging_Info/A31G12x.svd b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.svd new file mode 100644 index 0000000..45b89d4 --- /dev/null +++ b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.svd @@ -0,0 +1,21194 @@ + + + + + VENDOR ABOV Semiconductor Co., Ltd. + + ABOV + + A31G12x + + A31G12x + + 1.0 + + ARM 32-bit Cortex-M0+ Microcontroller based device, CPU clock up to 40MHz, etc. + + + ARM Limited (ARM) is supplying this software for use with Cortex-M\n + processor based microcontroller, but can be equally used for other\n + suitable processor architectures. This file can be freely distributed.\n + Modifications to this file shall be clearly marked.\n + \n + THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED\n + OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF\n + MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE.\n + ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR\n + CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + + + + CM0+ + r0p1 + little + false + false + true + 2 + false + + 8 + + 32 + + + 32 + + read-write + + 0x0 + + 0xffffffff + + + + INTC + 1.0 + Interrupt Controller + Interrupt Controller + 0x40001000 + 32 + read-write + + 0 + 0x500 + registers + + + EINT0 + EINT0 Interrupt + 03 + + + EINT1 + EINT1 Interrupt + 04 + + + EINT2 + EINT2 Interrupt + 05 + + + EINT3 + EINT3 Interrupt + 06 + + + + PBTRIG + Port B Interrupt Trigger Selection Register + 0x004 + 32 + read-write + 0x0 + 0xffffffff + + + ITRIG11 + Port B Interrupt Trigger Selection 11 + [11:11] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG10 + Port B Interrupt Trigger Selection 10 + [10:10] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG9 + Port B Interrupt Trigger Selection 9 + [09:09] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG8 + Port B Interrupt Trigger Selection 8 + [08:08] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG7 + Port B Interrupt Trigger Selection 7 + [07:07] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG6 + Port B Interrupt Trigger Selection 6 + [06:06] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG5 + Port B Interrupt Trigger Selection 5 + [05:05] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG4 + Port B Interrupt Trigger Selection 4 + [04:04] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG3 + Port B Interrupt Trigger Selection 3 + [03:03] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG2 + Port B Interrupt Trigger Selection 2 + [02:02] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG1 + Port B Interrupt Trigger Selection 1 + [01:01] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG0 + Port B Interrupt Trigger Selection 0 + [00:00] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + + + PCTRIG + Port C Interrupt Trigger Selection Register + 0x008 + 32 + read-write + 0x0 + 0xffffffff + + + ITRIG3 + Port C Interrupt Trigger Selection 3 + [03:03] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG2 + Port C Interrupt Trigger Selection 2 + [02:02] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG1 + Port C Interrupt Trigger Selection 1 + [01:01] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG0 + Port C Interrupt Trigger Selection 0 + [00:00] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + + + PETRIG + Port E Interrupt Trigger Selection Register + 0x010 + 32 + read-write + 0x0 + 0xffffffff + + + ITRIG3 + Port E Interrupt Trigger Selection 3 + [03:03] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG2 + Port E Interrupt Trigger Selection 2 + [02:02] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG1 + Port E Interrupt Trigger Selection 1 + [01:01] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG0 + Port E Interrupt Trigger Selection 0 + [00:00] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + + + PBCR + Port B Interrupt Control Register + 0x104 + 32 + read-write + 0x0 + 0xffffffff + + + INTCTL11 + Port B Interrupt Control 11 + [23:22] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL10 + Port B Interrupt Control 10 + [21:20] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL9 + Port B Interrupt Control 9 + [19:18] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL8 + Port B Interrupt Control 8 + [17:16] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL7 + Port B Interrupt Control 7 + [15:14] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL6 + Port B Interrupt Control 6 + [13:12] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL5 + Port B Interrupt Control 5 + [11:10] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL4 + Port B Interrupt Control 4 + [09:08] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL3 + Port B Interrupt Control 3 + [07:06] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL2 + Port B Interrupt Control 2 + [05:04] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL1 + Port B Interrupt Control 1 + [03:02] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL0 + Port B Interrupt Control 0 + [01:00] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + + + PCCR + Port C Interrupt Control Register + 0x108 + 32 + read-write + 0x0 + 0xffffffff + + + INTCTL3 + Port C Interrupt Control 3 + [07:06] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL2 + Port C Interrupt Control 2 + [05:04] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL1 + Port C Interrupt Control 1 + [03:02] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL0 + Port C Interrupt Control 0 + [01:00] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + + + PECR + Port E Interrupt Control Register + 0x110 + 32 + read-write + 0x0 + 0xffffffff + + + INTCTL3 + Port E Interrupt Control 3 + [07:06] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL2 + Port E Interrupt Control 2 + [05:04] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL1 + Port E Interrupt Control 1 + [03:02] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL0 + Port E Interrupt Control 0 + [01:00] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + + + PBFLAG + Port B Interrupt Flag Register + 0x204 + 32 + read-write + 0x0 + 0xffffffff + + + FLAG11 + Port B Interrupt Flag 11 + [11:11] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG10 + Port B Interrupt Flag 10 + [10:10] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG9 + Port B Interrupt Flag 9 + [09:09] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG8 + Port B Interrupt Flag 8 + [08:08] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG7 + Port B Interrupt Flag 7 + [07:07] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG6 + Port B Interrupt Flag 6 + [06:06] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG5 + Port B Interrupt Flag 5 + [05:05] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG4 + Port B Interrupt Flag 4 + [04:04] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG3 + Port B Interrupt Flag 3 + [03:03] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG2 + Port B Interrupt Flag 2 + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG1 + Port B Interrupt Flag 1 + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG0 + Port B Interrupt Flag 0 + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + PCFLAG + Port C Interrupt Flag Register + 0x208 + 32 + read-write + 0x0 + 0xffffffff + + + FLAG3 + Port C Interrupt Flag 3 + [03:03] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG2 + Port C Interrupt Flag 2 + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG1 + Port C Interrupt Flag 1 + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG0 + Port C Interrupt Flag 0 + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + PEFLAG + Port E Interrupt Flag Register + 0x210 + 32 + read-write + 0x0 + 0xffffffff + + + FLAG3 + Port E Interrupt Flag 3 + [03:03] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG2 + Port E Interrupt Flag 2 + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG1 + Port E Interrupt Flag 1 + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG0 + Port E Interrupt Flag 0 + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + EINT0CONF1 + External Interrupt 0 Configuration Register 1 + 0x300 + 32 + read-write + 0x0 + 0xffffffff + + + CONF7 + External Interrupt 0 Configuration 7 + [31:28] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF6 + External Interrupt 0 Configuration 6 + [27:24] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF5 + External Interrupt 0 Configuration 5 + [23:20] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF4 + External Interrupt 0 Configuration 4 + [19:16] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF3 + External Interrupt 0 Configuration 3 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF2 + External Interrupt 0 Configuration 2 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF1 + External Interrupt 0 Configuration 1 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF0 + External Interrupt 0 Configuration 0 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT1CONF1 + External Interrupt 1 Configuration Register 1 + 0x304 + 32 + read-write + 0x0 + 0xffffffff + + + CONF7 + External Interrupt 1 Configuration 7 + [31:28] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF6 + External Interrupt 1 Configuration 6 + [27:24] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF5 + External Interrupt 1 Configuration 5 + [23:20] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF4 + External Interrupt 1 Configuration 4 + [19:16] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF3 + External Interrupt 1 Configuration 3 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF2 + External Interrupt 1 Configuration 2 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF1 + External Interrupt 1 Configuration 1 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF0 + External Interrupt 1 Configuration 0 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT2CONF1 + External Interrupt 2 Configuration Register 1 + 0x308 + 32 + read-write + 0x0 + 0xffffffff + + + CONF7 + External Interrupt 2 Configuration 7 + [31:28] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF6 + External Interrupt 2 Configuration 6 + [27:24] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF5 + External Interrupt 2 Configuration 5 + [23:20] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF4 + External Interrupt 2 Configuration 4 + [19:16] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF3 + External Interrupt 2 Configuration 3 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF2 + External Interrupt 2 Configuration 2 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF1 + External Interrupt 2 Configuration 1 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF0 + External Interrupt 2 Configuration 0 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT3CONF1 + External Interrupt 3 Configuration Register 1 + 0x30c + 32 + read-write + 0x0 + 0xffffffff + + + CONF7 + External Interrupt 3 Configuration 7 + [31:28] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF6 + External Interrupt 3 Configuration 6 + [27:24] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF5 + External Interrupt 3 Configuration 5 + [23:20] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF4 + External Interrupt 3 Configuration 4 + [19:16] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF3 + External Interrupt 3 Configuration 3 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF2 + External Interrupt 3 Configuration 2 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF1 + External Interrupt 3 Configuration 1 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF0 + External Interrupt 3 Configuration 0 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT0CONF2 + External Interrupt 0 Configuration Register 2 + 0x310 + 32 + read-write + 0x0 + 0xffffffff + + + CONF11 + External Interrupt 0 Configuration 11 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF10 + External Interrupt 0 Configuration 10 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF9 + External Interrupt 0 Configuration 9 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF8 + External Interrupt 0 Configuration 8 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT1CONF2 + External Interrupt 1 Configuration Register 2 + 0x314 + 32 + read-write + 0x0 + 0xffffffff + + + CONF11 + External Interrupt 1 Configuration 11 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF10 + External Interrupt 1 Configuration 10 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF9 + External Interrupt 1 Configuration 9 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF8 + External Interrupt 1 Configuration 8 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT2CONF2 + External Interrupt 2 Configuration Register 2 + 0x318 + 32 + read-write + 0x0 + 0xffffffff + + + CONF11 + External Interrupt 2 Configuration 11 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF10 + External Interrupt 2 Configuration 10 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF9 + External Interrupt 2 Configuration 9 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF8 + External Interrupt 2 Configuration 8 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT3CONF2 + External Interrupt 3 Configuration Register 2 + 0x31c + 32 + read-write + 0x0 + 0xffffffff + + + CONF11 + External Interrupt 3 Configuration 11 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF10 + External Interrupt 3 Configuration 10 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF9 + External Interrupt 3 Configuration 9 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF8 + External Interrupt 3 Configuration 8 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + MSK + Interrupt Source Mask Register + 0x400 + 32 + read-write + 0x0 + 0xffffffff + + + IMSK31_NULL + Interrupt Source Mask 31 (RSVD) + [31:31] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK30_NULL + Interrupt Source Mask 30 (RSVD) + [30:30] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK29_NULL + Interrupt Source Mask 29 (RSVD) + [29:29] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK28_NULL + Interrupt Source Mask 28 (RSVD) + [28:28] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK27_USART13 + Interrupt Source Mask 27 (USART13) + [27:27] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK26_USART12 + Interrupt Source Mask 26 (USART12) + [26:26] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK25_I2C2 + Interrupt Source Mask 25 (I2C2) + [25:25] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK24_TIMER16 + Interrupt Source Mask 24 (TIMER16) + [24:24] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK23_TIMER15 + Interrupt Source Mask 23 (TIMER15) + [23:23] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK22_TIMER14 + Interrupt Source Mask 22 (TIMER14) + [22:22] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK21_TIMER13 + Interrupt Source Mask 21 (TIMER13) + [21:21] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK20_UART1 + Interrupt Source Mask 20 (UART1) + [20:20] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK19_UART0 + Interrupt Source Mask 19 (UART0) + [19:19] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK18_ADC + Interrupt Source Mask 18 (ADC) + [18:18] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK17_USART11 + Interrupt Source Mask 17 (USART11) + [17:17] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK16_TIMER21 + Interrupt Source Mask 16 (TIMER21) + [16:16] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK15_TIMER20 + Interrupt Source Mask 15 (TIMER20) + [15:15] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK14_I2C1 + Interrupt Source Mask 14 (I2C1) + [14:14] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK13_TIMER30 + Interrupt Source Mask 13 (TIMER30) + [13:13] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK12_WT + Interrupt Source Mask 12 (WT) + [12:12] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK11_USART10 + Interrupt Source Mask 11 (USART10) + [11:11] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK10_I2C0 + Interrupt Source Mask 10 (I2C0) + [10:10] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK9_TIMER12 + Interrupt Source Mask 9 (TIMER12) + [09:09] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK8_TIMER11 + Interrupt Source Mask 8 (TIMER11) + [08:08] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK7_TIMER10 + Interrupt Source Mask 7 (TIMER10) + [07:07] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK6_EINT3 + Interrupt Source Mask 6 (EINT3) + [06:06] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK5_EINT2 + Interrupt Source Mask 5 (EINT2) + [05:05] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK4_EINT1 + Interrupt Source Mask 4 (EINT1) + [04:04] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK3_EINT0 + Interrupt Source Mask 3 (EINT0) + [03:03] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK2_WDT + Interrupt Source Mask 2 (WDT) + [02:02] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK1_WUT + Interrupt Source Mask 1 (WUT) + [01:01] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK0_LVI + Interrupt Source Mask 0 (LVI) + [00:00] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + + + + + SCUCC + 1.0 + System Control Unit: Chip Configuration + SCU + 0x4000f000 + 32 + read-write + + 0 + 0x100 + registers + + + WUT + WUT Interrupt + 01 + + + + VENDORID + Vendor Identification Register + 0x00 + 32 + read-only + 0x41424f56 + 0xffffffff + + + VENDID + Vendor Identification + [31:00] + read-only + + + + + CHIPID + Chip Identification Register + 0x04 + 32 + read-only + 0x4d31f002 + 0xfffffff0 + + + CHIPID + Chip Identification + [31:00] + read-only + + + + + REVNR + Revision Number Register + 0x08 + 32 + read-only + 0x0 + 0xffffff00 + + + REVNO + Chip Revision Number + [07:00] + read-only + + + + + PMREMAP + Program Memory Remap Register + 0x14 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0xe2f1) + [31:16] + write-only + + + Value + Key Value (0xe2f1) + 0xe2f1 + + + + + nPMREM + Write Complement Key + [15:08] + write-only + + + BootROM + Boot ROM is re-mapped to address 0x0. (0x96) + 0x96 + + + FlashMemory + Flash Memory is re-mapped to address 0x0. + 0x00 + + + + + PMREM + Program Memory Remap + [07:00] + read-write + + + BootROM + Boot ROM is re-mapped to address 0x0. (0x69) + 0x69 + + + FlashMemory + Flash Memory is re-mapped to address 0x0. + 0x00 + + + + + + + BTPSCR + Boot Pin Status and Control Register + 0x18 + 32 + read-write + 0x40 + 0xffffffde + + + BFIND + BOOT Pin Function Indicator + [06:05] + read-write + + + PORorEXTR + Check the BOOT pin when a system reset occurs by nRESET including POR. + 2 + + + POR + Check the BOOT pin when a system reset occurs only by POR. + 3 + + + + + BTPSTA + BOOT Pin Status + [00:00] + read-only + + + Low + The BOOT pin is low level. + 0 + + + High + The BOOT pin is high level. + 1 + + + + + + + RSTSSR + Reset Source Status Register + 0x1c + 32 + read-write + 0x0 + 0xffffffc0 + + + MONSTA + Clock Monitoring Reset Status + [05:05] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + CMR was detected. + 1 + + + + + SWSTA + Software Reset Status + [04:04] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + SWR was detected. + 1 + + + + + EXTSTA + External Pin Reset Status + [03:03] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + EXTR was detected. + 1 + + + + + WDTSTA + Watch-Dog Timer Reset Status + [02:02] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + WDTR was detected. + 1 + + + + + LVRSTA + LVR Reset Status + [01:01] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + LVR was detected. + 1 + + + + + PORSTA + POR Reset Status + [00:00] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + POR was detected. + 1 + + + + + + + NMISRCR + NMI Source Selection Register + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + NMICON + Non-Maskable Interrupt (NMI) Control + [07:07] + read-write + + + Disable + Disable NMI. + 0 + + + Enable + Enable NMI. + 1 + + + + + MONINT + Clock Monitoring Interrupt Selection + [06:06] + read-write + + + NotSelect + Non-select clock monitoring interrupt for NMI source. + 0 + + + Select + Select clock monitoring interrupt for NMI source. + 1 + + + + + NMISRC + Non-Maskable Interrupt Source Selection + [04:00] + read-write + + + + + SWRSTR + Software Reset Register + 0x24 + 32 + write-only + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0x9eb3) + [31:16] + write-only + + + Value + Key Value (0x9eb3) + 0x9eb3 + + + + + SWRST + Software Reset (System Reset) + [07:00] + write-only + + + Generate + A software reset will be generated for all peripheral and core. (0x2d) + 0x2d + + + NoEffect + No effect. + 0x00 + + + + + + + SRSTVR + System Reset Validation Register + 0x28 + 32 + read-only + 0x55 + 0xffffffff + + + VALID + System Reset Validation + [07:00] + read-only + + + + + WUTCR + Wake-Up Timer Control Register + 0x2c + 32 + read-write + 0x0 + 0xffffffff + + + WUTIEN + Wake-Up Timer Interrupt Enable + [07:07] + read-write + + + Disable + Disable Wake-Up Timer interrupt. + 0 + + + Enable + Enable Wake-Up Timer interrupt. + 1 + + + + + CNTRLD + Counter Reload + [01:01] + read-write + + + NoEffect + No effect. + 0 + + + Reload + Reload data to counter. + 1 + + + + + WUTIFLAG + Wake-Up Timer Interrupt Flag + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + WUTDR + Wake-Up Timer Data Register + 0x30 + 32 + read-write + 0x138 + 0xffffffff + + + WUTDATA + Wake-Up Timer Data + [15:00] + read-write + + + + + HIRCTRM + High Frequency Internal RC Trim Register (HIRCNFIG) + 0xa8 + 32 + read-write + 0x0 + 0xffffff00 + + + WTIDKY + Write Identification Key (0xa6b5) + [31:16] + write-only + + + Value + Key Value (0xa6b5) + 0xa6b5 + + + + + nTRMH + Write Complement Key + [15:08] + write-only + + + CTRMH + Factory HIRC Coarse Trim + [07:05] + read-only + + + FTRMH + Factory HIRC Fine Trim + [04:00] + read-write + + + + + WDTRCTRM + Watch-Dog Timer RC Trim Register (WDTRCNFIG) + 0xac + 32 + read-write + 0x0 + 0xffffff08 + + + WTIDKY + Write Identification Key (0x4c3d) + [31:16] + write-only + + + Value + Key Value (0x4c3d) + 0x4c3d + + + + + nTRMW + Write Complement Key + [15:08] + write-only + + + CTRMW + Factory WDTRC Coarse Trim + [07:04] + read-write + + + FTRMW + Factory WDTRC Fine Trim + [02:00] + read-write + + + + + + + SCUCG + 1.0 + System Control Unit: Clock Generation + SCU + 0x40001800 + 32 + read-write + + 0 + 0x100 + registers + + + + SCCR + System Clock Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0x570a) + [31:16] + write-only + + + Value + Key Value (0x570a) + 0x570a + + + + + MCLKSEL + Main Clock Selection, MCLK + [01:00] + read-write + + + HIRC + High Frequency Internal RC Oscillator (40MHz), HIRC + 0 + + + XMOSC + External Main Oscillator (2 - 40MHz), XMOSC + 1 + + + XSOSC + External Sub Oscillator (32.768kHz), XSOSC + 2 + + + WDTRC + Internal Watch-Dog Timer RC Oscillator (40kHz), WDTRC + 3 + + + + + + + CLKSRCR + Clock Source Control Register + 0x04 + 32 + read-write + 0xc + 0xffffffff + + + WTIDKY + Write Identification Key (0xa507) + [31:16] + write-only + + + Value + Key Value (0xa507) + 0xa507 + + + + + HIRCSEL + HIRC Frequency Selection + [13:12] + read-write + + + HIRC1 + 40MHz HIRC + 0 + + + HIRC2 + 20MHz HIRC + 1 + + + HIRC4 + 10MHz HIRC + 2 + + + HIRC8 + 5MHz HIRC + 3 + + + + + XMFRNG + Main Oscillator Type and Frequency Range Selection + [08:08] + read-write + + + Xtal + X-tal for XMOSC, 2 to 16MHz + 0 + + + Clock + External Clock for XMOSC, 2MHz to 40MHz + 1 + + + + + WDTRCEN + WDTRC Enable + [03:03] + read-write + + + Disable + Disable WDTRC. + 0 + + + Enable + Enable WDTRC. + 1 + + + + + HIRCEN + HIRC Enable + [02:02] + read-write + + + Disable + Disable HIRC. + 0 + + + Enable + Enable HIRC. + 1 + + + + + XMOSCEN + XMOSC Enable + [01:01] + read-write + + + Disable + Disable XMOSC. + 0 + + + Enable + Enable XMOSC. + 1 + + + + + XSOSCEN + XSOSC Enable + [00:00] + read-write + + + Disable + Disable XSOSC. + 0 + + + Enable + Enable XSOSC. + 1 + + + + + + + SCDIVR1 + System Clock Divide Register 1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + WLDIV + Clock Divide for Watch Timer and LCD Driver, Divider 2 + [06:04] + read-write + + + MCLK64 + MCLK/64 + 0 + + + MCLK128 + MCLK/128 + 1 + + + MCLK256 + MCLK/256 + 2 + + + MCLK512 + MCLK/512 + 3 + + + MCLK1024 + MCLK/1024 + 4 + + + + + HDIV + Clock Divide for HCLK, Divider 0 + [02:00] + read-write + + + MCLK16 + MCLK/16 + 0 + + + MCLK8 + MCLK/8 + 1 + + + MCLK4 + MCLK/4 + 2 + + + MCLK2 + MCLK/2 + 3 + + + MCLK1 + MCLK/1 + 4 + + + + + + + SCDIVR2 + System Clock Divide Register 2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + SYSTDIV + Clock Divide for SysTick Timer, Divider 3 + [05:04] + read-write + + + HCLK1 + HCLK/1 + 0 + + + HCLK2 + HCLK/2 + 1 + + + HCLK4 + HCLK/4 + 2 + + + HCLK8 + HCLK/8 + 3 + + + + + PDIV + Clock Divide for PCLK, Divider 1 + [01:00] + read-write + + + HCLK1 + HCLK/1 + 0 + + + HCLK2 + HCLK/2 + 1 + + + HCLK4 + HCLK/4 + 2 + + + HCLK8 + HCLK/8 + 3 + + + + + + + CLKOCR + Clock Output Control Register + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + CLKOEN + Clock Output Enable + [07:07] + read-write + + + Disable + Disable clock output. + 0 + + + Enable + Enable clock output. + 1 + + + + + POLSEL + Clock Output Polarity Selection when Disable + [06:06] + read-write + + + Low + Low level during disable + 0 + + + High + High level during disable + 1 + + + + + CLKODIV + Output Clock Divide, Divider 4 + [05:03] + read-write + + + SelectedClock1 + Selected Clock/1 + 0 + + + SelectedClock2 + Selected Clock/2 + 1 + + + SelectedClock4 + Selected Clock/4 + 2 + + + SelectedClock8 + Selected Clock/8 + 3 + + + SelectedClock16 + Selected Clock/16 + 4 + + + SelectedClock32 + Selected Clock/32 + 5 + + + SelectedClock64 + Selected Clock/64 + 6 + + + SelectedClock128 + Selected Clock/128 + 7 + + + + + CLKOS + Clock Output Selection + [02:00] + read-write + + + MCLK + Select MCLK. + 0 + + + WDTRC + Select WDTRC. + 1 + + + HIRC + Select HIRC. + 2 + + + HCLK + Select HCLK. + 3 + + + PCLK + Select PCLK. + 4 + + + + + + + CMONCR + Clock Monitoring Control Register + 0x14 + 32 + read-write + 0x0 + 0xffffffff + + + MONEN + Clock Monitoring Enable + [07:07] + read-write + + + Disable + Disable clock monitoring. + 0 + + + Enable + Enable clock monitoring. + 1 + + + + + MACTS + Clock Monitoring Action Selection + [06:05] + read-write + + + FlagChk + No action by clock monitoring, but flags will be set/cleared on condition + 0 + + + RstGen + Reset generation by clock monitoring + 1 + + + SysClkChg + The system clock will be changed to the WDTRC regardless of MCLKSEL[1:0] bits of system clock control register (SCU_SCCR) only when the MCLK is selected for monitoring + 2 + + + + + MONFLAG + Clock Monitoring Result Flag + [03:03] + read-write + + + NotReady + The clock to be monitored is not ready + 0 + + + Ready + The clock to be monitored is ready + 1 + + + + + NMINTFG + Clock Monitoring Interrupt Flag + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + MONCS + Monitored Clock Selection + [01:00] + read-write + + + MCLK + Select MCLK. + 0 + + + HIRC + Select HIRC. + 1 + + + XMOSC + Select XMOSC. + 2 + + + XSOSC + Select XSOSC. + 3 + + + + + + + PPCLKEN1 + Peripheral Clock Enable Register 1 + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + T21CLKE + TIMER21 Clock Enable + [21:21] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T20CLKE + TIMER20 Clock Enable + [20:20] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T30CLKE + TIMER30 Clock Enable + [19:19] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T12CLKE + TIMER12 Clock Enable + [18:18] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T11CLKE + TIMER11 Clock Enable + [17:17] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T10CLKE + TIMER10 Clock Enable + [16:16] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T16CLKE + TIMER16 Clock Enable + [11:11] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T15CLKE + TIMER15 Clock Enable + [10:10] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T14CLKE + TIMER14 Clock Enable + [09:09] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T13CLKE + TIMER13 Clock Enable + [08:08] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PFCLKE + Port F Clock Enable + [05:05] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PECLKE + Port E Clock Enable + [04:04] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PDCLKE + Port D Clock Enable + [03:03] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PCCLKE + Port C Clock Enable + [02:02] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PBCLKE + Port B Clock Enable + [01:01] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PACLKE + Port A Clock Enable + [00:00] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + + + PPCLKEN2 + Peripheral Clock Enable Register 2 + 0x24 + 32 + read-write + 0x20000 + 0xffffffff + + + FMCLKE + FMC (Flash Memory Controller) Clock Enable + [19:19] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + LVICLKE + LVI (Low Voltage Indicator) Clock Enable + [18:18] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + WDTCLKE + WDT (Watch-Dog Timer) Clock Enable + [17:17] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + WTCLKE + WT (Watch Timer) Clock Enable + [16:16] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + LCDCLKE + LCD (LCD Driver) Clock Enable + [13:13] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + CRCLKE + CRC (Cyclic Redundancy Check) Clock Enable + [12:12] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + ADCLKE + ADC (Analog to Digital Converter) Clock Enable + [10:10] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + I2C2CLKE + I2C2 (Inter-IC) Clock Enable + [08:08] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + I2C1CLKE + I2C1 (Inter-IC) Clock Enable + [07:07] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + I2C0CLKE + I2C0 (Inter-IC) Clock Enable + [06:06] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UST13CLKE + USART13 Clock Enable + [05:05] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UST12CLKE + USART12 Clock Enable + [04:04] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UT1CLKE + UART1 Clock Enable + [03:03] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UT0CLKE + UART0 Clock Enable + [02:02] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UST11CLKE + USART11 Clock Enable + [01:01] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UST10CLKE + USART10 Clock Enable + [00:00] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + + + PPCLKSR + Peripheral Clock Selection Register + 0x40 + 32 + read-write + 0x0 + 0xffffffff + + + T20CLK + TIMER20 Clock Selection + [20:20] + read-write + + + XSOSC + XSOSC clock + 0 + + + PCLK + PCLK clock + 1 + + + + + T30CLK + TIMER30 Clock Selection + [17:17] + read-write + + + MCLK + MCLK clock + 0 + + + PCLK + PCLK clock + 1 + + + + + LCDCLK + LCD (LCD Driver) Clock Selection + [07:06] + read-write + + + DividedMCLK + A clock of the MCLK which is divided by divider 2 + 0 + + + XSOSC + XSOSC clock + 1 + + + WDTRC + WDTRC clock + 2 + + + + + WTCLK + WT (Watch Timer) Clock Selection + [04:03] + read-write + + + DividedMCLK + A clock of the MCLK which is divided by divider 2 + 0 + + + XSOSC + XSOSC clock + 1 + + + WDTRC + WDTRC clock + 2 + + + + + WDTCLK + WDT (Watch-Dog Timer) Clock Selection + [00:00] + read-write + + + WDTRC + WDTRC clock + 0 + + + PCLK + PCLK clock + 1 + + + + + + + PPRST1 + Peripheral Reset Register 1 + 0x60 + 32 + read-write + 0x0 + 0xffffffff + + + T21RST + TIMER21 Reset + [21:21] + read-write + + + T20RST + TIMER20 Reset + [20:20] + read-write + + + T30RST + TIMER30 Reset + [19:19] + read-write + + + T12RST + TIMER12 Reset + [18:18] + read-write + + + T11RST + TIMER11 Reset + [17:17] + read-write + + + T10RST + TIMER10 Reset + [16:16] + read-write + + + T16RST + TIMER16 Reset + [11:11] + read-write + + + T15RST + TIMER15 Reset + [10:10] + read-write + + + T14RST + TIMER14 Reset + [09:09] + read-write + + + T13RST + TIMER13 Reset + [08:08] + read-write + + + PFRST + Port F Reset + [05:05] + read-write + + + PERST + Port E Reset + [04:04] + read-write + + + PDRST + Port D Reset + [03:03] + read-write + + + PCRST + Port C Reset + [02:02] + read-write + + + PBRST + Port B Reset + [01:01] + read-write + + + PARST + Port A Reset + [00:00] + read-write + + + + + PPRST2 + Peripheral Reset Register 2 + 0x64 + 32 + read-write + 0x0 + 0xffffffff + + + FMCRST + FMC (Flash Memory Controller) Reset + [19:19] + read-write + + + LVIRST + LVI (Low Voltage Indicator) Reset + [18:18] + read-write + + + WTRST + WT (Watch Timer) Reset + [16:16] + read-write + + + LCDRST + LCD (LCD Driver) Reset + [13:13] + read-write + + + CRRST + CRC (Cyclic Redundancy Check) Reset + [12:12] + read-write + + + ADRST + ADC (Analog to Digital Converter) Reset + [10:10] + read-write + + + I2C2RST + I2C2 (Inter-IC) Reset + [08:08] + read-write + + + I2C1RST + I2C1 (Inter-IC) Reset + [07:07] + read-write + + + I2C0RST + I2C0 (Inter-IC) Reset + [06:06] + read-write + + + UST13RST + USART13 Reset + [05:05] + read-write + + + UST12RST + USART12 Reset + [04:04] + read-write + + + UT1RST + UART1 Reset + [03:03] + read-write + + + UT0RST + UART0 Reset + [02:02] + read-write + + + UST11RST + USART11 Reset + [01:01] + read-write + + + UST10RST + USART10 Reset + [00:00] + + + + + XTFLSR + X-tal Filter Selection Register + 0x80 + 32 + read-write + 0x5 + 0xffffffff + + + WTIDKY + Write Identification Key (0x9b37) + [31:16] + write-only + + + Value + Key Value (0x9b37) + 0x9b37 + + + + + XRNS + External Main Oscillator Filter Selection + [02:00] + read-write + + + LE4p5MHz + x-tal LE 4.5MHz + 0 + + + LE6p5MHz + 4.5MHz GT x-tal LE 6.5MHz + 1 + + + LE8p5MHz + 6.5MHz GT x-tal LE 8.5MHz + 2 + + + LE10p5MHz + 8.5MHz GT x-tal LE 10.5MHz + 3 + + + LE12p5MHz + 10.5MHz GT x-tal LE 12.5MHz + 4 + + + LE16p5MHz + 12.5MHz GT x-tal LE 16.5MHz + 5 + + + + + + + + + SCULV + 1.0 + System Control Unit: LVI and LVR + SCU + 0x40005100 + 32 + read-write + + 0 + 0x100 + registers + + + LVI + LVI Interrupt + 00 + + + + LVICR + Low Voltage Indicator Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + LVIEN + LVI Enable + [07:07] + read-write + + + Disable + Disable low voltage indicator. + 0 + + + Enable + Enable low voltage indicator. + 1 + + + + + LVINTEN + LVI Interrupt Enable + [05:05] + read-write + + + Disable + Disable low voltage indicator interrupt. + 0 + + + Enable + Enable low voltage indicator interrupt. + 1 + + + + + LVIFLAG + LVI Interrupt Flag + [04:04] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + LVIVS + LVI Voltage Selection + [03:00] + read-write + + + DNW3 + Do not write. + 3 + + + 2p00V + 2.00V + 4 + + + 2p13V + 2.13V + 5 + + + 2p28V + 2.28V + 6 + + + 2p46V + 2.46V + 7 + + + 2p67V + 2.67V + 8 + + + 3p04V + 3.04V + 9 + + + 3p20V + 3.20V + 10 + + + 3p55V + 3.55V + 11 + + + 3p75V + 3.75V + 12 + + + 3p99V + 3.99V + 13 + + + 4p25V + 4.25V + 14 + + + 4p55V + 4.55V + 15 + + + + + + + LVRCR + Low Voltage Reset Control Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + LVREN + LVR Enable + [07:00] + read-write + + + Disable + Disable low voltage reset. (0x55) + 0x55 + + + Enable + Enable low voltage reset. + 0x00 + + + + + + + + + Pn + 1.0 + Port Control Unit & GPIO Port n + PCU & GPIO + 0x50000000 + 32 + read-write + + 0 + 0x100 + registers + + + + MOD + Port n Mode Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE15 + Port n Mode Selection 15 + [31:30] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE14 + Port n Mode Selection 14 + [29:28] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE13 + Port n Mode Selection 13 + [27:26] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE12 + Port n Mode Selection 12 + [25:24] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + + + TYP + Port n Output Type Selection Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP15 + Port n Output Type Selection 15 + [15:15] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP14 + Port n Output Type Selection 14 + [14:14] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP13 + Port n Output Type Selection 13 + [13:13] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP12 + Port n Output Type Selection 12 + [12:12] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + + + AFSR1 + Port n Alternative Function Selection Register 1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + + + AFSR2 + Port n Alternative Function Selection Register 2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR15 + Port n Alternative Function Selection 15 + [31:28] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR14 + Port n Alternative Function Selection 14 + [27:24] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR13 + Port n Alternative Function Selection 13 + [23:20] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR12 + Port n Alternative Function Selection 12 + [19:16] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + + + PUPD + Port n Pull-Up/Down Resistor Selection Register + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD15 + Port n Pull-Up/Down Resistor Selection 15 + [31:30] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD14 + Port n Pull-Up/Down Resistor Selection 14 + [29:28] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD13 + Port n Pull-Up/Down Resistor Selection 13 + [27:26] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD12 + Port n Pull-Up/Down Resistor Selection 12 + [25:24] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + + + INDR + Port n Input Data Register + 0x14 + 32 + read-only + 0x0 + 0xffff0000 + + + INDR15 + Port n Input Data 15 + [15:15] + read-only + + + INDR14 + Port n Input Data 14 + [14:14] + read-only + + + INDR13 + Port n Input Data 13 + [13:13] + read-only + + + INDR12 + Port n Input Data 12 + [12:12] + read-only + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + OUTDR + Port n Output Data Register + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR15 + Port n Output Data 15 + [15:15] + read-write + + + OUTDR14 + Port n Output Data 14 + [14:14] + read-write + + + OUTDR13 + Port n Output Data 13 + [13:13] + read-write + + + OUTDR12 + Port n Output Data 12 + [12:12] + read-write + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + BSR + Port n Output Bit Set Register + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR15 + Port n Output Bit Set 15 + [15:15] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR14 + Port n Output Bit Set 14 + [14:14] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR13 + Port n Output Bit Set 13 + [13:13] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR12 + Port n Output Bit Set 12 + [12:12] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + + + BCR + Port n Output Bit Clear Register + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR15 + Port n Output Bit Clear 15 + [15:15] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR14 + Port n Output Bit Clear 14 + [14:14] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR13 + Port n Output Bit Clear 13 + [13:13] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR12 + Port n Output Bit Clear 12 + [12:12] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + + + OUTDMSK + Port n Output Data Mask Register + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK15 + Port n Output Data Mask 15 + [15:15] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK14 + Port n Output Data Mask 14 + [14:14] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK13 + Port n Output Data Mask 13 + [13:13] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK12 + Port n Output Data Mask 12 + [12:12] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + + + DBCR + Port n Debounce Control Register + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + DBCLK + Port n Debounce Filter Sampling Clock Selection + [18:16] + read-write + + + HCLK1 + HCLK/1 + 0 + + + HCLK4 + HCLK/4 + 1 + + + HCLK16 + HCLK/16 + 2 + + + HCLK64 + HCLK/64 + 3 + + + HCLK256 + HCLK/256 + 4 + + + HCLK1024 + HCLK/1024 + 5 + + + + + DBEN11 + Port n Debounce Enable 11 + [11:11] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN10 + Port n Debounce Enable 10 + [10:10] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN9 + Port n Debounce Enable 9 + [09:09] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN8 + Port n Debounce Enable 8 + [08:08] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN7 + Port n Debounce Enable 7 + [07:07] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN6 + Port n Debounce Enable 6 + [06:06] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN5 + Port n Debounce Enable 5 + [05:05] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN4 + Port n Debounce Enable 4 + [04:04] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN3 + Port n Debounce Enable 3 + [03:03] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN2 + Port n Debounce Enable 2 + [02:02] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN1 + Port n Debounce Enable 1 + [01:01] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN0 + Port n Debounce Enable 0 + [00:00] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + + + + + PA + 1.0 + Port Control Unit & GPIO Port A + PCU & GPIO + 0x30000000 + 32 + read-write + + 0 + 0x100 + registers + + + + PA_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PA_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PA_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PA_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PA_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PA_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xfffff000 + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PA_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PA_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PA_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PA_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + + + PB + 1.0 + Port Control Unit & GPIO Port B + PCU & GPIO + 0x30000100 + 32 + read-write + + 0 + 0x100 + registers + + + + PB_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE15 + Port n Mode Selection 15 + [31:30] + read-write + + + MODE14 + Port n Mode Selection 14 + [29:28] + read-write + + + MODE13 + Port n Mode Selection 13 + [27:26] + read-write + + + MODE12 + Port n Mode Selection 12 + [25:24] + read-write + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PB_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP15 + Port n Output Type Selection 15 + [15:15] + read-write + + + TYP14 + Port n Output Type Selection 14 + [14:14] + read-write + + + TYP13 + Port n Output Type Selection 13 + [13:13] + read-write + + + TYP12 + Port n Output Type Selection 12 + [12:12] + read-write + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PB_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PB_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR15 + Port n Alternative Function Selection 15 + [31:28] + read-write + + + AFSR14 + Port n Alternative Function Selection 14 + [27:24] + read-write + + + AFSR13 + Port n Alternative Function Selection 13 + [23:20] + read-write + + + AFSR12 + Port n Alternative Function Selection 12 + [19:16] + read-write + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PB_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD15 + Port n Pull-Up/Down Resistor Selection 15 + [31:30] + read-write + + + PUPD14 + Port n Pull-Up/Down Resistor Selection 14 + [29:28] + read-write + + + PUPD13 + Port n Pull-Up/Down Resistor Selection 13 + [27:26] + read-write + + + PUPD12 + Port n Pull-Up/Down Resistor Selection 12 + [25:24] + read-write + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PB_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xffff0000 + + + INDR15 + Port n Input Data 15 + [15:15] + read-only + + + INDR14 + Port n Input Data 14 + [14:14] + read-only + + + INDR13 + Port n Input Data 13 + [13:13] + read-only + + + INDR12 + Port n Input Data 12 + [12:12] + read-only + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PB_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR15 + Port n Output Data 15 + [15:15] + read-write + + + OUTDR14 + Port n Output Data 14 + [14:14] + read-write + + + OUTDR13 + Port n Output Data 13 + [13:13] + read-write + + + OUTDR12 + Port n Output Data 12 + [12:12] + read-write + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PB_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR15 + Port n Output Bit Set 15 + [15:15] + write-only + + + BSR14 + Port n Output Bit Set 14 + [14:14] + write-only + + + BSR13 + Port n Output Bit Set 13 + [13:13] + write-only + + + BSR12 + Port n Output Bit Set 12 + [12:12] + write-only + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PB_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR15 + Port n Output Bit Clear 15 + [15:15] + write-only + + + BCR14 + Port n Output Bit Clear 14 + [14:14] + write-only + + + BCR13 + Port n Output Bit Clear 13 + [13:13] + write-only + + + BCR12 + Port n Output Bit Clear 12 + [12:12] + write-only + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PB_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK15 + Port n Output Data Mask 15 + [15:15] + read-write + + + OUTDMSK14 + Port n Output Data Mask 14 + [14:14] + read-write + + + OUTDMSK13 + Port n Output Data Mask 13 + [13:13] + read-write + + + OUTDMSK12 + Port n Output Data Mask 12 + [12:12] + read-write + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + PB_DBCR + Port n Debounce Control Register + DBCR + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + DBCLK + Port n Debounce Filter Sampling Clock Selection + [18:16] + read-write + + + DBEN11 + Port n Debounce Enable 11 + [11:11] + read-write + + + DBEN10 + Port n Debounce Enable 10 + [10:10] + read-write + + + DBEN9 + Port n Debounce Enable 9 + [09:09] + read-write + + + DBEN8 + Port n Debounce Enable 8 + [08:08] + read-write + + + DBEN7 + Port n Debounce Enable 7 + [07:07] + read-write + + + DBEN6 + Port n Debounce Enable 6 + [06:06] + read-write + + + DBEN5 + Port n Debounce Enable 5 + [05:05] + read-write + + + DBEN4 + Port n Debounce Enable 4 + [04:04] + read-write + + + DBEN3 + Port n Debounce Enable 3 + [03:03] + read-write + + + DBEN2 + Port n Debounce Enable 2 + [02:02] + read-write + + + DBEN1 + Port n Debounce Enable 1 + [01:01] + read-write + + + DBEN0 + Port n Debounce Enable 0 + [00:00] + read-write + + + + + + + PC + 1.0 + Port Control Unit & GPIO Port C + PCU & GPIO + 0x30000200 + 32 + read-write + + 0 + 0x100 + registers + + + + PC_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE12 + Port n Mode Selection 12 + [25:24] + read-write + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PC_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP12 + Port n Output Type Selection 12 + [12:12] + read-write + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PC_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PC_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR12 + Port n Alternative Function Selection 12 + [19:16] + read-write + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PC_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD12 + Port n Pull-Up/Down Resistor Selection 12 + [25:24] + read-write + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PC_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xffffe000 + + + INDR12 + Port n Input Data 12 + [12:12] + read-only + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PC_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR12 + Port n Output Data 12 + [12:12] + read-write + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PC_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR12 + Port n Output Bit Set 12 + [12:12] + write-only + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PC_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR12 + Port n Output Bit Clear 12 + [12:12] + write-only + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PC_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK12 + Port n Output Data Mask 12 + [12:12] + read-write + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + PC_DBCR + Port n Debounce Control Register + DBCR + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + DBCLK + Port n Debounce Filter Sampling Clock Selection + [18:16] + read-write + + + DBEN3 + Port n Debounce Enable 3 + [03:03] + read-write + + + DBEN2 + Port n Debounce Enable 2 + [02:02] + read-write + + + DBEN1 + Port n Debounce Enable 1 + [01:01] + read-write + + + DBEN0 + Port n Debounce Enable 0 + [00:00] + read-write + + + + + + + PD + 1.0 + Port Control Unit & GPIO Port D + PCU & GPIO + 0x30000300 + 32 + read-write + + 0 + 0x100 + registers + + + + PD_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PD_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PD_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PD_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + PD_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PD_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xffffff00 + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PD_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PD_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PD_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PD_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + + + PE + 1.0 + Port Control Unit & GPIO Port E + PCU & GPIO + 0x30000400 + 32 + read-write + + 0 + 0x100 + registers + + + + PE_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE15 + Port n Mode Selection 15 + [31:30] + read-write + + + MODE14 + Port n Mode Selection 14 + [29:28] + read-write + + + MODE13 + Port n Mode Selection 13 + [27:26] + read-write + + + MODE12 + Port n Mode Selection 12 + [25:24] + read-write + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PE_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP15 + Port n Output Type Selection 15 + [15:15] + read-write + + + TYP14 + Port n Output Type Selection 14 + [14:14] + read-write + + + TYP13 + Port n Output Type Selection 13 + [13:13] + read-write + + + TYP12 + Port n Output Type Selection 12 + [12:12] + read-write + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PE_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PE_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR15 + Port n Alternative Function Selection 15 + [31:28] + read-write + + + AFSR14 + Port n Alternative Function Selection 14 + [27:24] + read-write + + + AFSR13 + Port n Alternative Function Selection 13 + [23:20] + read-write + + + AFSR12 + Port n Alternative Function Selection 12 + [19:16] + read-write + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PE_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD15 + Port n Pull-Up/Down Resistor Selection 15 + [31:30] + read-write + + + PUPD14 + Port n Pull-Up/Down Resistor Selection 14 + [29:28] + read-write + + + PUPD13 + Port n Pull-Up/Down Resistor Selection 13 + [27:26] + read-write + + + PUPD12 + Port n Pull-Up/Down Resistor Selection 12 + [25:24] + read-write + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PE_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xffff0000 + + + INDR15 + Port n Input Data 15 + [15:15] + read-only + + + INDR14 + Port n Input Data 14 + [14:14] + read-only + + + INDR13 + Port n Input Data 13 + [13:13] + read-only + + + INDR12 + Port n Input Data 12 + [12:12] + read-only + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PE_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR15 + Port n Output Data 15 + [15:15] + read-write + + + OUTDR14 + Port n Output Data 14 + [14:14] + read-write + + + OUTDR13 + Port n Output Data 13 + [13:13] + read-write + + + OUTDR12 + Port n Output Data 12 + [12:12] + read-write + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PE_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR15 + Port n Output Bit Set 15 + [15:15] + write-only + + + BSR14 + Port n Output Bit Set 14 + [14:14] + write-only + + + BSR13 + Port n Output Bit Set 13 + [13:13] + write-only + + + BSR12 + Port n Output Bit Set 12 + [12:12] + write-only + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PE_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR15 + Port n Output Bit Clear 15 + [15:15] + write-only + + + BCR14 + Port n Output Bit Clear 14 + [14:14] + write-only + + + BCR13 + Port n Output Bit Clear 13 + [13:13] + write-only + + + BCR12 + Port n Output Bit Clear 12 + [12:12] + write-only + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PE_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK15 + Port n Output Data Mask 15 + [15:15] + read-write + + + OUTDMSK14 + Port n Output Data Mask 14 + [14:14] + read-write + + + OUTDMSK13 + Port n Output Data Mask 13 + [13:13] + read-write + + + OUTDMSK12 + Port n Output Data Mask 12 + [12:12] + read-write + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + PE_DBCR + Port n Debounce Control Register + DBCR + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + DBCLK + Port n Debounce Filter Sampling Clock Selection + [18:16] + read-write + + + DBEN3 + Port n Debounce Enable 3 + [03:03] + read-write + + + DBEN2 + Port n Debounce Enable 2 + [02:02] + read-write + + + DBEN1 + Port n Debounce Enable 1 + [01:01] + read-write + + + DBEN0 + Port n Debounce Enable 0 + [00:00] + read-write + + + + + + + PF + 1.0 + Port Control Unit & GPIO Port F + PCU & GPIO + 0x30000500 + 32 + read-write + + 0 + 0x100 + registers + + + + PF_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PF_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PF_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PF_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PF_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PF_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xfffff000 + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PF_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PF_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PF_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PF_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + + + FMC + 1.0 + Flash Memory Controller + Flash Memory Controller + 0x40001b00 + 32 + read-write + + 0 + 0x200 + registers + + + + ADR + Flash Memory Address Register + 0x000 + 32 + read-write + 0x5fffff80 + 0xffffffff + + + ADDR + Flash Memory Address Pointer + [31:00] + read-write + + + + + IDR1 + Flash Memory Identification Register 1 + 0x004 + 32 + read-write + 0x0 + 0xffffffff + + + ID1 + Flash Memory Identification 1 + [31:00] + read-write + + + + + IDR2 + Flash Memory Identification Register 2 + 0x008 + 32 + read-write + 0x0 + 0xffffffff + + + ID2 + Flash Memory Identification 2 + [31:00] + read-write + + + + + CR + Flash Memory Control Register + 0x00c + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0x6c93) + [31:16] + write-only + + + Value + Key Value (0x6c93) + 0x6c93 + + + + + FMKEY + Flash Memory Operation Area Selection + [15:08] + read-write + + + FMBUSY + Flash Memory Operation Mode Busy + [07:07] + read-only + + + FMOD + Flash Memory Operation Mode Selection + [03:00] + read-write + + + + + BCR + Flash Memory Configure Area Bulk Erase Control Register + 0x010 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0xc1be) + [31:16] + write-only + + + Value + Key Value (0xc1be) + 0xc1be + + + + + CNF3BEN + Configure Option Page 3 Bulk Erase Enable + [11:08] + read-write + + + CNF2BEN + Configure Option Page 2 Bulk Erase Enable + [07:04] + read-write + + + CNF1BEN + Configure Option Page 1 Bulk Erase Enable + [03:00] + read-write + + + + + ERFLAG + Flash Memory Error Flag + 0x014 + 32 + read-write + 0x0 + 0xffffffff + + + INSTFLAG + Don't care + [01:01] + read-write + + + FMOPFLAG + Error bit of Flash Memory Operation Procedure + [00:00] + read-write + + + + + PAGEBUF + Flash Memory Page Buffer Area (128bytes/Accessed by 32bit Word Only) + 0x100 + 32 + write-only + 0x0 + 0xffffffff + + + + + WDT + 1.0 + Watch-Dog Timer + Watch-Dog Timer + 0x40001a00 + 32 + read-write + + 0 + 0x100 + registers + + + WDT + WDT Interrupt + 02 + + + + CR + Watch-Dog Timer Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0x5a69) + [31:16] + write-only + + + Value + Key Value (0x5a69) + 0x5a69 + + + + + RSTEN + Watch-Dog Timer Reset Enable + [15:10] + read-write + + + Disable + Disable Watch-Dog Timer reset. (0x25) + 0x25 + + + Enable + Enable Watch-Dog Timer reset. + 0x00 + + + + + CNTEN + Watch-Dog Timer Counter Enable + [09:04] + read-write + + + Disable + Disable Watch-Dog Timer counter. (0x1a) + 0x1a + + + Enable + Enable Watch-Dog Timer counter. + 0x00 + + + + + WINMIEN + Watch-Dog Timer Window Match Interrupt Enable + [03:03] + read-write + + + Disable + Disable window data match interrupt. + 0 + + + Enable + Enable window data match interrupt. + 1 + + + + + UNFIEN + Watch-Dog Timer Underflow Interrupt Enable + [02:02] + read-write + + + Disable + Disable Watch-Dog Timer underflow interrupt. + 0 + + + Enable + Enable Watch-Dog Timer underflow interrupt. + 1 + + + + + CLKDIV + Watch-Dog Timer Clock Divider + [01:00] + read-write + + + fWDT4 + fWDT/4 + 0 + + + fWDT16 + fWDT/16 + 1 + + + fWDT64 + fWDT/64 + 2 + + + fWDT256 + fWDT/256 + 3 + + + + + + + SR + Watch-Dog Timer Status Register + 0x04 + 32 + read-write + 0x80 + 0xffffffff + + + DBGCNTEN + Watch-Dog Timer Counter Enable when the core is halted in debug mode + [07:07] + read-write + + + Run + The Watch-Dog Timer counter continues even if the core is halted + 0 + + + Stop + The Watch-Dog Timer counter is stopped when the core is halted + 1 + + + + + WINMIFLAG + Watch-Dog Timer Window Match Interrupt Flag + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + UNFIFLAG + Watch-Dog Timer Underflow Interrupt Flag + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + DR + Watch-Dog Timer Data Register + 0x08 + 32 + read-write + 0xfff + 0xffffffff + + + DATA + Watch-Dog Timer Data + [23:00] + read-write + + + + + CNT + Watch-Dog Timer Counter Register + 0x0c + 32 + read-only + 0xfff + 0xffffffff + + + CNT + Watch-Dog Timer Counter + [23:00] + read-only + + + + + WINDR + Watch-Dog Timer Window Data Register + 0x10 + 32 + read-write + 0x1fff + 0xffffffff + + + WDATA + Watch-Dog Timer Window Data + [23:00] + read-write + + + + + CNTR + Watch-Dog Timer Counter Reload Register + 0x14 + 32 + write-only + 0x0 + 0xffffffff + + + CNTR + Watch-Dog Timer Counter Reload + [07:00] + write-only + + + Reload + Reload the WDTDR value to Watch-Dog Timer counter and re-start. (0x6a) (Automatically cleared to '0x00' after operation.) + 0x6a + + + NoEffect + No effect. + 0x00 + + + + + + + + + WT + 1.0 + Watch Timer + Watch Timer + 0x40002000 + 32 + read-write + + 0 + 0x100 + registers + + + WT + WT Interrupt + 12 + + + + CR + Watch Timer Control Register + 0x0 + 32 + read-write + 0x0 + 0xffffffff + + + WTEN + Watch Timer Operation Enable + [07:07] + read-write + + + Disable + Disable watch timer operation. + 0 + + + Enable + Enable watch timer operation. + 1 + + + + + WTINTV + Watch Timer Interval Selection + [05:04] + read-write + + + fWT2Pow7 + fWT/2^7 + 0 + + + fWT2Pow13 + fWT/2^13 + 1 + + + fWT2Pow14 + fWT/2^14 + 2 + + + fWT2Pow14DR + fWT/(2^14x(WTDR value + 1)) + 3 + + + + + WTIEN + Watch Timer Interrupt Enable + [03:03] + read-write + + + Disable + Disable watch timer interrupt. + 0 + + + Enable + Enable watch timer interrupt. + 1 + + + + + WTIFLAG + Watch Timer Interrupt Flag + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + WTCLR + Watch Timer Counter and Divider Clear + [00:00] + read-write + + + NoEffect + No effect. + 0 + + + Clear + Clear the counter and divider. (Automatically cleared to '0b' after operation) + 1 + + + + + + + DR + Watch Timer Data Register + 0x4 + 32 + read-write + 0xfff + 0xffffffff + + + WTDATA + Watch Timer Data + [11:00] + read-write + + + + + CNT + Watch Timer Counter Register + 0x8 + 32 + read-only + 0x0 + 0xffffffff + + + CNT + Watch Timer Counter + [11:00] + read-only + + + + + + + TIMER1n + 1.0 + Timer/Counter 1n + Timer/Counter + 0x51000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + TIMER1n Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T1nEN + TIMER1n Operation Enable + [15:15] + read-write + + + T1nCLK + TIMER1n Clock Selection + [14:14] + read-write + + + T1nMS + TIMER1n Operation Mode Selection + [13:12] + read-write + + + T1nECE + TIMER1n External Clock Edge Selection + [11:11] + read-write + + + T1nOPOL + TIMER1n Output Polarity Selection + [08:08] + read-write + + + T1nCPOL + TIMER1n Capture Polarity Selection + [07:06] + read-write + + + T1nMIEN + TIMER1n Match Interrupt Enable + [05:05] + read-write + + + T1nCIEN + TIMER1n Capture Interrupt Enable + [04:04] + read-write + + + T1nMIFLAG + TIMER1n Match Interrupt Flag + [03:03] + read-write + + + T1nCIFLAG + TIMER1n Capture Interrupt Flag + [02:02] + read-write + + + T1nPAU + TIMER1n Counter Temporary Pause Control + [01:01] + read-write + + + T1nCLR + TIMER1n Counter and Prescaler Clear + [00:00] + read-write + + + + + ADR + TIMER1n A Data Register + 0x04 + 32 + read-write + 0xffff + 0xffffffff + + + ADATA + TIMER1n A Data + [15:00] + read-write + + + + + BDR + TIMER1n B Data Register + 0x08 + 32 + read-write + 0xffff + 0xffffffff + + + BDATA + TIMER1n B Data + [15:00] + read-write + + + + + CAPDR + TIMER1n Capture Data Register + 0x0c + 32 + read-only + 0x0 + 0xffffffff + + + CAPD + TIMER1n Capture Data + [15:00] + read-only + + + + + PREDR + TIMER1n Prescaler Data Register + 0x10 + 32 + read-write + 0xfff + 0xffffffff + + + PRED + TIMER1n Prescaler Data + [11:00] + read-write + + + + + CNT + TIMER1n Counter Register + 0x14 + 32 + read-only + 0x0 + 0xffffffff + + + CNT + TIMER1n Counter + [15:00] + read-only + + + + + + + TIMER10 + 1.0 + Timer/Counter 10 + Timer/Counter + 0x40002100 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER10 + TIMER10 Interrupt + 07 + + + + TIMER11 + 1.0 + Timer/Counter 11 + Timer/Counter + 0x40002200 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER11 + TIMER11 Interrupt + 08 + + + + TIMER12 + 1.0 + Timer/Counter 12 + Timer/Counter + 0x40002300 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER12 + TIMER12 Interrupt + 09 + + + + TIMER13 + 1.0 + Timer/Counter 13 + Timer/Counter + 0x40002700 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER13 + TIMER13 Interrupt + 21 + + + + TIMER14 + 1.0 + Timer/Counter 14 + Timer/Counter + 0x40002800 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER14 + TIMER14 Interrupt + 22 + + + + TIMER15 + 1.0 + Timer/Counter 15 + Timer/Counter + 0x40002900 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER15 + TIMER15 Interrupt + 23 + + + + TIMER16 + 1.0 + Timer/Counter 16 + Timer/Counter + 0x40002a00 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER16 + TIMER16 Interrupt + 24 + + + + TIMER2n + 1.0 + Timer/Counter 2n + Timer/Counter + 0x52000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + TIMER2n Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T2nEN + TIMER2n Operation Enable + [15:15] + read-write + + + T2nCLK + TIMER2n Clock Selection + [14:14] + read-write + + + T2nMS + TIMER2n Operation Mode Selection + [13:12] + read-write + + + T2nECE + TIMER2n External Clock Edge Selection + [11:11] + read-write + + + CAPSEL + TIMER2n Capture Signal Selection + [10:09] + read-write + + + T2nOPOL + TIMER2n Output Polarity Selection + [08:08] + read-write + + + T2nCPOL + TIMER2n Capture Polarity Selection + [07:06] + read-write + + + T2nMIEN + TIMER2n Match Interrupt Enable + [05:05] + read-write + + + T2nCIEN + TIMER2n Capture Interrupt Enable + [04:04] + read-write + + + T2nMIFLAG + TIMER2n Match Interrupt Flag + [03:03] + read-write + + + T2nCIFLAG + TIMER2n Capture Interrupt Flag + [02:02] + read-write + + + T2nPAU + TIMER2n Counter Temporary Pause Control + [01:01] + read-write + + + T2nCLR + TIMER2n Counter and Prescaler Clear + [00:00] + read-write + + + + + ADR + TIMER2n A Data Register + 0x04 + 32 + read-write + 0xffffffff + 0xffffffff + + + ADATA + TIMER2n A Data + [31:00] + read-write + + + + + BDR + TIMER2n B Data Register + 0x08 + 32 + read-write + 0xffffffff + 0xffffffff + + + BDATA + TIMER2n B Data + [31:00] + read-write + + + + + CAPDR + TIMER2n Capture Data Register + 0x0c + 32 + read-only + 0x0 + 0xffffffff + + + CAPD + TIMER2n Capture Data + [31:00] + read-only + + + + + PREDR + TIMER2n Prescaler Data Register + 0x10 + 32 + read-write + 0xfff + 0xffffffff + + + PRED + TIMER2n Prescaler Data + [11:00] + read-write + + + + + CNT + TIMER2n Counter Register + 0x14 + 32 + read-only + 0x0 + 0xffffffff + + + CNT + TIMER2n Counter + [31:00] + read-only + + + + + + + TIMER20 + 1.0 + Timer/Counter 20 + Timer/Counter + 0x40002500 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER20 + TIMER20 Interrupt + 15 + + + + TIMER20_CR + TIMER2n Control Register + CR + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T2nEN + TIMER2n Operation Enable + [15:15] + read-write + + + T2nCLK + TIMER2n Clock Selection + [14:14] + read-write + + + T2nMS + TIMER2n Operation Mode Selection + [13:12] + read-write + + + T2nECE + TIMER2n External Clock Edge Selection + [11:11] + read-write + + + CAPSEL + TIMER2n Capture Signal Selection + [10:09] + read-write + + + T2nOPOL + TIMER2n Output Polarity Selection + [08:08] + read-write + + + T2nCPOL + TIMER2n Capture Polarity Selection + [07:06] + read-write + + + T2nMIEN + TIMER2n Match Interrupt Enable + [05:05] + read-write + + + T2nCIEN + TIMER2n Capture Interrupt Enable + [04:04] + read-write + + + T2nMIFLAG + TIMER2n Match Interrupt Flag + [03:03] + read-write + + + T2nCIFLAG + TIMER2n Capture Interrupt Flag + [02:02] + read-write + + + T2nPAU + TIMER2n Counter Temporary Pause Control + [01:01] + read-write + + + T2nCLR + TIMER2n Counter and Prescaler Clear + [00:00] + read-write + + + + + + + TIMER21 + 1.0 + Timer/Counter 21 + Timer/Counter + 0x40002600 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER21 + TIMER21 Interrupt + 16 + + + + TIMER21_CR + TIMER2n Control Register + CR + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T2nEN + TIMER2n Operation Enable + [15:15] + read-write + + + T2nCLK + TIMER2n Clock Selection + [14:14] + read-write + + + T2nMS + TIMER2n Operation Mode Selection + [13:12] + read-write + + + T2nECE + TIMER2n External Clock Edge Selection + [11:11] + read-write + + + T2nOPOL + TIMER2n Output Polarity Selection + [08:08] + read-write + + + T2nCPOL + TIMER2n Capture Polarity Selection + [07:06] + read-write + + + T2nMIEN + TIMER2n Match Interrupt Enable + [05:05] + read-write + + + T2nCIEN + TIMER2n Capture Interrupt Enable + [04:04] + read-write + + + T2nMIFLAG + TIMER2n Match Interrupt Flag + [03:03] + read-write + + + T2nCIFLAG + TIMER2n Capture Interrupt Flag + [02:02] + read-write + + + T2nPAU + TIMER2n Counter Temporary Pause Control + [01:01] + read-write + + + T2nCLR + TIMER2n Counter and Prescaler Clear + [00:00] + read-write + + + + + + + TIMER3n + 1.0 + Timer/Counter 3n + Timer/Counter + 0x53000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + TIMER3n Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T3nEN + TIMER3n Operation Enable + [15:15] + read-write + + + Disable + Disable TIMER3n Operation. + 0 + + + Enable + Enable TIMER3n Operation. (Counter Clear and Start) + 1 + + + + + T3nCLK + TIMER3n Clock Selection + [14:14] + read-write + + + IntPrescaledClock + Select an Internal Prescaler Clock. + 0 + + + ExtClock + Select an External Clock. + 1 + + + + + T3nMS + TIMER3n Operation Mode Selection + [13:12] + read-write + + + IntervalMode + Interval mode. (All match interrupts can occur) + 0 + + + CaptureMode + Capture mode. (The Period-match interrupt can occur) + 1 + + + BackToBackMode + Back-to-back mode. (All interrupts can occur) + 2 + + + + + T3nECE + TIMER3n External Clock Edge Selection + [11:11] + read-write + + + FallingEdge + Select falling edge of external clock. + 0 + + + RisingEdge + Select rising edge of external clock. + 1 + + + + + FORCA + TIMER3n Output Mode Selection + [10:10] + read-write + + + AllChannelMode + 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.) + 0 + + + AChannelMode + Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) + 1 + + + + + DLYEN + Delay Time Insertion Enable + [09:09] + read-write + + + Disable + Disable delay time insertion to the PWM3nxA/PWM3nxB. + 0 + + + Enable + Enable delay time insertion to the PWM3nxA/PWM3nxB. + 1 + + + + + DLYPOS + Delay Time Insertion Position + [08:08] + read-write + + + FrontABehindB + Insert in front of PWM3nxA and behind PWM3nxB pins. + 0 + + + BehindAFrontB + Insert behind PWM3nxA and in front of PWM3nxB pins. + 1 + + + + + T3nCPOL + TIMER3n Capture Polarity Selection + [07:06] + read-write + + + FallingEdge + Capture on falling edge. + 0 + + + RisingEdge + Capture on rising edge. + 1 + + + BothEdge + Capture on both falling and rising edge. + 2 + + + + + UPDT + Data Reload Time Selection + [05:04] + read-write + + + AtWriting + Update data to buffer at the time of writing. + 0 + + + AtPeriodMatch + Update data to buffer at period match. + 1 + + + AtBottom + Update data to buffer at bottom. + 2 + + + + + PMOC + Period Match Interrupt Occurrence Selection + [03:01] + read-write + + + Every1PeriodMatch + Once every 1 period match. + 0 + + + Every2PeriodMatch + Once every 2 period match. + 1 + + + Every3PeriodMatch + Once every 3 period match. + 2 + + + Every4PeriodMatch + Once every 4 period match. + 3 + + + Every5PeriodMatch + Once every 5 period match. + 4 + + + Every6PeriodMatch + Once every 6 period match. + 5 + + + Every7PeriodMatch + Once every 7 period match. + 6 + + + Every8PeriodMatch + Once every 8 period match. + 7 + + + + + T3nCLR + TIMER3n Counter and Prescaler Clear + [00:00] + read-write + + + NoEffect + No effect. + 0 + + + Clear + Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) + 1 + + + + + + + PDR + TIMER3n Period Data Register + 0x04 + 32 + read-write + 0xffff + 0xffffffff + + + PDATA + TIMER3n Period Data + [15:00] + read-write + + + + + ADR + TIMER3n A Data Register + 0x08 + 32 + read-write + 0xffff + 0xffffffff + + + ADATA + TIMER3n A Data + [15:00] + read-write + + + + + BDR + TIMER3n B Data Register + 0x0c + 32 + read-write + 0xffff + 0xffffffff + + + BDATA + TIMER3n B Data + [15:00] + read-write + + + + + CDR + TIMER3n C Data Register + 0x10 + 32 + read-write + 0xffff + 0xffffffff + + + CDATA + TIMER3n C Data + [15:00] + read-write + + + + + CAPDR + TIMER3n Capture Data Register + 0x14 + 32 + read-only + 0x0 + 0xffffffff + + + CAPD + TIMER3n Capture Data + [15:00] + read-only + + + + + PREDR + TIMER3n Prescaler Data Register + 0x18 + 32 + read-write + 0xfff + 0xffffffff + + + PRED + TIMER3n Prescaler Data + [11:00] + read-write + + + + + CNT + TIMER3n Counter Register + 0x1c + 32 + read-only + 0x0 + 0xffffffff + + + CNT + TIMER3n Counter + [15:00] + read-only + + + + + OUTCR + TIMER3n Output Control Register + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key + [31:16] + write-only + + + POLB + PWM3nxB Output Polarity Selection + [15:15] + read-write + + + StartLow + Low level start. (The PWM3nxB pins are started with low level after counting.) + 0 + + + StartHigh + High level start. (The PWM3nxB pins are started with high level after counting) + 1 + + + + + POLA + PWM3nxA Output Polarity Selection + [14:14] + read-write + + + StartLow + Low level start. (The PWM3nxA pins are started with low level after counting.) + 0 + + + StartHigh + High level start. (The PWM3nxA pins are started with high level after counting) + 1 + + + + + PABOE + PWM3nAB Output Enable + [13:13] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PBBOE + PWM3nBB Output Enable + [12:12] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PCBOE + PWM3nCB Output Enable + [11:11] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PAAOE + PWM3nAA Output Enable + [10:10] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PBAOE + PWM3nBA Output Enable + [09:09] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PCAOE + PWM3nCA Output Enable + [08:08] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + LVLAB + Configure PWM3nAB Output when Disable + [06:06] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLBB + Configure PWM3nBB Output when Disable + [05:05] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLCB + Configure PWM3nCB Output when Disable + [04:04] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLAA + Configure PWM3nAA Output when Disable + [02:02] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLBA + Configure PWM3nBA Output when Disable + [01:01] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLCA + Configure PWM3nCA Output when Disable + [00:00] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + + + DLY + TIMER3n PWM Output Delay Data Register + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + DLY + TIMER3n PWM Delay Data + [09:00] + read-write + + + + + INTCR + TIMER3n Interrupt Control Register + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + HIZIEN + TIMER3n Output High-Impedance Interrupt Enable + [06:06] + read-write + + + Disable + Disable TIMER3n output high-impedance interrupt. + 0 + + + Enable + Enable TIMER3n output high-impedance interrupt. + 1 + + + + + T3nCIEN + TIMER3n Capture Interrupt Enable + [05:05] + read-write + + + Disable + Disable TIMER3n capture interrupt. + 0 + + + Enable + Enable TIMER3n capture interrupt. + 1 + + + + + T3nBTIEN + TIMER3n Bottom Interrupt Enable + [04:04] + read-write + + + Disable + Disable TIMER3n bottom interrupt. + 0 + + + Enable + Enable TIMER3n bottom interrupt. + 1 + + + + + T3nPMIEN + TIMER3n Period Match Interrupt Enable + [03:03] + read-write + + + Disable + Disable TIMER3n period interrupt. + 0 + + + Enable + Enable TIMER3n period interrupt. + 1 + + + + + T3nAMIEN + TIMER3n A-ch Match Interrupt Enable + [02:02] + read-write + + + Disable + Disable TIMER3n A-ch match interrupt. + 0 + + + Enable + Enable TIMER3n A-ch match interrupt. + 1 + + + + + T3nBMIEN + TIMER3n B-ch Match Interrupt Enable + [01:01] + read-write + + + Disable + Disable TIMER3n B-ch match interrupt. + 0 + + + Enable + Enable TIMER3n B-ch match interrupt. + 1 + + + + + T3nCMIEN + TIMER3n C-ch Match Interrupt Enable + [00:00] + read-write + + + Disable + Disable TIMER3n C-ch match interrupt. + 0 + + + Enable + Enable TIMER3n C-ch match interrupt. + 1 + + + + + + + INTFLAG + TIMER3n Interrupt Flag Register + 0x2c + 32 + read-write + 0x0 + 0xffffffff + + + HIZIFLAG + TIMER3n Output High-Impedance Interrupt Flag + [06:06] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nCIFLAG + TIMER3n Capture Interrupt Flag + [05:05] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nBTIFLAG + TIMER3n Bottom Interrupt Flag + [04:04] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nPMIFLAG + TIMER3n Period Match Interrupt Flag + [03:03] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nAMIFLAG + TIMER3n A-ch Match Interrupt Flag + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nBMIFLAG + TIMER3n B-ch Match Interrupt Flag + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nCMIFLAG + TIMER3n C-ch Match Interrupt Flag + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + + + HIZCR + TIMER3n High-Impedance Control Register + 0x30 + 32 + read-write + 0x0 + 0xffffffff + + + HIZEN + PWM3nxA/PWM3nxB Output High-Impedance Enable + [07:07] + read-write + + + Disable + Disable to control the output high-impedance. + 0 + + + Enable + Enable to control the output high-impedance. + 1 + + + + + HIZSW + High-Impedance Output Software Setting + [04:04] + read-write + + + NoEffect + No effect. + 0 + + + HiZ + PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) + 1 + + + + + HEDGE + High-Impedance Edge Selection + [02:02] + read-write + + + FallingEdge + Falling edge of the BLNK pin. + 0 + + + RisingEdge + Rising edge of the BLNK pin. + 1 + + + + + HIZSTA + High-Impedance Status + [01:01] + read-only + + + NoHiZ + Indicates that the pins are not under a Hi-Z state. + 0 + + + HiZ + Indicates that the pins are under a Hi-Z state. + 1 + + + + + HIZCLR + High-Impedance Output Clear + [00:00] + read-write + + + NoEffect + No effect. + 0 + + + Clear + Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) + 1 + + + + + + + ADTCR + TIMER3n ADC Trigger Control Register + 0x34 + 32 + read-write + 0x0 + 0xffffffff + + + T3nBTTG + Select TIMER3n Bottom for ADC Trigger Signal Generator. + [04:04] + read-write + + + Disable + Disable ADC trigger signal generator by bottom. + 0 + + + Enable + Enable ADC trigger signal generator by bottom. + 1 + + + + + T3nPMTG + Select TIMER3n Period Match for ADC Trigger Signal Generator. + [03:03] + read-write + + + Disable + Disable ADC trigger signal generator by period match. + 0 + + + Enable + Enable ADC trigger signal generator by period match. + 1 + + + + + T3nAMTG + Select TIMER3n A-ch Match for ADC Trigger Signal Generator. + [02:02] + read-write + + + Disable + Disable ADC trigger signal generator by A-ch match. + 0 + + + Enable + Enable ADC trigger signal generator by A-ch match. + 1 + + + + + T3nBMTG + Select TIMER3n B-ch Match for ADC Trigger Signal Generator. + [01:01] + read-write + + + Disable + Disable ADC trigger signal generator by B-ch match. + 0 + + + Enable + Enable ADC trigger signal generator by B-ch match. + 1 + + + + + T3nCMTG + Select TIMER3n C-ch Match for ADC Trigger Signal Generator. + [00:00] + read-write + + + Disable + Disable ADC trigger signal generator by C-ch match. + 0 + + + Enable + Enable ADC trigger signal generator by C-ch match. + 1 + + + + + + + ADTDR + TIMER3n ADC Trigger Generator Data Register + 0x38 + 32 + read-write + 0x0 + 0xffffffff + + + ADTDATA + TIMER3n ADC Trigger Generation Data + [13:00] + read-write + + + + + + + TIMER30 + 1.0 + Timer/Counter 30 + Timer/Counter + 0x40002400 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER30 + TIMER30 Interrupt + 13 + + + + T30_OUTCR + TIMER3n Output Control Register + OUTCR + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0xe06c) + [31:16] + write-only + + + Value + Key Value (0xe06c) + 0xe06c + + + + + POLB + PWM3nxB Output Polarity Selection + [15:15] + read-write + + + POLA + PWM3nxA Output Polarity Selection + [14:14] + read-write + + + PABOE + PWM3nAB Output Enable + [13:13] + read-write + + + PBBOE + PWM3nBB Output Enable + [12:12] + read-write + + + PCBOE + PWM3nCB Output Enable + [11:11] + read-write + + + PAAOE + PWM3nAA Output Enable + [10:10] + read-write + + + PBAOE + PWM3nBA Output Enable + [09:09] + read-write + + + PCAOE + PWM3nCA Output Enable + [08:08] + read-write + + + LVLAB + Configure PWM3nAB Output when Disable + [06:06] + read-write + + + LVLBB + Configure PWM3nBB Output when Disable + [05:05] + read-write + + + LVLCB + Configure PWM3nCB Output when Disable + [04:04] + read-write + + + LVLAA + Configure PWM3nAA Output when Disable + [02:02] + read-write + + + LVLBA + Configure PWM3nBA Output when Disable + [01:01] + read-write + + + LVLCA + Configure PWM3nCA Output when Disable + [00:00] + read-write + + + + + + + ADC + 1.0 + 12 Bit A/D Converter + A/D Converter + 0x40003000 + 32 + read-write + + 0 + 0x100 + registers + + + ADC + ADC Interrupt + 18 + + + + CR + A/D Converter Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + ADCEN + ADC Module Enable + [15:15] + read-write + + + Disable + Disable ADC module operation. + 0 + + + Enable + Enable ADC module operation. + 1 + + + + + TRIG + ADC Trigger Signal Selection + [13:11] + read-write + + + ADST + Select ADST. + 0 + + + TIMER10 + Select TIMER10 A-Match Signal. + 1 + + + TIMER11 + Select TIMER11 A-Match Signal. + 2 + + + TIMER12 + Select TIMER12 A-Match Signal. + 3 + + + TIMER30 + Select ADC Trigger Signal from TIMER30. + 4 + + + + + REFSEL + ADC Reference Selection + [10:10] + read-write + + + Vdd + Select analog power (VDD). + 0 + + + AVref + Select external reference (AVREF). + 1 + + + + + ADST + ADC Conversion Start + [08:08] + read-write + + + NoEffect + No effect. + 0 + + + Start + Trigger signal generation for conversion start. + 1 + + + + + ADCIEN + ADC Interrupt Enable + [05:05] + read-write + + + Disable + Disable ADC interrupt. + 0 + + + Enable + Enable ADC interrupt. + 1 + + + + + ADCIFLAG + ADC Interrupt Flag + [04:04] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + ADSEL + A/D Converter Channel Selection + [03:00] + read-write + + + AN0 + Select AN0. + 0 + + + AN1 + Select AN1. + 1 + + + AN2 + Select AN2. + 2 + + + AN3 + Select AN3. + 3 + + + AN4 + Select AN4. + 4 + + + AN5 + Select AN5. + 5 + + + AN6 + Select AN6. + 6 + + + AN7 + Select AN7. + 7 + + + AN8 + Select AN8. + 8 + + + AN9 + Select AN9. + 9 + + + AN10 + Select AN10. + 10 + + + AN11 + Select AN11 + 11 + + + AN12 + Select AN12 + 12 + + + AN13 + Select AN13 + 13 + + + + + + + DR + A/D Converter Data Register + 0x04 + 32 + read-only + 0x0 + 0xfffff000 + + + ADDATA + A/D Converter Result Data + [11:00] + read-only + + + + + PREDR + A/D Converter Prescaler Data Register + 0x08 + 32 + read-write + 0xf + 0xffffffff + + + PRED + A/D Converter Prescaler Data + [04:00] + read-write + + + + + + + USART1n + 1.0 + USART 1n (USART + SPI) + USART & SPI + 0x54000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR1 + USART1n Control Register 1 + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + USTnMS + USART1n Operation Mode Selection + [15:14] + read-write + + + Async + Asynchronous Mode (UART) + 0 + + + Sync + Synchronous Mode (USRT) + 1 + + + SPI + SPI Mode + 3 + + + + + USTnP + Selects Parity Generation and Check method (only UART mode) + [13:12] + read-write + + + No + No Parity + 0 + + + Even + Even Parity + 2 + + + Odd + Odd Parity + 3 + + + + + USTnS + Selects the length of data bit in a frame when Asynchronous or Synchronous mode + [11:09] + read-write + + + 5bit + 5 bit + 0 + + + 6bit + 6 bit + 1 + + + 7bit + 7 bit + 2 + + + 8bit + 8 bit + 3 + + + 9bit + 9 bit + 7 + + + + + ORDn + Selects the first data bit to be transmitted (only SPI mode) + [08:08] + read-write + + + lsbFirst + LSB First + 0 + + + msbFirst + MSB First + 1 + + + + + CPOLn + Selects the Clock Polarity of ACK in Synchronous or SPI mode + [07:07] + read-write + + + IdleLow + TXD Change @Rising Edge, RXD Change @Falling Edge + 0 + + + IdleHigh + TXD Change @Falling Edge, RXD Change @Rising Edge + 1 + + + + + CPHAn + The CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode) + [06:06] + read-write + + + StartIdle + Start with idle state. + 0 + + + StartInverted + Start with inverted idle state. + 1 + + + + + DRIEn + Transmit Data Register Empty Interrupt Enable + [05:05] + read-write + + + TXCIEn + Transmit Complete Interrupt Enable + [04:04] + read-write + + + RXCIEn + Receive Complete Interrupt Enable + [03:03] + read-write + + + WAKEIEn + Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode + [02:02] + read-write + + + TXEn + Enable the transmitter unit. + [01:01] + read-write + + + RXEn + Enable the receiver unit. + [00:00] + read-write + + + + + CR2 + USART1n Control Register 2 + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + USTnEN + Activate USART1n Block + [09:09] + read-write + + + DBLSn + Selects receiver sampling rate (only UART mode) + [08:08] + read-write + + + MASTERn + Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin + [07:07] + read-write + + + LOOPSn + Control the Loop Back mode of USART1n for test mode + [06:06] + read-write + + + DISSCKn + In synchronous mode operation, selects the waveform of SCK1n output + [05:05] + read-write + + + USTnSSEN + This bit controls the SS1n pin operation (only SPI mode) + [04:04] + read-write + + + FXCHn + SPI1n port function exchange control (only SPI mode) + [03:03] + read-write + + + USTnSB + Selects the length of stop bit in Asynchronous or Synchronous mode + [02:02] + read-write + + + USTnTX8 + The ninth bit of data frame in Asynchronous or Synchronous mode of operation + [01:01] + read-write + + + USTnRX8 + The ninth bit of data frame in Asynchronous or Synchronous mode of operation + [00:00] + read-write + + + + + ST + USART1n Status Register + 0x0c + 32 + read-write + 0x80 + 0xffffffff + + + DREn + Transmit Data Register Empty Interrupt Flag + [07:07] + read-write + + + TXCn + Transmit Complete Interrupt Flag + [06:06] + read-write + + + RXCn + Receive Complete Interrupt Flag + [05:05] + read-only + + + WAKEn + Asynchronous Wake-Up Interrupt Flag + [04:04] + read-write + + + DORn + This bit is set if data OverRun takes place + [02:02] + read-only + + + FEn + This bit is set if the first stop bit of next character in the receive buffer is detected as '0' + [01:01] + read-write + + + PEn + This bit is set if the next character in the receive buffer has a Parity Error while parity is checked + [00:00] + read-write + + + + + BDR + USART1n Baud Rate Generation Register + 0x10 + 32 + read-write + 0xfff + 0xffffffff + + + BDATA + The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode + [11:00] + read-write + + + + + DR + USART1n Data Register + 0x14 + 32 + read-write + 0x0 + 0xffffffff + + + DATA + The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register + [07:00] + read-write + + + + + + + USART10 + 1.0 + USART 10 (USART + SPI) + USART & SPI + 0x40003800 + 32 + read-write + + 0 + 0x100 + registers + + + USART10 + USART10 Interrupt + 11 + + + + USART11 + 1.0 + USART 11 (USART + SPI) + USART & SPI + 0x40003900 + 32 + read-write + + 0 + 0x100 + registers + + + USART11 + USART11 Interrupt + 17 + + + + USART12 + 1.0 + USART 12 (USART + SPI) + USART & SPI + 0x40003a00 + 32 + read-write + + 0 + 0x100 + registers + + + USART12 + USART12 Interrupt + 26 + + + + USART13 + 1.0 + USART 13 (USART + SPI) + USART & SPI + 0x40003b00 + 32 + read-write + + 0 + 0x100 + registers + + + USART13 + USART13 Interrupt + 27 + + + + UARTn + 1.0 + UART n + UART + 0x55000000 + 32 + read-write + + 0 + 0x100 + registers + + + + RBR + UARTn Receive Data Buffer Register + 0x00 + 32 + read-only + 0x0 + 0xffffffff + + + RBR + UARTn Receive Data Buffer + [07:00] + read-only + + + + + THR + UARTn Transmit Data Hold Register + 0x00 + 32 + write-only + 0x0 + 0xffffffff + + + THR + UARTn Transmit Data Hold + [07:00] + write-only + + + + + IER + UARTn Interrupt Enable Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TXEIE + Transmit Empty Interrupt Enable + [03:03] + read-write + + + RLSIE + Receiver Line Status Interrupt Enable + [02:02] + read-write + + + THREIE + Transmit Holding Register Empty Interrupt Enable + [01:01] + read-write + + + DRIE + Data Receive Interrupt Enable + [00:00] + read-write + + + + + IIR + UARTn Interrupt ID Register + 0x08 + 32 + read-only + 0x1 + 0xffffffff + + + TXE + Transmit Complete Interrupt Source ID + [04:04] + read-only + + + IID + UARTn Interrupt ID + [02:01] + read-only + + + IPEN + Interrupt Pending + [00:00] + read-only + + + + + LCR + UARTn Line Control Register + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + BREAK + Transfer Break Control + [06:06] + read-write + + + STICKP + Force Parity + [05:05] + read-write + + + PARITY + Parity Mode and Parity Stuck Selection + [04:04] + read-write + + + PEN + Parity Bit Transfer Enable + [03:03] + read-write + + + STOPBIT + Stop Bit Length Selection + [02:02] + read-write + + + DLEN + Data Length Selection + [01:00] + read-write + + + + + DCR + UARTn Data Control Register + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + LBON + Local Loopback Test Mode Enable + [04:04] + read-write + + + RXINV + Receive Data Inversion Selection + [03:03] + read-write + + + TXINV + Transmit Data Inversion Selection + [02:02] + read-write + + + + + LSR + UARTn Line Status Register + 0x14 + 32 + read-only + 0x60 + 0xffffffff + + + TEMT + Transmit Register Empty + [06:06] + read-only + + + THRE + Transmit Hold Register Empty + [05:05] + read-only + + + BI + Break Condition Indication + [04:04] + read-only + + + FE + Frame Error Indicator + [03:03] + read-only + + + PE + Parity Error Indicator + [02:02] + read-only + + + OE + Overrun Error Indicator + [01:01] + read-only + + + DR + Data Receive Indicator + [00:00] + read-only + + + + + BDR + UARTn Baud Rate Divisor Latch Register + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + BDR + Baud Rate Divider Latch Value + [15:00] + read-write + + + + + BFR + UARTn Baud Rate Fractional Counter Value + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + BFR + Fraction Counter value + [07:00] + read-write + + + Disable + Disable fraction counter. + 0 + + + + + + + IDTR + UARTn Inter-frame Delay Time Register + 0x30 + 32 + read-write + 0xc0 + 0xffffffff + + + SMS + Start Bit Multi Sampling Enable + [07:07] + read-write + + + DMS + Data Bit Multi Sampling Enable + [06:06] + read-write + + + WAITVAL + Wait Time Value + [02:00] + read-write + + + + + + + UART0 + 1.0 + UART 0 + UART + 0x40004000 + 32 + read-write + + 0 + 0x100 + registers + + + UART0 + UART0 Interrupt + 19 + + + + UART1 + 1.0 + UART 1 + UART + 0x40004100 + 32 + read-write + + 0 + 0x100 + registers + + + UART1 + UART1 Interrupt + 20 + + + + I2Cn + 1.0 + I2C n + I2C + 0x56000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + I2Cn Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + I2CnEN + Activate I2Cn Block by supplying + [07:07] + read-write + + + TXDLYENBn + SDHR Register Control + [06:06] + read-write + + + I2CnIEN + I2Cn Interrupt Enable + [05:05] + read-write + + + I2CnIFLAG + I2Cn Interrupt Flag + [04:04] + read-write + + + ACKnEN + Controls ACK signal generation at ninth SCL period + [03:03] + read-write + + + IMASTERn + Represent Operation Mode of I2Cn + [02:02] + read-only + + + STOPCn + STOP Condition Generation when I2Cn is master + [01:01] + read-write + + + STARTCn + START Condition Generation when I2Cn is master + [00:00] + read-write + + + + + ST + I2Cn Status Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + GCALLn + + This bit has different meaning depending on whether I2C is master or slave. + When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. + When I2C is a slave, this bit is used to indicate general call. + + [07:07] + read-write + + + TENDn + This bit is set when 1-byte of data is transferred completely + [06:06] + read-write + + + STOPDn + This bit is set when a STOP condition is detected + [05:05] + read-write + + + SSELn + This bit is set when I2C is addressed by other master + [04:04] + read-write + + + MLOSTn + This bit represents the result of bus arbitration in master mode + [03:03] + read-write + + + BUSYn + This bit reflects bus status + [02:02] + read-write + + + TMODEn + This bit is used to indicate whether I2C is transmitter or receiver + [01:01] + read-only + + + RXACKn + This bit shows the state of ACK signal + [00:00] + read-write + + + + + SAR1 + I2Cn Slave Address Register 1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + SLAn + These bits configure the slave address 1 in slave mode + [07:01] + read-write + + + GCALLnEN + This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode + [00:00] + read-write + + + + + SAR2 + I2Cn Slave Address Register 2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + SLAn + These bits configure the slave address 2 in slave mode + [07:01] + read-write + + + GCALLnEN + This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode + [00:00] + read-write + + + + + DR + I2Cn Data Register + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + DATA + The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register + [07:00] + read-write + + + + + SDHR + I2Cn SDA Hold Time Register + 0x14 + 32 + read-write + 0x1 + 0xffffffff + + + HLDT + This register is used to control SDA output timing from the falling edge of SCL + [11:00] + read-write + + + + + SCLR + I2Cn SCL Low Period Register + 0x18 + 32 + read-write + 0x3f + 0xffffffff + + + SCLL + This register defines the low period of SCL in master mode + [11:00] + read-write + + + + + SCHR + I2Cn SCL High Period Register + 0x1c + 32 + read-write + 0x3f + 0xffffffff + + + SCLH + This register defines the high period of SCL in master mode + [11:00] + read-write + + + + + + + I2C0 + 1.0 + I2C 0 + I2C + 0x40004800 + 32 + read-write + + 0 + 0x100 + registers + + + I2C0 + I2C0 Interrupt + 10 + + + + I2C1 + 1.0 + I2C 1 + I2C + 0x40004900 + 32 + read-write + + 0 + 0x100 + registers + + + I2C1 + I2C1 Interrupt + 14 + + + + I2C2 + 1.0 + I2C 2 + I2C + 0x40004a00 + 32 + read-write + + 0 + 0x100 + registers + + + I2C2 + I2C2 Interrupt + 25 + + + + LCD + 1.0 + LCD Driver + LCD Driver + 0x40005000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + LCD Driver Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + IRSEL + Internal LCD Bias Dividing Resistor Selection + [07:06] + read-write + + + RLCD3 + RLCD3: 105/105/80[kohm] @(1/2)/(1/3)/(1/4) bias + 0 + + + RLCD1 + RLCD1: 10/10/10[kohm] @(1/2)/(1/3)/(1/4) bias + 1 + + + RLCD2 + RLCD2: 66/66/50[kohm] @(1/2)/(1/3)/(1/4) bias + 2 + + + RLCD4 + RLCD4: 320/320/240[kohm] @(1/2)/(1/3)/(1/4) bias + 3 + + + + + DBS + LCD Duty and Bias Selection + [05:03] + read-write + + + Duty8Bias4 + 1/8 duty, 1/4 bias + 0 + + + Duty6Bias4 + 1/6 duty, 1/4 bias + 1 + + + Duty5Bias3 + 1/5 duty, 1/3 bias + 2 + + + Duty4Bias3 + 1/4 duty, 1/3 bias + 3 + + + Duty3Bias3 + 1/3 duty, 1/3 bias + 4 + + + Duty3Bias2 + 1/3 duty, 1/2 bias + 5 + + + + + LCLK + LCD Clock Selection (When fLCD = 32.768kHz) + [02:01] + read-write + + + fLCD256 + 128Hz + 0 + + + fLCD128 + 256Hz + 1 + + + fLCD64 + 512Hz + 2 + + + fLCD32 + 1024Hz + 3 + + + + + DISP + LCD Display Control + [00:00] + read-write + + + Off + Display off + 0 + + + On + Normal display on + 1 + + + + + + + BCCR + LCD Automatic Bias and Contrast Control Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + LCDABC + LCD Automatic Bias Control + [12:12] + read-write + + + Off + LCD automatic bias is off + 0 + + + On + LCD automatic bias is on + 1 + + + + + BMSEL + 'Bias Mode A' Time Selection + [10:08] + read-write + + + BMA1Clk + 'Bias Mode A' for 1-clock of fLCD + 0 + + + BMA2Clk + 'Bias Mode A' for 2-clock of fLCD + 1 + + + BMA3Clk + 'Bias Mode A' for 3-clock of fLCD + 2 + + + BMA4Clk + 'Bias Mode A' for 4-clock of fLCD + 3 + + + BMA5Clk + 'Bias Mode A' for 5-clock of fLCD + 4 + + + BMA6Clk + 'Bias Mode A' for 6-clock of fLCD + 5 + + + BMA7Clk + 'Bias Mode A' for 7-clock of fLCD + 6 + + + BMA8Clk + 'Bias Mode A' for 8-clock of fLCD + 7 + + + + + LCTEN + LCD Driver Contrast Control + [05:05] + read-write + + + Disable + Disable LCD driver contrast. + 0 + + + Enable + Enable LCD driver contrast. + 1 + + + + + VLCD + VLC0 Voltage Control when the contrast is enabled + [03:00] + read-write + + + Step0 + VDD x 16/31 Step + 0 + + + Step1 + VDD x 16/30 Step + 1 + + + Step2 + VDD x 16/29 Step + 2 + + + Step3 + VDD x 16/28 Step + 3 + + + Step4 + VDD x 16/27 Step + 4 + + + Step5 + VDD x 16/26 Step + 5 + + + Step6 + VDD x 16/25 Step + 6 + + + Step7 + VDD x 16/24 Step + 7 + + + Step8 + VDD x 16/23 Step + 8 + + + Step9 + VDD x 16/22 Step + 9 + + + Step10 + VDD x 16/21 Step + 10 + + + Step11 + VDD x 16/20 Step + 11 + + + Step12 + VDD x 16/19 Step + 12 + + + Step13 + VDD x 16/18 Step + 13 + + + Step14 + VDD x 16/17 Step + 14 + + + Step15 + VDD x 16/16 Step + 15 + + + + + + + DR0 + LCD Display Data Register 0 + 0x10 + 8 + read-write + 0x0 + + + DR1 + LCD Display Data Register 1 + 0x11 + 8 + read-write + 0x0 + + + DR2 + LCD Display Data Register 2 + 0x12 + 8 + read-write + 0x0 + + + DR3 + LCD Display Data Register 3 + 0x13 + 8 + read-write + 0x0 + + + DR4 + LCD Display Data Register 4 + 0x14 + 8 + read-write + 0x0 + + + DR5 + LCD Display Data Register 5 + 0x15 + 8 + read-write + 0x0 + + + DR6 + LCD Display Data Register 6 + 0x16 + 8 + read-write + 0x0 + + + DR7 + LCD Display Data Register 7 + 0x17 + 8 + read-write + 0x0 + + + DR8 + LCD Display Data Register 8 + 0x18 + 8 + read-write + 0x0 + + + DR9 + LCD Display Data Register 9 + 0x19 + 8 + read-write + 0x0 + + + DR10 + LCD Display Data Register 10 + 0x1a + 8 + read-write + 0x0 + + + DR11 + LCD Display Data Register 11 + 0x1b + 8 + read-write + 0x0 + + + DR12 + LCD Display Data Register 12 + 0x1c + 8 + read-write + 0x0 + + + DR13 + LCD Display Data Register 13 + 0x1d + 8 + read-write + 0x0 + + + DR14 + LCD Display Data Register 14 + 0x1e + 8 + read-write + 0x0 + + + DR15 + LCD Display Data Register 15 + 0x1f + 8 + read-write + 0x0 + + + DR16 + LCD Display Data Register 16 + 0x20 + 8 + read-write + 0x0 + + + DR17 + LCD Display Data Register 17 + 0x21 + 8 + read-write + 0x0 + + + DR18 + LCD Display Data Register 18 + 0x22 + 8 + read-write + 0x0 + + + DR19 + LCD Display Data Register 19 + 0x23 + 8 + read-write + 0x0 + + + DR20 + LCD Display Data Register 20 + 0x24 + 8 + read-write + 0x0 + + + DR21 + LCD Display Data Register 21 + 0x25 + 8 + read-write + 0x0 + + + DR22 + LCD Display Data Register 22 + 0x26 + 8 + read-write + 0x0 + + + DR23 + LCD Display Data Register 23 + 0x27 + 8 + read-write + 0x0 + + + DR24 + LCD Display Data Register 24 + 0x28 + 8 + read-write + 0x0 + + + DR25 + LCD Display Data Register 25 + 0x29 + 8 + read-write + 0x0 + + + DR26 + LCD Display Data Register 26 + 0x2a + 8 + read-write + 0x0 + + + DR27 + LCD Display Data Register 27 + 0x2b + 8 + read-write + 0x0 + + + DR28 + LCD Display Data Register 28 + 0x2c + 8 + read-write + 0x0 + + + DR29 + LCD Display Data Register 29 + 0x2d + 8 + read-write + 0x0 + + + DR30 + LCD Display Data Register 30 + 0x2e + 8 + read-write + 0x0 + + + DR31 + LCD Display Data Register 31 + 0x2f + 8 + read-write + 0x0 + + + DR32 + LCD Display Data Register 32 + 0x30 + 8 + read-write + 0x0 + + + DR33 + LCD Display Data Register 33 + 0x31 + 8 + read-write + 0x0 + + + DR34 + LCD Display Data Register 34 + 0x32 + 8 + read-write + 0x0 + + + DR35 + LCD Display Data Register 35 + 0x33 + 8 + read-write + 0x0 + + + DR36 + LCD Display Data Register 36 + 0x34 + 8 + read-write + 0x0 + + + DR37 + LCD Display Data Register 37 + 0x35 + 8 + read-write + 0x0 + + + DR38 + LCD Display Data Register 38 + 0x36 + 8 + read-write + 0x0 + + + DR39 + LCD Display Data Register 39 + 0x37 + 8 + read-write + 0x0 + + + DR40 + LCD Display Data Register 40 + 0x38 + 8 + read-write + 0x0 + + + DR41 + LCD Display Data Register 41 + 0x39 + 8 + read-write + 0x0 + + + DR42 + LCD Display Data Register 42 + 0x3a + 8 + read-write + 0x0 + + + DR43 + LCD Display Data Register 43 + 0x3b + 8 + read-write + 0x0 + + + + + CRC + 1.0 + Cyclic Redundancy Check and Checksum + CRC & Checksum + 0x30001000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + CRC/Checksum Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODS + User/Auto Mode Selection + [07:07] + read-write + + + UserMode + User Mode (Calculate every data written to the CRC_IN register) + 0 + + + AutoMode + Auto Mode (Calculate till CRC_SADR == CRC_EADR) + 1 + + + + + RLTCLR + CRC/Checksum Result Data Register (CRCRLT) Initialization + [06:06] + read-write + + + NoEffect + No effect. + 0 + + + Init + Initialize the CRC_RLT register with the value of CRC_INIT. (This bit is automatically cleared to '0' after operation.) + 1 + + + + + MDSEL + CRC/Checksum Selection + [05:05] + read-write + + + CRC + Select CRC. + 0 + + + Checksum + Select Checksum. + 1 + + + + + POLYS + Polynomial Selection (CRC only) + [04:04] + read-write + + + CRC16_CCITT + CRC16-CCITT (G1(x) = x16 + x12 + x5 + 1) + 0 + + + CRC16 + CRC16 (G2(x) = x16 + x15 + x2 + 1) + 1 + + + + + SARINC + CRC/Checksum Start Address Auto Increment Control (User mode only) + [03:03] + read-write + + + Disable + No effect. + 0 + + + Enable + The CRC/Checksum start address register is incremented as the selected input size every writing to the CRC_IN register. + 1 + + + + + FIRSTBS + First Shifted-in Selection (CRC only) + [01:01] + read-write + + + msbFirst + msb first + 0 + + + lsbFirst + lsb first + 1 + + + + + CRCRUN + CRC/Checksum Start Control and Busy + [00:00] + read-write + + + Stop + Not busy. The CRC operation can be finished by writing '0' to this bit while running. + 0 + + + Start + Start CRC operation. This bit is automatically cleared to '0' when the value of CRC_SADR register reaches the value of CRC_EADR register. + 1 + + + + + + + IN + CRC/Checksum Input Data Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + INDATA + CRC Input Data + [31:00] + read-write + + + + + RLT + CRC/Checksum Result Data Register + 0x08 + 32 + read-only + 0xffff + 0xffffffff + + + RLTDATA + CRC Result Data + [15:00] + read-only + + + + + INIT + CRC/Checksum Initial Data Register + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + INIDATA + CRC Initial Data + [15:00] + read-write + + + + + SADR + CRC/Checksum Start Address Register + 0x10 + 32 + read-write + 0x10000000 + 0xffffffff + + + SADR + CRC Start Address + [31:02] + read-write + + + + + EADR + CRC/Checksum End Address Register + 0x14 + 32 + read-write + 0x1000fffc + 0xffffffff + + + EADR + CRC End Address + [31:02] + read-write + + + + + + + COA0 + 1.0 + Configuration Option Area Page 0: System Related Trimming Value + Configuration Option Area + 0x1ffff000 + 32 + read-only + + 0 + 0x100 + registers + + + + TRIM00 + System Related Trim Value 00 + 0x000 + 32 + read-only + 0xffffffff + 0x0 + + + TRIM01 + System Related Trim Value 01 + 0x004 + 32 + read-only + 0x0 + + + TRIM02 + System Related Trim Value 02 + 0x008 + 32 + read-only + 0x0 + + + TRIM03 + System Related Trim Value 03 + 0x00c + 32 + read-only + 0x0 + + + TRIM04 + System Related Trim Value 04 + 0x010 + 32 + read-only + 0x0 + + + TRIM05 + System Related Trim Value 05 + 0x014 + 32 + read-only + 0x0 + + + TRIM06 + System Related Trim Value 06 + 0x018 + 32 + read-only + 0x0 + + + TRIM07 + System Related Trim Value 07 + 0x01c + 32 + read-only + 0x0 + + + TRIM08 + System Related Trim Value 08 + 0x020 + 32 + read-only + 0x0 + + + TRIM09 + System Related Trim Value 09 + 0x024 + 32 + read-only + 0x0 + + + TRIM10 + System Related Trim Value 10 + 0x028 + 32 + read-only + 0x0 + + + TRIM11 + System Related Trim Value 11 + 0x02c + 32 + read-only + 0x0 + + + TRIM12 + System Related Trim Value 12 + 0x030 + 32 + read-only + 0x0 + + + TRIM13 + System Related Trim Value 13 + 0x034 + 32 + read-only + 0x0 + + + TRIM14 + System Related Trim Value 14 + 0x038 + 32 + read-only + 0x0 + + + TRIM15 + System Related Trim Value 15 + 0x03c + 32 + read-only + 0x0 + + + TRIM16 + System Related Trim Value 16 + 0x040 + 32 + read-only + 0x0 + + + TRIM17 + System Related Trim Value 17 + 0x044 + 32 + read-only + 0x0 + + + TRIM18 + System Related Trim Value 18 + 0x048 + 32 + read-only + 0x0 + + + TRIM19 + System Related Trim Value 19 + 0x04c + 32 + read-only + 0x0 + + + CONF_MF1CNFIG + Manufacture Information 1 + 0x050 + 32 + read-only + 0x0 + + + XYCDN + X and Y Coordinates + [31:00] + read-only + + + + + CONF_MF2CNFIG + Manufacture Information 2 + 0x054 + 32 + read-only + 0x0 + + + LOTNO + Lot Number [23:0] + [31:08] + read-only + + + WAFNO + Wafer Number + [07:00] + read-only + + + + + CONF_MF3CNFIG + Manufacture Information 3 + 0x058 + 32 + read-only + 0x0 + + + LOTNO + Lot Number [55:24] + [31:00] + read-only + + + + + CONF_MF4CNFIG + Manufacture Information 4 + 0x05c + 32 + read-only + 0x0 + + + LOTNO + Lot Number [87:56] + [31:00] + read-only + + + + + TRIM24 + System Related Trim Value 24 + 0x060 + 32 + read-only + 0x0 + + + TRIM25 + System Related Trim Value 25 + 0x064 + 32 + read-only + 0x0 + + + TRIM26 + System Related Trim Value 26 + 0x068 + 32 + read-only + 0x0 + + + TRIM27 + System Related Trim Value 27 + 0x06c + 32 + read-only + 0x0 + + + TRIM28 + System Related Trim Value 28 + 0x070 + 32 + read-only + 0x0 + + + TRIM29 + System Related Trim Value 29 + 0x074 + 32 + read-only + 0x0 + + + TRIM30 + System Related Trim Value 30 + 0x078 + 32 + read-only + 0x0 + + + TRIM31 + System Related Trim Value 31 + 0x07c + 32 + read-only + 0x0 + + + + + COA1 + 1.0 + Configuration Option Area Page 1: User Option + Configuration Option Area + 0x1ffff200 + 32 + read-only + + 0 + 0x100 + registers + + + + RPCNFIG + Configuration for Read Protection + 0x00 + 32 + read-only + 0xffffffff + 0xfffffffc + + + WTIDKY + Write Identification Key (0x69c8a27) + [31:04] + read-only + + + Value + Key Value (0x69c8a27) + 0x69c8a27 + + + + + READP + Read Protection for Flash Memory Area + [01:00] + read-only + + + Level0 + No restriction for read/erase/write. + 0x3 + + + Level1 + + 1. Not readable/erasable/writable by 'Debug' + 2. Bulk erasable only by 'Debug' + 3. Readable/erasable/writable by 'Instruction from Flash Memory and RAM' + + 0x2 + + + Level2 + + 1. Not readable/erasable/writable by 'Debug' / 'Instruction from RAM' + 2. Bulk erasable only by 'Instruction from RAM' / 'Debug' + 3. Readable/erasable/writable by 'Instruction from Flash Memory' + + 0x0 + + + + + + + WDTCNFIG + Configuration for Watch-Dog Timer + 0x0c + 32 + read-only + 0xffffffff + 0xffff0008 + + + WRCMF + Watch-Dog Timer RC Oscillator Master Configuration + [15:04] + read-only + + + BySW + By S/W (CLKSRCR Register) (0x96d) + 0x96d + + + AlwaysEnableExceptDeepSleep + Always Enable Except for Deep Sleep (0x2a7) + 0x2a7 + + + AlwaysEnable + Always Enable + 0xfff + + + + + WCLKMF + Watch-Dog Timer Clock Selection Master Configuration + [02:02] + read-only + + + BySW + By S/W (PPCLKSR Register) + 0 + + + AlwaysWDTRC + Always WDTRC + 1 + + + + + WRSTMF + Watch-Dog Timer Reset Enable Master Configuration + [01:01] + read-only + + + AlwaysEnable + Always Enable + 0 + + + BySW + By S/W (WDTCR Register) + 1 + + + + + WCNTMF + Watch-Dog Timer Counter Enable Master Configuration + [00:00] + read-only + + + AlwaysEnable + Always Enable + 0 + + + BySW + By S/W (WDTCR Register) + 1 + + + + + + + LVRCNFIG + Configuration for Low Voltage Reset + 0x10 + 32 + read-only + 0xffffffff + 0xffff00f0 + + + LVRENM + LVR Reset Operation Control Master Configuration + [15:08] + read-only + + + BySW + By S/W (LVRCR Register) (0xaa) + 0xaa + + + AlwaysEnable + Always Enable (0xff) + 0xff + + + + + LVRVS + LVR Voltage Selection + [03:00] + read-only + + + 1p62V + 1.62V + 15 + + + DNW14 + Do not write. + 14 + + + DNW13 + Do not write. + 13 + + + DNW12 + Do not write. + 12 + + + 2p00V + 2.00V + 11 + + + 2p13V + 2.13V + 10 + + + 2p28V + 2.28V + 9 + + + 2p46V + 2.46V + 8 + + + 2p67V + 2.67V + 7 + + + 3p04V + 3.04V + 6 + + + 3p20V + 3.20V + 5 + + + 3p55V + 3.55V + 4 + + + 3p75V + 3.75V + 3 + + + 3p99V + 3.99V + 2 + + + 4p25V + 4.25V + 1 + + + 4p55 + 4.55V + 0 + + + + + + + CNFIGWTP1 + Erase/Write Protection for Configure Option Page 1/2/3 + 0x14 + 32 + read-only + 0xffffffff + 0xfffffff8 + + + CP3WP + Configure Option Page 3 Erase/Write Protection + [02:02] + read-only + + + Enable + Enable protection. (Not erasable/writable by instruction) + 0 + + + Disable + Disable protection. (Erasable/writable by instruction) + 1 + + + + + CP2WP + Configure Option Page 2 Erase/Write Protection + [01:01] + read-only + + + Enable + Enable protection. (Not erasable/writable by instruction) + 0 + + + Disable + Disable protection. (Erasable/writable by instruction) + 1 + + + + + CP1WP + Configure Option Page 1 Erase/Write Protection + [00:00] + read-only + + + Enable + Enable protection. (Not erasable/writable by instruction) + 0 + + + Disable + Disable protection. (Erasable/writable by instruction) + 1 + + + + + + + FMWTP1 + Erase/Write Protection for Flash Memory + 0x40 + 32 + read-only + 0x0 + + + SWTP31 + Flash Memory Erase/Write Protection 31 + [31:31] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP30 + Flash Memory Erase/Write Protection 30 + [30:30] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP29 + Flash Memory Erase/Write Protection 29 + [29:29] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP28 + Flash Memory Erase/Write Protection 28 + [28:28] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP27 + Flash Memory Erase/Write Protection 27 + [27:27] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP26 + Flash Memory Erase/Write Protection 26 + [26:26] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP25 + Flash Memory Erase/Write Protection 25 + [25:25] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP24 + Flash Memory Erase/Write Protection 24 + [24:24] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP23 + Flash Memory Erase/Write Protection 23 + [23:23] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP22 + Flash Memory Erase/Write Protection 22 + [22:22] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP21 + Flash Memory Erase/Write Protection 21 + [21:21] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP20 + Flash Memory Erase/Write Protection 20 + [20:20] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP19 + Flash Memory Erase/Write Protection 19 + [19:19] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP18 + Flash Memory Erase/Write Protection 18 + [18:18] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP17 + Flash Memory Erase/Write Protection 17 + [17:17] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP16 + Flash Memory Erase/Write Protection 16 + [16:16] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP15 + Flash Memory Erase/Write Protection 15 + [15:15] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP14 + Flash Memory Erase/Write Protection 14 + [14:14] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP13 + Flash Memory Erase/Write Protection 13 + [13:13] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP12 + Flash Memory Erase/Write Protection 12 + [12:12] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP11 + Flash Memory Erase/Write Protection 11 + [11:11] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP10 + Flash Memory Erase/Write Protection 10 + [10:10] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP9 + Flash Memory Erase/Write Protection 9 + [09:09] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP8 + Flash Memory Erase/Write Protection 8 + [08:08] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP7 + Flash Memory Erase/Write Protection 7 + [07:07] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP6 + Flash Memory Erase/Write Protection 6 + [06:06] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP5 + Flash Memory Erase/Write Protection 5 + [05:05] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP4 + Flash Memory Erase/Write Protection 4 + [04:04] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP3 + Flash Memory Erase/Write Protection 3 + [03:03] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP2 + Flash Memory Erase/Write Protection 2 + [02:02] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP1 + Flash Memory Erase/Write Protection 1 + [01:01] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP0 + Flash Memory Erase/Write Protection 0 + [00:00] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + + + + + COA2 + 1.0 + Configuration Option Area Page 2: User Data Area 0 + Configuration Option Area + 0x1ffff400 + 32 + read-only + + 0 + 0x100 + registers + + + + UDATA00 + User Data 00 + 0x000 + 32 + read-only + 0x0 + + + UDATA01 + User Data 01 + 0x004 + 32 + read-only + 0x0 + + + UDATA02 + User Data 02 + 0x008 + 32 + read-only + 0x0 + + + UDATA03 + User Data 03 + 0x00c + 32 + read-only + 0x0 + + + UDATA04 + User Data 04 + 0x010 + 32 + read-only + 0x0 + + + UDATA05 + User Data 05 + 0x014 + 32 + read-only + 0x0 + + + UDATA06 + User Data 06 + 0x018 + 32 + read-only + 0x0 + + + UDATA07 + User Data 07 + 0x01c + 32 + read-only + 0x0 + + + UDATA08 + User Data 08 + 0x020 + 32 + read-only + 0x0 + + + UDATA09 + User Data 09 + 0x024 + 32 + read-only + 0x0 + + + UDATA10 + User Data 10 + 0x028 + 32 + read-only + 0x0 + + + UDATA11 + User Data 11 + 0x02c + 32 + read-only + 0x0 + + + UDATA12 + User Data 12 + 0x030 + 32 + read-only + 0x0 + + + UDATA13 + User Data 13 + 0x034 + 32 + read-only + 0x0 + + + UDATA14 + User Data 14 + 0x038 + 32 + read-only + 0x0 + + + UDATA15 + User Data 15 + 0x03c + 32 + read-only + 0x0 + + + UDATA16 + User Data 16 + 0x040 + 32 + read-only + 0x0 + + + UDATA17 + User Data 17 + 0x044 + 32 + read-only + 0x0 + + + UDATA18 + User Data 18 + 0x048 + 32 + read-only + 0x0 + + + UDATA19 + User Data 19 + 0x04c + 32 + read-only + 0x0 + + + UDATA20 + User Data 20 + 0x050 + 32 + read-only + 0x0 + + + UDATA21 + User Data 21 + 0x054 + 32 + read-only + 0x0 + + + UDATA22 + User Data 22 + 0x058 + 32 + read-only + 0x0 + + + UDATA23 + User Data 23 + 0x05c + 32 + read-only + 0x0 + + + UDATA24 + User Data 24 + 0x060 + 32 + read-only + 0x0 + + + UDATA25 + User Data 25 + 0x064 + 32 + read-only + 0x0 + + + UDATA26 + User Data 26 + 0x068 + 32 + read-only + 0x0 + + + UDATA27 + User Data 27 + 0x06c + 32 + read-only + 0x0 + + + UDATA28 + User Data 28 + 0x070 + 32 + read-only + 0x0 + + + UDATA29 + User Data 29 + 0x074 + 32 + read-only + 0x0 + + + UDATA30 + User Data 30 + 0x078 + 32 + read-only + 0x0 + + + UDATA31 + User Data 31 + 0x07c + 32 + read-only + 0x0 + + + + + COA3 + 1.0 + Configuration Option Area Page 3: User Data Area 1 + Configuration Option Area + 0x1ffff600 + 32 + read-only + + 0 + 0x100 + registers + + + + UDATA00 + User Data 00 + 0x000 + 32 + read-only + 0x0 + + + UDATA01 + User Data 01 + 0x004 + 32 + read-only + 0x0 + + + UDATA02 + User Data 02 + 0x008 + 32 + read-only + 0x0 + + + UDATA03 + User Data 03 + 0x00c + 32 + read-only + 0x0 + + + UDATA04 + User Data 04 + 0x010 + 32 + read-only + 0x0 + + + UDATA05 + User Data 05 + 0x014 + 32 + read-only + 0x0 + + + UDATA06 + User Data 06 + 0x018 + 32 + read-only + 0x0 + + + UDATA07 + User Data 07 + 0x01c + 32 + read-only + 0x0 + + + UDATA08 + User Data 08 + 0x020 + 32 + read-only + 0x0 + + + UDATA09 + User Data 09 + 0x024 + 32 + read-only + 0x0 + + + UDATA10 + User Data 10 + 0x028 + 32 + read-only + 0x0 + + + UDATA11 + User Data 11 + 0x02c + 32 + read-only + 0x0 + + + UDATA12 + User Data 12 + 0x030 + 32 + read-only + 0x0 + + + UDATA13 + User Data 13 + 0x034 + 32 + read-only + 0x0 + + + UDATA14 + User Data 14 + 0x038 + 32 + read-only + 0x0 + + + UDATA15 + User Data 15 + 0x03c + 32 + read-only + 0x0 + + + UDATA16 + User Data 16 + 0x040 + 32 + read-only + 0x0 + + + UDATA17 + User Data 17 + 0x044 + 32 + read-only + 0x0 + + + UDATA18 + User Data 18 + 0x048 + 32 + read-only + 0x0 + + + UDATA19 + User Data 19 + 0x04c + 32 + read-only + 0x0 + + + UDATA20 + User Data 20 + 0x050 + 32 + read-only + 0x0 + + + UDATA21 + User Data 21 + 0x054 + 32 + read-only + 0x0 + + + UDATA22 + User Data 22 + 0x058 + 32 + read-only + 0x0 + + + UDATA23 + User Data 23 + 0x05c + 32 + read-only + 0x0 + + + UDATA24 + User Data 24 + 0x060 + 32 + read-only + 0x0 + + + UDATA25 + User Data 25 + 0x064 + 32 + read-only + 0x0 + + + UDATA26 + User Data 26 + 0x068 + 32 + read-only + 0x0 + + + UDATA27 + User Data 27 + 0x06c + 32 + read-only + 0x0 + + + UDATA28 + User Data 28 + 0x070 + 32 + read-only + 0x0 + + + UDATA29 + User Data 29 + 0x074 + 32 + read-only + 0x0 + + + UDATA30 + User Data 30 + 0x078 + 32 + read-only + 0x0 + + + UDATA31 + User Data 31 + 0x07c + 32 + read-only + 0x0 + + + + + \ No newline at end of file diff --git a/Project/SDK_V2_5_0/Device/Startup/A31G12x.h b/Project/SDK_V2_5_0/Device/Startup/A31G12x.h new file mode 100644 index 0000000..36ecdad --- /dev/null +++ b/Project/SDK_V2_5_0/Device/Startup/A31G12x.h @@ -0,0 +1,23794 @@ +/* + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontroller, but can be equally used for other + * suitable processor architectures. This file can be freely distributed. + * Modifications to this file shall be clearly marked. + * + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + * @file A31G12x.h + * @brief CMSIS HeaderFile + * @version 1.0 + * @date 23. July 2020 + * @note Generated by SVDConv V3.3.18 on Thursday, 23.07.2020 15:12:46 + * from File 'A31G12x.svd', + * last modified on Thursday, 23.07.2020 05:38:08 + */ + + + +/** @addtogroup VENDOR ABOV Semiconductor Co., Ltd. + * @{ + */ + + +/** @addtogroup A31G12x + * @{ + */ + + +#ifndef A31G12X_H +#define A31G12X_H + +#ifdef __cplusplus +extern "C" { +#endif + + +/** @addtogroup Configuration_of_CMSIS + * @{ + */ + + + +/* =========================================================================================================================== */ +/* ================ Interrupt Number Definition ================ */ +/* =========================================================================================================================== */ + +typedef enum { +/* ======================================= ARM Cortex-M0+ Specific Interrupt Numbers ======================================= */ + Reset_IRQn = -15, /*!< -15 Reset Vector, invoked on Power up and warm reset */ + NonMaskableInt_IRQn = -14, /*!< -14 Non maskable Interrupt, cannot be stopped or preempted */ + HardFault_IRQn = -13, /*!< -13 Hard Fault, all classes of Fault */ + SVCall_IRQn = -5, /*!< -5 System Service Call via SVC instruction */ + PendSV_IRQn = -2, /*!< -2 Pendable request for system service */ + SysTick_IRQn = -1, /*!< -1 System Tick Timer */ +/* ========================================== A31G12x Specific Interrupt Numbers =========================================== */ + LVI_IRQn = 0, /*!< 0 LVI Interrupt */ + WUT_IRQn = 1, /*!< 1 WUT Interrupt */ + WDT_IRQn = 2, /*!< 2 WDT Interrupt */ + EINT0_IRQn = 3, /*!< 3 EINT0 Interrupt */ + EINT1_IRQn = 4, /*!< 4 EINT1 Interrupt */ + EINT2_IRQn = 5, /*!< 5 EINT2 Interrupt */ + EINT3_IRQn = 6, /*!< 6 EINT3 Interrupt */ + TIMER10_IRQn = 7, /*!< 7 TIMER10 Interrupt */ + TIMER11_IRQn = 8, /*!< 8 TIMER11 Interrupt */ + TIMER12_IRQn = 9, /*!< 9 TIMER12 Interrupt */ + I2C0_IRQn = 10, /*!< 10 I2C0 Interrupt */ + USART10_IRQn = 11, /*!< 11 USART10 Interrupt */ + WT_IRQn = 12, /*!< 12 WT Interrupt */ + TIMER30_IRQn = 13, /*!< 13 TIMER30 Interrupt */ + I2C1_IRQn = 14, /*!< 14 I2C1 Interrupt */ + TIMER20_IRQn = 15, /*!< 15 TIMER20 Interrupt */ + TIMER21_IRQn = 16, /*!< 16 TIMER21 Interrupt */ + USART11_IRQn = 17, /*!< 17 USART11 Interrupt */ + ADC_IRQn = 18, /*!< 18 ADC Interrupt */ + UART0_IRQn = 19, /*!< 19 UART0 Interrupt */ + UART1_IRQn = 20, /*!< 20 UART1 Interrupt */ + TIMER13_IRQn = 21, /*!< 21 TIMER13 Interrupt */ + TIMER14_IRQn = 22, /*!< 22 TIMER14 Interrupt */ + TIMER15_IRQn = 23, /*!< 23 TIMER15 Interrupt */ + TIMER16_IRQn = 24, /*!< 24 TIMER16 Interrupt */ + I2C2_IRQn = 25, /*!< 25 I2C2 Interrupt */ + USART12_IRQn = 26, /*!< 26 USART12 Interrupt */ + USART13_IRQn = 27 /*!< 27 USART13 Interrupt */ +} IRQn_Type; + + + +/* =========================================================================================================================== */ +/* ================ Processor and Core Peripheral Section ================ */ +/* =========================================================================================================================== */ + +/* ========================== Configuration of the ARM Cortex-M0+ Processor and Core Peripherals =========================== */ +#define __CM0PLUS_REV 0x0001U /*!< CM0PLUS Core Revision */ +#define __NVIC_PRIO_BITS 2 /*!< Number of Bits used for Priority Levels */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ +#define __VTOR_PRESENT 1 /*!< Set to 1 if CPU supports Vector Table Offset Register */ + + +/** @} */ /* End of group Configuration_of_CMSIS */ + +#include "core_cm0plus.h" /*!< ARM Cortex-M0+ processor and core peripherals */ +#include "system_A31G12x.h" /*!< A31G12x System */ + +#ifndef __IM /*!< Fallback for older CMSIS versions */ + #define __IM __I +#endif +#ifndef __OM /*!< Fallback for older CMSIS versions */ + #define __OM __O +#endif +#ifndef __IOM /*!< Fallback for older CMSIS versions */ + #define __IOM __IO +#endif + + +/* ======================================== Start of section using anonymous unions ======================================== */ +#if defined (__CC_ARM) + #pragma push + #pragma anon_unions +#elif defined (__ICCARM__) + #pragma language=extended +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang diagnostic push + #pragma clang diagnostic ignored "-Wc11-extensions" + #pragma clang diagnostic ignored "-Wreserved-id-macro" + #pragma clang diagnostic ignored "-Wgnu-anonymous-struct" + #pragma clang diagnostic ignored "-Wnested-anon-types" +#elif defined (__GNUC__) + /* anonymous unions are enabled by default */ +#elif defined (__TMS470__) + /* anonymous unions are enabled by default */ +#elif defined (__TASKING__) + #pragma warning 586 +#elif defined (__CSMC__) + /* anonymous unions are enabled by default */ +#else + #warning Not supported compiler type +#endif + + +/* =========================================================================================================================== */ +/* ================ Device Specific Peripheral Section ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup Device_Peripheral_peripherals + * @{ + */ + + + +/* =========================================================================================================================== */ +/* ================ INTC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Interrupt Controller (INTC) + */ + +typedef struct { /*!< (@ 0x40001000) INTC Structure */ + __IM uint32_t RESERVED; + + union { + __IOM uint32_t PBTRIG; /*!< (@ 0x00000004) Port B Interrupt Trigger Selection Register */ + + struct { + __IOM uint32_t ITRIG0 : 1; /*!< [0..0] Port B Interrupt Trigger Selection 0 */ + __IOM uint32_t ITRIG1 : 1; /*!< [1..1] Port B Interrupt Trigger Selection 1 */ + __IOM uint32_t ITRIG2 : 1; /*!< [2..2] Port B Interrupt Trigger Selection 2 */ + __IOM uint32_t ITRIG3 : 1; /*!< [3..3] Port B Interrupt Trigger Selection 3 */ + __IOM uint32_t ITRIG4 : 1; /*!< [4..4] Port B Interrupt Trigger Selection 4 */ + __IOM uint32_t ITRIG5 : 1; /*!< [5..5] Port B Interrupt Trigger Selection 5 */ + __IOM uint32_t ITRIG6 : 1; /*!< [6..6] Port B Interrupt Trigger Selection 6 */ + __IOM uint32_t ITRIG7 : 1; /*!< [7..7] Port B Interrupt Trigger Selection 7 */ + __IOM uint32_t ITRIG8 : 1; /*!< [8..8] Port B Interrupt Trigger Selection 8 */ + __IOM uint32_t ITRIG9 : 1; /*!< [9..9] Port B Interrupt Trigger Selection 9 */ + __IOM uint32_t ITRIG10 : 1; /*!< [10..10] Port B Interrupt Trigger Selection 10 */ + __IOM uint32_t ITRIG11 : 1; /*!< [11..11] Port B Interrupt Trigger Selection 11 */ + } PBTRIG_b; + } ; + + union { + __IOM uint32_t PCTRIG; /*!< (@ 0x00000008) Port C Interrupt Trigger Selection Register */ + + struct { + __IOM uint32_t ITRIG0 : 1; /*!< [0..0] Port C Interrupt Trigger Selection 0 */ + __IOM uint32_t ITRIG1 : 1; /*!< [1..1] Port C Interrupt Trigger Selection 1 */ + __IOM uint32_t ITRIG2 : 1; /*!< [2..2] Port C Interrupt Trigger Selection 2 */ + __IOM uint32_t ITRIG3 : 1; /*!< [3..3] Port C Interrupt Trigger Selection 3 */ + } PCTRIG_b; + } ; + __IM uint32_t RESERVED1; + + union { + __IOM uint32_t PETRIG; /*!< (@ 0x00000010) Port E Interrupt Trigger Selection Register */ + + struct { + __IOM uint32_t ITRIG0 : 1; /*!< [0..0] Port E Interrupt Trigger Selection 0 */ + __IOM uint32_t ITRIG1 : 1; /*!< [1..1] Port E Interrupt Trigger Selection 1 */ + __IOM uint32_t ITRIG2 : 1; /*!< [2..2] Port E Interrupt Trigger Selection 2 */ + __IOM uint32_t ITRIG3 : 1; /*!< [3..3] Port E Interrupt Trigger Selection 3 */ + } PETRIG_b; + } ; + __IM uint32_t RESERVED2[60]; + + union { + __IOM uint32_t PBCR; /*!< (@ 0x00000104) Port B Interrupt Control Register */ + + struct { + __IOM uint32_t INTCTL0 : 2; /*!< [1..0] Port B Interrupt Control 0 */ + __IOM uint32_t INTCTL1 : 2; /*!< [3..2] Port B Interrupt Control 1 */ + __IOM uint32_t INTCTL2 : 2; /*!< [5..4] Port B Interrupt Control 2 */ + __IOM uint32_t INTCTL3 : 2; /*!< [7..6] Port B Interrupt Control 3 */ + __IOM uint32_t INTCTL4 : 2; /*!< [9..8] Port B Interrupt Control 4 */ + __IOM uint32_t INTCTL5 : 2; /*!< [11..10] Port B Interrupt Control 5 */ + __IOM uint32_t INTCTL6 : 2; /*!< [13..12] Port B Interrupt Control 6 */ + __IOM uint32_t INTCTL7 : 2; /*!< [15..14] Port B Interrupt Control 7 */ + __IOM uint32_t INTCTL8 : 2; /*!< [17..16] Port B Interrupt Control 8 */ + __IOM uint32_t INTCTL9 : 2; /*!< [19..18] Port B Interrupt Control 9 */ + __IOM uint32_t INTCTL10 : 2; /*!< [21..20] Port B Interrupt Control 10 */ + __IOM uint32_t INTCTL11 : 2; /*!< [23..22] Port B Interrupt Control 11 */ + } PBCR_b; + } ; + + union { + __IOM uint32_t PCCR; /*!< (@ 0x00000108) Port C Interrupt Control Register */ + + struct { + __IOM uint32_t INTCTL0 : 2; /*!< [1..0] Port C Interrupt Control 0 */ + __IOM uint32_t INTCTL1 : 2; /*!< [3..2] Port C Interrupt Control 1 */ + __IOM uint32_t INTCTL2 : 2; /*!< [5..4] Port C Interrupt Control 2 */ + __IOM uint32_t INTCTL3 : 2; /*!< [7..6] Port C Interrupt Control 3 */ + } PCCR_b; + } ; + __IM uint32_t RESERVED3; + + union { + __IOM uint32_t PECR; /*!< (@ 0x00000110) Port E Interrupt Control Register */ + + struct { + __IOM uint32_t INTCTL0 : 2; /*!< [1..0] Port E Interrupt Control 0 */ + __IOM uint32_t INTCTL1 : 2; /*!< [3..2] Port E Interrupt Control 1 */ + __IOM uint32_t INTCTL2 : 2; /*!< [5..4] Port E Interrupt Control 2 */ + __IOM uint32_t INTCTL3 : 2; /*!< [7..6] Port E Interrupt Control 3 */ + } PECR_b; + } ; + __IM uint32_t RESERVED4[60]; + + union { + __IOM uint32_t PBFLAG; /*!< (@ 0x00000204) Port B Interrupt Flag Register */ + + struct { + __IOM uint32_t FLAG0 : 1; /*!< [0..0] Port B Interrupt Flag 0 */ + __IOM uint32_t FLAG1 : 1; /*!< [1..1] Port B Interrupt Flag 1 */ + __IOM uint32_t FLAG2 : 1; /*!< [2..2] Port B Interrupt Flag 2 */ + __IOM uint32_t FLAG3 : 1; /*!< [3..3] Port B Interrupt Flag 3 */ + __IOM uint32_t FLAG4 : 1; /*!< [4..4] Port B Interrupt Flag 4 */ + __IOM uint32_t FLAG5 : 1; /*!< [5..5] Port B Interrupt Flag 5 */ + __IOM uint32_t FLAG6 : 1; /*!< [6..6] Port B Interrupt Flag 6 */ + __IOM uint32_t FLAG7 : 1; /*!< [7..7] Port B Interrupt Flag 7 */ + __IOM uint32_t FLAG8 : 1; /*!< [8..8] Port B Interrupt Flag 8 */ + __IOM uint32_t FLAG9 : 1; /*!< [9..9] Port B Interrupt Flag 9 */ + __IOM uint32_t FLAG10 : 1; /*!< [10..10] Port B Interrupt Flag 10 */ + __IOM uint32_t FLAG11 : 1; /*!< [11..11] Port B Interrupt Flag 11 */ + } PBFLAG_b; + } ; + + union { + __IOM uint32_t PCFLAG; /*!< (@ 0x00000208) Port C Interrupt Flag Register */ + + struct { + __IOM uint32_t FLAG0 : 1; /*!< [0..0] Port C Interrupt Flag 0 */ + __IOM uint32_t FLAG1 : 1; /*!< [1..1] Port C Interrupt Flag 1 */ + __IOM uint32_t FLAG2 : 1; /*!< [2..2] Port C Interrupt Flag 2 */ + __IOM uint32_t FLAG3 : 1; /*!< [3..3] Port C Interrupt Flag 3 */ + } PCFLAG_b; + } ; + __IM uint32_t RESERVED5; + + union { + __IOM uint32_t PEFLAG; /*!< (@ 0x00000210) Port E Interrupt Flag Register */ + + struct { + __IOM uint32_t FLAG0 : 1; /*!< [0..0] Port E Interrupt Flag 0 */ + __IOM uint32_t FLAG1 : 1; /*!< [1..1] Port E Interrupt Flag 1 */ + __IOM uint32_t FLAG2 : 1; /*!< [2..2] Port E Interrupt Flag 2 */ + __IOM uint32_t FLAG3 : 1; /*!< [3..3] Port E Interrupt Flag 3 */ + } PEFLAG_b; + } ; + __IM uint32_t RESERVED6[59]; + + union { + __IOM uint32_t EINT0CONF1; /*!< (@ 0x00000300) External Interrupt 0 Configuration Register 1 */ + + struct { + __IOM uint32_t CONF0 : 4; /*!< [3..0] External Interrupt 0 Configuration 0 */ + __IOM uint32_t CONF1 : 4; /*!< [7..4] External Interrupt 0 Configuration 1 */ + __IOM uint32_t CONF2 : 4; /*!< [11..8] External Interrupt 0 Configuration 2 */ + __IOM uint32_t CONF3 : 4; /*!< [15..12] External Interrupt 0 Configuration 3 */ + __IOM uint32_t CONF4 : 4; /*!< [19..16] External Interrupt 0 Configuration 4 */ + __IOM uint32_t CONF5 : 4; /*!< [23..20] External Interrupt 0 Configuration 5 */ + __IOM uint32_t CONF6 : 4; /*!< [27..24] External Interrupt 0 Configuration 6 */ + __IOM uint32_t CONF7 : 4; /*!< [31..28] External Interrupt 0 Configuration 7 */ + } EINT0CONF1_b; + } ; + + union { + __IOM uint32_t EINT1CONF1; /*!< (@ 0x00000304) External Interrupt 1 Configuration Register 1 */ + + struct { + __IOM uint32_t CONF0 : 4; /*!< [3..0] External Interrupt 1 Configuration 0 */ + __IOM uint32_t CONF1 : 4; /*!< [7..4] External Interrupt 1 Configuration 1 */ + __IOM uint32_t CONF2 : 4; /*!< [11..8] External Interrupt 1 Configuration 2 */ + __IOM uint32_t CONF3 : 4; /*!< [15..12] External Interrupt 1 Configuration 3 */ + __IOM uint32_t CONF4 : 4; /*!< [19..16] External Interrupt 1 Configuration 4 */ + __IOM uint32_t CONF5 : 4; /*!< [23..20] External Interrupt 1 Configuration 5 */ + __IOM uint32_t CONF6 : 4; /*!< [27..24] External Interrupt 1 Configuration 6 */ + __IOM uint32_t CONF7 : 4; /*!< [31..28] External Interrupt 1 Configuration 7 */ + } EINT1CONF1_b; + } ; + + union { + __IOM uint32_t EINT2CONF1; /*!< (@ 0x00000308) External Interrupt 2 Configuration Register 1 */ + + struct { + __IOM uint32_t CONF0 : 4; /*!< [3..0] External Interrupt 2 Configuration 0 */ + __IOM uint32_t CONF1 : 4; /*!< [7..4] External Interrupt 2 Configuration 1 */ + __IOM uint32_t CONF2 : 4; /*!< [11..8] External Interrupt 2 Configuration 2 */ + __IOM uint32_t CONF3 : 4; /*!< [15..12] External Interrupt 2 Configuration 3 */ + __IOM uint32_t CONF4 : 4; /*!< [19..16] External Interrupt 2 Configuration 4 */ + __IOM uint32_t CONF5 : 4; /*!< [23..20] External Interrupt 2 Configuration 5 */ + __IOM uint32_t CONF6 : 4; /*!< [27..24] External Interrupt 2 Configuration 6 */ + __IOM uint32_t CONF7 : 4; /*!< [31..28] External Interrupt 2 Configuration 7 */ + } EINT2CONF1_b; + } ; + + union { + __IOM uint32_t EINT3CONF1; /*!< (@ 0x0000030C) External Interrupt 3 Configuration Register 1 */ + + struct { + __IOM uint32_t CONF0 : 4; /*!< [3..0] External Interrupt 3 Configuration 0 */ + __IOM uint32_t CONF1 : 4; /*!< [7..4] External Interrupt 3 Configuration 1 */ + __IOM uint32_t CONF2 : 4; /*!< [11..8] External Interrupt 3 Configuration 2 */ + __IOM uint32_t CONF3 : 4; /*!< [15..12] External Interrupt 3 Configuration 3 */ + __IOM uint32_t CONF4 : 4; /*!< [19..16] External Interrupt 3 Configuration 4 */ + __IOM uint32_t CONF5 : 4; /*!< [23..20] External Interrupt 3 Configuration 5 */ + __IOM uint32_t CONF6 : 4; /*!< [27..24] External Interrupt 3 Configuration 6 */ + __IOM uint32_t CONF7 : 4; /*!< [31..28] External Interrupt 3 Configuration 7 */ + } EINT3CONF1_b; + } ; + + union { + __IOM uint32_t EINT0CONF2; /*!< (@ 0x00000310) External Interrupt 0 Configuration Register 2 */ + + struct { + __IOM uint32_t CONF8 : 4; /*!< [3..0] External Interrupt 0 Configuration 8 */ + __IOM uint32_t CONF9 : 4; /*!< [7..4] External Interrupt 0 Configuration 9 */ + __IOM uint32_t CONF10 : 4; /*!< [11..8] External Interrupt 0 Configuration 10 */ + __IOM uint32_t CONF11 : 4; /*!< [15..12] External Interrupt 0 Configuration 11 */ + } EINT0CONF2_b; + } ; + + union { + __IOM uint32_t EINT1CONF2; /*!< (@ 0x00000314) External Interrupt 1 Configuration Register 2 */ + + struct { + __IOM uint32_t CONF8 : 4; /*!< [3..0] External Interrupt 1 Configuration 8 */ + __IOM uint32_t CONF9 : 4; /*!< [7..4] External Interrupt 1 Configuration 9 */ + __IOM uint32_t CONF10 : 4; /*!< [11..8] External Interrupt 1 Configuration 10 */ + __IOM uint32_t CONF11 : 4; /*!< [15..12] External Interrupt 1 Configuration 11 */ + } EINT1CONF2_b; + } ; + + union { + __IOM uint32_t EINT2CONF2; /*!< (@ 0x00000318) External Interrupt 2 Configuration Register 2 */ + + struct { + __IOM uint32_t CONF8 : 4; /*!< [3..0] External Interrupt 2 Configuration 8 */ + __IOM uint32_t CONF9 : 4; /*!< [7..4] External Interrupt 2 Configuration 9 */ + __IOM uint32_t CONF10 : 4; /*!< [11..8] External Interrupt 2 Configuration 10 */ + __IOM uint32_t CONF11 : 4; /*!< [15..12] External Interrupt 2 Configuration 11 */ + } EINT2CONF2_b; + } ; + + union { + __IOM uint32_t EINT3CONF2; /*!< (@ 0x0000031C) External Interrupt 3 Configuration Register 2 */ + + struct { + __IOM uint32_t CONF8 : 4; /*!< [3..0] External Interrupt 3 Configuration 8 */ + __IOM uint32_t CONF9 : 4; /*!< [7..4] External Interrupt 3 Configuration 9 */ + __IOM uint32_t CONF10 : 4; /*!< [11..8] External Interrupt 3 Configuration 10 */ + __IOM uint32_t CONF11 : 4; /*!< [15..12] External Interrupt 3 Configuration 11 */ + } EINT3CONF2_b; + } ; + __IM uint32_t RESERVED7[56]; + + union { + __IOM uint32_t MSK; /*!< (@ 0x00000400) Interrupt Source Mask Register */ + + struct { + __IOM uint32_t IMSK0_LVI : 1; /*!< [0..0] Interrupt Source Mask 0 (LVI) */ + __IOM uint32_t IMSK1_WUT : 1; /*!< [1..1] Interrupt Source Mask 1 (WUT) */ + __IOM uint32_t IMSK2_WDT : 1; /*!< [2..2] Interrupt Source Mask 2 (WDT) */ + __IOM uint32_t IMSK3_EINT0 : 1; /*!< [3..3] Interrupt Source Mask 3 (EINT0) */ + __IOM uint32_t IMSK4_EINT1 : 1; /*!< [4..4] Interrupt Source Mask 4 (EINT1) */ + __IOM uint32_t IMSK5_EINT2 : 1; /*!< [5..5] Interrupt Source Mask 5 (EINT2) */ + __IOM uint32_t IMSK6_EINT3 : 1; /*!< [6..6] Interrupt Source Mask 6 (EINT3) */ + __IOM uint32_t IMSK7_TIMER10 : 1; /*!< [7..7] Interrupt Source Mask 7 (TIMER10) */ + __IOM uint32_t IMSK8_TIMER11 : 1; /*!< [8..8] Interrupt Source Mask 8 (TIMER11) */ + __IOM uint32_t IMSK9_TIMER12 : 1; /*!< [9..9] Interrupt Source Mask 9 (TIMER12) */ + __IOM uint32_t IMSK10_I2C0 : 1; /*!< [10..10] Interrupt Source Mask 10 (I2C0) */ + __IOM uint32_t IMSK11_USART10 : 1; /*!< [11..11] Interrupt Source Mask 11 (USART10) */ + __IOM uint32_t IMSK12_WT : 1; /*!< [12..12] Interrupt Source Mask 12 (WT) */ + __IOM uint32_t IMSK13_TIMER30 : 1; /*!< [13..13] Interrupt Source Mask 13 (TIMER30) */ + __IOM uint32_t IMSK14_I2C1 : 1; /*!< [14..14] Interrupt Source Mask 14 (I2C1) */ + __IOM uint32_t IMSK15_TIMER20 : 1; /*!< [15..15] Interrupt Source Mask 15 (TIMER20) */ + __IOM uint32_t IMSK16_TIMER21 : 1; /*!< [16..16] Interrupt Source Mask 16 (TIMER21) */ + __IOM uint32_t IMSK17_USART11 : 1; /*!< [17..17] Interrupt Source Mask 17 (USART11) */ + __IOM uint32_t IMSK18_ADC : 1; /*!< [18..18] Interrupt Source Mask 18 (ADC) */ + __IOM uint32_t IMSK19_UART0 : 1; /*!< [19..19] Interrupt Source Mask 19 (UART0) */ + __IOM uint32_t IMSK20_UART1 : 1; /*!< [20..20] Interrupt Source Mask 20 (UART1) */ + __IOM uint32_t IMSK21_TIMER13 : 1; /*!< [21..21] Interrupt Source Mask 21 (TIMER13) */ + __IOM uint32_t IMSK22_TIMER14 : 1; /*!< [22..22] Interrupt Source Mask 22 (TIMER14) */ + __IOM uint32_t IMSK23_TIMER15 : 1; /*!< [23..23] Interrupt Source Mask 23 (TIMER15) */ + __IOM uint32_t IMSK24_TIMER16 : 1; /*!< [24..24] Interrupt Source Mask 24 (TIMER16) */ + __IOM uint32_t IMSK25_I2C2 : 1; /*!< [25..25] Interrupt Source Mask 25 (I2C2) */ + __IOM uint32_t IMSK26_USART12 : 1; /*!< [26..26] Interrupt Source Mask 26 (USART12) */ + __IOM uint32_t IMSK27_USART13 : 1; /*!< [27..27] Interrupt Source Mask 27 (USART13) */ + __IOM uint32_t IMSK28_NULL : 1; /*!< [28..28] Interrupt Source Mask 28 (RSVD) */ + __IOM uint32_t IMSK29_NULL : 1; /*!< [29..29] Interrupt Source Mask 29 (RSVD) */ + __IOM uint32_t IMSK30_NULL : 1; /*!< [30..30] Interrupt Source Mask 30 (RSVD) */ + __IOM uint32_t IMSK31_NULL : 1; /*!< [31..31] Interrupt Source Mask 31 (RSVD) */ + } MSK_b; + } ; +} INTC_Type; /*!< Size = 1028 (0x404) */ + + + +/* =========================================================================================================================== */ +/* ================ SCUCC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief System Control Unit: Chip Configuration (SCUCC) + */ + +typedef struct { /*!< (@ 0x4000F000) SCUCC Structure */ + + union { + __IM uint32_t VENDORID; /*!< (@ 0x00000000) Vendor Identification Register */ + + struct { + __IM uint32_t VENDID : 32; /*!< [31..0] Vendor Identification */ + } VENDORID_b; + } ; + + union { + __IM uint32_t CHIPID; /*!< (@ 0x00000004) Chip Identification Register */ + + struct { + __IM uint32_t CHIPID : 32; /*!< [31..0] Chip Identification */ + } CHIPID_b; + } ; + + union { + __IM uint32_t REVNR; /*!< (@ 0x00000008) Revision Number Register */ + + struct { + __IM uint32_t REVNO : 8; /*!< [7..0] Chip Revision Number */ + } REVNR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t PMREMAP; /*!< (@ 0x00000014) Program Memory Remap Register */ + + struct { + __IOM uint32_t PMREM : 8; /*!< [7..0] Program Memory Remap */ + __OM uint32_t nPMREM : 8; /*!< [15..8] Write Complement Key */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xe2f1) */ + } PMREMAP_b; + } ; + + union { + __IOM uint32_t BTPSCR; /*!< (@ 0x00000018) Boot Pin Status and Control Register */ + + struct { + __IM uint32_t BTPSTA : 1; /*!< [0..0] BOOT Pin Status */ + __IM uint32_t : 4; + __IOM uint32_t BFIND : 2; /*!< [6..5] BOOT Pin Function Indicator */ + } BTPSCR_b; + } ; + + union { + __IOM uint32_t RSTSSR; /*!< (@ 0x0000001C) Reset Source Status Register */ + + struct { + __IOM uint32_t PORSTA : 1; /*!< [0..0] POR Reset Status */ + __IOM uint32_t LVRSTA : 1; /*!< [1..1] LVR Reset Status */ + __IOM uint32_t WDTSTA : 1; /*!< [2..2] Watch-Dog Timer Reset Status */ + __IOM uint32_t EXTSTA : 1; /*!< [3..3] External Pin Reset Status */ + __IOM uint32_t SWSTA : 1; /*!< [4..4] Software Reset Status */ + __IOM uint32_t MONSTA : 1; /*!< [5..5] Clock Monitoring Reset Status */ + } RSTSSR_b; + } ; + + union { + __IOM uint32_t NMISRCR; /*!< (@ 0x00000020) NMI Source Selection Register */ + + struct { + __IOM uint32_t NMISRC : 5; /*!< [4..0] Non-Maskable Interrupt Source Selection */ + __IM uint32_t : 1; + __IOM uint32_t MONINT : 1; /*!< [6..6] Clock Monitoring Interrupt Selection */ + __IOM uint32_t NMICON : 1; /*!< [7..7] Non-Maskable Interrupt (NMI) Control */ + } NMISRCR_b; + } ; + + union { + __OM uint32_t SWRSTR; /*!< (@ 0x00000024) Software Reset Register */ + + struct { + __OM uint32_t SWRST : 8; /*!< [7..0] Software Reset (System Reset) */ + __IM uint32_t : 8; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x9eb3) */ + } SWRSTR_b; + } ; + + union { + __IM uint32_t SRSTVR; /*!< (@ 0x00000028) System Reset Validation Register */ + + struct { + __IM uint32_t VALID : 8; /*!< [7..0] System Reset Validation */ + } SRSTVR_b; + } ; + + union { + __IOM uint32_t WUTCR; /*!< (@ 0x0000002C) Wake-Up Timer Control Register */ + + struct { + __IOM uint32_t WUTIFLAG : 1; /*!< [0..0] Wake-Up Timer Interrupt Flag */ + __IOM uint32_t CNTRLD : 1; /*!< [1..1] Counter Reload */ + __IM uint32_t : 5; + __IOM uint32_t WUTIEN : 1; /*!< [7..7] Wake-Up Timer Interrupt Enable */ + } WUTCR_b; + } ; + + union { + __IOM uint32_t WUTDR; /*!< (@ 0x00000030) Wake-Up Timer Data Register */ + + struct { + __IOM uint32_t WUTDATA : 16; /*!< [15..0] Wake-Up Timer Data */ + } WUTDR_b; + } ; + __IM uint32_t RESERVED1[29]; + + union { + __IOM uint32_t HIRCTRM; /*!< (@ 0x000000A8) High Frequency Internal RC Trim Register (HIRCNFIG) */ + + struct { + __IOM uint32_t FTRMH : 5; /*!< [4..0] Factory HIRC Fine Trim */ + __IM uint32_t CTRMH : 3; /*!< [7..5] Factory HIRC Coarse Trim */ + __OM uint32_t nTRMH : 8; /*!< [15..8] Write Complement Key */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xa6b5) */ + } HIRCTRM_b; + } ; + + union { + __IOM uint32_t WDTRCTRM; /*!< (@ 0x000000AC) Watch-Dog Timer RC Trim Register (WDTRCNFIG) */ + + struct { + __IOM uint32_t FTRMW : 3; /*!< [2..0] Factory WDTRC Fine Trim */ + __IM uint32_t : 1; + __IOM uint32_t CTRMW : 4; /*!< [7..4] Factory WDTRC Coarse Trim */ + __OM uint32_t nTRMW : 8; /*!< [15..8] Write Complement Key */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x4c3d) */ + } WDTRCTRM_b; + } ; +} SCUCC_Type; /*!< Size = 176 (0xb0) */ + + + +/* =========================================================================================================================== */ +/* ================ SCUCG ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief System Control Unit: Clock Generation (SCUCG) + */ + +typedef struct { /*!< (@ 0x40001800) SCUCG Structure */ + + union { + __IOM uint32_t SCCR; /*!< (@ 0x00000000) System Clock Control Register */ + + struct { + __IOM uint32_t MCLKSEL : 2; /*!< [1..0] Main Clock Selection, MCLK */ + __IM uint32_t : 14; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x570a) */ + } SCCR_b; + } ; + + union { + __IOM uint32_t CLKSRCR; /*!< (@ 0x00000004) Clock Source Control Register */ + + struct { + __IOM uint32_t XSOSCEN : 1; /*!< [0..0] XSOSC Enable */ + __IOM uint32_t XMOSCEN : 1; /*!< [1..1] XMOSC Enable */ + __IOM uint32_t HIRCEN : 1; /*!< [2..2] HIRC Enable */ + __IOM uint32_t WDTRCEN : 1; /*!< [3..3] WDTRC Enable */ + __IM uint32_t : 4; + __IOM uint32_t XMFRNG : 1; /*!< [8..8] Main Oscillator Type and Frequency Range Selection */ + __IM uint32_t : 3; + __IOM uint32_t HIRCSEL : 2; /*!< [13..12] HIRC Frequency Selection */ + __IM uint32_t : 2; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xa507) */ + } CLKSRCR_b; + } ; + + union { + __IOM uint32_t SCDIVR1; /*!< (@ 0x00000008) System Clock Divide Register 1 */ + + struct { + __IOM uint32_t HDIV : 3; /*!< [2..0] Clock Divide for HCLK, Divider 0 */ + __IM uint32_t : 1; + __IOM uint32_t WLDIV : 3; /*!< [6..4] Clock Divide for Watch Timer and LCD Driver, Divider + 2 */ + } SCDIVR1_b; + } ; + + union { + __IOM uint32_t SCDIVR2; /*!< (@ 0x0000000C) System Clock Divide Register 2 */ + + struct { + __IOM uint32_t PDIV : 2; /*!< [1..0] Clock Divide for PCLK, Divider 1 */ + __IM uint32_t : 2; + __IOM uint32_t SYSTDIV : 2; /*!< [5..4] Clock Divide for SysTick Timer, Divider 3 */ + } SCDIVR2_b; + } ; + + union { + __IOM uint32_t CLKOCR; /*!< (@ 0x00000010) Clock Output Control Register */ + + struct { + __IOM uint32_t CLKOS : 3; /*!< [2..0] Clock Output Selection */ + __IOM uint32_t CLKODIV : 3; /*!< [5..3] Output Clock Divide, Divider 4 */ + __IOM uint32_t POLSEL : 1; /*!< [6..6] Clock Output Polarity Selection when Disable */ + __IOM uint32_t CLKOEN : 1; /*!< [7..7] Clock Output Enable */ + } CLKOCR_b; + } ; + + union { + __IOM uint32_t CMONCR; /*!< (@ 0x00000014) Clock Monitoring Control Register */ + + struct { + __IOM uint32_t MONCS : 2; /*!< [1..0] Monitored Clock Selection */ + __IOM uint32_t NMINTFG : 1; /*!< [2..2] Clock Monitoring Interrupt Flag */ + __IOM uint32_t MONFLAG : 1; /*!< [3..3] Clock Monitoring Result Flag */ + __IM uint32_t : 1; + __IOM uint32_t MACTS : 2; /*!< [6..5] Clock Monitoring Action Selection */ + __IOM uint32_t MONEN : 1; /*!< [7..7] Clock Monitoring Enable */ + } CMONCR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t PPCLKEN1; /*!< (@ 0x00000020) Peripheral Clock Enable Register 1 */ + + struct { + __IOM uint32_t PACLKE : 1; /*!< [0..0] Port A Clock Enable */ + __IOM uint32_t PBCLKE : 1; /*!< [1..1] Port B Clock Enable */ + __IOM uint32_t PCCLKE : 1; /*!< [2..2] Port C Clock Enable */ + __IOM uint32_t PDCLKE : 1; /*!< [3..3] Port D Clock Enable */ + __IOM uint32_t PECLKE : 1; /*!< [4..4] Port E Clock Enable */ + __IOM uint32_t PFCLKE : 1; /*!< [5..5] Port F Clock Enable */ + __IM uint32_t : 2; + __IOM uint32_t T13CLKE : 1; /*!< [8..8] TIMER13 Clock Enable */ + __IOM uint32_t T14CLKE : 1; /*!< [9..9] TIMER14 Clock Enable */ + __IOM uint32_t T15CLKE : 1; /*!< [10..10] TIMER15 Clock Enable */ + __IOM uint32_t T16CLKE : 1; /*!< [11..11] TIMER16 Clock Enable */ + __IM uint32_t : 4; + __IOM uint32_t T10CLKE : 1; /*!< [16..16] TIMER10 Clock Enable */ + __IOM uint32_t T11CLKE : 1; /*!< [17..17] TIMER11 Clock Enable */ + __IOM uint32_t T12CLKE : 1; /*!< [18..18] TIMER12 Clock Enable */ + __IOM uint32_t T30CLKE : 1; /*!< [19..19] TIMER30 Clock Enable */ + __IOM uint32_t T20CLKE : 1; /*!< [20..20] TIMER20 Clock Enable */ + __IOM uint32_t T21CLKE : 1; /*!< [21..21] TIMER21 Clock Enable */ + } PPCLKEN1_b; + } ; + + union { + __IOM uint32_t PPCLKEN2; /*!< (@ 0x00000024) Peripheral Clock Enable Register 2 */ + + struct { + __IOM uint32_t UST10CLKE : 1; /*!< [0..0] USART10 Clock Enable */ + __IOM uint32_t UST11CLKE : 1; /*!< [1..1] USART11 Clock Enable */ + __IOM uint32_t UT0CLKE : 1; /*!< [2..2] UART0 Clock Enable */ + __IOM uint32_t UT1CLKE : 1; /*!< [3..3] UART1 Clock Enable */ + __IOM uint32_t UST12CLKE : 1; /*!< [4..4] USART12 Clock Enable */ + __IOM uint32_t UST13CLKE : 1; /*!< [5..5] USART13 Clock Enable */ + __IOM uint32_t I2C0CLKE : 1; /*!< [6..6] I2C0 (Inter-IC) Clock Enable */ + __IOM uint32_t I2C1CLKE : 1; /*!< [7..7] I2C1 (Inter-IC) Clock Enable */ + __IOM uint32_t I2C2CLKE : 1; /*!< [8..8] I2C2 (Inter-IC) Clock Enable */ + __IM uint32_t : 1; + __IOM uint32_t ADCLKE : 1; /*!< [10..10] ADC (Analog to Digital Converter) Clock Enable */ + __IM uint32_t : 1; + __IOM uint32_t CRCLKE : 1; /*!< [12..12] CRC (Cyclic Redundancy Check) Clock Enable */ + __IOM uint32_t LCDCLKE : 1; /*!< [13..13] LCD (LCD Driver) Clock Enable */ + __IM uint32_t : 2; + __IOM uint32_t WTCLKE : 1; /*!< [16..16] WT (Watch Timer) Clock Enable */ + __IOM uint32_t WDTCLKE : 1; /*!< [17..17] WDT (Watch-Dog Timer) Clock Enable */ + __IOM uint32_t LVICLKE : 1; /*!< [18..18] LVI (Low Voltage Indicator) Clock Enable */ + __IOM uint32_t FMCLKE : 1; /*!< [19..19] FMC (Flash Memory Controller) Clock Enable */ + } PPCLKEN2_b; + } ; + __IM uint32_t RESERVED1[6]; + + union { + __IOM uint32_t PPCLKSR; /*!< (@ 0x00000040) Peripheral Clock Selection Register */ + + struct { + __IOM uint32_t WDTCLK : 1; /*!< [0..0] WDT (Watch-Dog Timer) Clock Selection */ + __IM uint32_t : 2; + __IOM uint32_t WTCLK : 2; /*!< [4..3] WT (Watch Timer) Clock Selection */ + __IM uint32_t : 1; + __IOM uint32_t LCDCLK : 2; /*!< [7..6] LCD (LCD Driver) Clock Selection */ + __IM uint32_t : 9; + __IOM uint32_t T30CLK : 1; /*!< [17..17] TIMER30 Clock Selection */ + __IM uint32_t : 2; + __IOM uint32_t T20CLK : 1; /*!< [20..20] TIMER20 Clock Selection */ + } PPCLKSR_b; + } ; + __IM uint32_t RESERVED2[7]; + + union { + __IOM uint32_t PPRST1; /*!< (@ 0x00000060) Peripheral Reset Register 1 */ + + struct { + __IOM uint32_t PARST : 1; /*!< [0..0] Port A Reset */ + __IOM uint32_t PBRST : 1; /*!< [1..1] Port B Reset */ + __IOM uint32_t PCRST : 1; /*!< [2..2] Port C Reset */ + __IOM uint32_t PDRST : 1; /*!< [3..3] Port D Reset */ + __IOM uint32_t PERST : 1; /*!< [4..4] Port E Reset */ + __IOM uint32_t PFRST : 1; /*!< [5..5] Port F Reset */ + __IM uint32_t : 2; + __IOM uint32_t T13RST : 1; /*!< [8..8] TIMER13 Reset */ + __IOM uint32_t T14RST : 1; /*!< [9..9] TIMER14 Reset */ + __IOM uint32_t T15RST : 1; /*!< [10..10] TIMER15 Reset */ + __IOM uint32_t T16RST : 1; /*!< [11..11] TIMER16 Reset */ + __IM uint32_t : 4; + __IOM uint32_t T10RST : 1; /*!< [16..16] TIMER10 Reset */ + __IOM uint32_t T11RST : 1; /*!< [17..17] TIMER11 Reset */ + __IOM uint32_t T12RST : 1; /*!< [18..18] TIMER12 Reset */ + __IOM uint32_t T30RST : 1; /*!< [19..19] TIMER30 Reset */ + __IOM uint32_t T20RST : 1; /*!< [20..20] TIMER20 Reset */ + __IOM uint32_t T21RST : 1; /*!< [21..21] TIMER21 Reset */ + } PPRST1_b; + } ; + + union { + __IOM uint32_t PPRST2; /*!< (@ 0x00000064) Peripheral Reset Register 2 */ + + struct { + __IOM uint32_t UST10RST : 1; /*!< [0..0] USART10 Reset */ + __IOM uint32_t UST11RST : 1; /*!< [1..1] USART11 Reset */ + __IOM uint32_t UT0RST : 1; /*!< [2..2] UART0 Reset */ + __IOM uint32_t UT1RST : 1; /*!< [3..3] UART1 Reset */ + __IOM uint32_t UST12RST : 1; /*!< [4..4] USART12 Reset */ + __IOM uint32_t UST13RST : 1; /*!< [5..5] USART13 Reset */ + __IOM uint32_t I2C0RST : 1; /*!< [6..6] I2C0 (Inter-IC) Reset */ + __IOM uint32_t I2C1RST : 1; /*!< [7..7] I2C1 (Inter-IC) Reset */ + __IOM uint32_t I2C2RST : 1; /*!< [8..8] I2C2 (Inter-IC) Reset */ + __IM uint32_t : 1; + __IOM uint32_t ADRST : 1; /*!< [10..10] ADC (Analog to Digital Converter) Reset */ + __IM uint32_t : 1; + __IOM uint32_t CRRST : 1; /*!< [12..12] CRC (Cyclic Redundancy Check) Reset */ + __IOM uint32_t LCDRST : 1; /*!< [13..13] LCD (LCD Driver) Reset */ + __IM uint32_t : 2; + __IOM uint32_t WTRST : 1; /*!< [16..16] WT (Watch Timer) Reset */ + __IM uint32_t : 1; + __IOM uint32_t LVIRST : 1; /*!< [18..18] LVI (Low Voltage Indicator) Reset */ + __IOM uint32_t FMCRST : 1; /*!< [19..19] FMC (Flash Memory Controller) Reset */ + } PPRST2_b; + } ; + __IM uint32_t RESERVED3[6]; + + union { + __IOM uint32_t XTFLSR; /*!< (@ 0x00000080) X-tal Filter Selection Register */ + + struct { + __IOM uint32_t XRNS : 3; /*!< [2..0] External Main Oscillator Filter Selection */ + __IM uint32_t : 13; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x9b37) */ + } XTFLSR_b; + } ; +} SCUCG_Type; /*!< Size = 132 (0x84) */ + + + +/* =========================================================================================================================== */ +/* ================ SCULV ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief System Control Unit: LVI and LVR (SCULV) + */ + +typedef struct { /*!< (@ 0x40005100) SCULV Structure */ + + union { + __IOM uint32_t LVICR; /*!< (@ 0x00000000) Low Voltage Indicator Control Register */ + + struct { + __IOM uint32_t LVIVS : 4; /*!< [3..0] LVI Voltage Selection */ + __IOM uint32_t LVIFLAG : 1; /*!< [4..4] LVI Interrupt Flag */ + __IOM uint32_t LVINTEN : 1; /*!< [5..5] LVI Interrupt Enable */ + __IM uint32_t : 1; + __IOM uint32_t LVIEN : 1; /*!< [7..7] LVI Enable */ + } LVICR_b; + } ; + + union { + __IOM uint32_t LVRCR; /*!< (@ 0x00000004) Low Voltage Reset Control Register */ + + struct { + __IOM uint32_t LVREN : 8; /*!< [7..0] LVR Enable */ + } LVRCR_b; + } ; +} SCULV_Type; /*!< Size = 8 (0x8) */ + + + +/* =========================================================================================================================== */ +/* ================ Pn ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port n (Pn) + */ + +typedef struct { /*!< (@ 0x50000000) Pn Structure */ + + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; +} Pn_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PA ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port A (PA) + */ + +typedef struct { /*!< (@ 0x30000000) PA Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PA_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + } PA_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PA_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + } PA_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PA_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PA_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PA_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + } PA_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PA_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + } PA_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PA_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + } PA_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PA_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + } PA_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PA_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + } PA_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PA_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + } PA_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PA_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + } PA_OUTDMSK_b; + } ; + }; + + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; +} PA_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PB ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port B (PB) + */ + +typedef struct { /*!< (@ 0x30000100) PB Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PB_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } PB_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PB_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } PB_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PB_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PB_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PB_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } PB_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PB_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PB_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PB_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } PB_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PB_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } PB_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PB_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } PB_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PB_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } PB_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PB_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } PB_OUTDMSK_b; + } ; + }; + + union { + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; + + union { + __IOM uint32_t PB_DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } PB_DBCR_b; + } ; + }; +} PB_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port C (PC) + */ + +typedef struct { /*!< (@ 0x30000200) PC Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PC_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + } PC_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PC_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + } PC_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PC_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PC_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PC_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + } PC_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PC_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + } PC_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PC_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + } PC_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PC_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + } PC_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PC_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + } PC_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PC_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + } PC_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PC_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + } PC_OUTDMSK_b; + } ; + }; + + union { + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; + + union { + __IOM uint32_t PC_DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IM uint32_t : 12; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } PC_DBCR_b; + } ; + }; +} PC_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PD ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port D (PD) + */ + +typedef struct { /*!< (@ 0x30000300) PD Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PD_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + } PD_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PD_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + } PD_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PD_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PD_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + __IOM uint32_t PD_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PD_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + } PD_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PD_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + } PD_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PD_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + } PD_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PD_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + } PD_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PD_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + } PD_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PD_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + } PD_OUTDMSK_b; + } ; + }; + + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; +} PD_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PE ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port E (PE) + */ + +typedef struct { /*!< (@ 0x30000400) PE Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PE_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } PE_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PE_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } PE_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PE_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PE_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PE_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } PE_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PE_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PE_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PE_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } PE_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PE_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } PE_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PE_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } PE_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PE_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } PE_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PE_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } PE_OUTDMSK_b; + } ; + }; + + union { + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; + + union { + __IOM uint32_t PE_DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IM uint32_t : 12; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } PE_DBCR_b; + } ; + }; +} PE_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PF ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port F (PF) + */ + +typedef struct { /*!< (@ 0x30000500) PF Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PF_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + } PF_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PF_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + } PF_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PF_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PF_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PF_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + } PF_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PF_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + } PF_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PF_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + } PF_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PF_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + } PF_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PF_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + } PF_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PF_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + } PF_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PF_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + } PF_OUTDMSK_b; + } ; + }; + + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; +} PF_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ FMC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Flash Memory Controller (FMC) + */ + +typedef struct { /*!< (@ 0x40001B00) FMC Structure */ + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000000) Flash Memory Address Register */ + + struct { + __IOM uint32_t ADDR : 32; /*!< [31..0] Flash Memory Address Pointer */ + } ADR_b; + } ; + + union { + __IOM uint32_t IDR1; /*!< (@ 0x00000004) Flash Memory Identification Register 1 */ + + struct { + __IOM uint32_t ID1 : 32; /*!< [31..0] Flash Memory Identification 1 */ + } IDR1_b; + } ; + + union { + __IOM uint32_t IDR2; /*!< (@ 0x00000008) Flash Memory Identification Register 2 */ + + struct { + __IOM uint32_t ID2 : 32; /*!< [31..0] Flash Memory Identification 2 */ + } IDR2_b; + } ; + + union { + __IOM uint32_t CR; /*!< (@ 0x0000000C) Flash Memory Control Register */ + + struct { + __IOM uint32_t FMOD : 4; /*!< [3..0] Flash Memory Operation Mode Selection */ + __IM uint32_t : 3; + __IM uint32_t FMBUSY : 1; /*!< [7..7] Flash Memory Operation Mode Busy */ + __IOM uint32_t FMKEY : 8; /*!< [15..8] Flash Memory Operation Area Selection */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x6c93) */ + } CR_b; + } ; + + union { + __IOM uint32_t BCR; /*!< (@ 0x00000010) Flash Memory Configure Area Bulk Erase Control + Register */ + + struct { + __IOM uint32_t CNF1BEN : 4; /*!< [3..0] Configure Option Page 1 Bulk Erase Enable */ + __IOM uint32_t CNF2BEN : 4; /*!< [7..4] Configure Option Page 2 Bulk Erase Enable */ + __IOM uint32_t CNF3BEN : 4; /*!< [11..8] Configure Option Page 3 Bulk Erase Enable */ + __IM uint32_t : 4; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xc1be) */ + } BCR_b; + } ; + + union { + __IOM uint32_t ERFLAG; /*!< (@ 0x00000014) Flash Memory Error Flag */ + + struct { + __IOM uint32_t FMOPFLAG : 1; /*!< [0..0] Error bit of Flash Memory Operation Procedure */ + __IOM uint32_t INSTFLAG : 1; /*!< [1..1] Don't care */ + } ERFLAG_b; + } ; + __IM uint32_t RESERVED[58]; + __OM uint32_t PAGEBUF; /*!< (@ 0x00000100) Flash Memory Page Buffer Area (128bytes/Accessed + by 32bit Word Only) */ +} FMC_Type; /*!< Size = 260 (0x104) */ + + + +/* =========================================================================================================================== */ +/* ================ WDT ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Watch-Dog Timer (WDT) + */ + +typedef struct { /*!< (@ 0x40001A00) WDT Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) Watch-Dog Timer Control Register */ + + struct { + __IOM uint32_t CLKDIV : 2; /*!< [1..0] Watch-Dog Timer Clock Divider */ + __IOM uint32_t UNFIEN : 1; /*!< [2..2] Watch-Dog Timer Underflow Interrupt Enable */ + __IOM uint32_t WINMIEN : 1; /*!< [3..3] Watch-Dog Timer Window Match Interrupt Enable */ + __IOM uint32_t CNTEN : 6; /*!< [9..4] Watch-Dog Timer Counter Enable */ + __IOM uint32_t RSTEN : 6; /*!< [15..10] Watch-Dog Timer Reset Enable */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x5a69) */ + } CR_b; + } ; + + union { + __IOM uint32_t SR; /*!< (@ 0x00000004) Watch-Dog Timer Status Register */ + + struct { + __IOM uint32_t UNFIFLAG : 1; /*!< [0..0] Watch-Dog Timer Underflow Interrupt Flag */ + __IOM uint32_t WINMIFLAG : 1; /*!< [1..1] Watch-Dog Timer Window Match Interrupt Flag */ + __IM uint32_t : 5; + __IOM uint32_t DBGCNTEN : 1; /*!< [7..7] Watch-Dog Timer Counter Enable when the core is halted + in debug mode */ + } SR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000008) Watch-Dog Timer Data Register */ + + struct { + __IOM uint32_t DATA : 24; /*!< [23..0] Watch-Dog Timer Data */ + } DR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x0000000C) Watch-Dog Timer Counter Register */ + + struct { + __IM uint32_t CNT : 24; /*!< [23..0] Watch-Dog Timer Counter */ + } CNT_b; + } ; + + union { + __IOM uint32_t WINDR; /*!< (@ 0x00000010) Watch-Dog Timer Window Data Register */ + + struct { + __IOM uint32_t WDATA : 24; /*!< [23..0] Watch-Dog Timer Window Data */ + } WINDR_b; + } ; + + union { + __OM uint32_t CNTR; /*!< (@ 0x00000014) Watch-Dog Timer Counter Reload Register */ + + struct { + __OM uint32_t CNTR : 8; /*!< [7..0] Watch-Dog Timer Counter Reload */ + } CNTR_b; + } ; +} WDT_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ WT ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Watch Timer (WT) + */ + +typedef struct { /*!< (@ 0x40002000) WT Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) Watch Timer Control Register */ + + struct { + __IOM uint32_t WTCLR : 1; /*!< [0..0] Watch Timer Counter and Divider Clear */ + __IOM uint32_t WTIFLAG : 1; /*!< [1..1] Watch Timer Interrupt Flag */ + __IM uint32_t : 1; + __IOM uint32_t WTIEN : 1; /*!< [3..3] Watch Timer Interrupt Enable */ + __IOM uint32_t WTINTV : 2; /*!< [5..4] Watch Timer Interval Selection */ + __IM uint32_t : 1; + __IOM uint32_t WTEN : 1; /*!< [7..7] Watch Timer Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000004) Watch Timer Data Register */ + + struct { + __IOM uint32_t WTDATA : 12; /*!< [11..0] Watch Timer Data */ + } DR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000008) Watch Timer Counter Register */ + + struct { + __IM uint32_t CNT : 12; /*!< [11..0] Watch Timer Counter */ + } CNT_b; + } ; +} WT_Type; /*!< Size = 12 (0xc) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER1n ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 1n (TIMER1n) + */ + +typedef struct { /*!< (@ 0x51000000) TIMER1n Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER1n_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER10 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 10 (TIMER10) + */ + +typedef struct { /*!< (@ 0x40002100) TIMER10 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER10_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER11 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 11 (TIMER11) + */ + +typedef struct { /*!< (@ 0x40002200) TIMER11 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER11_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER12 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 12 (TIMER12) + */ + +typedef struct { /*!< (@ 0x40002300) TIMER12 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER12_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER13 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 13 (TIMER13) + */ + +typedef struct { /*!< (@ 0x40002700) TIMER13 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER13_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER14 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 14 (TIMER14) + */ + +typedef struct { /*!< (@ 0x40002800) TIMER14 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER14_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER15 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 15 (TIMER15) + */ + +typedef struct { /*!< (@ 0x40002900) TIMER15 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER15_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER16 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 16 (TIMER16) + */ + +typedef struct { /*!< (@ 0x40002A00) TIMER16 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER16_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER2n ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 2n (TIMER2n) + */ + +typedef struct { /*!< (@ 0x52000000) TIMER2n Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IOM uint32_t CAPSEL : 2; /*!< [10..9] TIMER2n Capture Signal Selection */ + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER2n A Data Register */ + + struct { + __IOM uint32_t ADATA : 32; /*!< [31..0] TIMER2n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER2n B Data Register */ + + struct { + __IOM uint32_t BDATA : 32; /*!< [31..0] TIMER2n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER2n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 32; /*!< [31..0] TIMER2n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER2n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER2n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER2n Counter Register */ + + struct { + __IM uint32_t CNT : 32; /*!< [31..0] TIMER2n Counter */ + } CNT_b; + } ; +} TIMER2n_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER20 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 20 (TIMER20) + */ + +typedef struct { /*!< (@ 0x40002500) TIMER20 Structure */ + + union { + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IOM uint32_t CAPSEL : 2; /*!< [10..9] TIMER2n Capture Signal Selection */ + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t TIMER20_CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IOM uint32_t CAPSEL : 2; /*!< [10..9] TIMER2n Capture Signal Selection */ + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } TIMER20_CR_b; + } ; + }; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER2n A Data Register */ + + struct { + __IOM uint32_t ADATA : 32; /*!< [31..0] TIMER2n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER2n B Data Register */ + + struct { + __IOM uint32_t BDATA : 32; /*!< [31..0] TIMER2n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER2n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 32; /*!< [31..0] TIMER2n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER2n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER2n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER2n Counter Register */ + + struct { + __IM uint32_t CNT : 32; /*!< [31..0] TIMER2n Counter */ + } CNT_b; + } ; +} TIMER20_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER21 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 21 (TIMER21) + */ + +typedef struct { /*!< (@ 0x40002600) TIMER21 Structure */ + + union { + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IOM uint32_t CAPSEL : 2; /*!< [10..9] TIMER2n Capture Signal Selection */ + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t TIMER21_CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } TIMER21_CR_b; + } ; + }; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER2n A Data Register */ + + struct { + __IOM uint32_t ADATA : 32; /*!< [31..0] TIMER2n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER2n B Data Register */ + + struct { + __IOM uint32_t BDATA : 32; /*!< [31..0] TIMER2n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER2n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 32; /*!< [31..0] TIMER2n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER2n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER2n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER2n Counter Register */ + + struct { + __IM uint32_t CNT : 32; /*!< [31..0] TIMER2n Counter */ + } CNT_b; + } ; +} TIMER21_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER3n ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 3n (TIMER3n) + */ + +typedef struct { /*!< (@ 0x53000000) TIMER3n Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER3n Control Register */ + + struct { + __IOM uint32_t T3nCLR : 1; /*!< [0..0] TIMER3n Counter and Prescaler Clear */ + __IOM uint32_t PMOC : 3; /*!< [3..1] Period Match Interrupt Occurrence Selection */ + __IOM uint32_t UPDT : 2; /*!< [5..4] Data Reload Time Selection */ + __IOM uint32_t T3nCPOL : 2; /*!< [7..6] TIMER3n Capture Polarity Selection */ + __IOM uint32_t DLYPOS : 1; /*!< [8..8] Delay Time Insertion Position */ + __IOM uint32_t DLYEN : 1; /*!< [9..9] Delay Time Insertion Enable */ + __IOM uint32_t FORCA : 1; /*!< [10..10] TIMER3n Output Mode Selection */ + __IOM uint32_t T3nECE : 1; /*!< [11..11] TIMER3n External Clock Edge Selection */ + __IOM uint32_t T3nMS : 2; /*!< [13..12] TIMER3n Operation Mode Selection */ + __IOM uint32_t T3nCLK : 1; /*!< [14..14] TIMER3n Clock Selection */ + __IOM uint32_t T3nEN : 1; /*!< [15..15] TIMER3n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t PDR; /*!< (@ 0x00000004) TIMER3n Period Data Register */ + + struct { + __IOM uint32_t PDATA : 16; /*!< [15..0] TIMER3n Period Data */ + } PDR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000008) TIMER3n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER3n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x0000000C) TIMER3n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER3n B Data */ + } BDR_b; + } ; + + union { + __IOM uint32_t CDR; /*!< (@ 0x00000010) TIMER3n C Data Register */ + + struct { + __IOM uint32_t CDATA : 16; /*!< [15..0] TIMER3n C Data */ + } CDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x00000014) TIMER3n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER3n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000018) TIMER3n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER3n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x0000001C) TIMER3n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER3n Counter */ + } CNT_b; + } ; + + union { + __IOM uint32_t OUTCR; /*!< (@ 0x00000020) TIMER3n Output Control Register */ + + struct { + __IOM uint32_t LVLCA : 1; /*!< [0..0] Configure PWM3nCA Output when Disable */ + __IOM uint32_t LVLBA : 1; /*!< [1..1] Configure PWM3nBA Output when Disable */ + __IOM uint32_t LVLAA : 1; /*!< [2..2] Configure PWM3nAA Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t LVLCB : 1; /*!< [4..4] Configure PWM3nCB Output when Disable */ + __IOM uint32_t LVLBB : 1; /*!< [5..5] Configure PWM3nBB Output when Disable */ + __IOM uint32_t LVLAB : 1; /*!< [6..6] Configure PWM3nAB Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t PCAOE : 1; /*!< [8..8] PWM3nCA Output Enable */ + __IOM uint32_t PBAOE : 1; /*!< [9..9] PWM3nBA Output Enable */ + __IOM uint32_t PAAOE : 1; /*!< [10..10] PWM3nAA Output Enable */ + __IOM uint32_t PCBOE : 1; /*!< [11..11] PWM3nCB Output Enable */ + __IOM uint32_t PBBOE : 1; /*!< [12..12] PWM3nBB Output Enable */ + __IOM uint32_t PABOE : 1; /*!< [13..13] PWM3nAB Output Enable */ + __IOM uint32_t POLA : 1; /*!< [14..14] PWM3nxA Output Polarity Selection */ + __IOM uint32_t POLB : 1; /*!< [15..15] PWM3nxB Output Polarity Selection */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key */ + } OUTCR_b; + } ; + + union { + __IOM uint32_t DLY; /*!< (@ 0x00000024) TIMER3n PWM Output Delay Data Register */ + + struct { + __IOM uint32_t DLY : 10; /*!< [9..0] TIMER3n PWM Delay Data */ + } DLY_b; + } ; + + union { + __IOM uint32_t INTCR; /*!< (@ 0x00000028) TIMER3n Interrupt Control Register */ + + struct { + __IOM uint32_t T3nCMIEN : 1; /*!< [0..0] TIMER3n C-ch Match Interrupt Enable */ + __IOM uint32_t T3nBMIEN : 1; /*!< [1..1] TIMER3n B-ch Match Interrupt Enable */ + __IOM uint32_t T3nAMIEN : 1; /*!< [2..2] TIMER3n A-ch Match Interrupt Enable */ + __IOM uint32_t T3nPMIEN : 1; /*!< [3..3] TIMER3n Period Match Interrupt Enable */ + __IOM uint32_t T3nBTIEN : 1; /*!< [4..4] TIMER3n Bottom Interrupt Enable */ + __IOM uint32_t T3nCIEN : 1; /*!< [5..5] TIMER3n Capture Interrupt Enable */ + __IOM uint32_t HIZIEN : 1; /*!< [6..6] TIMER3n Output High-Impedance Interrupt Enable */ + } INTCR_b; + } ; + + union { + __IOM uint32_t INTFLAG; /*!< (@ 0x0000002C) TIMER3n Interrupt Flag Register */ + + struct { + __IOM uint32_t T3nCMIFLAG : 1; /*!< [0..0] TIMER3n C-ch Match Interrupt Flag */ + __IOM uint32_t T3nBMIFLAG : 1; /*!< [1..1] TIMER3n B-ch Match Interrupt Flag */ + __IOM uint32_t T3nAMIFLAG : 1; /*!< [2..2] TIMER3n A-ch Match Interrupt Flag */ + __IOM uint32_t T3nPMIFLAG : 1; /*!< [3..3] TIMER3n Period Match Interrupt Flag */ + __IOM uint32_t T3nBTIFLAG : 1; /*!< [4..4] TIMER3n Bottom Interrupt Flag */ + __IOM uint32_t T3nCIFLAG : 1; /*!< [5..5] TIMER3n Capture Interrupt Flag */ + __IOM uint32_t HIZIFLAG : 1; /*!< [6..6] TIMER3n Output High-Impedance Interrupt Flag */ + } INTFLAG_b; + } ; + + union { + __IOM uint32_t HIZCR; /*!< (@ 0x00000030) TIMER3n High-Impedance Control Register */ + + struct { + __IOM uint32_t HIZCLR : 1; /*!< [0..0] High-Impedance Output Clear */ + __IM uint32_t HIZSTA : 1; /*!< [1..1] High-Impedance Status */ + __IOM uint32_t HEDGE : 1; /*!< [2..2] High-Impedance Edge Selection */ + __IM uint32_t : 1; + __IOM uint32_t HIZSW : 1; /*!< [4..4] High-Impedance Output Software Setting */ + __IM uint32_t : 2; + __IOM uint32_t HIZEN : 1; /*!< [7..7] PWM3nxA/PWM3nxB Output High-Impedance Enable */ + } HIZCR_b; + } ; + + union { + __IOM uint32_t ADTCR; /*!< (@ 0x00000034) TIMER3n ADC Trigger Control Register */ + + struct { + __IOM uint32_t T3nCMTG : 1; /*!< [0..0] Select TIMER3n C-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nBMTG : 1; /*!< [1..1] Select TIMER3n B-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nAMTG : 1; /*!< [2..2] Select TIMER3n A-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nPMTG : 1; /*!< [3..3] Select TIMER3n Period Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nBTTG : 1; /*!< [4..4] Select TIMER3n Bottom for ADC Trigger Signal Generator. */ + } ADTCR_b; + } ; + + union { + __IOM uint32_t ADTDR; /*!< (@ 0x00000038) TIMER3n ADC Trigger Generator Data Register */ + + struct { + __IOM uint32_t ADTDATA : 14; /*!< [13..0] TIMER3n ADC Trigger Generation Data */ + } ADTDR_b; + } ; +} TIMER3n_Type; /*!< Size = 60 (0x3c) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER30 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 30 (TIMER30) + */ + +typedef struct { /*!< (@ 0x40002400) TIMER30 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER3n Control Register */ + + struct { + __IOM uint32_t T3nCLR : 1; /*!< [0..0] TIMER3n Counter and Prescaler Clear */ + __IOM uint32_t PMOC : 3; /*!< [3..1] Period Match Interrupt Occurrence Selection */ + __IOM uint32_t UPDT : 2; /*!< [5..4] Data Reload Time Selection */ + __IOM uint32_t T3nCPOL : 2; /*!< [7..6] TIMER3n Capture Polarity Selection */ + __IOM uint32_t DLYPOS : 1; /*!< [8..8] Delay Time Insertion Position */ + __IOM uint32_t DLYEN : 1; /*!< [9..9] Delay Time Insertion Enable */ + __IOM uint32_t FORCA : 1; /*!< [10..10] TIMER3n Output Mode Selection */ + __IOM uint32_t T3nECE : 1; /*!< [11..11] TIMER3n External Clock Edge Selection */ + __IOM uint32_t T3nMS : 2; /*!< [13..12] TIMER3n Operation Mode Selection */ + __IOM uint32_t T3nCLK : 1; /*!< [14..14] TIMER3n Clock Selection */ + __IOM uint32_t T3nEN : 1; /*!< [15..15] TIMER3n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t PDR; /*!< (@ 0x00000004) TIMER3n Period Data Register */ + + struct { + __IOM uint32_t PDATA : 16; /*!< [15..0] TIMER3n Period Data */ + } PDR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000008) TIMER3n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER3n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x0000000C) TIMER3n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER3n B Data */ + } BDR_b; + } ; + + union { + __IOM uint32_t CDR; /*!< (@ 0x00000010) TIMER3n C Data Register */ + + struct { + __IOM uint32_t CDATA : 16; /*!< [15..0] TIMER3n C Data */ + } CDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x00000014) TIMER3n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER3n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000018) TIMER3n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER3n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x0000001C) TIMER3n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER3n Counter */ + } CNT_b; + } ; + + union { + union { + __IOM uint32_t OUTCR; /*!< (@ 0x00000020) TIMER3n Output Control Register */ + + struct { + __IOM uint32_t LVLCA : 1; /*!< [0..0] Configure PWM3nCA Output when Disable */ + __IOM uint32_t LVLBA : 1; /*!< [1..1] Configure PWM3nBA Output when Disable */ + __IOM uint32_t LVLAA : 1; /*!< [2..2] Configure PWM3nAA Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t LVLCB : 1; /*!< [4..4] Configure PWM3nCB Output when Disable */ + __IOM uint32_t LVLBB : 1; /*!< [5..5] Configure PWM3nBB Output when Disable */ + __IOM uint32_t LVLAB : 1; /*!< [6..6] Configure PWM3nAB Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t PCAOE : 1; /*!< [8..8] PWM3nCA Output Enable */ + __IOM uint32_t PBAOE : 1; /*!< [9..9] PWM3nBA Output Enable */ + __IOM uint32_t PAAOE : 1; /*!< [10..10] PWM3nAA Output Enable */ + __IOM uint32_t PCBOE : 1; /*!< [11..11] PWM3nCB Output Enable */ + __IOM uint32_t PBBOE : 1; /*!< [12..12] PWM3nBB Output Enable */ + __IOM uint32_t PABOE : 1; /*!< [13..13] PWM3nAB Output Enable */ + __IOM uint32_t POLA : 1; /*!< [14..14] PWM3nxA Output Polarity Selection */ + __IOM uint32_t POLB : 1; /*!< [15..15] PWM3nxB Output Polarity Selection */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key */ + } OUTCR_b; + } ; + + union { + __IOM uint32_t T30_OUTCR; /*!< (@ 0x00000020) TIMER3n Output Control Register */ + + struct { + __IOM uint32_t LVLCA : 1; /*!< [0..0] Configure PWM3nCA Output when Disable */ + __IOM uint32_t LVLBA : 1; /*!< [1..1] Configure PWM3nBA Output when Disable */ + __IOM uint32_t LVLAA : 1; /*!< [2..2] Configure PWM3nAA Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t LVLCB : 1; /*!< [4..4] Configure PWM3nCB Output when Disable */ + __IOM uint32_t LVLBB : 1; /*!< [5..5] Configure PWM3nBB Output when Disable */ + __IOM uint32_t LVLAB : 1; /*!< [6..6] Configure PWM3nAB Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t PCAOE : 1; /*!< [8..8] PWM3nCA Output Enable */ + __IOM uint32_t PBAOE : 1; /*!< [9..9] PWM3nBA Output Enable */ + __IOM uint32_t PAAOE : 1; /*!< [10..10] PWM3nAA Output Enable */ + __IOM uint32_t PCBOE : 1; /*!< [11..11] PWM3nCB Output Enable */ + __IOM uint32_t PBBOE : 1; /*!< [12..12] PWM3nBB Output Enable */ + __IOM uint32_t PABOE : 1; /*!< [13..13] PWM3nAB Output Enable */ + __IOM uint32_t POLA : 1; /*!< [14..14] PWM3nxA Output Polarity Selection */ + __IOM uint32_t POLB : 1; /*!< [15..15] PWM3nxB Output Polarity Selection */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xe06c) */ + } T30_OUTCR_b; + } ; + }; + + union { + __IOM uint32_t DLY; /*!< (@ 0x00000024) TIMER3n PWM Output Delay Data Register */ + + struct { + __IOM uint32_t DLY : 10; /*!< [9..0] TIMER3n PWM Delay Data */ + } DLY_b; + } ; + + union { + __IOM uint32_t INTCR; /*!< (@ 0x00000028) TIMER3n Interrupt Control Register */ + + struct { + __IOM uint32_t T3nCMIEN : 1; /*!< [0..0] TIMER3n C-ch Match Interrupt Enable */ + __IOM uint32_t T3nBMIEN : 1; /*!< [1..1] TIMER3n B-ch Match Interrupt Enable */ + __IOM uint32_t T3nAMIEN : 1; /*!< [2..2] TIMER3n A-ch Match Interrupt Enable */ + __IOM uint32_t T3nPMIEN : 1; /*!< [3..3] TIMER3n Period Match Interrupt Enable */ + __IOM uint32_t T3nBTIEN : 1; /*!< [4..4] TIMER3n Bottom Interrupt Enable */ + __IOM uint32_t T3nCIEN : 1; /*!< [5..5] TIMER3n Capture Interrupt Enable */ + __IOM uint32_t HIZIEN : 1; /*!< [6..6] TIMER3n Output High-Impedance Interrupt Enable */ + } INTCR_b; + } ; + + union { + __IOM uint32_t INTFLAG; /*!< (@ 0x0000002C) TIMER3n Interrupt Flag Register */ + + struct { + __IOM uint32_t T3nCMIFLAG : 1; /*!< [0..0] TIMER3n C-ch Match Interrupt Flag */ + __IOM uint32_t T3nBMIFLAG : 1; /*!< [1..1] TIMER3n B-ch Match Interrupt Flag */ + __IOM uint32_t T3nAMIFLAG : 1; /*!< [2..2] TIMER3n A-ch Match Interrupt Flag */ + __IOM uint32_t T3nPMIFLAG : 1; /*!< [3..3] TIMER3n Period Match Interrupt Flag */ + __IOM uint32_t T3nBTIFLAG : 1; /*!< [4..4] TIMER3n Bottom Interrupt Flag */ + __IOM uint32_t T3nCIFLAG : 1; /*!< [5..5] TIMER3n Capture Interrupt Flag */ + __IOM uint32_t HIZIFLAG : 1; /*!< [6..6] TIMER3n Output High-Impedance Interrupt Flag */ + } INTFLAG_b; + } ; + + union { + __IOM uint32_t HIZCR; /*!< (@ 0x00000030) TIMER3n High-Impedance Control Register */ + + struct { + __IOM uint32_t HIZCLR : 1; /*!< [0..0] High-Impedance Output Clear */ + __IM uint32_t HIZSTA : 1; /*!< [1..1] High-Impedance Status */ + __IOM uint32_t HEDGE : 1; /*!< [2..2] High-Impedance Edge Selection */ + __IM uint32_t : 1; + __IOM uint32_t HIZSW : 1; /*!< [4..4] High-Impedance Output Software Setting */ + __IM uint32_t : 2; + __IOM uint32_t HIZEN : 1; /*!< [7..7] PWM3nxA/PWM3nxB Output High-Impedance Enable */ + } HIZCR_b; + } ; + + union { + __IOM uint32_t ADTCR; /*!< (@ 0x00000034) TIMER3n ADC Trigger Control Register */ + + struct { + __IOM uint32_t T3nCMTG : 1; /*!< [0..0] Select TIMER3n C-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nBMTG : 1; /*!< [1..1] Select TIMER3n B-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nAMTG : 1; /*!< [2..2] Select TIMER3n A-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nPMTG : 1; /*!< [3..3] Select TIMER3n Period Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nBTTG : 1; /*!< [4..4] Select TIMER3n Bottom for ADC Trigger Signal Generator. */ + } ADTCR_b; + } ; + + union { + __IOM uint32_t ADTDR; /*!< (@ 0x00000038) TIMER3n ADC Trigger Generator Data Register */ + + struct { + __IOM uint32_t ADTDATA : 14; /*!< [13..0] TIMER3n ADC Trigger Generation Data */ + } ADTDR_b; + } ; +} TIMER30_Type; /*!< Size = 60 (0x3c) */ + + + +/* =========================================================================================================================== */ +/* ================ ADC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief 12 Bit A/D Converter (ADC) + */ + +typedef struct { /*!< (@ 0x40003000) ADC Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) A/D Converter Control Register */ + + struct { + __IOM uint32_t ADSEL : 4; /*!< [3..0] A/D Converter Channel Selection */ + __IOM uint32_t ADCIFLAG : 1; /*!< [4..4] ADC Interrupt Flag */ + __IOM uint32_t ADCIEN : 1; /*!< [5..5] ADC Interrupt Enable */ + __IM uint32_t : 2; + __IOM uint32_t ADST : 1; /*!< [8..8] ADC Conversion Start */ + __IM uint32_t : 1; + __IOM uint32_t REFSEL : 1; /*!< [10..10] ADC Reference Selection */ + __IOM uint32_t TRIG : 3; /*!< [13..11] ADC Trigger Signal Selection */ + __IM uint32_t : 1; + __IOM uint32_t ADCEN : 1; /*!< [15..15] ADC Module Enable */ + } CR_b; + } ; + + union { + __IM uint32_t DR; /*!< (@ 0x00000004) A/D Converter Data Register */ + + struct { + __IM uint32_t ADDATA : 12; /*!< [11..0] A/D Converter Result Data */ + } DR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000008) A/D Converter Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 5; /*!< [4..0] A/D Converter Prescaler Data */ + } PREDR_b; + } ; +} ADC_Type; /*!< Size = 12 (0xc) */ + + + +/* =========================================================================================================================== */ +/* ================ USART1n ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 1n (USART + SPI) (USART1n) + */ + +typedef struct { /*!< (@ 0x54000000) USART1n Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART1n_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ USART10 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 10 (USART + SPI) (USART10) + */ + +typedef struct { /*!< (@ 0x40003800) USART10 Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART10_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ USART11 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 11 (USART + SPI) (USART11) + */ + +typedef struct { /*!< (@ 0x40003900) USART11 Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART11_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ USART12 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 12 (USART + SPI) (USART12) + */ + +typedef struct { /*!< (@ 0x40003A00) USART12 Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART12_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ USART13 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 13 (USART + SPI) (USART13) + */ + +typedef struct { /*!< (@ 0x40003B00) USART13 Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART13_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ UARTn ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief UART n (UARTn) + */ + +typedef struct { /*!< (@ 0x55000000) UARTn Structure */ + + union { + union { + __IM uint32_t RBR; /*!< (@ 0x00000000) UARTn Receive Data Buffer Register */ + + struct { + __IM uint32_t RBR : 8; /*!< [7..0] UARTn Receive Data Buffer */ + } RBR_b; + } ; + + union { + __OM uint32_t THR; /*!< (@ 0x00000000) UARTn Transmit Data Hold Register */ + + struct { + __OM uint32_t THR : 8; /*!< [7..0] UARTn Transmit Data Hold */ + } THR_b; + } ; + }; + + union { + __IOM uint32_t IER; /*!< (@ 0x00000004) UARTn Interrupt Enable Register */ + + struct { + __IOM uint32_t DRIE : 1; /*!< [0..0] Data Receive Interrupt Enable */ + __IOM uint32_t THREIE : 1; /*!< [1..1] Transmit Holding Register Empty Interrupt Enable */ + __IOM uint32_t RLSIE : 1; /*!< [2..2] Receiver Line Status Interrupt Enable */ + __IOM uint32_t TXEIE : 1; /*!< [3..3] Transmit Empty Interrupt Enable */ + } IER_b; + } ; + + union { + __IM uint32_t IIR; /*!< (@ 0x00000008) UARTn Interrupt ID Register */ + + struct { + __IM uint32_t IPEN : 1; /*!< [0..0] Interrupt Pending */ + __IM uint32_t IID : 2; /*!< [2..1] UARTn Interrupt ID */ + __IM uint32_t : 1; + __IM uint32_t TXE : 1; /*!< [4..4] Transmit Complete Interrupt Source ID */ + } IIR_b; + } ; + + union { + __IOM uint32_t LCR; /*!< (@ 0x0000000C) UARTn Line Control Register */ + + struct { + __IOM uint32_t DLEN : 2; /*!< [1..0] Data Length Selection */ + __IOM uint32_t STOPBIT : 1; /*!< [2..2] Stop Bit Length Selection */ + __IOM uint32_t PEN : 1; /*!< [3..3] Parity Bit Transfer Enable */ + __IOM uint32_t PARITY : 1; /*!< [4..4] Parity Mode and Parity Stuck Selection */ + __IOM uint32_t STICKP : 1; /*!< [5..5] Force Parity */ + __IOM uint32_t BREAK : 1; /*!< [6..6] Transfer Break Control */ + } LCR_b; + } ; + + union { + __IOM uint32_t DCR; /*!< (@ 0x00000010) UARTn Data Control Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t TXINV : 1; /*!< [2..2] Transmit Data Inversion Selection */ + __IOM uint32_t RXINV : 1; /*!< [3..3] Receive Data Inversion Selection */ + __IOM uint32_t LBON : 1; /*!< [4..4] Local Loopback Test Mode Enable */ + } DCR_b; + } ; + + union { + __IM uint32_t LSR; /*!< (@ 0x00000014) UARTn Line Status Register */ + + struct { + __IM uint32_t DR : 1; /*!< [0..0] Data Receive Indicator */ + __IM uint32_t OE : 1; /*!< [1..1] Overrun Error Indicator */ + __IM uint32_t PE : 1; /*!< [2..2] Parity Error Indicator */ + __IM uint32_t FE : 1; /*!< [3..3] Frame Error Indicator */ + __IM uint32_t BI : 1; /*!< [4..4] Break Condition Indication */ + __IM uint32_t THRE : 1; /*!< [5..5] Transmit Hold Register Empty */ + __IM uint32_t TEMT : 1; /*!< [6..6] Transmit Register Empty */ + } LSR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000020) UARTn Baud Rate Divisor Latch Register */ + + struct { + __IOM uint32_t BDR : 16; /*!< [15..0] Baud Rate Divider Latch Value */ + } BDR_b; + } ; + + union { + __IOM uint32_t BFR; /*!< (@ 0x00000024) UARTn Baud Rate Fractional Counter Value */ + + struct { + __IOM uint32_t BFR : 8; /*!< [7..0] Fraction Counter value */ + } BFR_b; + } ; + __IM uint32_t RESERVED1[2]; + + union { + __IOM uint32_t IDTR; /*!< (@ 0x00000030) UARTn Inter-frame Delay Time Register */ + + struct { + __IOM uint32_t WAITVAL : 3; /*!< [2..0] Wait Time Value */ + __IM uint32_t : 3; + __IOM uint32_t DMS : 1; /*!< [6..6] Data Bit Multi Sampling Enable */ + __IOM uint32_t SMS : 1; /*!< [7..7] Start Bit Multi Sampling Enable */ + } IDTR_b; + } ; +} UARTn_Type; /*!< Size = 52 (0x34) */ + + + +/* =========================================================================================================================== */ +/* ================ UART0 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief UART 0 (UART0) + */ + +typedef struct { /*!< (@ 0x40004000) UART0 Structure */ + + union { + union { + __IM uint32_t RBR; /*!< (@ 0x00000000) UARTn Receive Data Buffer Register */ + + struct { + __IM uint32_t RBR : 8; /*!< [7..0] UARTn Receive Data Buffer */ + } RBR_b; + } ; + + union { + __OM uint32_t THR; /*!< (@ 0x00000000) UARTn Transmit Data Hold Register */ + + struct { + __OM uint32_t THR : 8; /*!< [7..0] UARTn Transmit Data Hold */ + } THR_b; + } ; + }; + + union { + __IOM uint32_t IER; /*!< (@ 0x00000004) UARTn Interrupt Enable Register */ + + struct { + __IOM uint32_t DRIE : 1; /*!< [0..0] Data Receive Interrupt Enable */ + __IOM uint32_t THREIE : 1; /*!< [1..1] Transmit Holding Register Empty Interrupt Enable */ + __IOM uint32_t RLSIE : 1; /*!< [2..2] Receiver Line Status Interrupt Enable */ + __IOM uint32_t TXEIE : 1; /*!< [3..3] Transmit Empty Interrupt Enable */ + } IER_b; + } ; + + union { + __IM uint32_t IIR; /*!< (@ 0x00000008) UARTn Interrupt ID Register */ + + struct { + __IM uint32_t IPEN : 1; /*!< [0..0] Interrupt Pending */ + __IM uint32_t IID : 2; /*!< [2..1] UARTn Interrupt ID */ + __IM uint32_t : 1; + __IM uint32_t TXE : 1; /*!< [4..4] Transmit Complete Interrupt Source ID */ + } IIR_b; + } ; + + union { + __IOM uint32_t LCR; /*!< (@ 0x0000000C) UARTn Line Control Register */ + + struct { + __IOM uint32_t DLEN : 2; /*!< [1..0] Data Length Selection */ + __IOM uint32_t STOPBIT : 1; /*!< [2..2] Stop Bit Length Selection */ + __IOM uint32_t PEN : 1; /*!< [3..3] Parity Bit Transfer Enable */ + __IOM uint32_t PARITY : 1; /*!< [4..4] Parity Mode and Parity Stuck Selection */ + __IOM uint32_t STICKP : 1; /*!< [5..5] Force Parity */ + __IOM uint32_t BREAK : 1; /*!< [6..6] Transfer Break Control */ + } LCR_b; + } ; + + union { + __IOM uint32_t DCR; /*!< (@ 0x00000010) UARTn Data Control Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t TXINV : 1; /*!< [2..2] Transmit Data Inversion Selection */ + __IOM uint32_t RXINV : 1; /*!< [3..3] Receive Data Inversion Selection */ + __IOM uint32_t LBON : 1; /*!< [4..4] Local Loopback Test Mode Enable */ + } DCR_b; + } ; + + union { + __IM uint32_t LSR; /*!< (@ 0x00000014) UARTn Line Status Register */ + + struct { + __IM uint32_t DR : 1; /*!< [0..0] Data Receive Indicator */ + __IM uint32_t OE : 1; /*!< [1..1] Overrun Error Indicator */ + __IM uint32_t PE : 1; /*!< [2..2] Parity Error Indicator */ + __IM uint32_t FE : 1; /*!< [3..3] Frame Error Indicator */ + __IM uint32_t BI : 1; /*!< [4..4] Break Condition Indication */ + __IM uint32_t THRE : 1; /*!< [5..5] Transmit Hold Register Empty */ + __IM uint32_t TEMT : 1; /*!< [6..6] Transmit Register Empty */ + } LSR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000020) UARTn Baud Rate Divisor Latch Register */ + + struct { + __IOM uint32_t BDR : 16; /*!< [15..0] Baud Rate Divider Latch Value */ + } BDR_b; + } ; + + union { + __IOM uint32_t BFR; /*!< (@ 0x00000024) UARTn Baud Rate Fractional Counter Value */ + + struct { + __IOM uint32_t BFR : 8; /*!< [7..0] Fraction Counter value */ + } BFR_b; + } ; + __IM uint32_t RESERVED1[2]; + + union { + __IOM uint32_t IDTR; /*!< (@ 0x00000030) UARTn Inter-frame Delay Time Register */ + + struct { + __IOM uint32_t WAITVAL : 3; /*!< [2..0] Wait Time Value */ + __IM uint32_t : 3; + __IOM uint32_t DMS : 1; /*!< [6..6] Data Bit Multi Sampling Enable */ + __IOM uint32_t SMS : 1; /*!< [7..7] Start Bit Multi Sampling Enable */ + } IDTR_b; + } ; +} UART0_Type; /*!< Size = 52 (0x34) */ + + + +/* =========================================================================================================================== */ +/* ================ UART1 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief UART 1 (UART1) + */ + +typedef struct { /*!< (@ 0x40004100) UART1 Structure */ + + union { + union { + __IM uint32_t RBR; /*!< (@ 0x00000000) UARTn Receive Data Buffer Register */ + + struct { + __IM uint32_t RBR : 8; /*!< [7..0] UARTn Receive Data Buffer */ + } RBR_b; + } ; + + union { + __OM uint32_t THR; /*!< (@ 0x00000000) UARTn Transmit Data Hold Register */ + + struct { + __OM uint32_t THR : 8; /*!< [7..0] UARTn Transmit Data Hold */ + } THR_b; + } ; + }; + + union { + __IOM uint32_t IER; /*!< (@ 0x00000004) UARTn Interrupt Enable Register */ + + struct { + __IOM uint32_t DRIE : 1; /*!< [0..0] Data Receive Interrupt Enable */ + __IOM uint32_t THREIE : 1; /*!< [1..1] Transmit Holding Register Empty Interrupt Enable */ + __IOM uint32_t RLSIE : 1; /*!< [2..2] Receiver Line Status Interrupt Enable */ + __IOM uint32_t TXEIE : 1; /*!< [3..3] Transmit Empty Interrupt Enable */ + } IER_b; + } ; + + union { + __IM uint32_t IIR; /*!< (@ 0x00000008) UARTn Interrupt ID Register */ + + struct { + __IM uint32_t IPEN : 1; /*!< [0..0] Interrupt Pending */ + __IM uint32_t IID : 2; /*!< [2..1] UARTn Interrupt ID */ + __IM uint32_t : 1; + __IM uint32_t TXE : 1; /*!< [4..4] Transmit Complete Interrupt Source ID */ + } IIR_b; + } ; + + union { + __IOM uint32_t LCR; /*!< (@ 0x0000000C) UARTn Line Control Register */ + + struct { + __IOM uint32_t DLEN : 2; /*!< [1..0] Data Length Selection */ + __IOM uint32_t STOPBIT : 1; /*!< [2..2] Stop Bit Length Selection */ + __IOM uint32_t PEN : 1; /*!< [3..3] Parity Bit Transfer Enable */ + __IOM uint32_t PARITY : 1; /*!< [4..4] Parity Mode and Parity Stuck Selection */ + __IOM uint32_t STICKP : 1; /*!< [5..5] Force Parity */ + __IOM uint32_t BREAK : 1; /*!< [6..6] Transfer Break Control */ + } LCR_b; + } ; + + union { + __IOM uint32_t DCR; /*!< (@ 0x00000010) UARTn Data Control Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t TXINV : 1; /*!< [2..2] Transmit Data Inversion Selection */ + __IOM uint32_t RXINV : 1; /*!< [3..3] Receive Data Inversion Selection */ + __IOM uint32_t LBON : 1; /*!< [4..4] Local Loopback Test Mode Enable */ + } DCR_b; + } ; + + union { + __IM uint32_t LSR; /*!< (@ 0x00000014) UARTn Line Status Register */ + + struct { + __IM uint32_t DR : 1; /*!< [0..0] Data Receive Indicator */ + __IM uint32_t OE : 1; /*!< [1..1] Overrun Error Indicator */ + __IM uint32_t PE : 1; /*!< [2..2] Parity Error Indicator */ + __IM uint32_t FE : 1; /*!< [3..3] Frame Error Indicator */ + __IM uint32_t BI : 1; /*!< [4..4] Break Condition Indication */ + __IM uint32_t THRE : 1; /*!< [5..5] Transmit Hold Register Empty */ + __IM uint32_t TEMT : 1; /*!< [6..6] Transmit Register Empty */ + } LSR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000020) UARTn Baud Rate Divisor Latch Register */ + + struct { + __IOM uint32_t BDR : 16; /*!< [15..0] Baud Rate Divider Latch Value */ + } BDR_b; + } ; + + union { + __IOM uint32_t BFR; /*!< (@ 0x00000024) UARTn Baud Rate Fractional Counter Value */ + + struct { + __IOM uint32_t BFR : 8; /*!< [7..0] Fraction Counter value */ + } BFR_b; + } ; + __IM uint32_t RESERVED1[2]; + + union { + __IOM uint32_t IDTR; /*!< (@ 0x00000030) UARTn Inter-frame Delay Time Register */ + + struct { + __IOM uint32_t WAITVAL : 3; /*!< [2..0] Wait Time Value */ + __IM uint32_t : 3; + __IOM uint32_t DMS : 1; /*!< [6..6] Data Bit Multi Sampling Enable */ + __IOM uint32_t SMS : 1; /*!< [7..7] Start Bit Multi Sampling Enable */ + } IDTR_b; + } ; +} UART1_Type; /*!< Size = 52 (0x34) */ + + + +/* =========================================================================================================================== */ +/* ================ I2Cn ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief I2C n (I2Cn) + */ + +typedef struct { /*!< (@ 0x56000000) I2Cn Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) I2Cn Control Register */ + + struct { + __IOM uint32_t STARTCn : 1; /*!< [0..0] START Condition Generation when I2Cn is master */ + __IOM uint32_t STOPCn : 1; /*!< [1..1] STOP Condition Generation when I2Cn is master */ + __IM uint32_t IMASTERn : 1; /*!< [2..2] Represent Operation Mode of I2Cn */ + __IOM uint32_t ACKnEN : 1; /*!< [3..3] Controls ACK signal generation at ninth SCL period */ + __IOM uint32_t I2CnIFLAG : 1; /*!< [4..4] I2Cn Interrupt Flag */ + __IOM uint32_t I2CnIEN : 1; /*!< [5..5] I2Cn Interrupt Enable */ + __IOM uint32_t TXDLYENBn : 1; /*!< [6..6] SDHR Register Control */ + __IOM uint32_t I2CnEN : 1; /*!< [7..7] Activate I2Cn Block by supplying */ + } CR_b; + } ; + + union { + __IOM uint32_t ST; /*!< (@ 0x00000004) I2Cn Status Register */ + + struct { + __IOM uint32_t RXACKn : 1; /*!< [0..0] This bit shows the state of ACK signal */ + __IM uint32_t TMODEn : 1; /*!< [1..1] This bit is used to indicate whether I2C is transmitter + or receiver */ + __IOM uint32_t BUSYn : 1; /*!< [2..2] This bit reflects bus status */ + __IOM uint32_t MLOSTn : 1; /*!< [3..3] This bit represents the result of bus arbitration in + master mode */ + __IOM uint32_t SSELn : 1; /*!< [4..4] This bit is set when I2C is addressed by other master */ + __IOM uint32_t STOPDn : 1; /*!< [5..5] This bit is set when a STOP condition is detected */ + __IOM uint32_t TENDn : 1; /*!< [6..6] This bit is set when 1-byte of data is transferred completely */ + __IOM uint32_t GCALLn : 1; /*!< [7..7] This bit has different meaning depending on whether I2C + is master or slave. When I2C is a master, this bit represents + whether it received AACK (address ACK) from slave. When + I2C is a slave, this bit is used to indicate general call. */ + } ST_b; + } ; + + union { + __IOM uint32_t SAR1; /*!< (@ 0x00000008) I2Cn Slave Address Register 1 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 1 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 1 in slave mode */ + } SAR1_b; + } ; + + union { + __IOM uint32_t SAR2; /*!< (@ 0x0000000C) I2Cn Slave Address Register 2 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 2 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 2 in slave mode */ + } SAR2_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000010) I2Cn Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The DR Transmit buffer and Receive buffer share the same + I/O address with this DATA register */ + } DR_b; + } ; + + union { + __IOM uint32_t SDHR; /*!< (@ 0x00000014) I2Cn SDA Hold Time Register */ + + struct { + __IOM uint32_t HLDT : 12; /*!< [11..0] This register is used to control SDA output timing from + the falling edge of SCL */ + } SDHR_b; + } ; + + union { + __IOM uint32_t SCLR; /*!< (@ 0x00000018) I2Cn SCL Low Period Register */ + + struct { + __IOM uint32_t SCLL : 12; /*!< [11..0] This register defines the low period of SCL in master + mode */ + } SCLR_b; + } ; + + union { + __IOM uint32_t SCHR; /*!< (@ 0x0000001C) I2Cn SCL High Period Register */ + + struct { + __IOM uint32_t SCLH : 12; /*!< [11..0] This register defines the high period of SCL in master + mode */ + } SCHR_b; + } ; +} I2Cn_Type; /*!< Size = 32 (0x20) */ + + + +/* =========================================================================================================================== */ +/* ================ I2C0 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief I2C 0 (I2C0) + */ + +typedef struct { /*!< (@ 0x40004800) I2C0 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) I2Cn Control Register */ + + struct { + __IOM uint32_t STARTCn : 1; /*!< [0..0] START Condition Generation when I2Cn is master */ + __IOM uint32_t STOPCn : 1; /*!< [1..1] STOP Condition Generation when I2Cn is master */ + __IM uint32_t IMASTERn : 1; /*!< [2..2] Represent Operation Mode of I2Cn */ + __IOM uint32_t ACKnEN : 1; /*!< [3..3] Controls ACK signal generation at ninth SCL period */ + __IOM uint32_t I2CnIFLAG : 1; /*!< [4..4] I2Cn Interrupt Flag */ + __IOM uint32_t I2CnIEN : 1; /*!< [5..5] I2Cn Interrupt Enable */ + __IOM uint32_t TXDLYENBn : 1; /*!< [6..6] SDHR Register Control */ + __IOM uint32_t I2CnEN : 1; /*!< [7..7] Activate I2Cn Block by supplying */ + } CR_b; + } ; + + union { + __IOM uint32_t ST; /*!< (@ 0x00000004) I2Cn Status Register */ + + struct { + __IOM uint32_t RXACKn : 1; /*!< [0..0] This bit shows the state of ACK signal */ + __IM uint32_t TMODEn : 1; /*!< [1..1] This bit is used to indicate whether I2C is transmitter + or receiver */ + __IOM uint32_t BUSYn : 1; /*!< [2..2] This bit reflects bus status */ + __IOM uint32_t MLOSTn : 1; /*!< [3..3] This bit represents the result of bus arbitration in + master mode */ + __IOM uint32_t SSELn : 1; /*!< [4..4] This bit is set when I2C is addressed by other master */ + __IOM uint32_t STOPDn : 1; /*!< [5..5] This bit is set when a STOP condition is detected */ + __IOM uint32_t TENDn : 1; /*!< [6..6] This bit is set when 1-byte of data is transferred completely */ + __IOM uint32_t GCALLn : 1; /*!< [7..7] This bit has different meaning depending on whether I2C + is master or slave. When I2C is a master, this bit represents + whether it received AACK (address ACK) from slave. When + I2C is a slave, this bit is used to indicate general call. */ + } ST_b; + } ; + + union { + __IOM uint32_t SAR1; /*!< (@ 0x00000008) I2Cn Slave Address Register 1 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 1 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 1 in slave mode */ + } SAR1_b; + } ; + + union { + __IOM uint32_t SAR2; /*!< (@ 0x0000000C) I2Cn Slave Address Register 2 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 2 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 2 in slave mode */ + } SAR2_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000010) I2Cn Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The DR Transmit buffer and Receive buffer share the same + I/O address with this DATA register */ + } DR_b; + } ; + + union { + __IOM uint32_t SDHR; /*!< (@ 0x00000014) I2Cn SDA Hold Time Register */ + + struct { + __IOM uint32_t HLDT : 12; /*!< [11..0] This register is used to control SDA output timing from + the falling edge of SCL */ + } SDHR_b; + } ; + + union { + __IOM uint32_t SCLR; /*!< (@ 0x00000018) I2Cn SCL Low Period Register */ + + struct { + __IOM uint32_t SCLL : 12; /*!< [11..0] This register defines the low period of SCL in master + mode */ + } SCLR_b; + } ; + + union { + __IOM uint32_t SCHR; /*!< (@ 0x0000001C) I2Cn SCL High Period Register */ + + struct { + __IOM uint32_t SCLH : 12; /*!< [11..0] This register defines the high period of SCL in master + mode */ + } SCHR_b; + } ; +} I2C0_Type; /*!< Size = 32 (0x20) */ + + + +/* =========================================================================================================================== */ +/* ================ I2C1 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief I2C 1 (I2C1) + */ + +typedef struct { /*!< (@ 0x40004900) I2C1 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) I2Cn Control Register */ + + struct { + __IOM uint32_t STARTCn : 1; /*!< [0..0] START Condition Generation when I2Cn is master */ + __IOM uint32_t STOPCn : 1; /*!< [1..1] STOP Condition Generation when I2Cn is master */ + __IM uint32_t IMASTERn : 1; /*!< [2..2] Represent Operation Mode of I2Cn */ + __IOM uint32_t ACKnEN : 1; /*!< [3..3] Controls ACK signal generation at ninth SCL period */ + __IOM uint32_t I2CnIFLAG : 1; /*!< [4..4] I2Cn Interrupt Flag */ + __IOM uint32_t I2CnIEN : 1; /*!< [5..5] I2Cn Interrupt Enable */ + __IOM uint32_t TXDLYENBn : 1; /*!< [6..6] SDHR Register Control */ + __IOM uint32_t I2CnEN : 1; /*!< [7..7] Activate I2Cn Block by supplying */ + } CR_b; + } ; + + union { + __IOM uint32_t ST; /*!< (@ 0x00000004) I2Cn Status Register */ + + struct { + __IOM uint32_t RXACKn : 1; /*!< [0..0] This bit shows the state of ACK signal */ + __IM uint32_t TMODEn : 1; /*!< [1..1] This bit is used to indicate whether I2C is transmitter + or receiver */ + __IOM uint32_t BUSYn : 1; /*!< [2..2] This bit reflects bus status */ + __IOM uint32_t MLOSTn : 1; /*!< [3..3] This bit represents the result of bus arbitration in + master mode */ + __IOM uint32_t SSELn : 1; /*!< [4..4] This bit is set when I2C is addressed by other master */ + __IOM uint32_t STOPDn : 1; /*!< [5..5] This bit is set when a STOP condition is detected */ + __IOM uint32_t TENDn : 1; /*!< [6..6] This bit is set when 1-byte of data is transferred completely */ + __IOM uint32_t GCALLn : 1; /*!< [7..7] This bit has different meaning depending on whether I2C + is master or slave. When I2C is a master, this bit represents + whether it received AACK (address ACK) from slave. When + I2C is a slave, this bit is used to indicate general call. */ + } ST_b; + } ; + + union { + __IOM uint32_t SAR1; /*!< (@ 0x00000008) I2Cn Slave Address Register 1 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 1 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 1 in slave mode */ + } SAR1_b; + } ; + + union { + __IOM uint32_t SAR2; /*!< (@ 0x0000000C) I2Cn Slave Address Register 2 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 2 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 2 in slave mode */ + } SAR2_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000010) I2Cn Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The DR Transmit buffer and Receive buffer share the same + I/O address with this DATA register */ + } DR_b; + } ; + + union { + __IOM uint32_t SDHR; /*!< (@ 0x00000014) I2Cn SDA Hold Time Register */ + + struct { + __IOM uint32_t HLDT : 12; /*!< [11..0] This register is used to control SDA output timing from + the falling edge of SCL */ + } SDHR_b; + } ; + + union { + __IOM uint32_t SCLR; /*!< (@ 0x00000018) I2Cn SCL Low Period Register */ + + struct { + __IOM uint32_t SCLL : 12; /*!< [11..0] This register defines the low period of SCL in master + mode */ + } SCLR_b; + } ; + + union { + __IOM uint32_t SCHR; /*!< (@ 0x0000001C) I2Cn SCL High Period Register */ + + struct { + __IOM uint32_t SCLH : 12; /*!< [11..0] This register defines the high period of SCL in master + mode */ + } SCHR_b; + } ; +} I2C1_Type; /*!< Size = 32 (0x20) */ + + + +/* =========================================================================================================================== */ +/* ================ I2C2 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief I2C 2 (I2C2) + */ + +typedef struct { /*!< (@ 0x40004A00) I2C2 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) I2Cn Control Register */ + + struct { + __IOM uint32_t STARTCn : 1; /*!< [0..0] START Condition Generation when I2Cn is master */ + __IOM uint32_t STOPCn : 1; /*!< [1..1] STOP Condition Generation when I2Cn is master */ + __IM uint32_t IMASTERn : 1; /*!< [2..2] Represent Operation Mode of I2Cn */ + __IOM uint32_t ACKnEN : 1; /*!< [3..3] Controls ACK signal generation at ninth SCL period */ + __IOM uint32_t I2CnIFLAG : 1; /*!< [4..4] I2Cn Interrupt Flag */ + __IOM uint32_t I2CnIEN : 1; /*!< [5..5] I2Cn Interrupt Enable */ + __IOM uint32_t TXDLYENBn : 1; /*!< [6..6] SDHR Register Control */ + __IOM uint32_t I2CnEN : 1; /*!< [7..7] Activate I2Cn Block by supplying */ + } CR_b; + } ; + + union { + __IOM uint32_t ST; /*!< (@ 0x00000004) I2Cn Status Register */ + + struct { + __IOM uint32_t RXACKn : 1; /*!< [0..0] This bit shows the state of ACK signal */ + __IM uint32_t TMODEn : 1; /*!< [1..1] This bit is used to indicate whether I2C is transmitter + or receiver */ + __IOM uint32_t BUSYn : 1; /*!< [2..2] This bit reflects bus status */ + __IOM uint32_t MLOSTn : 1; /*!< [3..3] This bit represents the result of bus arbitration in + master mode */ + __IOM uint32_t SSELn : 1; /*!< [4..4] This bit is set when I2C is addressed by other master */ + __IOM uint32_t STOPDn : 1; /*!< [5..5] This bit is set when a STOP condition is detected */ + __IOM uint32_t TENDn : 1; /*!< [6..6] This bit is set when 1-byte of data is transferred completely */ + __IOM uint32_t GCALLn : 1; /*!< [7..7] This bit has different meaning depending on whether I2C + is master or slave. When I2C is a master, this bit represents + whether it received AACK (address ACK) from slave. When + I2C is a slave, this bit is used to indicate general call. */ + } ST_b; + } ; + + union { + __IOM uint32_t SAR1; /*!< (@ 0x00000008) I2Cn Slave Address Register 1 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 1 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 1 in slave mode */ + } SAR1_b; + } ; + + union { + __IOM uint32_t SAR2; /*!< (@ 0x0000000C) I2Cn Slave Address Register 2 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 2 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 2 in slave mode */ + } SAR2_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000010) I2Cn Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The DR Transmit buffer and Receive buffer share the same + I/O address with this DATA register */ + } DR_b; + } ; + + union { + __IOM uint32_t SDHR; /*!< (@ 0x00000014) I2Cn SDA Hold Time Register */ + + struct { + __IOM uint32_t HLDT : 12; /*!< [11..0] This register is used to control SDA output timing from + the falling edge of SCL */ + } SDHR_b; + } ; + + union { + __IOM uint32_t SCLR; /*!< (@ 0x00000018) I2Cn SCL Low Period Register */ + + struct { + __IOM uint32_t SCLL : 12; /*!< [11..0] This register defines the low period of SCL in master + mode */ + } SCLR_b; + } ; + + union { + __IOM uint32_t SCHR; /*!< (@ 0x0000001C) I2Cn SCL High Period Register */ + + struct { + __IOM uint32_t SCLH : 12; /*!< [11..0] This register defines the high period of SCL in master + mode */ + } SCHR_b; + } ; +} I2C2_Type; /*!< Size = 32 (0x20) */ + + + +/* =========================================================================================================================== */ +/* ================ LCD ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief LCD Driver (LCD) + */ + +typedef struct { /*!< (@ 0x40005000) LCD Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) LCD Driver Control Register */ + + struct { + __IOM uint32_t DISP : 1; /*!< [0..0] LCD Display Control */ + __IOM uint32_t LCLK : 2; /*!< [2..1] LCD Clock Selection (When fLCD = 32.768kHz) */ + __IOM uint32_t DBS : 3; /*!< [5..3] LCD Duty and Bias Selection */ + __IOM uint32_t IRSEL : 2; /*!< [7..6] Internal LCD Bias Dividing Resistor Selection */ + } CR_b; + } ; + + union { + __IOM uint32_t BCCR; /*!< (@ 0x00000004) LCD Automatic Bias and Contrast Control Register */ + + struct { + __IOM uint32_t VLCD : 4; /*!< [3..0] VLC0 Voltage Control when the contrast is enabled */ + __IM uint32_t : 1; + __IOM uint32_t LCTEN : 1; /*!< [5..5] LCD Driver Contrast Control */ + __IM uint32_t : 2; + __IOM uint32_t BMSEL : 3; /*!< [10..8] 'Bias Mode A' Time Selection */ + __IM uint32_t : 1; + __IOM uint32_t LCDABC : 1; /*!< [12..12] LCD Automatic Bias Control */ + } BCCR_b; + } ; + __IM uint32_t RESERVED[2]; + __IOM uint8_t DR0; /*!< (@ 0x00000010) LCD Display Data Register 0 */ + __IOM uint8_t DR1; /*!< (@ 0x00000011) LCD Display Data Register 1 */ + __IOM uint8_t DR2; /*!< (@ 0x00000012) LCD Display Data Register 2 */ + __IOM uint8_t DR3; /*!< (@ 0x00000013) LCD Display Data Register 3 */ + __IOM uint8_t DR4; /*!< (@ 0x00000014) LCD Display Data Register 4 */ + __IOM uint8_t DR5; /*!< (@ 0x00000015) LCD Display Data Register 5 */ + __IOM uint8_t DR6; /*!< (@ 0x00000016) LCD Display Data Register 6 */ + __IOM uint8_t DR7; /*!< (@ 0x00000017) LCD Display Data Register 7 */ + __IOM uint8_t DR8; /*!< (@ 0x00000018) LCD Display Data Register 8 */ + __IOM uint8_t DR9; /*!< (@ 0x00000019) LCD Display Data Register 9 */ + __IOM uint8_t DR10; /*!< (@ 0x0000001A) LCD Display Data Register 10 */ + __IOM uint8_t DR11; /*!< (@ 0x0000001B) LCD Display Data Register 11 */ + __IOM uint8_t DR12; /*!< (@ 0x0000001C) LCD Display Data Register 12 */ + __IOM uint8_t DR13; /*!< (@ 0x0000001D) LCD Display Data Register 13 */ + __IOM uint8_t DR14; /*!< (@ 0x0000001E) LCD Display Data Register 14 */ + __IOM uint8_t DR15; /*!< (@ 0x0000001F) LCD Display Data Register 15 */ + __IOM uint8_t DR16; /*!< (@ 0x00000020) LCD Display Data Register 16 */ + __IOM uint8_t DR17; /*!< (@ 0x00000021) LCD Display Data Register 17 */ + __IOM uint8_t DR18; /*!< (@ 0x00000022) LCD Display Data Register 18 */ + __IOM uint8_t DR19; /*!< (@ 0x00000023) LCD Display Data Register 19 */ + __IOM uint8_t DR20; /*!< (@ 0x00000024) LCD Display Data Register 20 */ + __IOM uint8_t DR21; /*!< (@ 0x00000025) LCD Display Data Register 21 */ + __IOM uint8_t DR22; /*!< (@ 0x00000026) LCD Display Data Register 22 */ + __IOM uint8_t DR23; /*!< (@ 0x00000027) LCD Display Data Register 23 */ + __IOM uint8_t DR24; /*!< (@ 0x00000028) LCD Display Data Register 24 */ + __IOM uint8_t DR25; /*!< (@ 0x00000029) LCD Display Data Register 25 */ + __IOM uint8_t DR26; /*!< (@ 0x0000002A) LCD Display Data Register 26 */ + __IOM uint8_t DR27; /*!< (@ 0x0000002B) LCD Display Data Register 27 */ + __IOM uint8_t DR28; /*!< (@ 0x0000002C) LCD Display Data Register 28 */ + __IOM uint8_t DR29; /*!< (@ 0x0000002D) LCD Display Data Register 29 */ + __IOM uint8_t DR30; /*!< (@ 0x0000002E) LCD Display Data Register 30 */ + __IOM uint8_t DR31; /*!< (@ 0x0000002F) LCD Display Data Register 31 */ + __IOM uint8_t DR32; /*!< (@ 0x00000030) LCD Display Data Register 32 */ + __IOM uint8_t DR33; /*!< (@ 0x00000031) LCD Display Data Register 33 */ + __IOM uint8_t DR34; /*!< (@ 0x00000032) LCD Display Data Register 34 */ + __IOM uint8_t DR35; /*!< (@ 0x00000033) LCD Display Data Register 35 */ + __IOM uint8_t DR36; /*!< (@ 0x00000034) LCD Display Data Register 36 */ + __IOM uint8_t DR37; /*!< (@ 0x00000035) LCD Display Data Register 37 */ + __IOM uint8_t DR38; /*!< (@ 0x00000036) LCD Display Data Register 38 */ + __IOM uint8_t DR39; /*!< (@ 0x00000037) LCD Display Data Register 39 */ + __IOM uint8_t DR40; /*!< (@ 0x00000038) LCD Display Data Register 40 */ + __IOM uint8_t DR41; /*!< (@ 0x00000039) LCD Display Data Register 41 */ + __IOM uint8_t DR42; /*!< (@ 0x0000003A) LCD Display Data Register 42 */ + __IOM uint8_t DR43; /*!< (@ 0x0000003B) LCD Display Data Register 43 */ +} LCD_Type; /*!< Size = 60 (0x3c) */ + + + +/* =========================================================================================================================== */ +/* ================ CRC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Cyclic Redundancy Check and Checksum (CRC) + */ + +typedef struct { /*!< (@ 0x30001000) CRC Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) CRC/Checksum Control Register */ + + struct { + __IOM uint32_t CRCRUN : 1; /*!< [0..0] CRC/Checksum Start Control and Busy */ + __IOM uint32_t FIRSTBS : 1; /*!< [1..1] First Shifted-in Selection (CRC only) */ + __IM uint32_t : 1; + __IOM uint32_t SARINC : 1; /*!< [3..3] CRC/Checksum Start Address Auto Increment Control (User + mode only) */ + __IOM uint32_t POLYS : 1; /*!< [4..4] Polynomial Selection (CRC only) */ + __IOM uint32_t MDSEL : 1; /*!< [5..5] CRC/Checksum Selection */ + __IOM uint32_t RLTCLR : 1; /*!< [6..6] CRC/Checksum Result Data Register (CRCRLT) Initialization */ + __IOM uint32_t MODS : 1; /*!< [7..7] User/Auto Mode Selection */ + } CR_b; + } ; + + union { + __IOM uint32_t IN; /*!< (@ 0x00000004) CRC/Checksum Input Data Register */ + + struct { + __IOM uint32_t INDATA : 32; /*!< [31..0] CRC Input Data */ + } IN_b; + } ; + + union { + __IM uint32_t RLT; /*!< (@ 0x00000008) CRC/Checksum Result Data Register */ + + struct { + __IM uint32_t RLTDATA : 16; /*!< [15..0] CRC Result Data */ + } RLT_b; + } ; + + union { + __IOM uint32_t INIT; /*!< (@ 0x0000000C) CRC/Checksum Initial Data Register */ + + struct { + __IOM uint32_t INIDATA : 16; /*!< [15..0] CRC Initial Data */ + } INIT_b; + } ; + + union { + __IOM uint32_t SADR; /*!< (@ 0x00000010) CRC/Checksum Start Address Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t SADR : 30; /*!< [31..2] CRC Start Address */ + } SADR_b; + } ; + + union { + __IOM uint32_t EADR; /*!< (@ 0x00000014) CRC/Checksum End Address Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t EADR : 30; /*!< [31..2] CRC End Address */ + } EADR_b; + } ; +} CRC_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ COA0 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Configuration Option Area Page 0: System Related Trimming Value (COA0) + */ + +typedef struct { /*!< (@ 0x1FFFF000) COA0 Structure */ + __IM uint32_t TRIM00; /*!< (@ 0x00000000) System Related Trim Value 00 */ + __IM uint32_t TRIM01; /*!< (@ 0x00000004) System Related Trim Value 01 */ + __IM uint32_t TRIM02; /*!< (@ 0x00000008) System Related Trim Value 02 */ + __IM uint32_t TRIM03; /*!< (@ 0x0000000C) System Related Trim Value 03 */ + __IM uint32_t TRIM04; /*!< (@ 0x00000010) System Related Trim Value 04 */ + __IM uint32_t TRIM05; /*!< (@ 0x00000014) System Related Trim Value 05 */ + __IM uint32_t TRIM06; /*!< (@ 0x00000018) System Related Trim Value 06 */ + __IM uint32_t TRIM07; /*!< (@ 0x0000001C) System Related Trim Value 07 */ + __IM uint32_t TRIM08; /*!< (@ 0x00000020) System Related Trim Value 08 */ + __IM uint32_t TRIM09; /*!< (@ 0x00000024) System Related Trim Value 09 */ + __IM uint32_t TRIM10; /*!< (@ 0x00000028) System Related Trim Value 10 */ + __IM uint32_t TRIM11; /*!< (@ 0x0000002C) System Related Trim Value 11 */ + __IM uint32_t TRIM12; /*!< (@ 0x00000030) System Related Trim Value 12 */ + __IM uint32_t TRIM13; /*!< (@ 0x00000034) System Related Trim Value 13 */ + __IM uint32_t TRIM14; /*!< (@ 0x00000038) System Related Trim Value 14 */ + __IM uint32_t TRIM15; /*!< (@ 0x0000003C) System Related Trim Value 15 */ + __IM uint32_t TRIM16; /*!< (@ 0x00000040) System Related Trim Value 16 */ + __IM uint32_t TRIM17; /*!< (@ 0x00000044) System Related Trim Value 17 */ + __IM uint32_t TRIM18; /*!< (@ 0x00000048) System Related Trim Value 18 */ + __IM uint32_t TRIM19; /*!< (@ 0x0000004C) System Related Trim Value 19 */ + + union { + __IM uint32_t CONF_MF1CNFIG; /*!< (@ 0x00000050) Manufacture Information 1 */ + + struct { + __IM uint32_t XYCDN : 32; /*!< [31..0] X and Y Coordinates */ + } CONF_MF1CNFIG_b; + } ; + + union { + __IM uint32_t CONF_MF2CNFIG; /*!< (@ 0x00000054) Manufacture Information 2 */ + + struct { + __IM uint32_t WAFNO : 8; /*!< [7..0] Wafer Number */ + __IM uint32_t LOTNO : 24; /*!< [31..8] Lot Number [23:0] */ + } CONF_MF2CNFIG_b; + } ; + + union { + __IM uint32_t CONF_MF3CNFIG; /*!< (@ 0x00000058) Manufacture Information 3 */ + + struct { + __IM uint32_t LOTNO : 32; /*!< [31..0] Lot Number [55:24] */ + } CONF_MF3CNFIG_b; + } ; + + union { + __IM uint32_t CONF_MF4CNFIG; /*!< (@ 0x0000005C) Manufacture Information 4 */ + + struct { + __IM uint32_t LOTNO : 32; /*!< [31..0] Lot Number [87:56] */ + } CONF_MF4CNFIG_b; + } ; + __IM uint32_t TRIM24; /*!< (@ 0x00000060) System Related Trim Value 24 */ + __IM uint32_t TRIM25; /*!< (@ 0x00000064) System Related Trim Value 25 */ + __IM uint32_t TRIM26; /*!< (@ 0x00000068) System Related Trim Value 26 */ + __IM uint32_t TRIM27; /*!< (@ 0x0000006C) System Related Trim Value 27 */ + __IM uint32_t TRIM28; /*!< (@ 0x00000070) System Related Trim Value 28 */ + __IM uint32_t TRIM29; /*!< (@ 0x00000074) System Related Trim Value 29 */ + __IM uint32_t TRIM30; /*!< (@ 0x00000078) System Related Trim Value 30 */ + __IM uint32_t TRIM31; /*!< (@ 0x0000007C) System Related Trim Value 31 */ +} COA0_Type; /*!< Size = 128 (0x80) */ + + + +/* =========================================================================================================================== */ +/* ================ COA1 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Configuration Option Area Page 1: User Option (COA1) + */ + +typedef struct { /*!< (@ 0x1FFFF200) COA1 Structure */ + + union { + __IM uint32_t RPCNFIG; /*!< (@ 0x00000000) Configuration for Read Protection */ + + struct { + __IM uint32_t READP : 2; /*!< [1..0] Read Protection for Flash Memory Area */ + __IM uint32_t : 2; + __IM uint32_t WTIDKY : 28; /*!< [31..4] Write Identification Key (0x69c8a27) */ + } RPCNFIG_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IM uint32_t WDTCNFIG; /*!< (@ 0x0000000C) Configuration for Watch-Dog Timer */ + + struct { + __IM uint32_t WCNTMF : 1; /*!< [0..0] Watch-Dog Timer Counter Enable Master Configuration */ + __IM uint32_t WRSTMF : 1; /*!< [1..1] Watch-Dog Timer Reset Enable Master Configuration */ + __IM uint32_t WCLKMF : 1; /*!< [2..2] Watch-Dog Timer Clock Selection Master Configuration */ + __IM uint32_t : 1; + __IM uint32_t WRCMF : 12; /*!< [15..4] Watch-Dog Timer RC Oscillator Master Configuration */ + } WDTCNFIG_b; + } ; + + union { + __IM uint32_t LVRCNFIG; /*!< (@ 0x00000010) Configuration for Low Voltage Reset */ + + struct { + __IM uint32_t LVRVS : 4; /*!< [3..0] LVR Voltage Selection */ + __IM uint32_t : 4; + __IM uint32_t LVRENM : 8; /*!< [15..8] LVR Reset Operation Control Master Configuration */ + } LVRCNFIG_b; + } ; + + union { + __IM uint32_t CNFIGWTP1; /*!< (@ 0x00000014) Erase/Write Protection for Configure Option Page + 1/2/3 */ + + struct { + __IM uint32_t CP1WP : 1; /*!< [0..0] Configure Option Page 1 Erase/Write Protection */ + __IM uint32_t CP2WP : 1; /*!< [1..1] Configure Option Page 2 Erase/Write Protection */ + __IM uint32_t CP3WP : 1; /*!< [2..2] Configure Option Page 3 Erase/Write Protection */ + } CNFIGWTP1_b; + } ; + __IM uint32_t RESERVED1[10]; + + union { + __IM uint32_t FMWTP1; /*!< (@ 0x00000040) Erase/Write Protection for Flash Memory */ + + struct { + __IM uint32_t SWTP0 : 1; /*!< [0..0] Flash Memory Erase/Write Protection 0 */ + __IM uint32_t SWTP1 : 1; /*!< [1..1] Flash Memory Erase/Write Protection 1 */ + __IM uint32_t SWTP2 : 1; /*!< [2..2] Flash Memory Erase/Write Protection 2 */ + __IM uint32_t SWTP3 : 1; /*!< [3..3] Flash Memory Erase/Write Protection 3 */ + __IM uint32_t SWTP4 : 1; /*!< [4..4] Flash Memory Erase/Write Protection 4 */ + __IM uint32_t SWTP5 : 1; /*!< [5..5] Flash Memory Erase/Write Protection 5 */ + __IM uint32_t SWTP6 : 1; /*!< [6..6] Flash Memory Erase/Write Protection 6 */ + __IM uint32_t SWTP7 : 1; /*!< [7..7] Flash Memory Erase/Write Protection 7 */ + __IM uint32_t SWTP8 : 1; /*!< [8..8] Flash Memory Erase/Write Protection 8 */ + __IM uint32_t SWTP9 : 1; /*!< [9..9] Flash Memory Erase/Write Protection 9 */ + __IM uint32_t SWTP10 : 1; /*!< [10..10] Flash Memory Erase/Write Protection 10 */ + __IM uint32_t SWTP11 : 1; /*!< [11..11] Flash Memory Erase/Write Protection 11 */ + __IM uint32_t SWTP12 : 1; /*!< [12..12] Flash Memory Erase/Write Protection 12 */ + __IM uint32_t SWTP13 : 1; /*!< [13..13] Flash Memory Erase/Write Protection 13 */ + __IM uint32_t SWTP14 : 1; /*!< [14..14] Flash Memory Erase/Write Protection 14 */ + __IM uint32_t SWTP15 : 1; /*!< [15..15] Flash Memory Erase/Write Protection 15 */ + __IM uint32_t SWTP16 : 1; /*!< [16..16] Flash Memory Erase/Write Protection 16 */ + __IM uint32_t SWTP17 : 1; /*!< [17..17] Flash Memory Erase/Write Protection 17 */ + __IM uint32_t SWTP18 : 1; /*!< [18..18] Flash Memory Erase/Write Protection 18 */ + __IM uint32_t SWTP19 : 1; /*!< [19..19] Flash Memory Erase/Write Protection 19 */ + __IM uint32_t SWTP20 : 1; /*!< [20..20] Flash Memory Erase/Write Protection 20 */ + __IM uint32_t SWTP21 : 1; /*!< [21..21] Flash Memory Erase/Write Protection 21 */ + __IM uint32_t SWTP22 : 1; /*!< [22..22] Flash Memory Erase/Write Protection 22 */ + __IM uint32_t SWTP23 : 1; /*!< [23..23] Flash Memory Erase/Write Protection 23 */ + __IM uint32_t SWTP24 : 1; /*!< [24..24] Flash Memory Erase/Write Protection 24 */ + __IM uint32_t SWTP25 : 1; /*!< [25..25] Flash Memory Erase/Write Protection 25 */ + __IM uint32_t SWTP26 : 1; /*!< [26..26] Flash Memory Erase/Write Protection 26 */ + __IM uint32_t SWTP27 : 1; /*!< [27..27] Flash Memory Erase/Write Protection 27 */ + __IM uint32_t SWTP28 : 1; /*!< [28..28] Flash Memory Erase/Write Protection 28 */ + __IM uint32_t SWTP29 : 1; /*!< [29..29] Flash Memory Erase/Write Protection 29 */ + __IM uint32_t SWTP30 : 1; /*!< [30..30] Flash Memory Erase/Write Protection 30 */ + __IM uint32_t SWTP31 : 1; /*!< [31..31] Flash Memory Erase/Write Protection 31 */ + } FMWTP1_b; + } ; +} COA1_Type; /*!< Size = 68 (0x44) */ + + + +/* =========================================================================================================================== */ +/* ================ COA2 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Configuration Option Area Page 2: User Data Area 0 (COA2) + */ + +typedef struct { /*!< (@ 0x1FFFF400) COA2 Structure */ + __IM uint32_t UDATA00; /*!< (@ 0x00000000) User Data 00 */ + __IM uint32_t UDATA01; /*!< (@ 0x00000004) User Data 01 */ + __IM uint32_t UDATA02; /*!< (@ 0x00000008) User Data 02 */ + __IM uint32_t UDATA03; /*!< (@ 0x0000000C) User Data 03 */ + __IM uint32_t UDATA04; /*!< (@ 0x00000010) User Data 04 */ + __IM uint32_t UDATA05; /*!< (@ 0x00000014) User Data 05 */ + __IM uint32_t UDATA06; /*!< (@ 0x00000018) User Data 06 */ + __IM uint32_t UDATA07; /*!< (@ 0x0000001C) User Data 07 */ + __IM uint32_t UDATA08; /*!< (@ 0x00000020) User Data 08 */ + __IM uint32_t UDATA09; /*!< (@ 0x00000024) User Data 09 */ + __IM uint32_t UDATA10; /*!< (@ 0x00000028) User Data 10 */ + __IM uint32_t UDATA11; /*!< (@ 0x0000002C) User Data 11 */ + __IM uint32_t UDATA12; /*!< (@ 0x00000030) User Data 12 */ + __IM uint32_t UDATA13; /*!< (@ 0x00000034) User Data 13 */ + __IM uint32_t UDATA14; /*!< (@ 0x00000038) User Data 14 */ + __IM uint32_t UDATA15; /*!< (@ 0x0000003C) User Data 15 */ + __IM uint32_t UDATA16; /*!< (@ 0x00000040) User Data 16 */ + __IM uint32_t UDATA17; /*!< (@ 0x00000044) User Data 17 */ + __IM uint32_t UDATA18; /*!< (@ 0x00000048) User Data 18 */ + __IM uint32_t UDATA19; /*!< (@ 0x0000004C) User Data 19 */ + __IM uint32_t UDATA20; /*!< (@ 0x00000050) User Data 20 */ + __IM uint32_t UDATA21; /*!< (@ 0x00000054) User Data 21 */ + __IM uint32_t UDATA22; /*!< (@ 0x00000058) User Data 22 */ + __IM uint32_t UDATA23; /*!< (@ 0x0000005C) User Data 23 */ + __IM uint32_t UDATA24; /*!< (@ 0x00000060) User Data 24 */ + __IM uint32_t UDATA25; /*!< (@ 0x00000064) User Data 25 */ + __IM uint32_t UDATA26; /*!< (@ 0x00000068) User Data 26 */ + __IM uint32_t UDATA27; /*!< (@ 0x0000006C) User Data 27 */ + __IM uint32_t UDATA28; /*!< (@ 0x00000070) User Data 28 */ + __IM uint32_t UDATA29; /*!< (@ 0x00000074) User Data 29 */ + __IM uint32_t UDATA30; /*!< (@ 0x00000078) User Data 30 */ + __IM uint32_t UDATA31; /*!< (@ 0x0000007C) User Data 31 */ +} COA2_Type; /*!< Size = 128 (0x80) */ + + + +/* =========================================================================================================================== */ +/* ================ COA3 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Configuration Option Area Page 3: User Data Area 1 (COA3) + */ + +typedef struct { /*!< (@ 0x1FFFF600) COA3 Structure */ + __IM uint32_t UDATA00; /*!< (@ 0x00000000) User Data 00 */ + __IM uint32_t UDATA01; /*!< (@ 0x00000004) User Data 01 */ + __IM uint32_t UDATA02; /*!< (@ 0x00000008) User Data 02 */ + __IM uint32_t UDATA03; /*!< (@ 0x0000000C) User Data 03 */ + __IM uint32_t UDATA04; /*!< (@ 0x00000010) User Data 04 */ + __IM uint32_t UDATA05; /*!< (@ 0x00000014) User Data 05 */ + __IM uint32_t UDATA06; /*!< (@ 0x00000018) User Data 06 */ + __IM uint32_t UDATA07; /*!< (@ 0x0000001C) User Data 07 */ + __IM uint32_t UDATA08; /*!< (@ 0x00000020) User Data 08 */ + __IM uint32_t UDATA09; /*!< (@ 0x00000024) User Data 09 */ + __IM uint32_t UDATA10; /*!< (@ 0x00000028) User Data 10 */ + __IM uint32_t UDATA11; /*!< (@ 0x0000002C) User Data 11 */ + __IM uint32_t UDATA12; /*!< (@ 0x00000030) User Data 12 */ + __IM uint32_t UDATA13; /*!< (@ 0x00000034) User Data 13 */ + __IM uint32_t UDATA14; /*!< (@ 0x00000038) User Data 14 */ + __IM uint32_t UDATA15; /*!< (@ 0x0000003C) User Data 15 */ + __IM uint32_t UDATA16; /*!< (@ 0x00000040) User Data 16 */ + __IM uint32_t UDATA17; /*!< (@ 0x00000044) User Data 17 */ + __IM uint32_t UDATA18; /*!< (@ 0x00000048) User Data 18 */ + __IM uint32_t UDATA19; /*!< (@ 0x0000004C) User Data 19 */ + __IM uint32_t UDATA20; /*!< (@ 0x00000050) User Data 20 */ + __IM uint32_t UDATA21; /*!< (@ 0x00000054) User Data 21 */ + __IM uint32_t UDATA22; /*!< (@ 0x00000058) User Data 22 */ + __IM uint32_t UDATA23; /*!< (@ 0x0000005C) User Data 23 */ + __IM uint32_t UDATA24; /*!< (@ 0x00000060) User Data 24 */ + __IM uint32_t UDATA25; /*!< (@ 0x00000064) User Data 25 */ + __IM uint32_t UDATA26; /*!< (@ 0x00000068) User Data 26 */ + __IM uint32_t UDATA27; /*!< (@ 0x0000006C) User Data 27 */ + __IM uint32_t UDATA28; /*!< (@ 0x00000070) User Data 28 */ + __IM uint32_t UDATA29; /*!< (@ 0x00000074) User Data 29 */ + __IM uint32_t UDATA30; /*!< (@ 0x00000078) User Data 30 */ + __IM uint32_t UDATA31; /*!< (@ 0x0000007C) User Data 31 */ +} COA3_Type; /*!< Size = 128 (0x80) */ + + +/** @} */ /* End of group Device_Peripheral_peripherals */ + + +/* =========================================================================================================================== */ +/* ================ Device Specific Peripheral Address Map ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup Device_Peripheral_peripheralAddr + * @{ + */ + +#define INTC_BASE 0x40001000UL +#define SCUCC_BASE 0x4000F000UL +#define SCUCG_BASE 0x40001800UL +#define SCULV_BASE 0x40005100UL +#define Pn_BASE 0x50000000UL +#define PA_BASE 0x30000000UL +#define PB_BASE 0x30000100UL +#define PC_BASE 0x30000200UL +#define PD_BASE 0x30000300UL +#define PE_BASE 0x30000400UL +#define PF_BASE 0x30000500UL +#define FMC_BASE 0x40001B00UL +#define WDT_BASE 0x40001A00UL +#define WT_BASE 0x40002000UL +#define TIMER1n_BASE 0x51000000UL +#define TIMER10_BASE 0x40002100UL +#define TIMER11_BASE 0x40002200UL +#define TIMER12_BASE 0x40002300UL +#define TIMER13_BASE 0x40002700UL +#define TIMER14_BASE 0x40002800UL +#define TIMER15_BASE 0x40002900UL +#define TIMER16_BASE 0x40002A00UL +#define TIMER2n_BASE 0x52000000UL +#define TIMER20_BASE 0x40002500UL +#define TIMER21_BASE 0x40002600UL +#define TIMER3n_BASE 0x53000000UL +#define TIMER30_BASE 0x40002400UL +#define ADC_BASE 0x40003000UL +#define USART1n_BASE 0x54000000UL +#define USART10_BASE 0x40003800UL +#define USART11_BASE 0x40003900UL +#define USART12_BASE 0x40003A00UL +#define USART13_BASE 0x40003B00UL +#define UARTn_BASE 0x55000000UL +#define UART0_BASE 0x40004000UL +#define UART1_BASE 0x40004100UL +#define I2Cn_BASE 0x56000000UL +#define I2C0_BASE 0x40004800UL +#define I2C1_BASE 0x40004900UL +#define I2C2_BASE 0x40004A00UL +#define LCD_BASE 0x40005000UL +#define CRC_BASE 0x30001000UL +#define COA0_BASE 0x1FFFF000UL +#define COA1_BASE 0x1FFFF200UL +#define COA2_BASE 0x1FFFF400UL +#define COA3_BASE 0x1FFFF600UL + +/** @} */ /* End of group Device_Peripheral_peripheralAddr */ + + +/* =========================================================================================================================== */ +/* ================ Peripheral declaration ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup Device_Peripheral_declaration + * @{ + */ + +#define INTC ((INTC_Type*) INTC_BASE) +#define SCUCC ((SCUCC_Type*) SCUCC_BASE) +#define SCUCG ((SCUCG_Type*) SCUCG_BASE) +#define SCULV ((SCULV_Type*) SCULV_BASE) +#define Pn ((Pn_Type*) Pn_BASE) +#define PA ((PA_Type*) PA_BASE) +#define PB ((PB_Type*) PB_BASE) +#define PC ((PC_Type*) PC_BASE) +#define PD ((PD_Type*) PD_BASE) +#define PE ((PE_Type*) PE_BASE) +#define PF ((PF_Type*) PF_BASE) +#define FMC ((FMC_Type*) FMC_BASE) +#define WDT ((WDT_Type*) WDT_BASE) +#define WT ((WT_Type*) WT_BASE) +#define TIMER1n ((TIMER1n_Type*) TIMER1n_BASE) +#define TIMER10 ((TIMER10_Type*) TIMER10_BASE) +#define TIMER11 ((TIMER11_Type*) TIMER11_BASE) +#define TIMER12 ((TIMER12_Type*) TIMER12_BASE) +#define TIMER13 ((TIMER13_Type*) TIMER13_BASE) +#define TIMER14 ((TIMER14_Type*) TIMER14_BASE) +#define TIMER15 ((TIMER15_Type*) TIMER15_BASE) +#define TIMER16 ((TIMER16_Type*) TIMER16_BASE) +#define TIMER2n ((TIMER2n_Type*) TIMER2n_BASE) +#define TIMER20 ((TIMER20_Type*) TIMER20_BASE) +#define TIMER21 ((TIMER21_Type*) TIMER21_BASE) +#define TIMER3n ((TIMER3n_Type*) TIMER3n_BASE) +#define TIMER30 ((TIMER30_Type*) TIMER30_BASE) +#define ADC ((ADC_Type*) ADC_BASE) +#define USART1n ((USART1n_Type*) USART1n_BASE) +#define USART10 ((USART10_Type*) USART10_BASE) +#define USART11 ((USART11_Type*) USART11_BASE) +#define USART12 ((USART12_Type*) USART12_BASE) +#define USART13 ((USART13_Type*) USART13_BASE) +#define UARTn ((UARTn_Type*) UARTn_BASE) +#define UART0 ((UART0_Type*) UART0_BASE) +#define UART1 ((UART1_Type*) UART1_BASE) +#define I2Cn ((I2Cn_Type*) I2Cn_BASE) +#define I2C0 ((I2C0_Type*) I2C0_BASE) +#define I2C1 ((I2C1_Type*) I2C1_BASE) +#define I2C2 ((I2C2_Type*) I2C2_BASE) +#define LCD ((LCD_Type*) LCD_BASE) +#define CRC ((CRC_Type*) CRC_BASE) +#define COA0 ((COA0_Type*) COA0_BASE) +#define COA1 ((COA1_Type*) COA1_BASE) +#define COA2 ((COA2_Type*) COA2_BASE) +#define COA3 ((COA3_Type*) COA3_BASE) + +/** @} */ /* End of group Device_Peripheral_declaration */ + + +/* ========================================= End of section using anonymous unions ========================================= */ +#if defined (__CC_ARM) + #pragma pop +#elif defined (__ICCARM__) + /* leave anonymous unions enabled */ +#elif (__ARMCC_VERSION >= 6010050) + #pragma clang diagnostic pop +#elif defined (__GNUC__) + /* anonymous unions are enabled by default */ +#elif defined (__TMS470__) + /* anonymous unions are enabled by default */ +#elif defined (__TASKING__) + #pragma warning restore +#elif defined (__CSMC__) + /* anonymous unions are enabled by default */ +#endif + + +/* =========================================================================================================================== */ +/* ================ Pos/Mask Peripheral Section ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup PosMask_peripherals + * @{ + */ + + + +/* =========================================================================================================================== */ +/* ================ INTC ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== PBTRIG ========================================================= */ +#define INTC_PBTRIG_ITRIG11_Pos (11UL) /*!< INTC PBTRIG: ITRIG11 (Bit 11) */ +#define INTC_PBTRIG_ITRIG11_Msk (0x800UL) /*!< INTC PBTRIG: ITRIG11 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG10_Pos (10UL) /*!< INTC PBTRIG: ITRIG10 (Bit 10) */ +#define INTC_PBTRIG_ITRIG10_Msk (0x400UL) /*!< INTC PBTRIG: ITRIG10 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG9_Pos (9UL) /*!< INTC PBTRIG: ITRIG9 (Bit 9) */ +#define INTC_PBTRIG_ITRIG9_Msk (0x200UL) /*!< INTC PBTRIG: ITRIG9 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG8_Pos (8UL) /*!< INTC PBTRIG: ITRIG8 (Bit 8) */ +#define INTC_PBTRIG_ITRIG8_Msk (0x100UL) /*!< INTC PBTRIG: ITRIG8 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG7_Pos (7UL) /*!< INTC PBTRIG: ITRIG7 (Bit 7) */ +#define INTC_PBTRIG_ITRIG7_Msk (0x80UL) /*!< INTC PBTRIG: ITRIG7 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG6_Pos (6UL) /*!< INTC PBTRIG: ITRIG6 (Bit 6) */ +#define INTC_PBTRIG_ITRIG6_Msk (0x40UL) /*!< INTC PBTRIG: ITRIG6 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG5_Pos (5UL) /*!< INTC PBTRIG: ITRIG5 (Bit 5) */ +#define INTC_PBTRIG_ITRIG5_Msk (0x20UL) /*!< INTC PBTRIG: ITRIG5 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG4_Pos (4UL) /*!< INTC PBTRIG: ITRIG4 (Bit 4) */ +#define INTC_PBTRIG_ITRIG4_Msk (0x10UL) /*!< INTC PBTRIG: ITRIG4 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG3_Pos (3UL) /*!< INTC PBTRIG: ITRIG3 (Bit 3) */ +#define INTC_PBTRIG_ITRIG3_Msk (0x8UL) /*!< INTC PBTRIG: ITRIG3 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG2_Pos (2UL) /*!< INTC PBTRIG: ITRIG2 (Bit 2) */ +#define INTC_PBTRIG_ITRIG2_Msk (0x4UL) /*!< INTC PBTRIG: ITRIG2 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG1_Pos (1UL) /*!< INTC PBTRIG: ITRIG1 (Bit 1) */ +#define INTC_PBTRIG_ITRIG1_Msk (0x2UL) /*!< INTC PBTRIG: ITRIG1 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG0_Pos (0UL) /*!< INTC PBTRIG: ITRIG0 (Bit 0) */ +#define INTC_PBTRIG_ITRIG0_Msk (0x1UL) /*!< INTC PBTRIG: ITRIG0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PCTRIG ========================================================= */ +#define INTC_PCTRIG_ITRIG3_Pos (3UL) /*!< INTC PCTRIG: ITRIG3 (Bit 3) */ +#define INTC_PCTRIG_ITRIG3_Msk (0x8UL) /*!< INTC PCTRIG: ITRIG3 (Bitfield-Mask: 0x01) */ +#define INTC_PCTRIG_ITRIG2_Pos (2UL) /*!< INTC PCTRIG: ITRIG2 (Bit 2) */ +#define INTC_PCTRIG_ITRIG2_Msk (0x4UL) /*!< INTC PCTRIG: ITRIG2 (Bitfield-Mask: 0x01) */ +#define INTC_PCTRIG_ITRIG1_Pos (1UL) /*!< INTC PCTRIG: ITRIG1 (Bit 1) */ +#define INTC_PCTRIG_ITRIG1_Msk (0x2UL) /*!< INTC PCTRIG: ITRIG1 (Bitfield-Mask: 0x01) */ +#define INTC_PCTRIG_ITRIG0_Pos (0UL) /*!< INTC PCTRIG: ITRIG0 (Bit 0) */ +#define INTC_PCTRIG_ITRIG0_Msk (0x1UL) /*!< INTC PCTRIG: ITRIG0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PETRIG ========================================================= */ +#define INTC_PETRIG_ITRIG3_Pos (3UL) /*!< INTC PETRIG: ITRIG3 (Bit 3) */ +#define INTC_PETRIG_ITRIG3_Msk (0x8UL) /*!< INTC PETRIG: ITRIG3 (Bitfield-Mask: 0x01) */ +#define INTC_PETRIG_ITRIG2_Pos (2UL) /*!< INTC PETRIG: ITRIG2 (Bit 2) */ +#define INTC_PETRIG_ITRIG2_Msk (0x4UL) /*!< INTC PETRIG: ITRIG2 (Bitfield-Mask: 0x01) */ +#define INTC_PETRIG_ITRIG1_Pos (1UL) /*!< INTC PETRIG: ITRIG1 (Bit 1) */ +#define INTC_PETRIG_ITRIG1_Msk (0x2UL) /*!< INTC PETRIG: ITRIG1 (Bitfield-Mask: 0x01) */ +#define INTC_PETRIG_ITRIG0_Pos (0UL) /*!< INTC PETRIG: ITRIG0 (Bit 0) */ +#define INTC_PETRIG_ITRIG0_Msk (0x1UL) /*!< INTC PETRIG: ITRIG0 (Bitfield-Mask: 0x01) */ +/* ========================================================= PBCR ========================================================== */ +#define INTC_PBCR_INTCTL11_Pos (22UL) /*!< INTC PBCR: INTCTL11 (Bit 22) */ +#define INTC_PBCR_INTCTL11_Msk (0xc00000UL) /*!< INTC PBCR: INTCTL11 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL10_Pos (20UL) /*!< INTC PBCR: INTCTL10 (Bit 20) */ +#define INTC_PBCR_INTCTL10_Msk (0x300000UL) /*!< INTC PBCR: INTCTL10 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL9_Pos (18UL) /*!< INTC PBCR: INTCTL9 (Bit 18) */ +#define INTC_PBCR_INTCTL9_Msk (0xc0000UL) /*!< INTC PBCR: INTCTL9 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL8_Pos (16UL) /*!< INTC PBCR: INTCTL8 (Bit 16) */ +#define INTC_PBCR_INTCTL8_Msk (0x30000UL) /*!< INTC PBCR: INTCTL8 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL7_Pos (14UL) /*!< INTC PBCR: INTCTL7 (Bit 14) */ +#define INTC_PBCR_INTCTL7_Msk (0xc000UL) /*!< INTC PBCR: INTCTL7 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL6_Pos (12UL) /*!< INTC PBCR: INTCTL6 (Bit 12) */ +#define INTC_PBCR_INTCTL6_Msk (0x3000UL) /*!< INTC PBCR: INTCTL6 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL5_Pos (10UL) /*!< INTC PBCR: INTCTL5 (Bit 10) */ +#define INTC_PBCR_INTCTL5_Msk (0xc00UL) /*!< INTC PBCR: INTCTL5 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL4_Pos (8UL) /*!< INTC PBCR: INTCTL4 (Bit 8) */ +#define INTC_PBCR_INTCTL4_Msk (0x300UL) /*!< INTC PBCR: INTCTL4 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL3_Pos (6UL) /*!< INTC PBCR: INTCTL3 (Bit 6) */ +#define INTC_PBCR_INTCTL3_Msk (0xc0UL) /*!< INTC PBCR: INTCTL3 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL2_Pos (4UL) /*!< INTC PBCR: INTCTL2 (Bit 4) */ +#define INTC_PBCR_INTCTL2_Msk (0x30UL) /*!< INTC PBCR: INTCTL2 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL1_Pos (2UL) /*!< INTC PBCR: INTCTL1 (Bit 2) */ +#define INTC_PBCR_INTCTL1_Msk (0xcUL) /*!< INTC PBCR: INTCTL1 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL0_Pos (0UL) /*!< INTC PBCR: INTCTL0 (Bit 0) */ +#define INTC_PBCR_INTCTL0_Msk (0x3UL) /*!< INTC PBCR: INTCTL0 (Bitfield-Mask: 0x03) */ +/* ========================================================= PCCR ========================================================== */ +#define INTC_PCCR_INTCTL3_Pos (6UL) /*!< INTC PCCR: INTCTL3 (Bit 6) */ +#define INTC_PCCR_INTCTL3_Msk (0xc0UL) /*!< INTC PCCR: INTCTL3 (Bitfield-Mask: 0x03) */ +#define INTC_PCCR_INTCTL2_Pos (4UL) /*!< INTC PCCR: INTCTL2 (Bit 4) */ +#define INTC_PCCR_INTCTL2_Msk (0x30UL) /*!< INTC PCCR: INTCTL2 (Bitfield-Mask: 0x03) */ +#define INTC_PCCR_INTCTL1_Pos (2UL) /*!< INTC PCCR: INTCTL1 (Bit 2) */ +#define INTC_PCCR_INTCTL1_Msk (0xcUL) /*!< INTC PCCR: INTCTL1 (Bitfield-Mask: 0x03) */ +#define INTC_PCCR_INTCTL0_Pos (0UL) /*!< INTC PCCR: INTCTL0 (Bit 0) */ +#define INTC_PCCR_INTCTL0_Msk (0x3UL) /*!< INTC PCCR: INTCTL0 (Bitfield-Mask: 0x03) */ +/* ========================================================= PECR ========================================================== */ +#define INTC_PECR_INTCTL3_Pos (6UL) /*!< INTC PECR: INTCTL3 (Bit 6) */ +#define INTC_PECR_INTCTL3_Msk (0xc0UL) /*!< INTC PECR: INTCTL3 (Bitfield-Mask: 0x03) */ +#define INTC_PECR_INTCTL2_Pos (4UL) /*!< INTC PECR: INTCTL2 (Bit 4) */ +#define INTC_PECR_INTCTL2_Msk (0x30UL) /*!< INTC PECR: INTCTL2 (Bitfield-Mask: 0x03) */ +#define INTC_PECR_INTCTL1_Pos (2UL) /*!< INTC PECR: INTCTL1 (Bit 2) */ +#define INTC_PECR_INTCTL1_Msk (0xcUL) /*!< INTC PECR: INTCTL1 (Bitfield-Mask: 0x03) */ +#define INTC_PECR_INTCTL0_Pos (0UL) /*!< INTC PECR: INTCTL0 (Bit 0) */ +#define INTC_PECR_INTCTL0_Msk (0x3UL) /*!< INTC PECR: INTCTL0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PBFLAG ========================================================= */ +#define INTC_PBFLAG_FLAG11_Pos (11UL) /*!< INTC PBFLAG: FLAG11 (Bit 11) */ +#define INTC_PBFLAG_FLAG11_Msk (0x800UL) /*!< INTC PBFLAG: FLAG11 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG10_Pos (10UL) /*!< INTC PBFLAG: FLAG10 (Bit 10) */ +#define INTC_PBFLAG_FLAG10_Msk (0x400UL) /*!< INTC PBFLAG: FLAG10 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG9_Pos (9UL) /*!< INTC PBFLAG: FLAG9 (Bit 9) */ +#define INTC_PBFLAG_FLAG9_Msk (0x200UL) /*!< INTC PBFLAG: FLAG9 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG8_Pos (8UL) /*!< INTC PBFLAG: FLAG8 (Bit 8) */ +#define INTC_PBFLAG_FLAG8_Msk (0x100UL) /*!< INTC PBFLAG: FLAG8 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG7_Pos (7UL) /*!< INTC PBFLAG: FLAG7 (Bit 7) */ +#define INTC_PBFLAG_FLAG7_Msk (0x80UL) /*!< INTC PBFLAG: FLAG7 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG6_Pos (6UL) /*!< INTC PBFLAG: FLAG6 (Bit 6) */ +#define INTC_PBFLAG_FLAG6_Msk (0x40UL) /*!< INTC PBFLAG: FLAG6 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG5_Pos (5UL) /*!< INTC PBFLAG: FLAG5 (Bit 5) */ +#define INTC_PBFLAG_FLAG5_Msk (0x20UL) /*!< INTC PBFLAG: FLAG5 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG4_Pos (4UL) /*!< INTC PBFLAG: FLAG4 (Bit 4) */ +#define INTC_PBFLAG_FLAG4_Msk (0x10UL) /*!< INTC PBFLAG: FLAG4 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG3_Pos (3UL) /*!< INTC PBFLAG: FLAG3 (Bit 3) */ +#define INTC_PBFLAG_FLAG3_Msk (0x8UL) /*!< INTC PBFLAG: FLAG3 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG2_Pos (2UL) /*!< INTC PBFLAG: FLAG2 (Bit 2) */ +#define INTC_PBFLAG_FLAG2_Msk (0x4UL) /*!< INTC PBFLAG: FLAG2 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG1_Pos (1UL) /*!< INTC PBFLAG: FLAG1 (Bit 1) */ +#define INTC_PBFLAG_FLAG1_Msk (0x2UL) /*!< INTC PBFLAG: FLAG1 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG0_Pos (0UL) /*!< INTC PBFLAG: FLAG0 (Bit 0) */ +#define INTC_PBFLAG_FLAG0_Msk (0x1UL) /*!< INTC PBFLAG: FLAG0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PCFLAG ========================================================= */ +#define INTC_PCFLAG_FLAG3_Pos (3UL) /*!< INTC PCFLAG: FLAG3 (Bit 3) */ +#define INTC_PCFLAG_FLAG3_Msk (0x8UL) /*!< INTC PCFLAG: FLAG3 (Bitfield-Mask: 0x01) */ +#define INTC_PCFLAG_FLAG2_Pos (2UL) /*!< INTC PCFLAG: FLAG2 (Bit 2) */ +#define INTC_PCFLAG_FLAG2_Msk (0x4UL) /*!< INTC PCFLAG: FLAG2 (Bitfield-Mask: 0x01) */ +#define INTC_PCFLAG_FLAG1_Pos (1UL) /*!< INTC PCFLAG: FLAG1 (Bit 1) */ +#define INTC_PCFLAG_FLAG1_Msk (0x2UL) /*!< INTC PCFLAG: FLAG1 (Bitfield-Mask: 0x01) */ +#define INTC_PCFLAG_FLAG0_Pos (0UL) /*!< INTC PCFLAG: FLAG0 (Bit 0) */ +#define INTC_PCFLAG_FLAG0_Msk (0x1UL) /*!< INTC PCFLAG: FLAG0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PEFLAG ========================================================= */ +#define INTC_PEFLAG_FLAG3_Pos (3UL) /*!< INTC PEFLAG: FLAG3 (Bit 3) */ +#define INTC_PEFLAG_FLAG3_Msk (0x8UL) /*!< INTC PEFLAG: FLAG3 (Bitfield-Mask: 0x01) */ +#define INTC_PEFLAG_FLAG2_Pos (2UL) /*!< INTC PEFLAG: FLAG2 (Bit 2) */ +#define INTC_PEFLAG_FLAG2_Msk (0x4UL) /*!< INTC PEFLAG: FLAG2 (Bitfield-Mask: 0x01) */ +#define INTC_PEFLAG_FLAG1_Pos (1UL) /*!< INTC PEFLAG: FLAG1 (Bit 1) */ +#define INTC_PEFLAG_FLAG1_Msk (0x2UL) /*!< INTC PEFLAG: FLAG1 (Bitfield-Mask: 0x01) */ +#define INTC_PEFLAG_FLAG0_Pos (0UL) /*!< INTC PEFLAG: FLAG0 (Bit 0) */ +#define INTC_PEFLAG_FLAG0_Msk (0x1UL) /*!< INTC PEFLAG: FLAG0 (Bitfield-Mask: 0x01) */ +/* ====================================================== EINT0CONF1 ======================================================= */ +#define INTC_EINT0CONF1_CONF7_Pos (28UL) /*!< INTC EINT0CONF1: CONF7 (Bit 28) */ +#define INTC_EINT0CONF1_CONF7_Msk (0xf0000000UL) /*!< INTC EINT0CONF1: CONF7 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF6_Pos (24UL) /*!< INTC EINT0CONF1: CONF6 (Bit 24) */ +#define INTC_EINT0CONF1_CONF6_Msk (0xf000000UL) /*!< INTC EINT0CONF1: CONF6 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF5_Pos (20UL) /*!< INTC EINT0CONF1: CONF5 (Bit 20) */ +#define INTC_EINT0CONF1_CONF5_Msk (0xf00000UL) /*!< INTC EINT0CONF1: CONF5 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF4_Pos (16UL) /*!< INTC EINT0CONF1: CONF4 (Bit 16) */ +#define INTC_EINT0CONF1_CONF4_Msk (0xf0000UL) /*!< INTC EINT0CONF1: CONF4 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF3_Pos (12UL) /*!< INTC EINT0CONF1: CONF3 (Bit 12) */ +#define INTC_EINT0CONF1_CONF3_Msk (0xf000UL) /*!< INTC EINT0CONF1: CONF3 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF2_Pos (8UL) /*!< INTC EINT0CONF1: CONF2 (Bit 8) */ +#define INTC_EINT0CONF1_CONF2_Msk (0xf00UL) /*!< INTC EINT0CONF1: CONF2 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF1_Pos (4UL) /*!< INTC EINT0CONF1: CONF1 (Bit 4) */ +#define INTC_EINT0CONF1_CONF1_Msk (0xf0UL) /*!< INTC EINT0CONF1: CONF1 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF0_Pos (0UL) /*!< INTC EINT0CONF1: CONF0 (Bit 0) */ +#define INTC_EINT0CONF1_CONF0_Msk (0xfUL) /*!< INTC EINT0CONF1: CONF0 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT1CONF1 ======================================================= */ +#define INTC_EINT1CONF1_CONF7_Pos (28UL) /*!< INTC EINT1CONF1: CONF7 (Bit 28) */ +#define INTC_EINT1CONF1_CONF7_Msk (0xf0000000UL) /*!< INTC EINT1CONF1: CONF7 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF6_Pos (24UL) /*!< INTC EINT1CONF1: CONF6 (Bit 24) */ +#define INTC_EINT1CONF1_CONF6_Msk (0xf000000UL) /*!< INTC EINT1CONF1: CONF6 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF5_Pos (20UL) /*!< INTC EINT1CONF1: CONF5 (Bit 20) */ +#define INTC_EINT1CONF1_CONF5_Msk (0xf00000UL) /*!< INTC EINT1CONF1: CONF5 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF4_Pos (16UL) /*!< INTC EINT1CONF1: CONF4 (Bit 16) */ +#define INTC_EINT1CONF1_CONF4_Msk (0xf0000UL) /*!< INTC EINT1CONF1: CONF4 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF3_Pos (12UL) /*!< INTC EINT1CONF1: CONF3 (Bit 12) */ +#define INTC_EINT1CONF1_CONF3_Msk (0xf000UL) /*!< INTC EINT1CONF1: CONF3 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF2_Pos (8UL) /*!< INTC EINT1CONF1: CONF2 (Bit 8) */ +#define INTC_EINT1CONF1_CONF2_Msk (0xf00UL) /*!< INTC EINT1CONF1: CONF2 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF1_Pos (4UL) /*!< INTC EINT1CONF1: CONF1 (Bit 4) */ +#define INTC_EINT1CONF1_CONF1_Msk (0xf0UL) /*!< INTC EINT1CONF1: CONF1 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF0_Pos (0UL) /*!< INTC EINT1CONF1: CONF0 (Bit 0) */ +#define INTC_EINT1CONF1_CONF0_Msk (0xfUL) /*!< INTC EINT1CONF1: CONF0 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT2CONF1 ======================================================= */ +#define INTC_EINT2CONF1_CONF7_Pos (28UL) /*!< INTC EINT2CONF1: CONF7 (Bit 28) */ +#define INTC_EINT2CONF1_CONF7_Msk (0xf0000000UL) /*!< INTC EINT2CONF1: CONF7 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF6_Pos (24UL) /*!< INTC EINT2CONF1: CONF6 (Bit 24) */ +#define INTC_EINT2CONF1_CONF6_Msk (0xf000000UL) /*!< INTC EINT2CONF1: CONF6 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF5_Pos (20UL) /*!< INTC EINT2CONF1: CONF5 (Bit 20) */ +#define INTC_EINT2CONF1_CONF5_Msk (0xf00000UL) /*!< INTC EINT2CONF1: CONF5 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF4_Pos (16UL) /*!< INTC EINT2CONF1: CONF4 (Bit 16) */ +#define INTC_EINT2CONF1_CONF4_Msk (0xf0000UL) /*!< INTC EINT2CONF1: CONF4 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF3_Pos (12UL) /*!< INTC EINT2CONF1: CONF3 (Bit 12) */ +#define INTC_EINT2CONF1_CONF3_Msk (0xf000UL) /*!< INTC EINT2CONF1: CONF3 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF2_Pos (8UL) /*!< INTC EINT2CONF1: CONF2 (Bit 8) */ +#define INTC_EINT2CONF1_CONF2_Msk (0xf00UL) /*!< INTC EINT2CONF1: CONF2 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF1_Pos (4UL) /*!< INTC EINT2CONF1: CONF1 (Bit 4) */ +#define INTC_EINT2CONF1_CONF1_Msk (0xf0UL) /*!< INTC EINT2CONF1: CONF1 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF0_Pos (0UL) /*!< INTC EINT2CONF1: CONF0 (Bit 0) */ +#define INTC_EINT2CONF1_CONF0_Msk (0xfUL) /*!< INTC EINT2CONF1: CONF0 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT3CONF1 ======================================================= */ +#define INTC_EINT3CONF1_CONF7_Pos (28UL) /*!< INTC EINT3CONF1: CONF7 (Bit 28) */ +#define INTC_EINT3CONF1_CONF7_Msk (0xf0000000UL) /*!< INTC EINT3CONF1: CONF7 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF6_Pos (24UL) /*!< INTC EINT3CONF1: CONF6 (Bit 24) */ +#define INTC_EINT3CONF1_CONF6_Msk (0xf000000UL) /*!< INTC EINT3CONF1: CONF6 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF5_Pos (20UL) /*!< INTC EINT3CONF1: CONF5 (Bit 20) */ +#define INTC_EINT3CONF1_CONF5_Msk (0xf00000UL) /*!< INTC EINT3CONF1: CONF5 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF4_Pos (16UL) /*!< INTC EINT3CONF1: CONF4 (Bit 16) */ +#define INTC_EINT3CONF1_CONF4_Msk (0xf0000UL) /*!< INTC EINT3CONF1: CONF4 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF3_Pos (12UL) /*!< INTC EINT3CONF1: CONF3 (Bit 12) */ +#define INTC_EINT3CONF1_CONF3_Msk (0xf000UL) /*!< INTC EINT3CONF1: CONF3 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF2_Pos (8UL) /*!< INTC EINT3CONF1: CONF2 (Bit 8) */ +#define INTC_EINT3CONF1_CONF2_Msk (0xf00UL) /*!< INTC EINT3CONF1: CONF2 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF1_Pos (4UL) /*!< INTC EINT3CONF1: CONF1 (Bit 4) */ +#define INTC_EINT3CONF1_CONF1_Msk (0xf0UL) /*!< INTC EINT3CONF1: CONF1 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF0_Pos (0UL) /*!< INTC EINT3CONF1: CONF0 (Bit 0) */ +#define INTC_EINT3CONF1_CONF0_Msk (0xfUL) /*!< INTC EINT3CONF1: CONF0 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT0CONF2 ======================================================= */ +#define INTC_EINT0CONF2_CONF11_Pos (12UL) /*!< INTC EINT0CONF2: CONF11 (Bit 12) */ +#define INTC_EINT0CONF2_CONF11_Msk (0xf000UL) /*!< INTC EINT0CONF2: CONF11 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF2_CONF10_Pos (8UL) /*!< INTC EINT0CONF2: CONF10 (Bit 8) */ +#define INTC_EINT0CONF2_CONF10_Msk (0xf00UL) /*!< INTC EINT0CONF2: CONF10 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF2_CONF9_Pos (4UL) /*!< INTC EINT0CONF2: CONF9 (Bit 4) */ +#define INTC_EINT0CONF2_CONF9_Msk (0xf0UL) /*!< INTC EINT0CONF2: CONF9 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF2_CONF8_Pos (0UL) /*!< INTC EINT0CONF2: CONF8 (Bit 0) */ +#define INTC_EINT0CONF2_CONF8_Msk (0xfUL) /*!< INTC EINT0CONF2: CONF8 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT1CONF2 ======================================================= */ +#define INTC_EINT1CONF2_CONF11_Pos (12UL) /*!< INTC EINT1CONF2: CONF11 (Bit 12) */ +#define INTC_EINT1CONF2_CONF11_Msk (0xf000UL) /*!< INTC EINT1CONF2: CONF11 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF2_CONF10_Pos (8UL) /*!< INTC EINT1CONF2: CONF10 (Bit 8) */ +#define INTC_EINT1CONF2_CONF10_Msk (0xf00UL) /*!< INTC EINT1CONF2: CONF10 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF2_CONF9_Pos (4UL) /*!< INTC EINT1CONF2: CONF9 (Bit 4) */ +#define INTC_EINT1CONF2_CONF9_Msk (0xf0UL) /*!< INTC EINT1CONF2: CONF9 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF2_CONF8_Pos (0UL) /*!< INTC EINT1CONF2: CONF8 (Bit 0) */ +#define INTC_EINT1CONF2_CONF8_Msk (0xfUL) /*!< INTC EINT1CONF2: CONF8 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT2CONF2 ======================================================= */ +#define INTC_EINT2CONF2_CONF11_Pos (12UL) /*!< INTC EINT2CONF2: CONF11 (Bit 12) */ +#define INTC_EINT2CONF2_CONF11_Msk (0xf000UL) /*!< INTC EINT2CONF2: CONF11 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF2_CONF10_Pos (8UL) /*!< INTC EINT2CONF2: CONF10 (Bit 8) */ +#define INTC_EINT2CONF2_CONF10_Msk (0xf00UL) /*!< INTC EINT2CONF2: CONF10 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF2_CONF9_Pos (4UL) /*!< INTC EINT2CONF2: CONF9 (Bit 4) */ +#define INTC_EINT2CONF2_CONF9_Msk (0xf0UL) /*!< INTC EINT2CONF2: CONF9 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF2_CONF8_Pos (0UL) /*!< INTC EINT2CONF2: CONF8 (Bit 0) */ +#define INTC_EINT2CONF2_CONF8_Msk (0xfUL) /*!< INTC EINT2CONF2: CONF8 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT3CONF2 ======================================================= */ +#define INTC_EINT3CONF2_CONF11_Pos (12UL) /*!< INTC EINT3CONF2: CONF11 (Bit 12) */ +#define INTC_EINT3CONF2_CONF11_Msk (0xf000UL) /*!< INTC EINT3CONF2: CONF11 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF2_CONF10_Pos (8UL) /*!< INTC EINT3CONF2: CONF10 (Bit 8) */ +#define INTC_EINT3CONF2_CONF10_Msk (0xf00UL) /*!< INTC EINT3CONF2: CONF10 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF2_CONF9_Pos (4UL) /*!< INTC EINT3CONF2: CONF9 (Bit 4) */ +#define INTC_EINT3CONF2_CONF9_Msk (0xf0UL) /*!< INTC EINT3CONF2: CONF9 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF2_CONF8_Pos (0UL) /*!< INTC EINT3CONF2: CONF8 (Bit 0) */ +#define INTC_EINT3CONF2_CONF8_Msk (0xfUL) /*!< INTC EINT3CONF2: CONF8 (Bitfield-Mask: 0x0f) */ +/* ========================================================== MSK ========================================================== */ +#define INTC_MSK_IMSK31_NULL_Pos (31UL) /*!< INTC MSK: IMSK31_NULL (Bit 31) */ +#define INTC_MSK_IMSK31_NULL_Msk (0x80000000UL) /*!< INTC MSK: IMSK31_NULL (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK30_NULL_Pos (30UL) /*!< INTC MSK: IMSK30_NULL (Bit 30) */ +#define INTC_MSK_IMSK30_NULL_Msk (0x40000000UL) /*!< INTC MSK: IMSK30_NULL (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK29_NULL_Pos (29UL) /*!< INTC MSK: IMSK29_NULL (Bit 29) */ +#define INTC_MSK_IMSK29_NULL_Msk (0x20000000UL) /*!< INTC MSK: IMSK29_NULL (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK28_NULL_Pos (28UL) /*!< INTC MSK: IMSK28_NULL (Bit 28) */ +#define INTC_MSK_IMSK28_NULL_Msk (0x10000000UL) /*!< INTC MSK: IMSK28_NULL (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK27_USART13_Pos (27UL) /*!< INTC MSK: IMSK27_USART13 (Bit 27) */ +#define INTC_MSK_IMSK27_USART13_Msk (0x8000000UL) /*!< INTC MSK: IMSK27_USART13 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK26_USART12_Pos (26UL) /*!< INTC MSK: IMSK26_USART12 (Bit 26) */ +#define INTC_MSK_IMSK26_USART12_Msk (0x4000000UL) /*!< INTC MSK: IMSK26_USART12 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK25_I2C2_Pos (25UL) /*!< INTC MSK: IMSK25_I2C2 (Bit 25) */ +#define INTC_MSK_IMSK25_I2C2_Msk (0x2000000UL) /*!< INTC MSK: IMSK25_I2C2 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK24_TIMER16_Pos (24UL) /*!< INTC MSK: IMSK24_TIMER16 (Bit 24) */ +#define INTC_MSK_IMSK24_TIMER16_Msk (0x1000000UL) /*!< INTC MSK: IMSK24_TIMER16 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK23_TIMER15_Pos (23UL) /*!< INTC MSK: IMSK23_TIMER15 (Bit 23) */ +#define INTC_MSK_IMSK23_TIMER15_Msk (0x800000UL) /*!< INTC MSK: IMSK23_TIMER15 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK22_TIMER14_Pos (22UL) /*!< INTC MSK: IMSK22_TIMER14 (Bit 22) */ +#define INTC_MSK_IMSK22_TIMER14_Msk (0x400000UL) /*!< INTC MSK: IMSK22_TIMER14 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK21_TIMER13_Pos (21UL) /*!< INTC MSK: IMSK21_TIMER13 (Bit 21) */ +#define INTC_MSK_IMSK21_TIMER13_Msk (0x200000UL) /*!< INTC MSK: IMSK21_TIMER13 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK20_UART1_Pos (20UL) /*!< INTC MSK: IMSK20_UART1 (Bit 20) */ +#define INTC_MSK_IMSK20_UART1_Msk (0x100000UL) /*!< INTC MSK: IMSK20_UART1 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK19_UART0_Pos (19UL) /*!< INTC MSK: IMSK19_UART0 (Bit 19) */ +#define INTC_MSK_IMSK19_UART0_Msk (0x80000UL) /*!< INTC MSK: IMSK19_UART0 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK18_ADC_Pos (18UL) /*!< INTC MSK: IMSK18_ADC (Bit 18) */ +#define INTC_MSK_IMSK18_ADC_Msk (0x40000UL) /*!< INTC MSK: IMSK18_ADC (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK17_USART11_Pos (17UL) /*!< INTC MSK: IMSK17_USART11 (Bit 17) */ +#define INTC_MSK_IMSK17_USART11_Msk (0x20000UL) /*!< INTC MSK: IMSK17_USART11 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK16_TIMER21_Pos (16UL) /*!< INTC MSK: IMSK16_TIMER21 (Bit 16) */ +#define INTC_MSK_IMSK16_TIMER21_Msk (0x10000UL) /*!< INTC MSK: IMSK16_TIMER21 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK15_TIMER20_Pos (15UL) /*!< INTC MSK: IMSK15_TIMER20 (Bit 15) */ +#define INTC_MSK_IMSK15_TIMER20_Msk (0x8000UL) /*!< INTC MSK: IMSK15_TIMER20 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK14_I2C1_Pos (14UL) /*!< INTC MSK: IMSK14_I2C1 (Bit 14) */ +#define INTC_MSK_IMSK14_I2C1_Msk (0x4000UL) /*!< INTC MSK: IMSK14_I2C1 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK13_TIMER30_Pos (13UL) /*!< INTC MSK: IMSK13_TIMER30 (Bit 13) */ +#define INTC_MSK_IMSK13_TIMER30_Msk (0x2000UL) /*!< INTC MSK: IMSK13_TIMER30 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK12_WT_Pos (12UL) /*!< INTC MSK: IMSK12_WT (Bit 12) */ +#define INTC_MSK_IMSK12_WT_Msk (0x1000UL) /*!< INTC MSK: IMSK12_WT (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK11_USART10_Pos (11UL) /*!< INTC MSK: IMSK11_USART10 (Bit 11) */ +#define INTC_MSK_IMSK11_USART10_Msk (0x800UL) /*!< INTC MSK: IMSK11_USART10 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK10_I2C0_Pos (10UL) /*!< INTC MSK: IMSK10_I2C0 (Bit 10) */ +#define INTC_MSK_IMSK10_I2C0_Msk (0x400UL) /*!< INTC MSK: IMSK10_I2C0 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK9_TIMER12_Pos (9UL) /*!< INTC MSK: IMSK9_TIMER12 (Bit 9) */ +#define INTC_MSK_IMSK9_TIMER12_Msk (0x200UL) /*!< INTC MSK: IMSK9_TIMER12 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK8_TIMER11_Pos (8UL) /*!< INTC MSK: IMSK8_TIMER11 (Bit 8) */ +#define INTC_MSK_IMSK8_TIMER11_Msk (0x100UL) /*!< INTC MSK: IMSK8_TIMER11 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK7_TIMER10_Pos (7UL) /*!< INTC MSK: IMSK7_TIMER10 (Bit 7) */ +#define INTC_MSK_IMSK7_TIMER10_Msk (0x80UL) /*!< INTC MSK: IMSK7_TIMER10 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK6_EINT3_Pos (6UL) /*!< INTC MSK: IMSK6_EINT3 (Bit 6) */ +#define INTC_MSK_IMSK6_EINT3_Msk (0x40UL) /*!< INTC MSK: IMSK6_EINT3 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK5_EINT2_Pos (5UL) /*!< INTC MSK: IMSK5_EINT2 (Bit 5) */ +#define INTC_MSK_IMSK5_EINT2_Msk (0x20UL) /*!< INTC MSK: IMSK5_EINT2 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK4_EINT1_Pos (4UL) /*!< INTC MSK: IMSK4_EINT1 (Bit 4) */ +#define INTC_MSK_IMSK4_EINT1_Msk (0x10UL) /*!< INTC MSK: IMSK4_EINT1 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK3_EINT0_Pos (3UL) /*!< INTC MSK: IMSK3_EINT0 (Bit 3) */ +#define INTC_MSK_IMSK3_EINT0_Msk (0x8UL) /*!< INTC MSK: IMSK3_EINT0 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK2_WDT_Pos (2UL) /*!< INTC MSK: IMSK2_WDT (Bit 2) */ +#define INTC_MSK_IMSK2_WDT_Msk (0x4UL) /*!< INTC MSK: IMSK2_WDT (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK1_WUT_Pos (1UL) /*!< INTC MSK: IMSK1_WUT (Bit 1) */ +#define INTC_MSK_IMSK1_WUT_Msk (0x2UL) /*!< INTC MSK: IMSK1_WUT (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK0_LVI_Pos (0UL) /*!< INTC MSK: IMSK0_LVI (Bit 0) */ +#define INTC_MSK_IMSK0_LVI_Msk (0x1UL) /*!< INTC MSK: IMSK0_LVI (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ SCUCC ================ */ +/* =========================================================================================================================== */ + +/* ======================================================= VENDORID ======================================================== */ +#define SCUCC_VENDORID_VENDID_Pos (0UL) /*!< SCUCC VENDORID: VENDID (Bit 0) */ +#define SCUCC_VENDORID_VENDID_Msk (0xffffffffUL) /*!< SCUCC VENDORID: VENDID (Bitfield-Mask: 0xffffffff) */ +/* ======================================================== CHIPID ========================================================= */ +#define SCUCC_CHIPID_CHIPID_Pos (0UL) /*!< SCUCC CHIPID: CHIPID (Bit 0) */ +#define SCUCC_CHIPID_CHIPID_Msk (0xffffffffUL) /*!< SCUCC CHIPID: CHIPID (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= REVNR ========================================================= */ +#define SCUCC_REVNR_REVNO_Pos (0UL) /*!< SCUCC REVNR: REVNO (Bit 0) */ +#define SCUCC_REVNR_REVNO_Msk (0xffUL) /*!< SCUCC REVNR: REVNO (Bitfield-Mask: 0xff) */ +/* ======================================================== PMREMAP ======================================================== */ +#define SCUCC_PMREMAP_WTIDKY_Pos (16UL) /*!< SCUCC PMREMAP: WTIDKY (Bit 16) */ +#define SCUCC_PMREMAP_WTIDKY_Msk (0xffff0000UL) /*!< SCUCC PMREMAP: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCC_PMREMAP_nPMREM_Pos (8UL) /*!< SCUCC PMREMAP: nPMREM (Bit 8) */ +#define SCUCC_PMREMAP_nPMREM_Msk (0xff00UL) /*!< SCUCC PMREMAP: nPMREM (Bitfield-Mask: 0xff) */ +#define SCUCC_PMREMAP_PMREM_Pos (0UL) /*!< SCUCC PMREMAP: PMREM (Bit 0) */ +#define SCUCC_PMREMAP_PMREM_Msk (0xffUL) /*!< SCUCC PMREMAP: PMREM (Bitfield-Mask: 0xff) */ +/* ======================================================== BTPSCR ========================================================= */ +#define SCUCC_BTPSCR_BFIND_Pos (5UL) /*!< SCUCC BTPSCR: BFIND (Bit 5) */ +#define SCUCC_BTPSCR_BFIND_Msk (0x60UL) /*!< SCUCC BTPSCR: BFIND (Bitfield-Mask: 0x03) */ +#define SCUCC_BTPSCR_BTPSTA_Pos (0UL) /*!< SCUCC BTPSCR: BTPSTA (Bit 0) */ +#define SCUCC_BTPSCR_BTPSTA_Msk (0x1UL) /*!< SCUCC BTPSCR: BTPSTA (Bitfield-Mask: 0x01) */ +/* ======================================================== RSTSSR ========================================================= */ +#define SCUCC_RSTSSR_MONSTA_Pos (5UL) /*!< SCUCC RSTSSR: MONSTA (Bit 5) */ +#define SCUCC_RSTSSR_MONSTA_Msk (0x20UL) /*!< SCUCC RSTSSR: MONSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_SWSTA_Pos (4UL) /*!< SCUCC RSTSSR: SWSTA (Bit 4) */ +#define SCUCC_RSTSSR_SWSTA_Msk (0x10UL) /*!< SCUCC RSTSSR: SWSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_EXTSTA_Pos (3UL) /*!< SCUCC RSTSSR: EXTSTA (Bit 3) */ +#define SCUCC_RSTSSR_EXTSTA_Msk (0x8UL) /*!< SCUCC RSTSSR: EXTSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_WDTSTA_Pos (2UL) /*!< SCUCC RSTSSR: WDTSTA (Bit 2) */ +#define SCUCC_RSTSSR_WDTSTA_Msk (0x4UL) /*!< SCUCC RSTSSR: WDTSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_LVRSTA_Pos (1UL) /*!< SCUCC RSTSSR: LVRSTA (Bit 1) */ +#define SCUCC_RSTSSR_LVRSTA_Msk (0x2UL) /*!< SCUCC RSTSSR: LVRSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_PORSTA_Pos (0UL) /*!< SCUCC RSTSSR: PORSTA (Bit 0) */ +#define SCUCC_RSTSSR_PORSTA_Msk (0x1UL) /*!< SCUCC RSTSSR: PORSTA (Bitfield-Mask: 0x01) */ +/* ======================================================== NMISRCR ======================================================== */ +#define SCUCC_NMISRCR_NMICON_Pos (7UL) /*!< SCUCC NMISRCR: NMICON (Bit 7) */ +#define SCUCC_NMISRCR_NMICON_Msk (0x80UL) /*!< SCUCC NMISRCR: NMICON (Bitfield-Mask: 0x01) */ +#define SCUCC_NMISRCR_MONINT_Pos (6UL) /*!< SCUCC NMISRCR: MONINT (Bit 6) */ +#define SCUCC_NMISRCR_MONINT_Msk (0x40UL) /*!< SCUCC NMISRCR: MONINT (Bitfield-Mask: 0x01) */ +#define SCUCC_NMISRCR_NMISRC_Pos (0UL) /*!< SCUCC NMISRCR: NMISRC (Bit 0) */ +#define SCUCC_NMISRCR_NMISRC_Msk (0x1fUL) /*!< SCUCC NMISRCR: NMISRC (Bitfield-Mask: 0x1f) */ +/* ======================================================== SWRSTR ========================================================= */ +#define SCUCC_SWRSTR_WTIDKY_Pos (16UL) /*!< SCUCC SWRSTR: WTIDKY (Bit 16) */ +#define SCUCC_SWRSTR_WTIDKY_Msk (0xffff0000UL) /*!< SCUCC SWRSTR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCC_SWRSTR_SWRST_Pos (0UL) /*!< SCUCC SWRSTR: SWRST (Bit 0) */ +#define SCUCC_SWRSTR_SWRST_Msk (0xffUL) /*!< SCUCC SWRSTR: SWRST (Bitfield-Mask: 0xff) */ +/* ======================================================== SRSTVR ========================================================= */ +#define SCUCC_SRSTVR_VALID_Pos (0UL) /*!< SCUCC SRSTVR: VALID (Bit 0) */ +#define SCUCC_SRSTVR_VALID_Msk (0xffUL) /*!< SCUCC SRSTVR: VALID (Bitfield-Mask: 0xff) */ +/* ========================================================= WUTCR ========================================================= */ +#define SCUCC_WUTCR_WUTIEN_Pos (7UL) /*!< SCUCC WUTCR: WUTIEN (Bit 7) */ +#define SCUCC_WUTCR_WUTIEN_Msk (0x80UL) /*!< SCUCC WUTCR: WUTIEN (Bitfield-Mask: 0x01) */ +#define SCUCC_WUTCR_CNTRLD_Pos (1UL) /*!< SCUCC WUTCR: CNTRLD (Bit 1) */ +#define SCUCC_WUTCR_CNTRLD_Msk (0x2UL) /*!< SCUCC WUTCR: CNTRLD (Bitfield-Mask: 0x01) */ +#define SCUCC_WUTCR_WUTIFLAG_Pos (0UL) /*!< SCUCC WUTCR: WUTIFLAG (Bit 0) */ +#define SCUCC_WUTCR_WUTIFLAG_Msk (0x1UL) /*!< SCUCC WUTCR: WUTIFLAG (Bitfield-Mask: 0x01) */ +/* ========================================================= WUTDR ========================================================= */ +#define SCUCC_WUTDR_WUTDATA_Pos (0UL) /*!< SCUCC WUTDR: WUTDATA (Bit 0) */ +#define SCUCC_WUTDR_WUTDATA_Msk (0xffffUL) /*!< SCUCC WUTDR: WUTDATA (Bitfield-Mask: 0xffff) */ +/* ======================================================== HIRCTRM ======================================================== */ +#define SCUCC_HIRCTRM_WTIDKY_Pos (16UL) /*!< SCUCC HIRCTRM: WTIDKY (Bit 16) */ +#define SCUCC_HIRCTRM_WTIDKY_Msk (0xffff0000UL) /*!< SCUCC HIRCTRM: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCC_HIRCTRM_nTRMH_Pos (8UL) /*!< SCUCC HIRCTRM: nTRMH (Bit 8) */ +#define SCUCC_HIRCTRM_nTRMH_Msk (0xff00UL) /*!< SCUCC HIRCTRM: nTRMH (Bitfield-Mask: 0xff) */ +#define SCUCC_HIRCTRM_CTRMH_Pos (5UL) /*!< SCUCC HIRCTRM: CTRMH (Bit 5) */ +#define SCUCC_HIRCTRM_CTRMH_Msk (0xe0UL) /*!< SCUCC HIRCTRM: CTRMH (Bitfield-Mask: 0x07) */ +#define SCUCC_HIRCTRM_FTRMH_Pos (0UL) /*!< SCUCC HIRCTRM: FTRMH (Bit 0) */ +#define SCUCC_HIRCTRM_FTRMH_Msk (0x1fUL) /*!< SCUCC HIRCTRM: FTRMH (Bitfield-Mask: 0x1f) */ +/* ======================================================= WDTRCTRM ======================================================== */ +#define SCUCC_WDTRCTRM_WTIDKY_Pos (16UL) /*!< SCUCC WDTRCTRM: WTIDKY (Bit 16) */ +#define SCUCC_WDTRCTRM_WTIDKY_Msk (0xffff0000UL) /*!< SCUCC WDTRCTRM: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCC_WDTRCTRM_nTRMW_Pos (8UL) /*!< SCUCC WDTRCTRM: nTRMW (Bit 8) */ +#define SCUCC_WDTRCTRM_nTRMW_Msk (0xff00UL) /*!< SCUCC WDTRCTRM: nTRMW (Bitfield-Mask: 0xff) */ +#define SCUCC_WDTRCTRM_CTRMW_Pos (4UL) /*!< SCUCC WDTRCTRM: CTRMW (Bit 4) */ +#define SCUCC_WDTRCTRM_CTRMW_Msk (0xf0UL) /*!< SCUCC WDTRCTRM: CTRMW (Bitfield-Mask: 0x0f) */ +#define SCUCC_WDTRCTRM_FTRMW_Pos (0UL) /*!< SCUCC WDTRCTRM: FTRMW (Bit 0) */ +#define SCUCC_WDTRCTRM_FTRMW_Msk (0x7UL) /*!< SCUCC WDTRCTRM: FTRMW (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ SCUCG ================ */ +/* =========================================================================================================================== */ + +/* ========================================================= SCCR ========================================================== */ +#define SCUCG_SCCR_WTIDKY_Pos (16UL) /*!< SCUCG SCCR: WTIDKY (Bit 16) */ +#define SCUCG_SCCR_WTIDKY_Msk (0xffff0000UL) /*!< SCUCG SCCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCG_SCCR_MCLKSEL_Pos (0UL) /*!< SCUCG SCCR: MCLKSEL (Bit 0) */ +#define SCUCG_SCCR_MCLKSEL_Msk (0x3UL) /*!< SCUCG SCCR: MCLKSEL (Bitfield-Mask: 0x03) */ +/* ======================================================== CLKSRCR ======================================================== */ +#define SCUCG_CLKSRCR_WTIDKY_Pos (16UL) /*!< SCUCG CLKSRCR: WTIDKY (Bit 16) */ +#define SCUCG_CLKSRCR_WTIDKY_Msk (0xffff0000UL) /*!< SCUCG CLKSRCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCG_CLKSRCR_HIRCSEL_Pos (12UL) /*!< SCUCG CLKSRCR: HIRCSEL (Bit 12) */ +#define SCUCG_CLKSRCR_HIRCSEL_Msk (0x3000UL) /*!< SCUCG CLKSRCR: HIRCSEL (Bitfield-Mask: 0x03) */ +#define SCUCG_CLKSRCR_XMFRNG_Pos (8UL) /*!< SCUCG CLKSRCR: XMFRNG (Bit 8) */ +#define SCUCG_CLKSRCR_XMFRNG_Msk (0x100UL) /*!< SCUCG CLKSRCR: XMFRNG (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKSRCR_WDTRCEN_Pos (3UL) /*!< SCUCG CLKSRCR: WDTRCEN (Bit 3) */ +#define SCUCG_CLKSRCR_WDTRCEN_Msk (0x8UL) /*!< SCUCG CLKSRCR: WDTRCEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKSRCR_HIRCEN_Pos (2UL) /*!< SCUCG CLKSRCR: HIRCEN (Bit 2) */ +#define SCUCG_CLKSRCR_HIRCEN_Msk (0x4UL) /*!< SCUCG CLKSRCR: HIRCEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKSRCR_XMOSCEN_Pos (1UL) /*!< SCUCG CLKSRCR: XMOSCEN (Bit 1) */ +#define SCUCG_CLKSRCR_XMOSCEN_Msk (0x2UL) /*!< SCUCG CLKSRCR: XMOSCEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKSRCR_XSOSCEN_Pos (0UL) /*!< SCUCG CLKSRCR: XSOSCEN (Bit 0) */ +#define SCUCG_CLKSRCR_XSOSCEN_Msk (0x1UL) /*!< SCUCG CLKSRCR: XSOSCEN (Bitfield-Mask: 0x01) */ +/* ======================================================== SCDIVR1 ======================================================== */ +#define SCUCG_SCDIVR1_WLDIV_Pos (4UL) /*!< SCUCG SCDIVR1: WLDIV (Bit 4) */ +#define SCUCG_SCDIVR1_WLDIV_Msk (0x70UL) /*!< SCUCG SCDIVR1: WLDIV (Bitfield-Mask: 0x07) */ +#define SCUCG_SCDIVR1_HDIV_Pos (0UL) /*!< SCUCG SCDIVR1: HDIV (Bit 0) */ +#define SCUCG_SCDIVR1_HDIV_Msk (0x7UL) /*!< SCUCG SCDIVR1: HDIV (Bitfield-Mask: 0x07) */ +/* ======================================================== SCDIVR2 ======================================================== */ +#define SCUCG_SCDIVR2_SYSTDIV_Pos (4UL) /*!< SCUCG SCDIVR2: SYSTDIV (Bit 4) */ +#define SCUCG_SCDIVR2_SYSTDIV_Msk (0x30UL) /*!< SCUCG SCDIVR2: SYSTDIV (Bitfield-Mask: 0x03) */ +#define SCUCG_SCDIVR2_PDIV_Pos (0UL) /*!< SCUCG SCDIVR2: PDIV (Bit 0) */ +#define SCUCG_SCDIVR2_PDIV_Msk (0x3UL) /*!< SCUCG SCDIVR2: PDIV (Bitfield-Mask: 0x03) */ +/* ======================================================== CLKOCR ========================================================= */ +#define SCUCG_CLKOCR_CLKOEN_Pos (7UL) /*!< SCUCG CLKOCR: CLKOEN (Bit 7) */ +#define SCUCG_CLKOCR_CLKOEN_Msk (0x80UL) /*!< SCUCG CLKOCR: CLKOEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKOCR_POLSEL_Pos (6UL) /*!< SCUCG CLKOCR: POLSEL (Bit 6) */ +#define SCUCG_CLKOCR_POLSEL_Msk (0x40UL) /*!< SCUCG CLKOCR: POLSEL (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKOCR_CLKODIV_Pos (3UL) /*!< SCUCG CLKOCR: CLKODIV (Bit 3) */ +#define SCUCG_CLKOCR_CLKODIV_Msk (0x38UL) /*!< SCUCG CLKOCR: CLKODIV (Bitfield-Mask: 0x07) */ +#define SCUCG_CLKOCR_CLKOS_Pos (0UL) /*!< SCUCG CLKOCR: CLKOS (Bit 0) */ +#define SCUCG_CLKOCR_CLKOS_Msk (0x7UL) /*!< SCUCG CLKOCR: CLKOS (Bitfield-Mask: 0x07) */ +/* ======================================================== CMONCR ========================================================= */ +#define SCUCG_CMONCR_MONEN_Pos (7UL) /*!< SCUCG CMONCR: MONEN (Bit 7) */ +#define SCUCG_CMONCR_MONEN_Msk (0x80UL) /*!< SCUCG CMONCR: MONEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CMONCR_MACTS_Pos (5UL) /*!< SCUCG CMONCR: MACTS (Bit 5) */ +#define SCUCG_CMONCR_MACTS_Msk (0x60UL) /*!< SCUCG CMONCR: MACTS (Bitfield-Mask: 0x03) */ +#define SCUCG_CMONCR_MONFLAG_Pos (3UL) /*!< SCUCG CMONCR: MONFLAG (Bit 3) */ +#define SCUCG_CMONCR_MONFLAG_Msk (0x8UL) /*!< SCUCG CMONCR: MONFLAG (Bitfield-Mask: 0x01) */ +#define SCUCG_CMONCR_NMINTFG_Pos (2UL) /*!< SCUCG CMONCR: NMINTFG (Bit 2) */ +#define SCUCG_CMONCR_NMINTFG_Msk (0x4UL) /*!< SCUCG CMONCR: NMINTFG (Bitfield-Mask: 0x01) */ +#define SCUCG_CMONCR_MONCS_Pos (0UL) /*!< SCUCG CMONCR: MONCS (Bit 0) */ +#define SCUCG_CMONCR_MONCS_Msk (0x3UL) /*!< SCUCG CMONCR: MONCS (Bitfield-Mask: 0x03) */ +/* ======================================================= PPCLKEN1 ======================================================== */ +#define SCUCG_PPCLKEN1_T21CLKE_Pos (21UL) /*!< SCUCG PPCLKEN1: T21CLKE (Bit 21) */ +#define SCUCG_PPCLKEN1_T21CLKE_Msk (0x200000UL) /*!< SCUCG PPCLKEN1: T21CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T20CLKE_Pos (20UL) /*!< SCUCG PPCLKEN1: T20CLKE (Bit 20) */ +#define SCUCG_PPCLKEN1_T20CLKE_Msk (0x100000UL) /*!< SCUCG PPCLKEN1: T20CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T30CLKE_Pos (19UL) /*!< SCUCG PPCLKEN1: T30CLKE (Bit 19) */ +#define SCUCG_PPCLKEN1_T30CLKE_Msk (0x80000UL) /*!< SCUCG PPCLKEN1: T30CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T12CLKE_Pos (18UL) /*!< SCUCG PPCLKEN1: T12CLKE (Bit 18) */ +#define SCUCG_PPCLKEN1_T12CLKE_Msk (0x40000UL) /*!< SCUCG PPCLKEN1: T12CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T11CLKE_Pos (17UL) /*!< SCUCG PPCLKEN1: T11CLKE (Bit 17) */ +#define SCUCG_PPCLKEN1_T11CLKE_Msk (0x20000UL) /*!< SCUCG PPCLKEN1: T11CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T10CLKE_Pos (16UL) /*!< SCUCG PPCLKEN1: T10CLKE (Bit 16) */ +#define SCUCG_PPCLKEN1_T10CLKE_Msk (0x10000UL) /*!< SCUCG PPCLKEN1: T10CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T16CLKE_Pos (11UL) /*!< SCUCG PPCLKEN1: T16CLKE (Bit 11) */ +#define SCUCG_PPCLKEN1_T16CLKE_Msk (0x800UL) /*!< SCUCG PPCLKEN1: T16CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T15CLKE_Pos (10UL) /*!< SCUCG PPCLKEN1: T15CLKE (Bit 10) */ +#define SCUCG_PPCLKEN1_T15CLKE_Msk (0x400UL) /*!< SCUCG PPCLKEN1: T15CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T14CLKE_Pos (9UL) /*!< SCUCG PPCLKEN1: T14CLKE (Bit 9) */ +#define SCUCG_PPCLKEN1_T14CLKE_Msk (0x200UL) /*!< SCUCG PPCLKEN1: T14CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T13CLKE_Pos (8UL) /*!< SCUCG PPCLKEN1: T13CLKE (Bit 8) */ +#define SCUCG_PPCLKEN1_T13CLKE_Msk (0x100UL) /*!< SCUCG PPCLKEN1: T13CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PFCLKE_Pos (5UL) /*!< SCUCG PPCLKEN1: PFCLKE (Bit 5) */ +#define SCUCG_PPCLKEN1_PFCLKE_Msk (0x20UL) /*!< SCUCG PPCLKEN1: PFCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PECLKE_Pos (4UL) /*!< SCUCG PPCLKEN1: PECLKE (Bit 4) */ +#define SCUCG_PPCLKEN1_PECLKE_Msk (0x10UL) /*!< SCUCG PPCLKEN1: PECLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PDCLKE_Pos (3UL) /*!< SCUCG PPCLKEN1: PDCLKE (Bit 3) */ +#define SCUCG_PPCLKEN1_PDCLKE_Msk (0x8UL) /*!< SCUCG PPCLKEN1: PDCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PCCLKE_Pos (2UL) /*!< SCUCG PPCLKEN1: PCCLKE (Bit 2) */ +#define SCUCG_PPCLKEN1_PCCLKE_Msk (0x4UL) /*!< SCUCG PPCLKEN1: PCCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PBCLKE_Pos (1UL) /*!< SCUCG PPCLKEN1: PBCLKE (Bit 1) */ +#define SCUCG_PPCLKEN1_PBCLKE_Msk (0x2UL) /*!< SCUCG PPCLKEN1: PBCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PACLKE_Pos (0UL) /*!< SCUCG PPCLKEN1: PACLKE (Bit 0) */ +#define SCUCG_PPCLKEN1_PACLKE_Msk (0x1UL) /*!< SCUCG PPCLKEN1: PACLKE (Bitfield-Mask: 0x01) */ +/* ======================================================= PPCLKEN2 ======================================================== */ +#define SCUCG_PPCLKEN2_FMCLKE_Pos (19UL) /*!< SCUCG PPCLKEN2: FMCLKE (Bit 19) */ +#define SCUCG_PPCLKEN2_FMCLKE_Msk (0x80000UL) /*!< SCUCG PPCLKEN2: FMCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_LVICLKE_Pos (18UL) /*!< SCUCG PPCLKEN2: LVICLKE (Bit 18) */ +#define SCUCG_PPCLKEN2_LVICLKE_Msk (0x40000UL) /*!< SCUCG PPCLKEN2: LVICLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_WDTCLKE_Pos (17UL) /*!< SCUCG PPCLKEN2: WDTCLKE (Bit 17) */ +#define SCUCG_PPCLKEN2_WDTCLKE_Msk (0x20000UL) /*!< SCUCG PPCLKEN2: WDTCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_WTCLKE_Pos (16UL) /*!< SCUCG PPCLKEN2: WTCLKE (Bit 16) */ +#define SCUCG_PPCLKEN2_WTCLKE_Msk (0x10000UL) /*!< SCUCG PPCLKEN2: WTCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_LCDCLKE_Pos (13UL) /*!< SCUCG PPCLKEN2: LCDCLKE (Bit 13) */ +#define SCUCG_PPCLKEN2_LCDCLKE_Msk (0x2000UL) /*!< SCUCG PPCLKEN2: LCDCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_CRCLKE_Pos (12UL) /*!< SCUCG PPCLKEN2: CRCLKE (Bit 12) */ +#define SCUCG_PPCLKEN2_CRCLKE_Msk (0x1000UL) /*!< SCUCG PPCLKEN2: CRCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_ADCLKE_Pos (10UL) /*!< SCUCG PPCLKEN2: ADCLKE (Bit 10) */ +#define SCUCG_PPCLKEN2_ADCLKE_Msk (0x400UL) /*!< SCUCG PPCLKEN2: ADCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_I2C2CLKE_Pos (8UL) /*!< SCUCG PPCLKEN2: I2C2CLKE (Bit 8) */ +#define SCUCG_PPCLKEN2_I2C2CLKE_Msk (0x100UL) /*!< SCUCG PPCLKEN2: I2C2CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_I2C1CLKE_Pos (7UL) /*!< SCUCG PPCLKEN2: I2C1CLKE (Bit 7) */ +#define SCUCG_PPCLKEN2_I2C1CLKE_Msk (0x80UL) /*!< SCUCG PPCLKEN2: I2C1CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_I2C0CLKE_Pos (6UL) /*!< SCUCG PPCLKEN2: I2C0CLKE (Bit 6) */ +#define SCUCG_PPCLKEN2_I2C0CLKE_Msk (0x40UL) /*!< SCUCG PPCLKEN2: I2C0CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UST13CLKE_Pos (5UL) /*!< SCUCG PPCLKEN2: UST13CLKE (Bit 5) */ +#define SCUCG_PPCLKEN2_UST13CLKE_Msk (0x20UL) /*!< SCUCG PPCLKEN2: UST13CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UST12CLKE_Pos (4UL) /*!< SCUCG PPCLKEN2: UST12CLKE (Bit 4) */ +#define SCUCG_PPCLKEN2_UST12CLKE_Msk (0x10UL) /*!< SCUCG PPCLKEN2: UST12CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UT1CLKE_Pos (3UL) /*!< SCUCG PPCLKEN2: UT1CLKE (Bit 3) */ +#define SCUCG_PPCLKEN2_UT1CLKE_Msk (0x8UL) /*!< SCUCG PPCLKEN2: UT1CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UT0CLKE_Pos (2UL) /*!< SCUCG PPCLKEN2: UT0CLKE (Bit 2) */ +#define SCUCG_PPCLKEN2_UT0CLKE_Msk (0x4UL) /*!< SCUCG PPCLKEN2: UT0CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UST11CLKE_Pos (1UL) /*!< SCUCG PPCLKEN2: UST11CLKE (Bit 1) */ +#define SCUCG_PPCLKEN2_UST11CLKE_Msk (0x2UL) /*!< SCUCG PPCLKEN2: UST11CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UST10CLKE_Pos (0UL) /*!< SCUCG PPCLKEN2: UST10CLKE (Bit 0) */ +#define SCUCG_PPCLKEN2_UST10CLKE_Msk (0x1UL) /*!< SCUCG PPCLKEN2: UST10CLKE (Bitfield-Mask: 0x01) */ +/* ======================================================== PPCLKSR ======================================================== */ +#define SCUCG_PPCLKSR_T20CLK_Pos (20UL) /*!< SCUCG PPCLKSR: T20CLK (Bit 20) */ +#define SCUCG_PPCLKSR_T20CLK_Msk (0x100000UL) /*!< SCUCG PPCLKSR: T20CLK (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKSR_T30CLK_Pos (17UL) /*!< SCUCG PPCLKSR: T30CLK (Bit 17) */ +#define SCUCG_PPCLKSR_T30CLK_Msk (0x20000UL) /*!< SCUCG PPCLKSR: T30CLK (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKSR_LCDCLK_Pos (6UL) /*!< SCUCG PPCLKSR: LCDCLK (Bit 6) */ +#define SCUCG_PPCLKSR_LCDCLK_Msk (0xc0UL) /*!< SCUCG PPCLKSR: LCDCLK (Bitfield-Mask: 0x03) */ +#define SCUCG_PPCLKSR_WTCLK_Pos (3UL) /*!< SCUCG PPCLKSR: WTCLK (Bit 3) */ +#define SCUCG_PPCLKSR_WTCLK_Msk (0x18UL) /*!< SCUCG PPCLKSR: WTCLK (Bitfield-Mask: 0x03) */ +#define SCUCG_PPCLKSR_WDTCLK_Pos (0UL) /*!< SCUCG PPCLKSR: WDTCLK (Bit 0) */ +#define SCUCG_PPCLKSR_WDTCLK_Msk (0x1UL) /*!< SCUCG PPCLKSR: WDTCLK (Bitfield-Mask: 0x01) */ +/* ======================================================== PPRST1 ========================================================= */ +#define SCUCG_PPRST1_T21RST_Pos (21UL) /*!< SCUCG PPRST1: T21RST (Bit 21) */ +#define SCUCG_PPRST1_T21RST_Msk (0x200000UL) /*!< SCUCG PPRST1: T21RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T20RST_Pos (20UL) /*!< SCUCG PPRST1: T20RST (Bit 20) */ +#define SCUCG_PPRST1_T20RST_Msk (0x100000UL) /*!< SCUCG PPRST1: T20RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T30RST_Pos (19UL) /*!< SCUCG PPRST1: T30RST (Bit 19) */ +#define SCUCG_PPRST1_T30RST_Msk (0x80000UL) /*!< SCUCG PPRST1: T30RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T12RST_Pos (18UL) /*!< SCUCG PPRST1: T12RST (Bit 18) */ +#define SCUCG_PPRST1_T12RST_Msk (0x40000UL) /*!< SCUCG PPRST1: T12RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T11RST_Pos (17UL) /*!< SCUCG PPRST1: T11RST (Bit 17) */ +#define SCUCG_PPRST1_T11RST_Msk (0x20000UL) /*!< SCUCG PPRST1: T11RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T10RST_Pos (16UL) /*!< SCUCG PPRST1: T10RST (Bit 16) */ +#define SCUCG_PPRST1_T10RST_Msk (0x10000UL) /*!< SCUCG PPRST1: T10RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T16RST_Pos (11UL) /*!< SCUCG PPRST1: T16RST (Bit 11) */ +#define SCUCG_PPRST1_T16RST_Msk (0x800UL) /*!< SCUCG PPRST1: T16RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T15RST_Pos (10UL) /*!< SCUCG PPRST1: T15RST (Bit 10) */ +#define SCUCG_PPRST1_T15RST_Msk (0x400UL) /*!< SCUCG PPRST1: T15RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T14RST_Pos (9UL) /*!< SCUCG PPRST1: T14RST (Bit 9) */ +#define SCUCG_PPRST1_T14RST_Msk (0x200UL) /*!< SCUCG PPRST1: T14RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T13RST_Pos (8UL) /*!< SCUCG PPRST1: T13RST (Bit 8) */ +#define SCUCG_PPRST1_T13RST_Msk (0x100UL) /*!< SCUCG PPRST1: T13RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PFRST_Pos (5UL) /*!< SCUCG PPRST1: PFRST (Bit 5) */ +#define SCUCG_PPRST1_PFRST_Msk (0x20UL) /*!< SCUCG PPRST1: PFRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PERST_Pos (4UL) /*!< SCUCG PPRST1: PERST (Bit 4) */ +#define SCUCG_PPRST1_PERST_Msk (0x10UL) /*!< SCUCG PPRST1: PERST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PDRST_Pos (3UL) /*!< SCUCG PPRST1: PDRST (Bit 3) */ +#define SCUCG_PPRST1_PDRST_Msk (0x8UL) /*!< SCUCG PPRST1: PDRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PCRST_Pos (2UL) /*!< SCUCG PPRST1: PCRST (Bit 2) */ +#define SCUCG_PPRST1_PCRST_Msk (0x4UL) /*!< SCUCG PPRST1: PCRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PBRST_Pos (1UL) /*!< SCUCG PPRST1: PBRST (Bit 1) */ +#define SCUCG_PPRST1_PBRST_Msk (0x2UL) /*!< SCUCG PPRST1: PBRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PARST_Pos (0UL) /*!< SCUCG PPRST1: PARST (Bit 0) */ +#define SCUCG_PPRST1_PARST_Msk (0x1UL) /*!< SCUCG PPRST1: PARST (Bitfield-Mask: 0x01) */ +/* ======================================================== PPRST2 ========================================================= */ +#define SCUCG_PPRST2_FMCRST_Pos (19UL) /*!< SCUCG PPRST2: FMCRST (Bit 19) */ +#define SCUCG_PPRST2_FMCRST_Msk (0x80000UL) /*!< SCUCG PPRST2: FMCRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_LVIRST_Pos (18UL) /*!< SCUCG PPRST2: LVIRST (Bit 18) */ +#define SCUCG_PPRST2_LVIRST_Msk (0x40000UL) /*!< SCUCG PPRST2: LVIRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_WTRST_Pos (16UL) /*!< SCUCG PPRST2: WTRST (Bit 16) */ +#define SCUCG_PPRST2_WTRST_Msk (0x10000UL) /*!< SCUCG PPRST2: WTRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_LCDRST_Pos (13UL) /*!< SCUCG PPRST2: LCDRST (Bit 13) */ +#define SCUCG_PPRST2_LCDRST_Msk (0x2000UL) /*!< SCUCG PPRST2: LCDRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_CRRST_Pos (12UL) /*!< SCUCG PPRST2: CRRST (Bit 12) */ +#define SCUCG_PPRST2_CRRST_Msk (0x1000UL) /*!< SCUCG PPRST2: CRRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_ADRST_Pos (10UL) /*!< SCUCG PPRST2: ADRST (Bit 10) */ +#define SCUCG_PPRST2_ADRST_Msk (0x400UL) /*!< SCUCG PPRST2: ADRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_I2C2RST_Pos (8UL) /*!< SCUCG PPRST2: I2C2RST (Bit 8) */ +#define SCUCG_PPRST2_I2C2RST_Msk (0x100UL) /*!< SCUCG PPRST2: I2C2RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_I2C1RST_Pos (7UL) /*!< SCUCG PPRST2: I2C1RST (Bit 7) */ +#define SCUCG_PPRST2_I2C1RST_Msk (0x80UL) /*!< SCUCG PPRST2: I2C1RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_I2C0RST_Pos (6UL) /*!< SCUCG PPRST2: I2C0RST (Bit 6) */ +#define SCUCG_PPRST2_I2C0RST_Msk (0x40UL) /*!< SCUCG PPRST2: I2C0RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UST13RST_Pos (5UL) /*!< SCUCG PPRST2: UST13RST (Bit 5) */ +#define SCUCG_PPRST2_UST13RST_Msk (0x20UL) /*!< SCUCG PPRST2: UST13RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UST12RST_Pos (4UL) /*!< SCUCG PPRST2: UST12RST (Bit 4) */ +#define SCUCG_PPRST2_UST12RST_Msk (0x10UL) /*!< SCUCG PPRST2: UST12RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UT1RST_Pos (3UL) /*!< SCUCG PPRST2: UT1RST (Bit 3) */ +#define SCUCG_PPRST2_UT1RST_Msk (0x8UL) /*!< SCUCG PPRST2: UT1RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UT0RST_Pos (2UL) /*!< SCUCG PPRST2: UT0RST (Bit 2) */ +#define SCUCG_PPRST2_UT0RST_Msk (0x4UL) /*!< SCUCG PPRST2: UT0RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UST11RST_Pos (1UL) /*!< SCUCG PPRST2: UST11RST (Bit 1) */ +#define SCUCG_PPRST2_UST11RST_Msk (0x2UL) /*!< SCUCG PPRST2: UST11RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UST10RST_Pos (0UL) /*!< SCUCG PPRST2: UST10RST (Bit 0) */ +#define SCUCG_PPRST2_UST10RST_Msk (0x1UL) /*!< SCUCG PPRST2: UST10RST (Bitfield-Mask: 0x01) */ +/* ======================================================== XTFLSR ========================================================= */ +#define SCUCG_XTFLSR_WTIDKY_Pos (16UL) /*!< SCUCG XTFLSR: WTIDKY (Bit 16) */ +#define SCUCG_XTFLSR_WTIDKY_Msk (0xffff0000UL) /*!< SCUCG XTFLSR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCG_XTFLSR_XRNS_Pos (0UL) /*!< SCUCG XTFLSR: XRNS (Bit 0) */ +#define SCUCG_XTFLSR_XRNS_Msk (0x7UL) /*!< SCUCG XTFLSR: XRNS (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ SCULV ================ */ +/* =========================================================================================================================== */ + +/* ========================================================= LVICR ========================================================= */ +#define SCULV_LVICR_LVIEN_Pos (7UL) /*!< SCULV LVICR: LVIEN (Bit 7) */ +#define SCULV_LVICR_LVIEN_Msk (0x80UL) /*!< SCULV LVICR: LVIEN (Bitfield-Mask: 0x01) */ +#define SCULV_LVICR_LVINTEN_Pos (5UL) /*!< SCULV LVICR: LVINTEN (Bit 5) */ +#define SCULV_LVICR_LVINTEN_Msk (0x20UL) /*!< SCULV LVICR: LVINTEN (Bitfield-Mask: 0x01) */ +#define SCULV_LVICR_LVIFLAG_Pos (4UL) /*!< SCULV LVICR: LVIFLAG (Bit 4) */ +#define SCULV_LVICR_LVIFLAG_Msk (0x10UL) /*!< SCULV LVICR: LVIFLAG (Bitfield-Mask: 0x01) */ +#define SCULV_LVICR_LVIVS_Pos (0UL) /*!< SCULV LVICR: LVIVS (Bit 0) */ +#define SCULV_LVICR_LVIVS_Msk (0xfUL) /*!< SCULV LVICR: LVIVS (Bitfield-Mask: 0x0f) */ +/* ========================================================= LVRCR ========================================================= */ +#define SCULV_LVRCR_LVREN_Pos (0UL) /*!< SCULV LVRCR: LVREN (Bit 0) */ +#define SCULV_LVRCR_LVREN_Msk (0xffUL) /*!< SCULV LVRCR: LVREN (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ Pn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define Pn_MOD_MODE15_Pos (30UL) /*!< Pn MOD: MODE15 (Bit 30) */ +#define Pn_MOD_MODE15_Msk (0xc0000000UL) /*!< Pn MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE14_Pos (28UL) /*!< Pn MOD: MODE14 (Bit 28) */ +#define Pn_MOD_MODE14_Msk (0x30000000UL) /*!< Pn MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE13_Pos (26UL) /*!< Pn MOD: MODE13 (Bit 26) */ +#define Pn_MOD_MODE13_Msk (0xc000000UL) /*!< Pn MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE12_Pos (24UL) /*!< Pn MOD: MODE12 (Bit 24) */ +#define Pn_MOD_MODE12_Msk (0x3000000UL) /*!< Pn MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE11_Pos (22UL) /*!< Pn MOD: MODE11 (Bit 22) */ +#define Pn_MOD_MODE11_Msk (0xc00000UL) /*!< Pn MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE10_Pos (20UL) /*!< Pn MOD: MODE10 (Bit 20) */ +#define Pn_MOD_MODE10_Msk (0x300000UL) /*!< Pn MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE9_Pos (18UL) /*!< Pn MOD: MODE9 (Bit 18) */ +#define Pn_MOD_MODE9_Msk (0xc0000UL) /*!< Pn MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE8_Pos (16UL) /*!< Pn MOD: MODE8 (Bit 16) */ +#define Pn_MOD_MODE8_Msk (0x30000UL) /*!< Pn MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE7_Pos (14UL) /*!< Pn MOD: MODE7 (Bit 14) */ +#define Pn_MOD_MODE7_Msk (0xc000UL) /*!< Pn MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE6_Pos (12UL) /*!< Pn MOD: MODE6 (Bit 12) */ +#define Pn_MOD_MODE6_Msk (0x3000UL) /*!< Pn MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE5_Pos (10UL) /*!< Pn MOD: MODE5 (Bit 10) */ +#define Pn_MOD_MODE5_Msk (0xc00UL) /*!< Pn MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE4_Pos (8UL) /*!< Pn MOD: MODE4 (Bit 8) */ +#define Pn_MOD_MODE4_Msk (0x300UL) /*!< Pn MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE3_Pos (6UL) /*!< Pn MOD: MODE3 (Bit 6) */ +#define Pn_MOD_MODE3_Msk (0xc0UL) /*!< Pn MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE2_Pos (4UL) /*!< Pn MOD: MODE2 (Bit 4) */ +#define Pn_MOD_MODE2_Msk (0x30UL) /*!< Pn MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE1_Pos (2UL) /*!< Pn MOD: MODE1 (Bit 2) */ +#define Pn_MOD_MODE1_Msk (0xcUL) /*!< Pn MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE0_Pos (0UL) /*!< Pn MOD: MODE0 (Bit 0) */ +#define Pn_MOD_MODE0_Msk (0x3UL) /*!< Pn MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define Pn_TYP_TYP15_Pos (15UL) /*!< Pn TYP: TYP15 (Bit 15) */ +#define Pn_TYP_TYP15_Msk (0x8000UL) /*!< Pn TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP14_Pos (14UL) /*!< Pn TYP: TYP14 (Bit 14) */ +#define Pn_TYP_TYP14_Msk (0x4000UL) /*!< Pn TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP13_Pos (13UL) /*!< Pn TYP: TYP13 (Bit 13) */ +#define Pn_TYP_TYP13_Msk (0x2000UL) /*!< Pn TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP12_Pos (12UL) /*!< Pn TYP: TYP12 (Bit 12) */ +#define Pn_TYP_TYP12_Msk (0x1000UL) /*!< Pn TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP11_Pos (11UL) /*!< Pn TYP: TYP11 (Bit 11) */ +#define Pn_TYP_TYP11_Msk (0x800UL) /*!< Pn TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP10_Pos (10UL) /*!< Pn TYP: TYP10 (Bit 10) */ +#define Pn_TYP_TYP10_Msk (0x400UL) /*!< Pn TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP9_Pos (9UL) /*!< Pn TYP: TYP9 (Bit 9) */ +#define Pn_TYP_TYP9_Msk (0x200UL) /*!< Pn TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP8_Pos (8UL) /*!< Pn TYP: TYP8 (Bit 8) */ +#define Pn_TYP_TYP8_Msk (0x100UL) /*!< Pn TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP7_Pos (7UL) /*!< Pn TYP: TYP7 (Bit 7) */ +#define Pn_TYP_TYP7_Msk (0x80UL) /*!< Pn TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP6_Pos (6UL) /*!< Pn TYP: TYP6 (Bit 6) */ +#define Pn_TYP_TYP6_Msk (0x40UL) /*!< Pn TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP5_Pos (5UL) /*!< Pn TYP: TYP5 (Bit 5) */ +#define Pn_TYP_TYP5_Msk (0x20UL) /*!< Pn TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP4_Pos (4UL) /*!< Pn TYP: TYP4 (Bit 4) */ +#define Pn_TYP_TYP4_Msk (0x10UL) /*!< Pn TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP3_Pos (3UL) /*!< Pn TYP: TYP3 (Bit 3) */ +#define Pn_TYP_TYP3_Msk (0x8UL) /*!< Pn TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP2_Pos (2UL) /*!< Pn TYP: TYP2 (Bit 2) */ +#define Pn_TYP_TYP2_Msk (0x4UL) /*!< Pn TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP1_Pos (1UL) /*!< Pn TYP: TYP1 (Bit 1) */ +#define Pn_TYP_TYP1_Msk (0x2UL) /*!< Pn TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP0_Pos (0UL) /*!< Pn TYP: TYP0 (Bit 0) */ +#define Pn_TYP_TYP0_Msk (0x1UL) /*!< Pn TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define Pn_AFSR1_AFSR7_Pos (28UL) /*!< Pn AFSR1: AFSR7 (Bit 28) */ +#define Pn_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< Pn AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR6_Pos (24UL) /*!< Pn AFSR1: AFSR6 (Bit 24) */ +#define Pn_AFSR1_AFSR6_Msk (0xf000000UL) /*!< Pn AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR5_Pos (20UL) /*!< Pn AFSR1: AFSR5 (Bit 20) */ +#define Pn_AFSR1_AFSR5_Msk (0xf00000UL) /*!< Pn AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR4_Pos (16UL) /*!< Pn AFSR1: AFSR4 (Bit 16) */ +#define Pn_AFSR1_AFSR4_Msk (0xf0000UL) /*!< Pn AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR3_Pos (12UL) /*!< Pn AFSR1: AFSR3 (Bit 12) */ +#define Pn_AFSR1_AFSR3_Msk (0xf000UL) /*!< Pn AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR2_Pos (8UL) /*!< Pn AFSR1: AFSR2 (Bit 8) */ +#define Pn_AFSR1_AFSR2_Msk (0xf00UL) /*!< Pn AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR1_Pos (4UL) /*!< Pn AFSR1: AFSR1 (Bit 4) */ +#define Pn_AFSR1_AFSR1_Msk (0xf0UL) /*!< Pn AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR0_Pos (0UL) /*!< Pn AFSR1: AFSR0 (Bit 0) */ +#define Pn_AFSR1_AFSR0_Msk (0xfUL) /*!< Pn AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define Pn_AFSR2_AFSR15_Pos (28UL) /*!< Pn AFSR2: AFSR15 (Bit 28) */ +#define Pn_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< Pn AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR14_Pos (24UL) /*!< Pn AFSR2: AFSR14 (Bit 24) */ +#define Pn_AFSR2_AFSR14_Msk (0xf000000UL) /*!< Pn AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR13_Pos (20UL) /*!< Pn AFSR2: AFSR13 (Bit 20) */ +#define Pn_AFSR2_AFSR13_Msk (0xf00000UL) /*!< Pn AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR12_Pos (16UL) /*!< Pn AFSR2: AFSR12 (Bit 16) */ +#define Pn_AFSR2_AFSR12_Msk (0xf0000UL) /*!< Pn AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR11_Pos (12UL) /*!< Pn AFSR2: AFSR11 (Bit 12) */ +#define Pn_AFSR2_AFSR11_Msk (0xf000UL) /*!< Pn AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR10_Pos (8UL) /*!< Pn AFSR2: AFSR10 (Bit 8) */ +#define Pn_AFSR2_AFSR10_Msk (0xf00UL) /*!< Pn AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR9_Pos (4UL) /*!< Pn AFSR2: AFSR9 (Bit 4) */ +#define Pn_AFSR2_AFSR9_Msk (0xf0UL) /*!< Pn AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR8_Pos (0UL) /*!< Pn AFSR2: AFSR8 (Bit 0) */ +#define Pn_AFSR2_AFSR8_Msk (0xfUL) /*!< Pn AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define Pn_PUPD_PUPD15_Pos (30UL) /*!< Pn PUPD: PUPD15 (Bit 30) */ +#define Pn_PUPD_PUPD15_Msk (0xc0000000UL) /*!< Pn PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD14_Pos (28UL) /*!< Pn PUPD: PUPD14 (Bit 28) */ +#define Pn_PUPD_PUPD14_Msk (0x30000000UL) /*!< Pn PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD13_Pos (26UL) /*!< Pn PUPD: PUPD13 (Bit 26) */ +#define Pn_PUPD_PUPD13_Msk (0xc000000UL) /*!< Pn PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD12_Pos (24UL) /*!< Pn PUPD: PUPD12 (Bit 24) */ +#define Pn_PUPD_PUPD12_Msk (0x3000000UL) /*!< Pn PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD11_Pos (22UL) /*!< Pn PUPD: PUPD11 (Bit 22) */ +#define Pn_PUPD_PUPD11_Msk (0xc00000UL) /*!< Pn PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD10_Pos (20UL) /*!< Pn PUPD: PUPD10 (Bit 20) */ +#define Pn_PUPD_PUPD10_Msk (0x300000UL) /*!< Pn PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD9_Pos (18UL) /*!< Pn PUPD: PUPD9 (Bit 18) */ +#define Pn_PUPD_PUPD9_Msk (0xc0000UL) /*!< Pn PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD8_Pos (16UL) /*!< Pn PUPD: PUPD8 (Bit 16) */ +#define Pn_PUPD_PUPD8_Msk (0x30000UL) /*!< Pn PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD7_Pos (14UL) /*!< Pn PUPD: PUPD7 (Bit 14) */ +#define Pn_PUPD_PUPD7_Msk (0xc000UL) /*!< Pn PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD6_Pos (12UL) /*!< Pn PUPD: PUPD6 (Bit 12) */ +#define Pn_PUPD_PUPD6_Msk (0x3000UL) /*!< Pn PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD5_Pos (10UL) /*!< Pn PUPD: PUPD5 (Bit 10) */ +#define Pn_PUPD_PUPD5_Msk (0xc00UL) /*!< Pn PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD4_Pos (8UL) /*!< Pn PUPD: PUPD4 (Bit 8) */ +#define Pn_PUPD_PUPD4_Msk (0x300UL) /*!< Pn PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD3_Pos (6UL) /*!< Pn PUPD: PUPD3 (Bit 6) */ +#define Pn_PUPD_PUPD3_Msk (0xc0UL) /*!< Pn PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD2_Pos (4UL) /*!< Pn PUPD: PUPD2 (Bit 4) */ +#define Pn_PUPD_PUPD2_Msk (0x30UL) /*!< Pn PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD1_Pos (2UL) /*!< Pn PUPD: PUPD1 (Bit 2) */ +#define Pn_PUPD_PUPD1_Msk (0xcUL) /*!< Pn PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD0_Pos (0UL) /*!< Pn PUPD: PUPD0 (Bit 0) */ +#define Pn_PUPD_PUPD0_Msk (0x3UL) /*!< Pn PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define Pn_INDR_INDR15_Pos (15UL) /*!< Pn INDR: INDR15 (Bit 15) */ +#define Pn_INDR_INDR15_Msk (0x8000UL) /*!< Pn INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR14_Pos (14UL) /*!< Pn INDR: INDR14 (Bit 14) */ +#define Pn_INDR_INDR14_Msk (0x4000UL) /*!< Pn INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR13_Pos (13UL) /*!< Pn INDR: INDR13 (Bit 13) */ +#define Pn_INDR_INDR13_Msk (0x2000UL) /*!< Pn INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR12_Pos (12UL) /*!< Pn INDR: INDR12 (Bit 12) */ +#define Pn_INDR_INDR12_Msk (0x1000UL) /*!< Pn INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR11_Pos (11UL) /*!< Pn INDR: INDR11 (Bit 11) */ +#define Pn_INDR_INDR11_Msk (0x800UL) /*!< Pn INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR10_Pos (10UL) /*!< Pn INDR: INDR10 (Bit 10) */ +#define Pn_INDR_INDR10_Msk (0x400UL) /*!< Pn INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR9_Pos (9UL) /*!< Pn INDR: INDR9 (Bit 9) */ +#define Pn_INDR_INDR9_Msk (0x200UL) /*!< Pn INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR8_Pos (8UL) /*!< Pn INDR: INDR8 (Bit 8) */ +#define Pn_INDR_INDR8_Msk (0x100UL) /*!< Pn INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR7_Pos (7UL) /*!< Pn INDR: INDR7 (Bit 7) */ +#define Pn_INDR_INDR7_Msk (0x80UL) /*!< Pn INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR6_Pos (6UL) /*!< Pn INDR: INDR6 (Bit 6) */ +#define Pn_INDR_INDR6_Msk (0x40UL) /*!< Pn INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR5_Pos (5UL) /*!< Pn INDR: INDR5 (Bit 5) */ +#define Pn_INDR_INDR5_Msk (0x20UL) /*!< Pn INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR4_Pos (4UL) /*!< Pn INDR: INDR4 (Bit 4) */ +#define Pn_INDR_INDR4_Msk (0x10UL) /*!< Pn INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR3_Pos (3UL) /*!< Pn INDR: INDR3 (Bit 3) */ +#define Pn_INDR_INDR3_Msk (0x8UL) /*!< Pn INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR2_Pos (2UL) /*!< Pn INDR: INDR2 (Bit 2) */ +#define Pn_INDR_INDR2_Msk (0x4UL) /*!< Pn INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR1_Pos (1UL) /*!< Pn INDR: INDR1 (Bit 1) */ +#define Pn_INDR_INDR1_Msk (0x2UL) /*!< Pn INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR0_Pos (0UL) /*!< Pn INDR: INDR0 (Bit 0) */ +#define Pn_INDR_INDR0_Msk (0x1UL) /*!< Pn INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define Pn_OUTDR_OUTDR15_Pos (15UL) /*!< Pn OUTDR: OUTDR15 (Bit 15) */ +#define Pn_OUTDR_OUTDR15_Msk (0x8000UL) /*!< Pn OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR14_Pos (14UL) /*!< Pn OUTDR: OUTDR14 (Bit 14) */ +#define Pn_OUTDR_OUTDR14_Msk (0x4000UL) /*!< Pn OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR13_Pos (13UL) /*!< Pn OUTDR: OUTDR13 (Bit 13) */ +#define Pn_OUTDR_OUTDR13_Msk (0x2000UL) /*!< Pn OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR12_Pos (12UL) /*!< Pn OUTDR: OUTDR12 (Bit 12) */ +#define Pn_OUTDR_OUTDR12_Msk (0x1000UL) /*!< Pn OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR11_Pos (11UL) /*!< Pn OUTDR: OUTDR11 (Bit 11) */ +#define Pn_OUTDR_OUTDR11_Msk (0x800UL) /*!< Pn OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR10_Pos (10UL) /*!< Pn OUTDR: OUTDR10 (Bit 10) */ +#define Pn_OUTDR_OUTDR10_Msk (0x400UL) /*!< Pn OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR9_Pos (9UL) /*!< Pn OUTDR: OUTDR9 (Bit 9) */ +#define Pn_OUTDR_OUTDR9_Msk (0x200UL) /*!< Pn OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR8_Pos (8UL) /*!< Pn OUTDR: OUTDR8 (Bit 8) */ +#define Pn_OUTDR_OUTDR8_Msk (0x100UL) /*!< Pn OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR7_Pos (7UL) /*!< Pn OUTDR: OUTDR7 (Bit 7) */ +#define Pn_OUTDR_OUTDR7_Msk (0x80UL) /*!< Pn OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR6_Pos (6UL) /*!< Pn OUTDR: OUTDR6 (Bit 6) */ +#define Pn_OUTDR_OUTDR6_Msk (0x40UL) /*!< Pn OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR5_Pos (5UL) /*!< Pn OUTDR: OUTDR5 (Bit 5) */ +#define Pn_OUTDR_OUTDR5_Msk (0x20UL) /*!< Pn OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR4_Pos (4UL) /*!< Pn OUTDR: OUTDR4 (Bit 4) */ +#define Pn_OUTDR_OUTDR4_Msk (0x10UL) /*!< Pn OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR3_Pos (3UL) /*!< Pn OUTDR: OUTDR3 (Bit 3) */ +#define Pn_OUTDR_OUTDR3_Msk (0x8UL) /*!< Pn OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR2_Pos (2UL) /*!< Pn OUTDR: OUTDR2 (Bit 2) */ +#define Pn_OUTDR_OUTDR2_Msk (0x4UL) /*!< Pn OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR1_Pos (1UL) /*!< Pn OUTDR: OUTDR1 (Bit 1) */ +#define Pn_OUTDR_OUTDR1_Msk (0x2UL) /*!< Pn OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR0_Pos (0UL) /*!< Pn OUTDR: OUTDR0 (Bit 0) */ +#define Pn_OUTDR_OUTDR0_Msk (0x1UL) /*!< Pn OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define Pn_BSR_BSR15_Pos (15UL) /*!< Pn BSR: BSR15 (Bit 15) */ +#define Pn_BSR_BSR15_Msk (0x8000UL) /*!< Pn BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR14_Pos (14UL) /*!< Pn BSR: BSR14 (Bit 14) */ +#define Pn_BSR_BSR14_Msk (0x4000UL) /*!< Pn BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR13_Pos (13UL) /*!< Pn BSR: BSR13 (Bit 13) */ +#define Pn_BSR_BSR13_Msk (0x2000UL) /*!< Pn BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR12_Pos (12UL) /*!< Pn BSR: BSR12 (Bit 12) */ +#define Pn_BSR_BSR12_Msk (0x1000UL) /*!< Pn BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR11_Pos (11UL) /*!< Pn BSR: BSR11 (Bit 11) */ +#define Pn_BSR_BSR11_Msk (0x800UL) /*!< Pn BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR10_Pos (10UL) /*!< Pn BSR: BSR10 (Bit 10) */ +#define Pn_BSR_BSR10_Msk (0x400UL) /*!< Pn BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR9_Pos (9UL) /*!< Pn BSR: BSR9 (Bit 9) */ +#define Pn_BSR_BSR9_Msk (0x200UL) /*!< Pn BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR8_Pos (8UL) /*!< Pn BSR: BSR8 (Bit 8) */ +#define Pn_BSR_BSR8_Msk (0x100UL) /*!< Pn BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR7_Pos (7UL) /*!< Pn BSR: BSR7 (Bit 7) */ +#define Pn_BSR_BSR7_Msk (0x80UL) /*!< Pn BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR6_Pos (6UL) /*!< Pn BSR: BSR6 (Bit 6) */ +#define Pn_BSR_BSR6_Msk (0x40UL) /*!< Pn BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR5_Pos (5UL) /*!< Pn BSR: BSR5 (Bit 5) */ +#define Pn_BSR_BSR5_Msk (0x20UL) /*!< Pn BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR4_Pos (4UL) /*!< Pn BSR: BSR4 (Bit 4) */ +#define Pn_BSR_BSR4_Msk (0x10UL) /*!< Pn BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR3_Pos (3UL) /*!< Pn BSR: BSR3 (Bit 3) */ +#define Pn_BSR_BSR3_Msk (0x8UL) /*!< Pn BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR2_Pos (2UL) /*!< Pn BSR: BSR2 (Bit 2) */ +#define Pn_BSR_BSR2_Msk (0x4UL) /*!< Pn BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR1_Pos (1UL) /*!< Pn BSR: BSR1 (Bit 1) */ +#define Pn_BSR_BSR1_Msk (0x2UL) /*!< Pn BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR0_Pos (0UL) /*!< Pn BSR: BSR0 (Bit 0) */ +#define Pn_BSR_BSR0_Msk (0x1UL) /*!< Pn BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define Pn_BCR_BCR15_Pos (15UL) /*!< Pn BCR: BCR15 (Bit 15) */ +#define Pn_BCR_BCR15_Msk (0x8000UL) /*!< Pn BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR14_Pos (14UL) /*!< Pn BCR: BCR14 (Bit 14) */ +#define Pn_BCR_BCR14_Msk (0x4000UL) /*!< Pn BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR13_Pos (13UL) /*!< Pn BCR: BCR13 (Bit 13) */ +#define Pn_BCR_BCR13_Msk (0x2000UL) /*!< Pn BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR12_Pos (12UL) /*!< Pn BCR: BCR12 (Bit 12) */ +#define Pn_BCR_BCR12_Msk (0x1000UL) /*!< Pn BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR11_Pos (11UL) /*!< Pn BCR: BCR11 (Bit 11) */ +#define Pn_BCR_BCR11_Msk (0x800UL) /*!< Pn BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR10_Pos (10UL) /*!< Pn BCR: BCR10 (Bit 10) */ +#define Pn_BCR_BCR10_Msk (0x400UL) /*!< Pn BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR9_Pos (9UL) /*!< Pn BCR: BCR9 (Bit 9) */ +#define Pn_BCR_BCR9_Msk (0x200UL) /*!< Pn BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR8_Pos (8UL) /*!< Pn BCR: BCR8 (Bit 8) */ +#define Pn_BCR_BCR8_Msk (0x100UL) /*!< Pn BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR7_Pos (7UL) /*!< Pn BCR: BCR7 (Bit 7) */ +#define Pn_BCR_BCR7_Msk (0x80UL) /*!< Pn BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR6_Pos (6UL) /*!< Pn BCR: BCR6 (Bit 6) */ +#define Pn_BCR_BCR6_Msk (0x40UL) /*!< Pn BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR5_Pos (5UL) /*!< Pn BCR: BCR5 (Bit 5) */ +#define Pn_BCR_BCR5_Msk (0x20UL) /*!< Pn BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR4_Pos (4UL) /*!< Pn BCR: BCR4 (Bit 4) */ +#define Pn_BCR_BCR4_Msk (0x10UL) /*!< Pn BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR3_Pos (3UL) /*!< Pn BCR: BCR3 (Bit 3) */ +#define Pn_BCR_BCR3_Msk (0x8UL) /*!< Pn BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR2_Pos (2UL) /*!< Pn BCR: BCR2 (Bit 2) */ +#define Pn_BCR_BCR2_Msk (0x4UL) /*!< Pn BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR1_Pos (1UL) /*!< Pn BCR: BCR1 (Bit 1) */ +#define Pn_BCR_BCR1_Msk (0x2UL) /*!< Pn BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR0_Pos (0UL) /*!< Pn BCR: BCR0 (Bit 0) */ +#define Pn_BCR_BCR0_Msk (0x1UL) /*!< Pn BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define Pn_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< Pn OUTDMSK: OUTDMSK15 (Bit 15) */ +#define Pn_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< Pn OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< Pn OUTDMSK: OUTDMSK14 (Bit 14) */ +#define Pn_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< Pn OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< Pn OUTDMSK: OUTDMSK13 (Bit 13) */ +#define Pn_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< Pn OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< Pn OUTDMSK: OUTDMSK12 (Bit 12) */ +#define Pn_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< Pn OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< Pn OUTDMSK: OUTDMSK11 (Bit 11) */ +#define Pn_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< Pn OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< Pn OUTDMSK: OUTDMSK10 (Bit 10) */ +#define Pn_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< Pn OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< Pn OUTDMSK: OUTDMSK9 (Bit 9) */ +#define Pn_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< Pn OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< Pn OUTDMSK: OUTDMSK8 (Bit 8) */ +#define Pn_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< Pn OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< Pn OUTDMSK: OUTDMSK7 (Bit 7) */ +#define Pn_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< Pn OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< Pn OUTDMSK: OUTDMSK6 (Bit 6) */ +#define Pn_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< Pn OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< Pn OUTDMSK: OUTDMSK5 (Bit 5) */ +#define Pn_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< Pn OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< Pn OUTDMSK: OUTDMSK4 (Bit 4) */ +#define Pn_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< Pn OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< Pn OUTDMSK: OUTDMSK3 (Bit 3) */ +#define Pn_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< Pn OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< Pn OUTDMSK: OUTDMSK2 (Bit 2) */ +#define Pn_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< Pn OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< Pn OUTDMSK: OUTDMSK1 (Bit 1) */ +#define Pn_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< Pn OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< Pn OUTDMSK: OUTDMSK0 (Bit 0) */ +#define Pn_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< Pn OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define Pn_DBCR_DBCLK_Pos (16UL) /*!< Pn DBCR: DBCLK (Bit 16) */ +#define Pn_DBCR_DBCLK_Msk (0x70000UL) /*!< Pn DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define Pn_DBCR_DBEN11_Pos (11UL) /*!< Pn DBCR: DBEN11 (Bit 11) */ +#define Pn_DBCR_DBEN11_Msk (0x800UL) /*!< Pn DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN10_Pos (10UL) /*!< Pn DBCR: DBEN10 (Bit 10) */ +#define Pn_DBCR_DBEN10_Msk (0x400UL) /*!< Pn DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN9_Pos (9UL) /*!< Pn DBCR: DBEN9 (Bit 9) */ +#define Pn_DBCR_DBEN9_Msk (0x200UL) /*!< Pn DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN8_Pos (8UL) /*!< Pn DBCR: DBEN8 (Bit 8) */ +#define Pn_DBCR_DBEN8_Msk (0x100UL) /*!< Pn DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN7_Pos (7UL) /*!< Pn DBCR: DBEN7 (Bit 7) */ +#define Pn_DBCR_DBEN7_Msk (0x80UL) /*!< Pn DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN6_Pos (6UL) /*!< Pn DBCR: DBEN6 (Bit 6) */ +#define Pn_DBCR_DBEN6_Msk (0x40UL) /*!< Pn DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN5_Pos (5UL) /*!< Pn DBCR: DBEN5 (Bit 5) */ +#define Pn_DBCR_DBEN5_Msk (0x20UL) /*!< Pn DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN4_Pos (4UL) /*!< Pn DBCR: DBEN4 (Bit 4) */ +#define Pn_DBCR_DBEN4_Msk (0x10UL) /*!< Pn DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN3_Pos (3UL) /*!< Pn DBCR: DBEN3 (Bit 3) */ +#define Pn_DBCR_DBEN3_Msk (0x8UL) /*!< Pn DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN2_Pos (2UL) /*!< Pn DBCR: DBEN2 (Bit 2) */ +#define Pn_DBCR_DBEN2_Msk (0x4UL) /*!< Pn DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN1_Pos (1UL) /*!< Pn DBCR: DBEN1 (Bit 1) */ +#define Pn_DBCR_DBEN1_Msk (0x2UL) /*!< Pn DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN0_Pos (0UL) /*!< Pn DBCR: DBEN0 (Bit 0) */ +#define Pn_DBCR_DBEN0_Msk (0x1UL) /*!< Pn DBCR: DBEN0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PA ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PA_MOD_MODE15_Pos (30UL) /*!< PA MOD: MODE15 (Bit 30) */ +#define PA_MOD_MODE15_Msk (0xc0000000UL) /*!< PA MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE14_Pos (28UL) /*!< PA MOD: MODE14 (Bit 28) */ +#define PA_MOD_MODE14_Msk (0x30000000UL) /*!< PA MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE13_Pos (26UL) /*!< PA MOD: MODE13 (Bit 26) */ +#define PA_MOD_MODE13_Msk (0xc000000UL) /*!< PA MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE12_Pos (24UL) /*!< PA MOD: MODE12 (Bit 24) */ +#define PA_MOD_MODE12_Msk (0x3000000UL) /*!< PA MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE11_Pos (22UL) /*!< PA MOD: MODE11 (Bit 22) */ +#define PA_MOD_MODE11_Msk (0xc00000UL) /*!< PA MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE10_Pos (20UL) /*!< PA MOD: MODE10 (Bit 20) */ +#define PA_MOD_MODE10_Msk (0x300000UL) /*!< PA MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE9_Pos (18UL) /*!< PA MOD: MODE9 (Bit 18) */ +#define PA_MOD_MODE9_Msk (0xc0000UL) /*!< PA MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE8_Pos (16UL) /*!< PA MOD: MODE8 (Bit 16) */ +#define PA_MOD_MODE8_Msk (0x30000UL) /*!< PA MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE7_Pos (14UL) /*!< PA MOD: MODE7 (Bit 14) */ +#define PA_MOD_MODE7_Msk (0xc000UL) /*!< PA MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE6_Pos (12UL) /*!< PA MOD: MODE6 (Bit 12) */ +#define PA_MOD_MODE6_Msk (0x3000UL) /*!< PA MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE5_Pos (10UL) /*!< PA MOD: MODE5 (Bit 10) */ +#define PA_MOD_MODE5_Msk (0xc00UL) /*!< PA MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE4_Pos (8UL) /*!< PA MOD: MODE4 (Bit 8) */ +#define PA_MOD_MODE4_Msk (0x300UL) /*!< PA MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE3_Pos (6UL) /*!< PA MOD: MODE3 (Bit 6) */ +#define PA_MOD_MODE3_Msk (0xc0UL) /*!< PA MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE2_Pos (4UL) /*!< PA MOD: MODE2 (Bit 4) */ +#define PA_MOD_MODE2_Msk (0x30UL) /*!< PA MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE1_Pos (2UL) /*!< PA MOD: MODE1 (Bit 2) */ +#define PA_MOD_MODE1_Msk (0xcUL) /*!< PA MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE0_Pos (0UL) /*!< PA MOD: MODE0 (Bit 0) */ +#define PA_MOD_MODE0_Msk (0x3UL) /*!< PA MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PA_TYP_TYP15_Pos (15UL) /*!< PA TYP: TYP15 (Bit 15) */ +#define PA_TYP_TYP15_Msk (0x8000UL) /*!< PA TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP14_Pos (14UL) /*!< PA TYP: TYP14 (Bit 14) */ +#define PA_TYP_TYP14_Msk (0x4000UL) /*!< PA TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP13_Pos (13UL) /*!< PA TYP: TYP13 (Bit 13) */ +#define PA_TYP_TYP13_Msk (0x2000UL) /*!< PA TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP12_Pos (12UL) /*!< PA TYP: TYP12 (Bit 12) */ +#define PA_TYP_TYP12_Msk (0x1000UL) /*!< PA TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP11_Pos (11UL) /*!< PA TYP: TYP11 (Bit 11) */ +#define PA_TYP_TYP11_Msk (0x800UL) /*!< PA TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP10_Pos (10UL) /*!< PA TYP: TYP10 (Bit 10) */ +#define PA_TYP_TYP10_Msk (0x400UL) /*!< PA TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP9_Pos (9UL) /*!< PA TYP: TYP9 (Bit 9) */ +#define PA_TYP_TYP9_Msk (0x200UL) /*!< PA TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP8_Pos (8UL) /*!< PA TYP: TYP8 (Bit 8) */ +#define PA_TYP_TYP8_Msk (0x100UL) /*!< PA TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP7_Pos (7UL) /*!< PA TYP: TYP7 (Bit 7) */ +#define PA_TYP_TYP7_Msk (0x80UL) /*!< PA TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP6_Pos (6UL) /*!< PA TYP: TYP6 (Bit 6) */ +#define PA_TYP_TYP6_Msk (0x40UL) /*!< PA TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP5_Pos (5UL) /*!< PA TYP: TYP5 (Bit 5) */ +#define PA_TYP_TYP5_Msk (0x20UL) /*!< PA TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP4_Pos (4UL) /*!< PA TYP: TYP4 (Bit 4) */ +#define PA_TYP_TYP4_Msk (0x10UL) /*!< PA TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP3_Pos (3UL) /*!< PA TYP: TYP3 (Bit 3) */ +#define PA_TYP_TYP3_Msk (0x8UL) /*!< PA TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP2_Pos (2UL) /*!< PA TYP: TYP2 (Bit 2) */ +#define PA_TYP_TYP2_Msk (0x4UL) /*!< PA TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP1_Pos (1UL) /*!< PA TYP: TYP1 (Bit 1) */ +#define PA_TYP_TYP1_Msk (0x2UL) /*!< PA TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP0_Pos (0UL) /*!< PA TYP: TYP0 (Bit 0) */ +#define PA_TYP_TYP0_Msk (0x1UL) /*!< PA TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PA_AFSR1_AFSR7_Pos (28UL) /*!< PA AFSR1: AFSR7 (Bit 28) */ +#define PA_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PA AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR6_Pos (24UL) /*!< PA AFSR1: AFSR6 (Bit 24) */ +#define PA_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PA AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR5_Pos (20UL) /*!< PA AFSR1: AFSR5 (Bit 20) */ +#define PA_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PA AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR4_Pos (16UL) /*!< PA AFSR1: AFSR4 (Bit 16) */ +#define PA_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PA AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR3_Pos (12UL) /*!< PA AFSR1: AFSR3 (Bit 12) */ +#define PA_AFSR1_AFSR3_Msk (0xf000UL) /*!< PA AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR2_Pos (8UL) /*!< PA AFSR1: AFSR2 (Bit 8) */ +#define PA_AFSR1_AFSR2_Msk (0xf00UL) /*!< PA AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR1_Pos (4UL) /*!< PA AFSR1: AFSR1 (Bit 4) */ +#define PA_AFSR1_AFSR1_Msk (0xf0UL) /*!< PA AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR0_Pos (0UL) /*!< PA AFSR1: AFSR0 (Bit 0) */ +#define PA_AFSR1_AFSR0_Msk (0xfUL) /*!< PA AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PA_AFSR2_AFSR15_Pos (28UL) /*!< PA AFSR2: AFSR15 (Bit 28) */ +#define PA_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PA AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR14_Pos (24UL) /*!< PA AFSR2: AFSR14 (Bit 24) */ +#define PA_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PA AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR13_Pos (20UL) /*!< PA AFSR2: AFSR13 (Bit 20) */ +#define PA_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PA AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR12_Pos (16UL) /*!< PA AFSR2: AFSR12 (Bit 16) */ +#define PA_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PA AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR11_Pos (12UL) /*!< PA AFSR2: AFSR11 (Bit 12) */ +#define PA_AFSR2_AFSR11_Msk (0xf000UL) /*!< PA AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR10_Pos (8UL) /*!< PA AFSR2: AFSR10 (Bit 8) */ +#define PA_AFSR2_AFSR10_Msk (0xf00UL) /*!< PA AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR9_Pos (4UL) /*!< PA AFSR2: AFSR9 (Bit 4) */ +#define PA_AFSR2_AFSR9_Msk (0xf0UL) /*!< PA AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR8_Pos (0UL) /*!< PA AFSR2: AFSR8 (Bit 0) */ +#define PA_AFSR2_AFSR8_Msk (0xfUL) /*!< PA AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PA_PUPD_PUPD15_Pos (30UL) /*!< PA PUPD: PUPD15 (Bit 30) */ +#define PA_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PA PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD14_Pos (28UL) /*!< PA PUPD: PUPD14 (Bit 28) */ +#define PA_PUPD_PUPD14_Msk (0x30000000UL) /*!< PA PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD13_Pos (26UL) /*!< PA PUPD: PUPD13 (Bit 26) */ +#define PA_PUPD_PUPD13_Msk (0xc000000UL) /*!< PA PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD12_Pos (24UL) /*!< PA PUPD: PUPD12 (Bit 24) */ +#define PA_PUPD_PUPD12_Msk (0x3000000UL) /*!< PA PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD11_Pos (22UL) /*!< PA PUPD: PUPD11 (Bit 22) */ +#define PA_PUPD_PUPD11_Msk (0xc00000UL) /*!< PA PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD10_Pos (20UL) /*!< PA PUPD: PUPD10 (Bit 20) */ +#define PA_PUPD_PUPD10_Msk (0x300000UL) /*!< PA PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD9_Pos (18UL) /*!< PA PUPD: PUPD9 (Bit 18) */ +#define PA_PUPD_PUPD9_Msk (0xc0000UL) /*!< PA PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD8_Pos (16UL) /*!< PA PUPD: PUPD8 (Bit 16) */ +#define PA_PUPD_PUPD8_Msk (0x30000UL) /*!< PA PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD7_Pos (14UL) /*!< PA PUPD: PUPD7 (Bit 14) */ +#define PA_PUPD_PUPD7_Msk (0xc000UL) /*!< PA PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD6_Pos (12UL) /*!< PA PUPD: PUPD6 (Bit 12) */ +#define PA_PUPD_PUPD6_Msk (0x3000UL) /*!< PA PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD5_Pos (10UL) /*!< PA PUPD: PUPD5 (Bit 10) */ +#define PA_PUPD_PUPD5_Msk (0xc00UL) /*!< PA PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD4_Pos (8UL) /*!< PA PUPD: PUPD4 (Bit 8) */ +#define PA_PUPD_PUPD4_Msk (0x300UL) /*!< PA PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD3_Pos (6UL) /*!< PA PUPD: PUPD3 (Bit 6) */ +#define PA_PUPD_PUPD3_Msk (0xc0UL) /*!< PA PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD2_Pos (4UL) /*!< PA PUPD: PUPD2 (Bit 4) */ +#define PA_PUPD_PUPD2_Msk (0x30UL) /*!< PA PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD1_Pos (2UL) /*!< PA PUPD: PUPD1 (Bit 2) */ +#define PA_PUPD_PUPD1_Msk (0xcUL) /*!< PA PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD0_Pos (0UL) /*!< PA PUPD: PUPD0 (Bit 0) */ +#define PA_PUPD_PUPD0_Msk (0x3UL) /*!< PA PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PA_INDR_INDR15_Pos (15UL) /*!< PA INDR: INDR15 (Bit 15) */ +#define PA_INDR_INDR15_Msk (0x8000UL) /*!< PA INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR14_Pos (14UL) /*!< PA INDR: INDR14 (Bit 14) */ +#define PA_INDR_INDR14_Msk (0x4000UL) /*!< PA INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR13_Pos (13UL) /*!< PA INDR: INDR13 (Bit 13) */ +#define PA_INDR_INDR13_Msk (0x2000UL) /*!< PA INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR12_Pos (12UL) /*!< PA INDR: INDR12 (Bit 12) */ +#define PA_INDR_INDR12_Msk (0x1000UL) /*!< PA INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR11_Pos (11UL) /*!< PA INDR: INDR11 (Bit 11) */ +#define PA_INDR_INDR11_Msk (0x800UL) /*!< PA INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR10_Pos (10UL) /*!< PA INDR: INDR10 (Bit 10) */ +#define PA_INDR_INDR10_Msk (0x400UL) /*!< PA INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR9_Pos (9UL) /*!< PA INDR: INDR9 (Bit 9) */ +#define PA_INDR_INDR9_Msk (0x200UL) /*!< PA INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR8_Pos (8UL) /*!< PA INDR: INDR8 (Bit 8) */ +#define PA_INDR_INDR8_Msk (0x100UL) /*!< PA INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR7_Pos (7UL) /*!< PA INDR: INDR7 (Bit 7) */ +#define PA_INDR_INDR7_Msk (0x80UL) /*!< PA INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR6_Pos (6UL) /*!< PA INDR: INDR6 (Bit 6) */ +#define PA_INDR_INDR6_Msk (0x40UL) /*!< PA INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR5_Pos (5UL) /*!< PA INDR: INDR5 (Bit 5) */ +#define PA_INDR_INDR5_Msk (0x20UL) /*!< PA INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR4_Pos (4UL) /*!< PA INDR: INDR4 (Bit 4) */ +#define PA_INDR_INDR4_Msk (0x10UL) /*!< PA INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR3_Pos (3UL) /*!< PA INDR: INDR3 (Bit 3) */ +#define PA_INDR_INDR3_Msk (0x8UL) /*!< PA INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR2_Pos (2UL) /*!< PA INDR: INDR2 (Bit 2) */ +#define PA_INDR_INDR2_Msk (0x4UL) /*!< PA INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR1_Pos (1UL) /*!< PA INDR: INDR1 (Bit 1) */ +#define PA_INDR_INDR1_Msk (0x2UL) /*!< PA INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR0_Pos (0UL) /*!< PA INDR: INDR0 (Bit 0) */ +#define PA_INDR_INDR0_Msk (0x1UL) /*!< PA INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PA_OUTDR_OUTDR15_Pos (15UL) /*!< PA OUTDR: OUTDR15 (Bit 15) */ +#define PA_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PA OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR14_Pos (14UL) /*!< PA OUTDR: OUTDR14 (Bit 14) */ +#define PA_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PA OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR13_Pos (13UL) /*!< PA OUTDR: OUTDR13 (Bit 13) */ +#define PA_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PA OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR12_Pos (12UL) /*!< PA OUTDR: OUTDR12 (Bit 12) */ +#define PA_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PA OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR11_Pos (11UL) /*!< PA OUTDR: OUTDR11 (Bit 11) */ +#define PA_OUTDR_OUTDR11_Msk (0x800UL) /*!< PA OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR10_Pos (10UL) /*!< PA OUTDR: OUTDR10 (Bit 10) */ +#define PA_OUTDR_OUTDR10_Msk (0x400UL) /*!< PA OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR9_Pos (9UL) /*!< PA OUTDR: OUTDR9 (Bit 9) */ +#define PA_OUTDR_OUTDR9_Msk (0x200UL) /*!< PA OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR8_Pos (8UL) /*!< PA OUTDR: OUTDR8 (Bit 8) */ +#define PA_OUTDR_OUTDR8_Msk (0x100UL) /*!< PA OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR7_Pos (7UL) /*!< PA OUTDR: OUTDR7 (Bit 7) */ +#define PA_OUTDR_OUTDR7_Msk (0x80UL) /*!< PA OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR6_Pos (6UL) /*!< PA OUTDR: OUTDR6 (Bit 6) */ +#define PA_OUTDR_OUTDR6_Msk (0x40UL) /*!< PA OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR5_Pos (5UL) /*!< PA OUTDR: OUTDR5 (Bit 5) */ +#define PA_OUTDR_OUTDR5_Msk (0x20UL) /*!< PA OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR4_Pos (4UL) /*!< PA OUTDR: OUTDR4 (Bit 4) */ +#define PA_OUTDR_OUTDR4_Msk (0x10UL) /*!< PA OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR3_Pos (3UL) /*!< PA OUTDR: OUTDR3 (Bit 3) */ +#define PA_OUTDR_OUTDR3_Msk (0x8UL) /*!< PA OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR2_Pos (2UL) /*!< PA OUTDR: OUTDR2 (Bit 2) */ +#define PA_OUTDR_OUTDR2_Msk (0x4UL) /*!< PA OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR1_Pos (1UL) /*!< PA OUTDR: OUTDR1 (Bit 1) */ +#define PA_OUTDR_OUTDR1_Msk (0x2UL) /*!< PA OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR0_Pos (0UL) /*!< PA OUTDR: OUTDR0 (Bit 0) */ +#define PA_OUTDR_OUTDR0_Msk (0x1UL) /*!< PA OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PA_BSR_BSR15_Pos (15UL) /*!< PA BSR: BSR15 (Bit 15) */ +#define PA_BSR_BSR15_Msk (0x8000UL) /*!< PA BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR14_Pos (14UL) /*!< PA BSR: BSR14 (Bit 14) */ +#define PA_BSR_BSR14_Msk (0x4000UL) /*!< PA BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR13_Pos (13UL) /*!< PA BSR: BSR13 (Bit 13) */ +#define PA_BSR_BSR13_Msk (0x2000UL) /*!< PA BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR12_Pos (12UL) /*!< PA BSR: BSR12 (Bit 12) */ +#define PA_BSR_BSR12_Msk (0x1000UL) /*!< PA BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR11_Pos (11UL) /*!< PA BSR: BSR11 (Bit 11) */ +#define PA_BSR_BSR11_Msk (0x800UL) /*!< PA BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR10_Pos (10UL) /*!< PA BSR: BSR10 (Bit 10) */ +#define PA_BSR_BSR10_Msk (0x400UL) /*!< PA BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR9_Pos (9UL) /*!< PA BSR: BSR9 (Bit 9) */ +#define PA_BSR_BSR9_Msk (0x200UL) /*!< PA BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR8_Pos (8UL) /*!< PA BSR: BSR8 (Bit 8) */ +#define PA_BSR_BSR8_Msk (0x100UL) /*!< PA BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR7_Pos (7UL) /*!< PA BSR: BSR7 (Bit 7) */ +#define PA_BSR_BSR7_Msk (0x80UL) /*!< PA BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR6_Pos (6UL) /*!< PA BSR: BSR6 (Bit 6) */ +#define PA_BSR_BSR6_Msk (0x40UL) /*!< PA BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR5_Pos (5UL) /*!< PA BSR: BSR5 (Bit 5) */ +#define PA_BSR_BSR5_Msk (0x20UL) /*!< PA BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR4_Pos (4UL) /*!< PA BSR: BSR4 (Bit 4) */ +#define PA_BSR_BSR4_Msk (0x10UL) /*!< PA BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR3_Pos (3UL) /*!< PA BSR: BSR3 (Bit 3) */ +#define PA_BSR_BSR3_Msk (0x8UL) /*!< PA BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR2_Pos (2UL) /*!< PA BSR: BSR2 (Bit 2) */ +#define PA_BSR_BSR2_Msk (0x4UL) /*!< PA BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR1_Pos (1UL) /*!< PA BSR: BSR1 (Bit 1) */ +#define PA_BSR_BSR1_Msk (0x2UL) /*!< PA BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR0_Pos (0UL) /*!< PA BSR: BSR0 (Bit 0) */ +#define PA_BSR_BSR0_Msk (0x1UL) /*!< PA BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PA_BCR_BCR15_Pos (15UL) /*!< PA BCR: BCR15 (Bit 15) */ +#define PA_BCR_BCR15_Msk (0x8000UL) /*!< PA BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR14_Pos (14UL) /*!< PA BCR: BCR14 (Bit 14) */ +#define PA_BCR_BCR14_Msk (0x4000UL) /*!< PA BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR13_Pos (13UL) /*!< PA BCR: BCR13 (Bit 13) */ +#define PA_BCR_BCR13_Msk (0x2000UL) /*!< PA BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR12_Pos (12UL) /*!< PA BCR: BCR12 (Bit 12) */ +#define PA_BCR_BCR12_Msk (0x1000UL) /*!< PA BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR11_Pos (11UL) /*!< PA BCR: BCR11 (Bit 11) */ +#define PA_BCR_BCR11_Msk (0x800UL) /*!< PA BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR10_Pos (10UL) /*!< PA BCR: BCR10 (Bit 10) */ +#define PA_BCR_BCR10_Msk (0x400UL) /*!< PA BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR9_Pos (9UL) /*!< PA BCR: BCR9 (Bit 9) */ +#define PA_BCR_BCR9_Msk (0x200UL) /*!< PA BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR8_Pos (8UL) /*!< PA BCR: BCR8 (Bit 8) */ +#define PA_BCR_BCR8_Msk (0x100UL) /*!< PA BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR7_Pos (7UL) /*!< PA BCR: BCR7 (Bit 7) */ +#define PA_BCR_BCR7_Msk (0x80UL) /*!< PA BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR6_Pos (6UL) /*!< PA BCR: BCR6 (Bit 6) */ +#define PA_BCR_BCR6_Msk (0x40UL) /*!< PA BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR5_Pos (5UL) /*!< PA BCR: BCR5 (Bit 5) */ +#define PA_BCR_BCR5_Msk (0x20UL) /*!< PA BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR4_Pos (4UL) /*!< PA BCR: BCR4 (Bit 4) */ +#define PA_BCR_BCR4_Msk (0x10UL) /*!< PA BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR3_Pos (3UL) /*!< PA BCR: BCR3 (Bit 3) */ +#define PA_BCR_BCR3_Msk (0x8UL) /*!< PA BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR2_Pos (2UL) /*!< PA BCR: BCR2 (Bit 2) */ +#define PA_BCR_BCR2_Msk (0x4UL) /*!< PA BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR1_Pos (1UL) /*!< PA BCR: BCR1 (Bit 1) */ +#define PA_BCR_BCR1_Msk (0x2UL) /*!< PA BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR0_Pos (0UL) /*!< PA BCR: BCR0 (Bit 0) */ +#define PA_BCR_BCR0_Msk (0x1UL) /*!< PA BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PA_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PA OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PA_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PA OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PA OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PA_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PA OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PA OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PA_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PA OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PA OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PA_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PA OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PA OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PA_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PA OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PA OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PA_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PA OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PA OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PA_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PA OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PA OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PA_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PA OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PA OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PA_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PA OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PA OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PA_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PA OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PA OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PA_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PA OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PA OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PA_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PA OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PA OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PA_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PA OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PA OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PA_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PA OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PA OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PA_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PA OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PA OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PA_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PA OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PA_DBCR_DBCLK_Pos (16UL) /*!< PA DBCR: DBCLK (Bit 16) */ +#define PA_DBCR_DBCLK_Msk (0x70000UL) /*!< PA DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PA_DBCR_DBEN11_Pos (11UL) /*!< PA DBCR: DBEN11 (Bit 11) */ +#define PA_DBCR_DBEN11_Msk (0x800UL) /*!< PA DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN10_Pos (10UL) /*!< PA DBCR: DBEN10 (Bit 10) */ +#define PA_DBCR_DBEN10_Msk (0x400UL) /*!< PA DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN9_Pos (9UL) /*!< PA DBCR: DBEN9 (Bit 9) */ +#define PA_DBCR_DBEN9_Msk (0x200UL) /*!< PA DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN8_Pos (8UL) /*!< PA DBCR: DBEN8 (Bit 8) */ +#define PA_DBCR_DBEN8_Msk (0x100UL) /*!< PA DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN7_Pos (7UL) /*!< PA DBCR: DBEN7 (Bit 7) */ +#define PA_DBCR_DBEN7_Msk (0x80UL) /*!< PA DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN6_Pos (6UL) /*!< PA DBCR: DBEN6 (Bit 6) */ +#define PA_DBCR_DBEN6_Msk (0x40UL) /*!< PA DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN5_Pos (5UL) /*!< PA DBCR: DBEN5 (Bit 5) */ +#define PA_DBCR_DBEN5_Msk (0x20UL) /*!< PA DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN4_Pos (4UL) /*!< PA DBCR: DBEN4 (Bit 4) */ +#define PA_DBCR_DBEN4_Msk (0x10UL) /*!< PA DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN3_Pos (3UL) /*!< PA DBCR: DBEN3 (Bit 3) */ +#define PA_DBCR_DBEN3_Msk (0x8UL) /*!< PA DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN2_Pos (2UL) /*!< PA DBCR: DBEN2 (Bit 2) */ +#define PA_DBCR_DBEN2_Msk (0x4UL) /*!< PA DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN1_Pos (1UL) /*!< PA DBCR: DBEN1 (Bit 1) */ +#define PA_DBCR_DBEN1_Msk (0x2UL) /*!< PA DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN0_Pos (0UL) /*!< PA DBCR: DBEN0 (Bit 0) */ +#define PA_DBCR_DBEN0_Msk (0x1UL) /*!< PA DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PA_MOD ========================================================= */ +#define PA_PA_MOD_MODE11_Pos (22UL) /*!< PA PA_MOD: MODE11 (Bit 22) */ +#define PA_PA_MOD_MODE11_Msk (0xc00000UL) /*!< PA PA_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE10_Pos (20UL) /*!< PA PA_MOD: MODE10 (Bit 20) */ +#define PA_PA_MOD_MODE10_Msk (0x300000UL) /*!< PA PA_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE9_Pos (18UL) /*!< PA PA_MOD: MODE9 (Bit 18) */ +#define PA_PA_MOD_MODE9_Msk (0xc0000UL) /*!< PA PA_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE8_Pos (16UL) /*!< PA PA_MOD: MODE8 (Bit 16) */ +#define PA_PA_MOD_MODE8_Msk (0x30000UL) /*!< PA PA_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE7_Pos (14UL) /*!< PA PA_MOD: MODE7 (Bit 14) */ +#define PA_PA_MOD_MODE7_Msk (0xc000UL) /*!< PA PA_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE6_Pos (12UL) /*!< PA PA_MOD: MODE6 (Bit 12) */ +#define PA_PA_MOD_MODE6_Msk (0x3000UL) /*!< PA PA_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE5_Pos (10UL) /*!< PA PA_MOD: MODE5 (Bit 10) */ +#define PA_PA_MOD_MODE5_Msk (0xc00UL) /*!< PA PA_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE4_Pos (8UL) /*!< PA PA_MOD: MODE4 (Bit 8) */ +#define PA_PA_MOD_MODE4_Msk (0x300UL) /*!< PA PA_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE3_Pos (6UL) /*!< PA PA_MOD: MODE3 (Bit 6) */ +#define PA_PA_MOD_MODE3_Msk (0xc0UL) /*!< PA PA_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE2_Pos (4UL) /*!< PA PA_MOD: MODE2 (Bit 4) */ +#define PA_PA_MOD_MODE2_Msk (0x30UL) /*!< PA PA_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE1_Pos (2UL) /*!< PA PA_MOD: MODE1 (Bit 2) */ +#define PA_PA_MOD_MODE1_Msk (0xcUL) /*!< PA PA_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE0_Pos (0UL) /*!< PA PA_MOD: MODE0 (Bit 0) */ +#define PA_PA_MOD_MODE0_Msk (0x3UL) /*!< PA PA_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PA_TYP ========================================================= */ +#define PA_PA_TYP_TYP11_Pos (11UL) /*!< PA PA_TYP: TYP11 (Bit 11) */ +#define PA_PA_TYP_TYP11_Msk (0x800UL) /*!< PA PA_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP10_Pos (10UL) /*!< PA PA_TYP: TYP10 (Bit 10) */ +#define PA_PA_TYP_TYP10_Msk (0x400UL) /*!< PA PA_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP9_Pos (9UL) /*!< PA PA_TYP: TYP9 (Bit 9) */ +#define PA_PA_TYP_TYP9_Msk (0x200UL) /*!< PA PA_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP8_Pos (8UL) /*!< PA PA_TYP: TYP8 (Bit 8) */ +#define PA_PA_TYP_TYP8_Msk (0x100UL) /*!< PA PA_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP7_Pos (7UL) /*!< PA PA_TYP: TYP7 (Bit 7) */ +#define PA_PA_TYP_TYP7_Msk (0x80UL) /*!< PA PA_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP6_Pos (6UL) /*!< PA PA_TYP: TYP6 (Bit 6) */ +#define PA_PA_TYP_TYP6_Msk (0x40UL) /*!< PA PA_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP5_Pos (5UL) /*!< PA PA_TYP: TYP5 (Bit 5) */ +#define PA_PA_TYP_TYP5_Msk (0x20UL) /*!< PA PA_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP4_Pos (4UL) /*!< PA PA_TYP: TYP4 (Bit 4) */ +#define PA_PA_TYP_TYP4_Msk (0x10UL) /*!< PA PA_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP3_Pos (3UL) /*!< PA PA_TYP: TYP3 (Bit 3) */ +#define PA_PA_TYP_TYP3_Msk (0x8UL) /*!< PA PA_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP2_Pos (2UL) /*!< PA PA_TYP: TYP2 (Bit 2) */ +#define PA_PA_TYP_TYP2_Msk (0x4UL) /*!< PA PA_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP1_Pos (1UL) /*!< PA PA_TYP: TYP1 (Bit 1) */ +#define PA_PA_TYP_TYP1_Msk (0x2UL) /*!< PA PA_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP0_Pos (0UL) /*!< PA PA_TYP: TYP0 (Bit 0) */ +#define PA_PA_TYP_TYP0_Msk (0x1UL) /*!< PA PA_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PA_AFSR1 ======================================================== */ +#define PA_PA_AFSR1_AFSR7_Pos (28UL) /*!< PA PA_AFSR1: AFSR7 (Bit 28) */ +#define PA_PA_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PA PA_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR6_Pos (24UL) /*!< PA PA_AFSR1: AFSR6 (Bit 24) */ +#define PA_PA_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PA PA_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR5_Pos (20UL) /*!< PA PA_AFSR1: AFSR5 (Bit 20) */ +#define PA_PA_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PA PA_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR4_Pos (16UL) /*!< PA PA_AFSR1: AFSR4 (Bit 16) */ +#define PA_PA_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PA PA_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR3_Pos (12UL) /*!< PA PA_AFSR1: AFSR3 (Bit 12) */ +#define PA_PA_AFSR1_AFSR3_Msk (0xf000UL) /*!< PA PA_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR2_Pos (8UL) /*!< PA PA_AFSR1: AFSR2 (Bit 8) */ +#define PA_PA_AFSR1_AFSR2_Msk (0xf00UL) /*!< PA PA_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR1_Pos (4UL) /*!< PA PA_AFSR1: AFSR1 (Bit 4) */ +#define PA_PA_AFSR1_AFSR1_Msk (0xf0UL) /*!< PA PA_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR0_Pos (0UL) /*!< PA PA_AFSR1: AFSR0 (Bit 0) */ +#define PA_PA_AFSR1_AFSR0_Msk (0xfUL) /*!< PA PA_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PA_AFSR2 ======================================================== */ +#define PA_PA_AFSR2_AFSR11_Pos (12UL) /*!< PA PA_AFSR2: AFSR11 (Bit 12) */ +#define PA_PA_AFSR2_AFSR11_Msk (0xf000UL) /*!< PA PA_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR2_AFSR10_Pos (8UL) /*!< PA PA_AFSR2: AFSR10 (Bit 8) */ +#define PA_PA_AFSR2_AFSR10_Msk (0xf00UL) /*!< PA PA_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR2_AFSR9_Pos (4UL) /*!< PA PA_AFSR2: AFSR9 (Bit 4) */ +#define PA_PA_AFSR2_AFSR9_Msk (0xf0UL) /*!< PA PA_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR2_AFSR8_Pos (0UL) /*!< PA PA_AFSR2: AFSR8 (Bit 0) */ +#define PA_PA_AFSR2_AFSR8_Msk (0xfUL) /*!< PA PA_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PA_PUPD ======================================================== */ +#define PA_PA_PUPD_PUPD11_Pos (22UL) /*!< PA PA_PUPD: PUPD11 (Bit 22) */ +#define PA_PA_PUPD_PUPD11_Msk (0xc00000UL) /*!< PA PA_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD10_Pos (20UL) /*!< PA PA_PUPD: PUPD10 (Bit 20) */ +#define PA_PA_PUPD_PUPD10_Msk (0x300000UL) /*!< PA PA_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD9_Pos (18UL) /*!< PA PA_PUPD: PUPD9 (Bit 18) */ +#define PA_PA_PUPD_PUPD9_Msk (0xc0000UL) /*!< PA PA_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD8_Pos (16UL) /*!< PA PA_PUPD: PUPD8 (Bit 16) */ +#define PA_PA_PUPD_PUPD8_Msk (0x30000UL) /*!< PA PA_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD7_Pos (14UL) /*!< PA PA_PUPD: PUPD7 (Bit 14) */ +#define PA_PA_PUPD_PUPD7_Msk (0xc000UL) /*!< PA PA_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD6_Pos (12UL) /*!< PA PA_PUPD: PUPD6 (Bit 12) */ +#define PA_PA_PUPD_PUPD6_Msk (0x3000UL) /*!< PA PA_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD5_Pos (10UL) /*!< PA PA_PUPD: PUPD5 (Bit 10) */ +#define PA_PA_PUPD_PUPD5_Msk (0xc00UL) /*!< PA PA_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD4_Pos (8UL) /*!< PA PA_PUPD: PUPD4 (Bit 8) */ +#define PA_PA_PUPD_PUPD4_Msk (0x300UL) /*!< PA PA_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD3_Pos (6UL) /*!< PA PA_PUPD: PUPD3 (Bit 6) */ +#define PA_PA_PUPD_PUPD3_Msk (0xc0UL) /*!< PA PA_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD2_Pos (4UL) /*!< PA PA_PUPD: PUPD2 (Bit 4) */ +#define PA_PA_PUPD_PUPD2_Msk (0x30UL) /*!< PA PA_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD1_Pos (2UL) /*!< PA PA_PUPD: PUPD1 (Bit 2) */ +#define PA_PA_PUPD_PUPD1_Msk (0xcUL) /*!< PA PA_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD0_Pos (0UL) /*!< PA PA_PUPD: PUPD0 (Bit 0) */ +#define PA_PA_PUPD_PUPD0_Msk (0x3UL) /*!< PA PA_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PA_INDR ======================================================== */ +#define PA_PA_INDR_INDR11_Pos (11UL) /*!< PA PA_INDR: INDR11 (Bit 11) */ +#define PA_PA_INDR_INDR11_Msk (0x800UL) /*!< PA PA_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR10_Pos (10UL) /*!< PA PA_INDR: INDR10 (Bit 10) */ +#define PA_PA_INDR_INDR10_Msk (0x400UL) /*!< PA PA_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR9_Pos (9UL) /*!< PA PA_INDR: INDR9 (Bit 9) */ +#define PA_PA_INDR_INDR9_Msk (0x200UL) /*!< PA PA_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR8_Pos (8UL) /*!< PA PA_INDR: INDR8 (Bit 8) */ +#define PA_PA_INDR_INDR8_Msk (0x100UL) /*!< PA PA_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR7_Pos (7UL) /*!< PA PA_INDR: INDR7 (Bit 7) */ +#define PA_PA_INDR_INDR7_Msk (0x80UL) /*!< PA PA_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR6_Pos (6UL) /*!< PA PA_INDR: INDR6 (Bit 6) */ +#define PA_PA_INDR_INDR6_Msk (0x40UL) /*!< PA PA_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR5_Pos (5UL) /*!< PA PA_INDR: INDR5 (Bit 5) */ +#define PA_PA_INDR_INDR5_Msk (0x20UL) /*!< PA PA_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR4_Pos (4UL) /*!< PA PA_INDR: INDR4 (Bit 4) */ +#define PA_PA_INDR_INDR4_Msk (0x10UL) /*!< PA PA_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR3_Pos (3UL) /*!< PA PA_INDR: INDR3 (Bit 3) */ +#define PA_PA_INDR_INDR3_Msk (0x8UL) /*!< PA PA_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR2_Pos (2UL) /*!< PA PA_INDR: INDR2 (Bit 2) */ +#define PA_PA_INDR_INDR2_Msk (0x4UL) /*!< PA PA_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR1_Pos (1UL) /*!< PA PA_INDR: INDR1 (Bit 1) */ +#define PA_PA_INDR_INDR1_Msk (0x2UL) /*!< PA PA_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR0_Pos (0UL) /*!< PA PA_INDR: INDR0 (Bit 0) */ +#define PA_PA_INDR_INDR0_Msk (0x1UL) /*!< PA PA_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PA_OUTDR ======================================================== */ +#define PA_PA_OUTDR_OUTDR11_Pos (11UL) /*!< PA PA_OUTDR: OUTDR11 (Bit 11) */ +#define PA_PA_OUTDR_OUTDR11_Msk (0x800UL) /*!< PA PA_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR10_Pos (10UL) /*!< PA PA_OUTDR: OUTDR10 (Bit 10) */ +#define PA_PA_OUTDR_OUTDR10_Msk (0x400UL) /*!< PA PA_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR9_Pos (9UL) /*!< PA PA_OUTDR: OUTDR9 (Bit 9) */ +#define PA_PA_OUTDR_OUTDR9_Msk (0x200UL) /*!< PA PA_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR8_Pos (8UL) /*!< PA PA_OUTDR: OUTDR8 (Bit 8) */ +#define PA_PA_OUTDR_OUTDR8_Msk (0x100UL) /*!< PA PA_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR7_Pos (7UL) /*!< PA PA_OUTDR: OUTDR7 (Bit 7) */ +#define PA_PA_OUTDR_OUTDR7_Msk (0x80UL) /*!< PA PA_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR6_Pos (6UL) /*!< PA PA_OUTDR: OUTDR6 (Bit 6) */ +#define PA_PA_OUTDR_OUTDR6_Msk (0x40UL) /*!< PA PA_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR5_Pos (5UL) /*!< PA PA_OUTDR: OUTDR5 (Bit 5) */ +#define PA_PA_OUTDR_OUTDR5_Msk (0x20UL) /*!< PA PA_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR4_Pos (4UL) /*!< PA PA_OUTDR: OUTDR4 (Bit 4) */ +#define PA_PA_OUTDR_OUTDR4_Msk (0x10UL) /*!< PA PA_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR3_Pos (3UL) /*!< PA PA_OUTDR: OUTDR3 (Bit 3) */ +#define PA_PA_OUTDR_OUTDR3_Msk (0x8UL) /*!< PA PA_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR2_Pos (2UL) /*!< PA PA_OUTDR: OUTDR2 (Bit 2) */ +#define PA_PA_OUTDR_OUTDR2_Msk (0x4UL) /*!< PA PA_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR1_Pos (1UL) /*!< PA PA_OUTDR: OUTDR1 (Bit 1) */ +#define PA_PA_OUTDR_OUTDR1_Msk (0x2UL) /*!< PA PA_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR0_Pos (0UL) /*!< PA PA_OUTDR: OUTDR0 (Bit 0) */ +#define PA_PA_OUTDR_OUTDR0_Msk (0x1UL) /*!< PA PA_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PA_BSR ========================================================= */ +#define PA_PA_BSR_BSR11_Pos (11UL) /*!< PA PA_BSR: BSR11 (Bit 11) */ +#define PA_PA_BSR_BSR11_Msk (0x800UL) /*!< PA PA_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR10_Pos (10UL) /*!< PA PA_BSR: BSR10 (Bit 10) */ +#define PA_PA_BSR_BSR10_Msk (0x400UL) /*!< PA PA_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR9_Pos (9UL) /*!< PA PA_BSR: BSR9 (Bit 9) */ +#define PA_PA_BSR_BSR9_Msk (0x200UL) /*!< PA PA_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR8_Pos (8UL) /*!< PA PA_BSR: BSR8 (Bit 8) */ +#define PA_PA_BSR_BSR8_Msk (0x100UL) /*!< PA PA_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR7_Pos (7UL) /*!< PA PA_BSR: BSR7 (Bit 7) */ +#define PA_PA_BSR_BSR7_Msk (0x80UL) /*!< PA PA_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR6_Pos (6UL) /*!< PA PA_BSR: BSR6 (Bit 6) */ +#define PA_PA_BSR_BSR6_Msk (0x40UL) /*!< PA PA_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR5_Pos (5UL) /*!< PA PA_BSR: BSR5 (Bit 5) */ +#define PA_PA_BSR_BSR5_Msk (0x20UL) /*!< PA PA_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR4_Pos (4UL) /*!< PA PA_BSR: BSR4 (Bit 4) */ +#define PA_PA_BSR_BSR4_Msk (0x10UL) /*!< PA PA_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR3_Pos (3UL) /*!< PA PA_BSR: BSR3 (Bit 3) */ +#define PA_PA_BSR_BSR3_Msk (0x8UL) /*!< PA PA_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR2_Pos (2UL) /*!< PA PA_BSR: BSR2 (Bit 2) */ +#define PA_PA_BSR_BSR2_Msk (0x4UL) /*!< PA PA_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR1_Pos (1UL) /*!< PA PA_BSR: BSR1 (Bit 1) */ +#define PA_PA_BSR_BSR1_Msk (0x2UL) /*!< PA PA_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR0_Pos (0UL) /*!< PA PA_BSR: BSR0 (Bit 0) */ +#define PA_PA_BSR_BSR0_Msk (0x1UL) /*!< PA PA_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PA_BCR ========================================================= */ +#define PA_PA_BCR_BCR11_Pos (11UL) /*!< PA PA_BCR: BCR11 (Bit 11) */ +#define PA_PA_BCR_BCR11_Msk (0x800UL) /*!< PA PA_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR10_Pos (10UL) /*!< PA PA_BCR: BCR10 (Bit 10) */ +#define PA_PA_BCR_BCR10_Msk (0x400UL) /*!< PA PA_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR9_Pos (9UL) /*!< PA PA_BCR: BCR9 (Bit 9) */ +#define PA_PA_BCR_BCR9_Msk (0x200UL) /*!< PA PA_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR8_Pos (8UL) /*!< PA PA_BCR: BCR8 (Bit 8) */ +#define PA_PA_BCR_BCR8_Msk (0x100UL) /*!< PA PA_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR7_Pos (7UL) /*!< PA PA_BCR: BCR7 (Bit 7) */ +#define PA_PA_BCR_BCR7_Msk (0x80UL) /*!< PA PA_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR6_Pos (6UL) /*!< PA PA_BCR: BCR6 (Bit 6) */ +#define PA_PA_BCR_BCR6_Msk (0x40UL) /*!< PA PA_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR5_Pos (5UL) /*!< PA PA_BCR: BCR5 (Bit 5) */ +#define PA_PA_BCR_BCR5_Msk (0x20UL) /*!< PA PA_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR4_Pos (4UL) /*!< PA PA_BCR: BCR4 (Bit 4) */ +#define PA_PA_BCR_BCR4_Msk (0x10UL) /*!< PA PA_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR3_Pos (3UL) /*!< PA PA_BCR: BCR3 (Bit 3) */ +#define PA_PA_BCR_BCR3_Msk (0x8UL) /*!< PA PA_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR2_Pos (2UL) /*!< PA PA_BCR: BCR2 (Bit 2) */ +#define PA_PA_BCR_BCR2_Msk (0x4UL) /*!< PA PA_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR1_Pos (1UL) /*!< PA PA_BCR: BCR1 (Bit 1) */ +#define PA_PA_BCR_BCR1_Msk (0x2UL) /*!< PA PA_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR0_Pos (0UL) /*!< PA PA_BCR: BCR0 (Bit 0) */ +#define PA_PA_BCR_BCR0_Msk (0x1UL) /*!< PA PA_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PA_OUTDMSK ======================================================= */ +#define PA_PA_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PA PA_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PA_PA_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PA PA_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PA PA_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PA_PA_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PA PA_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PA PA_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PA_PA_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PA PA_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PA PA_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PA_PA_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PA PA_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PA PA_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PA_PA_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PA PA_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PA PA_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PA_PA_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PA PA_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PA PA_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PA_PA_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PA PA_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PA PA_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PA_PA_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PA PA_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PA PA_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PA_PA_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PA PA_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PA PA_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PA_PA_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PA PA_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PA PA_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PA_PA_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PA PA_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PA PA_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PA_PA_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PA PA_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PB ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PB_MOD_MODE15_Pos (30UL) /*!< PB MOD: MODE15 (Bit 30) */ +#define PB_MOD_MODE15_Msk (0xc0000000UL) /*!< PB MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE14_Pos (28UL) /*!< PB MOD: MODE14 (Bit 28) */ +#define PB_MOD_MODE14_Msk (0x30000000UL) /*!< PB MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE13_Pos (26UL) /*!< PB MOD: MODE13 (Bit 26) */ +#define PB_MOD_MODE13_Msk (0xc000000UL) /*!< PB MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE12_Pos (24UL) /*!< PB MOD: MODE12 (Bit 24) */ +#define PB_MOD_MODE12_Msk (0x3000000UL) /*!< PB MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE11_Pos (22UL) /*!< PB MOD: MODE11 (Bit 22) */ +#define PB_MOD_MODE11_Msk (0xc00000UL) /*!< PB MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE10_Pos (20UL) /*!< PB MOD: MODE10 (Bit 20) */ +#define PB_MOD_MODE10_Msk (0x300000UL) /*!< PB MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE9_Pos (18UL) /*!< PB MOD: MODE9 (Bit 18) */ +#define PB_MOD_MODE9_Msk (0xc0000UL) /*!< PB MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE8_Pos (16UL) /*!< PB MOD: MODE8 (Bit 16) */ +#define PB_MOD_MODE8_Msk (0x30000UL) /*!< PB MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE7_Pos (14UL) /*!< PB MOD: MODE7 (Bit 14) */ +#define PB_MOD_MODE7_Msk (0xc000UL) /*!< PB MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE6_Pos (12UL) /*!< PB MOD: MODE6 (Bit 12) */ +#define PB_MOD_MODE6_Msk (0x3000UL) /*!< PB MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE5_Pos (10UL) /*!< PB MOD: MODE5 (Bit 10) */ +#define PB_MOD_MODE5_Msk (0xc00UL) /*!< PB MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE4_Pos (8UL) /*!< PB MOD: MODE4 (Bit 8) */ +#define PB_MOD_MODE4_Msk (0x300UL) /*!< PB MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE3_Pos (6UL) /*!< PB MOD: MODE3 (Bit 6) */ +#define PB_MOD_MODE3_Msk (0xc0UL) /*!< PB MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE2_Pos (4UL) /*!< PB MOD: MODE2 (Bit 4) */ +#define PB_MOD_MODE2_Msk (0x30UL) /*!< PB MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE1_Pos (2UL) /*!< PB MOD: MODE1 (Bit 2) */ +#define PB_MOD_MODE1_Msk (0xcUL) /*!< PB MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE0_Pos (0UL) /*!< PB MOD: MODE0 (Bit 0) */ +#define PB_MOD_MODE0_Msk (0x3UL) /*!< PB MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PB_TYP_TYP15_Pos (15UL) /*!< PB TYP: TYP15 (Bit 15) */ +#define PB_TYP_TYP15_Msk (0x8000UL) /*!< PB TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP14_Pos (14UL) /*!< PB TYP: TYP14 (Bit 14) */ +#define PB_TYP_TYP14_Msk (0x4000UL) /*!< PB TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP13_Pos (13UL) /*!< PB TYP: TYP13 (Bit 13) */ +#define PB_TYP_TYP13_Msk (0x2000UL) /*!< PB TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP12_Pos (12UL) /*!< PB TYP: TYP12 (Bit 12) */ +#define PB_TYP_TYP12_Msk (0x1000UL) /*!< PB TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP11_Pos (11UL) /*!< PB TYP: TYP11 (Bit 11) */ +#define PB_TYP_TYP11_Msk (0x800UL) /*!< PB TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP10_Pos (10UL) /*!< PB TYP: TYP10 (Bit 10) */ +#define PB_TYP_TYP10_Msk (0x400UL) /*!< PB TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP9_Pos (9UL) /*!< PB TYP: TYP9 (Bit 9) */ +#define PB_TYP_TYP9_Msk (0x200UL) /*!< PB TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP8_Pos (8UL) /*!< PB TYP: TYP8 (Bit 8) */ +#define PB_TYP_TYP8_Msk (0x100UL) /*!< PB TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP7_Pos (7UL) /*!< PB TYP: TYP7 (Bit 7) */ +#define PB_TYP_TYP7_Msk (0x80UL) /*!< PB TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP6_Pos (6UL) /*!< PB TYP: TYP6 (Bit 6) */ +#define PB_TYP_TYP6_Msk (0x40UL) /*!< PB TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP5_Pos (5UL) /*!< PB TYP: TYP5 (Bit 5) */ +#define PB_TYP_TYP5_Msk (0x20UL) /*!< PB TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP4_Pos (4UL) /*!< PB TYP: TYP4 (Bit 4) */ +#define PB_TYP_TYP4_Msk (0x10UL) /*!< PB TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP3_Pos (3UL) /*!< PB TYP: TYP3 (Bit 3) */ +#define PB_TYP_TYP3_Msk (0x8UL) /*!< PB TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP2_Pos (2UL) /*!< PB TYP: TYP2 (Bit 2) */ +#define PB_TYP_TYP2_Msk (0x4UL) /*!< PB TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP1_Pos (1UL) /*!< PB TYP: TYP1 (Bit 1) */ +#define PB_TYP_TYP1_Msk (0x2UL) /*!< PB TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP0_Pos (0UL) /*!< PB TYP: TYP0 (Bit 0) */ +#define PB_TYP_TYP0_Msk (0x1UL) /*!< PB TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PB_AFSR1_AFSR7_Pos (28UL) /*!< PB AFSR1: AFSR7 (Bit 28) */ +#define PB_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PB AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR6_Pos (24UL) /*!< PB AFSR1: AFSR6 (Bit 24) */ +#define PB_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PB AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR5_Pos (20UL) /*!< PB AFSR1: AFSR5 (Bit 20) */ +#define PB_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PB AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR4_Pos (16UL) /*!< PB AFSR1: AFSR4 (Bit 16) */ +#define PB_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PB AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR3_Pos (12UL) /*!< PB AFSR1: AFSR3 (Bit 12) */ +#define PB_AFSR1_AFSR3_Msk (0xf000UL) /*!< PB AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR2_Pos (8UL) /*!< PB AFSR1: AFSR2 (Bit 8) */ +#define PB_AFSR1_AFSR2_Msk (0xf00UL) /*!< PB AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR1_Pos (4UL) /*!< PB AFSR1: AFSR1 (Bit 4) */ +#define PB_AFSR1_AFSR1_Msk (0xf0UL) /*!< PB AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR0_Pos (0UL) /*!< PB AFSR1: AFSR0 (Bit 0) */ +#define PB_AFSR1_AFSR0_Msk (0xfUL) /*!< PB AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PB_AFSR2_AFSR15_Pos (28UL) /*!< PB AFSR2: AFSR15 (Bit 28) */ +#define PB_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PB AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR14_Pos (24UL) /*!< PB AFSR2: AFSR14 (Bit 24) */ +#define PB_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PB AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR13_Pos (20UL) /*!< PB AFSR2: AFSR13 (Bit 20) */ +#define PB_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PB AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR12_Pos (16UL) /*!< PB AFSR2: AFSR12 (Bit 16) */ +#define PB_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PB AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR11_Pos (12UL) /*!< PB AFSR2: AFSR11 (Bit 12) */ +#define PB_AFSR2_AFSR11_Msk (0xf000UL) /*!< PB AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR10_Pos (8UL) /*!< PB AFSR2: AFSR10 (Bit 8) */ +#define PB_AFSR2_AFSR10_Msk (0xf00UL) /*!< PB AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR9_Pos (4UL) /*!< PB AFSR2: AFSR9 (Bit 4) */ +#define PB_AFSR2_AFSR9_Msk (0xf0UL) /*!< PB AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR8_Pos (0UL) /*!< PB AFSR2: AFSR8 (Bit 0) */ +#define PB_AFSR2_AFSR8_Msk (0xfUL) /*!< PB AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PB_PUPD_PUPD15_Pos (30UL) /*!< PB PUPD: PUPD15 (Bit 30) */ +#define PB_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PB PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD14_Pos (28UL) /*!< PB PUPD: PUPD14 (Bit 28) */ +#define PB_PUPD_PUPD14_Msk (0x30000000UL) /*!< PB PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD13_Pos (26UL) /*!< PB PUPD: PUPD13 (Bit 26) */ +#define PB_PUPD_PUPD13_Msk (0xc000000UL) /*!< PB PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD12_Pos (24UL) /*!< PB PUPD: PUPD12 (Bit 24) */ +#define PB_PUPD_PUPD12_Msk (0x3000000UL) /*!< PB PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD11_Pos (22UL) /*!< PB PUPD: PUPD11 (Bit 22) */ +#define PB_PUPD_PUPD11_Msk (0xc00000UL) /*!< PB PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD10_Pos (20UL) /*!< PB PUPD: PUPD10 (Bit 20) */ +#define PB_PUPD_PUPD10_Msk (0x300000UL) /*!< PB PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD9_Pos (18UL) /*!< PB PUPD: PUPD9 (Bit 18) */ +#define PB_PUPD_PUPD9_Msk (0xc0000UL) /*!< PB PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD8_Pos (16UL) /*!< PB PUPD: PUPD8 (Bit 16) */ +#define PB_PUPD_PUPD8_Msk (0x30000UL) /*!< PB PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD7_Pos (14UL) /*!< PB PUPD: PUPD7 (Bit 14) */ +#define PB_PUPD_PUPD7_Msk (0xc000UL) /*!< PB PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD6_Pos (12UL) /*!< PB PUPD: PUPD6 (Bit 12) */ +#define PB_PUPD_PUPD6_Msk (0x3000UL) /*!< PB PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD5_Pos (10UL) /*!< PB PUPD: PUPD5 (Bit 10) */ +#define PB_PUPD_PUPD5_Msk (0xc00UL) /*!< PB PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD4_Pos (8UL) /*!< PB PUPD: PUPD4 (Bit 8) */ +#define PB_PUPD_PUPD4_Msk (0x300UL) /*!< PB PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD3_Pos (6UL) /*!< PB PUPD: PUPD3 (Bit 6) */ +#define PB_PUPD_PUPD3_Msk (0xc0UL) /*!< PB PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD2_Pos (4UL) /*!< PB PUPD: PUPD2 (Bit 4) */ +#define PB_PUPD_PUPD2_Msk (0x30UL) /*!< PB PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD1_Pos (2UL) /*!< PB PUPD: PUPD1 (Bit 2) */ +#define PB_PUPD_PUPD1_Msk (0xcUL) /*!< PB PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD0_Pos (0UL) /*!< PB PUPD: PUPD0 (Bit 0) */ +#define PB_PUPD_PUPD0_Msk (0x3UL) /*!< PB PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PB_INDR_INDR15_Pos (15UL) /*!< PB INDR: INDR15 (Bit 15) */ +#define PB_INDR_INDR15_Msk (0x8000UL) /*!< PB INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR14_Pos (14UL) /*!< PB INDR: INDR14 (Bit 14) */ +#define PB_INDR_INDR14_Msk (0x4000UL) /*!< PB INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR13_Pos (13UL) /*!< PB INDR: INDR13 (Bit 13) */ +#define PB_INDR_INDR13_Msk (0x2000UL) /*!< PB INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR12_Pos (12UL) /*!< PB INDR: INDR12 (Bit 12) */ +#define PB_INDR_INDR12_Msk (0x1000UL) /*!< PB INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR11_Pos (11UL) /*!< PB INDR: INDR11 (Bit 11) */ +#define PB_INDR_INDR11_Msk (0x800UL) /*!< PB INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR10_Pos (10UL) /*!< PB INDR: INDR10 (Bit 10) */ +#define PB_INDR_INDR10_Msk (0x400UL) /*!< PB INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR9_Pos (9UL) /*!< PB INDR: INDR9 (Bit 9) */ +#define PB_INDR_INDR9_Msk (0x200UL) /*!< PB INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR8_Pos (8UL) /*!< PB INDR: INDR8 (Bit 8) */ +#define PB_INDR_INDR8_Msk (0x100UL) /*!< PB INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR7_Pos (7UL) /*!< PB INDR: INDR7 (Bit 7) */ +#define PB_INDR_INDR7_Msk (0x80UL) /*!< PB INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR6_Pos (6UL) /*!< PB INDR: INDR6 (Bit 6) */ +#define PB_INDR_INDR6_Msk (0x40UL) /*!< PB INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR5_Pos (5UL) /*!< PB INDR: INDR5 (Bit 5) */ +#define PB_INDR_INDR5_Msk (0x20UL) /*!< PB INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR4_Pos (4UL) /*!< PB INDR: INDR4 (Bit 4) */ +#define PB_INDR_INDR4_Msk (0x10UL) /*!< PB INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR3_Pos (3UL) /*!< PB INDR: INDR3 (Bit 3) */ +#define PB_INDR_INDR3_Msk (0x8UL) /*!< PB INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR2_Pos (2UL) /*!< PB INDR: INDR2 (Bit 2) */ +#define PB_INDR_INDR2_Msk (0x4UL) /*!< PB INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR1_Pos (1UL) /*!< PB INDR: INDR1 (Bit 1) */ +#define PB_INDR_INDR1_Msk (0x2UL) /*!< PB INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR0_Pos (0UL) /*!< PB INDR: INDR0 (Bit 0) */ +#define PB_INDR_INDR0_Msk (0x1UL) /*!< PB INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PB_OUTDR_OUTDR15_Pos (15UL) /*!< PB OUTDR: OUTDR15 (Bit 15) */ +#define PB_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PB OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR14_Pos (14UL) /*!< PB OUTDR: OUTDR14 (Bit 14) */ +#define PB_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PB OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR13_Pos (13UL) /*!< PB OUTDR: OUTDR13 (Bit 13) */ +#define PB_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PB OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR12_Pos (12UL) /*!< PB OUTDR: OUTDR12 (Bit 12) */ +#define PB_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PB OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR11_Pos (11UL) /*!< PB OUTDR: OUTDR11 (Bit 11) */ +#define PB_OUTDR_OUTDR11_Msk (0x800UL) /*!< PB OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR10_Pos (10UL) /*!< PB OUTDR: OUTDR10 (Bit 10) */ +#define PB_OUTDR_OUTDR10_Msk (0x400UL) /*!< PB OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR9_Pos (9UL) /*!< PB OUTDR: OUTDR9 (Bit 9) */ +#define PB_OUTDR_OUTDR9_Msk (0x200UL) /*!< PB OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR8_Pos (8UL) /*!< PB OUTDR: OUTDR8 (Bit 8) */ +#define PB_OUTDR_OUTDR8_Msk (0x100UL) /*!< PB OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR7_Pos (7UL) /*!< PB OUTDR: OUTDR7 (Bit 7) */ +#define PB_OUTDR_OUTDR7_Msk (0x80UL) /*!< PB OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR6_Pos (6UL) /*!< PB OUTDR: OUTDR6 (Bit 6) */ +#define PB_OUTDR_OUTDR6_Msk (0x40UL) /*!< PB OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR5_Pos (5UL) /*!< PB OUTDR: OUTDR5 (Bit 5) */ +#define PB_OUTDR_OUTDR5_Msk (0x20UL) /*!< PB OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR4_Pos (4UL) /*!< PB OUTDR: OUTDR4 (Bit 4) */ +#define PB_OUTDR_OUTDR4_Msk (0x10UL) /*!< PB OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR3_Pos (3UL) /*!< PB OUTDR: OUTDR3 (Bit 3) */ +#define PB_OUTDR_OUTDR3_Msk (0x8UL) /*!< PB OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR2_Pos (2UL) /*!< PB OUTDR: OUTDR2 (Bit 2) */ +#define PB_OUTDR_OUTDR2_Msk (0x4UL) /*!< PB OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR1_Pos (1UL) /*!< PB OUTDR: OUTDR1 (Bit 1) */ +#define PB_OUTDR_OUTDR1_Msk (0x2UL) /*!< PB OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR0_Pos (0UL) /*!< PB OUTDR: OUTDR0 (Bit 0) */ +#define PB_OUTDR_OUTDR0_Msk (0x1UL) /*!< PB OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PB_BSR_BSR15_Pos (15UL) /*!< PB BSR: BSR15 (Bit 15) */ +#define PB_BSR_BSR15_Msk (0x8000UL) /*!< PB BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR14_Pos (14UL) /*!< PB BSR: BSR14 (Bit 14) */ +#define PB_BSR_BSR14_Msk (0x4000UL) /*!< PB BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR13_Pos (13UL) /*!< PB BSR: BSR13 (Bit 13) */ +#define PB_BSR_BSR13_Msk (0x2000UL) /*!< PB BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR12_Pos (12UL) /*!< PB BSR: BSR12 (Bit 12) */ +#define PB_BSR_BSR12_Msk (0x1000UL) /*!< PB BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR11_Pos (11UL) /*!< PB BSR: BSR11 (Bit 11) */ +#define PB_BSR_BSR11_Msk (0x800UL) /*!< PB BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR10_Pos (10UL) /*!< PB BSR: BSR10 (Bit 10) */ +#define PB_BSR_BSR10_Msk (0x400UL) /*!< PB BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR9_Pos (9UL) /*!< PB BSR: BSR9 (Bit 9) */ +#define PB_BSR_BSR9_Msk (0x200UL) /*!< PB BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR8_Pos (8UL) /*!< PB BSR: BSR8 (Bit 8) */ +#define PB_BSR_BSR8_Msk (0x100UL) /*!< PB BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR7_Pos (7UL) /*!< PB BSR: BSR7 (Bit 7) */ +#define PB_BSR_BSR7_Msk (0x80UL) /*!< PB BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR6_Pos (6UL) /*!< PB BSR: BSR6 (Bit 6) */ +#define PB_BSR_BSR6_Msk (0x40UL) /*!< PB BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR5_Pos (5UL) /*!< PB BSR: BSR5 (Bit 5) */ +#define PB_BSR_BSR5_Msk (0x20UL) /*!< PB BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR4_Pos (4UL) /*!< PB BSR: BSR4 (Bit 4) */ +#define PB_BSR_BSR4_Msk (0x10UL) /*!< PB BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR3_Pos (3UL) /*!< PB BSR: BSR3 (Bit 3) */ +#define PB_BSR_BSR3_Msk (0x8UL) /*!< PB BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR2_Pos (2UL) /*!< PB BSR: BSR2 (Bit 2) */ +#define PB_BSR_BSR2_Msk (0x4UL) /*!< PB BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR1_Pos (1UL) /*!< PB BSR: BSR1 (Bit 1) */ +#define PB_BSR_BSR1_Msk (0x2UL) /*!< PB BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR0_Pos (0UL) /*!< PB BSR: BSR0 (Bit 0) */ +#define PB_BSR_BSR0_Msk (0x1UL) /*!< PB BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PB_BCR_BCR15_Pos (15UL) /*!< PB BCR: BCR15 (Bit 15) */ +#define PB_BCR_BCR15_Msk (0x8000UL) /*!< PB BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR14_Pos (14UL) /*!< PB BCR: BCR14 (Bit 14) */ +#define PB_BCR_BCR14_Msk (0x4000UL) /*!< PB BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR13_Pos (13UL) /*!< PB BCR: BCR13 (Bit 13) */ +#define PB_BCR_BCR13_Msk (0x2000UL) /*!< PB BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR12_Pos (12UL) /*!< PB BCR: BCR12 (Bit 12) */ +#define PB_BCR_BCR12_Msk (0x1000UL) /*!< PB BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR11_Pos (11UL) /*!< PB BCR: BCR11 (Bit 11) */ +#define PB_BCR_BCR11_Msk (0x800UL) /*!< PB BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR10_Pos (10UL) /*!< PB BCR: BCR10 (Bit 10) */ +#define PB_BCR_BCR10_Msk (0x400UL) /*!< PB BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR9_Pos (9UL) /*!< PB BCR: BCR9 (Bit 9) */ +#define PB_BCR_BCR9_Msk (0x200UL) /*!< PB BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR8_Pos (8UL) /*!< PB BCR: BCR8 (Bit 8) */ +#define PB_BCR_BCR8_Msk (0x100UL) /*!< PB BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR7_Pos (7UL) /*!< PB BCR: BCR7 (Bit 7) */ +#define PB_BCR_BCR7_Msk (0x80UL) /*!< PB BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR6_Pos (6UL) /*!< PB BCR: BCR6 (Bit 6) */ +#define PB_BCR_BCR6_Msk (0x40UL) /*!< PB BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR5_Pos (5UL) /*!< PB BCR: BCR5 (Bit 5) */ +#define PB_BCR_BCR5_Msk (0x20UL) /*!< PB BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR4_Pos (4UL) /*!< PB BCR: BCR4 (Bit 4) */ +#define PB_BCR_BCR4_Msk (0x10UL) /*!< PB BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR3_Pos (3UL) /*!< PB BCR: BCR3 (Bit 3) */ +#define PB_BCR_BCR3_Msk (0x8UL) /*!< PB BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR2_Pos (2UL) /*!< PB BCR: BCR2 (Bit 2) */ +#define PB_BCR_BCR2_Msk (0x4UL) /*!< PB BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR1_Pos (1UL) /*!< PB BCR: BCR1 (Bit 1) */ +#define PB_BCR_BCR1_Msk (0x2UL) /*!< PB BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR0_Pos (0UL) /*!< PB BCR: BCR0 (Bit 0) */ +#define PB_BCR_BCR0_Msk (0x1UL) /*!< PB BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PB_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PB OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PB_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PB OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PB OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PB_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PB OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PB OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PB_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PB OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PB OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PB_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PB OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PB OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PB_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PB OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PB OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PB_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PB OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PB OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PB_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PB OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PB OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PB_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PB OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PB OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PB_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PB OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PB OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PB_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PB OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PB OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PB_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PB OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PB OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PB_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PB OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PB OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PB_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PB OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PB OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PB_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PB OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PB OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PB_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PB OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PB OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PB_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PB OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PB_DBCR_DBCLK_Pos (16UL) /*!< PB DBCR: DBCLK (Bit 16) */ +#define PB_DBCR_DBCLK_Msk (0x70000UL) /*!< PB DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PB_DBCR_DBEN11_Pos (11UL) /*!< PB DBCR: DBEN11 (Bit 11) */ +#define PB_DBCR_DBEN11_Msk (0x800UL) /*!< PB DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN10_Pos (10UL) /*!< PB DBCR: DBEN10 (Bit 10) */ +#define PB_DBCR_DBEN10_Msk (0x400UL) /*!< PB DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN9_Pos (9UL) /*!< PB DBCR: DBEN9 (Bit 9) */ +#define PB_DBCR_DBEN9_Msk (0x200UL) /*!< PB DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN8_Pos (8UL) /*!< PB DBCR: DBEN8 (Bit 8) */ +#define PB_DBCR_DBEN8_Msk (0x100UL) /*!< PB DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN7_Pos (7UL) /*!< PB DBCR: DBEN7 (Bit 7) */ +#define PB_DBCR_DBEN7_Msk (0x80UL) /*!< PB DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN6_Pos (6UL) /*!< PB DBCR: DBEN6 (Bit 6) */ +#define PB_DBCR_DBEN6_Msk (0x40UL) /*!< PB DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN5_Pos (5UL) /*!< PB DBCR: DBEN5 (Bit 5) */ +#define PB_DBCR_DBEN5_Msk (0x20UL) /*!< PB DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN4_Pos (4UL) /*!< PB DBCR: DBEN4 (Bit 4) */ +#define PB_DBCR_DBEN4_Msk (0x10UL) /*!< PB DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN3_Pos (3UL) /*!< PB DBCR: DBEN3 (Bit 3) */ +#define PB_DBCR_DBEN3_Msk (0x8UL) /*!< PB DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN2_Pos (2UL) /*!< PB DBCR: DBEN2 (Bit 2) */ +#define PB_DBCR_DBEN2_Msk (0x4UL) /*!< PB DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN1_Pos (1UL) /*!< PB DBCR: DBEN1 (Bit 1) */ +#define PB_DBCR_DBEN1_Msk (0x2UL) /*!< PB DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN0_Pos (0UL) /*!< PB DBCR: DBEN0 (Bit 0) */ +#define PB_DBCR_DBEN0_Msk (0x1UL) /*!< PB DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PB_MOD ========================================================= */ +#define PB_PB_MOD_MODE15_Pos (30UL) /*!< PB PB_MOD: MODE15 (Bit 30) */ +#define PB_PB_MOD_MODE15_Msk (0xc0000000UL) /*!< PB PB_MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE14_Pos (28UL) /*!< PB PB_MOD: MODE14 (Bit 28) */ +#define PB_PB_MOD_MODE14_Msk (0x30000000UL) /*!< PB PB_MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE13_Pos (26UL) /*!< PB PB_MOD: MODE13 (Bit 26) */ +#define PB_PB_MOD_MODE13_Msk (0xc000000UL) /*!< PB PB_MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE12_Pos (24UL) /*!< PB PB_MOD: MODE12 (Bit 24) */ +#define PB_PB_MOD_MODE12_Msk (0x3000000UL) /*!< PB PB_MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE11_Pos (22UL) /*!< PB PB_MOD: MODE11 (Bit 22) */ +#define PB_PB_MOD_MODE11_Msk (0xc00000UL) /*!< PB PB_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE10_Pos (20UL) /*!< PB PB_MOD: MODE10 (Bit 20) */ +#define PB_PB_MOD_MODE10_Msk (0x300000UL) /*!< PB PB_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE9_Pos (18UL) /*!< PB PB_MOD: MODE9 (Bit 18) */ +#define PB_PB_MOD_MODE9_Msk (0xc0000UL) /*!< PB PB_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE8_Pos (16UL) /*!< PB PB_MOD: MODE8 (Bit 16) */ +#define PB_PB_MOD_MODE8_Msk (0x30000UL) /*!< PB PB_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE7_Pos (14UL) /*!< PB PB_MOD: MODE7 (Bit 14) */ +#define PB_PB_MOD_MODE7_Msk (0xc000UL) /*!< PB PB_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE6_Pos (12UL) /*!< PB PB_MOD: MODE6 (Bit 12) */ +#define PB_PB_MOD_MODE6_Msk (0x3000UL) /*!< PB PB_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE5_Pos (10UL) /*!< PB PB_MOD: MODE5 (Bit 10) */ +#define PB_PB_MOD_MODE5_Msk (0xc00UL) /*!< PB PB_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE4_Pos (8UL) /*!< PB PB_MOD: MODE4 (Bit 8) */ +#define PB_PB_MOD_MODE4_Msk (0x300UL) /*!< PB PB_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE3_Pos (6UL) /*!< PB PB_MOD: MODE3 (Bit 6) */ +#define PB_PB_MOD_MODE3_Msk (0xc0UL) /*!< PB PB_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE2_Pos (4UL) /*!< PB PB_MOD: MODE2 (Bit 4) */ +#define PB_PB_MOD_MODE2_Msk (0x30UL) /*!< PB PB_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE1_Pos (2UL) /*!< PB PB_MOD: MODE1 (Bit 2) */ +#define PB_PB_MOD_MODE1_Msk (0xcUL) /*!< PB PB_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE0_Pos (0UL) /*!< PB PB_MOD: MODE0 (Bit 0) */ +#define PB_PB_MOD_MODE0_Msk (0x3UL) /*!< PB PB_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PB_TYP ========================================================= */ +#define PB_PB_TYP_TYP15_Pos (15UL) /*!< PB PB_TYP: TYP15 (Bit 15) */ +#define PB_PB_TYP_TYP15_Msk (0x8000UL) /*!< PB PB_TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP14_Pos (14UL) /*!< PB PB_TYP: TYP14 (Bit 14) */ +#define PB_PB_TYP_TYP14_Msk (0x4000UL) /*!< PB PB_TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP13_Pos (13UL) /*!< PB PB_TYP: TYP13 (Bit 13) */ +#define PB_PB_TYP_TYP13_Msk (0x2000UL) /*!< PB PB_TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP12_Pos (12UL) /*!< PB PB_TYP: TYP12 (Bit 12) */ +#define PB_PB_TYP_TYP12_Msk (0x1000UL) /*!< PB PB_TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP11_Pos (11UL) /*!< PB PB_TYP: TYP11 (Bit 11) */ +#define PB_PB_TYP_TYP11_Msk (0x800UL) /*!< PB PB_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP10_Pos (10UL) /*!< PB PB_TYP: TYP10 (Bit 10) */ +#define PB_PB_TYP_TYP10_Msk (0x400UL) /*!< PB PB_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP9_Pos (9UL) /*!< PB PB_TYP: TYP9 (Bit 9) */ +#define PB_PB_TYP_TYP9_Msk (0x200UL) /*!< PB PB_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP8_Pos (8UL) /*!< PB PB_TYP: TYP8 (Bit 8) */ +#define PB_PB_TYP_TYP8_Msk (0x100UL) /*!< PB PB_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP7_Pos (7UL) /*!< PB PB_TYP: TYP7 (Bit 7) */ +#define PB_PB_TYP_TYP7_Msk (0x80UL) /*!< PB PB_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP6_Pos (6UL) /*!< PB PB_TYP: TYP6 (Bit 6) */ +#define PB_PB_TYP_TYP6_Msk (0x40UL) /*!< PB PB_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP5_Pos (5UL) /*!< PB PB_TYP: TYP5 (Bit 5) */ +#define PB_PB_TYP_TYP5_Msk (0x20UL) /*!< PB PB_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP4_Pos (4UL) /*!< PB PB_TYP: TYP4 (Bit 4) */ +#define PB_PB_TYP_TYP4_Msk (0x10UL) /*!< PB PB_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP3_Pos (3UL) /*!< PB PB_TYP: TYP3 (Bit 3) */ +#define PB_PB_TYP_TYP3_Msk (0x8UL) /*!< PB PB_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP2_Pos (2UL) /*!< PB PB_TYP: TYP2 (Bit 2) */ +#define PB_PB_TYP_TYP2_Msk (0x4UL) /*!< PB PB_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP1_Pos (1UL) /*!< PB PB_TYP: TYP1 (Bit 1) */ +#define PB_PB_TYP_TYP1_Msk (0x2UL) /*!< PB PB_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP0_Pos (0UL) /*!< PB PB_TYP: TYP0 (Bit 0) */ +#define PB_PB_TYP_TYP0_Msk (0x1UL) /*!< PB PB_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PB_AFSR1 ======================================================== */ +#define PB_PB_AFSR1_AFSR7_Pos (28UL) /*!< PB PB_AFSR1: AFSR7 (Bit 28) */ +#define PB_PB_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PB PB_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR6_Pos (24UL) /*!< PB PB_AFSR1: AFSR6 (Bit 24) */ +#define PB_PB_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PB PB_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR5_Pos (20UL) /*!< PB PB_AFSR1: AFSR5 (Bit 20) */ +#define PB_PB_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PB PB_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR4_Pos (16UL) /*!< PB PB_AFSR1: AFSR4 (Bit 16) */ +#define PB_PB_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PB PB_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR3_Pos (12UL) /*!< PB PB_AFSR1: AFSR3 (Bit 12) */ +#define PB_PB_AFSR1_AFSR3_Msk (0xf000UL) /*!< PB PB_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR2_Pos (8UL) /*!< PB PB_AFSR1: AFSR2 (Bit 8) */ +#define PB_PB_AFSR1_AFSR2_Msk (0xf00UL) /*!< PB PB_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR1_Pos (4UL) /*!< PB PB_AFSR1: AFSR1 (Bit 4) */ +#define PB_PB_AFSR1_AFSR1_Msk (0xf0UL) /*!< PB PB_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR0_Pos (0UL) /*!< PB PB_AFSR1: AFSR0 (Bit 0) */ +#define PB_PB_AFSR1_AFSR0_Msk (0xfUL) /*!< PB PB_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PB_AFSR2 ======================================================== */ +#define PB_PB_AFSR2_AFSR15_Pos (28UL) /*!< PB PB_AFSR2: AFSR15 (Bit 28) */ +#define PB_PB_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PB PB_AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR14_Pos (24UL) /*!< PB PB_AFSR2: AFSR14 (Bit 24) */ +#define PB_PB_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PB PB_AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR13_Pos (20UL) /*!< PB PB_AFSR2: AFSR13 (Bit 20) */ +#define PB_PB_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PB PB_AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR12_Pos (16UL) /*!< PB PB_AFSR2: AFSR12 (Bit 16) */ +#define PB_PB_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PB PB_AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR11_Pos (12UL) /*!< PB PB_AFSR2: AFSR11 (Bit 12) */ +#define PB_PB_AFSR2_AFSR11_Msk (0xf000UL) /*!< PB PB_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR10_Pos (8UL) /*!< PB PB_AFSR2: AFSR10 (Bit 8) */ +#define PB_PB_AFSR2_AFSR10_Msk (0xf00UL) /*!< PB PB_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR9_Pos (4UL) /*!< PB PB_AFSR2: AFSR9 (Bit 4) */ +#define PB_PB_AFSR2_AFSR9_Msk (0xf0UL) /*!< PB PB_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR8_Pos (0UL) /*!< PB PB_AFSR2: AFSR8 (Bit 0) */ +#define PB_PB_AFSR2_AFSR8_Msk (0xfUL) /*!< PB PB_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PB_PUPD ======================================================== */ +#define PB_PB_PUPD_PUPD15_Pos (30UL) /*!< PB PB_PUPD: PUPD15 (Bit 30) */ +#define PB_PB_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PB PB_PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD14_Pos (28UL) /*!< PB PB_PUPD: PUPD14 (Bit 28) */ +#define PB_PB_PUPD_PUPD14_Msk (0x30000000UL) /*!< PB PB_PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD13_Pos (26UL) /*!< PB PB_PUPD: PUPD13 (Bit 26) */ +#define PB_PB_PUPD_PUPD13_Msk (0xc000000UL) /*!< PB PB_PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD12_Pos (24UL) /*!< PB PB_PUPD: PUPD12 (Bit 24) */ +#define PB_PB_PUPD_PUPD12_Msk (0x3000000UL) /*!< PB PB_PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD11_Pos (22UL) /*!< PB PB_PUPD: PUPD11 (Bit 22) */ +#define PB_PB_PUPD_PUPD11_Msk (0xc00000UL) /*!< PB PB_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD10_Pos (20UL) /*!< PB PB_PUPD: PUPD10 (Bit 20) */ +#define PB_PB_PUPD_PUPD10_Msk (0x300000UL) /*!< PB PB_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD9_Pos (18UL) /*!< PB PB_PUPD: PUPD9 (Bit 18) */ +#define PB_PB_PUPD_PUPD9_Msk (0xc0000UL) /*!< PB PB_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD8_Pos (16UL) /*!< PB PB_PUPD: PUPD8 (Bit 16) */ +#define PB_PB_PUPD_PUPD8_Msk (0x30000UL) /*!< PB PB_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD7_Pos (14UL) /*!< PB PB_PUPD: PUPD7 (Bit 14) */ +#define PB_PB_PUPD_PUPD7_Msk (0xc000UL) /*!< PB PB_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD6_Pos (12UL) /*!< PB PB_PUPD: PUPD6 (Bit 12) */ +#define PB_PB_PUPD_PUPD6_Msk (0x3000UL) /*!< PB PB_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD5_Pos (10UL) /*!< PB PB_PUPD: PUPD5 (Bit 10) */ +#define PB_PB_PUPD_PUPD5_Msk (0xc00UL) /*!< PB PB_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD4_Pos (8UL) /*!< PB PB_PUPD: PUPD4 (Bit 8) */ +#define PB_PB_PUPD_PUPD4_Msk (0x300UL) /*!< PB PB_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD3_Pos (6UL) /*!< PB PB_PUPD: PUPD3 (Bit 6) */ +#define PB_PB_PUPD_PUPD3_Msk (0xc0UL) /*!< PB PB_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD2_Pos (4UL) /*!< PB PB_PUPD: PUPD2 (Bit 4) */ +#define PB_PB_PUPD_PUPD2_Msk (0x30UL) /*!< PB PB_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD1_Pos (2UL) /*!< PB PB_PUPD: PUPD1 (Bit 2) */ +#define PB_PB_PUPD_PUPD1_Msk (0xcUL) /*!< PB PB_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD0_Pos (0UL) /*!< PB PB_PUPD: PUPD0 (Bit 0) */ +#define PB_PB_PUPD_PUPD0_Msk (0x3UL) /*!< PB PB_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PB_INDR ======================================================== */ +#define PB_PB_INDR_INDR15_Pos (15UL) /*!< PB PB_INDR: INDR15 (Bit 15) */ +#define PB_PB_INDR_INDR15_Msk (0x8000UL) /*!< PB PB_INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR14_Pos (14UL) /*!< PB PB_INDR: INDR14 (Bit 14) */ +#define PB_PB_INDR_INDR14_Msk (0x4000UL) /*!< PB PB_INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR13_Pos (13UL) /*!< PB PB_INDR: INDR13 (Bit 13) */ +#define PB_PB_INDR_INDR13_Msk (0x2000UL) /*!< PB PB_INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR12_Pos (12UL) /*!< PB PB_INDR: INDR12 (Bit 12) */ +#define PB_PB_INDR_INDR12_Msk (0x1000UL) /*!< PB PB_INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR11_Pos (11UL) /*!< PB PB_INDR: INDR11 (Bit 11) */ +#define PB_PB_INDR_INDR11_Msk (0x800UL) /*!< PB PB_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR10_Pos (10UL) /*!< PB PB_INDR: INDR10 (Bit 10) */ +#define PB_PB_INDR_INDR10_Msk (0x400UL) /*!< PB PB_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR9_Pos (9UL) /*!< PB PB_INDR: INDR9 (Bit 9) */ +#define PB_PB_INDR_INDR9_Msk (0x200UL) /*!< PB PB_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR8_Pos (8UL) /*!< PB PB_INDR: INDR8 (Bit 8) */ +#define PB_PB_INDR_INDR8_Msk (0x100UL) /*!< PB PB_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR7_Pos (7UL) /*!< PB PB_INDR: INDR7 (Bit 7) */ +#define PB_PB_INDR_INDR7_Msk (0x80UL) /*!< PB PB_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR6_Pos (6UL) /*!< PB PB_INDR: INDR6 (Bit 6) */ +#define PB_PB_INDR_INDR6_Msk (0x40UL) /*!< PB PB_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR5_Pos (5UL) /*!< PB PB_INDR: INDR5 (Bit 5) */ +#define PB_PB_INDR_INDR5_Msk (0x20UL) /*!< PB PB_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR4_Pos (4UL) /*!< PB PB_INDR: INDR4 (Bit 4) */ +#define PB_PB_INDR_INDR4_Msk (0x10UL) /*!< PB PB_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR3_Pos (3UL) /*!< PB PB_INDR: INDR3 (Bit 3) */ +#define PB_PB_INDR_INDR3_Msk (0x8UL) /*!< PB PB_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR2_Pos (2UL) /*!< PB PB_INDR: INDR2 (Bit 2) */ +#define PB_PB_INDR_INDR2_Msk (0x4UL) /*!< PB PB_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR1_Pos (1UL) /*!< PB PB_INDR: INDR1 (Bit 1) */ +#define PB_PB_INDR_INDR1_Msk (0x2UL) /*!< PB PB_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR0_Pos (0UL) /*!< PB PB_INDR: INDR0 (Bit 0) */ +#define PB_PB_INDR_INDR0_Msk (0x1UL) /*!< PB PB_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PB_OUTDR ======================================================== */ +#define PB_PB_OUTDR_OUTDR15_Pos (15UL) /*!< PB PB_OUTDR: OUTDR15 (Bit 15) */ +#define PB_PB_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PB PB_OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR14_Pos (14UL) /*!< PB PB_OUTDR: OUTDR14 (Bit 14) */ +#define PB_PB_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PB PB_OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR13_Pos (13UL) /*!< PB PB_OUTDR: OUTDR13 (Bit 13) */ +#define PB_PB_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PB PB_OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR12_Pos (12UL) /*!< PB PB_OUTDR: OUTDR12 (Bit 12) */ +#define PB_PB_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PB PB_OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR11_Pos (11UL) /*!< PB PB_OUTDR: OUTDR11 (Bit 11) */ +#define PB_PB_OUTDR_OUTDR11_Msk (0x800UL) /*!< PB PB_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR10_Pos (10UL) /*!< PB PB_OUTDR: OUTDR10 (Bit 10) */ +#define PB_PB_OUTDR_OUTDR10_Msk (0x400UL) /*!< PB PB_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR9_Pos (9UL) /*!< PB PB_OUTDR: OUTDR9 (Bit 9) */ +#define PB_PB_OUTDR_OUTDR9_Msk (0x200UL) /*!< PB PB_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR8_Pos (8UL) /*!< PB PB_OUTDR: OUTDR8 (Bit 8) */ +#define PB_PB_OUTDR_OUTDR8_Msk (0x100UL) /*!< PB PB_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR7_Pos (7UL) /*!< PB PB_OUTDR: OUTDR7 (Bit 7) */ +#define PB_PB_OUTDR_OUTDR7_Msk (0x80UL) /*!< PB PB_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR6_Pos (6UL) /*!< PB PB_OUTDR: OUTDR6 (Bit 6) */ +#define PB_PB_OUTDR_OUTDR6_Msk (0x40UL) /*!< PB PB_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR5_Pos (5UL) /*!< PB PB_OUTDR: OUTDR5 (Bit 5) */ +#define PB_PB_OUTDR_OUTDR5_Msk (0x20UL) /*!< PB PB_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR4_Pos (4UL) /*!< PB PB_OUTDR: OUTDR4 (Bit 4) */ +#define PB_PB_OUTDR_OUTDR4_Msk (0x10UL) /*!< PB PB_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR3_Pos (3UL) /*!< PB PB_OUTDR: OUTDR3 (Bit 3) */ +#define PB_PB_OUTDR_OUTDR3_Msk (0x8UL) /*!< PB PB_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR2_Pos (2UL) /*!< PB PB_OUTDR: OUTDR2 (Bit 2) */ +#define PB_PB_OUTDR_OUTDR2_Msk (0x4UL) /*!< PB PB_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR1_Pos (1UL) /*!< PB PB_OUTDR: OUTDR1 (Bit 1) */ +#define PB_PB_OUTDR_OUTDR1_Msk (0x2UL) /*!< PB PB_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR0_Pos (0UL) /*!< PB PB_OUTDR: OUTDR0 (Bit 0) */ +#define PB_PB_OUTDR_OUTDR0_Msk (0x1UL) /*!< PB PB_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PB_BSR ========================================================= */ +#define PB_PB_BSR_BSR15_Pos (15UL) /*!< PB PB_BSR: BSR15 (Bit 15) */ +#define PB_PB_BSR_BSR15_Msk (0x8000UL) /*!< PB PB_BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR14_Pos (14UL) /*!< PB PB_BSR: BSR14 (Bit 14) */ +#define PB_PB_BSR_BSR14_Msk (0x4000UL) /*!< PB PB_BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR13_Pos (13UL) /*!< PB PB_BSR: BSR13 (Bit 13) */ +#define PB_PB_BSR_BSR13_Msk (0x2000UL) /*!< PB PB_BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR12_Pos (12UL) /*!< PB PB_BSR: BSR12 (Bit 12) */ +#define PB_PB_BSR_BSR12_Msk (0x1000UL) /*!< PB PB_BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR11_Pos (11UL) /*!< PB PB_BSR: BSR11 (Bit 11) */ +#define PB_PB_BSR_BSR11_Msk (0x800UL) /*!< PB PB_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR10_Pos (10UL) /*!< PB PB_BSR: BSR10 (Bit 10) */ +#define PB_PB_BSR_BSR10_Msk (0x400UL) /*!< PB PB_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR9_Pos (9UL) /*!< PB PB_BSR: BSR9 (Bit 9) */ +#define PB_PB_BSR_BSR9_Msk (0x200UL) /*!< PB PB_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR8_Pos (8UL) /*!< PB PB_BSR: BSR8 (Bit 8) */ +#define PB_PB_BSR_BSR8_Msk (0x100UL) /*!< PB PB_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR7_Pos (7UL) /*!< PB PB_BSR: BSR7 (Bit 7) */ +#define PB_PB_BSR_BSR7_Msk (0x80UL) /*!< PB PB_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR6_Pos (6UL) /*!< PB PB_BSR: BSR6 (Bit 6) */ +#define PB_PB_BSR_BSR6_Msk (0x40UL) /*!< PB PB_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR5_Pos (5UL) /*!< PB PB_BSR: BSR5 (Bit 5) */ +#define PB_PB_BSR_BSR5_Msk (0x20UL) /*!< PB PB_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR4_Pos (4UL) /*!< PB PB_BSR: BSR4 (Bit 4) */ +#define PB_PB_BSR_BSR4_Msk (0x10UL) /*!< PB PB_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR3_Pos (3UL) /*!< PB PB_BSR: BSR3 (Bit 3) */ +#define PB_PB_BSR_BSR3_Msk (0x8UL) /*!< PB PB_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR2_Pos (2UL) /*!< PB PB_BSR: BSR2 (Bit 2) */ +#define PB_PB_BSR_BSR2_Msk (0x4UL) /*!< PB PB_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR1_Pos (1UL) /*!< PB PB_BSR: BSR1 (Bit 1) */ +#define PB_PB_BSR_BSR1_Msk (0x2UL) /*!< PB PB_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR0_Pos (0UL) /*!< PB PB_BSR: BSR0 (Bit 0) */ +#define PB_PB_BSR_BSR0_Msk (0x1UL) /*!< PB PB_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PB_BCR ========================================================= */ +#define PB_PB_BCR_BCR15_Pos (15UL) /*!< PB PB_BCR: BCR15 (Bit 15) */ +#define PB_PB_BCR_BCR15_Msk (0x8000UL) /*!< PB PB_BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR14_Pos (14UL) /*!< PB PB_BCR: BCR14 (Bit 14) */ +#define PB_PB_BCR_BCR14_Msk (0x4000UL) /*!< PB PB_BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR13_Pos (13UL) /*!< PB PB_BCR: BCR13 (Bit 13) */ +#define PB_PB_BCR_BCR13_Msk (0x2000UL) /*!< PB PB_BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR12_Pos (12UL) /*!< PB PB_BCR: BCR12 (Bit 12) */ +#define PB_PB_BCR_BCR12_Msk (0x1000UL) /*!< PB PB_BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR11_Pos (11UL) /*!< PB PB_BCR: BCR11 (Bit 11) */ +#define PB_PB_BCR_BCR11_Msk (0x800UL) /*!< PB PB_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR10_Pos (10UL) /*!< PB PB_BCR: BCR10 (Bit 10) */ +#define PB_PB_BCR_BCR10_Msk (0x400UL) /*!< PB PB_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR9_Pos (9UL) /*!< PB PB_BCR: BCR9 (Bit 9) */ +#define PB_PB_BCR_BCR9_Msk (0x200UL) /*!< PB PB_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR8_Pos (8UL) /*!< PB PB_BCR: BCR8 (Bit 8) */ +#define PB_PB_BCR_BCR8_Msk (0x100UL) /*!< PB PB_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR7_Pos (7UL) /*!< PB PB_BCR: BCR7 (Bit 7) */ +#define PB_PB_BCR_BCR7_Msk (0x80UL) /*!< PB PB_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR6_Pos (6UL) /*!< PB PB_BCR: BCR6 (Bit 6) */ +#define PB_PB_BCR_BCR6_Msk (0x40UL) /*!< PB PB_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR5_Pos (5UL) /*!< PB PB_BCR: BCR5 (Bit 5) */ +#define PB_PB_BCR_BCR5_Msk (0x20UL) /*!< PB PB_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR4_Pos (4UL) /*!< PB PB_BCR: BCR4 (Bit 4) */ +#define PB_PB_BCR_BCR4_Msk (0x10UL) /*!< PB PB_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR3_Pos (3UL) /*!< PB PB_BCR: BCR3 (Bit 3) */ +#define PB_PB_BCR_BCR3_Msk (0x8UL) /*!< PB PB_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR2_Pos (2UL) /*!< PB PB_BCR: BCR2 (Bit 2) */ +#define PB_PB_BCR_BCR2_Msk (0x4UL) /*!< PB PB_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR1_Pos (1UL) /*!< PB PB_BCR: BCR1 (Bit 1) */ +#define PB_PB_BCR_BCR1_Msk (0x2UL) /*!< PB PB_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR0_Pos (0UL) /*!< PB PB_BCR: BCR0 (Bit 0) */ +#define PB_PB_BCR_BCR0_Msk (0x1UL) /*!< PB PB_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PB_OUTDMSK ======================================================= */ +#define PB_PB_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PB PB_OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PB_PB_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PB PB_OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PB PB_OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PB_PB_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PB PB_OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PB PB_OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PB_PB_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PB PB_OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PB PB_OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PB_PB_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PB PB_OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PB PB_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PB_PB_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PB PB_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PB PB_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PB_PB_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PB PB_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PB PB_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PB_PB_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PB PB_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PB PB_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PB_PB_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PB PB_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PB PB_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PB_PB_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PB PB_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PB PB_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PB_PB_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PB PB_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PB PB_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PB_PB_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PB PB_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PB PB_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PB_PB_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PB PB_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PB PB_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PB_PB_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PB PB_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PB PB_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PB_PB_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PB PB_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PB PB_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PB_PB_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PB PB_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PB PB_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PB_PB_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PB PB_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PB_DBCR ======================================================== */ +#define PB_PB_DBCR_DBCLK_Pos (16UL) /*!< PB PB_DBCR: DBCLK (Bit 16) */ +#define PB_PB_DBCR_DBCLK_Msk (0x70000UL) /*!< PB PB_DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PB_PB_DBCR_DBEN11_Pos (11UL) /*!< PB PB_DBCR: DBEN11 (Bit 11) */ +#define PB_PB_DBCR_DBEN11_Msk (0x800UL) /*!< PB PB_DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN10_Pos (10UL) /*!< PB PB_DBCR: DBEN10 (Bit 10) */ +#define PB_PB_DBCR_DBEN10_Msk (0x400UL) /*!< PB PB_DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN9_Pos (9UL) /*!< PB PB_DBCR: DBEN9 (Bit 9) */ +#define PB_PB_DBCR_DBEN9_Msk (0x200UL) /*!< PB PB_DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN8_Pos (8UL) /*!< PB PB_DBCR: DBEN8 (Bit 8) */ +#define PB_PB_DBCR_DBEN8_Msk (0x100UL) /*!< PB PB_DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN7_Pos (7UL) /*!< PB PB_DBCR: DBEN7 (Bit 7) */ +#define PB_PB_DBCR_DBEN7_Msk (0x80UL) /*!< PB PB_DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN6_Pos (6UL) /*!< PB PB_DBCR: DBEN6 (Bit 6) */ +#define PB_PB_DBCR_DBEN6_Msk (0x40UL) /*!< PB PB_DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN5_Pos (5UL) /*!< PB PB_DBCR: DBEN5 (Bit 5) */ +#define PB_PB_DBCR_DBEN5_Msk (0x20UL) /*!< PB PB_DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN4_Pos (4UL) /*!< PB PB_DBCR: DBEN4 (Bit 4) */ +#define PB_PB_DBCR_DBEN4_Msk (0x10UL) /*!< PB PB_DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN3_Pos (3UL) /*!< PB PB_DBCR: DBEN3 (Bit 3) */ +#define PB_PB_DBCR_DBEN3_Msk (0x8UL) /*!< PB PB_DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN2_Pos (2UL) /*!< PB PB_DBCR: DBEN2 (Bit 2) */ +#define PB_PB_DBCR_DBEN2_Msk (0x4UL) /*!< PB PB_DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN1_Pos (1UL) /*!< PB PB_DBCR: DBEN1 (Bit 1) */ +#define PB_PB_DBCR_DBEN1_Msk (0x2UL) /*!< PB PB_DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN0_Pos (0UL) /*!< PB PB_DBCR: DBEN0 (Bit 0) */ +#define PB_PB_DBCR_DBEN0_Msk (0x1UL) /*!< PB PB_DBCR: DBEN0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PC_MOD_MODE15_Pos (30UL) /*!< PC MOD: MODE15 (Bit 30) */ +#define PC_MOD_MODE15_Msk (0xc0000000UL) /*!< PC MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE14_Pos (28UL) /*!< PC MOD: MODE14 (Bit 28) */ +#define PC_MOD_MODE14_Msk (0x30000000UL) /*!< PC MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE13_Pos (26UL) /*!< PC MOD: MODE13 (Bit 26) */ +#define PC_MOD_MODE13_Msk (0xc000000UL) /*!< PC MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE12_Pos (24UL) /*!< PC MOD: MODE12 (Bit 24) */ +#define PC_MOD_MODE12_Msk (0x3000000UL) /*!< PC MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE11_Pos (22UL) /*!< PC MOD: MODE11 (Bit 22) */ +#define PC_MOD_MODE11_Msk (0xc00000UL) /*!< PC MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE10_Pos (20UL) /*!< PC MOD: MODE10 (Bit 20) */ +#define PC_MOD_MODE10_Msk (0x300000UL) /*!< PC MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE9_Pos (18UL) /*!< PC MOD: MODE9 (Bit 18) */ +#define PC_MOD_MODE9_Msk (0xc0000UL) /*!< PC MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE8_Pos (16UL) /*!< PC MOD: MODE8 (Bit 16) */ +#define PC_MOD_MODE8_Msk (0x30000UL) /*!< PC MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE7_Pos (14UL) /*!< PC MOD: MODE7 (Bit 14) */ +#define PC_MOD_MODE7_Msk (0xc000UL) /*!< PC MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE6_Pos (12UL) /*!< PC MOD: MODE6 (Bit 12) */ +#define PC_MOD_MODE6_Msk (0x3000UL) /*!< PC MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE5_Pos (10UL) /*!< PC MOD: MODE5 (Bit 10) */ +#define PC_MOD_MODE5_Msk (0xc00UL) /*!< PC MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE4_Pos (8UL) /*!< PC MOD: MODE4 (Bit 8) */ +#define PC_MOD_MODE4_Msk (0x300UL) /*!< PC MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE3_Pos (6UL) /*!< PC MOD: MODE3 (Bit 6) */ +#define PC_MOD_MODE3_Msk (0xc0UL) /*!< PC MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE2_Pos (4UL) /*!< PC MOD: MODE2 (Bit 4) */ +#define PC_MOD_MODE2_Msk (0x30UL) /*!< PC MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE1_Pos (2UL) /*!< PC MOD: MODE1 (Bit 2) */ +#define PC_MOD_MODE1_Msk (0xcUL) /*!< PC MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE0_Pos (0UL) /*!< PC MOD: MODE0 (Bit 0) */ +#define PC_MOD_MODE0_Msk (0x3UL) /*!< PC MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PC_TYP_TYP15_Pos (15UL) /*!< PC TYP: TYP15 (Bit 15) */ +#define PC_TYP_TYP15_Msk (0x8000UL) /*!< PC TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP14_Pos (14UL) /*!< PC TYP: TYP14 (Bit 14) */ +#define PC_TYP_TYP14_Msk (0x4000UL) /*!< PC TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP13_Pos (13UL) /*!< PC TYP: TYP13 (Bit 13) */ +#define PC_TYP_TYP13_Msk (0x2000UL) /*!< PC TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP12_Pos (12UL) /*!< PC TYP: TYP12 (Bit 12) */ +#define PC_TYP_TYP12_Msk (0x1000UL) /*!< PC TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP11_Pos (11UL) /*!< PC TYP: TYP11 (Bit 11) */ +#define PC_TYP_TYP11_Msk (0x800UL) /*!< PC TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP10_Pos (10UL) /*!< PC TYP: TYP10 (Bit 10) */ +#define PC_TYP_TYP10_Msk (0x400UL) /*!< PC TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP9_Pos (9UL) /*!< PC TYP: TYP9 (Bit 9) */ +#define PC_TYP_TYP9_Msk (0x200UL) /*!< PC TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP8_Pos (8UL) /*!< PC TYP: TYP8 (Bit 8) */ +#define PC_TYP_TYP8_Msk (0x100UL) /*!< PC TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP7_Pos (7UL) /*!< PC TYP: TYP7 (Bit 7) */ +#define PC_TYP_TYP7_Msk (0x80UL) /*!< PC TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP6_Pos (6UL) /*!< PC TYP: TYP6 (Bit 6) */ +#define PC_TYP_TYP6_Msk (0x40UL) /*!< PC TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP5_Pos (5UL) /*!< PC TYP: TYP5 (Bit 5) */ +#define PC_TYP_TYP5_Msk (0x20UL) /*!< PC TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP4_Pos (4UL) /*!< PC TYP: TYP4 (Bit 4) */ +#define PC_TYP_TYP4_Msk (0x10UL) /*!< PC TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP3_Pos (3UL) /*!< PC TYP: TYP3 (Bit 3) */ +#define PC_TYP_TYP3_Msk (0x8UL) /*!< PC TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP2_Pos (2UL) /*!< PC TYP: TYP2 (Bit 2) */ +#define PC_TYP_TYP2_Msk (0x4UL) /*!< PC TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP1_Pos (1UL) /*!< PC TYP: TYP1 (Bit 1) */ +#define PC_TYP_TYP1_Msk (0x2UL) /*!< PC TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP0_Pos (0UL) /*!< PC TYP: TYP0 (Bit 0) */ +#define PC_TYP_TYP0_Msk (0x1UL) /*!< PC TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PC_AFSR1_AFSR7_Pos (28UL) /*!< PC AFSR1: AFSR7 (Bit 28) */ +#define PC_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PC AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR6_Pos (24UL) /*!< PC AFSR1: AFSR6 (Bit 24) */ +#define PC_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PC AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR5_Pos (20UL) /*!< PC AFSR1: AFSR5 (Bit 20) */ +#define PC_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PC AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR4_Pos (16UL) /*!< PC AFSR1: AFSR4 (Bit 16) */ +#define PC_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PC AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR3_Pos (12UL) /*!< PC AFSR1: AFSR3 (Bit 12) */ +#define PC_AFSR1_AFSR3_Msk (0xf000UL) /*!< PC AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR2_Pos (8UL) /*!< PC AFSR1: AFSR2 (Bit 8) */ +#define PC_AFSR1_AFSR2_Msk (0xf00UL) /*!< PC AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR1_Pos (4UL) /*!< PC AFSR1: AFSR1 (Bit 4) */ +#define PC_AFSR1_AFSR1_Msk (0xf0UL) /*!< PC AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR0_Pos (0UL) /*!< PC AFSR1: AFSR0 (Bit 0) */ +#define PC_AFSR1_AFSR0_Msk (0xfUL) /*!< PC AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PC_AFSR2_AFSR15_Pos (28UL) /*!< PC AFSR2: AFSR15 (Bit 28) */ +#define PC_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PC AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR14_Pos (24UL) /*!< PC AFSR2: AFSR14 (Bit 24) */ +#define PC_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PC AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR13_Pos (20UL) /*!< PC AFSR2: AFSR13 (Bit 20) */ +#define PC_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PC AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR12_Pos (16UL) /*!< PC AFSR2: AFSR12 (Bit 16) */ +#define PC_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PC AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR11_Pos (12UL) /*!< PC AFSR2: AFSR11 (Bit 12) */ +#define PC_AFSR2_AFSR11_Msk (0xf000UL) /*!< PC AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR10_Pos (8UL) /*!< PC AFSR2: AFSR10 (Bit 8) */ +#define PC_AFSR2_AFSR10_Msk (0xf00UL) /*!< PC AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR9_Pos (4UL) /*!< PC AFSR2: AFSR9 (Bit 4) */ +#define PC_AFSR2_AFSR9_Msk (0xf0UL) /*!< PC AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR8_Pos (0UL) /*!< PC AFSR2: AFSR8 (Bit 0) */ +#define PC_AFSR2_AFSR8_Msk (0xfUL) /*!< PC AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PC_PUPD_PUPD15_Pos (30UL) /*!< PC PUPD: PUPD15 (Bit 30) */ +#define PC_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PC PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD14_Pos (28UL) /*!< PC PUPD: PUPD14 (Bit 28) */ +#define PC_PUPD_PUPD14_Msk (0x30000000UL) /*!< PC PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD13_Pos (26UL) /*!< PC PUPD: PUPD13 (Bit 26) */ +#define PC_PUPD_PUPD13_Msk (0xc000000UL) /*!< PC PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD12_Pos (24UL) /*!< PC PUPD: PUPD12 (Bit 24) */ +#define PC_PUPD_PUPD12_Msk (0x3000000UL) /*!< PC PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD11_Pos (22UL) /*!< PC PUPD: PUPD11 (Bit 22) */ +#define PC_PUPD_PUPD11_Msk (0xc00000UL) /*!< PC PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD10_Pos (20UL) /*!< PC PUPD: PUPD10 (Bit 20) */ +#define PC_PUPD_PUPD10_Msk (0x300000UL) /*!< PC PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD9_Pos (18UL) /*!< PC PUPD: PUPD9 (Bit 18) */ +#define PC_PUPD_PUPD9_Msk (0xc0000UL) /*!< PC PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD8_Pos (16UL) /*!< PC PUPD: PUPD8 (Bit 16) */ +#define PC_PUPD_PUPD8_Msk (0x30000UL) /*!< PC PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD7_Pos (14UL) /*!< PC PUPD: PUPD7 (Bit 14) */ +#define PC_PUPD_PUPD7_Msk (0xc000UL) /*!< PC PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD6_Pos (12UL) /*!< PC PUPD: PUPD6 (Bit 12) */ +#define PC_PUPD_PUPD6_Msk (0x3000UL) /*!< PC PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD5_Pos (10UL) /*!< PC PUPD: PUPD5 (Bit 10) */ +#define PC_PUPD_PUPD5_Msk (0xc00UL) /*!< PC PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD4_Pos (8UL) /*!< PC PUPD: PUPD4 (Bit 8) */ +#define PC_PUPD_PUPD4_Msk (0x300UL) /*!< PC PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD3_Pos (6UL) /*!< PC PUPD: PUPD3 (Bit 6) */ +#define PC_PUPD_PUPD3_Msk (0xc0UL) /*!< PC PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD2_Pos (4UL) /*!< PC PUPD: PUPD2 (Bit 4) */ +#define PC_PUPD_PUPD2_Msk (0x30UL) /*!< PC PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD1_Pos (2UL) /*!< PC PUPD: PUPD1 (Bit 2) */ +#define PC_PUPD_PUPD1_Msk (0xcUL) /*!< PC PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD0_Pos (0UL) /*!< PC PUPD: PUPD0 (Bit 0) */ +#define PC_PUPD_PUPD0_Msk (0x3UL) /*!< PC PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PC_INDR_INDR15_Pos (15UL) /*!< PC INDR: INDR15 (Bit 15) */ +#define PC_INDR_INDR15_Msk (0x8000UL) /*!< PC INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR14_Pos (14UL) /*!< PC INDR: INDR14 (Bit 14) */ +#define PC_INDR_INDR14_Msk (0x4000UL) /*!< PC INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR13_Pos (13UL) /*!< PC INDR: INDR13 (Bit 13) */ +#define PC_INDR_INDR13_Msk (0x2000UL) /*!< PC INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR12_Pos (12UL) /*!< PC INDR: INDR12 (Bit 12) */ +#define PC_INDR_INDR12_Msk (0x1000UL) /*!< PC INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR11_Pos (11UL) /*!< PC INDR: INDR11 (Bit 11) */ +#define PC_INDR_INDR11_Msk (0x800UL) /*!< PC INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR10_Pos (10UL) /*!< PC INDR: INDR10 (Bit 10) */ +#define PC_INDR_INDR10_Msk (0x400UL) /*!< PC INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR9_Pos (9UL) /*!< PC INDR: INDR9 (Bit 9) */ +#define PC_INDR_INDR9_Msk (0x200UL) /*!< PC INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR8_Pos (8UL) /*!< PC INDR: INDR8 (Bit 8) */ +#define PC_INDR_INDR8_Msk (0x100UL) /*!< PC INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR7_Pos (7UL) /*!< PC INDR: INDR7 (Bit 7) */ +#define PC_INDR_INDR7_Msk (0x80UL) /*!< PC INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR6_Pos (6UL) /*!< PC INDR: INDR6 (Bit 6) */ +#define PC_INDR_INDR6_Msk (0x40UL) /*!< PC INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR5_Pos (5UL) /*!< PC INDR: INDR5 (Bit 5) */ +#define PC_INDR_INDR5_Msk (0x20UL) /*!< PC INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR4_Pos (4UL) /*!< PC INDR: INDR4 (Bit 4) */ +#define PC_INDR_INDR4_Msk (0x10UL) /*!< PC INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR3_Pos (3UL) /*!< PC INDR: INDR3 (Bit 3) */ +#define PC_INDR_INDR3_Msk (0x8UL) /*!< PC INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR2_Pos (2UL) /*!< PC INDR: INDR2 (Bit 2) */ +#define PC_INDR_INDR2_Msk (0x4UL) /*!< PC INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR1_Pos (1UL) /*!< PC INDR: INDR1 (Bit 1) */ +#define PC_INDR_INDR1_Msk (0x2UL) /*!< PC INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR0_Pos (0UL) /*!< PC INDR: INDR0 (Bit 0) */ +#define PC_INDR_INDR0_Msk (0x1UL) /*!< PC INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PC_OUTDR_OUTDR15_Pos (15UL) /*!< PC OUTDR: OUTDR15 (Bit 15) */ +#define PC_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PC OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR14_Pos (14UL) /*!< PC OUTDR: OUTDR14 (Bit 14) */ +#define PC_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PC OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR13_Pos (13UL) /*!< PC OUTDR: OUTDR13 (Bit 13) */ +#define PC_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PC OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR12_Pos (12UL) /*!< PC OUTDR: OUTDR12 (Bit 12) */ +#define PC_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PC OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR11_Pos (11UL) /*!< PC OUTDR: OUTDR11 (Bit 11) */ +#define PC_OUTDR_OUTDR11_Msk (0x800UL) /*!< PC OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR10_Pos (10UL) /*!< PC OUTDR: OUTDR10 (Bit 10) */ +#define PC_OUTDR_OUTDR10_Msk (0x400UL) /*!< PC OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR9_Pos (9UL) /*!< PC OUTDR: OUTDR9 (Bit 9) */ +#define PC_OUTDR_OUTDR9_Msk (0x200UL) /*!< PC OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR8_Pos (8UL) /*!< PC OUTDR: OUTDR8 (Bit 8) */ +#define PC_OUTDR_OUTDR8_Msk (0x100UL) /*!< PC OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR7_Pos (7UL) /*!< PC OUTDR: OUTDR7 (Bit 7) */ +#define PC_OUTDR_OUTDR7_Msk (0x80UL) /*!< PC OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR6_Pos (6UL) /*!< PC OUTDR: OUTDR6 (Bit 6) */ +#define PC_OUTDR_OUTDR6_Msk (0x40UL) /*!< PC OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR5_Pos (5UL) /*!< PC OUTDR: OUTDR5 (Bit 5) */ +#define PC_OUTDR_OUTDR5_Msk (0x20UL) /*!< PC OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR4_Pos (4UL) /*!< PC OUTDR: OUTDR4 (Bit 4) */ +#define PC_OUTDR_OUTDR4_Msk (0x10UL) /*!< PC OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR3_Pos (3UL) /*!< PC OUTDR: OUTDR3 (Bit 3) */ +#define PC_OUTDR_OUTDR3_Msk (0x8UL) /*!< PC OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR2_Pos (2UL) /*!< PC OUTDR: OUTDR2 (Bit 2) */ +#define PC_OUTDR_OUTDR2_Msk (0x4UL) /*!< PC OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR1_Pos (1UL) /*!< PC OUTDR: OUTDR1 (Bit 1) */ +#define PC_OUTDR_OUTDR1_Msk (0x2UL) /*!< PC OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR0_Pos (0UL) /*!< PC OUTDR: OUTDR0 (Bit 0) */ +#define PC_OUTDR_OUTDR0_Msk (0x1UL) /*!< PC OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PC_BSR_BSR15_Pos (15UL) /*!< PC BSR: BSR15 (Bit 15) */ +#define PC_BSR_BSR15_Msk (0x8000UL) /*!< PC BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR14_Pos (14UL) /*!< PC BSR: BSR14 (Bit 14) */ +#define PC_BSR_BSR14_Msk (0x4000UL) /*!< PC BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR13_Pos (13UL) /*!< PC BSR: BSR13 (Bit 13) */ +#define PC_BSR_BSR13_Msk (0x2000UL) /*!< PC BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR12_Pos (12UL) /*!< PC BSR: BSR12 (Bit 12) */ +#define PC_BSR_BSR12_Msk (0x1000UL) /*!< PC BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR11_Pos (11UL) /*!< PC BSR: BSR11 (Bit 11) */ +#define PC_BSR_BSR11_Msk (0x800UL) /*!< PC BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR10_Pos (10UL) /*!< PC BSR: BSR10 (Bit 10) */ +#define PC_BSR_BSR10_Msk (0x400UL) /*!< PC BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR9_Pos (9UL) /*!< PC BSR: BSR9 (Bit 9) */ +#define PC_BSR_BSR9_Msk (0x200UL) /*!< PC BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR8_Pos (8UL) /*!< PC BSR: BSR8 (Bit 8) */ +#define PC_BSR_BSR8_Msk (0x100UL) /*!< PC BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR7_Pos (7UL) /*!< PC BSR: BSR7 (Bit 7) */ +#define PC_BSR_BSR7_Msk (0x80UL) /*!< PC BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR6_Pos (6UL) /*!< PC BSR: BSR6 (Bit 6) */ +#define PC_BSR_BSR6_Msk (0x40UL) /*!< PC BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR5_Pos (5UL) /*!< PC BSR: BSR5 (Bit 5) */ +#define PC_BSR_BSR5_Msk (0x20UL) /*!< PC BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR4_Pos (4UL) /*!< PC BSR: BSR4 (Bit 4) */ +#define PC_BSR_BSR4_Msk (0x10UL) /*!< PC BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR3_Pos (3UL) /*!< PC BSR: BSR3 (Bit 3) */ +#define PC_BSR_BSR3_Msk (0x8UL) /*!< PC BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR2_Pos (2UL) /*!< PC BSR: BSR2 (Bit 2) */ +#define PC_BSR_BSR2_Msk (0x4UL) /*!< PC BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR1_Pos (1UL) /*!< PC BSR: BSR1 (Bit 1) */ +#define PC_BSR_BSR1_Msk (0x2UL) /*!< PC BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR0_Pos (0UL) /*!< PC BSR: BSR0 (Bit 0) */ +#define PC_BSR_BSR0_Msk (0x1UL) /*!< PC BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PC_BCR_BCR15_Pos (15UL) /*!< PC BCR: BCR15 (Bit 15) */ +#define PC_BCR_BCR15_Msk (0x8000UL) /*!< PC BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR14_Pos (14UL) /*!< PC BCR: BCR14 (Bit 14) */ +#define PC_BCR_BCR14_Msk (0x4000UL) /*!< PC BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR13_Pos (13UL) /*!< PC BCR: BCR13 (Bit 13) */ +#define PC_BCR_BCR13_Msk (0x2000UL) /*!< PC BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR12_Pos (12UL) /*!< PC BCR: BCR12 (Bit 12) */ +#define PC_BCR_BCR12_Msk (0x1000UL) /*!< PC BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR11_Pos (11UL) /*!< PC BCR: BCR11 (Bit 11) */ +#define PC_BCR_BCR11_Msk (0x800UL) /*!< PC BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR10_Pos (10UL) /*!< PC BCR: BCR10 (Bit 10) */ +#define PC_BCR_BCR10_Msk (0x400UL) /*!< PC BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR9_Pos (9UL) /*!< PC BCR: BCR9 (Bit 9) */ +#define PC_BCR_BCR9_Msk (0x200UL) /*!< PC BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR8_Pos (8UL) /*!< PC BCR: BCR8 (Bit 8) */ +#define PC_BCR_BCR8_Msk (0x100UL) /*!< PC BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR7_Pos (7UL) /*!< PC BCR: BCR7 (Bit 7) */ +#define PC_BCR_BCR7_Msk (0x80UL) /*!< PC BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR6_Pos (6UL) /*!< PC BCR: BCR6 (Bit 6) */ +#define PC_BCR_BCR6_Msk (0x40UL) /*!< PC BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR5_Pos (5UL) /*!< PC BCR: BCR5 (Bit 5) */ +#define PC_BCR_BCR5_Msk (0x20UL) /*!< PC BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR4_Pos (4UL) /*!< PC BCR: BCR4 (Bit 4) */ +#define PC_BCR_BCR4_Msk (0x10UL) /*!< PC BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR3_Pos (3UL) /*!< PC BCR: BCR3 (Bit 3) */ +#define PC_BCR_BCR3_Msk (0x8UL) /*!< PC BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR2_Pos (2UL) /*!< PC BCR: BCR2 (Bit 2) */ +#define PC_BCR_BCR2_Msk (0x4UL) /*!< PC BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR1_Pos (1UL) /*!< PC BCR: BCR1 (Bit 1) */ +#define PC_BCR_BCR1_Msk (0x2UL) /*!< PC BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR0_Pos (0UL) /*!< PC BCR: BCR0 (Bit 0) */ +#define PC_BCR_BCR0_Msk (0x1UL) /*!< PC BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PC_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PC OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PC_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PC OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PC OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PC_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PC OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PC OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PC_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PC OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PC OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PC_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PC OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PC OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PC_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PC OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PC OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PC_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PC OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PC OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PC_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PC OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PC OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PC_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PC OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PC OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PC_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PC OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PC OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PC_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PC OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PC OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PC_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PC OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PC OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PC_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PC OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PC OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PC_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PC OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PC OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PC_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PC OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PC OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PC_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PC OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PC OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PC_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PC OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PC_DBCR_DBCLK_Pos (16UL) /*!< PC DBCR: DBCLK (Bit 16) */ +#define PC_DBCR_DBCLK_Msk (0x70000UL) /*!< PC DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PC_DBCR_DBEN11_Pos (11UL) /*!< PC DBCR: DBEN11 (Bit 11) */ +#define PC_DBCR_DBEN11_Msk (0x800UL) /*!< PC DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN10_Pos (10UL) /*!< PC DBCR: DBEN10 (Bit 10) */ +#define PC_DBCR_DBEN10_Msk (0x400UL) /*!< PC DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN9_Pos (9UL) /*!< PC DBCR: DBEN9 (Bit 9) */ +#define PC_DBCR_DBEN9_Msk (0x200UL) /*!< PC DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN8_Pos (8UL) /*!< PC DBCR: DBEN8 (Bit 8) */ +#define PC_DBCR_DBEN8_Msk (0x100UL) /*!< PC DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN7_Pos (7UL) /*!< PC DBCR: DBEN7 (Bit 7) */ +#define PC_DBCR_DBEN7_Msk (0x80UL) /*!< PC DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN6_Pos (6UL) /*!< PC DBCR: DBEN6 (Bit 6) */ +#define PC_DBCR_DBEN6_Msk (0x40UL) /*!< PC DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN5_Pos (5UL) /*!< PC DBCR: DBEN5 (Bit 5) */ +#define PC_DBCR_DBEN5_Msk (0x20UL) /*!< PC DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN4_Pos (4UL) /*!< PC DBCR: DBEN4 (Bit 4) */ +#define PC_DBCR_DBEN4_Msk (0x10UL) /*!< PC DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN3_Pos (3UL) /*!< PC DBCR: DBEN3 (Bit 3) */ +#define PC_DBCR_DBEN3_Msk (0x8UL) /*!< PC DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN2_Pos (2UL) /*!< PC DBCR: DBEN2 (Bit 2) */ +#define PC_DBCR_DBEN2_Msk (0x4UL) /*!< PC DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN1_Pos (1UL) /*!< PC DBCR: DBEN1 (Bit 1) */ +#define PC_DBCR_DBEN1_Msk (0x2UL) /*!< PC DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN0_Pos (0UL) /*!< PC DBCR: DBEN0 (Bit 0) */ +#define PC_DBCR_DBEN0_Msk (0x1UL) /*!< PC DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PC_MOD ========================================================= */ +#define PC_PC_MOD_MODE12_Pos (24UL) /*!< PC PC_MOD: MODE12 (Bit 24) */ +#define PC_PC_MOD_MODE12_Msk (0x3000000UL) /*!< PC PC_MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE11_Pos (22UL) /*!< PC PC_MOD: MODE11 (Bit 22) */ +#define PC_PC_MOD_MODE11_Msk (0xc00000UL) /*!< PC PC_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE10_Pos (20UL) /*!< PC PC_MOD: MODE10 (Bit 20) */ +#define PC_PC_MOD_MODE10_Msk (0x300000UL) /*!< PC PC_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE9_Pos (18UL) /*!< PC PC_MOD: MODE9 (Bit 18) */ +#define PC_PC_MOD_MODE9_Msk (0xc0000UL) /*!< PC PC_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE8_Pos (16UL) /*!< PC PC_MOD: MODE8 (Bit 16) */ +#define PC_PC_MOD_MODE8_Msk (0x30000UL) /*!< PC PC_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE7_Pos (14UL) /*!< PC PC_MOD: MODE7 (Bit 14) */ +#define PC_PC_MOD_MODE7_Msk (0xc000UL) /*!< PC PC_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE6_Pos (12UL) /*!< PC PC_MOD: MODE6 (Bit 12) */ +#define PC_PC_MOD_MODE6_Msk (0x3000UL) /*!< PC PC_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE5_Pos (10UL) /*!< PC PC_MOD: MODE5 (Bit 10) */ +#define PC_PC_MOD_MODE5_Msk (0xc00UL) /*!< PC PC_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE4_Pos (8UL) /*!< PC PC_MOD: MODE4 (Bit 8) */ +#define PC_PC_MOD_MODE4_Msk (0x300UL) /*!< PC PC_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE3_Pos (6UL) /*!< PC PC_MOD: MODE3 (Bit 6) */ +#define PC_PC_MOD_MODE3_Msk (0xc0UL) /*!< PC PC_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE2_Pos (4UL) /*!< PC PC_MOD: MODE2 (Bit 4) */ +#define PC_PC_MOD_MODE2_Msk (0x30UL) /*!< PC PC_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE1_Pos (2UL) /*!< PC PC_MOD: MODE1 (Bit 2) */ +#define PC_PC_MOD_MODE1_Msk (0xcUL) /*!< PC PC_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE0_Pos (0UL) /*!< PC PC_MOD: MODE0 (Bit 0) */ +#define PC_PC_MOD_MODE0_Msk (0x3UL) /*!< PC PC_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PC_TYP ========================================================= */ +#define PC_PC_TYP_TYP12_Pos (12UL) /*!< PC PC_TYP: TYP12 (Bit 12) */ +#define PC_PC_TYP_TYP12_Msk (0x1000UL) /*!< PC PC_TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP11_Pos (11UL) /*!< PC PC_TYP: TYP11 (Bit 11) */ +#define PC_PC_TYP_TYP11_Msk (0x800UL) /*!< PC PC_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP10_Pos (10UL) /*!< PC PC_TYP: TYP10 (Bit 10) */ +#define PC_PC_TYP_TYP10_Msk (0x400UL) /*!< PC PC_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP9_Pos (9UL) /*!< PC PC_TYP: TYP9 (Bit 9) */ +#define PC_PC_TYP_TYP9_Msk (0x200UL) /*!< PC PC_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP8_Pos (8UL) /*!< PC PC_TYP: TYP8 (Bit 8) */ +#define PC_PC_TYP_TYP8_Msk (0x100UL) /*!< PC PC_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP7_Pos (7UL) /*!< PC PC_TYP: TYP7 (Bit 7) */ +#define PC_PC_TYP_TYP7_Msk (0x80UL) /*!< PC PC_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP6_Pos (6UL) /*!< PC PC_TYP: TYP6 (Bit 6) */ +#define PC_PC_TYP_TYP6_Msk (0x40UL) /*!< PC PC_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP5_Pos (5UL) /*!< PC PC_TYP: TYP5 (Bit 5) */ +#define PC_PC_TYP_TYP5_Msk (0x20UL) /*!< PC PC_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP4_Pos (4UL) /*!< PC PC_TYP: TYP4 (Bit 4) */ +#define PC_PC_TYP_TYP4_Msk (0x10UL) /*!< PC PC_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP3_Pos (3UL) /*!< PC PC_TYP: TYP3 (Bit 3) */ +#define PC_PC_TYP_TYP3_Msk (0x8UL) /*!< PC PC_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP2_Pos (2UL) /*!< PC PC_TYP: TYP2 (Bit 2) */ +#define PC_PC_TYP_TYP2_Msk (0x4UL) /*!< PC PC_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP1_Pos (1UL) /*!< PC PC_TYP: TYP1 (Bit 1) */ +#define PC_PC_TYP_TYP1_Msk (0x2UL) /*!< PC PC_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP0_Pos (0UL) /*!< PC PC_TYP: TYP0 (Bit 0) */ +#define PC_PC_TYP_TYP0_Msk (0x1UL) /*!< PC PC_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PC_AFSR1 ======================================================== */ +#define PC_PC_AFSR1_AFSR7_Pos (28UL) /*!< PC PC_AFSR1: AFSR7 (Bit 28) */ +#define PC_PC_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PC PC_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR6_Pos (24UL) /*!< PC PC_AFSR1: AFSR6 (Bit 24) */ +#define PC_PC_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PC PC_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR5_Pos (20UL) /*!< PC PC_AFSR1: AFSR5 (Bit 20) */ +#define PC_PC_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PC PC_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR4_Pos (16UL) /*!< PC PC_AFSR1: AFSR4 (Bit 16) */ +#define PC_PC_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PC PC_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR3_Pos (12UL) /*!< PC PC_AFSR1: AFSR3 (Bit 12) */ +#define PC_PC_AFSR1_AFSR3_Msk (0xf000UL) /*!< PC PC_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR2_Pos (8UL) /*!< PC PC_AFSR1: AFSR2 (Bit 8) */ +#define PC_PC_AFSR1_AFSR2_Msk (0xf00UL) /*!< PC PC_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR1_Pos (4UL) /*!< PC PC_AFSR1: AFSR1 (Bit 4) */ +#define PC_PC_AFSR1_AFSR1_Msk (0xf0UL) /*!< PC PC_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR0_Pos (0UL) /*!< PC PC_AFSR1: AFSR0 (Bit 0) */ +#define PC_PC_AFSR1_AFSR0_Msk (0xfUL) /*!< PC PC_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PC_AFSR2 ======================================================== */ +#define PC_PC_AFSR2_AFSR12_Pos (16UL) /*!< PC PC_AFSR2: AFSR12 (Bit 16) */ +#define PC_PC_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PC PC_AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR2_AFSR11_Pos (12UL) /*!< PC PC_AFSR2: AFSR11 (Bit 12) */ +#define PC_PC_AFSR2_AFSR11_Msk (0xf000UL) /*!< PC PC_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR2_AFSR10_Pos (8UL) /*!< PC PC_AFSR2: AFSR10 (Bit 8) */ +#define PC_PC_AFSR2_AFSR10_Msk (0xf00UL) /*!< PC PC_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR2_AFSR9_Pos (4UL) /*!< PC PC_AFSR2: AFSR9 (Bit 4) */ +#define PC_PC_AFSR2_AFSR9_Msk (0xf0UL) /*!< PC PC_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR2_AFSR8_Pos (0UL) /*!< PC PC_AFSR2: AFSR8 (Bit 0) */ +#define PC_PC_AFSR2_AFSR8_Msk (0xfUL) /*!< PC PC_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PC_PUPD ======================================================== */ +#define PC_PC_PUPD_PUPD12_Pos (24UL) /*!< PC PC_PUPD: PUPD12 (Bit 24) */ +#define PC_PC_PUPD_PUPD12_Msk (0x3000000UL) /*!< PC PC_PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD11_Pos (22UL) /*!< PC PC_PUPD: PUPD11 (Bit 22) */ +#define PC_PC_PUPD_PUPD11_Msk (0xc00000UL) /*!< PC PC_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD10_Pos (20UL) /*!< PC PC_PUPD: PUPD10 (Bit 20) */ +#define PC_PC_PUPD_PUPD10_Msk (0x300000UL) /*!< PC PC_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD9_Pos (18UL) /*!< PC PC_PUPD: PUPD9 (Bit 18) */ +#define PC_PC_PUPD_PUPD9_Msk (0xc0000UL) /*!< PC PC_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD8_Pos (16UL) /*!< PC PC_PUPD: PUPD8 (Bit 16) */ +#define PC_PC_PUPD_PUPD8_Msk (0x30000UL) /*!< PC PC_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD7_Pos (14UL) /*!< PC PC_PUPD: PUPD7 (Bit 14) */ +#define PC_PC_PUPD_PUPD7_Msk (0xc000UL) /*!< PC PC_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD6_Pos (12UL) /*!< PC PC_PUPD: PUPD6 (Bit 12) */ +#define PC_PC_PUPD_PUPD6_Msk (0x3000UL) /*!< PC PC_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD5_Pos (10UL) /*!< PC PC_PUPD: PUPD5 (Bit 10) */ +#define PC_PC_PUPD_PUPD5_Msk (0xc00UL) /*!< PC PC_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD4_Pos (8UL) /*!< PC PC_PUPD: PUPD4 (Bit 8) */ +#define PC_PC_PUPD_PUPD4_Msk (0x300UL) /*!< PC PC_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD3_Pos (6UL) /*!< PC PC_PUPD: PUPD3 (Bit 6) */ +#define PC_PC_PUPD_PUPD3_Msk (0xc0UL) /*!< PC PC_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD2_Pos (4UL) /*!< PC PC_PUPD: PUPD2 (Bit 4) */ +#define PC_PC_PUPD_PUPD2_Msk (0x30UL) /*!< PC PC_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD1_Pos (2UL) /*!< PC PC_PUPD: PUPD1 (Bit 2) */ +#define PC_PC_PUPD_PUPD1_Msk (0xcUL) /*!< PC PC_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD0_Pos (0UL) /*!< PC PC_PUPD: PUPD0 (Bit 0) */ +#define PC_PC_PUPD_PUPD0_Msk (0x3UL) /*!< PC PC_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PC_INDR ======================================================== */ +#define PC_PC_INDR_INDR12_Pos (12UL) /*!< PC PC_INDR: INDR12 (Bit 12) */ +#define PC_PC_INDR_INDR12_Msk (0x1000UL) /*!< PC PC_INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR11_Pos (11UL) /*!< PC PC_INDR: INDR11 (Bit 11) */ +#define PC_PC_INDR_INDR11_Msk (0x800UL) /*!< PC PC_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR10_Pos (10UL) /*!< PC PC_INDR: INDR10 (Bit 10) */ +#define PC_PC_INDR_INDR10_Msk (0x400UL) /*!< PC PC_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR9_Pos (9UL) /*!< PC PC_INDR: INDR9 (Bit 9) */ +#define PC_PC_INDR_INDR9_Msk (0x200UL) /*!< PC PC_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR8_Pos (8UL) /*!< PC PC_INDR: INDR8 (Bit 8) */ +#define PC_PC_INDR_INDR8_Msk (0x100UL) /*!< PC PC_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR7_Pos (7UL) /*!< PC PC_INDR: INDR7 (Bit 7) */ +#define PC_PC_INDR_INDR7_Msk (0x80UL) /*!< PC PC_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR6_Pos (6UL) /*!< PC PC_INDR: INDR6 (Bit 6) */ +#define PC_PC_INDR_INDR6_Msk (0x40UL) /*!< PC PC_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR5_Pos (5UL) /*!< PC PC_INDR: INDR5 (Bit 5) */ +#define PC_PC_INDR_INDR5_Msk (0x20UL) /*!< PC PC_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR4_Pos (4UL) /*!< PC PC_INDR: INDR4 (Bit 4) */ +#define PC_PC_INDR_INDR4_Msk (0x10UL) /*!< PC PC_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR3_Pos (3UL) /*!< PC PC_INDR: INDR3 (Bit 3) */ +#define PC_PC_INDR_INDR3_Msk (0x8UL) /*!< PC PC_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR2_Pos (2UL) /*!< PC PC_INDR: INDR2 (Bit 2) */ +#define PC_PC_INDR_INDR2_Msk (0x4UL) /*!< PC PC_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR1_Pos (1UL) /*!< PC PC_INDR: INDR1 (Bit 1) */ +#define PC_PC_INDR_INDR1_Msk (0x2UL) /*!< PC PC_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR0_Pos (0UL) /*!< PC PC_INDR: INDR0 (Bit 0) */ +#define PC_PC_INDR_INDR0_Msk (0x1UL) /*!< PC PC_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PC_OUTDR ======================================================== */ +#define PC_PC_OUTDR_OUTDR12_Pos (12UL) /*!< PC PC_OUTDR: OUTDR12 (Bit 12) */ +#define PC_PC_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PC PC_OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR11_Pos (11UL) /*!< PC PC_OUTDR: OUTDR11 (Bit 11) */ +#define PC_PC_OUTDR_OUTDR11_Msk (0x800UL) /*!< PC PC_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR10_Pos (10UL) /*!< PC PC_OUTDR: OUTDR10 (Bit 10) */ +#define PC_PC_OUTDR_OUTDR10_Msk (0x400UL) /*!< PC PC_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR9_Pos (9UL) /*!< PC PC_OUTDR: OUTDR9 (Bit 9) */ +#define PC_PC_OUTDR_OUTDR9_Msk (0x200UL) /*!< PC PC_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR8_Pos (8UL) /*!< PC PC_OUTDR: OUTDR8 (Bit 8) */ +#define PC_PC_OUTDR_OUTDR8_Msk (0x100UL) /*!< PC PC_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR7_Pos (7UL) /*!< PC PC_OUTDR: OUTDR7 (Bit 7) */ +#define PC_PC_OUTDR_OUTDR7_Msk (0x80UL) /*!< PC PC_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR6_Pos (6UL) /*!< PC PC_OUTDR: OUTDR6 (Bit 6) */ +#define PC_PC_OUTDR_OUTDR6_Msk (0x40UL) /*!< PC PC_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR5_Pos (5UL) /*!< PC PC_OUTDR: OUTDR5 (Bit 5) */ +#define PC_PC_OUTDR_OUTDR5_Msk (0x20UL) /*!< PC PC_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR4_Pos (4UL) /*!< PC PC_OUTDR: OUTDR4 (Bit 4) */ +#define PC_PC_OUTDR_OUTDR4_Msk (0x10UL) /*!< PC PC_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR3_Pos (3UL) /*!< PC PC_OUTDR: OUTDR3 (Bit 3) */ +#define PC_PC_OUTDR_OUTDR3_Msk (0x8UL) /*!< PC PC_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR2_Pos (2UL) /*!< PC PC_OUTDR: OUTDR2 (Bit 2) */ +#define PC_PC_OUTDR_OUTDR2_Msk (0x4UL) /*!< PC PC_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR1_Pos (1UL) /*!< PC PC_OUTDR: OUTDR1 (Bit 1) */ +#define PC_PC_OUTDR_OUTDR1_Msk (0x2UL) /*!< PC PC_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR0_Pos (0UL) /*!< PC PC_OUTDR: OUTDR0 (Bit 0) */ +#define PC_PC_OUTDR_OUTDR0_Msk (0x1UL) /*!< PC PC_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PC_BSR ========================================================= */ +#define PC_PC_BSR_BSR12_Pos (12UL) /*!< PC PC_BSR: BSR12 (Bit 12) */ +#define PC_PC_BSR_BSR12_Msk (0x1000UL) /*!< PC PC_BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR11_Pos (11UL) /*!< PC PC_BSR: BSR11 (Bit 11) */ +#define PC_PC_BSR_BSR11_Msk (0x800UL) /*!< PC PC_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR10_Pos (10UL) /*!< PC PC_BSR: BSR10 (Bit 10) */ +#define PC_PC_BSR_BSR10_Msk (0x400UL) /*!< PC PC_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR9_Pos (9UL) /*!< PC PC_BSR: BSR9 (Bit 9) */ +#define PC_PC_BSR_BSR9_Msk (0x200UL) /*!< PC PC_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR8_Pos (8UL) /*!< PC PC_BSR: BSR8 (Bit 8) */ +#define PC_PC_BSR_BSR8_Msk (0x100UL) /*!< PC PC_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR7_Pos (7UL) /*!< PC PC_BSR: BSR7 (Bit 7) */ +#define PC_PC_BSR_BSR7_Msk (0x80UL) /*!< PC PC_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR6_Pos (6UL) /*!< PC PC_BSR: BSR6 (Bit 6) */ +#define PC_PC_BSR_BSR6_Msk (0x40UL) /*!< PC PC_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR5_Pos (5UL) /*!< PC PC_BSR: BSR5 (Bit 5) */ +#define PC_PC_BSR_BSR5_Msk (0x20UL) /*!< PC PC_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR4_Pos (4UL) /*!< PC PC_BSR: BSR4 (Bit 4) */ +#define PC_PC_BSR_BSR4_Msk (0x10UL) /*!< PC PC_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR3_Pos (3UL) /*!< PC PC_BSR: BSR3 (Bit 3) */ +#define PC_PC_BSR_BSR3_Msk (0x8UL) /*!< PC PC_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR2_Pos (2UL) /*!< PC PC_BSR: BSR2 (Bit 2) */ +#define PC_PC_BSR_BSR2_Msk (0x4UL) /*!< PC PC_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR1_Pos (1UL) /*!< PC PC_BSR: BSR1 (Bit 1) */ +#define PC_PC_BSR_BSR1_Msk (0x2UL) /*!< PC PC_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR0_Pos (0UL) /*!< PC PC_BSR: BSR0 (Bit 0) */ +#define PC_PC_BSR_BSR0_Msk (0x1UL) /*!< PC PC_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PC_BCR ========================================================= */ +#define PC_PC_BCR_BCR12_Pos (12UL) /*!< PC PC_BCR: BCR12 (Bit 12) */ +#define PC_PC_BCR_BCR12_Msk (0x1000UL) /*!< PC PC_BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR11_Pos (11UL) /*!< PC PC_BCR: BCR11 (Bit 11) */ +#define PC_PC_BCR_BCR11_Msk (0x800UL) /*!< PC PC_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR10_Pos (10UL) /*!< PC PC_BCR: BCR10 (Bit 10) */ +#define PC_PC_BCR_BCR10_Msk (0x400UL) /*!< PC PC_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR9_Pos (9UL) /*!< PC PC_BCR: BCR9 (Bit 9) */ +#define PC_PC_BCR_BCR9_Msk (0x200UL) /*!< PC PC_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR8_Pos (8UL) /*!< PC PC_BCR: BCR8 (Bit 8) */ +#define PC_PC_BCR_BCR8_Msk (0x100UL) /*!< PC PC_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR7_Pos (7UL) /*!< PC PC_BCR: BCR7 (Bit 7) */ +#define PC_PC_BCR_BCR7_Msk (0x80UL) /*!< PC PC_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR6_Pos (6UL) /*!< PC PC_BCR: BCR6 (Bit 6) */ +#define PC_PC_BCR_BCR6_Msk (0x40UL) /*!< PC PC_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR5_Pos (5UL) /*!< PC PC_BCR: BCR5 (Bit 5) */ +#define PC_PC_BCR_BCR5_Msk (0x20UL) /*!< PC PC_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR4_Pos (4UL) /*!< PC PC_BCR: BCR4 (Bit 4) */ +#define PC_PC_BCR_BCR4_Msk (0x10UL) /*!< PC PC_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR3_Pos (3UL) /*!< PC PC_BCR: BCR3 (Bit 3) */ +#define PC_PC_BCR_BCR3_Msk (0x8UL) /*!< PC PC_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR2_Pos (2UL) /*!< PC PC_BCR: BCR2 (Bit 2) */ +#define PC_PC_BCR_BCR2_Msk (0x4UL) /*!< PC PC_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR1_Pos (1UL) /*!< PC PC_BCR: BCR1 (Bit 1) */ +#define PC_PC_BCR_BCR1_Msk (0x2UL) /*!< PC PC_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR0_Pos (0UL) /*!< PC PC_BCR: BCR0 (Bit 0) */ +#define PC_PC_BCR_BCR0_Msk (0x1UL) /*!< PC PC_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PC_OUTDMSK ======================================================= */ +#define PC_PC_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PC PC_OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PC_PC_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PC PC_OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PC PC_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PC_PC_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PC PC_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PC PC_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PC_PC_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PC PC_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PC PC_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PC_PC_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PC PC_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PC PC_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PC_PC_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PC PC_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PC PC_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PC_PC_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PC PC_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PC PC_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PC_PC_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PC PC_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PC PC_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PC_PC_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PC PC_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PC PC_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PC_PC_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PC PC_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PC PC_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PC_PC_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PC PC_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PC PC_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PC_PC_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PC PC_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PC PC_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PC_PC_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PC PC_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PC PC_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PC_PC_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PC PC_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PC_DBCR ======================================================== */ +#define PC_PC_DBCR_DBCLK_Pos (16UL) /*!< PC PC_DBCR: DBCLK (Bit 16) */ +#define PC_PC_DBCR_DBCLK_Msk (0x70000UL) /*!< PC PC_DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PC_PC_DBCR_DBEN3_Pos (3UL) /*!< PC PC_DBCR: DBEN3 (Bit 3) */ +#define PC_PC_DBCR_DBEN3_Msk (0x8UL) /*!< PC PC_DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PC_PC_DBCR_DBEN2_Pos (2UL) /*!< PC PC_DBCR: DBEN2 (Bit 2) */ +#define PC_PC_DBCR_DBEN2_Msk (0x4UL) /*!< PC PC_DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PC_PC_DBCR_DBEN1_Pos (1UL) /*!< PC PC_DBCR: DBEN1 (Bit 1) */ +#define PC_PC_DBCR_DBEN1_Msk (0x2UL) /*!< PC PC_DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PC_PC_DBCR_DBEN0_Pos (0UL) /*!< PC PC_DBCR: DBEN0 (Bit 0) */ +#define PC_PC_DBCR_DBEN0_Msk (0x1UL) /*!< PC PC_DBCR: DBEN0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PD ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PD_MOD_MODE15_Pos (30UL) /*!< PD MOD: MODE15 (Bit 30) */ +#define PD_MOD_MODE15_Msk (0xc0000000UL) /*!< PD MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE14_Pos (28UL) /*!< PD MOD: MODE14 (Bit 28) */ +#define PD_MOD_MODE14_Msk (0x30000000UL) /*!< PD MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE13_Pos (26UL) /*!< PD MOD: MODE13 (Bit 26) */ +#define PD_MOD_MODE13_Msk (0xc000000UL) /*!< PD MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE12_Pos (24UL) /*!< PD MOD: MODE12 (Bit 24) */ +#define PD_MOD_MODE12_Msk (0x3000000UL) /*!< PD MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE11_Pos (22UL) /*!< PD MOD: MODE11 (Bit 22) */ +#define PD_MOD_MODE11_Msk (0xc00000UL) /*!< PD MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE10_Pos (20UL) /*!< PD MOD: MODE10 (Bit 20) */ +#define PD_MOD_MODE10_Msk (0x300000UL) /*!< PD MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE9_Pos (18UL) /*!< PD MOD: MODE9 (Bit 18) */ +#define PD_MOD_MODE9_Msk (0xc0000UL) /*!< PD MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE8_Pos (16UL) /*!< PD MOD: MODE8 (Bit 16) */ +#define PD_MOD_MODE8_Msk (0x30000UL) /*!< PD MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE7_Pos (14UL) /*!< PD MOD: MODE7 (Bit 14) */ +#define PD_MOD_MODE7_Msk (0xc000UL) /*!< PD MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE6_Pos (12UL) /*!< PD MOD: MODE6 (Bit 12) */ +#define PD_MOD_MODE6_Msk (0x3000UL) /*!< PD MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE5_Pos (10UL) /*!< PD MOD: MODE5 (Bit 10) */ +#define PD_MOD_MODE5_Msk (0xc00UL) /*!< PD MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE4_Pos (8UL) /*!< PD MOD: MODE4 (Bit 8) */ +#define PD_MOD_MODE4_Msk (0x300UL) /*!< PD MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE3_Pos (6UL) /*!< PD MOD: MODE3 (Bit 6) */ +#define PD_MOD_MODE3_Msk (0xc0UL) /*!< PD MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE2_Pos (4UL) /*!< PD MOD: MODE2 (Bit 4) */ +#define PD_MOD_MODE2_Msk (0x30UL) /*!< PD MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE1_Pos (2UL) /*!< PD MOD: MODE1 (Bit 2) */ +#define PD_MOD_MODE1_Msk (0xcUL) /*!< PD MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE0_Pos (0UL) /*!< PD MOD: MODE0 (Bit 0) */ +#define PD_MOD_MODE0_Msk (0x3UL) /*!< PD MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PD_TYP_TYP15_Pos (15UL) /*!< PD TYP: TYP15 (Bit 15) */ +#define PD_TYP_TYP15_Msk (0x8000UL) /*!< PD TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP14_Pos (14UL) /*!< PD TYP: TYP14 (Bit 14) */ +#define PD_TYP_TYP14_Msk (0x4000UL) /*!< PD TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP13_Pos (13UL) /*!< PD TYP: TYP13 (Bit 13) */ +#define PD_TYP_TYP13_Msk (0x2000UL) /*!< PD TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP12_Pos (12UL) /*!< PD TYP: TYP12 (Bit 12) */ +#define PD_TYP_TYP12_Msk (0x1000UL) /*!< PD TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP11_Pos (11UL) /*!< PD TYP: TYP11 (Bit 11) */ +#define PD_TYP_TYP11_Msk (0x800UL) /*!< PD TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP10_Pos (10UL) /*!< PD TYP: TYP10 (Bit 10) */ +#define PD_TYP_TYP10_Msk (0x400UL) /*!< PD TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP9_Pos (9UL) /*!< PD TYP: TYP9 (Bit 9) */ +#define PD_TYP_TYP9_Msk (0x200UL) /*!< PD TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP8_Pos (8UL) /*!< PD TYP: TYP8 (Bit 8) */ +#define PD_TYP_TYP8_Msk (0x100UL) /*!< PD TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP7_Pos (7UL) /*!< PD TYP: TYP7 (Bit 7) */ +#define PD_TYP_TYP7_Msk (0x80UL) /*!< PD TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP6_Pos (6UL) /*!< PD TYP: TYP6 (Bit 6) */ +#define PD_TYP_TYP6_Msk (0x40UL) /*!< PD TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP5_Pos (5UL) /*!< PD TYP: TYP5 (Bit 5) */ +#define PD_TYP_TYP5_Msk (0x20UL) /*!< PD TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP4_Pos (4UL) /*!< PD TYP: TYP4 (Bit 4) */ +#define PD_TYP_TYP4_Msk (0x10UL) /*!< PD TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP3_Pos (3UL) /*!< PD TYP: TYP3 (Bit 3) */ +#define PD_TYP_TYP3_Msk (0x8UL) /*!< PD TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP2_Pos (2UL) /*!< PD TYP: TYP2 (Bit 2) */ +#define PD_TYP_TYP2_Msk (0x4UL) /*!< PD TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP1_Pos (1UL) /*!< PD TYP: TYP1 (Bit 1) */ +#define PD_TYP_TYP1_Msk (0x2UL) /*!< PD TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP0_Pos (0UL) /*!< PD TYP: TYP0 (Bit 0) */ +#define PD_TYP_TYP0_Msk (0x1UL) /*!< PD TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PD_AFSR1_AFSR7_Pos (28UL) /*!< PD AFSR1: AFSR7 (Bit 28) */ +#define PD_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PD AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR6_Pos (24UL) /*!< PD AFSR1: AFSR6 (Bit 24) */ +#define PD_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PD AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR5_Pos (20UL) /*!< PD AFSR1: AFSR5 (Bit 20) */ +#define PD_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PD AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR4_Pos (16UL) /*!< PD AFSR1: AFSR4 (Bit 16) */ +#define PD_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PD AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR3_Pos (12UL) /*!< PD AFSR1: AFSR3 (Bit 12) */ +#define PD_AFSR1_AFSR3_Msk (0xf000UL) /*!< PD AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR2_Pos (8UL) /*!< PD AFSR1: AFSR2 (Bit 8) */ +#define PD_AFSR1_AFSR2_Msk (0xf00UL) /*!< PD AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR1_Pos (4UL) /*!< PD AFSR1: AFSR1 (Bit 4) */ +#define PD_AFSR1_AFSR1_Msk (0xf0UL) /*!< PD AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR0_Pos (0UL) /*!< PD AFSR1: AFSR0 (Bit 0) */ +#define PD_AFSR1_AFSR0_Msk (0xfUL) /*!< PD AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PD_AFSR2_AFSR15_Pos (28UL) /*!< PD AFSR2: AFSR15 (Bit 28) */ +#define PD_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PD AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR14_Pos (24UL) /*!< PD AFSR2: AFSR14 (Bit 24) */ +#define PD_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PD AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR13_Pos (20UL) /*!< PD AFSR2: AFSR13 (Bit 20) */ +#define PD_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PD AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR12_Pos (16UL) /*!< PD AFSR2: AFSR12 (Bit 16) */ +#define PD_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PD AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR11_Pos (12UL) /*!< PD AFSR2: AFSR11 (Bit 12) */ +#define PD_AFSR2_AFSR11_Msk (0xf000UL) /*!< PD AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR10_Pos (8UL) /*!< PD AFSR2: AFSR10 (Bit 8) */ +#define PD_AFSR2_AFSR10_Msk (0xf00UL) /*!< PD AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR9_Pos (4UL) /*!< PD AFSR2: AFSR9 (Bit 4) */ +#define PD_AFSR2_AFSR9_Msk (0xf0UL) /*!< PD AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR8_Pos (0UL) /*!< PD AFSR2: AFSR8 (Bit 0) */ +#define PD_AFSR2_AFSR8_Msk (0xfUL) /*!< PD AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PD_PUPD_PUPD15_Pos (30UL) /*!< PD PUPD: PUPD15 (Bit 30) */ +#define PD_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PD PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD14_Pos (28UL) /*!< PD PUPD: PUPD14 (Bit 28) */ +#define PD_PUPD_PUPD14_Msk (0x30000000UL) /*!< PD PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD13_Pos (26UL) /*!< PD PUPD: PUPD13 (Bit 26) */ +#define PD_PUPD_PUPD13_Msk (0xc000000UL) /*!< PD PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD12_Pos (24UL) /*!< PD PUPD: PUPD12 (Bit 24) */ +#define PD_PUPD_PUPD12_Msk (0x3000000UL) /*!< PD PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD11_Pos (22UL) /*!< PD PUPD: PUPD11 (Bit 22) */ +#define PD_PUPD_PUPD11_Msk (0xc00000UL) /*!< PD PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD10_Pos (20UL) /*!< PD PUPD: PUPD10 (Bit 20) */ +#define PD_PUPD_PUPD10_Msk (0x300000UL) /*!< PD PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD9_Pos (18UL) /*!< PD PUPD: PUPD9 (Bit 18) */ +#define PD_PUPD_PUPD9_Msk (0xc0000UL) /*!< PD PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD8_Pos (16UL) /*!< PD PUPD: PUPD8 (Bit 16) */ +#define PD_PUPD_PUPD8_Msk (0x30000UL) /*!< PD PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD7_Pos (14UL) /*!< PD PUPD: PUPD7 (Bit 14) */ +#define PD_PUPD_PUPD7_Msk (0xc000UL) /*!< PD PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD6_Pos (12UL) /*!< PD PUPD: PUPD6 (Bit 12) */ +#define PD_PUPD_PUPD6_Msk (0x3000UL) /*!< PD PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD5_Pos (10UL) /*!< PD PUPD: PUPD5 (Bit 10) */ +#define PD_PUPD_PUPD5_Msk (0xc00UL) /*!< PD PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD4_Pos (8UL) /*!< PD PUPD: PUPD4 (Bit 8) */ +#define PD_PUPD_PUPD4_Msk (0x300UL) /*!< PD PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD3_Pos (6UL) /*!< PD PUPD: PUPD3 (Bit 6) */ +#define PD_PUPD_PUPD3_Msk (0xc0UL) /*!< PD PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD2_Pos (4UL) /*!< PD PUPD: PUPD2 (Bit 4) */ +#define PD_PUPD_PUPD2_Msk (0x30UL) /*!< PD PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD1_Pos (2UL) /*!< PD PUPD: PUPD1 (Bit 2) */ +#define PD_PUPD_PUPD1_Msk (0xcUL) /*!< PD PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD0_Pos (0UL) /*!< PD PUPD: PUPD0 (Bit 0) */ +#define PD_PUPD_PUPD0_Msk (0x3UL) /*!< PD PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PD_INDR_INDR15_Pos (15UL) /*!< PD INDR: INDR15 (Bit 15) */ +#define PD_INDR_INDR15_Msk (0x8000UL) /*!< PD INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR14_Pos (14UL) /*!< PD INDR: INDR14 (Bit 14) */ +#define PD_INDR_INDR14_Msk (0x4000UL) /*!< PD INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR13_Pos (13UL) /*!< PD INDR: INDR13 (Bit 13) */ +#define PD_INDR_INDR13_Msk (0x2000UL) /*!< PD INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR12_Pos (12UL) /*!< PD INDR: INDR12 (Bit 12) */ +#define PD_INDR_INDR12_Msk (0x1000UL) /*!< PD INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR11_Pos (11UL) /*!< PD INDR: INDR11 (Bit 11) */ +#define PD_INDR_INDR11_Msk (0x800UL) /*!< PD INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR10_Pos (10UL) /*!< PD INDR: INDR10 (Bit 10) */ +#define PD_INDR_INDR10_Msk (0x400UL) /*!< PD INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR9_Pos (9UL) /*!< PD INDR: INDR9 (Bit 9) */ +#define PD_INDR_INDR9_Msk (0x200UL) /*!< PD INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR8_Pos (8UL) /*!< PD INDR: INDR8 (Bit 8) */ +#define PD_INDR_INDR8_Msk (0x100UL) /*!< PD INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR7_Pos (7UL) /*!< PD INDR: INDR7 (Bit 7) */ +#define PD_INDR_INDR7_Msk (0x80UL) /*!< PD INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR6_Pos (6UL) /*!< PD INDR: INDR6 (Bit 6) */ +#define PD_INDR_INDR6_Msk (0x40UL) /*!< PD INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR5_Pos (5UL) /*!< PD INDR: INDR5 (Bit 5) */ +#define PD_INDR_INDR5_Msk (0x20UL) /*!< PD INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR4_Pos (4UL) /*!< PD INDR: INDR4 (Bit 4) */ +#define PD_INDR_INDR4_Msk (0x10UL) /*!< PD INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR3_Pos (3UL) /*!< PD INDR: INDR3 (Bit 3) */ +#define PD_INDR_INDR3_Msk (0x8UL) /*!< PD INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR2_Pos (2UL) /*!< PD INDR: INDR2 (Bit 2) */ +#define PD_INDR_INDR2_Msk (0x4UL) /*!< PD INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR1_Pos (1UL) /*!< PD INDR: INDR1 (Bit 1) */ +#define PD_INDR_INDR1_Msk (0x2UL) /*!< PD INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR0_Pos (0UL) /*!< PD INDR: INDR0 (Bit 0) */ +#define PD_INDR_INDR0_Msk (0x1UL) /*!< PD INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PD_OUTDR_OUTDR15_Pos (15UL) /*!< PD OUTDR: OUTDR15 (Bit 15) */ +#define PD_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PD OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR14_Pos (14UL) /*!< PD OUTDR: OUTDR14 (Bit 14) */ +#define PD_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PD OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR13_Pos (13UL) /*!< PD OUTDR: OUTDR13 (Bit 13) */ +#define PD_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PD OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR12_Pos (12UL) /*!< PD OUTDR: OUTDR12 (Bit 12) */ +#define PD_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PD OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR11_Pos (11UL) /*!< PD OUTDR: OUTDR11 (Bit 11) */ +#define PD_OUTDR_OUTDR11_Msk (0x800UL) /*!< PD OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR10_Pos (10UL) /*!< PD OUTDR: OUTDR10 (Bit 10) */ +#define PD_OUTDR_OUTDR10_Msk (0x400UL) /*!< PD OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR9_Pos (9UL) /*!< PD OUTDR: OUTDR9 (Bit 9) */ +#define PD_OUTDR_OUTDR9_Msk (0x200UL) /*!< PD OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR8_Pos (8UL) /*!< PD OUTDR: OUTDR8 (Bit 8) */ +#define PD_OUTDR_OUTDR8_Msk (0x100UL) /*!< PD OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR7_Pos (7UL) /*!< PD OUTDR: OUTDR7 (Bit 7) */ +#define PD_OUTDR_OUTDR7_Msk (0x80UL) /*!< PD OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR6_Pos (6UL) /*!< PD OUTDR: OUTDR6 (Bit 6) */ +#define PD_OUTDR_OUTDR6_Msk (0x40UL) /*!< PD OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR5_Pos (5UL) /*!< PD OUTDR: OUTDR5 (Bit 5) */ +#define PD_OUTDR_OUTDR5_Msk (0x20UL) /*!< PD OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR4_Pos (4UL) /*!< PD OUTDR: OUTDR4 (Bit 4) */ +#define PD_OUTDR_OUTDR4_Msk (0x10UL) /*!< PD OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR3_Pos (3UL) /*!< PD OUTDR: OUTDR3 (Bit 3) */ +#define PD_OUTDR_OUTDR3_Msk (0x8UL) /*!< PD OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR2_Pos (2UL) /*!< PD OUTDR: OUTDR2 (Bit 2) */ +#define PD_OUTDR_OUTDR2_Msk (0x4UL) /*!< PD OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR1_Pos (1UL) /*!< PD OUTDR: OUTDR1 (Bit 1) */ +#define PD_OUTDR_OUTDR1_Msk (0x2UL) /*!< PD OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR0_Pos (0UL) /*!< PD OUTDR: OUTDR0 (Bit 0) */ +#define PD_OUTDR_OUTDR0_Msk (0x1UL) /*!< PD OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PD_BSR_BSR15_Pos (15UL) /*!< PD BSR: BSR15 (Bit 15) */ +#define PD_BSR_BSR15_Msk (0x8000UL) /*!< PD BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR14_Pos (14UL) /*!< PD BSR: BSR14 (Bit 14) */ +#define PD_BSR_BSR14_Msk (0x4000UL) /*!< PD BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR13_Pos (13UL) /*!< PD BSR: BSR13 (Bit 13) */ +#define PD_BSR_BSR13_Msk (0x2000UL) /*!< PD BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR12_Pos (12UL) /*!< PD BSR: BSR12 (Bit 12) */ +#define PD_BSR_BSR12_Msk (0x1000UL) /*!< PD BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR11_Pos (11UL) /*!< PD BSR: BSR11 (Bit 11) */ +#define PD_BSR_BSR11_Msk (0x800UL) /*!< PD BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR10_Pos (10UL) /*!< PD BSR: BSR10 (Bit 10) */ +#define PD_BSR_BSR10_Msk (0x400UL) /*!< PD BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR9_Pos (9UL) /*!< PD BSR: BSR9 (Bit 9) */ +#define PD_BSR_BSR9_Msk (0x200UL) /*!< PD BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR8_Pos (8UL) /*!< PD BSR: BSR8 (Bit 8) */ +#define PD_BSR_BSR8_Msk (0x100UL) /*!< PD BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR7_Pos (7UL) /*!< PD BSR: BSR7 (Bit 7) */ +#define PD_BSR_BSR7_Msk (0x80UL) /*!< PD BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR6_Pos (6UL) /*!< PD BSR: BSR6 (Bit 6) */ +#define PD_BSR_BSR6_Msk (0x40UL) /*!< PD BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR5_Pos (5UL) /*!< PD BSR: BSR5 (Bit 5) */ +#define PD_BSR_BSR5_Msk (0x20UL) /*!< PD BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR4_Pos (4UL) /*!< PD BSR: BSR4 (Bit 4) */ +#define PD_BSR_BSR4_Msk (0x10UL) /*!< PD BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR3_Pos (3UL) /*!< PD BSR: BSR3 (Bit 3) */ +#define PD_BSR_BSR3_Msk (0x8UL) /*!< PD BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR2_Pos (2UL) /*!< PD BSR: BSR2 (Bit 2) */ +#define PD_BSR_BSR2_Msk (0x4UL) /*!< PD BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR1_Pos (1UL) /*!< PD BSR: BSR1 (Bit 1) */ +#define PD_BSR_BSR1_Msk (0x2UL) /*!< PD BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR0_Pos (0UL) /*!< PD BSR: BSR0 (Bit 0) */ +#define PD_BSR_BSR0_Msk (0x1UL) /*!< PD BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PD_BCR_BCR15_Pos (15UL) /*!< PD BCR: BCR15 (Bit 15) */ +#define PD_BCR_BCR15_Msk (0x8000UL) /*!< PD BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR14_Pos (14UL) /*!< PD BCR: BCR14 (Bit 14) */ +#define PD_BCR_BCR14_Msk (0x4000UL) /*!< PD BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR13_Pos (13UL) /*!< PD BCR: BCR13 (Bit 13) */ +#define PD_BCR_BCR13_Msk (0x2000UL) /*!< PD BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR12_Pos (12UL) /*!< PD BCR: BCR12 (Bit 12) */ +#define PD_BCR_BCR12_Msk (0x1000UL) /*!< PD BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR11_Pos (11UL) /*!< PD BCR: BCR11 (Bit 11) */ +#define PD_BCR_BCR11_Msk (0x800UL) /*!< PD BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR10_Pos (10UL) /*!< PD BCR: BCR10 (Bit 10) */ +#define PD_BCR_BCR10_Msk (0x400UL) /*!< PD BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR9_Pos (9UL) /*!< PD BCR: BCR9 (Bit 9) */ +#define PD_BCR_BCR9_Msk (0x200UL) /*!< PD BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR8_Pos (8UL) /*!< PD BCR: BCR8 (Bit 8) */ +#define PD_BCR_BCR8_Msk (0x100UL) /*!< PD BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR7_Pos (7UL) /*!< PD BCR: BCR7 (Bit 7) */ +#define PD_BCR_BCR7_Msk (0x80UL) /*!< PD BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR6_Pos (6UL) /*!< PD BCR: BCR6 (Bit 6) */ +#define PD_BCR_BCR6_Msk (0x40UL) /*!< PD BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR5_Pos (5UL) /*!< PD BCR: BCR5 (Bit 5) */ +#define PD_BCR_BCR5_Msk (0x20UL) /*!< PD BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR4_Pos (4UL) /*!< PD BCR: BCR4 (Bit 4) */ +#define PD_BCR_BCR4_Msk (0x10UL) /*!< PD BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR3_Pos (3UL) /*!< PD BCR: BCR3 (Bit 3) */ +#define PD_BCR_BCR3_Msk (0x8UL) /*!< PD BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR2_Pos (2UL) /*!< PD BCR: BCR2 (Bit 2) */ +#define PD_BCR_BCR2_Msk (0x4UL) /*!< PD BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR1_Pos (1UL) /*!< PD BCR: BCR1 (Bit 1) */ +#define PD_BCR_BCR1_Msk (0x2UL) /*!< PD BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR0_Pos (0UL) /*!< PD BCR: BCR0 (Bit 0) */ +#define PD_BCR_BCR0_Msk (0x1UL) /*!< PD BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PD_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PD OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PD_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PD OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PD OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PD_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PD OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PD OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PD_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PD OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PD OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PD_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PD OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PD OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PD_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PD OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PD OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PD_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PD OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PD OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PD_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PD OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PD OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PD_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PD OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PD OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PD_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PD OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PD OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PD_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PD OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PD OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PD_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PD OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PD OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PD_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PD OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PD OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PD_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PD OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PD OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PD_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PD OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PD OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PD_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PD OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PD OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PD_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PD OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PD_DBCR_DBCLK_Pos (16UL) /*!< PD DBCR: DBCLK (Bit 16) */ +#define PD_DBCR_DBCLK_Msk (0x70000UL) /*!< PD DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PD_DBCR_DBEN11_Pos (11UL) /*!< PD DBCR: DBEN11 (Bit 11) */ +#define PD_DBCR_DBEN11_Msk (0x800UL) /*!< PD DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN10_Pos (10UL) /*!< PD DBCR: DBEN10 (Bit 10) */ +#define PD_DBCR_DBEN10_Msk (0x400UL) /*!< PD DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN9_Pos (9UL) /*!< PD DBCR: DBEN9 (Bit 9) */ +#define PD_DBCR_DBEN9_Msk (0x200UL) /*!< PD DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN8_Pos (8UL) /*!< PD DBCR: DBEN8 (Bit 8) */ +#define PD_DBCR_DBEN8_Msk (0x100UL) /*!< PD DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN7_Pos (7UL) /*!< PD DBCR: DBEN7 (Bit 7) */ +#define PD_DBCR_DBEN7_Msk (0x80UL) /*!< PD DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN6_Pos (6UL) /*!< PD DBCR: DBEN6 (Bit 6) */ +#define PD_DBCR_DBEN6_Msk (0x40UL) /*!< PD DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN5_Pos (5UL) /*!< PD DBCR: DBEN5 (Bit 5) */ +#define PD_DBCR_DBEN5_Msk (0x20UL) /*!< PD DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN4_Pos (4UL) /*!< PD DBCR: DBEN4 (Bit 4) */ +#define PD_DBCR_DBEN4_Msk (0x10UL) /*!< PD DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN3_Pos (3UL) /*!< PD DBCR: DBEN3 (Bit 3) */ +#define PD_DBCR_DBEN3_Msk (0x8UL) /*!< PD DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN2_Pos (2UL) /*!< PD DBCR: DBEN2 (Bit 2) */ +#define PD_DBCR_DBEN2_Msk (0x4UL) /*!< PD DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN1_Pos (1UL) /*!< PD DBCR: DBEN1 (Bit 1) */ +#define PD_DBCR_DBEN1_Msk (0x2UL) /*!< PD DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN0_Pos (0UL) /*!< PD DBCR: DBEN0 (Bit 0) */ +#define PD_DBCR_DBEN0_Msk (0x1UL) /*!< PD DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PD_MOD ========================================================= */ +#define PD_PD_MOD_MODE7_Pos (14UL) /*!< PD PD_MOD: MODE7 (Bit 14) */ +#define PD_PD_MOD_MODE7_Msk (0xc000UL) /*!< PD PD_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE6_Pos (12UL) /*!< PD PD_MOD: MODE6 (Bit 12) */ +#define PD_PD_MOD_MODE6_Msk (0x3000UL) /*!< PD PD_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE5_Pos (10UL) /*!< PD PD_MOD: MODE5 (Bit 10) */ +#define PD_PD_MOD_MODE5_Msk (0xc00UL) /*!< PD PD_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE4_Pos (8UL) /*!< PD PD_MOD: MODE4 (Bit 8) */ +#define PD_PD_MOD_MODE4_Msk (0x300UL) /*!< PD PD_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE3_Pos (6UL) /*!< PD PD_MOD: MODE3 (Bit 6) */ +#define PD_PD_MOD_MODE3_Msk (0xc0UL) /*!< PD PD_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE2_Pos (4UL) /*!< PD PD_MOD: MODE2 (Bit 4) */ +#define PD_PD_MOD_MODE2_Msk (0x30UL) /*!< PD PD_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE1_Pos (2UL) /*!< PD PD_MOD: MODE1 (Bit 2) */ +#define PD_PD_MOD_MODE1_Msk (0xcUL) /*!< PD PD_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE0_Pos (0UL) /*!< PD PD_MOD: MODE0 (Bit 0) */ +#define PD_PD_MOD_MODE0_Msk (0x3UL) /*!< PD PD_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PD_TYP ========================================================= */ +#define PD_PD_TYP_TYP7_Pos (7UL) /*!< PD PD_TYP: TYP7 (Bit 7) */ +#define PD_PD_TYP_TYP7_Msk (0x80UL) /*!< PD PD_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP6_Pos (6UL) /*!< PD PD_TYP: TYP6 (Bit 6) */ +#define PD_PD_TYP_TYP6_Msk (0x40UL) /*!< PD PD_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP5_Pos (5UL) /*!< PD PD_TYP: TYP5 (Bit 5) */ +#define PD_PD_TYP_TYP5_Msk (0x20UL) /*!< PD PD_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP4_Pos (4UL) /*!< PD PD_TYP: TYP4 (Bit 4) */ +#define PD_PD_TYP_TYP4_Msk (0x10UL) /*!< PD PD_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP3_Pos (3UL) /*!< PD PD_TYP: TYP3 (Bit 3) */ +#define PD_PD_TYP_TYP3_Msk (0x8UL) /*!< PD PD_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP2_Pos (2UL) /*!< PD PD_TYP: TYP2 (Bit 2) */ +#define PD_PD_TYP_TYP2_Msk (0x4UL) /*!< PD PD_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP1_Pos (1UL) /*!< PD PD_TYP: TYP1 (Bit 1) */ +#define PD_PD_TYP_TYP1_Msk (0x2UL) /*!< PD PD_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP0_Pos (0UL) /*!< PD PD_TYP: TYP0 (Bit 0) */ +#define PD_PD_TYP_TYP0_Msk (0x1UL) /*!< PD PD_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PD_AFSR1 ======================================================== */ +#define PD_PD_AFSR1_AFSR7_Pos (28UL) /*!< PD PD_AFSR1: AFSR7 (Bit 28) */ +#define PD_PD_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PD PD_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR6_Pos (24UL) /*!< PD PD_AFSR1: AFSR6 (Bit 24) */ +#define PD_PD_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PD PD_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR5_Pos (20UL) /*!< PD PD_AFSR1: AFSR5 (Bit 20) */ +#define PD_PD_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PD PD_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR4_Pos (16UL) /*!< PD PD_AFSR1: AFSR4 (Bit 16) */ +#define PD_PD_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PD PD_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR3_Pos (12UL) /*!< PD PD_AFSR1: AFSR3 (Bit 12) */ +#define PD_PD_AFSR1_AFSR3_Msk (0xf000UL) /*!< PD PD_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR2_Pos (8UL) /*!< PD PD_AFSR1: AFSR2 (Bit 8) */ +#define PD_PD_AFSR1_AFSR2_Msk (0xf00UL) /*!< PD PD_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR1_Pos (4UL) /*!< PD PD_AFSR1: AFSR1 (Bit 4) */ +#define PD_PD_AFSR1_AFSR1_Msk (0xf0UL) /*!< PD PD_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR0_Pos (0UL) /*!< PD PD_AFSR1: AFSR0 (Bit 0) */ +#define PD_PD_AFSR1_AFSR0_Msk (0xfUL) /*!< PD PD_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PD_AFSR2 ======================================================== */ +/* ======================================================== PD_PUPD ======================================================== */ +#define PD_PD_PUPD_PUPD7_Pos (14UL) /*!< PD PD_PUPD: PUPD7 (Bit 14) */ +#define PD_PD_PUPD_PUPD7_Msk (0xc000UL) /*!< PD PD_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD6_Pos (12UL) /*!< PD PD_PUPD: PUPD6 (Bit 12) */ +#define PD_PD_PUPD_PUPD6_Msk (0x3000UL) /*!< PD PD_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD5_Pos (10UL) /*!< PD PD_PUPD: PUPD5 (Bit 10) */ +#define PD_PD_PUPD_PUPD5_Msk (0xc00UL) /*!< PD PD_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD4_Pos (8UL) /*!< PD PD_PUPD: PUPD4 (Bit 8) */ +#define PD_PD_PUPD_PUPD4_Msk (0x300UL) /*!< PD PD_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD3_Pos (6UL) /*!< PD PD_PUPD: PUPD3 (Bit 6) */ +#define PD_PD_PUPD_PUPD3_Msk (0xc0UL) /*!< PD PD_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD2_Pos (4UL) /*!< PD PD_PUPD: PUPD2 (Bit 4) */ +#define PD_PD_PUPD_PUPD2_Msk (0x30UL) /*!< PD PD_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD1_Pos (2UL) /*!< PD PD_PUPD: PUPD1 (Bit 2) */ +#define PD_PD_PUPD_PUPD1_Msk (0xcUL) /*!< PD PD_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD0_Pos (0UL) /*!< PD PD_PUPD: PUPD0 (Bit 0) */ +#define PD_PD_PUPD_PUPD0_Msk (0x3UL) /*!< PD PD_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PD_INDR ======================================================== */ +#define PD_PD_INDR_INDR7_Pos (7UL) /*!< PD PD_INDR: INDR7 (Bit 7) */ +#define PD_PD_INDR_INDR7_Msk (0x80UL) /*!< PD PD_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR6_Pos (6UL) /*!< PD PD_INDR: INDR6 (Bit 6) */ +#define PD_PD_INDR_INDR6_Msk (0x40UL) /*!< PD PD_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR5_Pos (5UL) /*!< PD PD_INDR: INDR5 (Bit 5) */ +#define PD_PD_INDR_INDR5_Msk (0x20UL) /*!< PD PD_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR4_Pos (4UL) /*!< PD PD_INDR: INDR4 (Bit 4) */ +#define PD_PD_INDR_INDR4_Msk (0x10UL) /*!< PD PD_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR3_Pos (3UL) /*!< PD PD_INDR: INDR3 (Bit 3) */ +#define PD_PD_INDR_INDR3_Msk (0x8UL) /*!< PD PD_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR2_Pos (2UL) /*!< PD PD_INDR: INDR2 (Bit 2) */ +#define PD_PD_INDR_INDR2_Msk (0x4UL) /*!< PD PD_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR1_Pos (1UL) /*!< PD PD_INDR: INDR1 (Bit 1) */ +#define PD_PD_INDR_INDR1_Msk (0x2UL) /*!< PD PD_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR0_Pos (0UL) /*!< PD PD_INDR: INDR0 (Bit 0) */ +#define PD_PD_INDR_INDR0_Msk (0x1UL) /*!< PD PD_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PD_OUTDR ======================================================== */ +#define PD_PD_OUTDR_OUTDR7_Pos (7UL) /*!< PD PD_OUTDR: OUTDR7 (Bit 7) */ +#define PD_PD_OUTDR_OUTDR7_Msk (0x80UL) /*!< PD PD_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR6_Pos (6UL) /*!< PD PD_OUTDR: OUTDR6 (Bit 6) */ +#define PD_PD_OUTDR_OUTDR6_Msk (0x40UL) /*!< PD PD_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR5_Pos (5UL) /*!< PD PD_OUTDR: OUTDR5 (Bit 5) */ +#define PD_PD_OUTDR_OUTDR5_Msk (0x20UL) /*!< PD PD_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR4_Pos (4UL) /*!< PD PD_OUTDR: OUTDR4 (Bit 4) */ +#define PD_PD_OUTDR_OUTDR4_Msk (0x10UL) /*!< PD PD_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR3_Pos (3UL) /*!< PD PD_OUTDR: OUTDR3 (Bit 3) */ +#define PD_PD_OUTDR_OUTDR3_Msk (0x8UL) /*!< PD PD_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR2_Pos (2UL) /*!< PD PD_OUTDR: OUTDR2 (Bit 2) */ +#define PD_PD_OUTDR_OUTDR2_Msk (0x4UL) /*!< PD PD_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR1_Pos (1UL) /*!< PD PD_OUTDR: OUTDR1 (Bit 1) */ +#define PD_PD_OUTDR_OUTDR1_Msk (0x2UL) /*!< PD PD_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR0_Pos (0UL) /*!< PD PD_OUTDR: OUTDR0 (Bit 0) */ +#define PD_PD_OUTDR_OUTDR0_Msk (0x1UL) /*!< PD PD_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PD_BSR ========================================================= */ +#define PD_PD_BSR_BSR7_Pos (7UL) /*!< PD PD_BSR: BSR7 (Bit 7) */ +#define PD_PD_BSR_BSR7_Msk (0x80UL) /*!< PD PD_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR6_Pos (6UL) /*!< PD PD_BSR: BSR6 (Bit 6) */ +#define PD_PD_BSR_BSR6_Msk (0x40UL) /*!< PD PD_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR5_Pos (5UL) /*!< PD PD_BSR: BSR5 (Bit 5) */ +#define PD_PD_BSR_BSR5_Msk (0x20UL) /*!< PD PD_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR4_Pos (4UL) /*!< PD PD_BSR: BSR4 (Bit 4) */ +#define PD_PD_BSR_BSR4_Msk (0x10UL) /*!< PD PD_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR3_Pos (3UL) /*!< PD PD_BSR: BSR3 (Bit 3) */ +#define PD_PD_BSR_BSR3_Msk (0x8UL) /*!< PD PD_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR2_Pos (2UL) /*!< PD PD_BSR: BSR2 (Bit 2) */ +#define PD_PD_BSR_BSR2_Msk (0x4UL) /*!< PD PD_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR1_Pos (1UL) /*!< PD PD_BSR: BSR1 (Bit 1) */ +#define PD_PD_BSR_BSR1_Msk (0x2UL) /*!< PD PD_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR0_Pos (0UL) /*!< PD PD_BSR: BSR0 (Bit 0) */ +#define PD_PD_BSR_BSR0_Msk (0x1UL) /*!< PD PD_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PD_BCR ========================================================= */ +#define PD_PD_BCR_BCR7_Pos (7UL) /*!< PD PD_BCR: BCR7 (Bit 7) */ +#define PD_PD_BCR_BCR7_Msk (0x80UL) /*!< PD PD_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR6_Pos (6UL) /*!< PD PD_BCR: BCR6 (Bit 6) */ +#define PD_PD_BCR_BCR6_Msk (0x40UL) /*!< PD PD_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR5_Pos (5UL) /*!< PD PD_BCR: BCR5 (Bit 5) */ +#define PD_PD_BCR_BCR5_Msk (0x20UL) /*!< PD PD_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR4_Pos (4UL) /*!< PD PD_BCR: BCR4 (Bit 4) */ +#define PD_PD_BCR_BCR4_Msk (0x10UL) /*!< PD PD_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR3_Pos (3UL) /*!< PD PD_BCR: BCR3 (Bit 3) */ +#define PD_PD_BCR_BCR3_Msk (0x8UL) /*!< PD PD_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR2_Pos (2UL) /*!< PD PD_BCR: BCR2 (Bit 2) */ +#define PD_PD_BCR_BCR2_Msk (0x4UL) /*!< PD PD_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR1_Pos (1UL) /*!< PD PD_BCR: BCR1 (Bit 1) */ +#define PD_PD_BCR_BCR1_Msk (0x2UL) /*!< PD PD_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR0_Pos (0UL) /*!< PD PD_BCR: BCR0 (Bit 0) */ +#define PD_PD_BCR_BCR0_Msk (0x1UL) /*!< PD PD_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PD_OUTDMSK ======================================================= */ +#define PD_PD_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PD PD_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PD_PD_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PD PD_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PD PD_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PD_PD_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PD PD_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PD PD_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PD_PD_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PD PD_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PD PD_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PD_PD_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PD PD_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PD PD_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PD_PD_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PD PD_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PD PD_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PD_PD_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PD PD_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PD PD_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PD_PD_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PD PD_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PD PD_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PD_PD_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PD PD_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PE ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PE_MOD_MODE15_Pos (30UL) /*!< PE MOD: MODE15 (Bit 30) */ +#define PE_MOD_MODE15_Msk (0xc0000000UL) /*!< PE MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE14_Pos (28UL) /*!< PE MOD: MODE14 (Bit 28) */ +#define PE_MOD_MODE14_Msk (0x30000000UL) /*!< PE MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE13_Pos (26UL) /*!< PE MOD: MODE13 (Bit 26) */ +#define PE_MOD_MODE13_Msk (0xc000000UL) /*!< PE MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE12_Pos (24UL) /*!< PE MOD: MODE12 (Bit 24) */ +#define PE_MOD_MODE12_Msk (0x3000000UL) /*!< PE MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE11_Pos (22UL) /*!< PE MOD: MODE11 (Bit 22) */ +#define PE_MOD_MODE11_Msk (0xc00000UL) /*!< PE MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE10_Pos (20UL) /*!< PE MOD: MODE10 (Bit 20) */ +#define PE_MOD_MODE10_Msk (0x300000UL) /*!< PE MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE9_Pos (18UL) /*!< PE MOD: MODE9 (Bit 18) */ +#define PE_MOD_MODE9_Msk (0xc0000UL) /*!< PE MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE8_Pos (16UL) /*!< PE MOD: MODE8 (Bit 16) */ +#define PE_MOD_MODE8_Msk (0x30000UL) /*!< PE MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE7_Pos (14UL) /*!< PE MOD: MODE7 (Bit 14) */ +#define PE_MOD_MODE7_Msk (0xc000UL) /*!< PE MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE6_Pos (12UL) /*!< PE MOD: MODE6 (Bit 12) */ +#define PE_MOD_MODE6_Msk (0x3000UL) /*!< PE MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE5_Pos (10UL) /*!< PE MOD: MODE5 (Bit 10) */ +#define PE_MOD_MODE5_Msk (0xc00UL) /*!< PE MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE4_Pos (8UL) /*!< PE MOD: MODE4 (Bit 8) */ +#define PE_MOD_MODE4_Msk (0x300UL) /*!< PE MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE3_Pos (6UL) /*!< PE MOD: MODE3 (Bit 6) */ +#define PE_MOD_MODE3_Msk (0xc0UL) /*!< PE MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE2_Pos (4UL) /*!< PE MOD: MODE2 (Bit 4) */ +#define PE_MOD_MODE2_Msk (0x30UL) /*!< PE MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE1_Pos (2UL) /*!< PE MOD: MODE1 (Bit 2) */ +#define PE_MOD_MODE1_Msk (0xcUL) /*!< PE MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE0_Pos (0UL) /*!< PE MOD: MODE0 (Bit 0) */ +#define PE_MOD_MODE0_Msk (0x3UL) /*!< PE MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PE_TYP_TYP15_Pos (15UL) /*!< PE TYP: TYP15 (Bit 15) */ +#define PE_TYP_TYP15_Msk (0x8000UL) /*!< PE TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP14_Pos (14UL) /*!< PE TYP: TYP14 (Bit 14) */ +#define PE_TYP_TYP14_Msk (0x4000UL) /*!< PE TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP13_Pos (13UL) /*!< PE TYP: TYP13 (Bit 13) */ +#define PE_TYP_TYP13_Msk (0x2000UL) /*!< PE TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP12_Pos (12UL) /*!< PE TYP: TYP12 (Bit 12) */ +#define PE_TYP_TYP12_Msk (0x1000UL) /*!< PE TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP11_Pos (11UL) /*!< PE TYP: TYP11 (Bit 11) */ +#define PE_TYP_TYP11_Msk (0x800UL) /*!< PE TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP10_Pos (10UL) /*!< PE TYP: TYP10 (Bit 10) */ +#define PE_TYP_TYP10_Msk (0x400UL) /*!< PE TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP9_Pos (9UL) /*!< PE TYP: TYP9 (Bit 9) */ +#define PE_TYP_TYP9_Msk (0x200UL) /*!< PE TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP8_Pos (8UL) /*!< PE TYP: TYP8 (Bit 8) */ +#define PE_TYP_TYP8_Msk (0x100UL) /*!< PE TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP7_Pos (7UL) /*!< PE TYP: TYP7 (Bit 7) */ +#define PE_TYP_TYP7_Msk (0x80UL) /*!< PE TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP6_Pos (6UL) /*!< PE TYP: TYP6 (Bit 6) */ +#define PE_TYP_TYP6_Msk (0x40UL) /*!< PE TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP5_Pos (5UL) /*!< PE TYP: TYP5 (Bit 5) */ +#define PE_TYP_TYP5_Msk (0x20UL) /*!< PE TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP4_Pos (4UL) /*!< PE TYP: TYP4 (Bit 4) */ +#define PE_TYP_TYP4_Msk (0x10UL) /*!< PE TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP3_Pos (3UL) /*!< PE TYP: TYP3 (Bit 3) */ +#define PE_TYP_TYP3_Msk (0x8UL) /*!< PE TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP2_Pos (2UL) /*!< PE TYP: TYP2 (Bit 2) */ +#define PE_TYP_TYP2_Msk (0x4UL) /*!< PE TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP1_Pos (1UL) /*!< PE TYP: TYP1 (Bit 1) */ +#define PE_TYP_TYP1_Msk (0x2UL) /*!< PE TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP0_Pos (0UL) /*!< PE TYP: TYP0 (Bit 0) */ +#define PE_TYP_TYP0_Msk (0x1UL) /*!< PE TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PE_AFSR1_AFSR7_Pos (28UL) /*!< PE AFSR1: AFSR7 (Bit 28) */ +#define PE_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PE AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR6_Pos (24UL) /*!< PE AFSR1: AFSR6 (Bit 24) */ +#define PE_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PE AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR5_Pos (20UL) /*!< PE AFSR1: AFSR5 (Bit 20) */ +#define PE_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PE AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR4_Pos (16UL) /*!< PE AFSR1: AFSR4 (Bit 16) */ +#define PE_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PE AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR3_Pos (12UL) /*!< PE AFSR1: AFSR3 (Bit 12) */ +#define PE_AFSR1_AFSR3_Msk (0xf000UL) /*!< PE AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR2_Pos (8UL) /*!< PE AFSR1: AFSR2 (Bit 8) */ +#define PE_AFSR1_AFSR2_Msk (0xf00UL) /*!< PE AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR1_Pos (4UL) /*!< PE AFSR1: AFSR1 (Bit 4) */ +#define PE_AFSR1_AFSR1_Msk (0xf0UL) /*!< PE AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR0_Pos (0UL) /*!< PE AFSR1: AFSR0 (Bit 0) */ +#define PE_AFSR1_AFSR0_Msk (0xfUL) /*!< PE AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PE_AFSR2_AFSR15_Pos (28UL) /*!< PE AFSR2: AFSR15 (Bit 28) */ +#define PE_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PE AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR14_Pos (24UL) /*!< PE AFSR2: AFSR14 (Bit 24) */ +#define PE_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PE AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR13_Pos (20UL) /*!< PE AFSR2: AFSR13 (Bit 20) */ +#define PE_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PE AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR12_Pos (16UL) /*!< PE AFSR2: AFSR12 (Bit 16) */ +#define PE_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PE AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR11_Pos (12UL) /*!< PE AFSR2: AFSR11 (Bit 12) */ +#define PE_AFSR2_AFSR11_Msk (0xf000UL) /*!< PE AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR10_Pos (8UL) /*!< PE AFSR2: AFSR10 (Bit 8) */ +#define PE_AFSR2_AFSR10_Msk (0xf00UL) /*!< PE AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR9_Pos (4UL) /*!< PE AFSR2: AFSR9 (Bit 4) */ +#define PE_AFSR2_AFSR9_Msk (0xf0UL) /*!< PE AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR8_Pos (0UL) /*!< PE AFSR2: AFSR8 (Bit 0) */ +#define PE_AFSR2_AFSR8_Msk (0xfUL) /*!< PE AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PE_PUPD_PUPD15_Pos (30UL) /*!< PE PUPD: PUPD15 (Bit 30) */ +#define PE_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PE PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD14_Pos (28UL) /*!< PE PUPD: PUPD14 (Bit 28) */ +#define PE_PUPD_PUPD14_Msk (0x30000000UL) /*!< PE PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD13_Pos (26UL) /*!< PE PUPD: PUPD13 (Bit 26) */ +#define PE_PUPD_PUPD13_Msk (0xc000000UL) /*!< PE PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD12_Pos (24UL) /*!< PE PUPD: PUPD12 (Bit 24) */ +#define PE_PUPD_PUPD12_Msk (0x3000000UL) /*!< PE PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD11_Pos (22UL) /*!< PE PUPD: PUPD11 (Bit 22) */ +#define PE_PUPD_PUPD11_Msk (0xc00000UL) /*!< PE PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD10_Pos (20UL) /*!< PE PUPD: PUPD10 (Bit 20) */ +#define PE_PUPD_PUPD10_Msk (0x300000UL) /*!< PE PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD9_Pos (18UL) /*!< PE PUPD: PUPD9 (Bit 18) */ +#define PE_PUPD_PUPD9_Msk (0xc0000UL) /*!< PE PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD8_Pos (16UL) /*!< PE PUPD: PUPD8 (Bit 16) */ +#define PE_PUPD_PUPD8_Msk (0x30000UL) /*!< PE PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD7_Pos (14UL) /*!< PE PUPD: PUPD7 (Bit 14) */ +#define PE_PUPD_PUPD7_Msk (0xc000UL) /*!< PE PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD6_Pos (12UL) /*!< PE PUPD: PUPD6 (Bit 12) */ +#define PE_PUPD_PUPD6_Msk (0x3000UL) /*!< PE PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD5_Pos (10UL) /*!< PE PUPD: PUPD5 (Bit 10) */ +#define PE_PUPD_PUPD5_Msk (0xc00UL) /*!< PE PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD4_Pos (8UL) /*!< PE PUPD: PUPD4 (Bit 8) */ +#define PE_PUPD_PUPD4_Msk (0x300UL) /*!< PE PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD3_Pos (6UL) /*!< PE PUPD: PUPD3 (Bit 6) */ +#define PE_PUPD_PUPD3_Msk (0xc0UL) /*!< PE PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD2_Pos (4UL) /*!< PE PUPD: PUPD2 (Bit 4) */ +#define PE_PUPD_PUPD2_Msk (0x30UL) /*!< PE PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD1_Pos (2UL) /*!< PE PUPD: PUPD1 (Bit 2) */ +#define PE_PUPD_PUPD1_Msk (0xcUL) /*!< PE PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD0_Pos (0UL) /*!< PE PUPD: PUPD0 (Bit 0) */ +#define PE_PUPD_PUPD0_Msk (0x3UL) /*!< PE PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PE_INDR_INDR15_Pos (15UL) /*!< PE INDR: INDR15 (Bit 15) */ +#define PE_INDR_INDR15_Msk (0x8000UL) /*!< PE INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR14_Pos (14UL) /*!< PE INDR: INDR14 (Bit 14) */ +#define PE_INDR_INDR14_Msk (0x4000UL) /*!< PE INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR13_Pos (13UL) /*!< PE INDR: INDR13 (Bit 13) */ +#define PE_INDR_INDR13_Msk (0x2000UL) /*!< PE INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR12_Pos (12UL) /*!< PE INDR: INDR12 (Bit 12) */ +#define PE_INDR_INDR12_Msk (0x1000UL) /*!< PE INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR11_Pos (11UL) /*!< PE INDR: INDR11 (Bit 11) */ +#define PE_INDR_INDR11_Msk (0x800UL) /*!< PE INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR10_Pos (10UL) /*!< PE INDR: INDR10 (Bit 10) */ +#define PE_INDR_INDR10_Msk (0x400UL) /*!< PE INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR9_Pos (9UL) /*!< PE INDR: INDR9 (Bit 9) */ +#define PE_INDR_INDR9_Msk (0x200UL) /*!< PE INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR8_Pos (8UL) /*!< PE INDR: INDR8 (Bit 8) */ +#define PE_INDR_INDR8_Msk (0x100UL) /*!< PE INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR7_Pos (7UL) /*!< PE INDR: INDR7 (Bit 7) */ +#define PE_INDR_INDR7_Msk (0x80UL) /*!< PE INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR6_Pos (6UL) /*!< PE INDR: INDR6 (Bit 6) */ +#define PE_INDR_INDR6_Msk (0x40UL) /*!< PE INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR5_Pos (5UL) /*!< PE INDR: INDR5 (Bit 5) */ +#define PE_INDR_INDR5_Msk (0x20UL) /*!< PE INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR4_Pos (4UL) /*!< PE INDR: INDR4 (Bit 4) */ +#define PE_INDR_INDR4_Msk (0x10UL) /*!< PE INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR3_Pos (3UL) /*!< PE INDR: INDR3 (Bit 3) */ +#define PE_INDR_INDR3_Msk (0x8UL) /*!< PE INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR2_Pos (2UL) /*!< PE INDR: INDR2 (Bit 2) */ +#define PE_INDR_INDR2_Msk (0x4UL) /*!< PE INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR1_Pos (1UL) /*!< PE INDR: INDR1 (Bit 1) */ +#define PE_INDR_INDR1_Msk (0x2UL) /*!< PE INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR0_Pos (0UL) /*!< PE INDR: INDR0 (Bit 0) */ +#define PE_INDR_INDR0_Msk (0x1UL) /*!< PE INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PE_OUTDR_OUTDR15_Pos (15UL) /*!< PE OUTDR: OUTDR15 (Bit 15) */ +#define PE_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PE OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR14_Pos (14UL) /*!< PE OUTDR: OUTDR14 (Bit 14) */ +#define PE_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PE OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR13_Pos (13UL) /*!< PE OUTDR: OUTDR13 (Bit 13) */ +#define PE_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PE OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR12_Pos (12UL) /*!< PE OUTDR: OUTDR12 (Bit 12) */ +#define PE_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PE OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR11_Pos (11UL) /*!< PE OUTDR: OUTDR11 (Bit 11) */ +#define PE_OUTDR_OUTDR11_Msk (0x800UL) /*!< PE OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR10_Pos (10UL) /*!< PE OUTDR: OUTDR10 (Bit 10) */ +#define PE_OUTDR_OUTDR10_Msk (0x400UL) /*!< PE OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR9_Pos (9UL) /*!< PE OUTDR: OUTDR9 (Bit 9) */ +#define PE_OUTDR_OUTDR9_Msk (0x200UL) /*!< PE OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR8_Pos (8UL) /*!< PE OUTDR: OUTDR8 (Bit 8) */ +#define PE_OUTDR_OUTDR8_Msk (0x100UL) /*!< PE OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR7_Pos (7UL) /*!< PE OUTDR: OUTDR7 (Bit 7) */ +#define PE_OUTDR_OUTDR7_Msk (0x80UL) /*!< PE OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR6_Pos (6UL) /*!< PE OUTDR: OUTDR6 (Bit 6) */ +#define PE_OUTDR_OUTDR6_Msk (0x40UL) /*!< PE OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR5_Pos (5UL) /*!< PE OUTDR: OUTDR5 (Bit 5) */ +#define PE_OUTDR_OUTDR5_Msk (0x20UL) /*!< PE OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR4_Pos (4UL) /*!< PE OUTDR: OUTDR4 (Bit 4) */ +#define PE_OUTDR_OUTDR4_Msk (0x10UL) /*!< PE OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR3_Pos (3UL) /*!< PE OUTDR: OUTDR3 (Bit 3) */ +#define PE_OUTDR_OUTDR3_Msk (0x8UL) /*!< PE OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR2_Pos (2UL) /*!< PE OUTDR: OUTDR2 (Bit 2) */ +#define PE_OUTDR_OUTDR2_Msk (0x4UL) /*!< PE OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR1_Pos (1UL) /*!< PE OUTDR: OUTDR1 (Bit 1) */ +#define PE_OUTDR_OUTDR1_Msk (0x2UL) /*!< PE OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR0_Pos (0UL) /*!< PE OUTDR: OUTDR0 (Bit 0) */ +#define PE_OUTDR_OUTDR0_Msk (0x1UL) /*!< PE OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PE_BSR_BSR15_Pos (15UL) /*!< PE BSR: BSR15 (Bit 15) */ +#define PE_BSR_BSR15_Msk (0x8000UL) /*!< PE BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR14_Pos (14UL) /*!< PE BSR: BSR14 (Bit 14) */ +#define PE_BSR_BSR14_Msk (0x4000UL) /*!< PE BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR13_Pos (13UL) /*!< PE BSR: BSR13 (Bit 13) */ +#define PE_BSR_BSR13_Msk (0x2000UL) /*!< PE BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR12_Pos (12UL) /*!< PE BSR: BSR12 (Bit 12) */ +#define PE_BSR_BSR12_Msk (0x1000UL) /*!< PE BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR11_Pos (11UL) /*!< PE BSR: BSR11 (Bit 11) */ +#define PE_BSR_BSR11_Msk (0x800UL) /*!< PE BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR10_Pos (10UL) /*!< PE BSR: BSR10 (Bit 10) */ +#define PE_BSR_BSR10_Msk (0x400UL) /*!< PE BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR9_Pos (9UL) /*!< PE BSR: BSR9 (Bit 9) */ +#define PE_BSR_BSR9_Msk (0x200UL) /*!< PE BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR8_Pos (8UL) /*!< PE BSR: BSR8 (Bit 8) */ +#define PE_BSR_BSR8_Msk (0x100UL) /*!< PE BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR7_Pos (7UL) /*!< PE BSR: BSR7 (Bit 7) */ +#define PE_BSR_BSR7_Msk (0x80UL) /*!< PE BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR6_Pos (6UL) /*!< PE BSR: BSR6 (Bit 6) */ +#define PE_BSR_BSR6_Msk (0x40UL) /*!< PE BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR5_Pos (5UL) /*!< PE BSR: BSR5 (Bit 5) */ +#define PE_BSR_BSR5_Msk (0x20UL) /*!< PE BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR4_Pos (4UL) /*!< PE BSR: BSR4 (Bit 4) */ +#define PE_BSR_BSR4_Msk (0x10UL) /*!< PE BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR3_Pos (3UL) /*!< PE BSR: BSR3 (Bit 3) */ +#define PE_BSR_BSR3_Msk (0x8UL) /*!< PE BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR2_Pos (2UL) /*!< PE BSR: BSR2 (Bit 2) */ +#define PE_BSR_BSR2_Msk (0x4UL) /*!< PE BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR1_Pos (1UL) /*!< PE BSR: BSR1 (Bit 1) */ +#define PE_BSR_BSR1_Msk (0x2UL) /*!< PE BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR0_Pos (0UL) /*!< PE BSR: BSR0 (Bit 0) */ +#define PE_BSR_BSR0_Msk (0x1UL) /*!< PE BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PE_BCR_BCR15_Pos (15UL) /*!< PE BCR: BCR15 (Bit 15) */ +#define PE_BCR_BCR15_Msk (0x8000UL) /*!< PE BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR14_Pos (14UL) /*!< PE BCR: BCR14 (Bit 14) */ +#define PE_BCR_BCR14_Msk (0x4000UL) /*!< PE BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR13_Pos (13UL) /*!< PE BCR: BCR13 (Bit 13) */ +#define PE_BCR_BCR13_Msk (0x2000UL) /*!< PE BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR12_Pos (12UL) /*!< PE BCR: BCR12 (Bit 12) */ +#define PE_BCR_BCR12_Msk (0x1000UL) /*!< PE BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR11_Pos (11UL) /*!< PE BCR: BCR11 (Bit 11) */ +#define PE_BCR_BCR11_Msk (0x800UL) /*!< PE BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR10_Pos (10UL) /*!< PE BCR: BCR10 (Bit 10) */ +#define PE_BCR_BCR10_Msk (0x400UL) /*!< PE BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR9_Pos (9UL) /*!< PE BCR: BCR9 (Bit 9) */ +#define PE_BCR_BCR9_Msk (0x200UL) /*!< PE BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR8_Pos (8UL) /*!< PE BCR: BCR8 (Bit 8) */ +#define PE_BCR_BCR8_Msk (0x100UL) /*!< PE BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR7_Pos (7UL) /*!< PE BCR: BCR7 (Bit 7) */ +#define PE_BCR_BCR7_Msk (0x80UL) /*!< PE BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR6_Pos (6UL) /*!< PE BCR: BCR6 (Bit 6) */ +#define PE_BCR_BCR6_Msk (0x40UL) /*!< PE BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR5_Pos (5UL) /*!< PE BCR: BCR5 (Bit 5) */ +#define PE_BCR_BCR5_Msk (0x20UL) /*!< PE BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR4_Pos (4UL) /*!< PE BCR: BCR4 (Bit 4) */ +#define PE_BCR_BCR4_Msk (0x10UL) /*!< PE BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR3_Pos (3UL) /*!< PE BCR: BCR3 (Bit 3) */ +#define PE_BCR_BCR3_Msk (0x8UL) /*!< PE BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR2_Pos (2UL) /*!< PE BCR: BCR2 (Bit 2) */ +#define PE_BCR_BCR2_Msk (0x4UL) /*!< PE BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR1_Pos (1UL) /*!< PE BCR: BCR1 (Bit 1) */ +#define PE_BCR_BCR1_Msk (0x2UL) /*!< PE BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR0_Pos (0UL) /*!< PE BCR: BCR0 (Bit 0) */ +#define PE_BCR_BCR0_Msk (0x1UL) /*!< PE BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PE_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PE OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PE_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PE OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PE OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PE_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PE OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PE OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PE_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PE OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PE OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PE_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PE OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PE OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PE_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PE OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PE OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PE_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PE OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PE OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PE_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PE OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PE OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PE_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PE OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PE OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PE_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PE OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PE OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PE_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PE OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PE OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PE_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PE OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PE OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PE_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PE OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PE OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PE_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PE OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PE OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PE_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PE OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PE OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PE_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PE OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PE OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PE_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PE OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PE_DBCR_DBCLK_Pos (16UL) /*!< PE DBCR: DBCLK (Bit 16) */ +#define PE_DBCR_DBCLK_Msk (0x70000UL) /*!< PE DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PE_DBCR_DBEN11_Pos (11UL) /*!< PE DBCR: DBEN11 (Bit 11) */ +#define PE_DBCR_DBEN11_Msk (0x800UL) /*!< PE DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN10_Pos (10UL) /*!< PE DBCR: DBEN10 (Bit 10) */ +#define PE_DBCR_DBEN10_Msk (0x400UL) /*!< PE DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN9_Pos (9UL) /*!< PE DBCR: DBEN9 (Bit 9) */ +#define PE_DBCR_DBEN9_Msk (0x200UL) /*!< PE DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN8_Pos (8UL) /*!< PE DBCR: DBEN8 (Bit 8) */ +#define PE_DBCR_DBEN8_Msk (0x100UL) /*!< PE DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN7_Pos (7UL) /*!< PE DBCR: DBEN7 (Bit 7) */ +#define PE_DBCR_DBEN7_Msk (0x80UL) /*!< PE DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN6_Pos (6UL) /*!< PE DBCR: DBEN6 (Bit 6) */ +#define PE_DBCR_DBEN6_Msk (0x40UL) /*!< PE DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN5_Pos (5UL) /*!< PE DBCR: DBEN5 (Bit 5) */ +#define PE_DBCR_DBEN5_Msk (0x20UL) /*!< PE DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN4_Pos (4UL) /*!< PE DBCR: DBEN4 (Bit 4) */ +#define PE_DBCR_DBEN4_Msk (0x10UL) /*!< PE DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN3_Pos (3UL) /*!< PE DBCR: DBEN3 (Bit 3) */ +#define PE_DBCR_DBEN3_Msk (0x8UL) /*!< PE DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN2_Pos (2UL) /*!< PE DBCR: DBEN2 (Bit 2) */ +#define PE_DBCR_DBEN2_Msk (0x4UL) /*!< PE DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN1_Pos (1UL) /*!< PE DBCR: DBEN1 (Bit 1) */ +#define PE_DBCR_DBEN1_Msk (0x2UL) /*!< PE DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN0_Pos (0UL) /*!< PE DBCR: DBEN0 (Bit 0) */ +#define PE_DBCR_DBEN0_Msk (0x1UL) /*!< PE DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PE_MOD ========================================================= */ +#define PE_PE_MOD_MODE15_Pos (30UL) /*!< PE PE_MOD: MODE15 (Bit 30) */ +#define PE_PE_MOD_MODE15_Msk (0xc0000000UL) /*!< PE PE_MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE14_Pos (28UL) /*!< PE PE_MOD: MODE14 (Bit 28) */ +#define PE_PE_MOD_MODE14_Msk (0x30000000UL) /*!< PE PE_MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE13_Pos (26UL) /*!< PE PE_MOD: MODE13 (Bit 26) */ +#define PE_PE_MOD_MODE13_Msk (0xc000000UL) /*!< PE PE_MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE12_Pos (24UL) /*!< PE PE_MOD: MODE12 (Bit 24) */ +#define PE_PE_MOD_MODE12_Msk (0x3000000UL) /*!< PE PE_MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE11_Pos (22UL) /*!< PE PE_MOD: MODE11 (Bit 22) */ +#define PE_PE_MOD_MODE11_Msk (0xc00000UL) /*!< PE PE_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE10_Pos (20UL) /*!< PE PE_MOD: MODE10 (Bit 20) */ +#define PE_PE_MOD_MODE10_Msk (0x300000UL) /*!< PE PE_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE9_Pos (18UL) /*!< PE PE_MOD: MODE9 (Bit 18) */ +#define PE_PE_MOD_MODE9_Msk (0xc0000UL) /*!< PE PE_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE8_Pos (16UL) /*!< PE PE_MOD: MODE8 (Bit 16) */ +#define PE_PE_MOD_MODE8_Msk (0x30000UL) /*!< PE PE_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE7_Pos (14UL) /*!< PE PE_MOD: MODE7 (Bit 14) */ +#define PE_PE_MOD_MODE7_Msk (0xc000UL) /*!< PE PE_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE6_Pos (12UL) /*!< PE PE_MOD: MODE6 (Bit 12) */ +#define PE_PE_MOD_MODE6_Msk (0x3000UL) /*!< PE PE_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE5_Pos (10UL) /*!< PE PE_MOD: MODE5 (Bit 10) */ +#define PE_PE_MOD_MODE5_Msk (0xc00UL) /*!< PE PE_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE4_Pos (8UL) /*!< PE PE_MOD: MODE4 (Bit 8) */ +#define PE_PE_MOD_MODE4_Msk (0x300UL) /*!< PE PE_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE3_Pos (6UL) /*!< PE PE_MOD: MODE3 (Bit 6) */ +#define PE_PE_MOD_MODE3_Msk (0xc0UL) /*!< PE PE_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE2_Pos (4UL) /*!< PE PE_MOD: MODE2 (Bit 4) */ +#define PE_PE_MOD_MODE2_Msk (0x30UL) /*!< PE PE_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE1_Pos (2UL) /*!< PE PE_MOD: MODE1 (Bit 2) */ +#define PE_PE_MOD_MODE1_Msk (0xcUL) /*!< PE PE_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE0_Pos (0UL) /*!< PE PE_MOD: MODE0 (Bit 0) */ +#define PE_PE_MOD_MODE0_Msk (0x3UL) /*!< PE PE_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PE_TYP ========================================================= */ +#define PE_PE_TYP_TYP15_Pos (15UL) /*!< PE PE_TYP: TYP15 (Bit 15) */ +#define PE_PE_TYP_TYP15_Msk (0x8000UL) /*!< PE PE_TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP14_Pos (14UL) /*!< PE PE_TYP: TYP14 (Bit 14) */ +#define PE_PE_TYP_TYP14_Msk (0x4000UL) /*!< PE PE_TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP13_Pos (13UL) /*!< PE PE_TYP: TYP13 (Bit 13) */ +#define PE_PE_TYP_TYP13_Msk (0x2000UL) /*!< PE PE_TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP12_Pos (12UL) /*!< PE PE_TYP: TYP12 (Bit 12) */ +#define PE_PE_TYP_TYP12_Msk (0x1000UL) /*!< PE PE_TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP11_Pos (11UL) /*!< PE PE_TYP: TYP11 (Bit 11) */ +#define PE_PE_TYP_TYP11_Msk (0x800UL) /*!< PE PE_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP10_Pos (10UL) /*!< PE PE_TYP: TYP10 (Bit 10) */ +#define PE_PE_TYP_TYP10_Msk (0x400UL) /*!< PE PE_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP9_Pos (9UL) /*!< PE PE_TYP: TYP9 (Bit 9) */ +#define PE_PE_TYP_TYP9_Msk (0x200UL) /*!< PE PE_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP8_Pos (8UL) /*!< PE PE_TYP: TYP8 (Bit 8) */ +#define PE_PE_TYP_TYP8_Msk (0x100UL) /*!< PE PE_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP7_Pos (7UL) /*!< PE PE_TYP: TYP7 (Bit 7) */ +#define PE_PE_TYP_TYP7_Msk (0x80UL) /*!< PE PE_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP6_Pos (6UL) /*!< PE PE_TYP: TYP6 (Bit 6) */ +#define PE_PE_TYP_TYP6_Msk (0x40UL) /*!< PE PE_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP5_Pos (5UL) /*!< PE PE_TYP: TYP5 (Bit 5) */ +#define PE_PE_TYP_TYP5_Msk (0x20UL) /*!< PE PE_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP4_Pos (4UL) /*!< PE PE_TYP: TYP4 (Bit 4) */ +#define PE_PE_TYP_TYP4_Msk (0x10UL) /*!< PE PE_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP3_Pos (3UL) /*!< PE PE_TYP: TYP3 (Bit 3) */ +#define PE_PE_TYP_TYP3_Msk (0x8UL) /*!< PE PE_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP2_Pos (2UL) /*!< PE PE_TYP: TYP2 (Bit 2) */ +#define PE_PE_TYP_TYP2_Msk (0x4UL) /*!< PE PE_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP1_Pos (1UL) /*!< PE PE_TYP: TYP1 (Bit 1) */ +#define PE_PE_TYP_TYP1_Msk (0x2UL) /*!< PE PE_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP0_Pos (0UL) /*!< PE PE_TYP: TYP0 (Bit 0) */ +#define PE_PE_TYP_TYP0_Msk (0x1UL) /*!< PE PE_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PE_AFSR1 ======================================================== */ +#define PE_PE_AFSR1_AFSR7_Pos (28UL) /*!< PE PE_AFSR1: AFSR7 (Bit 28) */ +#define PE_PE_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PE PE_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR6_Pos (24UL) /*!< PE PE_AFSR1: AFSR6 (Bit 24) */ +#define PE_PE_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PE PE_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR5_Pos (20UL) /*!< PE PE_AFSR1: AFSR5 (Bit 20) */ +#define PE_PE_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PE PE_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR4_Pos (16UL) /*!< PE PE_AFSR1: AFSR4 (Bit 16) */ +#define PE_PE_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PE PE_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR3_Pos (12UL) /*!< PE PE_AFSR1: AFSR3 (Bit 12) */ +#define PE_PE_AFSR1_AFSR3_Msk (0xf000UL) /*!< PE PE_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR2_Pos (8UL) /*!< PE PE_AFSR1: AFSR2 (Bit 8) */ +#define PE_PE_AFSR1_AFSR2_Msk (0xf00UL) /*!< PE PE_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR1_Pos (4UL) /*!< PE PE_AFSR1: AFSR1 (Bit 4) */ +#define PE_PE_AFSR1_AFSR1_Msk (0xf0UL) /*!< PE PE_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR0_Pos (0UL) /*!< PE PE_AFSR1: AFSR0 (Bit 0) */ +#define PE_PE_AFSR1_AFSR0_Msk (0xfUL) /*!< PE PE_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PE_AFSR2 ======================================================== */ +#define PE_PE_AFSR2_AFSR15_Pos (28UL) /*!< PE PE_AFSR2: AFSR15 (Bit 28) */ +#define PE_PE_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PE PE_AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR14_Pos (24UL) /*!< PE PE_AFSR2: AFSR14 (Bit 24) */ +#define PE_PE_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PE PE_AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR13_Pos (20UL) /*!< PE PE_AFSR2: AFSR13 (Bit 20) */ +#define PE_PE_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PE PE_AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR12_Pos (16UL) /*!< PE PE_AFSR2: AFSR12 (Bit 16) */ +#define PE_PE_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PE PE_AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR11_Pos (12UL) /*!< PE PE_AFSR2: AFSR11 (Bit 12) */ +#define PE_PE_AFSR2_AFSR11_Msk (0xf000UL) /*!< PE PE_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR10_Pos (8UL) /*!< PE PE_AFSR2: AFSR10 (Bit 8) */ +#define PE_PE_AFSR2_AFSR10_Msk (0xf00UL) /*!< PE PE_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR9_Pos (4UL) /*!< PE PE_AFSR2: AFSR9 (Bit 4) */ +#define PE_PE_AFSR2_AFSR9_Msk (0xf0UL) /*!< PE PE_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR8_Pos (0UL) /*!< PE PE_AFSR2: AFSR8 (Bit 0) */ +#define PE_PE_AFSR2_AFSR8_Msk (0xfUL) /*!< PE PE_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PE_PUPD ======================================================== */ +#define PE_PE_PUPD_PUPD15_Pos (30UL) /*!< PE PE_PUPD: PUPD15 (Bit 30) */ +#define PE_PE_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PE PE_PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD14_Pos (28UL) /*!< PE PE_PUPD: PUPD14 (Bit 28) */ +#define PE_PE_PUPD_PUPD14_Msk (0x30000000UL) /*!< PE PE_PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD13_Pos (26UL) /*!< PE PE_PUPD: PUPD13 (Bit 26) */ +#define PE_PE_PUPD_PUPD13_Msk (0xc000000UL) /*!< PE PE_PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD12_Pos (24UL) /*!< PE PE_PUPD: PUPD12 (Bit 24) */ +#define PE_PE_PUPD_PUPD12_Msk (0x3000000UL) /*!< PE PE_PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD11_Pos (22UL) /*!< PE PE_PUPD: PUPD11 (Bit 22) */ +#define PE_PE_PUPD_PUPD11_Msk (0xc00000UL) /*!< PE PE_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD10_Pos (20UL) /*!< PE PE_PUPD: PUPD10 (Bit 20) */ +#define PE_PE_PUPD_PUPD10_Msk (0x300000UL) /*!< PE PE_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD9_Pos (18UL) /*!< PE PE_PUPD: PUPD9 (Bit 18) */ +#define PE_PE_PUPD_PUPD9_Msk (0xc0000UL) /*!< PE PE_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD8_Pos (16UL) /*!< PE PE_PUPD: PUPD8 (Bit 16) */ +#define PE_PE_PUPD_PUPD8_Msk (0x30000UL) /*!< PE PE_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD7_Pos (14UL) /*!< PE PE_PUPD: PUPD7 (Bit 14) */ +#define PE_PE_PUPD_PUPD7_Msk (0xc000UL) /*!< PE PE_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD6_Pos (12UL) /*!< PE PE_PUPD: PUPD6 (Bit 12) */ +#define PE_PE_PUPD_PUPD6_Msk (0x3000UL) /*!< PE PE_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD5_Pos (10UL) /*!< PE PE_PUPD: PUPD5 (Bit 10) */ +#define PE_PE_PUPD_PUPD5_Msk (0xc00UL) /*!< PE PE_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD4_Pos (8UL) /*!< PE PE_PUPD: PUPD4 (Bit 8) */ +#define PE_PE_PUPD_PUPD4_Msk (0x300UL) /*!< PE PE_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD3_Pos (6UL) /*!< PE PE_PUPD: PUPD3 (Bit 6) */ +#define PE_PE_PUPD_PUPD3_Msk (0xc0UL) /*!< PE PE_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD2_Pos (4UL) /*!< PE PE_PUPD: PUPD2 (Bit 4) */ +#define PE_PE_PUPD_PUPD2_Msk (0x30UL) /*!< PE PE_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD1_Pos (2UL) /*!< PE PE_PUPD: PUPD1 (Bit 2) */ +#define PE_PE_PUPD_PUPD1_Msk (0xcUL) /*!< PE PE_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD0_Pos (0UL) /*!< PE PE_PUPD: PUPD0 (Bit 0) */ +#define PE_PE_PUPD_PUPD0_Msk (0x3UL) /*!< PE PE_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PE_INDR ======================================================== */ +#define PE_PE_INDR_INDR15_Pos (15UL) /*!< PE PE_INDR: INDR15 (Bit 15) */ +#define PE_PE_INDR_INDR15_Msk (0x8000UL) /*!< PE PE_INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR14_Pos (14UL) /*!< PE PE_INDR: INDR14 (Bit 14) */ +#define PE_PE_INDR_INDR14_Msk (0x4000UL) /*!< PE PE_INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR13_Pos (13UL) /*!< PE PE_INDR: INDR13 (Bit 13) */ +#define PE_PE_INDR_INDR13_Msk (0x2000UL) /*!< PE PE_INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR12_Pos (12UL) /*!< PE PE_INDR: INDR12 (Bit 12) */ +#define PE_PE_INDR_INDR12_Msk (0x1000UL) /*!< PE PE_INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR11_Pos (11UL) /*!< PE PE_INDR: INDR11 (Bit 11) */ +#define PE_PE_INDR_INDR11_Msk (0x800UL) /*!< PE PE_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR10_Pos (10UL) /*!< PE PE_INDR: INDR10 (Bit 10) */ +#define PE_PE_INDR_INDR10_Msk (0x400UL) /*!< PE PE_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR9_Pos (9UL) /*!< PE PE_INDR: INDR9 (Bit 9) */ +#define PE_PE_INDR_INDR9_Msk (0x200UL) /*!< PE PE_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR8_Pos (8UL) /*!< PE PE_INDR: INDR8 (Bit 8) */ +#define PE_PE_INDR_INDR8_Msk (0x100UL) /*!< PE PE_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR7_Pos (7UL) /*!< PE PE_INDR: INDR7 (Bit 7) */ +#define PE_PE_INDR_INDR7_Msk (0x80UL) /*!< PE PE_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR6_Pos (6UL) /*!< PE PE_INDR: INDR6 (Bit 6) */ +#define PE_PE_INDR_INDR6_Msk (0x40UL) /*!< PE PE_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR5_Pos (5UL) /*!< PE PE_INDR: INDR5 (Bit 5) */ +#define PE_PE_INDR_INDR5_Msk (0x20UL) /*!< PE PE_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR4_Pos (4UL) /*!< PE PE_INDR: INDR4 (Bit 4) */ +#define PE_PE_INDR_INDR4_Msk (0x10UL) /*!< PE PE_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR3_Pos (3UL) /*!< PE PE_INDR: INDR3 (Bit 3) */ +#define PE_PE_INDR_INDR3_Msk (0x8UL) /*!< PE PE_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR2_Pos (2UL) /*!< PE PE_INDR: INDR2 (Bit 2) */ +#define PE_PE_INDR_INDR2_Msk (0x4UL) /*!< PE PE_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR1_Pos (1UL) /*!< PE PE_INDR: INDR1 (Bit 1) */ +#define PE_PE_INDR_INDR1_Msk (0x2UL) /*!< PE PE_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR0_Pos (0UL) /*!< PE PE_INDR: INDR0 (Bit 0) */ +#define PE_PE_INDR_INDR0_Msk (0x1UL) /*!< PE PE_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PE_OUTDR ======================================================== */ +#define PE_PE_OUTDR_OUTDR15_Pos (15UL) /*!< PE PE_OUTDR: OUTDR15 (Bit 15) */ +#define PE_PE_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PE PE_OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR14_Pos (14UL) /*!< PE PE_OUTDR: OUTDR14 (Bit 14) */ +#define PE_PE_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PE PE_OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR13_Pos (13UL) /*!< PE PE_OUTDR: OUTDR13 (Bit 13) */ +#define PE_PE_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PE PE_OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR12_Pos (12UL) /*!< PE PE_OUTDR: OUTDR12 (Bit 12) */ +#define PE_PE_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PE PE_OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR11_Pos (11UL) /*!< PE PE_OUTDR: OUTDR11 (Bit 11) */ +#define PE_PE_OUTDR_OUTDR11_Msk (0x800UL) /*!< PE PE_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR10_Pos (10UL) /*!< PE PE_OUTDR: OUTDR10 (Bit 10) */ +#define PE_PE_OUTDR_OUTDR10_Msk (0x400UL) /*!< PE PE_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR9_Pos (9UL) /*!< PE PE_OUTDR: OUTDR9 (Bit 9) */ +#define PE_PE_OUTDR_OUTDR9_Msk (0x200UL) /*!< PE PE_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR8_Pos (8UL) /*!< PE PE_OUTDR: OUTDR8 (Bit 8) */ +#define PE_PE_OUTDR_OUTDR8_Msk (0x100UL) /*!< PE PE_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR7_Pos (7UL) /*!< PE PE_OUTDR: OUTDR7 (Bit 7) */ +#define PE_PE_OUTDR_OUTDR7_Msk (0x80UL) /*!< PE PE_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR6_Pos (6UL) /*!< PE PE_OUTDR: OUTDR6 (Bit 6) */ +#define PE_PE_OUTDR_OUTDR6_Msk (0x40UL) /*!< PE PE_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR5_Pos (5UL) /*!< PE PE_OUTDR: OUTDR5 (Bit 5) */ +#define PE_PE_OUTDR_OUTDR5_Msk (0x20UL) /*!< PE PE_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR4_Pos (4UL) /*!< PE PE_OUTDR: OUTDR4 (Bit 4) */ +#define PE_PE_OUTDR_OUTDR4_Msk (0x10UL) /*!< PE PE_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR3_Pos (3UL) /*!< PE PE_OUTDR: OUTDR3 (Bit 3) */ +#define PE_PE_OUTDR_OUTDR3_Msk (0x8UL) /*!< PE PE_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR2_Pos (2UL) /*!< PE PE_OUTDR: OUTDR2 (Bit 2) */ +#define PE_PE_OUTDR_OUTDR2_Msk (0x4UL) /*!< PE PE_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR1_Pos (1UL) /*!< PE PE_OUTDR: OUTDR1 (Bit 1) */ +#define PE_PE_OUTDR_OUTDR1_Msk (0x2UL) /*!< PE PE_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR0_Pos (0UL) /*!< PE PE_OUTDR: OUTDR0 (Bit 0) */ +#define PE_PE_OUTDR_OUTDR0_Msk (0x1UL) /*!< PE PE_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PE_BSR ========================================================= */ +#define PE_PE_BSR_BSR15_Pos (15UL) /*!< PE PE_BSR: BSR15 (Bit 15) */ +#define PE_PE_BSR_BSR15_Msk (0x8000UL) /*!< PE PE_BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR14_Pos (14UL) /*!< PE PE_BSR: BSR14 (Bit 14) */ +#define PE_PE_BSR_BSR14_Msk (0x4000UL) /*!< PE PE_BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR13_Pos (13UL) /*!< PE PE_BSR: BSR13 (Bit 13) */ +#define PE_PE_BSR_BSR13_Msk (0x2000UL) /*!< PE PE_BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR12_Pos (12UL) /*!< PE PE_BSR: BSR12 (Bit 12) */ +#define PE_PE_BSR_BSR12_Msk (0x1000UL) /*!< PE PE_BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR11_Pos (11UL) /*!< PE PE_BSR: BSR11 (Bit 11) */ +#define PE_PE_BSR_BSR11_Msk (0x800UL) /*!< PE PE_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR10_Pos (10UL) /*!< PE PE_BSR: BSR10 (Bit 10) */ +#define PE_PE_BSR_BSR10_Msk (0x400UL) /*!< PE PE_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR9_Pos (9UL) /*!< PE PE_BSR: BSR9 (Bit 9) */ +#define PE_PE_BSR_BSR9_Msk (0x200UL) /*!< PE PE_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR8_Pos (8UL) /*!< PE PE_BSR: BSR8 (Bit 8) */ +#define PE_PE_BSR_BSR8_Msk (0x100UL) /*!< PE PE_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR7_Pos (7UL) /*!< PE PE_BSR: BSR7 (Bit 7) */ +#define PE_PE_BSR_BSR7_Msk (0x80UL) /*!< PE PE_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR6_Pos (6UL) /*!< PE PE_BSR: BSR6 (Bit 6) */ +#define PE_PE_BSR_BSR6_Msk (0x40UL) /*!< PE PE_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR5_Pos (5UL) /*!< PE PE_BSR: BSR5 (Bit 5) */ +#define PE_PE_BSR_BSR5_Msk (0x20UL) /*!< PE PE_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR4_Pos (4UL) /*!< PE PE_BSR: BSR4 (Bit 4) */ +#define PE_PE_BSR_BSR4_Msk (0x10UL) /*!< PE PE_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR3_Pos (3UL) /*!< PE PE_BSR: BSR3 (Bit 3) */ +#define PE_PE_BSR_BSR3_Msk (0x8UL) /*!< PE PE_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR2_Pos (2UL) /*!< PE PE_BSR: BSR2 (Bit 2) */ +#define PE_PE_BSR_BSR2_Msk (0x4UL) /*!< PE PE_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR1_Pos (1UL) /*!< PE PE_BSR: BSR1 (Bit 1) */ +#define PE_PE_BSR_BSR1_Msk (0x2UL) /*!< PE PE_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR0_Pos (0UL) /*!< PE PE_BSR: BSR0 (Bit 0) */ +#define PE_PE_BSR_BSR0_Msk (0x1UL) /*!< PE PE_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PE_BCR ========================================================= */ +#define PE_PE_BCR_BCR15_Pos (15UL) /*!< PE PE_BCR: BCR15 (Bit 15) */ +#define PE_PE_BCR_BCR15_Msk (0x8000UL) /*!< PE PE_BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR14_Pos (14UL) /*!< PE PE_BCR: BCR14 (Bit 14) */ +#define PE_PE_BCR_BCR14_Msk (0x4000UL) /*!< PE PE_BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR13_Pos (13UL) /*!< PE PE_BCR: BCR13 (Bit 13) */ +#define PE_PE_BCR_BCR13_Msk (0x2000UL) /*!< PE PE_BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR12_Pos (12UL) /*!< PE PE_BCR: BCR12 (Bit 12) */ +#define PE_PE_BCR_BCR12_Msk (0x1000UL) /*!< PE PE_BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR11_Pos (11UL) /*!< PE PE_BCR: BCR11 (Bit 11) */ +#define PE_PE_BCR_BCR11_Msk (0x800UL) /*!< PE PE_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR10_Pos (10UL) /*!< PE PE_BCR: BCR10 (Bit 10) */ +#define PE_PE_BCR_BCR10_Msk (0x400UL) /*!< PE PE_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR9_Pos (9UL) /*!< PE PE_BCR: BCR9 (Bit 9) */ +#define PE_PE_BCR_BCR9_Msk (0x200UL) /*!< PE PE_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR8_Pos (8UL) /*!< PE PE_BCR: BCR8 (Bit 8) */ +#define PE_PE_BCR_BCR8_Msk (0x100UL) /*!< PE PE_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR7_Pos (7UL) /*!< PE PE_BCR: BCR7 (Bit 7) */ +#define PE_PE_BCR_BCR7_Msk (0x80UL) /*!< PE PE_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR6_Pos (6UL) /*!< PE PE_BCR: BCR6 (Bit 6) */ +#define PE_PE_BCR_BCR6_Msk (0x40UL) /*!< PE PE_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR5_Pos (5UL) /*!< PE PE_BCR: BCR5 (Bit 5) */ +#define PE_PE_BCR_BCR5_Msk (0x20UL) /*!< PE PE_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR4_Pos (4UL) /*!< PE PE_BCR: BCR4 (Bit 4) */ +#define PE_PE_BCR_BCR4_Msk (0x10UL) /*!< PE PE_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR3_Pos (3UL) /*!< PE PE_BCR: BCR3 (Bit 3) */ +#define PE_PE_BCR_BCR3_Msk (0x8UL) /*!< PE PE_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR2_Pos (2UL) /*!< PE PE_BCR: BCR2 (Bit 2) */ +#define PE_PE_BCR_BCR2_Msk (0x4UL) /*!< PE PE_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR1_Pos (1UL) /*!< PE PE_BCR: BCR1 (Bit 1) */ +#define PE_PE_BCR_BCR1_Msk (0x2UL) /*!< PE PE_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR0_Pos (0UL) /*!< PE PE_BCR: BCR0 (Bit 0) */ +#define PE_PE_BCR_BCR0_Msk (0x1UL) /*!< PE PE_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PE_OUTDMSK ======================================================= */ +#define PE_PE_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PE PE_OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PE_PE_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PE PE_OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PE PE_OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PE_PE_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PE PE_OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PE PE_OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PE_PE_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PE PE_OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PE PE_OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PE_PE_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PE PE_OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PE PE_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PE_PE_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PE PE_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PE PE_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PE_PE_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PE PE_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PE PE_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PE_PE_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PE PE_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PE PE_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PE_PE_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PE PE_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PE PE_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PE_PE_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PE PE_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PE PE_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PE_PE_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PE PE_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PE PE_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PE_PE_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PE PE_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PE PE_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PE_PE_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PE PE_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PE PE_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PE_PE_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PE PE_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PE PE_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PE_PE_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PE PE_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PE PE_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PE_PE_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PE PE_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PE PE_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PE_PE_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PE PE_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PE_DBCR ======================================================== */ +#define PE_PE_DBCR_DBCLK_Pos (16UL) /*!< PE PE_DBCR: DBCLK (Bit 16) */ +#define PE_PE_DBCR_DBCLK_Msk (0x70000UL) /*!< PE PE_DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PE_PE_DBCR_DBEN3_Pos (3UL) /*!< PE PE_DBCR: DBEN3 (Bit 3) */ +#define PE_PE_DBCR_DBEN3_Msk (0x8UL) /*!< PE PE_DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PE_PE_DBCR_DBEN2_Pos (2UL) /*!< PE PE_DBCR: DBEN2 (Bit 2) */ +#define PE_PE_DBCR_DBEN2_Msk (0x4UL) /*!< PE PE_DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PE_PE_DBCR_DBEN1_Pos (1UL) /*!< PE PE_DBCR: DBEN1 (Bit 1) */ +#define PE_PE_DBCR_DBEN1_Msk (0x2UL) /*!< PE PE_DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PE_PE_DBCR_DBEN0_Pos (0UL) /*!< PE PE_DBCR: DBEN0 (Bit 0) */ +#define PE_PE_DBCR_DBEN0_Msk (0x1UL) /*!< PE PE_DBCR: DBEN0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PF ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PF_MOD_MODE15_Pos (30UL) /*!< PF MOD: MODE15 (Bit 30) */ +#define PF_MOD_MODE15_Msk (0xc0000000UL) /*!< PF MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE14_Pos (28UL) /*!< PF MOD: MODE14 (Bit 28) */ +#define PF_MOD_MODE14_Msk (0x30000000UL) /*!< PF MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE13_Pos (26UL) /*!< PF MOD: MODE13 (Bit 26) */ +#define PF_MOD_MODE13_Msk (0xc000000UL) /*!< PF MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE12_Pos (24UL) /*!< PF MOD: MODE12 (Bit 24) */ +#define PF_MOD_MODE12_Msk (0x3000000UL) /*!< PF MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE11_Pos (22UL) /*!< PF MOD: MODE11 (Bit 22) */ +#define PF_MOD_MODE11_Msk (0xc00000UL) /*!< PF MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE10_Pos (20UL) /*!< PF MOD: MODE10 (Bit 20) */ +#define PF_MOD_MODE10_Msk (0x300000UL) /*!< PF MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE9_Pos (18UL) /*!< PF MOD: MODE9 (Bit 18) */ +#define PF_MOD_MODE9_Msk (0xc0000UL) /*!< PF MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE8_Pos (16UL) /*!< PF MOD: MODE8 (Bit 16) */ +#define PF_MOD_MODE8_Msk (0x30000UL) /*!< PF MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE7_Pos (14UL) /*!< PF MOD: MODE7 (Bit 14) */ +#define PF_MOD_MODE7_Msk (0xc000UL) /*!< PF MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE6_Pos (12UL) /*!< PF MOD: MODE6 (Bit 12) */ +#define PF_MOD_MODE6_Msk (0x3000UL) /*!< PF MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE5_Pos (10UL) /*!< PF MOD: MODE5 (Bit 10) */ +#define PF_MOD_MODE5_Msk (0xc00UL) /*!< PF MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE4_Pos (8UL) /*!< PF MOD: MODE4 (Bit 8) */ +#define PF_MOD_MODE4_Msk (0x300UL) /*!< PF MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE3_Pos (6UL) /*!< PF MOD: MODE3 (Bit 6) */ +#define PF_MOD_MODE3_Msk (0xc0UL) /*!< PF MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE2_Pos (4UL) /*!< PF MOD: MODE2 (Bit 4) */ +#define PF_MOD_MODE2_Msk (0x30UL) /*!< PF MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE1_Pos (2UL) /*!< PF MOD: MODE1 (Bit 2) */ +#define PF_MOD_MODE1_Msk (0xcUL) /*!< PF MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE0_Pos (0UL) /*!< PF MOD: MODE0 (Bit 0) */ +#define PF_MOD_MODE0_Msk (0x3UL) /*!< PF MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PF_TYP_TYP15_Pos (15UL) /*!< PF TYP: TYP15 (Bit 15) */ +#define PF_TYP_TYP15_Msk (0x8000UL) /*!< PF TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP14_Pos (14UL) /*!< PF TYP: TYP14 (Bit 14) */ +#define PF_TYP_TYP14_Msk (0x4000UL) /*!< PF TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP13_Pos (13UL) /*!< PF TYP: TYP13 (Bit 13) */ +#define PF_TYP_TYP13_Msk (0x2000UL) /*!< PF TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP12_Pos (12UL) /*!< PF TYP: TYP12 (Bit 12) */ +#define PF_TYP_TYP12_Msk (0x1000UL) /*!< PF TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP11_Pos (11UL) /*!< PF TYP: TYP11 (Bit 11) */ +#define PF_TYP_TYP11_Msk (0x800UL) /*!< PF TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP10_Pos (10UL) /*!< PF TYP: TYP10 (Bit 10) */ +#define PF_TYP_TYP10_Msk (0x400UL) /*!< PF TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP9_Pos (9UL) /*!< PF TYP: TYP9 (Bit 9) */ +#define PF_TYP_TYP9_Msk (0x200UL) /*!< PF TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP8_Pos (8UL) /*!< PF TYP: TYP8 (Bit 8) */ +#define PF_TYP_TYP8_Msk (0x100UL) /*!< PF TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP7_Pos (7UL) /*!< PF TYP: TYP7 (Bit 7) */ +#define PF_TYP_TYP7_Msk (0x80UL) /*!< PF TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP6_Pos (6UL) /*!< PF TYP: TYP6 (Bit 6) */ +#define PF_TYP_TYP6_Msk (0x40UL) /*!< PF TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP5_Pos (5UL) /*!< PF TYP: TYP5 (Bit 5) */ +#define PF_TYP_TYP5_Msk (0x20UL) /*!< PF TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP4_Pos (4UL) /*!< PF TYP: TYP4 (Bit 4) */ +#define PF_TYP_TYP4_Msk (0x10UL) /*!< PF TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP3_Pos (3UL) /*!< PF TYP: TYP3 (Bit 3) */ +#define PF_TYP_TYP3_Msk (0x8UL) /*!< PF TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP2_Pos (2UL) /*!< PF TYP: TYP2 (Bit 2) */ +#define PF_TYP_TYP2_Msk (0x4UL) /*!< PF TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP1_Pos (1UL) /*!< PF TYP: TYP1 (Bit 1) */ +#define PF_TYP_TYP1_Msk (0x2UL) /*!< PF TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP0_Pos (0UL) /*!< PF TYP: TYP0 (Bit 0) */ +#define PF_TYP_TYP0_Msk (0x1UL) /*!< PF TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PF_AFSR1_AFSR7_Pos (28UL) /*!< PF AFSR1: AFSR7 (Bit 28) */ +#define PF_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PF AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR6_Pos (24UL) /*!< PF AFSR1: AFSR6 (Bit 24) */ +#define PF_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PF AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR5_Pos (20UL) /*!< PF AFSR1: AFSR5 (Bit 20) */ +#define PF_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PF AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR4_Pos (16UL) /*!< PF AFSR1: AFSR4 (Bit 16) */ +#define PF_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PF AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR3_Pos (12UL) /*!< PF AFSR1: AFSR3 (Bit 12) */ +#define PF_AFSR1_AFSR3_Msk (0xf000UL) /*!< PF AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR2_Pos (8UL) /*!< PF AFSR1: AFSR2 (Bit 8) */ +#define PF_AFSR1_AFSR2_Msk (0xf00UL) /*!< PF AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR1_Pos (4UL) /*!< PF AFSR1: AFSR1 (Bit 4) */ +#define PF_AFSR1_AFSR1_Msk (0xf0UL) /*!< PF AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR0_Pos (0UL) /*!< PF AFSR1: AFSR0 (Bit 0) */ +#define PF_AFSR1_AFSR0_Msk (0xfUL) /*!< PF AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PF_AFSR2_AFSR15_Pos (28UL) /*!< PF AFSR2: AFSR15 (Bit 28) */ +#define PF_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PF AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR14_Pos (24UL) /*!< PF AFSR2: AFSR14 (Bit 24) */ +#define PF_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PF AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR13_Pos (20UL) /*!< PF AFSR2: AFSR13 (Bit 20) */ +#define PF_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PF AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR12_Pos (16UL) /*!< PF AFSR2: AFSR12 (Bit 16) */ +#define PF_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PF AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR11_Pos (12UL) /*!< PF AFSR2: AFSR11 (Bit 12) */ +#define PF_AFSR2_AFSR11_Msk (0xf000UL) /*!< PF AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR10_Pos (8UL) /*!< PF AFSR2: AFSR10 (Bit 8) */ +#define PF_AFSR2_AFSR10_Msk (0xf00UL) /*!< PF AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR9_Pos (4UL) /*!< PF AFSR2: AFSR9 (Bit 4) */ +#define PF_AFSR2_AFSR9_Msk (0xf0UL) /*!< PF AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR8_Pos (0UL) /*!< PF AFSR2: AFSR8 (Bit 0) */ +#define PF_AFSR2_AFSR8_Msk (0xfUL) /*!< PF AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PF_PUPD_PUPD15_Pos (30UL) /*!< PF PUPD: PUPD15 (Bit 30) */ +#define PF_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PF PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD14_Pos (28UL) /*!< PF PUPD: PUPD14 (Bit 28) */ +#define PF_PUPD_PUPD14_Msk (0x30000000UL) /*!< PF PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD13_Pos (26UL) /*!< PF PUPD: PUPD13 (Bit 26) */ +#define PF_PUPD_PUPD13_Msk (0xc000000UL) /*!< PF PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD12_Pos (24UL) /*!< PF PUPD: PUPD12 (Bit 24) */ +#define PF_PUPD_PUPD12_Msk (0x3000000UL) /*!< PF PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD11_Pos (22UL) /*!< PF PUPD: PUPD11 (Bit 22) */ +#define PF_PUPD_PUPD11_Msk (0xc00000UL) /*!< PF PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD10_Pos (20UL) /*!< PF PUPD: PUPD10 (Bit 20) */ +#define PF_PUPD_PUPD10_Msk (0x300000UL) /*!< PF PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD9_Pos (18UL) /*!< PF PUPD: PUPD9 (Bit 18) */ +#define PF_PUPD_PUPD9_Msk (0xc0000UL) /*!< PF PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD8_Pos (16UL) /*!< PF PUPD: PUPD8 (Bit 16) */ +#define PF_PUPD_PUPD8_Msk (0x30000UL) /*!< PF PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD7_Pos (14UL) /*!< PF PUPD: PUPD7 (Bit 14) */ +#define PF_PUPD_PUPD7_Msk (0xc000UL) /*!< PF PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD6_Pos (12UL) /*!< PF PUPD: PUPD6 (Bit 12) */ +#define PF_PUPD_PUPD6_Msk (0x3000UL) /*!< PF PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD5_Pos (10UL) /*!< PF PUPD: PUPD5 (Bit 10) */ +#define PF_PUPD_PUPD5_Msk (0xc00UL) /*!< PF PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD4_Pos (8UL) /*!< PF PUPD: PUPD4 (Bit 8) */ +#define PF_PUPD_PUPD4_Msk (0x300UL) /*!< PF PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD3_Pos (6UL) /*!< PF PUPD: PUPD3 (Bit 6) */ +#define PF_PUPD_PUPD3_Msk (0xc0UL) /*!< PF PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD2_Pos (4UL) /*!< PF PUPD: PUPD2 (Bit 4) */ +#define PF_PUPD_PUPD2_Msk (0x30UL) /*!< PF PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD1_Pos (2UL) /*!< PF PUPD: PUPD1 (Bit 2) */ +#define PF_PUPD_PUPD1_Msk (0xcUL) /*!< PF PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD0_Pos (0UL) /*!< PF PUPD: PUPD0 (Bit 0) */ +#define PF_PUPD_PUPD0_Msk (0x3UL) /*!< PF PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PF_INDR_INDR15_Pos (15UL) /*!< PF INDR: INDR15 (Bit 15) */ +#define PF_INDR_INDR15_Msk (0x8000UL) /*!< PF INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR14_Pos (14UL) /*!< PF INDR: INDR14 (Bit 14) */ +#define PF_INDR_INDR14_Msk (0x4000UL) /*!< PF INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR13_Pos (13UL) /*!< PF INDR: INDR13 (Bit 13) */ +#define PF_INDR_INDR13_Msk (0x2000UL) /*!< PF INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR12_Pos (12UL) /*!< PF INDR: INDR12 (Bit 12) */ +#define PF_INDR_INDR12_Msk (0x1000UL) /*!< PF INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR11_Pos (11UL) /*!< PF INDR: INDR11 (Bit 11) */ +#define PF_INDR_INDR11_Msk (0x800UL) /*!< PF INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR10_Pos (10UL) /*!< PF INDR: INDR10 (Bit 10) */ +#define PF_INDR_INDR10_Msk (0x400UL) /*!< PF INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR9_Pos (9UL) /*!< PF INDR: INDR9 (Bit 9) */ +#define PF_INDR_INDR9_Msk (0x200UL) /*!< PF INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR8_Pos (8UL) /*!< PF INDR: INDR8 (Bit 8) */ +#define PF_INDR_INDR8_Msk (0x100UL) /*!< PF INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR7_Pos (7UL) /*!< PF INDR: INDR7 (Bit 7) */ +#define PF_INDR_INDR7_Msk (0x80UL) /*!< PF INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR6_Pos (6UL) /*!< PF INDR: INDR6 (Bit 6) */ +#define PF_INDR_INDR6_Msk (0x40UL) /*!< PF INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR5_Pos (5UL) /*!< PF INDR: INDR5 (Bit 5) */ +#define PF_INDR_INDR5_Msk (0x20UL) /*!< PF INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR4_Pos (4UL) /*!< PF INDR: INDR4 (Bit 4) */ +#define PF_INDR_INDR4_Msk (0x10UL) /*!< PF INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR3_Pos (3UL) /*!< PF INDR: INDR3 (Bit 3) */ +#define PF_INDR_INDR3_Msk (0x8UL) /*!< PF INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR2_Pos (2UL) /*!< PF INDR: INDR2 (Bit 2) */ +#define PF_INDR_INDR2_Msk (0x4UL) /*!< PF INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR1_Pos (1UL) /*!< PF INDR: INDR1 (Bit 1) */ +#define PF_INDR_INDR1_Msk (0x2UL) /*!< PF INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR0_Pos (0UL) /*!< PF INDR: INDR0 (Bit 0) */ +#define PF_INDR_INDR0_Msk (0x1UL) /*!< PF INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PF_OUTDR_OUTDR15_Pos (15UL) /*!< PF OUTDR: OUTDR15 (Bit 15) */ +#define PF_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PF OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR14_Pos (14UL) /*!< PF OUTDR: OUTDR14 (Bit 14) */ +#define PF_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PF OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR13_Pos (13UL) /*!< PF OUTDR: OUTDR13 (Bit 13) */ +#define PF_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PF OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR12_Pos (12UL) /*!< PF OUTDR: OUTDR12 (Bit 12) */ +#define PF_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PF OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR11_Pos (11UL) /*!< PF OUTDR: OUTDR11 (Bit 11) */ +#define PF_OUTDR_OUTDR11_Msk (0x800UL) /*!< PF OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR10_Pos (10UL) /*!< PF OUTDR: OUTDR10 (Bit 10) */ +#define PF_OUTDR_OUTDR10_Msk (0x400UL) /*!< PF OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR9_Pos (9UL) /*!< PF OUTDR: OUTDR9 (Bit 9) */ +#define PF_OUTDR_OUTDR9_Msk (0x200UL) /*!< PF OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR8_Pos (8UL) /*!< PF OUTDR: OUTDR8 (Bit 8) */ +#define PF_OUTDR_OUTDR8_Msk (0x100UL) /*!< PF OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR7_Pos (7UL) /*!< PF OUTDR: OUTDR7 (Bit 7) */ +#define PF_OUTDR_OUTDR7_Msk (0x80UL) /*!< PF OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR6_Pos (6UL) /*!< PF OUTDR: OUTDR6 (Bit 6) */ +#define PF_OUTDR_OUTDR6_Msk (0x40UL) /*!< PF OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR5_Pos (5UL) /*!< PF OUTDR: OUTDR5 (Bit 5) */ +#define PF_OUTDR_OUTDR5_Msk (0x20UL) /*!< PF OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR4_Pos (4UL) /*!< PF OUTDR: OUTDR4 (Bit 4) */ +#define PF_OUTDR_OUTDR4_Msk (0x10UL) /*!< PF OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR3_Pos (3UL) /*!< PF OUTDR: OUTDR3 (Bit 3) */ +#define PF_OUTDR_OUTDR3_Msk (0x8UL) /*!< PF OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR2_Pos (2UL) /*!< PF OUTDR: OUTDR2 (Bit 2) */ +#define PF_OUTDR_OUTDR2_Msk (0x4UL) /*!< PF OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR1_Pos (1UL) /*!< PF OUTDR: OUTDR1 (Bit 1) */ +#define PF_OUTDR_OUTDR1_Msk (0x2UL) /*!< PF OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR0_Pos (0UL) /*!< PF OUTDR: OUTDR0 (Bit 0) */ +#define PF_OUTDR_OUTDR0_Msk (0x1UL) /*!< PF OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PF_BSR_BSR15_Pos (15UL) /*!< PF BSR: BSR15 (Bit 15) */ +#define PF_BSR_BSR15_Msk (0x8000UL) /*!< PF BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR14_Pos (14UL) /*!< PF BSR: BSR14 (Bit 14) */ +#define PF_BSR_BSR14_Msk (0x4000UL) /*!< PF BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR13_Pos (13UL) /*!< PF BSR: BSR13 (Bit 13) */ +#define PF_BSR_BSR13_Msk (0x2000UL) /*!< PF BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR12_Pos (12UL) /*!< PF BSR: BSR12 (Bit 12) */ +#define PF_BSR_BSR12_Msk (0x1000UL) /*!< PF BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR11_Pos (11UL) /*!< PF BSR: BSR11 (Bit 11) */ +#define PF_BSR_BSR11_Msk (0x800UL) /*!< PF BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR10_Pos (10UL) /*!< PF BSR: BSR10 (Bit 10) */ +#define PF_BSR_BSR10_Msk (0x400UL) /*!< PF BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR9_Pos (9UL) /*!< PF BSR: BSR9 (Bit 9) */ +#define PF_BSR_BSR9_Msk (0x200UL) /*!< PF BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR8_Pos (8UL) /*!< PF BSR: BSR8 (Bit 8) */ +#define PF_BSR_BSR8_Msk (0x100UL) /*!< PF BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR7_Pos (7UL) /*!< PF BSR: BSR7 (Bit 7) */ +#define PF_BSR_BSR7_Msk (0x80UL) /*!< PF BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR6_Pos (6UL) /*!< PF BSR: BSR6 (Bit 6) */ +#define PF_BSR_BSR6_Msk (0x40UL) /*!< PF BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR5_Pos (5UL) /*!< PF BSR: BSR5 (Bit 5) */ +#define PF_BSR_BSR5_Msk (0x20UL) /*!< PF BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR4_Pos (4UL) /*!< PF BSR: BSR4 (Bit 4) */ +#define PF_BSR_BSR4_Msk (0x10UL) /*!< PF BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR3_Pos (3UL) /*!< PF BSR: BSR3 (Bit 3) */ +#define PF_BSR_BSR3_Msk (0x8UL) /*!< PF BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR2_Pos (2UL) /*!< PF BSR: BSR2 (Bit 2) */ +#define PF_BSR_BSR2_Msk (0x4UL) /*!< PF BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR1_Pos (1UL) /*!< PF BSR: BSR1 (Bit 1) */ +#define PF_BSR_BSR1_Msk (0x2UL) /*!< PF BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR0_Pos (0UL) /*!< PF BSR: BSR0 (Bit 0) */ +#define PF_BSR_BSR0_Msk (0x1UL) /*!< PF BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PF_BCR_BCR15_Pos (15UL) /*!< PF BCR: BCR15 (Bit 15) */ +#define PF_BCR_BCR15_Msk (0x8000UL) /*!< PF BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR14_Pos (14UL) /*!< PF BCR: BCR14 (Bit 14) */ +#define PF_BCR_BCR14_Msk (0x4000UL) /*!< PF BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR13_Pos (13UL) /*!< PF BCR: BCR13 (Bit 13) */ +#define PF_BCR_BCR13_Msk (0x2000UL) /*!< PF BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR12_Pos (12UL) /*!< PF BCR: BCR12 (Bit 12) */ +#define PF_BCR_BCR12_Msk (0x1000UL) /*!< PF BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR11_Pos (11UL) /*!< PF BCR: BCR11 (Bit 11) */ +#define PF_BCR_BCR11_Msk (0x800UL) /*!< PF BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR10_Pos (10UL) /*!< PF BCR: BCR10 (Bit 10) */ +#define PF_BCR_BCR10_Msk (0x400UL) /*!< PF BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR9_Pos (9UL) /*!< PF BCR: BCR9 (Bit 9) */ +#define PF_BCR_BCR9_Msk (0x200UL) /*!< PF BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR8_Pos (8UL) /*!< PF BCR: BCR8 (Bit 8) */ +#define PF_BCR_BCR8_Msk (0x100UL) /*!< PF BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR7_Pos (7UL) /*!< PF BCR: BCR7 (Bit 7) */ +#define PF_BCR_BCR7_Msk (0x80UL) /*!< PF BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR6_Pos (6UL) /*!< PF BCR: BCR6 (Bit 6) */ +#define PF_BCR_BCR6_Msk (0x40UL) /*!< PF BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR5_Pos (5UL) /*!< PF BCR: BCR5 (Bit 5) */ +#define PF_BCR_BCR5_Msk (0x20UL) /*!< PF BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR4_Pos (4UL) /*!< PF BCR: BCR4 (Bit 4) */ +#define PF_BCR_BCR4_Msk (0x10UL) /*!< PF BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR3_Pos (3UL) /*!< PF BCR: BCR3 (Bit 3) */ +#define PF_BCR_BCR3_Msk (0x8UL) /*!< PF BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR2_Pos (2UL) /*!< PF BCR: BCR2 (Bit 2) */ +#define PF_BCR_BCR2_Msk (0x4UL) /*!< PF BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR1_Pos (1UL) /*!< PF BCR: BCR1 (Bit 1) */ +#define PF_BCR_BCR1_Msk (0x2UL) /*!< PF BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR0_Pos (0UL) /*!< PF BCR: BCR0 (Bit 0) */ +#define PF_BCR_BCR0_Msk (0x1UL) /*!< PF BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PF_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PF OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PF_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PF OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PF OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PF_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PF OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PF OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PF_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PF OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PF OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PF_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PF OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PF OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PF_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PF OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PF OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PF_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PF OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PF OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PF_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PF OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PF OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PF_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PF OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PF OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PF_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PF OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PF OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PF_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PF OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PF OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PF_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PF OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PF OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PF_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PF OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PF OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PF_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PF OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PF OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PF_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PF OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PF OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PF_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PF OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PF OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PF_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PF OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PF_DBCR_DBCLK_Pos (16UL) /*!< PF DBCR: DBCLK (Bit 16) */ +#define PF_DBCR_DBCLK_Msk (0x70000UL) /*!< PF DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PF_DBCR_DBEN11_Pos (11UL) /*!< PF DBCR: DBEN11 (Bit 11) */ +#define PF_DBCR_DBEN11_Msk (0x800UL) /*!< PF DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN10_Pos (10UL) /*!< PF DBCR: DBEN10 (Bit 10) */ +#define PF_DBCR_DBEN10_Msk (0x400UL) /*!< PF DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN9_Pos (9UL) /*!< PF DBCR: DBEN9 (Bit 9) */ +#define PF_DBCR_DBEN9_Msk (0x200UL) /*!< PF DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN8_Pos (8UL) /*!< PF DBCR: DBEN8 (Bit 8) */ +#define PF_DBCR_DBEN8_Msk (0x100UL) /*!< PF DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN7_Pos (7UL) /*!< PF DBCR: DBEN7 (Bit 7) */ +#define PF_DBCR_DBEN7_Msk (0x80UL) /*!< PF DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN6_Pos (6UL) /*!< PF DBCR: DBEN6 (Bit 6) */ +#define PF_DBCR_DBEN6_Msk (0x40UL) /*!< PF DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN5_Pos (5UL) /*!< PF DBCR: DBEN5 (Bit 5) */ +#define PF_DBCR_DBEN5_Msk (0x20UL) /*!< PF DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN4_Pos (4UL) /*!< PF DBCR: DBEN4 (Bit 4) */ +#define PF_DBCR_DBEN4_Msk (0x10UL) /*!< PF DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN3_Pos (3UL) /*!< PF DBCR: DBEN3 (Bit 3) */ +#define PF_DBCR_DBEN3_Msk (0x8UL) /*!< PF DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN2_Pos (2UL) /*!< PF DBCR: DBEN2 (Bit 2) */ +#define PF_DBCR_DBEN2_Msk (0x4UL) /*!< PF DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN1_Pos (1UL) /*!< PF DBCR: DBEN1 (Bit 1) */ +#define PF_DBCR_DBEN1_Msk (0x2UL) /*!< PF DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN0_Pos (0UL) /*!< PF DBCR: DBEN0 (Bit 0) */ +#define PF_DBCR_DBEN0_Msk (0x1UL) /*!< PF DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PF_MOD ========================================================= */ +#define PF_PF_MOD_MODE11_Pos (22UL) /*!< PF PF_MOD: MODE11 (Bit 22) */ +#define PF_PF_MOD_MODE11_Msk (0xc00000UL) /*!< PF PF_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE10_Pos (20UL) /*!< PF PF_MOD: MODE10 (Bit 20) */ +#define PF_PF_MOD_MODE10_Msk (0x300000UL) /*!< PF PF_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE9_Pos (18UL) /*!< PF PF_MOD: MODE9 (Bit 18) */ +#define PF_PF_MOD_MODE9_Msk (0xc0000UL) /*!< PF PF_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE8_Pos (16UL) /*!< PF PF_MOD: MODE8 (Bit 16) */ +#define PF_PF_MOD_MODE8_Msk (0x30000UL) /*!< PF PF_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE7_Pos (14UL) /*!< PF PF_MOD: MODE7 (Bit 14) */ +#define PF_PF_MOD_MODE7_Msk (0xc000UL) /*!< PF PF_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE6_Pos (12UL) /*!< PF PF_MOD: MODE6 (Bit 12) */ +#define PF_PF_MOD_MODE6_Msk (0x3000UL) /*!< PF PF_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE5_Pos (10UL) /*!< PF PF_MOD: MODE5 (Bit 10) */ +#define PF_PF_MOD_MODE5_Msk (0xc00UL) /*!< PF PF_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE4_Pos (8UL) /*!< PF PF_MOD: MODE4 (Bit 8) */ +#define PF_PF_MOD_MODE4_Msk (0x300UL) /*!< PF PF_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE3_Pos (6UL) /*!< PF PF_MOD: MODE3 (Bit 6) */ +#define PF_PF_MOD_MODE3_Msk (0xc0UL) /*!< PF PF_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE2_Pos (4UL) /*!< PF PF_MOD: MODE2 (Bit 4) */ +#define PF_PF_MOD_MODE2_Msk (0x30UL) /*!< PF PF_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE1_Pos (2UL) /*!< PF PF_MOD: MODE1 (Bit 2) */ +#define PF_PF_MOD_MODE1_Msk (0xcUL) /*!< PF PF_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE0_Pos (0UL) /*!< PF PF_MOD: MODE0 (Bit 0) */ +#define PF_PF_MOD_MODE0_Msk (0x3UL) /*!< PF PF_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PF_TYP ========================================================= */ +#define PF_PF_TYP_TYP11_Pos (11UL) /*!< PF PF_TYP: TYP11 (Bit 11) */ +#define PF_PF_TYP_TYP11_Msk (0x800UL) /*!< PF PF_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP10_Pos (10UL) /*!< PF PF_TYP: TYP10 (Bit 10) */ +#define PF_PF_TYP_TYP10_Msk (0x400UL) /*!< PF PF_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP9_Pos (9UL) /*!< PF PF_TYP: TYP9 (Bit 9) */ +#define PF_PF_TYP_TYP9_Msk (0x200UL) /*!< PF PF_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP8_Pos (8UL) /*!< PF PF_TYP: TYP8 (Bit 8) */ +#define PF_PF_TYP_TYP8_Msk (0x100UL) /*!< PF PF_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP7_Pos (7UL) /*!< PF PF_TYP: TYP7 (Bit 7) */ +#define PF_PF_TYP_TYP7_Msk (0x80UL) /*!< PF PF_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP6_Pos (6UL) /*!< PF PF_TYP: TYP6 (Bit 6) */ +#define PF_PF_TYP_TYP6_Msk (0x40UL) /*!< PF PF_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP5_Pos (5UL) /*!< PF PF_TYP: TYP5 (Bit 5) */ +#define PF_PF_TYP_TYP5_Msk (0x20UL) /*!< PF PF_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP4_Pos (4UL) /*!< PF PF_TYP: TYP4 (Bit 4) */ +#define PF_PF_TYP_TYP4_Msk (0x10UL) /*!< PF PF_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP3_Pos (3UL) /*!< PF PF_TYP: TYP3 (Bit 3) */ +#define PF_PF_TYP_TYP3_Msk (0x8UL) /*!< PF PF_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP2_Pos (2UL) /*!< PF PF_TYP: TYP2 (Bit 2) */ +#define PF_PF_TYP_TYP2_Msk (0x4UL) /*!< PF PF_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP1_Pos (1UL) /*!< PF PF_TYP: TYP1 (Bit 1) */ +#define PF_PF_TYP_TYP1_Msk (0x2UL) /*!< PF PF_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP0_Pos (0UL) /*!< PF PF_TYP: TYP0 (Bit 0) */ +#define PF_PF_TYP_TYP0_Msk (0x1UL) /*!< PF PF_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PF_AFSR1 ======================================================== */ +#define PF_PF_AFSR1_AFSR7_Pos (28UL) /*!< PF PF_AFSR1: AFSR7 (Bit 28) */ +#define PF_PF_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PF PF_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR6_Pos (24UL) /*!< PF PF_AFSR1: AFSR6 (Bit 24) */ +#define PF_PF_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PF PF_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR5_Pos (20UL) /*!< PF PF_AFSR1: AFSR5 (Bit 20) */ +#define PF_PF_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PF PF_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR4_Pos (16UL) /*!< PF PF_AFSR1: AFSR4 (Bit 16) */ +#define PF_PF_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PF PF_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR3_Pos (12UL) /*!< PF PF_AFSR1: AFSR3 (Bit 12) */ +#define PF_PF_AFSR1_AFSR3_Msk (0xf000UL) /*!< PF PF_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR2_Pos (8UL) /*!< PF PF_AFSR1: AFSR2 (Bit 8) */ +#define PF_PF_AFSR1_AFSR2_Msk (0xf00UL) /*!< PF PF_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR1_Pos (4UL) /*!< PF PF_AFSR1: AFSR1 (Bit 4) */ +#define PF_PF_AFSR1_AFSR1_Msk (0xf0UL) /*!< PF PF_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR0_Pos (0UL) /*!< PF PF_AFSR1: AFSR0 (Bit 0) */ +#define PF_PF_AFSR1_AFSR0_Msk (0xfUL) /*!< PF PF_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PF_AFSR2 ======================================================== */ +#define PF_PF_AFSR2_AFSR11_Pos (12UL) /*!< PF PF_AFSR2: AFSR11 (Bit 12) */ +#define PF_PF_AFSR2_AFSR11_Msk (0xf000UL) /*!< PF PF_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR2_AFSR10_Pos (8UL) /*!< PF PF_AFSR2: AFSR10 (Bit 8) */ +#define PF_PF_AFSR2_AFSR10_Msk (0xf00UL) /*!< PF PF_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR2_AFSR9_Pos (4UL) /*!< PF PF_AFSR2: AFSR9 (Bit 4) */ +#define PF_PF_AFSR2_AFSR9_Msk (0xf0UL) /*!< PF PF_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR2_AFSR8_Pos (0UL) /*!< PF PF_AFSR2: AFSR8 (Bit 0) */ +#define PF_PF_AFSR2_AFSR8_Msk (0xfUL) /*!< PF PF_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PF_PUPD ======================================================== */ +#define PF_PF_PUPD_PUPD11_Pos (22UL) /*!< PF PF_PUPD: PUPD11 (Bit 22) */ +#define PF_PF_PUPD_PUPD11_Msk (0xc00000UL) /*!< PF PF_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD10_Pos (20UL) /*!< PF PF_PUPD: PUPD10 (Bit 20) */ +#define PF_PF_PUPD_PUPD10_Msk (0x300000UL) /*!< PF PF_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD9_Pos (18UL) /*!< PF PF_PUPD: PUPD9 (Bit 18) */ +#define PF_PF_PUPD_PUPD9_Msk (0xc0000UL) /*!< PF PF_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD8_Pos (16UL) /*!< PF PF_PUPD: PUPD8 (Bit 16) */ +#define PF_PF_PUPD_PUPD8_Msk (0x30000UL) /*!< PF PF_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD7_Pos (14UL) /*!< PF PF_PUPD: PUPD7 (Bit 14) */ +#define PF_PF_PUPD_PUPD7_Msk (0xc000UL) /*!< PF PF_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD6_Pos (12UL) /*!< PF PF_PUPD: PUPD6 (Bit 12) */ +#define PF_PF_PUPD_PUPD6_Msk (0x3000UL) /*!< PF PF_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD5_Pos (10UL) /*!< PF PF_PUPD: PUPD5 (Bit 10) */ +#define PF_PF_PUPD_PUPD5_Msk (0xc00UL) /*!< PF PF_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD4_Pos (8UL) /*!< PF PF_PUPD: PUPD4 (Bit 8) */ +#define PF_PF_PUPD_PUPD4_Msk (0x300UL) /*!< PF PF_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD3_Pos (6UL) /*!< PF PF_PUPD: PUPD3 (Bit 6) */ +#define PF_PF_PUPD_PUPD3_Msk (0xc0UL) /*!< PF PF_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD2_Pos (4UL) /*!< PF PF_PUPD: PUPD2 (Bit 4) */ +#define PF_PF_PUPD_PUPD2_Msk (0x30UL) /*!< PF PF_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD1_Pos (2UL) /*!< PF PF_PUPD: PUPD1 (Bit 2) */ +#define PF_PF_PUPD_PUPD1_Msk (0xcUL) /*!< PF PF_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD0_Pos (0UL) /*!< PF PF_PUPD: PUPD0 (Bit 0) */ +#define PF_PF_PUPD_PUPD0_Msk (0x3UL) /*!< PF PF_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PF_INDR ======================================================== */ +#define PF_PF_INDR_INDR11_Pos (11UL) /*!< PF PF_INDR: INDR11 (Bit 11) */ +#define PF_PF_INDR_INDR11_Msk (0x800UL) /*!< PF PF_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR10_Pos (10UL) /*!< PF PF_INDR: INDR10 (Bit 10) */ +#define PF_PF_INDR_INDR10_Msk (0x400UL) /*!< PF PF_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR9_Pos (9UL) /*!< PF PF_INDR: INDR9 (Bit 9) */ +#define PF_PF_INDR_INDR9_Msk (0x200UL) /*!< PF PF_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR8_Pos (8UL) /*!< PF PF_INDR: INDR8 (Bit 8) */ +#define PF_PF_INDR_INDR8_Msk (0x100UL) /*!< PF PF_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR7_Pos (7UL) /*!< PF PF_INDR: INDR7 (Bit 7) */ +#define PF_PF_INDR_INDR7_Msk (0x80UL) /*!< PF PF_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR6_Pos (6UL) /*!< PF PF_INDR: INDR6 (Bit 6) */ +#define PF_PF_INDR_INDR6_Msk (0x40UL) /*!< PF PF_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR5_Pos (5UL) /*!< PF PF_INDR: INDR5 (Bit 5) */ +#define PF_PF_INDR_INDR5_Msk (0x20UL) /*!< PF PF_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR4_Pos (4UL) /*!< PF PF_INDR: INDR4 (Bit 4) */ +#define PF_PF_INDR_INDR4_Msk (0x10UL) /*!< PF PF_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR3_Pos (3UL) /*!< PF PF_INDR: INDR3 (Bit 3) */ +#define PF_PF_INDR_INDR3_Msk (0x8UL) /*!< PF PF_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR2_Pos (2UL) /*!< PF PF_INDR: INDR2 (Bit 2) */ +#define PF_PF_INDR_INDR2_Msk (0x4UL) /*!< PF PF_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR1_Pos (1UL) /*!< PF PF_INDR: INDR1 (Bit 1) */ +#define PF_PF_INDR_INDR1_Msk (0x2UL) /*!< PF PF_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR0_Pos (0UL) /*!< PF PF_INDR: INDR0 (Bit 0) */ +#define PF_PF_INDR_INDR0_Msk (0x1UL) /*!< PF PF_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PF_OUTDR ======================================================== */ +#define PF_PF_OUTDR_OUTDR11_Pos (11UL) /*!< PF PF_OUTDR: OUTDR11 (Bit 11) */ +#define PF_PF_OUTDR_OUTDR11_Msk (0x800UL) /*!< PF PF_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR10_Pos (10UL) /*!< PF PF_OUTDR: OUTDR10 (Bit 10) */ +#define PF_PF_OUTDR_OUTDR10_Msk (0x400UL) /*!< PF PF_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR9_Pos (9UL) /*!< PF PF_OUTDR: OUTDR9 (Bit 9) */ +#define PF_PF_OUTDR_OUTDR9_Msk (0x200UL) /*!< PF PF_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR8_Pos (8UL) /*!< PF PF_OUTDR: OUTDR8 (Bit 8) */ +#define PF_PF_OUTDR_OUTDR8_Msk (0x100UL) /*!< PF PF_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR7_Pos (7UL) /*!< PF PF_OUTDR: OUTDR7 (Bit 7) */ +#define PF_PF_OUTDR_OUTDR7_Msk (0x80UL) /*!< PF PF_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR6_Pos (6UL) /*!< PF PF_OUTDR: OUTDR6 (Bit 6) */ +#define PF_PF_OUTDR_OUTDR6_Msk (0x40UL) /*!< PF PF_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR5_Pos (5UL) /*!< PF PF_OUTDR: OUTDR5 (Bit 5) */ +#define PF_PF_OUTDR_OUTDR5_Msk (0x20UL) /*!< PF PF_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR4_Pos (4UL) /*!< PF PF_OUTDR: OUTDR4 (Bit 4) */ +#define PF_PF_OUTDR_OUTDR4_Msk (0x10UL) /*!< PF PF_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR3_Pos (3UL) /*!< PF PF_OUTDR: OUTDR3 (Bit 3) */ +#define PF_PF_OUTDR_OUTDR3_Msk (0x8UL) /*!< PF PF_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR2_Pos (2UL) /*!< PF PF_OUTDR: OUTDR2 (Bit 2) */ +#define PF_PF_OUTDR_OUTDR2_Msk (0x4UL) /*!< PF PF_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR1_Pos (1UL) /*!< PF PF_OUTDR: OUTDR1 (Bit 1) */ +#define PF_PF_OUTDR_OUTDR1_Msk (0x2UL) /*!< PF PF_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR0_Pos (0UL) /*!< PF PF_OUTDR: OUTDR0 (Bit 0) */ +#define PF_PF_OUTDR_OUTDR0_Msk (0x1UL) /*!< PF PF_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PF_BSR ========================================================= */ +#define PF_PF_BSR_BSR11_Pos (11UL) /*!< PF PF_BSR: BSR11 (Bit 11) */ +#define PF_PF_BSR_BSR11_Msk (0x800UL) /*!< PF PF_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR10_Pos (10UL) /*!< PF PF_BSR: BSR10 (Bit 10) */ +#define PF_PF_BSR_BSR10_Msk (0x400UL) /*!< PF PF_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR9_Pos (9UL) /*!< PF PF_BSR: BSR9 (Bit 9) */ +#define PF_PF_BSR_BSR9_Msk (0x200UL) /*!< PF PF_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR8_Pos (8UL) /*!< PF PF_BSR: BSR8 (Bit 8) */ +#define PF_PF_BSR_BSR8_Msk (0x100UL) /*!< PF PF_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR7_Pos (7UL) /*!< PF PF_BSR: BSR7 (Bit 7) */ +#define PF_PF_BSR_BSR7_Msk (0x80UL) /*!< PF PF_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR6_Pos (6UL) /*!< PF PF_BSR: BSR6 (Bit 6) */ +#define PF_PF_BSR_BSR6_Msk (0x40UL) /*!< PF PF_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR5_Pos (5UL) /*!< PF PF_BSR: BSR5 (Bit 5) */ +#define PF_PF_BSR_BSR5_Msk (0x20UL) /*!< PF PF_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR4_Pos (4UL) /*!< PF PF_BSR: BSR4 (Bit 4) */ +#define PF_PF_BSR_BSR4_Msk (0x10UL) /*!< PF PF_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR3_Pos (3UL) /*!< PF PF_BSR: BSR3 (Bit 3) */ +#define PF_PF_BSR_BSR3_Msk (0x8UL) /*!< PF PF_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR2_Pos (2UL) /*!< PF PF_BSR: BSR2 (Bit 2) */ +#define PF_PF_BSR_BSR2_Msk (0x4UL) /*!< PF PF_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR1_Pos (1UL) /*!< PF PF_BSR: BSR1 (Bit 1) */ +#define PF_PF_BSR_BSR1_Msk (0x2UL) /*!< PF PF_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR0_Pos (0UL) /*!< PF PF_BSR: BSR0 (Bit 0) */ +#define PF_PF_BSR_BSR0_Msk (0x1UL) /*!< PF PF_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PF_BCR ========================================================= */ +#define PF_PF_BCR_BCR11_Pos (11UL) /*!< PF PF_BCR: BCR11 (Bit 11) */ +#define PF_PF_BCR_BCR11_Msk (0x800UL) /*!< PF PF_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR10_Pos (10UL) /*!< PF PF_BCR: BCR10 (Bit 10) */ +#define PF_PF_BCR_BCR10_Msk (0x400UL) /*!< PF PF_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR9_Pos (9UL) /*!< PF PF_BCR: BCR9 (Bit 9) */ +#define PF_PF_BCR_BCR9_Msk (0x200UL) /*!< PF PF_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR8_Pos (8UL) /*!< PF PF_BCR: BCR8 (Bit 8) */ +#define PF_PF_BCR_BCR8_Msk (0x100UL) /*!< PF PF_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR7_Pos (7UL) /*!< PF PF_BCR: BCR7 (Bit 7) */ +#define PF_PF_BCR_BCR7_Msk (0x80UL) /*!< PF PF_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR6_Pos (6UL) /*!< PF PF_BCR: BCR6 (Bit 6) */ +#define PF_PF_BCR_BCR6_Msk (0x40UL) /*!< PF PF_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR5_Pos (5UL) /*!< PF PF_BCR: BCR5 (Bit 5) */ +#define PF_PF_BCR_BCR5_Msk (0x20UL) /*!< PF PF_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR4_Pos (4UL) /*!< PF PF_BCR: BCR4 (Bit 4) */ +#define PF_PF_BCR_BCR4_Msk (0x10UL) /*!< PF PF_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR3_Pos (3UL) /*!< PF PF_BCR: BCR3 (Bit 3) */ +#define PF_PF_BCR_BCR3_Msk (0x8UL) /*!< PF PF_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR2_Pos (2UL) /*!< PF PF_BCR: BCR2 (Bit 2) */ +#define PF_PF_BCR_BCR2_Msk (0x4UL) /*!< PF PF_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR1_Pos (1UL) /*!< PF PF_BCR: BCR1 (Bit 1) */ +#define PF_PF_BCR_BCR1_Msk (0x2UL) /*!< PF PF_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR0_Pos (0UL) /*!< PF PF_BCR: BCR0 (Bit 0) */ +#define PF_PF_BCR_BCR0_Msk (0x1UL) /*!< PF PF_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PF_OUTDMSK ======================================================= */ +#define PF_PF_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PF PF_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PF_PF_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PF PF_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PF PF_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PF_PF_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PF PF_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PF PF_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PF_PF_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PF PF_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PF PF_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PF_PF_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PF PF_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PF PF_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PF_PF_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PF PF_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PF PF_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PF_PF_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PF PF_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PF PF_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PF_PF_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PF PF_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PF PF_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PF_PF_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PF PF_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PF PF_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PF_PF_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PF PF_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PF PF_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PF_PF_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PF PF_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PF PF_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PF_PF_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PF PF_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PF PF_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PF_PF_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PF PF_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ FMC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== ADR ========================================================== */ +#define FMC_ADR_ADDR_Pos (0UL) /*!< FMC ADR: ADDR (Bit 0) */ +#define FMC_ADR_ADDR_Msk (0xffffffffUL) /*!< FMC ADR: ADDR (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= IDR1 ========================================================== */ +#define FMC_IDR1_ID1_Pos (0UL) /*!< FMC IDR1: ID1 (Bit 0) */ +#define FMC_IDR1_ID1_Msk (0xffffffffUL) /*!< FMC IDR1: ID1 (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= IDR2 ========================================================== */ +#define FMC_IDR2_ID2_Pos (0UL) /*!< FMC IDR2: ID2 (Bit 0) */ +#define FMC_IDR2_ID2_Msk (0xffffffffUL) /*!< FMC IDR2: ID2 (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== CR =========================================================== */ +#define FMC_CR_WTIDKY_Pos (16UL) /*!< FMC CR: WTIDKY (Bit 16) */ +#define FMC_CR_WTIDKY_Msk (0xffff0000UL) /*!< FMC CR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define FMC_CR_FMKEY_Pos (8UL) /*!< FMC CR: FMKEY (Bit 8) */ +#define FMC_CR_FMKEY_Msk (0xff00UL) /*!< FMC CR: FMKEY (Bitfield-Mask: 0xff) */ +#define FMC_CR_FMBUSY_Pos (7UL) /*!< FMC CR: FMBUSY (Bit 7) */ +#define FMC_CR_FMBUSY_Msk (0x80UL) /*!< FMC CR: FMBUSY (Bitfield-Mask: 0x01) */ +#define FMC_CR_FMOD_Pos (0UL) /*!< FMC CR: FMOD (Bit 0) */ +#define FMC_CR_FMOD_Msk (0xfUL) /*!< FMC CR: FMOD (Bitfield-Mask: 0x0f) */ +/* ========================================================== BCR ========================================================== */ +#define FMC_BCR_WTIDKY_Pos (16UL) /*!< FMC BCR: WTIDKY (Bit 16) */ +#define FMC_BCR_WTIDKY_Msk (0xffff0000UL) /*!< FMC BCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define FMC_BCR_CNF3BEN_Pos (8UL) /*!< FMC BCR: CNF3BEN (Bit 8) */ +#define FMC_BCR_CNF3BEN_Msk (0xf00UL) /*!< FMC BCR: CNF3BEN (Bitfield-Mask: 0x0f) */ +#define FMC_BCR_CNF2BEN_Pos (4UL) /*!< FMC BCR: CNF2BEN (Bit 4) */ +#define FMC_BCR_CNF2BEN_Msk (0xf0UL) /*!< FMC BCR: CNF2BEN (Bitfield-Mask: 0x0f) */ +#define FMC_BCR_CNF1BEN_Pos (0UL) /*!< FMC BCR: CNF1BEN (Bit 0) */ +#define FMC_BCR_CNF1BEN_Msk (0xfUL) /*!< FMC BCR: CNF1BEN (Bitfield-Mask: 0x0f) */ +/* ======================================================== ERFLAG ========================================================= */ +#define FMC_ERFLAG_INSTFLAG_Pos (1UL) /*!< FMC ERFLAG: INSTFLAG (Bit 1) */ +#define FMC_ERFLAG_INSTFLAG_Msk (0x2UL) /*!< FMC ERFLAG: INSTFLAG (Bitfield-Mask: 0x01) */ +#define FMC_ERFLAG_FMOPFLAG_Pos (0UL) /*!< FMC ERFLAG: FMOPFLAG (Bit 0) */ +#define FMC_ERFLAG_FMOPFLAG_Msk (0x1UL) /*!< FMC ERFLAG: FMOPFLAG (Bitfield-Mask: 0x01) */ +/* ======================================================== PAGEBUF ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ WDT ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define WDT_CR_WTIDKY_Pos (16UL) /*!< WDT CR: WTIDKY (Bit 16) */ +#define WDT_CR_WTIDKY_Msk (0xffff0000UL) /*!< WDT CR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define WDT_CR_RSTEN_Pos (10UL) /*!< WDT CR: RSTEN (Bit 10) */ +#define WDT_CR_RSTEN_Msk (0xfc00UL) /*!< WDT CR: RSTEN (Bitfield-Mask: 0x3f) */ +#define WDT_CR_CNTEN_Pos (4UL) /*!< WDT CR: CNTEN (Bit 4) */ +#define WDT_CR_CNTEN_Msk (0x3f0UL) /*!< WDT CR: CNTEN (Bitfield-Mask: 0x3f) */ +#define WDT_CR_WINMIEN_Pos (3UL) /*!< WDT CR: WINMIEN (Bit 3) */ +#define WDT_CR_WINMIEN_Msk (0x8UL) /*!< WDT CR: WINMIEN (Bitfield-Mask: 0x01) */ +#define WDT_CR_UNFIEN_Pos (2UL) /*!< WDT CR: UNFIEN (Bit 2) */ +#define WDT_CR_UNFIEN_Msk (0x4UL) /*!< WDT CR: UNFIEN (Bitfield-Mask: 0x01) */ +#define WDT_CR_CLKDIV_Pos (0UL) /*!< WDT CR: CLKDIV (Bit 0) */ +#define WDT_CR_CLKDIV_Msk (0x3UL) /*!< WDT CR: CLKDIV (Bitfield-Mask: 0x03) */ +/* ========================================================== SR =========================================================== */ +#define WDT_SR_DBGCNTEN_Pos (7UL) /*!< WDT SR: DBGCNTEN (Bit 7) */ +#define WDT_SR_DBGCNTEN_Msk (0x80UL) /*!< WDT SR: DBGCNTEN (Bitfield-Mask: 0x01) */ +#define WDT_SR_WINMIFLAG_Pos (1UL) /*!< WDT SR: WINMIFLAG (Bit 1) */ +#define WDT_SR_WINMIFLAG_Msk (0x2UL) /*!< WDT SR: WINMIFLAG (Bitfield-Mask: 0x01) */ +#define WDT_SR_UNFIFLAG_Pos (0UL) /*!< WDT SR: UNFIFLAG (Bit 0) */ +#define WDT_SR_UNFIFLAG_Msk (0x1UL) /*!< WDT SR: UNFIFLAG (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define WDT_DR_DATA_Pos (0UL) /*!< WDT DR: DATA (Bit 0) */ +#define WDT_DR_DATA_Msk (0xffffffUL) /*!< WDT DR: DATA (Bitfield-Mask: 0xffffff) */ +/* ========================================================== CNT ========================================================== */ +#define WDT_CNT_CNT_Pos (0UL) /*!< WDT CNT: CNT (Bit 0) */ +#define WDT_CNT_CNT_Msk (0xffffffUL) /*!< WDT CNT: CNT (Bitfield-Mask: 0xffffff) */ +/* ========================================================= WINDR ========================================================= */ +#define WDT_WINDR_WDATA_Pos (0UL) /*!< WDT WINDR: WDATA (Bit 0) */ +#define WDT_WINDR_WDATA_Msk (0xffffffUL) /*!< WDT WINDR: WDATA (Bitfield-Mask: 0xffffff) */ +/* ========================================================= CNTR ========================================================== */ +#define WDT_CNTR_CNTR_Pos (0UL) /*!< WDT CNTR: CNTR (Bit 0) */ +#define WDT_CNTR_CNTR_Msk (0xffUL) /*!< WDT CNTR: CNTR (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ WT ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define WT_CR_WTEN_Pos (7UL) /*!< WT CR: WTEN (Bit 7) */ +#define WT_CR_WTEN_Msk (0x80UL) /*!< WT CR: WTEN (Bitfield-Mask: 0x01) */ +#define WT_CR_WTINTV_Pos (4UL) /*!< WT CR: WTINTV (Bit 4) */ +#define WT_CR_WTINTV_Msk (0x30UL) /*!< WT CR: WTINTV (Bitfield-Mask: 0x03) */ +#define WT_CR_WTIEN_Pos (3UL) /*!< WT CR: WTIEN (Bit 3) */ +#define WT_CR_WTIEN_Msk (0x8UL) /*!< WT CR: WTIEN (Bitfield-Mask: 0x01) */ +#define WT_CR_WTIFLAG_Pos (1UL) /*!< WT CR: WTIFLAG (Bit 1) */ +#define WT_CR_WTIFLAG_Msk (0x2UL) /*!< WT CR: WTIFLAG (Bitfield-Mask: 0x01) */ +#define WT_CR_WTCLR_Pos (0UL) /*!< WT CR: WTCLR (Bit 0) */ +#define WT_CR_WTCLR_Msk (0x1UL) /*!< WT CR: WTCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define WT_DR_WTDATA_Pos (0UL) /*!< WT DR: WTDATA (Bit 0) */ +#define WT_DR_WTDATA_Msk (0xfffUL) /*!< WT DR: WTDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define WT_CNT_CNT_Pos (0UL) /*!< WT CNT: CNT (Bit 0) */ +#define WT_CNT_CNT_Msk (0xfffUL) /*!< WT CNT: CNT (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER1n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER1n_CR_T1nEN_Pos (15UL) /*!< TIMER1n CR: T1nEN (Bit 15) */ +#define TIMER1n_CR_T1nEN_Msk (0x8000UL) /*!< TIMER1n CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCLK_Pos (14UL) /*!< TIMER1n CR: T1nCLK (Bit 14) */ +#define TIMER1n_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER1n CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nMS_Pos (12UL) /*!< TIMER1n CR: T1nMS (Bit 12) */ +#define TIMER1n_CR_T1nMS_Msk (0x3000UL) /*!< TIMER1n CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER1n_CR_T1nECE_Pos (11UL) /*!< TIMER1n CR: T1nECE (Bit 11) */ +#define TIMER1n_CR_T1nECE_Msk (0x800UL) /*!< TIMER1n CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nOPOL_Pos (8UL) /*!< TIMER1n CR: T1nOPOL (Bit 8) */ +#define TIMER1n_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER1n CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCPOL_Pos (6UL) /*!< TIMER1n CR: T1nCPOL (Bit 6) */ +#define TIMER1n_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER1n CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER1n_CR_T1nMIEN_Pos (5UL) /*!< TIMER1n CR: T1nMIEN (Bit 5) */ +#define TIMER1n_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER1n CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCIEN_Pos (4UL) /*!< TIMER1n CR: T1nCIEN (Bit 4) */ +#define TIMER1n_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER1n CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER1n CR: T1nMIFLAG (Bit 3) */ +#define TIMER1n_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER1n CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER1n CR: T1nCIFLAG (Bit 2) */ +#define TIMER1n_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER1n CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nPAU_Pos (1UL) /*!< TIMER1n CR: T1nPAU (Bit 1) */ +#define TIMER1n_CR_T1nPAU_Msk (0x2UL) /*!< TIMER1n CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCLR_Pos (0UL) /*!< TIMER1n CR: T1nCLR (Bit 0) */ +#define TIMER1n_CR_T1nCLR_Msk (0x1UL) /*!< TIMER1n CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER1n_ADR_ADATA_Pos (0UL) /*!< TIMER1n ADR: ADATA (Bit 0) */ +#define TIMER1n_ADR_ADATA_Msk (0xffffUL) /*!< TIMER1n ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER1n_BDR_BDATA_Pos (0UL) /*!< TIMER1n BDR: BDATA (Bit 0) */ +#define TIMER1n_BDR_BDATA_Msk (0xffffUL) /*!< TIMER1n BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER1n_CAPDR_CAPD_Pos (0UL) /*!< TIMER1n CAPDR: CAPD (Bit 0) */ +#define TIMER1n_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER1n CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER1n_PREDR_PRED_Pos (0UL) /*!< TIMER1n PREDR: PRED (Bit 0) */ +#define TIMER1n_PREDR_PRED_Msk (0xfffUL) /*!< TIMER1n PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER1n_CNT_CNT_Pos (0UL) /*!< TIMER1n CNT: CNT (Bit 0) */ +#define TIMER1n_CNT_CNT_Msk (0xffffUL) /*!< TIMER1n CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER10 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER10_CR_T1nEN_Pos (15UL) /*!< TIMER10 CR: T1nEN (Bit 15) */ +#define TIMER10_CR_T1nEN_Msk (0x8000UL) /*!< TIMER10 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCLK_Pos (14UL) /*!< TIMER10 CR: T1nCLK (Bit 14) */ +#define TIMER10_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER10 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nMS_Pos (12UL) /*!< TIMER10 CR: T1nMS (Bit 12) */ +#define TIMER10_CR_T1nMS_Msk (0x3000UL) /*!< TIMER10 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER10_CR_T1nECE_Pos (11UL) /*!< TIMER10 CR: T1nECE (Bit 11) */ +#define TIMER10_CR_T1nECE_Msk (0x800UL) /*!< TIMER10 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nOPOL_Pos (8UL) /*!< TIMER10 CR: T1nOPOL (Bit 8) */ +#define TIMER10_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER10 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCPOL_Pos (6UL) /*!< TIMER10 CR: T1nCPOL (Bit 6) */ +#define TIMER10_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER10 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER10_CR_T1nMIEN_Pos (5UL) /*!< TIMER10 CR: T1nMIEN (Bit 5) */ +#define TIMER10_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER10 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCIEN_Pos (4UL) /*!< TIMER10 CR: T1nCIEN (Bit 4) */ +#define TIMER10_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER10 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER10 CR: T1nMIFLAG (Bit 3) */ +#define TIMER10_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER10 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER10 CR: T1nCIFLAG (Bit 2) */ +#define TIMER10_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER10 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nPAU_Pos (1UL) /*!< TIMER10 CR: T1nPAU (Bit 1) */ +#define TIMER10_CR_T1nPAU_Msk (0x2UL) /*!< TIMER10 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCLR_Pos (0UL) /*!< TIMER10 CR: T1nCLR (Bit 0) */ +#define TIMER10_CR_T1nCLR_Msk (0x1UL) /*!< TIMER10 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER10_ADR_ADATA_Pos (0UL) /*!< TIMER10 ADR: ADATA (Bit 0) */ +#define TIMER10_ADR_ADATA_Msk (0xffffUL) /*!< TIMER10 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER10_BDR_BDATA_Pos (0UL) /*!< TIMER10 BDR: BDATA (Bit 0) */ +#define TIMER10_BDR_BDATA_Msk (0xffffUL) /*!< TIMER10 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER10_CAPDR_CAPD_Pos (0UL) /*!< TIMER10 CAPDR: CAPD (Bit 0) */ +#define TIMER10_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER10 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER10_PREDR_PRED_Pos (0UL) /*!< TIMER10 PREDR: PRED (Bit 0) */ +#define TIMER10_PREDR_PRED_Msk (0xfffUL) /*!< TIMER10 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER10_CNT_CNT_Pos (0UL) /*!< TIMER10 CNT: CNT (Bit 0) */ +#define TIMER10_CNT_CNT_Msk (0xffffUL) /*!< TIMER10 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER11 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER11_CR_T1nEN_Pos (15UL) /*!< TIMER11 CR: T1nEN (Bit 15) */ +#define TIMER11_CR_T1nEN_Msk (0x8000UL) /*!< TIMER11 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCLK_Pos (14UL) /*!< TIMER11 CR: T1nCLK (Bit 14) */ +#define TIMER11_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER11 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nMS_Pos (12UL) /*!< TIMER11 CR: T1nMS (Bit 12) */ +#define TIMER11_CR_T1nMS_Msk (0x3000UL) /*!< TIMER11 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER11_CR_T1nECE_Pos (11UL) /*!< TIMER11 CR: T1nECE (Bit 11) */ +#define TIMER11_CR_T1nECE_Msk (0x800UL) /*!< TIMER11 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nOPOL_Pos (8UL) /*!< TIMER11 CR: T1nOPOL (Bit 8) */ +#define TIMER11_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER11 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCPOL_Pos (6UL) /*!< TIMER11 CR: T1nCPOL (Bit 6) */ +#define TIMER11_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER11 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER11_CR_T1nMIEN_Pos (5UL) /*!< TIMER11 CR: T1nMIEN (Bit 5) */ +#define TIMER11_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER11 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCIEN_Pos (4UL) /*!< TIMER11 CR: T1nCIEN (Bit 4) */ +#define TIMER11_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER11 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER11 CR: T1nMIFLAG (Bit 3) */ +#define TIMER11_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER11 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER11 CR: T1nCIFLAG (Bit 2) */ +#define TIMER11_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER11 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nPAU_Pos (1UL) /*!< TIMER11 CR: T1nPAU (Bit 1) */ +#define TIMER11_CR_T1nPAU_Msk (0x2UL) /*!< TIMER11 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCLR_Pos (0UL) /*!< TIMER11 CR: T1nCLR (Bit 0) */ +#define TIMER11_CR_T1nCLR_Msk (0x1UL) /*!< TIMER11 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER11_ADR_ADATA_Pos (0UL) /*!< TIMER11 ADR: ADATA (Bit 0) */ +#define TIMER11_ADR_ADATA_Msk (0xffffUL) /*!< TIMER11 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER11_BDR_BDATA_Pos (0UL) /*!< TIMER11 BDR: BDATA (Bit 0) */ +#define TIMER11_BDR_BDATA_Msk (0xffffUL) /*!< TIMER11 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER11_CAPDR_CAPD_Pos (0UL) /*!< TIMER11 CAPDR: CAPD (Bit 0) */ +#define TIMER11_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER11 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER11_PREDR_PRED_Pos (0UL) /*!< TIMER11 PREDR: PRED (Bit 0) */ +#define TIMER11_PREDR_PRED_Msk (0xfffUL) /*!< TIMER11 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER11_CNT_CNT_Pos (0UL) /*!< TIMER11 CNT: CNT (Bit 0) */ +#define TIMER11_CNT_CNT_Msk (0xffffUL) /*!< TIMER11 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER12 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER12_CR_T1nEN_Pos (15UL) /*!< TIMER12 CR: T1nEN (Bit 15) */ +#define TIMER12_CR_T1nEN_Msk (0x8000UL) /*!< TIMER12 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCLK_Pos (14UL) /*!< TIMER12 CR: T1nCLK (Bit 14) */ +#define TIMER12_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER12 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nMS_Pos (12UL) /*!< TIMER12 CR: T1nMS (Bit 12) */ +#define TIMER12_CR_T1nMS_Msk (0x3000UL) /*!< TIMER12 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER12_CR_T1nECE_Pos (11UL) /*!< TIMER12 CR: T1nECE (Bit 11) */ +#define TIMER12_CR_T1nECE_Msk (0x800UL) /*!< TIMER12 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nOPOL_Pos (8UL) /*!< TIMER12 CR: T1nOPOL (Bit 8) */ +#define TIMER12_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER12 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCPOL_Pos (6UL) /*!< TIMER12 CR: T1nCPOL (Bit 6) */ +#define TIMER12_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER12 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER12_CR_T1nMIEN_Pos (5UL) /*!< TIMER12 CR: T1nMIEN (Bit 5) */ +#define TIMER12_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER12 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCIEN_Pos (4UL) /*!< TIMER12 CR: T1nCIEN (Bit 4) */ +#define TIMER12_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER12 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER12 CR: T1nMIFLAG (Bit 3) */ +#define TIMER12_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER12 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER12 CR: T1nCIFLAG (Bit 2) */ +#define TIMER12_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER12 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nPAU_Pos (1UL) /*!< TIMER12 CR: T1nPAU (Bit 1) */ +#define TIMER12_CR_T1nPAU_Msk (0x2UL) /*!< TIMER12 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCLR_Pos (0UL) /*!< TIMER12 CR: T1nCLR (Bit 0) */ +#define TIMER12_CR_T1nCLR_Msk (0x1UL) /*!< TIMER12 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER12_ADR_ADATA_Pos (0UL) /*!< TIMER12 ADR: ADATA (Bit 0) */ +#define TIMER12_ADR_ADATA_Msk (0xffffUL) /*!< TIMER12 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER12_BDR_BDATA_Pos (0UL) /*!< TIMER12 BDR: BDATA (Bit 0) */ +#define TIMER12_BDR_BDATA_Msk (0xffffUL) /*!< TIMER12 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER12_CAPDR_CAPD_Pos (0UL) /*!< TIMER12 CAPDR: CAPD (Bit 0) */ +#define TIMER12_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER12 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER12_PREDR_PRED_Pos (0UL) /*!< TIMER12 PREDR: PRED (Bit 0) */ +#define TIMER12_PREDR_PRED_Msk (0xfffUL) /*!< TIMER12 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER12_CNT_CNT_Pos (0UL) /*!< TIMER12 CNT: CNT (Bit 0) */ +#define TIMER12_CNT_CNT_Msk (0xffffUL) /*!< TIMER12 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER13 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER13_CR_T1nEN_Pos (15UL) /*!< TIMER13 CR: T1nEN (Bit 15) */ +#define TIMER13_CR_T1nEN_Msk (0x8000UL) /*!< TIMER13 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCLK_Pos (14UL) /*!< TIMER13 CR: T1nCLK (Bit 14) */ +#define TIMER13_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER13 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nMS_Pos (12UL) /*!< TIMER13 CR: T1nMS (Bit 12) */ +#define TIMER13_CR_T1nMS_Msk (0x3000UL) /*!< TIMER13 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER13_CR_T1nECE_Pos (11UL) /*!< TIMER13 CR: T1nECE (Bit 11) */ +#define TIMER13_CR_T1nECE_Msk (0x800UL) /*!< TIMER13 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nOPOL_Pos (8UL) /*!< TIMER13 CR: T1nOPOL (Bit 8) */ +#define TIMER13_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER13 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCPOL_Pos (6UL) /*!< TIMER13 CR: T1nCPOL (Bit 6) */ +#define TIMER13_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER13 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER13_CR_T1nMIEN_Pos (5UL) /*!< TIMER13 CR: T1nMIEN (Bit 5) */ +#define TIMER13_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER13 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCIEN_Pos (4UL) /*!< TIMER13 CR: T1nCIEN (Bit 4) */ +#define TIMER13_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER13 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER13 CR: T1nMIFLAG (Bit 3) */ +#define TIMER13_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER13 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER13 CR: T1nCIFLAG (Bit 2) */ +#define TIMER13_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER13 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nPAU_Pos (1UL) /*!< TIMER13 CR: T1nPAU (Bit 1) */ +#define TIMER13_CR_T1nPAU_Msk (0x2UL) /*!< TIMER13 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCLR_Pos (0UL) /*!< TIMER13 CR: T1nCLR (Bit 0) */ +#define TIMER13_CR_T1nCLR_Msk (0x1UL) /*!< TIMER13 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER13_ADR_ADATA_Pos (0UL) /*!< TIMER13 ADR: ADATA (Bit 0) */ +#define TIMER13_ADR_ADATA_Msk (0xffffUL) /*!< TIMER13 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER13_BDR_BDATA_Pos (0UL) /*!< TIMER13 BDR: BDATA (Bit 0) */ +#define TIMER13_BDR_BDATA_Msk (0xffffUL) /*!< TIMER13 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER13_CAPDR_CAPD_Pos (0UL) /*!< TIMER13 CAPDR: CAPD (Bit 0) */ +#define TIMER13_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER13 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER13_PREDR_PRED_Pos (0UL) /*!< TIMER13 PREDR: PRED (Bit 0) */ +#define TIMER13_PREDR_PRED_Msk (0xfffUL) /*!< TIMER13 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER13_CNT_CNT_Pos (0UL) /*!< TIMER13 CNT: CNT (Bit 0) */ +#define TIMER13_CNT_CNT_Msk (0xffffUL) /*!< TIMER13 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER14 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER14_CR_T1nEN_Pos (15UL) /*!< TIMER14 CR: T1nEN (Bit 15) */ +#define TIMER14_CR_T1nEN_Msk (0x8000UL) /*!< TIMER14 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCLK_Pos (14UL) /*!< TIMER14 CR: T1nCLK (Bit 14) */ +#define TIMER14_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER14 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nMS_Pos (12UL) /*!< TIMER14 CR: T1nMS (Bit 12) */ +#define TIMER14_CR_T1nMS_Msk (0x3000UL) /*!< TIMER14 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER14_CR_T1nECE_Pos (11UL) /*!< TIMER14 CR: T1nECE (Bit 11) */ +#define TIMER14_CR_T1nECE_Msk (0x800UL) /*!< TIMER14 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nOPOL_Pos (8UL) /*!< TIMER14 CR: T1nOPOL (Bit 8) */ +#define TIMER14_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER14 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCPOL_Pos (6UL) /*!< TIMER14 CR: T1nCPOL (Bit 6) */ +#define TIMER14_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER14 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER14_CR_T1nMIEN_Pos (5UL) /*!< TIMER14 CR: T1nMIEN (Bit 5) */ +#define TIMER14_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER14 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCIEN_Pos (4UL) /*!< TIMER14 CR: T1nCIEN (Bit 4) */ +#define TIMER14_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER14 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER14 CR: T1nMIFLAG (Bit 3) */ +#define TIMER14_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER14 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER14 CR: T1nCIFLAG (Bit 2) */ +#define TIMER14_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER14 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nPAU_Pos (1UL) /*!< TIMER14 CR: T1nPAU (Bit 1) */ +#define TIMER14_CR_T1nPAU_Msk (0x2UL) /*!< TIMER14 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCLR_Pos (0UL) /*!< TIMER14 CR: T1nCLR (Bit 0) */ +#define TIMER14_CR_T1nCLR_Msk (0x1UL) /*!< TIMER14 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER14_ADR_ADATA_Pos (0UL) /*!< TIMER14 ADR: ADATA (Bit 0) */ +#define TIMER14_ADR_ADATA_Msk (0xffffUL) /*!< TIMER14 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER14_BDR_BDATA_Pos (0UL) /*!< TIMER14 BDR: BDATA (Bit 0) */ +#define TIMER14_BDR_BDATA_Msk (0xffffUL) /*!< TIMER14 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER14_CAPDR_CAPD_Pos (0UL) /*!< TIMER14 CAPDR: CAPD (Bit 0) */ +#define TIMER14_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER14 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER14_PREDR_PRED_Pos (0UL) /*!< TIMER14 PREDR: PRED (Bit 0) */ +#define TIMER14_PREDR_PRED_Msk (0xfffUL) /*!< TIMER14 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER14_CNT_CNT_Pos (0UL) /*!< TIMER14 CNT: CNT (Bit 0) */ +#define TIMER14_CNT_CNT_Msk (0xffffUL) /*!< TIMER14 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER15 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER15_CR_T1nEN_Pos (15UL) /*!< TIMER15 CR: T1nEN (Bit 15) */ +#define TIMER15_CR_T1nEN_Msk (0x8000UL) /*!< TIMER15 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCLK_Pos (14UL) /*!< TIMER15 CR: T1nCLK (Bit 14) */ +#define TIMER15_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER15 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nMS_Pos (12UL) /*!< TIMER15 CR: T1nMS (Bit 12) */ +#define TIMER15_CR_T1nMS_Msk (0x3000UL) /*!< TIMER15 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER15_CR_T1nECE_Pos (11UL) /*!< TIMER15 CR: T1nECE (Bit 11) */ +#define TIMER15_CR_T1nECE_Msk (0x800UL) /*!< TIMER15 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nOPOL_Pos (8UL) /*!< TIMER15 CR: T1nOPOL (Bit 8) */ +#define TIMER15_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER15 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCPOL_Pos (6UL) /*!< TIMER15 CR: T1nCPOL (Bit 6) */ +#define TIMER15_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER15 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER15_CR_T1nMIEN_Pos (5UL) /*!< TIMER15 CR: T1nMIEN (Bit 5) */ +#define TIMER15_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER15 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCIEN_Pos (4UL) /*!< TIMER15 CR: T1nCIEN (Bit 4) */ +#define TIMER15_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER15 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER15 CR: T1nMIFLAG (Bit 3) */ +#define TIMER15_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER15 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER15 CR: T1nCIFLAG (Bit 2) */ +#define TIMER15_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER15 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nPAU_Pos (1UL) /*!< TIMER15 CR: T1nPAU (Bit 1) */ +#define TIMER15_CR_T1nPAU_Msk (0x2UL) /*!< TIMER15 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCLR_Pos (0UL) /*!< TIMER15 CR: T1nCLR (Bit 0) */ +#define TIMER15_CR_T1nCLR_Msk (0x1UL) /*!< TIMER15 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER15_ADR_ADATA_Pos (0UL) /*!< TIMER15 ADR: ADATA (Bit 0) */ +#define TIMER15_ADR_ADATA_Msk (0xffffUL) /*!< TIMER15 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER15_BDR_BDATA_Pos (0UL) /*!< TIMER15 BDR: BDATA (Bit 0) */ +#define TIMER15_BDR_BDATA_Msk (0xffffUL) /*!< TIMER15 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER15_CAPDR_CAPD_Pos (0UL) /*!< TIMER15 CAPDR: CAPD (Bit 0) */ +#define TIMER15_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER15 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER15_PREDR_PRED_Pos (0UL) /*!< TIMER15 PREDR: PRED (Bit 0) */ +#define TIMER15_PREDR_PRED_Msk (0xfffUL) /*!< TIMER15 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER15_CNT_CNT_Pos (0UL) /*!< TIMER15 CNT: CNT (Bit 0) */ +#define TIMER15_CNT_CNT_Msk (0xffffUL) /*!< TIMER15 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER16 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER16_CR_T1nEN_Pos (15UL) /*!< TIMER16 CR: T1nEN (Bit 15) */ +#define TIMER16_CR_T1nEN_Msk (0x8000UL) /*!< TIMER16 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCLK_Pos (14UL) /*!< TIMER16 CR: T1nCLK (Bit 14) */ +#define TIMER16_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER16 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nMS_Pos (12UL) /*!< TIMER16 CR: T1nMS (Bit 12) */ +#define TIMER16_CR_T1nMS_Msk (0x3000UL) /*!< TIMER16 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER16_CR_T1nECE_Pos (11UL) /*!< TIMER16 CR: T1nECE (Bit 11) */ +#define TIMER16_CR_T1nECE_Msk (0x800UL) /*!< TIMER16 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nOPOL_Pos (8UL) /*!< TIMER16 CR: T1nOPOL (Bit 8) */ +#define TIMER16_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER16 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCPOL_Pos (6UL) /*!< TIMER16 CR: T1nCPOL (Bit 6) */ +#define TIMER16_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER16 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER16_CR_T1nMIEN_Pos (5UL) /*!< TIMER16 CR: T1nMIEN (Bit 5) */ +#define TIMER16_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER16 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCIEN_Pos (4UL) /*!< TIMER16 CR: T1nCIEN (Bit 4) */ +#define TIMER16_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER16 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER16 CR: T1nMIFLAG (Bit 3) */ +#define TIMER16_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER16 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER16 CR: T1nCIFLAG (Bit 2) */ +#define TIMER16_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER16 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nPAU_Pos (1UL) /*!< TIMER16 CR: T1nPAU (Bit 1) */ +#define TIMER16_CR_T1nPAU_Msk (0x2UL) /*!< TIMER16 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCLR_Pos (0UL) /*!< TIMER16 CR: T1nCLR (Bit 0) */ +#define TIMER16_CR_T1nCLR_Msk (0x1UL) /*!< TIMER16 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER16_ADR_ADATA_Pos (0UL) /*!< TIMER16 ADR: ADATA (Bit 0) */ +#define TIMER16_ADR_ADATA_Msk (0xffffUL) /*!< TIMER16 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER16_BDR_BDATA_Pos (0UL) /*!< TIMER16 BDR: BDATA (Bit 0) */ +#define TIMER16_BDR_BDATA_Msk (0xffffUL) /*!< TIMER16 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER16_CAPDR_CAPD_Pos (0UL) /*!< TIMER16 CAPDR: CAPD (Bit 0) */ +#define TIMER16_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER16 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER16_PREDR_PRED_Pos (0UL) /*!< TIMER16 PREDR: PRED (Bit 0) */ +#define TIMER16_PREDR_PRED_Msk (0xfffUL) /*!< TIMER16 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER16_CNT_CNT_Pos (0UL) /*!< TIMER16 CNT: CNT (Bit 0) */ +#define TIMER16_CNT_CNT_Msk (0xffffUL) /*!< TIMER16 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER2n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER2n_CR_T2nEN_Pos (15UL) /*!< TIMER2n CR: T2nEN (Bit 15) */ +#define TIMER2n_CR_T2nEN_Msk (0x8000UL) /*!< TIMER2n CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCLK_Pos (14UL) /*!< TIMER2n CR: T2nCLK (Bit 14) */ +#define TIMER2n_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER2n CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nMS_Pos (12UL) /*!< TIMER2n CR: T2nMS (Bit 12) */ +#define TIMER2n_CR_T2nMS_Msk (0x3000UL) /*!< TIMER2n CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER2n_CR_T2nECE_Pos (11UL) /*!< TIMER2n CR: T2nECE (Bit 11) */ +#define TIMER2n_CR_T2nECE_Msk (0x800UL) /*!< TIMER2n CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_CAPSEL_Pos (9UL) /*!< TIMER2n CR: CAPSEL (Bit 9) */ +#define TIMER2n_CR_CAPSEL_Msk (0x600UL) /*!< TIMER2n CR: CAPSEL (Bitfield-Mask: 0x03) */ +#define TIMER2n_CR_T2nOPOL_Pos (8UL) /*!< TIMER2n CR: T2nOPOL (Bit 8) */ +#define TIMER2n_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER2n CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCPOL_Pos (6UL) /*!< TIMER2n CR: T2nCPOL (Bit 6) */ +#define TIMER2n_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER2n CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER2n_CR_T2nMIEN_Pos (5UL) /*!< TIMER2n CR: T2nMIEN (Bit 5) */ +#define TIMER2n_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER2n CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCIEN_Pos (4UL) /*!< TIMER2n CR: T2nCIEN (Bit 4) */ +#define TIMER2n_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER2n CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER2n CR: T2nMIFLAG (Bit 3) */ +#define TIMER2n_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER2n CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER2n CR: T2nCIFLAG (Bit 2) */ +#define TIMER2n_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER2n CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nPAU_Pos (1UL) /*!< TIMER2n CR: T2nPAU (Bit 1) */ +#define TIMER2n_CR_T2nPAU_Msk (0x2UL) /*!< TIMER2n CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCLR_Pos (0UL) /*!< TIMER2n CR: T2nCLR (Bit 0) */ +#define TIMER2n_CR_T2nCLR_Msk (0x1UL) /*!< TIMER2n CR: T2nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER2n_ADR_ADATA_Pos (0UL) /*!< TIMER2n ADR: ADATA (Bit 0) */ +#define TIMER2n_ADR_ADATA_Msk (0xffffffffUL) /*!< TIMER2n ADR: ADATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER2n_BDR_BDATA_Pos (0UL) /*!< TIMER2n BDR: BDATA (Bit 0) */ +#define TIMER2n_BDR_BDATA_Msk (0xffffffffUL) /*!< TIMER2n BDR: BDATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER2n_CAPDR_CAPD_Pos (0UL) /*!< TIMER2n CAPDR: CAPD (Bit 0) */ +#define TIMER2n_CAPDR_CAPD_Msk (0xffffffffUL) /*!< TIMER2n CAPDR: CAPD (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER2n_PREDR_PRED_Pos (0UL) /*!< TIMER2n PREDR: PRED (Bit 0) */ +#define TIMER2n_PREDR_PRED_Msk (0xfffUL) /*!< TIMER2n PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER2n_CNT_CNT_Pos (0UL) /*!< TIMER2n CNT: CNT (Bit 0) */ +#define TIMER2n_CNT_CNT_Msk (0xffffffffUL) /*!< TIMER2n CNT: CNT (Bitfield-Mask: 0xffffffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER20 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER20_CR_T2nEN_Pos (15UL) /*!< TIMER20 CR: T2nEN (Bit 15) */ +#define TIMER20_CR_T2nEN_Msk (0x8000UL) /*!< TIMER20 CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCLK_Pos (14UL) /*!< TIMER20 CR: T2nCLK (Bit 14) */ +#define TIMER20_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER20 CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nMS_Pos (12UL) /*!< TIMER20 CR: T2nMS (Bit 12) */ +#define TIMER20_CR_T2nMS_Msk (0x3000UL) /*!< TIMER20 CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER20_CR_T2nECE_Pos (11UL) /*!< TIMER20 CR: T2nECE (Bit 11) */ +#define TIMER20_CR_T2nECE_Msk (0x800UL) /*!< TIMER20 CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_CAPSEL_Pos (9UL) /*!< TIMER20 CR: CAPSEL (Bit 9) */ +#define TIMER20_CR_CAPSEL_Msk (0x600UL) /*!< TIMER20 CR: CAPSEL (Bitfield-Mask: 0x03) */ +#define TIMER20_CR_T2nOPOL_Pos (8UL) /*!< TIMER20 CR: T2nOPOL (Bit 8) */ +#define TIMER20_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER20 CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCPOL_Pos (6UL) /*!< TIMER20 CR: T2nCPOL (Bit 6) */ +#define TIMER20_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER20 CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER20_CR_T2nMIEN_Pos (5UL) /*!< TIMER20 CR: T2nMIEN (Bit 5) */ +#define TIMER20_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER20 CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCIEN_Pos (4UL) /*!< TIMER20 CR: T2nCIEN (Bit 4) */ +#define TIMER20_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER20 CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER20 CR: T2nMIFLAG (Bit 3) */ +#define TIMER20_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER20 CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER20 CR: T2nCIFLAG (Bit 2) */ +#define TIMER20_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER20 CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nPAU_Pos (1UL) /*!< TIMER20 CR: T2nPAU (Bit 1) */ +#define TIMER20_CR_T2nPAU_Msk (0x2UL) /*!< TIMER20 CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCLR_Pos (0UL) /*!< TIMER20 CR: T2nCLR (Bit 0) */ +#define TIMER20_CR_T2nCLR_Msk (0x1UL) /*!< TIMER20 CR: T2nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER20_ADR_ADATA_Pos (0UL) /*!< TIMER20 ADR: ADATA (Bit 0) */ +#define TIMER20_ADR_ADATA_Msk (0xffffffffUL) /*!< TIMER20 ADR: ADATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER20_BDR_BDATA_Pos (0UL) /*!< TIMER20 BDR: BDATA (Bit 0) */ +#define TIMER20_BDR_BDATA_Msk (0xffffffffUL) /*!< TIMER20 BDR: BDATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER20_CAPDR_CAPD_Pos (0UL) /*!< TIMER20 CAPDR: CAPD (Bit 0) */ +#define TIMER20_CAPDR_CAPD_Msk (0xffffffffUL) /*!< TIMER20 CAPDR: CAPD (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER20_PREDR_PRED_Pos (0UL) /*!< TIMER20 PREDR: PRED (Bit 0) */ +#define TIMER20_PREDR_PRED_Msk (0xfffUL) /*!< TIMER20 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER20_CNT_CNT_Pos (0UL) /*!< TIMER20 CNT: CNT (Bit 0) */ +#define TIMER20_CNT_CNT_Msk (0xffffffffUL) /*!< TIMER20 CNT: CNT (Bitfield-Mask: 0xffffffff) */ +/* ====================================================== TIMER20_CR ======================================================= */ +#define TIMER20_TIMER20_CR_T2nEN_Pos (15UL) /*!< TIMER20 TIMER20_CR: T2nEN (Bit 15) */ +#define TIMER20_TIMER20_CR_T2nEN_Msk (0x8000UL) /*!< TIMER20 TIMER20_CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCLK_Pos (14UL) /*!< TIMER20 TIMER20_CR: T2nCLK (Bit 14) */ +#define TIMER20_TIMER20_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER20 TIMER20_CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nMS_Pos (12UL) /*!< TIMER20 TIMER20_CR: T2nMS (Bit 12) */ +#define TIMER20_TIMER20_CR_T2nMS_Msk (0x3000UL) /*!< TIMER20 TIMER20_CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER20_TIMER20_CR_T2nECE_Pos (11UL) /*!< TIMER20 TIMER20_CR: T2nECE (Bit 11) */ +#define TIMER20_TIMER20_CR_T2nECE_Msk (0x800UL) /*!< TIMER20 TIMER20_CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_CAPSEL_Pos (9UL) /*!< TIMER20 TIMER20_CR: CAPSEL (Bit 9) */ +#define TIMER20_TIMER20_CR_CAPSEL_Msk (0x600UL) /*!< TIMER20 TIMER20_CR: CAPSEL (Bitfield-Mask: 0x03) */ +#define TIMER20_TIMER20_CR_T2nOPOL_Pos (8UL) /*!< TIMER20 TIMER20_CR: T2nOPOL (Bit 8) */ +#define TIMER20_TIMER20_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER20 TIMER20_CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCPOL_Pos (6UL) /*!< TIMER20 TIMER20_CR: T2nCPOL (Bit 6) */ +#define TIMER20_TIMER20_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER20 TIMER20_CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER20_TIMER20_CR_T2nMIEN_Pos (5UL) /*!< TIMER20 TIMER20_CR: T2nMIEN (Bit 5) */ +#define TIMER20_TIMER20_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER20 TIMER20_CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCIEN_Pos (4UL) /*!< TIMER20 TIMER20_CR: T2nCIEN (Bit 4) */ +#define TIMER20_TIMER20_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER20 TIMER20_CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER20 TIMER20_CR: T2nMIFLAG (Bit 3) */ +#define TIMER20_TIMER20_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER20 TIMER20_CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER20 TIMER20_CR: T2nCIFLAG (Bit 2) */ +#define TIMER20_TIMER20_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER20 TIMER20_CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nPAU_Pos (1UL) /*!< TIMER20 TIMER20_CR: T2nPAU (Bit 1) */ +#define TIMER20_TIMER20_CR_T2nPAU_Msk (0x2UL) /*!< TIMER20 TIMER20_CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCLR_Pos (0UL) /*!< TIMER20 TIMER20_CR: T2nCLR (Bit 0) */ +#define TIMER20_TIMER20_CR_T2nCLR_Msk (0x1UL) /*!< TIMER20 TIMER20_CR: T2nCLR (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER21 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER21_CR_T2nEN_Pos (15UL) /*!< TIMER21 CR: T2nEN (Bit 15) */ +#define TIMER21_CR_T2nEN_Msk (0x8000UL) /*!< TIMER21 CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCLK_Pos (14UL) /*!< TIMER21 CR: T2nCLK (Bit 14) */ +#define TIMER21_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER21 CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nMS_Pos (12UL) /*!< TIMER21 CR: T2nMS (Bit 12) */ +#define TIMER21_CR_T2nMS_Msk (0x3000UL) /*!< TIMER21 CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER21_CR_T2nECE_Pos (11UL) /*!< TIMER21 CR: T2nECE (Bit 11) */ +#define TIMER21_CR_T2nECE_Msk (0x800UL) /*!< TIMER21 CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_CAPSEL_Pos (9UL) /*!< TIMER21 CR: CAPSEL (Bit 9) */ +#define TIMER21_CR_CAPSEL_Msk (0x600UL) /*!< TIMER21 CR: CAPSEL (Bitfield-Mask: 0x03) */ +#define TIMER21_CR_T2nOPOL_Pos (8UL) /*!< TIMER21 CR: T2nOPOL (Bit 8) */ +#define TIMER21_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER21 CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCPOL_Pos (6UL) /*!< TIMER21 CR: T2nCPOL (Bit 6) */ +#define TIMER21_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER21 CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER21_CR_T2nMIEN_Pos (5UL) /*!< TIMER21 CR: T2nMIEN (Bit 5) */ +#define TIMER21_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER21 CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCIEN_Pos (4UL) /*!< TIMER21 CR: T2nCIEN (Bit 4) */ +#define TIMER21_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER21 CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER21 CR: T2nMIFLAG (Bit 3) */ +#define TIMER21_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER21 CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER21 CR: T2nCIFLAG (Bit 2) */ +#define TIMER21_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER21 CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nPAU_Pos (1UL) /*!< TIMER21 CR: T2nPAU (Bit 1) */ +#define TIMER21_CR_T2nPAU_Msk (0x2UL) /*!< TIMER21 CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCLR_Pos (0UL) /*!< TIMER21 CR: T2nCLR (Bit 0) */ +#define TIMER21_CR_T2nCLR_Msk (0x1UL) /*!< TIMER21 CR: T2nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER21_ADR_ADATA_Pos (0UL) /*!< TIMER21 ADR: ADATA (Bit 0) */ +#define TIMER21_ADR_ADATA_Msk (0xffffffffUL) /*!< TIMER21 ADR: ADATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER21_BDR_BDATA_Pos (0UL) /*!< TIMER21 BDR: BDATA (Bit 0) */ +#define TIMER21_BDR_BDATA_Msk (0xffffffffUL) /*!< TIMER21 BDR: BDATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER21_CAPDR_CAPD_Pos (0UL) /*!< TIMER21 CAPDR: CAPD (Bit 0) */ +#define TIMER21_CAPDR_CAPD_Msk (0xffffffffUL) /*!< TIMER21 CAPDR: CAPD (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER21_PREDR_PRED_Pos (0UL) /*!< TIMER21 PREDR: PRED (Bit 0) */ +#define TIMER21_PREDR_PRED_Msk (0xfffUL) /*!< TIMER21 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER21_CNT_CNT_Pos (0UL) /*!< TIMER21 CNT: CNT (Bit 0) */ +#define TIMER21_CNT_CNT_Msk (0xffffffffUL) /*!< TIMER21 CNT: CNT (Bitfield-Mask: 0xffffffff) */ +/* ====================================================== TIMER21_CR ======================================================= */ +#define TIMER21_TIMER21_CR_T2nEN_Pos (15UL) /*!< TIMER21 TIMER21_CR: T2nEN (Bit 15) */ +#define TIMER21_TIMER21_CR_T2nEN_Msk (0x8000UL) /*!< TIMER21 TIMER21_CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCLK_Pos (14UL) /*!< TIMER21 TIMER21_CR: T2nCLK (Bit 14) */ +#define TIMER21_TIMER21_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER21 TIMER21_CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nMS_Pos (12UL) /*!< TIMER21 TIMER21_CR: T2nMS (Bit 12) */ +#define TIMER21_TIMER21_CR_T2nMS_Msk (0x3000UL) /*!< TIMER21 TIMER21_CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER21_TIMER21_CR_T2nECE_Pos (11UL) /*!< TIMER21 TIMER21_CR: T2nECE (Bit 11) */ +#define TIMER21_TIMER21_CR_T2nECE_Msk (0x800UL) /*!< TIMER21 TIMER21_CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nOPOL_Pos (8UL) /*!< TIMER21 TIMER21_CR: T2nOPOL (Bit 8) */ +#define TIMER21_TIMER21_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER21 TIMER21_CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCPOL_Pos (6UL) /*!< TIMER21 TIMER21_CR: T2nCPOL (Bit 6) */ +#define TIMER21_TIMER21_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER21 TIMER21_CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER21_TIMER21_CR_T2nMIEN_Pos (5UL) /*!< TIMER21 TIMER21_CR: T2nMIEN (Bit 5) */ +#define TIMER21_TIMER21_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER21 TIMER21_CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCIEN_Pos (4UL) /*!< TIMER21 TIMER21_CR: T2nCIEN (Bit 4) */ +#define TIMER21_TIMER21_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER21 TIMER21_CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER21 TIMER21_CR: T2nMIFLAG (Bit 3) */ +#define TIMER21_TIMER21_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER21 TIMER21_CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER21 TIMER21_CR: T2nCIFLAG (Bit 2) */ +#define TIMER21_TIMER21_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER21 TIMER21_CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nPAU_Pos (1UL) /*!< TIMER21 TIMER21_CR: T2nPAU (Bit 1) */ +#define TIMER21_TIMER21_CR_T2nPAU_Msk (0x2UL) /*!< TIMER21 TIMER21_CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCLR_Pos (0UL) /*!< TIMER21 TIMER21_CR: T2nCLR (Bit 0) */ +#define TIMER21_TIMER21_CR_T2nCLR_Msk (0x1UL) /*!< TIMER21 TIMER21_CR: T2nCLR (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER3n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER3n_CR_T3nEN_Pos (15UL) /*!< TIMER3n CR: T3nEN (Bit 15) */ +#define TIMER3n_CR_T3nEN_Msk (0x8000UL) /*!< TIMER3n CR: T3nEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_T3nCLK_Pos (14UL) /*!< TIMER3n CR: T3nCLK (Bit 14) */ +#define TIMER3n_CR_T3nCLK_Msk (0x4000UL) /*!< TIMER3n CR: T3nCLK (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_T3nMS_Pos (12UL) /*!< TIMER3n CR: T3nMS (Bit 12) */ +#define TIMER3n_CR_T3nMS_Msk (0x3000UL) /*!< TIMER3n CR: T3nMS (Bitfield-Mask: 0x03) */ +#define TIMER3n_CR_T3nECE_Pos (11UL) /*!< TIMER3n CR: T3nECE (Bit 11) */ +#define TIMER3n_CR_T3nECE_Msk (0x800UL) /*!< TIMER3n CR: T3nECE (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_FORCA_Pos (10UL) /*!< TIMER3n CR: FORCA (Bit 10) */ +#define TIMER3n_CR_FORCA_Msk (0x400UL) /*!< TIMER3n CR: FORCA (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_DLYEN_Pos (9UL) /*!< TIMER3n CR: DLYEN (Bit 9) */ +#define TIMER3n_CR_DLYEN_Msk (0x200UL) /*!< TIMER3n CR: DLYEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_DLYPOS_Pos (8UL) /*!< TIMER3n CR: DLYPOS (Bit 8) */ +#define TIMER3n_CR_DLYPOS_Msk (0x100UL) /*!< TIMER3n CR: DLYPOS (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_T3nCPOL_Pos (6UL) /*!< TIMER3n CR: T3nCPOL (Bit 6) */ +#define TIMER3n_CR_T3nCPOL_Msk (0xc0UL) /*!< TIMER3n CR: T3nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER3n_CR_UPDT_Pos (4UL) /*!< TIMER3n CR: UPDT (Bit 4) */ +#define TIMER3n_CR_UPDT_Msk (0x30UL) /*!< TIMER3n CR: UPDT (Bitfield-Mask: 0x03) */ +#define TIMER3n_CR_PMOC_Pos (1UL) /*!< TIMER3n CR: PMOC (Bit 1) */ +#define TIMER3n_CR_PMOC_Msk (0xeUL) /*!< TIMER3n CR: PMOC (Bitfield-Mask: 0x07) */ +#define TIMER3n_CR_T3nCLR_Pos (0UL) /*!< TIMER3n CR: T3nCLR (Bit 0) */ +#define TIMER3n_CR_T3nCLR_Msk (0x1UL) /*!< TIMER3n CR: T3nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== PDR ========================================================== */ +#define TIMER3n_PDR_PDATA_Pos (0UL) /*!< TIMER3n PDR: PDATA (Bit 0) */ +#define TIMER3n_PDR_PDATA_Msk (0xffffUL) /*!< TIMER3n PDR: PDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER3n_ADR_ADATA_Pos (0UL) /*!< TIMER3n ADR: ADATA (Bit 0) */ +#define TIMER3n_ADR_ADATA_Msk (0xffffUL) /*!< TIMER3n ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER3n_BDR_BDATA_Pos (0UL) /*!< TIMER3n BDR: BDATA (Bit 0) */ +#define TIMER3n_BDR_BDATA_Msk (0xffffUL) /*!< TIMER3n BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== CDR ========================================================== */ +#define TIMER3n_CDR_CDATA_Pos (0UL) /*!< TIMER3n CDR: CDATA (Bit 0) */ +#define TIMER3n_CDR_CDATA_Msk (0xffffUL) /*!< TIMER3n CDR: CDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER3n_CAPDR_CAPD_Pos (0UL) /*!< TIMER3n CAPDR: CAPD (Bit 0) */ +#define TIMER3n_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER3n CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER3n_PREDR_PRED_Pos (0UL) /*!< TIMER3n PREDR: PRED (Bit 0) */ +#define TIMER3n_PREDR_PRED_Msk (0xfffUL) /*!< TIMER3n PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER3n_CNT_CNT_Pos (0UL) /*!< TIMER3n CNT: CNT (Bit 0) */ +#define TIMER3n_CNT_CNT_Msk (0xffffUL) /*!< TIMER3n CNT: CNT (Bitfield-Mask: 0xffff) */ +/* ========================================================= OUTCR ========================================================= */ +#define TIMER3n_OUTCR_WTIDKY_Pos (16UL) /*!< TIMER3n OUTCR: WTIDKY (Bit 16) */ +#define TIMER3n_OUTCR_WTIDKY_Msk (0xffff0000UL) /*!< TIMER3n OUTCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define TIMER3n_OUTCR_POLB_Pos (15UL) /*!< TIMER3n OUTCR: POLB (Bit 15) */ +#define TIMER3n_OUTCR_POLB_Msk (0x8000UL) /*!< TIMER3n OUTCR: POLB (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_POLA_Pos (14UL) /*!< TIMER3n OUTCR: POLA (Bit 14) */ +#define TIMER3n_OUTCR_POLA_Msk (0x4000UL) /*!< TIMER3n OUTCR: POLA (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PABOE_Pos (13UL) /*!< TIMER3n OUTCR: PABOE (Bit 13) */ +#define TIMER3n_OUTCR_PABOE_Msk (0x2000UL) /*!< TIMER3n OUTCR: PABOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PBBOE_Pos (12UL) /*!< TIMER3n OUTCR: PBBOE (Bit 12) */ +#define TIMER3n_OUTCR_PBBOE_Msk (0x1000UL) /*!< TIMER3n OUTCR: PBBOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PCBOE_Pos (11UL) /*!< TIMER3n OUTCR: PCBOE (Bit 11) */ +#define TIMER3n_OUTCR_PCBOE_Msk (0x800UL) /*!< TIMER3n OUTCR: PCBOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PAAOE_Pos (10UL) /*!< TIMER3n OUTCR: PAAOE (Bit 10) */ +#define TIMER3n_OUTCR_PAAOE_Msk (0x400UL) /*!< TIMER3n OUTCR: PAAOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PBAOE_Pos (9UL) /*!< TIMER3n OUTCR: PBAOE (Bit 9) */ +#define TIMER3n_OUTCR_PBAOE_Msk (0x200UL) /*!< TIMER3n OUTCR: PBAOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PCAOE_Pos (8UL) /*!< TIMER3n OUTCR: PCAOE (Bit 8) */ +#define TIMER3n_OUTCR_PCAOE_Msk (0x100UL) /*!< TIMER3n OUTCR: PCAOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLAB_Pos (6UL) /*!< TIMER3n OUTCR: LVLAB (Bit 6) */ +#define TIMER3n_OUTCR_LVLAB_Msk (0x40UL) /*!< TIMER3n OUTCR: LVLAB (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLBB_Pos (5UL) /*!< TIMER3n OUTCR: LVLBB (Bit 5) */ +#define TIMER3n_OUTCR_LVLBB_Msk (0x20UL) /*!< TIMER3n OUTCR: LVLBB (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLCB_Pos (4UL) /*!< TIMER3n OUTCR: LVLCB (Bit 4) */ +#define TIMER3n_OUTCR_LVLCB_Msk (0x10UL) /*!< TIMER3n OUTCR: LVLCB (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLAA_Pos (2UL) /*!< TIMER3n OUTCR: LVLAA (Bit 2) */ +#define TIMER3n_OUTCR_LVLAA_Msk (0x4UL) /*!< TIMER3n OUTCR: LVLAA (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLBA_Pos (1UL) /*!< TIMER3n OUTCR: LVLBA (Bit 1) */ +#define TIMER3n_OUTCR_LVLBA_Msk (0x2UL) /*!< TIMER3n OUTCR: LVLBA (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLCA_Pos (0UL) /*!< TIMER3n OUTCR: LVLCA (Bit 0) */ +#define TIMER3n_OUTCR_LVLCA_Msk (0x1UL) /*!< TIMER3n OUTCR: LVLCA (Bitfield-Mask: 0x01) */ +/* ========================================================== DLY ========================================================== */ +#define TIMER3n_DLY_DLY_Pos (0UL) /*!< TIMER3n DLY: DLY (Bit 0) */ +#define TIMER3n_DLY_DLY_Msk (0x3ffUL) /*!< TIMER3n DLY: DLY (Bitfield-Mask: 0x3ff) */ +/* ========================================================= INTCR ========================================================= */ +#define TIMER3n_INTCR_HIZIEN_Pos (6UL) /*!< TIMER3n INTCR: HIZIEN (Bit 6) */ +#define TIMER3n_INTCR_HIZIEN_Msk (0x40UL) /*!< TIMER3n INTCR: HIZIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nCIEN_Pos (5UL) /*!< TIMER3n INTCR: T3nCIEN (Bit 5) */ +#define TIMER3n_INTCR_T3nCIEN_Msk (0x20UL) /*!< TIMER3n INTCR: T3nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nBTIEN_Pos (4UL) /*!< TIMER3n INTCR: T3nBTIEN (Bit 4) */ +#define TIMER3n_INTCR_T3nBTIEN_Msk (0x10UL) /*!< TIMER3n INTCR: T3nBTIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nPMIEN_Pos (3UL) /*!< TIMER3n INTCR: T3nPMIEN (Bit 3) */ +#define TIMER3n_INTCR_T3nPMIEN_Msk (0x8UL) /*!< TIMER3n INTCR: T3nPMIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nAMIEN_Pos (2UL) /*!< TIMER3n INTCR: T3nAMIEN (Bit 2) */ +#define TIMER3n_INTCR_T3nAMIEN_Msk (0x4UL) /*!< TIMER3n INTCR: T3nAMIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nBMIEN_Pos (1UL) /*!< TIMER3n INTCR: T3nBMIEN (Bit 1) */ +#define TIMER3n_INTCR_T3nBMIEN_Msk (0x2UL) /*!< TIMER3n INTCR: T3nBMIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nCMIEN_Pos (0UL) /*!< TIMER3n INTCR: T3nCMIEN (Bit 0) */ +#define TIMER3n_INTCR_T3nCMIEN_Msk (0x1UL) /*!< TIMER3n INTCR: T3nCMIEN (Bitfield-Mask: 0x01) */ +/* ======================================================== INTFLAG ======================================================== */ +#define TIMER3n_INTFLAG_HIZIFLAG_Pos (6UL) /*!< TIMER3n INTFLAG: HIZIFLAG (Bit 6) */ +#define TIMER3n_INTFLAG_HIZIFLAG_Msk (0x40UL) /*!< TIMER3n INTFLAG: HIZIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nCIFLAG_Pos (5UL) /*!< TIMER3n INTFLAG: T3nCIFLAG (Bit 5) */ +#define TIMER3n_INTFLAG_T3nCIFLAG_Msk (0x20UL) /*!< TIMER3n INTFLAG: T3nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nBTIFLAG_Pos (4UL) /*!< TIMER3n INTFLAG: T3nBTIFLAG (Bit 4) */ +#define TIMER3n_INTFLAG_T3nBTIFLAG_Msk (0x10UL) /*!< TIMER3n INTFLAG: T3nBTIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nPMIFLAG_Pos (3UL) /*!< TIMER3n INTFLAG: T3nPMIFLAG (Bit 3) */ +#define TIMER3n_INTFLAG_T3nPMIFLAG_Msk (0x8UL) /*!< TIMER3n INTFLAG: T3nPMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nAMIFLAG_Pos (2UL) /*!< TIMER3n INTFLAG: T3nAMIFLAG (Bit 2) */ +#define TIMER3n_INTFLAG_T3nAMIFLAG_Msk (0x4UL) /*!< TIMER3n INTFLAG: T3nAMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nBMIFLAG_Pos (1UL) /*!< TIMER3n INTFLAG: T3nBMIFLAG (Bit 1) */ +#define TIMER3n_INTFLAG_T3nBMIFLAG_Msk (0x2UL) /*!< TIMER3n INTFLAG: T3nBMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nCMIFLAG_Pos (0UL) /*!< TIMER3n INTFLAG: T3nCMIFLAG (Bit 0) */ +#define TIMER3n_INTFLAG_T3nCMIFLAG_Msk (0x1UL) /*!< TIMER3n INTFLAG: T3nCMIFLAG (Bitfield-Mask: 0x01) */ +/* ========================================================= HIZCR ========================================================= */ +#define TIMER3n_HIZCR_HIZEN_Pos (7UL) /*!< TIMER3n HIZCR: HIZEN (Bit 7) */ +#define TIMER3n_HIZCR_HIZEN_Msk (0x80UL) /*!< TIMER3n HIZCR: HIZEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_HIZCR_HIZSW_Pos (4UL) /*!< TIMER3n HIZCR: HIZSW (Bit 4) */ +#define TIMER3n_HIZCR_HIZSW_Msk (0x10UL) /*!< TIMER3n HIZCR: HIZSW (Bitfield-Mask: 0x01) */ +#define TIMER3n_HIZCR_HEDGE_Pos (2UL) /*!< TIMER3n HIZCR: HEDGE (Bit 2) */ +#define TIMER3n_HIZCR_HEDGE_Msk (0x4UL) /*!< TIMER3n HIZCR: HEDGE (Bitfield-Mask: 0x01) */ +#define TIMER3n_HIZCR_HIZSTA_Pos (1UL) /*!< TIMER3n HIZCR: HIZSTA (Bit 1) */ +#define TIMER3n_HIZCR_HIZSTA_Msk (0x2UL) /*!< TIMER3n HIZCR: HIZSTA (Bitfield-Mask: 0x01) */ +#define TIMER3n_HIZCR_HIZCLR_Pos (0UL) /*!< TIMER3n HIZCR: HIZCLR (Bit 0) */ +#define TIMER3n_HIZCR_HIZCLR_Msk (0x1UL) /*!< TIMER3n HIZCR: HIZCLR (Bitfield-Mask: 0x01) */ +/* ========================================================= ADTCR ========================================================= */ +#define TIMER3n_ADTCR_T3nBTTG_Pos (4UL) /*!< TIMER3n ADTCR: T3nBTTG (Bit 4) */ +#define TIMER3n_ADTCR_T3nBTTG_Msk (0x10UL) /*!< TIMER3n ADTCR: T3nBTTG (Bitfield-Mask: 0x01) */ +#define TIMER3n_ADTCR_T3nPMTG_Pos (3UL) /*!< TIMER3n ADTCR: T3nPMTG (Bit 3) */ +#define TIMER3n_ADTCR_T3nPMTG_Msk (0x8UL) /*!< TIMER3n ADTCR: T3nPMTG (Bitfield-Mask: 0x01) */ +#define TIMER3n_ADTCR_T3nAMTG_Pos (2UL) /*!< TIMER3n ADTCR: T3nAMTG (Bit 2) */ +#define TIMER3n_ADTCR_T3nAMTG_Msk (0x4UL) /*!< TIMER3n ADTCR: T3nAMTG (Bitfield-Mask: 0x01) */ +#define TIMER3n_ADTCR_T3nBMTG_Pos (1UL) /*!< TIMER3n ADTCR: T3nBMTG (Bit 1) */ +#define TIMER3n_ADTCR_T3nBMTG_Msk (0x2UL) /*!< TIMER3n ADTCR: T3nBMTG (Bitfield-Mask: 0x01) */ +#define TIMER3n_ADTCR_T3nCMTG_Pos (0UL) /*!< TIMER3n ADTCR: T3nCMTG (Bit 0) */ +#define TIMER3n_ADTCR_T3nCMTG_Msk (0x1UL) /*!< TIMER3n ADTCR: T3nCMTG (Bitfield-Mask: 0x01) */ +/* ========================================================= ADTDR ========================================================= */ +#define TIMER3n_ADTDR_ADTDATA_Pos (0UL) /*!< TIMER3n ADTDR: ADTDATA (Bit 0) */ +#define TIMER3n_ADTDR_ADTDATA_Msk (0x3fffUL) /*!< TIMER3n ADTDR: ADTDATA (Bitfield-Mask: 0x3fff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER30 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER30_CR_T3nEN_Pos (15UL) /*!< TIMER30 CR: T3nEN (Bit 15) */ +#define TIMER30_CR_T3nEN_Msk (0x8000UL) /*!< TIMER30 CR: T3nEN (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_T3nCLK_Pos (14UL) /*!< TIMER30 CR: T3nCLK (Bit 14) */ +#define TIMER30_CR_T3nCLK_Msk (0x4000UL) /*!< TIMER30 CR: T3nCLK (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_T3nMS_Pos (12UL) /*!< TIMER30 CR: T3nMS (Bit 12) */ +#define TIMER30_CR_T3nMS_Msk (0x3000UL) /*!< TIMER30 CR: T3nMS (Bitfield-Mask: 0x03) */ +#define TIMER30_CR_T3nECE_Pos (11UL) /*!< TIMER30 CR: T3nECE (Bit 11) */ +#define TIMER30_CR_T3nECE_Msk (0x800UL) /*!< TIMER30 CR: T3nECE (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_FORCA_Pos (10UL) /*!< TIMER30 CR: FORCA (Bit 10) */ +#define TIMER30_CR_FORCA_Msk (0x400UL) /*!< TIMER30 CR: FORCA (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_DLYEN_Pos (9UL) /*!< TIMER30 CR: DLYEN (Bit 9) */ +#define TIMER30_CR_DLYEN_Msk (0x200UL) /*!< TIMER30 CR: DLYEN (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_DLYPOS_Pos (8UL) /*!< TIMER30 CR: DLYPOS (Bit 8) */ +#define TIMER30_CR_DLYPOS_Msk (0x100UL) /*!< TIMER30 CR: DLYPOS (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_T3nCPOL_Pos (6UL) /*!< TIMER30 CR: T3nCPOL (Bit 6) */ +#define TIMER30_CR_T3nCPOL_Msk (0xc0UL) /*!< TIMER30 CR: T3nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER30_CR_UPDT_Pos (4UL) /*!< TIMER30 CR: UPDT (Bit 4) */ +#define TIMER30_CR_UPDT_Msk (0x30UL) /*!< TIMER30 CR: UPDT (Bitfield-Mask: 0x03) */ +#define TIMER30_CR_PMOC_Pos (1UL) /*!< TIMER30 CR: PMOC (Bit 1) */ +#define TIMER30_CR_PMOC_Msk (0xeUL) /*!< TIMER30 CR: PMOC (Bitfield-Mask: 0x07) */ +#define TIMER30_CR_T3nCLR_Pos (0UL) /*!< TIMER30 CR: T3nCLR (Bit 0) */ +#define TIMER30_CR_T3nCLR_Msk (0x1UL) /*!< TIMER30 CR: T3nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== PDR ========================================================== */ +#define TIMER30_PDR_PDATA_Pos (0UL) /*!< TIMER30 PDR: PDATA (Bit 0) */ +#define TIMER30_PDR_PDATA_Msk (0xffffUL) /*!< TIMER30 PDR: PDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER30_ADR_ADATA_Pos (0UL) /*!< TIMER30 ADR: ADATA (Bit 0) */ +#define TIMER30_ADR_ADATA_Msk (0xffffUL) /*!< TIMER30 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER30_BDR_BDATA_Pos (0UL) /*!< TIMER30 BDR: BDATA (Bit 0) */ +#define TIMER30_BDR_BDATA_Msk (0xffffUL) /*!< TIMER30 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== CDR ========================================================== */ +#define TIMER30_CDR_CDATA_Pos (0UL) /*!< TIMER30 CDR: CDATA (Bit 0) */ +#define TIMER30_CDR_CDATA_Msk (0xffffUL) /*!< TIMER30 CDR: CDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER30_CAPDR_CAPD_Pos (0UL) /*!< TIMER30 CAPDR: CAPD (Bit 0) */ +#define TIMER30_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER30 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER30_PREDR_PRED_Pos (0UL) /*!< TIMER30 PREDR: PRED (Bit 0) */ +#define TIMER30_PREDR_PRED_Msk (0xfffUL) /*!< TIMER30 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER30_CNT_CNT_Pos (0UL) /*!< TIMER30 CNT: CNT (Bit 0) */ +#define TIMER30_CNT_CNT_Msk (0xffffUL) /*!< TIMER30 CNT: CNT (Bitfield-Mask: 0xffff) */ +/* ========================================================= OUTCR ========================================================= */ +#define TIMER30_OUTCR_WTIDKY_Pos (16UL) /*!< TIMER30 OUTCR: WTIDKY (Bit 16) */ +#define TIMER30_OUTCR_WTIDKY_Msk (0xffff0000UL) /*!< TIMER30 OUTCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define TIMER30_OUTCR_POLB_Pos (15UL) /*!< TIMER30 OUTCR: POLB (Bit 15) */ +#define TIMER30_OUTCR_POLB_Msk (0x8000UL) /*!< TIMER30 OUTCR: POLB (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_POLA_Pos (14UL) /*!< TIMER30 OUTCR: POLA (Bit 14) */ +#define TIMER30_OUTCR_POLA_Msk (0x4000UL) /*!< TIMER30 OUTCR: POLA (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PABOE_Pos (13UL) /*!< TIMER30 OUTCR: PABOE (Bit 13) */ +#define TIMER30_OUTCR_PABOE_Msk (0x2000UL) /*!< TIMER30 OUTCR: PABOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PBBOE_Pos (12UL) /*!< TIMER30 OUTCR: PBBOE (Bit 12) */ +#define TIMER30_OUTCR_PBBOE_Msk (0x1000UL) /*!< TIMER30 OUTCR: PBBOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PCBOE_Pos (11UL) /*!< TIMER30 OUTCR: PCBOE (Bit 11) */ +#define TIMER30_OUTCR_PCBOE_Msk (0x800UL) /*!< TIMER30 OUTCR: PCBOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PAAOE_Pos (10UL) /*!< TIMER30 OUTCR: PAAOE (Bit 10) */ +#define TIMER30_OUTCR_PAAOE_Msk (0x400UL) /*!< TIMER30 OUTCR: PAAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PBAOE_Pos (9UL) /*!< TIMER30 OUTCR: PBAOE (Bit 9) */ +#define TIMER30_OUTCR_PBAOE_Msk (0x200UL) /*!< TIMER30 OUTCR: PBAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PCAOE_Pos (8UL) /*!< TIMER30 OUTCR: PCAOE (Bit 8) */ +#define TIMER30_OUTCR_PCAOE_Msk (0x100UL) /*!< TIMER30 OUTCR: PCAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLAB_Pos (6UL) /*!< TIMER30 OUTCR: LVLAB (Bit 6) */ +#define TIMER30_OUTCR_LVLAB_Msk (0x40UL) /*!< TIMER30 OUTCR: LVLAB (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLBB_Pos (5UL) /*!< TIMER30 OUTCR: LVLBB (Bit 5) */ +#define TIMER30_OUTCR_LVLBB_Msk (0x20UL) /*!< TIMER30 OUTCR: LVLBB (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLCB_Pos (4UL) /*!< TIMER30 OUTCR: LVLCB (Bit 4) */ +#define TIMER30_OUTCR_LVLCB_Msk (0x10UL) /*!< TIMER30 OUTCR: LVLCB (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLAA_Pos (2UL) /*!< TIMER30 OUTCR: LVLAA (Bit 2) */ +#define TIMER30_OUTCR_LVLAA_Msk (0x4UL) /*!< TIMER30 OUTCR: LVLAA (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLBA_Pos (1UL) /*!< TIMER30 OUTCR: LVLBA (Bit 1) */ +#define TIMER30_OUTCR_LVLBA_Msk (0x2UL) /*!< TIMER30 OUTCR: LVLBA (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLCA_Pos (0UL) /*!< TIMER30 OUTCR: LVLCA (Bit 0) */ +#define TIMER30_OUTCR_LVLCA_Msk (0x1UL) /*!< TIMER30 OUTCR: LVLCA (Bitfield-Mask: 0x01) */ +/* ========================================================== DLY ========================================================== */ +#define TIMER30_DLY_DLY_Pos (0UL) /*!< TIMER30 DLY: DLY (Bit 0) */ +#define TIMER30_DLY_DLY_Msk (0x3ffUL) /*!< TIMER30 DLY: DLY (Bitfield-Mask: 0x3ff) */ +/* ========================================================= INTCR ========================================================= */ +#define TIMER30_INTCR_HIZIEN_Pos (6UL) /*!< TIMER30 INTCR: HIZIEN (Bit 6) */ +#define TIMER30_INTCR_HIZIEN_Msk (0x40UL) /*!< TIMER30 INTCR: HIZIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nCIEN_Pos (5UL) /*!< TIMER30 INTCR: T3nCIEN (Bit 5) */ +#define TIMER30_INTCR_T3nCIEN_Msk (0x20UL) /*!< TIMER30 INTCR: T3nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nBTIEN_Pos (4UL) /*!< TIMER30 INTCR: T3nBTIEN (Bit 4) */ +#define TIMER30_INTCR_T3nBTIEN_Msk (0x10UL) /*!< TIMER30 INTCR: T3nBTIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nPMIEN_Pos (3UL) /*!< TIMER30 INTCR: T3nPMIEN (Bit 3) */ +#define TIMER30_INTCR_T3nPMIEN_Msk (0x8UL) /*!< TIMER30 INTCR: T3nPMIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nAMIEN_Pos (2UL) /*!< TIMER30 INTCR: T3nAMIEN (Bit 2) */ +#define TIMER30_INTCR_T3nAMIEN_Msk (0x4UL) /*!< TIMER30 INTCR: T3nAMIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nBMIEN_Pos (1UL) /*!< TIMER30 INTCR: T3nBMIEN (Bit 1) */ +#define TIMER30_INTCR_T3nBMIEN_Msk (0x2UL) /*!< TIMER30 INTCR: T3nBMIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nCMIEN_Pos (0UL) /*!< TIMER30 INTCR: T3nCMIEN (Bit 0) */ +#define TIMER30_INTCR_T3nCMIEN_Msk (0x1UL) /*!< TIMER30 INTCR: T3nCMIEN (Bitfield-Mask: 0x01) */ +/* ======================================================== INTFLAG ======================================================== */ +#define TIMER30_INTFLAG_HIZIFLAG_Pos (6UL) /*!< TIMER30 INTFLAG: HIZIFLAG (Bit 6) */ +#define TIMER30_INTFLAG_HIZIFLAG_Msk (0x40UL) /*!< TIMER30 INTFLAG: HIZIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nCIFLAG_Pos (5UL) /*!< TIMER30 INTFLAG: T3nCIFLAG (Bit 5) */ +#define TIMER30_INTFLAG_T3nCIFLAG_Msk (0x20UL) /*!< TIMER30 INTFLAG: T3nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nBTIFLAG_Pos (4UL) /*!< TIMER30 INTFLAG: T3nBTIFLAG (Bit 4) */ +#define TIMER30_INTFLAG_T3nBTIFLAG_Msk (0x10UL) /*!< TIMER30 INTFLAG: T3nBTIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nPMIFLAG_Pos (3UL) /*!< TIMER30 INTFLAG: T3nPMIFLAG (Bit 3) */ +#define TIMER30_INTFLAG_T3nPMIFLAG_Msk (0x8UL) /*!< TIMER30 INTFLAG: T3nPMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nAMIFLAG_Pos (2UL) /*!< TIMER30 INTFLAG: T3nAMIFLAG (Bit 2) */ +#define TIMER30_INTFLAG_T3nAMIFLAG_Msk (0x4UL) /*!< TIMER30 INTFLAG: T3nAMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nBMIFLAG_Pos (1UL) /*!< TIMER30 INTFLAG: T3nBMIFLAG (Bit 1) */ +#define TIMER30_INTFLAG_T3nBMIFLAG_Msk (0x2UL) /*!< TIMER30 INTFLAG: T3nBMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nCMIFLAG_Pos (0UL) /*!< TIMER30 INTFLAG: T3nCMIFLAG (Bit 0) */ +#define TIMER30_INTFLAG_T3nCMIFLAG_Msk (0x1UL) /*!< TIMER30 INTFLAG: T3nCMIFLAG (Bitfield-Mask: 0x01) */ +/* ========================================================= HIZCR ========================================================= */ +#define TIMER30_HIZCR_HIZEN_Pos (7UL) /*!< TIMER30 HIZCR: HIZEN (Bit 7) */ +#define TIMER30_HIZCR_HIZEN_Msk (0x80UL) /*!< TIMER30 HIZCR: HIZEN (Bitfield-Mask: 0x01) */ +#define TIMER30_HIZCR_HIZSW_Pos (4UL) /*!< TIMER30 HIZCR: HIZSW (Bit 4) */ +#define TIMER30_HIZCR_HIZSW_Msk (0x10UL) /*!< TIMER30 HIZCR: HIZSW (Bitfield-Mask: 0x01) */ +#define TIMER30_HIZCR_HEDGE_Pos (2UL) /*!< TIMER30 HIZCR: HEDGE (Bit 2) */ +#define TIMER30_HIZCR_HEDGE_Msk (0x4UL) /*!< TIMER30 HIZCR: HEDGE (Bitfield-Mask: 0x01) */ +#define TIMER30_HIZCR_HIZSTA_Pos (1UL) /*!< TIMER30 HIZCR: HIZSTA (Bit 1) */ +#define TIMER30_HIZCR_HIZSTA_Msk (0x2UL) /*!< TIMER30 HIZCR: HIZSTA (Bitfield-Mask: 0x01) */ +#define TIMER30_HIZCR_HIZCLR_Pos (0UL) /*!< TIMER30 HIZCR: HIZCLR (Bit 0) */ +#define TIMER30_HIZCR_HIZCLR_Msk (0x1UL) /*!< TIMER30 HIZCR: HIZCLR (Bitfield-Mask: 0x01) */ +/* ========================================================= ADTCR ========================================================= */ +#define TIMER30_ADTCR_T3nBTTG_Pos (4UL) /*!< TIMER30 ADTCR: T3nBTTG (Bit 4) */ +#define TIMER30_ADTCR_T3nBTTG_Msk (0x10UL) /*!< TIMER30 ADTCR: T3nBTTG (Bitfield-Mask: 0x01) */ +#define TIMER30_ADTCR_T3nPMTG_Pos (3UL) /*!< TIMER30 ADTCR: T3nPMTG (Bit 3) */ +#define TIMER30_ADTCR_T3nPMTG_Msk (0x8UL) /*!< TIMER30 ADTCR: T3nPMTG (Bitfield-Mask: 0x01) */ +#define TIMER30_ADTCR_T3nAMTG_Pos (2UL) /*!< TIMER30 ADTCR: T3nAMTG (Bit 2) */ +#define TIMER30_ADTCR_T3nAMTG_Msk (0x4UL) /*!< TIMER30 ADTCR: T3nAMTG (Bitfield-Mask: 0x01) */ +#define TIMER30_ADTCR_T3nBMTG_Pos (1UL) /*!< TIMER30 ADTCR: T3nBMTG (Bit 1) */ +#define TIMER30_ADTCR_T3nBMTG_Msk (0x2UL) /*!< TIMER30 ADTCR: T3nBMTG (Bitfield-Mask: 0x01) */ +#define TIMER30_ADTCR_T3nCMTG_Pos (0UL) /*!< TIMER30 ADTCR: T3nCMTG (Bit 0) */ +#define TIMER30_ADTCR_T3nCMTG_Msk (0x1UL) /*!< TIMER30 ADTCR: T3nCMTG (Bitfield-Mask: 0x01) */ +/* ========================================================= ADTDR ========================================================= */ +#define TIMER30_ADTDR_ADTDATA_Pos (0UL) /*!< TIMER30 ADTDR: ADTDATA (Bit 0) */ +#define TIMER30_ADTDR_ADTDATA_Msk (0x3fffUL) /*!< TIMER30 ADTDR: ADTDATA (Bitfield-Mask: 0x3fff) */ +/* ======================================================= T30_OUTCR ======================================================= */ +#define TIMER30_T30_OUTCR_WTIDKY_Pos (16UL) /*!< TIMER30 T30_OUTCR: WTIDKY (Bit 16) */ +#define TIMER30_T30_OUTCR_WTIDKY_Msk (0xffff0000UL) /*!< TIMER30 T30_OUTCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define TIMER30_T30_OUTCR_POLB_Pos (15UL) /*!< TIMER30 T30_OUTCR: POLB (Bit 15) */ +#define TIMER30_T30_OUTCR_POLB_Msk (0x8000UL) /*!< TIMER30 T30_OUTCR: POLB (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_POLA_Pos (14UL) /*!< TIMER30 T30_OUTCR: POLA (Bit 14) */ +#define TIMER30_T30_OUTCR_POLA_Msk (0x4000UL) /*!< TIMER30 T30_OUTCR: POLA (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PABOE_Pos (13UL) /*!< TIMER30 T30_OUTCR: PABOE (Bit 13) */ +#define TIMER30_T30_OUTCR_PABOE_Msk (0x2000UL) /*!< TIMER30 T30_OUTCR: PABOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PBBOE_Pos (12UL) /*!< TIMER30 T30_OUTCR: PBBOE (Bit 12) */ +#define TIMER30_T30_OUTCR_PBBOE_Msk (0x1000UL) /*!< TIMER30 T30_OUTCR: PBBOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PCBOE_Pos (11UL) /*!< TIMER30 T30_OUTCR: PCBOE (Bit 11) */ +#define TIMER30_T30_OUTCR_PCBOE_Msk (0x800UL) /*!< TIMER30 T30_OUTCR: PCBOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PAAOE_Pos (10UL) /*!< TIMER30 T30_OUTCR: PAAOE (Bit 10) */ +#define TIMER30_T30_OUTCR_PAAOE_Msk (0x400UL) /*!< TIMER30 T30_OUTCR: PAAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PBAOE_Pos (9UL) /*!< TIMER30 T30_OUTCR: PBAOE (Bit 9) */ +#define TIMER30_T30_OUTCR_PBAOE_Msk (0x200UL) /*!< TIMER30 T30_OUTCR: PBAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PCAOE_Pos (8UL) /*!< TIMER30 T30_OUTCR: PCAOE (Bit 8) */ +#define TIMER30_T30_OUTCR_PCAOE_Msk (0x100UL) /*!< TIMER30 T30_OUTCR: PCAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLAB_Pos (6UL) /*!< TIMER30 T30_OUTCR: LVLAB (Bit 6) */ +#define TIMER30_T30_OUTCR_LVLAB_Msk (0x40UL) /*!< TIMER30 T30_OUTCR: LVLAB (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLBB_Pos (5UL) /*!< TIMER30 T30_OUTCR: LVLBB (Bit 5) */ +#define TIMER30_T30_OUTCR_LVLBB_Msk (0x20UL) /*!< TIMER30 T30_OUTCR: LVLBB (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLCB_Pos (4UL) /*!< TIMER30 T30_OUTCR: LVLCB (Bit 4) */ +#define TIMER30_T30_OUTCR_LVLCB_Msk (0x10UL) /*!< TIMER30 T30_OUTCR: LVLCB (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLAA_Pos (2UL) /*!< TIMER30 T30_OUTCR: LVLAA (Bit 2) */ +#define TIMER30_T30_OUTCR_LVLAA_Msk (0x4UL) /*!< TIMER30 T30_OUTCR: LVLAA (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLBA_Pos (1UL) /*!< TIMER30 T30_OUTCR: LVLBA (Bit 1) */ +#define TIMER30_T30_OUTCR_LVLBA_Msk (0x2UL) /*!< TIMER30 T30_OUTCR: LVLBA (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLCA_Pos (0UL) /*!< TIMER30 T30_OUTCR: LVLCA (Bit 0) */ +#define TIMER30_T30_OUTCR_LVLCA_Msk (0x1UL) /*!< TIMER30 T30_OUTCR: LVLCA (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ ADC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define ADC_CR_ADCEN_Pos (15UL) /*!< ADC CR: ADCEN (Bit 15) */ +#define ADC_CR_ADCEN_Msk (0x8000UL) /*!< ADC CR: ADCEN (Bitfield-Mask: 0x01) */ +#define ADC_CR_TRIG_Pos (11UL) /*!< ADC CR: TRIG (Bit 11) */ +#define ADC_CR_TRIG_Msk (0x3800UL) /*!< ADC CR: TRIG (Bitfield-Mask: 0x07) */ +#define ADC_CR_REFSEL_Pos (10UL) /*!< ADC CR: REFSEL (Bit 10) */ +#define ADC_CR_REFSEL_Msk (0x400UL) /*!< ADC CR: REFSEL (Bitfield-Mask: 0x01) */ +#define ADC_CR_ADST_Pos (8UL) /*!< ADC CR: ADST (Bit 8) */ +#define ADC_CR_ADST_Msk (0x100UL) /*!< ADC CR: ADST (Bitfield-Mask: 0x01) */ +#define ADC_CR_ADCIEN_Pos (5UL) /*!< ADC CR: ADCIEN (Bit 5) */ +#define ADC_CR_ADCIEN_Msk (0x20UL) /*!< ADC CR: ADCIEN (Bitfield-Mask: 0x01) */ +#define ADC_CR_ADCIFLAG_Pos (4UL) /*!< ADC CR: ADCIFLAG (Bit 4) */ +#define ADC_CR_ADCIFLAG_Msk (0x10UL) /*!< ADC CR: ADCIFLAG (Bitfield-Mask: 0x01) */ +#define ADC_CR_ADSEL_Pos (0UL) /*!< ADC CR: ADSEL (Bit 0) */ +#define ADC_CR_ADSEL_Msk (0xfUL) /*!< ADC CR: ADSEL (Bitfield-Mask: 0x0f) */ +/* ========================================================== DR =========================================================== */ +#define ADC_DR_ADDATA_Pos (0UL) /*!< ADC DR: ADDATA (Bit 0) */ +#define ADC_DR_ADDATA_Msk (0xfffUL) /*!< ADC DR: ADDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================= PREDR ========================================================= */ +#define ADC_PREDR_PRED_Pos (0UL) /*!< ADC PREDR: PRED (Bit 0) */ +#define ADC_PREDR_PRED_Msk (0x1fUL) /*!< ADC PREDR: PRED (Bitfield-Mask: 0x1f) */ + + +/* =========================================================================================================================== */ +/* ================ USART1n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART1n_CR1_USTnMS_Pos (14UL) /*!< USART1n CR1: USTnMS (Bit 14) */ +#define USART1n_CR1_USTnMS_Msk (0xc000UL) /*!< USART1n CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART1n_CR1_USTnP_Pos (12UL) /*!< USART1n CR1: USTnP (Bit 12) */ +#define USART1n_CR1_USTnP_Msk (0x3000UL) /*!< USART1n CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART1n_CR1_USTnS_Pos (9UL) /*!< USART1n CR1: USTnS (Bit 9) */ +#define USART1n_CR1_USTnS_Msk (0xe00UL) /*!< USART1n CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART1n_CR1_ORDn_Pos (8UL) /*!< USART1n CR1: ORDn (Bit 8) */ +#define USART1n_CR1_ORDn_Msk (0x100UL) /*!< USART1n CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_CPOLn_Pos (7UL) /*!< USART1n CR1: CPOLn (Bit 7) */ +#define USART1n_CR1_CPOLn_Msk (0x80UL) /*!< USART1n CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_CPHAn_Pos (6UL) /*!< USART1n CR1: CPHAn (Bit 6) */ +#define USART1n_CR1_CPHAn_Msk (0x40UL) /*!< USART1n CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_DRIEn_Pos (5UL) /*!< USART1n CR1: DRIEn (Bit 5) */ +#define USART1n_CR1_DRIEn_Msk (0x20UL) /*!< USART1n CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_TXCIEn_Pos (4UL) /*!< USART1n CR1: TXCIEn (Bit 4) */ +#define USART1n_CR1_TXCIEn_Msk (0x10UL) /*!< USART1n CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_RXCIEn_Pos (3UL) /*!< USART1n CR1: RXCIEn (Bit 3) */ +#define USART1n_CR1_RXCIEn_Msk (0x8UL) /*!< USART1n CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_WAKEIEn_Pos (2UL) /*!< USART1n CR1: WAKEIEn (Bit 2) */ +#define USART1n_CR1_WAKEIEn_Msk (0x4UL) /*!< USART1n CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_TXEn_Pos (1UL) /*!< USART1n CR1: TXEn (Bit 1) */ +#define USART1n_CR1_TXEn_Msk (0x2UL) /*!< USART1n CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_RXEn_Pos (0UL) /*!< USART1n CR1: RXEn (Bit 0) */ +#define USART1n_CR1_RXEn_Msk (0x1UL) /*!< USART1n CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART1n_CR2_USTnEN_Pos (9UL) /*!< USART1n CR2: USTnEN (Bit 9) */ +#define USART1n_CR2_USTnEN_Msk (0x200UL) /*!< USART1n CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_DBLSn_Pos (8UL) /*!< USART1n CR2: DBLSn (Bit 8) */ +#define USART1n_CR2_DBLSn_Msk (0x100UL) /*!< USART1n CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_MASTERn_Pos (7UL) /*!< USART1n CR2: MASTERn (Bit 7) */ +#define USART1n_CR2_MASTERn_Msk (0x80UL) /*!< USART1n CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_LOOPSn_Pos (6UL) /*!< USART1n CR2: LOOPSn (Bit 6) */ +#define USART1n_CR2_LOOPSn_Msk (0x40UL) /*!< USART1n CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_DISSCKn_Pos (5UL) /*!< USART1n CR2: DISSCKn (Bit 5) */ +#define USART1n_CR2_DISSCKn_Msk (0x20UL) /*!< USART1n CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_USTnSSEN_Pos (4UL) /*!< USART1n CR2: USTnSSEN (Bit 4) */ +#define USART1n_CR2_USTnSSEN_Msk (0x10UL) /*!< USART1n CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_FXCHn_Pos (3UL) /*!< USART1n CR2: FXCHn (Bit 3) */ +#define USART1n_CR2_FXCHn_Msk (0x8UL) /*!< USART1n CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_USTnSB_Pos (2UL) /*!< USART1n CR2: USTnSB (Bit 2) */ +#define USART1n_CR2_USTnSB_Msk (0x4UL) /*!< USART1n CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_USTnTX8_Pos (1UL) /*!< USART1n CR2: USTnTX8 (Bit 1) */ +#define USART1n_CR2_USTnTX8_Msk (0x2UL) /*!< USART1n CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_USTnRX8_Pos (0UL) /*!< USART1n CR2: USTnRX8 (Bit 0) */ +#define USART1n_CR2_USTnRX8_Msk (0x1UL) /*!< USART1n CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART1n_ST_DREn_Pos (7UL) /*!< USART1n ST: DREn (Bit 7) */ +#define USART1n_ST_DREn_Msk (0x80UL) /*!< USART1n ST: DREn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_TXCn_Pos (6UL) /*!< USART1n ST: TXCn (Bit 6) */ +#define USART1n_ST_TXCn_Msk (0x40UL) /*!< USART1n ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_RXCn_Pos (5UL) /*!< USART1n ST: RXCn (Bit 5) */ +#define USART1n_ST_RXCn_Msk (0x20UL) /*!< USART1n ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_WAKEn_Pos (4UL) /*!< USART1n ST: WAKEn (Bit 4) */ +#define USART1n_ST_WAKEn_Msk (0x10UL) /*!< USART1n ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_DORn_Pos (2UL) /*!< USART1n ST: DORn (Bit 2) */ +#define USART1n_ST_DORn_Msk (0x4UL) /*!< USART1n ST: DORn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_FEn_Pos (1UL) /*!< USART1n ST: FEn (Bit 1) */ +#define USART1n_ST_FEn_Msk (0x2UL) /*!< USART1n ST: FEn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_PEn_Pos (0UL) /*!< USART1n ST: PEn (Bit 0) */ +#define USART1n_ST_PEn_Msk (0x1UL) /*!< USART1n ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART1n_BDR_BDATA_Pos (0UL) /*!< USART1n BDR: BDATA (Bit 0) */ +#define USART1n_BDR_BDATA_Msk (0xfffUL) /*!< USART1n BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART1n_DR_DATA_Pos (0UL) /*!< USART1n DR: DATA (Bit 0) */ +#define USART1n_DR_DATA_Msk (0xffUL) /*!< USART1n DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ USART10 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART10_CR1_USTnMS_Pos (14UL) /*!< USART10 CR1: USTnMS (Bit 14) */ +#define USART10_CR1_USTnMS_Msk (0xc000UL) /*!< USART10 CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART10_CR1_USTnP_Pos (12UL) /*!< USART10 CR1: USTnP (Bit 12) */ +#define USART10_CR1_USTnP_Msk (0x3000UL) /*!< USART10 CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART10_CR1_USTnS_Pos (9UL) /*!< USART10 CR1: USTnS (Bit 9) */ +#define USART10_CR1_USTnS_Msk (0xe00UL) /*!< USART10 CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART10_CR1_ORDn_Pos (8UL) /*!< USART10 CR1: ORDn (Bit 8) */ +#define USART10_CR1_ORDn_Msk (0x100UL) /*!< USART10 CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_CPOLn_Pos (7UL) /*!< USART10 CR1: CPOLn (Bit 7) */ +#define USART10_CR1_CPOLn_Msk (0x80UL) /*!< USART10 CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_CPHAn_Pos (6UL) /*!< USART10 CR1: CPHAn (Bit 6) */ +#define USART10_CR1_CPHAn_Msk (0x40UL) /*!< USART10 CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_DRIEn_Pos (5UL) /*!< USART10 CR1: DRIEn (Bit 5) */ +#define USART10_CR1_DRIEn_Msk (0x20UL) /*!< USART10 CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_TXCIEn_Pos (4UL) /*!< USART10 CR1: TXCIEn (Bit 4) */ +#define USART10_CR1_TXCIEn_Msk (0x10UL) /*!< USART10 CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_RXCIEn_Pos (3UL) /*!< USART10 CR1: RXCIEn (Bit 3) */ +#define USART10_CR1_RXCIEn_Msk (0x8UL) /*!< USART10 CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_WAKEIEn_Pos (2UL) /*!< USART10 CR1: WAKEIEn (Bit 2) */ +#define USART10_CR1_WAKEIEn_Msk (0x4UL) /*!< USART10 CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_TXEn_Pos (1UL) /*!< USART10 CR1: TXEn (Bit 1) */ +#define USART10_CR1_TXEn_Msk (0x2UL) /*!< USART10 CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_RXEn_Pos (0UL) /*!< USART10 CR1: RXEn (Bit 0) */ +#define USART10_CR1_RXEn_Msk (0x1UL) /*!< USART10 CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART10_CR2_USTnEN_Pos (9UL) /*!< USART10 CR2: USTnEN (Bit 9) */ +#define USART10_CR2_USTnEN_Msk (0x200UL) /*!< USART10 CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART10_CR2_DBLSn_Pos (8UL) /*!< USART10 CR2: DBLSn (Bit 8) */ +#define USART10_CR2_DBLSn_Msk (0x100UL) /*!< USART10 CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_MASTERn_Pos (7UL) /*!< USART10 CR2: MASTERn (Bit 7) */ +#define USART10_CR2_MASTERn_Msk (0x80UL) /*!< USART10 CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_LOOPSn_Pos (6UL) /*!< USART10 CR2: LOOPSn (Bit 6) */ +#define USART10_CR2_LOOPSn_Msk (0x40UL) /*!< USART10 CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_DISSCKn_Pos (5UL) /*!< USART10 CR2: DISSCKn (Bit 5) */ +#define USART10_CR2_DISSCKn_Msk (0x20UL) /*!< USART10 CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_USTnSSEN_Pos (4UL) /*!< USART10 CR2: USTnSSEN (Bit 4) */ +#define USART10_CR2_USTnSSEN_Msk (0x10UL) /*!< USART10 CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART10_CR2_FXCHn_Pos (3UL) /*!< USART10 CR2: FXCHn (Bit 3) */ +#define USART10_CR2_FXCHn_Msk (0x8UL) /*!< USART10 CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_USTnSB_Pos (2UL) /*!< USART10 CR2: USTnSB (Bit 2) */ +#define USART10_CR2_USTnSB_Msk (0x4UL) /*!< USART10 CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART10_CR2_USTnTX8_Pos (1UL) /*!< USART10 CR2: USTnTX8 (Bit 1) */ +#define USART10_CR2_USTnTX8_Msk (0x2UL) /*!< USART10 CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART10_CR2_USTnRX8_Pos (0UL) /*!< USART10 CR2: USTnRX8 (Bit 0) */ +#define USART10_CR2_USTnRX8_Msk (0x1UL) /*!< USART10 CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART10_ST_DREn_Pos (7UL) /*!< USART10 ST: DREn (Bit 7) */ +#define USART10_ST_DREn_Msk (0x80UL) /*!< USART10 ST: DREn (Bitfield-Mask: 0x01) */ +#define USART10_ST_TXCn_Pos (6UL) /*!< USART10 ST: TXCn (Bit 6) */ +#define USART10_ST_TXCn_Msk (0x40UL) /*!< USART10 ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART10_ST_RXCn_Pos (5UL) /*!< USART10 ST: RXCn (Bit 5) */ +#define USART10_ST_RXCn_Msk (0x20UL) /*!< USART10 ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART10_ST_WAKEn_Pos (4UL) /*!< USART10 ST: WAKEn (Bit 4) */ +#define USART10_ST_WAKEn_Msk (0x10UL) /*!< USART10 ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART10_ST_DORn_Pos (2UL) /*!< USART10 ST: DORn (Bit 2) */ +#define USART10_ST_DORn_Msk (0x4UL) /*!< USART10 ST: DORn (Bitfield-Mask: 0x01) */ +#define USART10_ST_FEn_Pos (1UL) /*!< USART10 ST: FEn (Bit 1) */ +#define USART10_ST_FEn_Msk (0x2UL) /*!< USART10 ST: FEn (Bitfield-Mask: 0x01) */ +#define USART10_ST_PEn_Pos (0UL) /*!< USART10 ST: PEn (Bit 0) */ +#define USART10_ST_PEn_Msk (0x1UL) /*!< USART10 ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART10_BDR_BDATA_Pos (0UL) /*!< USART10 BDR: BDATA (Bit 0) */ +#define USART10_BDR_BDATA_Msk (0xfffUL) /*!< USART10 BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART10_DR_DATA_Pos (0UL) /*!< USART10 DR: DATA (Bit 0) */ +#define USART10_DR_DATA_Msk (0xffUL) /*!< USART10 DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ USART11 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART11_CR1_USTnMS_Pos (14UL) /*!< USART11 CR1: USTnMS (Bit 14) */ +#define USART11_CR1_USTnMS_Msk (0xc000UL) /*!< USART11 CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART11_CR1_USTnP_Pos (12UL) /*!< USART11 CR1: USTnP (Bit 12) */ +#define USART11_CR1_USTnP_Msk (0x3000UL) /*!< USART11 CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART11_CR1_USTnS_Pos (9UL) /*!< USART11 CR1: USTnS (Bit 9) */ +#define USART11_CR1_USTnS_Msk (0xe00UL) /*!< USART11 CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART11_CR1_ORDn_Pos (8UL) /*!< USART11 CR1: ORDn (Bit 8) */ +#define USART11_CR1_ORDn_Msk (0x100UL) /*!< USART11 CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_CPOLn_Pos (7UL) /*!< USART11 CR1: CPOLn (Bit 7) */ +#define USART11_CR1_CPOLn_Msk (0x80UL) /*!< USART11 CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_CPHAn_Pos (6UL) /*!< USART11 CR1: CPHAn (Bit 6) */ +#define USART11_CR1_CPHAn_Msk (0x40UL) /*!< USART11 CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_DRIEn_Pos (5UL) /*!< USART11 CR1: DRIEn (Bit 5) */ +#define USART11_CR1_DRIEn_Msk (0x20UL) /*!< USART11 CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_TXCIEn_Pos (4UL) /*!< USART11 CR1: TXCIEn (Bit 4) */ +#define USART11_CR1_TXCIEn_Msk (0x10UL) /*!< USART11 CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_RXCIEn_Pos (3UL) /*!< USART11 CR1: RXCIEn (Bit 3) */ +#define USART11_CR1_RXCIEn_Msk (0x8UL) /*!< USART11 CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_WAKEIEn_Pos (2UL) /*!< USART11 CR1: WAKEIEn (Bit 2) */ +#define USART11_CR1_WAKEIEn_Msk (0x4UL) /*!< USART11 CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_TXEn_Pos (1UL) /*!< USART11 CR1: TXEn (Bit 1) */ +#define USART11_CR1_TXEn_Msk (0x2UL) /*!< USART11 CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_RXEn_Pos (0UL) /*!< USART11 CR1: RXEn (Bit 0) */ +#define USART11_CR1_RXEn_Msk (0x1UL) /*!< USART11 CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART11_CR2_USTnEN_Pos (9UL) /*!< USART11 CR2: USTnEN (Bit 9) */ +#define USART11_CR2_USTnEN_Msk (0x200UL) /*!< USART11 CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART11_CR2_DBLSn_Pos (8UL) /*!< USART11 CR2: DBLSn (Bit 8) */ +#define USART11_CR2_DBLSn_Msk (0x100UL) /*!< USART11 CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_MASTERn_Pos (7UL) /*!< USART11 CR2: MASTERn (Bit 7) */ +#define USART11_CR2_MASTERn_Msk (0x80UL) /*!< USART11 CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_LOOPSn_Pos (6UL) /*!< USART11 CR2: LOOPSn (Bit 6) */ +#define USART11_CR2_LOOPSn_Msk (0x40UL) /*!< USART11 CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_DISSCKn_Pos (5UL) /*!< USART11 CR2: DISSCKn (Bit 5) */ +#define USART11_CR2_DISSCKn_Msk (0x20UL) /*!< USART11 CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_USTnSSEN_Pos (4UL) /*!< USART11 CR2: USTnSSEN (Bit 4) */ +#define USART11_CR2_USTnSSEN_Msk (0x10UL) /*!< USART11 CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART11_CR2_FXCHn_Pos (3UL) /*!< USART11 CR2: FXCHn (Bit 3) */ +#define USART11_CR2_FXCHn_Msk (0x8UL) /*!< USART11 CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_USTnSB_Pos (2UL) /*!< USART11 CR2: USTnSB (Bit 2) */ +#define USART11_CR2_USTnSB_Msk (0x4UL) /*!< USART11 CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART11_CR2_USTnTX8_Pos (1UL) /*!< USART11 CR2: USTnTX8 (Bit 1) */ +#define USART11_CR2_USTnTX8_Msk (0x2UL) /*!< USART11 CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART11_CR2_USTnRX8_Pos (0UL) /*!< USART11 CR2: USTnRX8 (Bit 0) */ +#define USART11_CR2_USTnRX8_Msk (0x1UL) /*!< USART11 CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART11_ST_DREn_Pos (7UL) /*!< USART11 ST: DREn (Bit 7) */ +#define USART11_ST_DREn_Msk (0x80UL) /*!< USART11 ST: DREn (Bitfield-Mask: 0x01) */ +#define USART11_ST_TXCn_Pos (6UL) /*!< USART11 ST: TXCn (Bit 6) */ +#define USART11_ST_TXCn_Msk (0x40UL) /*!< USART11 ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART11_ST_RXCn_Pos (5UL) /*!< USART11 ST: RXCn (Bit 5) */ +#define USART11_ST_RXCn_Msk (0x20UL) /*!< USART11 ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART11_ST_WAKEn_Pos (4UL) /*!< USART11 ST: WAKEn (Bit 4) */ +#define USART11_ST_WAKEn_Msk (0x10UL) /*!< USART11 ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART11_ST_DORn_Pos (2UL) /*!< USART11 ST: DORn (Bit 2) */ +#define USART11_ST_DORn_Msk (0x4UL) /*!< USART11 ST: DORn (Bitfield-Mask: 0x01) */ +#define USART11_ST_FEn_Pos (1UL) /*!< USART11 ST: FEn (Bit 1) */ +#define USART11_ST_FEn_Msk (0x2UL) /*!< USART11 ST: FEn (Bitfield-Mask: 0x01) */ +#define USART11_ST_PEn_Pos (0UL) /*!< USART11 ST: PEn (Bit 0) */ +#define USART11_ST_PEn_Msk (0x1UL) /*!< USART11 ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART11_BDR_BDATA_Pos (0UL) /*!< USART11 BDR: BDATA (Bit 0) */ +#define USART11_BDR_BDATA_Msk (0xfffUL) /*!< USART11 BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART11_DR_DATA_Pos (0UL) /*!< USART11 DR: DATA (Bit 0) */ +#define USART11_DR_DATA_Msk (0xffUL) /*!< USART11 DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ USART12 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART12_CR1_USTnMS_Pos (14UL) /*!< USART12 CR1: USTnMS (Bit 14) */ +#define USART12_CR1_USTnMS_Msk (0xc000UL) /*!< USART12 CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART12_CR1_USTnP_Pos (12UL) /*!< USART12 CR1: USTnP (Bit 12) */ +#define USART12_CR1_USTnP_Msk (0x3000UL) /*!< USART12 CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART12_CR1_USTnS_Pos (9UL) /*!< USART12 CR1: USTnS (Bit 9) */ +#define USART12_CR1_USTnS_Msk (0xe00UL) /*!< USART12 CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART12_CR1_ORDn_Pos (8UL) /*!< USART12 CR1: ORDn (Bit 8) */ +#define USART12_CR1_ORDn_Msk (0x100UL) /*!< USART12 CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_CPOLn_Pos (7UL) /*!< USART12 CR1: CPOLn (Bit 7) */ +#define USART12_CR1_CPOLn_Msk (0x80UL) /*!< USART12 CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_CPHAn_Pos (6UL) /*!< USART12 CR1: CPHAn (Bit 6) */ +#define USART12_CR1_CPHAn_Msk (0x40UL) /*!< USART12 CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_DRIEn_Pos (5UL) /*!< USART12 CR1: DRIEn (Bit 5) */ +#define USART12_CR1_DRIEn_Msk (0x20UL) /*!< USART12 CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_TXCIEn_Pos (4UL) /*!< USART12 CR1: TXCIEn (Bit 4) */ +#define USART12_CR1_TXCIEn_Msk (0x10UL) /*!< USART12 CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_RXCIEn_Pos (3UL) /*!< USART12 CR1: RXCIEn (Bit 3) */ +#define USART12_CR1_RXCIEn_Msk (0x8UL) /*!< USART12 CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_WAKEIEn_Pos (2UL) /*!< USART12 CR1: WAKEIEn (Bit 2) */ +#define USART12_CR1_WAKEIEn_Msk (0x4UL) /*!< USART12 CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_TXEn_Pos (1UL) /*!< USART12 CR1: TXEn (Bit 1) */ +#define USART12_CR1_TXEn_Msk (0x2UL) /*!< USART12 CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_RXEn_Pos (0UL) /*!< USART12 CR1: RXEn (Bit 0) */ +#define USART12_CR1_RXEn_Msk (0x1UL) /*!< USART12 CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART12_CR2_USTnEN_Pos (9UL) /*!< USART12 CR2: USTnEN (Bit 9) */ +#define USART12_CR2_USTnEN_Msk (0x200UL) /*!< USART12 CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART12_CR2_DBLSn_Pos (8UL) /*!< USART12 CR2: DBLSn (Bit 8) */ +#define USART12_CR2_DBLSn_Msk (0x100UL) /*!< USART12 CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_MASTERn_Pos (7UL) /*!< USART12 CR2: MASTERn (Bit 7) */ +#define USART12_CR2_MASTERn_Msk (0x80UL) /*!< USART12 CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_LOOPSn_Pos (6UL) /*!< USART12 CR2: LOOPSn (Bit 6) */ +#define USART12_CR2_LOOPSn_Msk (0x40UL) /*!< USART12 CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_DISSCKn_Pos (5UL) /*!< USART12 CR2: DISSCKn (Bit 5) */ +#define USART12_CR2_DISSCKn_Msk (0x20UL) /*!< USART12 CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_USTnSSEN_Pos (4UL) /*!< USART12 CR2: USTnSSEN (Bit 4) */ +#define USART12_CR2_USTnSSEN_Msk (0x10UL) /*!< USART12 CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART12_CR2_FXCHn_Pos (3UL) /*!< USART12 CR2: FXCHn (Bit 3) */ +#define USART12_CR2_FXCHn_Msk (0x8UL) /*!< USART12 CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_USTnSB_Pos (2UL) /*!< USART12 CR2: USTnSB (Bit 2) */ +#define USART12_CR2_USTnSB_Msk (0x4UL) /*!< USART12 CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART12_CR2_USTnTX8_Pos (1UL) /*!< USART12 CR2: USTnTX8 (Bit 1) */ +#define USART12_CR2_USTnTX8_Msk (0x2UL) /*!< USART12 CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART12_CR2_USTnRX8_Pos (0UL) /*!< USART12 CR2: USTnRX8 (Bit 0) */ +#define USART12_CR2_USTnRX8_Msk (0x1UL) /*!< USART12 CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART12_ST_DREn_Pos (7UL) /*!< USART12 ST: DREn (Bit 7) */ +#define USART12_ST_DREn_Msk (0x80UL) /*!< USART12 ST: DREn (Bitfield-Mask: 0x01) */ +#define USART12_ST_TXCn_Pos (6UL) /*!< USART12 ST: TXCn (Bit 6) */ +#define USART12_ST_TXCn_Msk (0x40UL) /*!< USART12 ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART12_ST_RXCn_Pos (5UL) /*!< USART12 ST: RXCn (Bit 5) */ +#define USART12_ST_RXCn_Msk (0x20UL) /*!< USART12 ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART12_ST_WAKEn_Pos (4UL) /*!< USART12 ST: WAKEn (Bit 4) */ +#define USART12_ST_WAKEn_Msk (0x10UL) /*!< USART12 ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART12_ST_DORn_Pos (2UL) /*!< USART12 ST: DORn (Bit 2) */ +#define USART12_ST_DORn_Msk (0x4UL) /*!< USART12 ST: DORn (Bitfield-Mask: 0x01) */ +#define USART12_ST_FEn_Pos (1UL) /*!< USART12 ST: FEn (Bit 1) */ +#define USART12_ST_FEn_Msk (0x2UL) /*!< USART12 ST: FEn (Bitfield-Mask: 0x01) */ +#define USART12_ST_PEn_Pos (0UL) /*!< USART12 ST: PEn (Bit 0) */ +#define USART12_ST_PEn_Msk (0x1UL) /*!< USART12 ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART12_BDR_BDATA_Pos (0UL) /*!< USART12 BDR: BDATA (Bit 0) */ +#define USART12_BDR_BDATA_Msk (0xfffUL) /*!< USART12 BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART12_DR_DATA_Pos (0UL) /*!< USART12 DR: DATA (Bit 0) */ +#define USART12_DR_DATA_Msk (0xffUL) /*!< USART12 DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ USART13 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART13_CR1_USTnMS_Pos (14UL) /*!< USART13 CR1: USTnMS (Bit 14) */ +#define USART13_CR1_USTnMS_Msk (0xc000UL) /*!< USART13 CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART13_CR1_USTnP_Pos (12UL) /*!< USART13 CR1: USTnP (Bit 12) */ +#define USART13_CR1_USTnP_Msk (0x3000UL) /*!< USART13 CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART13_CR1_USTnS_Pos (9UL) /*!< USART13 CR1: USTnS (Bit 9) */ +#define USART13_CR1_USTnS_Msk (0xe00UL) /*!< USART13 CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART13_CR1_ORDn_Pos (8UL) /*!< USART13 CR1: ORDn (Bit 8) */ +#define USART13_CR1_ORDn_Msk (0x100UL) /*!< USART13 CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_CPOLn_Pos (7UL) /*!< USART13 CR1: CPOLn (Bit 7) */ +#define USART13_CR1_CPOLn_Msk (0x80UL) /*!< USART13 CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_CPHAn_Pos (6UL) /*!< USART13 CR1: CPHAn (Bit 6) */ +#define USART13_CR1_CPHAn_Msk (0x40UL) /*!< USART13 CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_DRIEn_Pos (5UL) /*!< USART13 CR1: DRIEn (Bit 5) */ +#define USART13_CR1_DRIEn_Msk (0x20UL) /*!< USART13 CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_TXCIEn_Pos (4UL) /*!< USART13 CR1: TXCIEn (Bit 4) */ +#define USART13_CR1_TXCIEn_Msk (0x10UL) /*!< USART13 CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_RXCIEn_Pos (3UL) /*!< USART13 CR1: RXCIEn (Bit 3) */ +#define USART13_CR1_RXCIEn_Msk (0x8UL) /*!< USART13 CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_WAKEIEn_Pos (2UL) /*!< USART13 CR1: WAKEIEn (Bit 2) */ +#define USART13_CR1_WAKEIEn_Msk (0x4UL) /*!< USART13 CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_TXEn_Pos (1UL) /*!< USART13 CR1: TXEn (Bit 1) */ +#define USART13_CR1_TXEn_Msk (0x2UL) /*!< USART13 CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_RXEn_Pos (0UL) /*!< USART13 CR1: RXEn (Bit 0) */ +#define USART13_CR1_RXEn_Msk (0x1UL) /*!< USART13 CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART13_CR2_USTnEN_Pos (9UL) /*!< USART13 CR2: USTnEN (Bit 9) */ +#define USART13_CR2_USTnEN_Msk (0x200UL) /*!< USART13 CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART13_CR2_DBLSn_Pos (8UL) /*!< USART13 CR2: DBLSn (Bit 8) */ +#define USART13_CR2_DBLSn_Msk (0x100UL) /*!< USART13 CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_MASTERn_Pos (7UL) /*!< USART13 CR2: MASTERn (Bit 7) */ +#define USART13_CR2_MASTERn_Msk (0x80UL) /*!< USART13 CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_LOOPSn_Pos (6UL) /*!< USART13 CR2: LOOPSn (Bit 6) */ +#define USART13_CR2_LOOPSn_Msk (0x40UL) /*!< USART13 CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_DISSCKn_Pos (5UL) /*!< USART13 CR2: DISSCKn (Bit 5) */ +#define USART13_CR2_DISSCKn_Msk (0x20UL) /*!< USART13 CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_USTnSSEN_Pos (4UL) /*!< USART13 CR2: USTnSSEN (Bit 4) */ +#define USART13_CR2_USTnSSEN_Msk (0x10UL) /*!< USART13 CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART13_CR2_FXCHn_Pos (3UL) /*!< USART13 CR2: FXCHn (Bit 3) */ +#define USART13_CR2_FXCHn_Msk (0x8UL) /*!< USART13 CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_USTnSB_Pos (2UL) /*!< USART13 CR2: USTnSB (Bit 2) */ +#define USART13_CR2_USTnSB_Msk (0x4UL) /*!< USART13 CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART13_CR2_USTnTX8_Pos (1UL) /*!< USART13 CR2: USTnTX8 (Bit 1) */ +#define USART13_CR2_USTnTX8_Msk (0x2UL) /*!< USART13 CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART13_CR2_USTnRX8_Pos (0UL) /*!< USART13 CR2: USTnRX8 (Bit 0) */ +#define USART13_CR2_USTnRX8_Msk (0x1UL) /*!< USART13 CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART13_ST_DREn_Pos (7UL) /*!< USART13 ST: DREn (Bit 7) */ +#define USART13_ST_DREn_Msk (0x80UL) /*!< USART13 ST: DREn (Bitfield-Mask: 0x01) */ +#define USART13_ST_TXCn_Pos (6UL) /*!< USART13 ST: TXCn (Bit 6) */ +#define USART13_ST_TXCn_Msk (0x40UL) /*!< USART13 ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART13_ST_RXCn_Pos (5UL) /*!< USART13 ST: RXCn (Bit 5) */ +#define USART13_ST_RXCn_Msk (0x20UL) /*!< USART13 ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART13_ST_WAKEn_Pos (4UL) /*!< USART13 ST: WAKEn (Bit 4) */ +#define USART13_ST_WAKEn_Msk (0x10UL) /*!< USART13 ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART13_ST_DORn_Pos (2UL) /*!< USART13 ST: DORn (Bit 2) */ +#define USART13_ST_DORn_Msk (0x4UL) /*!< USART13 ST: DORn (Bitfield-Mask: 0x01) */ +#define USART13_ST_FEn_Pos (1UL) /*!< USART13 ST: FEn (Bit 1) */ +#define USART13_ST_FEn_Msk (0x2UL) /*!< USART13 ST: FEn (Bitfield-Mask: 0x01) */ +#define USART13_ST_PEn_Pos (0UL) /*!< USART13 ST: PEn (Bit 0) */ +#define USART13_ST_PEn_Msk (0x1UL) /*!< USART13 ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART13_BDR_BDATA_Pos (0UL) /*!< USART13 BDR: BDATA (Bit 0) */ +#define USART13_BDR_BDATA_Msk (0xfffUL) /*!< USART13 BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART13_DR_DATA_Pos (0UL) /*!< USART13 DR: DATA (Bit 0) */ +#define USART13_DR_DATA_Msk (0xffUL) /*!< USART13 DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ UARTn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +#define UARTn_RBR_RBR_Pos (0UL) /*!< UARTn RBR: RBR (Bit 0) */ +#define UARTn_RBR_RBR_Msk (0xffUL) /*!< UARTn RBR: RBR (Bitfield-Mask: 0xff) */ +/* ========================================================== THR ========================================================== */ +#define UARTn_THR_THR_Pos (0UL) /*!< UARTn THR: THR (Bit 0) */ +#define UARTn_THR_THR_Msk (0xffUL) /*!< UARTn THR: THR (Bitfield-Mask: 0xff) */ +/* ========================================================== IER ========================================================== */ +#define UARTn_IER_TXEIE_Pos (3UL) /*!< UARTn IER: TXEIE (Bit 3) */ +#define UARTn_IER_TXEIE_Msk (0x8UL) /*!< UARTn IER: TXEIE (Bitfield-Mask: 0x01) */ +#define UARTn_IER_RLSIE_Pos (2UL) /*!< UARTn IER: RLSIE (Bit 2) */ +#define UARTn_IER_RLSIE_Msk (0x4UL) /*!< UARTn IER: RLSIE (Bitfield-Mask: 0x01) */ +#define UARTn_IER_THREIE_Pos (1UL) /*!< UARTn IER: THREIE (Bit 1) */ +#define UARTn_IER_THREIE_Msk (0x2UL) /*!< UARTn IER: THREIE (Bitfield-Mask: 0x01) */ +#define UARTn_IER_DRIE_Pos (0UL) /*!< UARTn IER: DRIE (Bit 0) */ +#define UARTn_IER_DRIE_Msk (0x1UL) /*!< UARTn IER: DRIE (Bitfield-Mask: 0x01) */ +/* ========================================================== IIR ========================================================== */ +#define UARTn_IIR_TXE_Pos (4UL) /*!< UARTn IIR: TXE (Bit 4) */ +#define UARTn_IIR_TXE_Msk (0x10UL) /*!< UARTn IIR: TXE (Bitfield-Mask: 0x01) */ +#define UARTn_IIR_IID_Pos (1UL) /*!< UARTn IIR: IID (Bit 1) */ +#define UARTn_IIR_IID_Msk (0x6UL) /*!< UARTn IIR: IID (Bitfield-Mask: 0x03) */ +#define UARTn_IIR_IPEN_Pos (0UL) /*!< UARTn IIR: IPEN (Bit 0) */ +#define UARTn_IIR_IPEN_Msk (0x1UL) /*!< UARTn IIR: IPEN (Bitfield-Mask: 0x01) */ +/* ========================================================== LCR ========================================================== */ +#define UARTn_LCR_BREAK_Pos (6UL) /*!< UARTn LCR: BREAK (Bit 6) */ +#define UARTn_LCR_BREAK_Msk (0x40UL) /*!< UARTn LCR: BREAK (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_STICKP_Pos (5UL) /*!< UARTn LCR: STICKP (Bit 5) */ +#define UARTn_LCR_STICKP_Msk (0x20UL) /*!< UARTn LCR: STICKP (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_PARITY_Pos (4UL) /*!< UARTn LCR: PARITY (Bit 4) */ +#define UARTn_LCR_PARITY_Msk (0x10UL) /*!< UARTn LCR: PARITY (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_PEN_Pos (3UL) /*!< UARTn LCR: PEN (Bit 3) */ +#define UARTn_LCR_PEN_Msk (0x8UL) /*!< UARTn LCR: PEN (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_STOPBIT_Pos (2UL) /*!< UARTn LCR: STOPBIT (Bit 2) */ +#define UARTn_LCR_STOPBIT_Msk (0x4UL) /*!< UARTn LCR: STOPBIT (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_DLEN_Pos (0UL) /*!< UARTn LCR: DLEN (Bit 0) */ +#define UARTn_LCR_DLEN_Msk (0x3UL) /*!< UARTn LCR: DLEN (Bitfield-Mask: 0x03) */ +/* ========================================================== DCR ========================================================== */ +#define UARTn_DCR_LBON_Pos (4UL) /*!< UARTn DCR: LBON (Bit 4) */ +#define UARTn_DCR_LBON_Msk (0x10UL) /*!< UARTn DCR: LBON (Bitfield-Mask: 0x01) */ +#define UARTn_DCR_RXINV_Pos (3UL) /*!< UARTn DCR: RXINV (Bit 3) */ +#define UARTn_DCR_RXINV_Msk (0x8UL) /*!< UARTn DCR: RXINV (Bitfield-Mask: 0x01) */ +#define UARTn_DCR_TXINV_Pos (2UL) /*!< UARTn DCR: TXINV (Bit 2) */ +#define UARTn_DCR_TXINV_Msk (0x4UL) /*!< UARTn DCR: TXINV (Bitfield-Mask: 0x01) */ +/* ========================================================== LSR ========================================================== */ +#define UARTn_LSR_TEMT_Pos (6UL) /*!< UARTn LSR: TEMT (Bit 6) */ +#define UARTn_LSR_TEMT_Msk (0x40UL) /*!< UARTn LSR: TEMT (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_THRE_Pos (5UL) /*!< UARTn LSR: THRE (Bit 5) */ +#define UARTn_LSR_THRE_Msk (0x20UL) /*!< UARTn LSR: THRE (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_BI_Pos (4UL) /*!< UARTn LSR: BI (Bit 4) */ +#define UARTn_LSR_BI_Msk (0x10UL) /*!< UARTn LSR: BI (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_FE_Pos (3UL) /*!< UARTn LSR: FE (Bit 3) */ +#define UARTn_LSR_FE_Msk (0x8UL) /*!< UARTn LSR: FE (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_PE_Pos (2UL) /*!< UARTn LSR: PE (Bit 2) */ +#define UARTn_LSR_PE_Msk (0x4UL) /*!< UARTn LSR: PE (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_OE_Pos (1UL) /*!< UARTn LSR: OE (Bit 1) */ +#define UARTn_LSR_OE_Msk (0x2UL) /*!< UARTn LSR: OE (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_DR_Pos (0UL) /*!< UARTn LSR: DR (Bit 0) */ +#define UARTn_LSR_DR_Msk (0x1UL) /*!< UARTn LSR: DR (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define UARTn_BDR_BDR_Pos (0UL) /*!< UARTn BDR: BDR (Bit 0) */ +#define UARTn_BDR_BDR_Msk (0xffffUL) /*!< UARTn BDR: BDR (Bitfield-Mask: 0xffff) */ +/* ========================================================== BFR ========================================================== */ +#define UARTn_BFR_BFR_Pos (0UL) /*!< UARTn BFR: BFR (Bit 0) */ +#define UARTn_BFR_BFR_Msk (0xffUL) /*!< UARTn BFR: BFR (Bitfield-Mask: 0xff) */ +/* ========================================================= IDTR ========================================================== */ +#define UARTn_IDTR_SMS_Pos (7UL) /*!< UARTn IDTR: SMS (Bit 7) */ +#define UARTn_IDTR_SMS_Msk (0x80UL) /*!< UARTn IDTR: SMS (Bitfield-Mask: 0x01) */ +#define UARTn_IDTR_DMS_Pos (6UL) /*!< UARTn IDTR: DMS (Bit 6) */ +#define UARTn_IDTR_DMS_Msk (0x40UL) /*!< UARTn IDTR: DMS (Bitfield-Mask: 0x01) */ +#define UARTn_IDTR_WAITVAL_Pos (0UL) /*!< UARTn IDTR: WAITVAL (Bit 0) */ +#define UARTn_IDTR_WAITVAL_Msk (0x7UL) /*!< UARTn IDTR: WAITVAL (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ UART0 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +#define UART0_RBR_RBR_Pos (0UL) /*!< UART0 RBR: RBR (Bit 0) */ +#define UART0_RBR_RBR_Msk (0xffUL) /*!< UART0 RBR: RBR (Bitfield-Mask: 0xff) */ +/* ========================================================== THR ========================================================== */ +#define UART0_THR_THR_Pos (0UL) /*!< UART0 THR: THR (Bit 0) */ +#define UART0_THR_THR_Msk (0xffUL) /*!< UART0 THR: THR (Bitfield-Mask: 0xff) */ +/* ========================================================== IER ========================================================== */ +#define UART0_IER_TXEIE_Pos (3UL) /*!< UART0 IER: TXEIE (Bit 3) */ +#define UART0_IER_TXEIE_Msk (0x8UL) /*!< UART0 IER: TXEIE (Bitfield-Mask: 0x01) */ +#define UART0_IER_RLSIE_Pos (2UL) /*!< UART0 IER: RLSIE (Bit 2) */ +#define UART0_IER_RLSIE_Msk (0x4UL) /*!< UART0 IER: RLSIE (Bitfield-Mask: 0x01) */ +#define UART0_IER_THREIE_Pos (1UL) /*!< UART0 IER: THREIE (Bit 1) */ +#define UART0_IER_THREIE_Msk (0x2UL) /*!< UART0 IER: THREIE (Bitfield-Mask: 0x01) */ +#define UART0_IER_DRIE_Pos (0UL) /*!< UART0 IER: DRIE (Bit 0) */ +#define UART0_IER_DRIE_Msk (0x1UL) /*!< UART0 IER: DRIE (Bitfield-Mask: 0x01) */ +/* ========================================================== IIR ========================================================== */ +#define UART0_IIR_TXE_Pos (4UL) /*!< UART0 IIR: TXE (Bit 4) */ +#define UART0_IIR_TXE_Msk (0x10UL) /*!< UART0 IIR: TXE (Bitfield-Mask: 0x01) */ +#define UART0_IIR_IID_Pos (1UL) /*!< UART0 IIR: IID (Bit 1) */ +#define UART0_IIR_IID_Msk (0x6UL) /*!< UART0 IIR: IID (Bitfield-Mask: 0x03) */ +#define UART0_IIR_IPEN_Pos (0UL) /*!< UART0 IIR: IPEN (Bit 0) */ +#define UART0_IIR_IPEN_Msk (0x1UL) /*!< UART0 IIR: IPEN (Bitfield-Mask: 0x01) */ +/* ========================================================== LCR ========================================================== */ +#define UART0_LCR_BREAK_Pos (6UL) /*!< UART0 LCR: BREAK (Bit 6) */ +#define UART0_LCR_BREAK_Msk (0x40UL) /*!< UART0 LCR: BREAK (Bitfield-Mask: 0x01) */ +#define UART0_LCR_STICKP_Pos (5UL) /*!< UART0 LCR: STICKP (Bit 5) */ +#define UART0_LCR_STICKP_Msk (0x20UL) /*!< UART0 LCR: STICKP (Bitfield-Mask: 0x01) */ +#define UART0_LCR_PARITY_Pos (4UL) /*!< UART0 LCR: PARITY (Bit 4) */ +#define UART0_LCR_PARITY_Msk (0x10UL) /*!< UART0 LCR: PARITY (Bitfield-Mask: 0x01) */ +#define UART0_LCR_PEN_Pos (3UL) /*!< UART0 LCR: PEN (Bit 3) */ +#define UART0_LCR_PEN_Msk (0x8UL) /*!< UART0 LCR: PEN (Bitfield-Mask: 0x01) */ +#define UART0_LCR_STOPBIT_Pos (2UL) /*!< UART0 LCR: STOPBIT (Bit 2) */ +#define UART0_LCR_STOPBIT_Msk (0x4UL) /*!< UART0 LCR: STOPBIT (Bitfield-Mask: 0x01) */ +#define UART0_LCR_DLEN_Pos (0UL) /*!< UART0 LCR: DLEN (Bit 0) */ +#define UART0_LCR_DLEN_Msk (0x3UL) /*!< UART0 LCR: DLEN (Bitfield-Mask: 0x03) */ +/* ========================================================== DCR ========================================================== */ +#define UART0_DCR_LBON_Pos (4UL) /*!< UART0 DCR: LBON (Bit 4) */ +#define UART0_DCR_LBON_Msk (0x10UL) /*!< UART0 DCR: LBON (Bitfield-Mask: 0x01) */ +#define UART0_DCR_RXINV_Pos (3UL) /*!< UART0 DCR: RXINV (Bit 3) */ +#define UART0_DCR_RXINV_Msk (0x8UL) /*!< UART0 DCR: RXINV (Bitfield-Mask: 0x01) */ +#define UART0_DCR_TXINV_Pos (2UL) /*!< UART0 DCR: TXINV (Bit 2) */ +#define UART0_DCR_TXINV_Msk (0x4UL) /*!< UART0 DCR: TXINV (Bitfield-Mask: 0x01) */ +/* ========================================================== LSR ========================================================== */ +#define UART0_LSR_TEMT_Pos (6UL) /*!< UART0 LSR: TEMT (Bit 6) */ +#define UART0_LSR_TEMT_Msk (0x40UL) /*!< UART0 LSR: TEMT (Bitfield-Mask: 0x01) */ +#define UART0_LSR_THRE_Pos (5UL) /*!< UART0 LSR: THRE (Bit 5) */ +#define UART0_LSR_THRE_Msk (0x20UL) /*!< UART0 LSR: THRE (Bitfield-Mask: 0x01) */ +#define UART0_LSR_BI_Pos (4UL) /*!< UART0 LSR: BI (Bit 4) */ +#define UART0_LSR_BI_Msk (0x10UL) /*!< UART0 LSR: BI (Bitfield-Mask: 0x01) */ +#define UART0_LSR_FE_Pos (3UL) /*!< UART0 LSR: FE (Bit 3) */ +#define UART0_LSR_FE_Msk (0x8UL) /*!< UART0 LSR: FE (Bitfield-Mask: 0x01) */ +#define UART0_LSR_PE_Pos (2UL) /*!< UART0 LSR: PE (Bit 2) */ +#define UART0_LSR_PE_Msk (0x4UL) /*!< UART0 LSR: PE (Bitfield-Mask: 0x01) */ +#define UART0_LSR_OE_Pos (1UL) /*!< UART0 LSR: OE (Bit 1) */ +#define UART0_LSR_OE_Msk (0x2UL) /*!< UART0 LSR: OE (Bitfield-Mask: 0x01) */ +#define UART0_LSR_DR_Pos (0UL) /*!< UART0 LSR: DR (Bit 0) */ +#define UART0_LSR_DR_Msk (0x1UL) /*!< UART0 LSR: DR (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define UART0_BDR_BDR_Pos (0UL) /*!< UART0 BDR: BDR (Bit 0) */ +#define UART0_BDR_BDR_Msk (0xffffUL) /*!< UART0 BDR: BDR (Bitfield-Mask: 0xffff) */ +/* ========================================================== BFR ========================================================== */ +#define UART0_BFR_BFR_Pos (0UL) /*!< UART0 BFR: BFR (Bit 0) */ +#define UART0_BFR_BFR_Msk (0xffUL) /*!< UART0 BFR: BFR (Bitfield-Mask: 0xff) */ +/* ========================================================= IDTR ========================================================== */ +#define UART0_IDTR_SMS_Pos (7UL) /*!< UART0 IDTR: SMS (Bit 7) */ +#define UART0_IDTR_SMS_Msk (0x80UL) /*!< UART0 IDTR: SMS (Bitfield-Mask: 0x01) */ +#define UART0_IDTR_DMS_Pos (6UL) /*!< UART0 IDTR: DMS (Bit 6) */ +#define UART0_IDTR_DMS_Msk (0x40UL) /*!< UART0 IDTR: DMS (Bitfield-Mask: 0x01) */ +#define UART0_IDTR_WAITVAL_Pos (0UL) /*!< UART0 IDTR: WAITVAL (Bit 0) */ +#define UART0_IDTR_WAITVAL_Msk (0x7UL) /*!< UART0 IDTR: WAITVAL (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ UART1 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +#define UART1_RBR_RBR_Pos (0UL) /*!< UART1 RBR: RBR (Bit 0) */ +#define UART1_RBR_RBR_Msk (0xffUL) /*!< UART1 RBR: RBR (Bitfield-Mask: 0xff) */ +/* ========================================================== THR ========================================================== */ +#define UART1_THR_THR_Pos (0UL) /*!< UART1 THR: THR (Bit 0) */ +#define UART1_THR_THR_Msk (0xffUL) /*!< UART1 THR: THR (Bitfield-Mask: 0xff) */ +/* ========================================================== IER ========================================================== */ +#define UART1_IER_TXEIE_Pos (3UL) /*!< UART1 IER: TXEIE (Bit 3) */ +#define UART1_IER_TXEIE_Msk (0x8UL) /*!< UART1 IER: TXEIE (Bitfield-Mask: 0x01) */ +#define UART1_IER_RLSIE_Pos (2UL) /*!< UART1 IER: RLSIE (Bit 2) */ +#define UART1_IER_RLSIE_Msk (0x4UL) /*!< UART1 IER: RLSIE (Bitfield-Mask: 0x01) */ +#define UART1_IER_THREIE_Pos (1UL) /*!< UART1 IER: THREIE (Bit 1) */ +#define UART1_IER_THREIE_Msk (0x2UL) /*!< UART1 IER: THREIE (Bitfield-Mask: 0x01) */ +#define UART1_IER_DRIE_Pos (0UL) /*!< UART1 IER: DRIE (Bit 0) */ +#define UART1_IER_DRIE_Msk (0x1UL) /*!< UART1 IER: DRIE (Bitfield-Mask: 0x01) */ +/* ========================================================== IIR ========================================================== */ +#define UART1_IIR_TXE_Pos (4UL) /*!< UART1 IIR: TXE (Bit 4) */ +#define UART1_IIR_TXE_Msk (0x10UL) /*!< UART1 IIR: TXE (Bitfield-Mask: 0x01) */ +#define UART1_IIR_IID_Pos (1UL) /*!< UART1 IIR: IID (Bit 1) */ +#define UART1_IIR_IID_Msk (0x6UL) /*!< UART1 IIR: IID (Bitfield-Mask: 0x03) */ +#define UART1_IIR_IPEN_Pos (0UL) /*!< UART1 IIR: IPEN (Bit 0) */ +#define UART1_IIR_IPEN_Msk (0x1UL) /*!< UART1 IIR: IPEN (Bitfield-Mask: 0x01) */ +/* ========================================================== LCR ========================================================== */ +#define UART1_LCR_BREAK_Pos (6UL) /*!< UART1 LCR: BREAK (Bit 6) */ +#define UART1_LCR_BREAK_Msk (0x40UL) /*!< UART1 LCR: BREAK (Bitfield-Mask: 0x01) */ +#define UART1_LCR_STICKP_Pos (5UL) /*!< UART1 LCR: STICKP (Bit 5) */ +#define UART1_LCR_STICKP_Msk (0x20UL) /*!< UART1 LCR: STICKP (Bitfield-Mask: 0x01) */ +#define UART1_LCR_PARITY_Pos (4UL) /*!< UART1 LCR: PARITY (Bit 4) */ +#define UART1_LCR_PARITY_Msk (0x10UL) /*!< UART1 LCR: PARITY (Bitfield-Mask: 0x01) */ +#define UART1_LCR_PEN_Pos (3UL) /*!< UART1 LCR: PEN (Bit 3) */ +#define UART1_LCR_PEN_Msk (0x8UL) /*!< UART1 LCR: PEN (Bitfield-Mask: 0x01) */ +#define UART1_LCR_STOPBIT_Pos (2UL) /*!< UART1 LCR: STOPBIT (Bit 2) */ +#define UART1_LCR_STOPBIT_Msk (0x4UL) /*!< UART1 LCR: STOPBIT (Bitfield-Mask: 0x01) */ +#define UART1_LCR_DLEN_Pos (0UL) /*!< UART1 LCR: DLEN (Bit 0) */ +#define UART1_LCR_DLEN_Msk (0x3UL) /*!< UART1 LCR: DLEN (Bitfield-Mask: 0x03) */ +/* ========================================================== DCR ========================================================== */ +#define UART1_DCR_LBON_Pos (4UL) /*!< UART1 DCR: LBON (Bit 4) */ +#define UART1_DCR_LBON_Msk (0x10UL) /*!< UART1 DCR: LBON (Bitfield-Mask: 0x01) */ +#define UART1_DCR_RXINV_Pos (3UL) /*!< UART1 DCR: RXINV (Bit 3) */ +#define UART1_DCR_RXINV_Msk (0x8UL) /*!< UART1 DCR: RXINV (Bitfield-Mask: 0x01) */ +#define UART1_DCR_TXINV_Pos (2UL) /*!< UART1 DCR: TXINV (Bit 2) */ +#define UART1_DCR_TXINV_Msk (0x4UL) /*!< UART1 DCR: TXINV (Bitfield-Mask: 0x01) */ +/* ========================================================== LSR ========================================================== */ +#define UART1_LSR_TEMT_Pos (6UL) /*!< UART1 LSR: TEMT (Bit 6) */ +#define UART1_LSR_TEMT_Msk (0x40UL) /*!< UART1 LSR: TEMT (Bitfield-Mask: 0x01) */ +#define UART1_LSR_THRE_Pos (5UL) /*!< UART1 LSR: THRE (Bit 5) */ +#define UART1_LSR_THRE_Msk (0x20UL) /*!< UART1 LSR: THRE (Bitfield-Mask: 0x01) */ +#define UART1_LSR_BI_Pos (4UL) /*!< UART1 LSR: BI (Bit 4) */ +#define UART1_LSR_BI_Msk (0x10UL) /*!< UART1 LSR: BI (Bitfield-Mask: 0x01) */ +#define UART1_LSR_FE_Pos (3UL) /*!< UART1 LSR: FE (Bit 3) */ +#define UART1_LSR_FE_Msk (0x8UL) /*!< UART1 LSR: FE (Bitfield-Mask: 0x01) */ +#define UART1_LSR_PE_Pos (2UL) /*!< UART1 LSR: PE (Bit 2) */ +#define UART1_LSR_PE_Msk (0x4UL) /*!< UART1 LSR: PE (Bitfield-Mask: 0x01) */ +#define UART1_LSR_OE_Pos (1UL) /*!< UART1 LSR: OE (Bit 1) */ +#define UART1_LSR_OE_Msk (0x2UL) /*!< UART1 LSR: OE (Bitfield-Mask: 0x01) */ +#define UART1_LSR_DR_Pos (0UL) /*!< UART1 LSR: DR (Bit 0) */ +#define UART1_LSR_DR_Msk (0x1UL) /*!< UART1 LSR: DR (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define UART1_BDR_BDR_Pos (0UL) /*!< UART1 BDR: BDR (Bit 0) */ +#define UART1_BDR_BDR_Msk (0xffffUL) /*!< UART1 BDR: BDR (Bitfield-Mask: 0xffff) */ +/* ========================================================== BFR ========================================================== */ +#define UART1_BFR_BFR_Pos (0UL) /*!< UART1 BFR: BFR (Bit 0) */ +#define UART1_BFR_BFR_Msk (0xffUL) /*!< UART1 BFR: BFR (Bitfield-Mask: 0xff) */ +/* ========================================================= IDTR ========================================================== */ +#define UART1_IDTR_SMS_Pos (7UL) /*!< UART1 IDTR: SMS (Bit 7) */ +#define UART1_IDTR_SMS_Msk (0x80UL) /*!< UART1 IDTR: SMS (Bitfield-Mask: 0x01) */ +#define UART1_IDTR_DMS_Pos (6UL) /*!< UART1 IDTR: DMS (Bit 6) */ +#define UART1_IDTR_DMS_Msk (0x40UL) /*!< UART1 IDTR: DMS (Bitfield-Mask: 0x01) */ +#define UART1_IDTR_WAITVAL_Pos (0UL) /*!< UART1 IDTR: WAITVAL (Bit 0) */ +#define UART1_IDTR_WAITVAL_Msk (0x7UL) /*!< UART1 IDTR: WAITVAL (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ I2Cn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define I2Cn_CR_I2CnEN_Pos (7UL) /*!< I2Cn CR: I2CnEN (Bit 7) */ +#define I2Cn_CR_I2CnEN_Msk (0x80UL) /*!< I2Cn CR: I2CnEN (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_TXDLYENBn_Pos (6UL) /*!< I2Cn CR: TXDLYENBn (Bit 6) */ +#define I2Cn_CR_TXDLYENBn_Msk (0x40UL) /*!< I2Cn CR: TXDLYENBn (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_I2CnIEN_Pos (5UL) /*!< I2Cn CR: I2CnIEN (Bit 5) */ +#define I2Cn_CR_I2CnIEN_Msk (0x20UL) /*!< I2Cn CR: I2CnIEN (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_I2CnIFLAG_Pos (4UL) /*!< I2Cn CR: I2CnIFLAG (Bit 4) */ +#define I2Cn_CR_I2CnIFLAG_Msk (0x10UL) /*!< I2Cn CR: I2CnIFLAG (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_ACKnEN_Pos (3UL) /*!< I2Cn CR: ACKnEN (Bit 3) */ +#define I2Cn_CR_ACKnEN_Msk (0x8UL) /*!< I2Cn CR: ACKnEN (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_IMASTERn_Pos (2UL) /*!< I2Cn CR: IMASTERn (Bit 2) */ +#define I2Cn_CR_IMASTERn_Msk (0x4UL) /*!< I2Cn CR: IMASTERn (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_STOPCn_Pos (1UL) /*!< I2Cn CR: STOPCn (Bit 1) */ +#define I2Cn_CR_STOPCn_Msk (0x2UL) /*!< I2Cn CR: STOPCn (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_STARTCn_Pos (0UL) /*!< I2Cn CR: STARTCn (Bit 0) */ +#define I2Cn_CR_STARTCn_Msk (0x1UL) /*!< I2Cn CR: STARTCn (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define I2Cn_ST_GCALLn_Pos (7UL) /*!< I2Cn ST: GCALLn (Bit 7) */ +#define I2Cn_ST_GCALLn_Msk (0x80UL) /*!< I2Cn ST: GCALLn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_TENDn_Pos (6UL) /*!< I2Cn ST: TENDn (Bit 6) */ +#define I2Cn_ST_TENDn_Msk (0x40UL) /*!< I2Cn ST: TENDn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_STOPDn_Pos (5UL) /*!< I2Cn ST: STOPDn (Bit 5) */ +#define I2Cn_ST_STOPDn_Msk (0x20UL) /*!< I2Cn ST: STOPDn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_SSELn_Pos (4UL) /*!< I2Cn ST: SSELn (Bit 4) */ +#define I2Cn_ST_SSELn_Msk (0x10UL) /*!< I2Cn ST: SSELn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_MLOSTn_Pos (3UL) /*!< I2Cn ST: MLOSTn (Bit 3) */ +#define I2Cn_ST_MLOSTn_Msk (0x8UL) /*!< I2Cn ST: MLOSTn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_BUSYn_Pos (2UL) /*!< I2Cn ST: BUSYn (Bit 2) */ +#define I2Cn_ST_BUSYn_Msk (0x4UL) /*!< I2Cn ST: BUSYn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_TMODEn_Pos (1UL) /*!< I2Cn ST: TMODEn (Bit 1) */ +#define I2Cn_ST_TMODEn_Msk (0x2UL) /*!< I2Cn ST: TMODEn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_RXACKn_Pos (0UL) /*!< I2Cn ST: RXACKn (Bit 0) */ +#define I2Cn_ST_RXACKn_Msk (0x1UL) /*!< I2Cn ST: RXACKn (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR1 ========================================================== */ +#define I2Cn_SAR1_SLAn_Pos (1UL) /*!< I2Cn SAR1: SLAn (Bit 1) */ +#define I2Cn_SAR1_SLAn_Msk (0xfeUL) /*!< I2Cn SAR1: SLAn (Bitfield-Mask: 0x7f) */ +#define I2Cn_SAR1_GCALLnEN_Pos (0UL) /*!< I2Cn SAR1: GCALLnEN (Bit 0) */ +#define I2Cn_SAR1_GCALLnEN_Msk (0x1UL) /*!< I2Cn SAR1: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR2 ========================================================== */ +#define I2Cn_SAR2_SLAn_Pos (1UL) /*!< I2Cn SAR2: SLAn (Bit 1) */ +#define I2Cn_SAR2_SLAn_Msk (0xfeUL) /*!< I2Cn SAR2: SLAn (Bitfield-Mask: 0x7f) */ +#define I2Cn_SAR2_GCALLnEN_Pos (0UL) /*!< I2Cn SAR2: GCALLnEN (Bit 0) */ +#define I2Cn_SAR2_GCALLnEN_Msk (0x1UL) /*!< I2Cn SAR2: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define I2Cn_DR_DATA_Pos (0UL) /*!< I2Cn DR: DATA (Bit 0) */ +#define I2Cn_DR_DATA_Msk (0xffUL) /*!< I2Cn DR: DATA (Bitfield-Mask: 0xff) */ +/* ========================================================= SDHR ========================================================== */ +#define I2Cn_SDHR_HLDT_Pos (0UL) /*!< I2Cn SDHR: HLDT (Bit 0) */ +#define I2Cn_SDHR_HLDT_Msk (0xfffUL) /*!< I2Cn SDHR: HLDT (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCLR ========================================================== */ +#define I2Cn_SCLR_SCLL_Pos (0UL) /*!< I2Cn SCLR: SCLL (Bit 0) */ +#define I2Cn_SCLR_SCLL_Msk (0xfffUL) /*!< I2Cn SCLR: SCLL (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCHR ========================================================== */ +#define I2Cn_SCHR_SCLH_Pos (0UL) /*!< I2Cn SCHR: SCLH (Bit 0) */ +#define I2Cn_SCHR_SCLH_Msk (0xfffUL) /*!< I2Cn SCHR: SCLH (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ I2C0 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define I2C0_CR_I2CnEN_Pos (7UL) /*!< I2C0 CR: I2CnEN (Bit 7) */ +#define I2C0_CR_I2CnEN_Msk (0x80UL) /*!< I2C0 CR: I2CnEN (Bitfield-Mask: 0x01) */ +#define I2C0_CR_TXDLYENBn_Pos (6UL) /*!< I2C0 CR: TXDLYENBn (Bit 6) */ +#define I2C0_CR_TXDLYENBn_Msk (0x40UL) /*!< I2C0 CR: TXDLYENBn (Bitfield-Mask: 0x01) */ +#define I2C0_CR_I2CnIEN_Pos (5UL) /*!< I2C0 CR: I2CnIEN (Bit 5) */ +#define I2C0_CR_I2CnIEN_Msk (0x20UL) /*!< I2C0 CR: I2CnIEN (Bitfield-Mask: 0x01) */ +#define I2C0_CR_I2CnIFLAG_Pos (4UL) /*!< I2C0 CR: I2CnIFLAG (Bit 4) */ +#define I2C0_CR_I2CnIFLAG_Msk (0x10UL) /*!< I2C0 CR: I2CnIFLAG (Bitfield-Mask: 0x01) */ +#define I2C0_CR_ACKnEN_Pos (3UL) /*!< I2C0 CR: ACKnEN (Bit 3) */ +#define I2C0_CR_ACKnEN_Msk (0x8UL) /*!< I2C0 CR: ACKnEN (Bitfield-Mask: 0x01) */ +#define I2C0_CR_IMASTERn_Pos (2UL) /*!< I2C0 CR: IMASTERn (Bit 2) */ +#define I2C0_CR_IMASTERn_Msk (0x4UL) /*!< I2C0 CR: IMASTERn (Bitfield-Mask: 0x01) */ +#define I2C0_CR_STOPCn_Pos (1UL) /*!< I2C0 CR: STOPCn (Bit 1) */ +#define I2C0_CR_STOPCn_Msk (0x2UL) /*!< I2C0 CR: STOPCn (Bitfield-Mask: 0x01) */ +#define I2C0_CR_STARTCn_Pos (0UL) /*!< I2C0 CR: STARTCn (Bit 0) */ +#define I2C0_CR_STARTCn_Msk (0x1UL) /*!< I2C0 CR: STARTCn (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define I2C0_ST_GCALLn_Pos (7UL) /*!< I2C0 ST: GCALLn (Bit 7) */ +#define I2C0_ST_GCALLn_Msk (0x80UL) /*!< I2C0 ST: GCALLn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_TENDn_Pos (6UL) /*!< I2C0 ST: TENDn (Bit 6) */ +#define I2C0_ST_TENDn_Msk (0x40UL) /*!< I2C0 ST: TENDn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_STOPDn_Pos (5UL) /*!< I2C0 ST: STOPDn (Bit 5) */ +#define I2C0_ST_STOPDn_Msk (0x20UL) /*!< I2C0 ST: STOPDn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_SSELn_Pos (4UL) /*!< I2C0 ST: SSELn (Bit 4) */ +#define I2C0_ST_SSELn_Msk (0x10UL) /*!< I2C0 ST: SSELn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_MLOSTn_Pos (3UL) /*!< I2C0 ST: MLOSTn (Bit 3) */ +#define I2C0_ST_MLOSTn_Msk (0x8UL) /*!< I2C0 ST: MLOSTn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_BUSYn_Pos (2UL) /*!< I2C0 ST: BUSYn (Bit 2) */ +#define I2C0_ST_BUSYn_Msk (0x4UL) /*!< I2C0 ST: BUSYn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_TMODEn_Pos (1UL) /*!< I2C0 ST: TMODEn (Bit 1) */ +#define I2C0_ST_TMODEn_Msk (0x2UL) /*!< I2C0 ST: TMODEn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_RXACKn_Pos (0UL) /*!< I2C0 ST: RXACKn (Bit 0) */ +#define I2C0_ST_RXACKn_Msk (0x1UL) /*!< I2C0 ST: RXACKn (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR1 ========================================================== */ +#define I2C0_SAR1_SLAn_Pos (1UL) /*!< I2C0 SAR1: SLAn (Bit 1) */ +#define I2C0_SAR1_SLAn_Msk (0xfeUL) /*!< I2C0 SAR1: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C0_SAR1_GCALLnEN_Pos (0UL) /*!< I2C0 SAR1: GCALLnEN (Bit 0) */ +#define I2C0_SAR1_GCALLnEN_Msk (0x1UL) /*!< I2C0 SAR1: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR2 ========================================================== */ +#define I2C0_SAR2_SLAn_Pos (1UL) /*!< I2C0 SAR2: SLAn (Bit 1) */ +#define I2C0_SAR2_SLAn_Msk (0xfeUL) /*!< I2C0 SAR2: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C0_SAR2_GCALLnEN_Pos (0UL) /*!< I2C0 SAR2: GCALLnEN (Bit 0) */ +#define I2C0_SAR2_GCALLnEN_Msk (0x1UL) /*!< I2C0 SAR2: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define I2C0_DR_DATA_Pos (0UL) /*!< I2C0 DR: DATA (Bit 0) */ +#define I2C0_DR_DATA_Msk (0xffUL) /*!< I2C0 DR: DATA (Bitfield-Mask: 0xff) */ +/* ========================================================= SDHR ========================================================== */ +#define I2C0_SDHR_HLDT_Pos (0UL) /*!< I2C0 SDHR: HLDT (Bit 0) */ +#define I2C0_SDHR_HLDT_Msk (0xfffUL) /*!< I2C0 SDHR: HLDT (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCLR ========================================================== */ +#define I2C0_SCLR_SCLL_Pos (0UL) /*!< I2C0 SCLR: SCLL (Bit 0) */ +#define I2C0_SCLR_SCLL_Msk (0xfffUL) /*!< I2C0 SCLR: SCLL (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCHR ========================================================== */ +#define I2C0_SCHR_SCLH_Pos (0UL) /*!< I2C0 SCHR: SCLH (Bit 0) */ +#define I2C0_SCHR_SCLH_Msk (0xfffUL) /*!< I2C0 SCHR: SCLH (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ I2C1 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define I2C1_CR_I2CnEN_Pos (7UL) /*!< I2C1 CR: I2CnEN (Bit 7) */ +#define I2C1_CR_I2CnEN_Msk (0x80UL) /*!< I2C1 CR: I2CnEN (Bitfield-Mask: 0x01) */ +#define I2C1_CR_TXDLYENBn_Pos (6UL) /*!< I2C1 CR: TXDLYENBn (Bit 6) */ +#define I2C1_CR_TXDLYENBn_Msk (0x40UL) /*!< I2C1 CR: TXDLYENBn (Bitfield-Mask: 0x01) */ +#define I2C1_CR_I2CnIEN_Pos (5UL) /*!< I2C1 CR: I2CnIEN (Bit 5) */ +#define I2C1_CR_I2CnIEN_Msk (0x20UL) /*!< I2C1 CR: I2CnIEN (Bitfield-Mask: 0x01) */ +#define I2C1_CR_I2CnIFLAG_Pos (4UL) /*!< I2C1 CR: I2CnIFLAG (Bit 4) */ +#define I2C1_CR_I2CnIFLAG_Msk (0x10UL) /*!< I2C1 CR: I2CnIFLAG (Bitfield-Mask: 0x01) */ +#define I2C1_CR_ACKnEN_Pos (3UL) /*!< I2C1 CR: ACKnEN (Bit 3) */ +#define I2C1_CR_ACKnEN_Msk (0x8UL) /*!< I2C1 CR: ACKnEN (Bitfield-Mask: 0x01) */ +#define I2C1_CR_IMASTERn_Pos (2UL) /*!< I2C1 CR: IMASTERn (Bit 2) */ +#define I2C1_CR_IMASTERn_Msk (0x4UL) /*!< I2C1 CR: IMASTERn (Bitfield-Mask: 0x01) */ +#define I2C1_CR_STOPCn_Pos (1UL) /*!< I2C1 CR: STOPCn (Bit 1) */ +#define I2C1_CR_STOPCn_Msk (0x2UL) /*!< I2C1 CR: STOPCn (Bitfield-Mask: 0x01) */ +#define I2C1_CR_STARTCn_Pos (0UL) /*!< I2C1 CR: STARTCn (Bit 0) */ +#define I2C1_CR_STARTCn_Msk (0x1UL) /*!< I2C1 CR: STARTCn (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define I2C1_ST_GCALLn_Pos (7UL) /*!< I2C1 ST: GCALLn (Bit 7) */ +#define I2C1_ST_GCALLn_Msk (0x80UL) /*!< I2C1 ST: GCALLn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_TENDn_Pos (6UL) /*!< I2C1 ST: TENDn (Bit 6) */ +#define I2C1_ST_TENDn_Msk (0x40UL) /*!< I2C1 ST: TENDn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_STOPDn_Pos (5UL) /*!< I2C1 ST: STOPDn (Bit 5) */ +#define I2C1_ST_STOPDn_Msk (0x20UL) /*!< I2C1 ST: STOPDn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_SSELn_Pos (4UL) /*!< I2C1 ST: SSELn (Bit 4) */ +#define I2C1_ST_SSELn_Msk (0x10UL) /*!< I2C1 ST: SSELn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_MLOSTn_Pos (3UL) /*!< I2C1 ST: MLOSTn (Bit 3) */ +#define I2C1_ST_MLOSTn_Msk (0x8UL) /*!< I2C1 ST: MLOSTn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_BUSYn_Pos (2UL) /*!< I2C1 ST: BUSYn (Bit 2) */ +#define I2C1_ST_BUSYn_Msk (0x4UL) /*!< I2C1 ST: BUSYn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_TMODEn_Pos (1UL) /*!< I2C1 ST: TMODEn (Bit 1) */ +#define I2C1_ST_TMODEn_Msk (0x2UL) /*!< I2C1 ST: TMODEn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_RXACKn_Pos (0UL) /*!< I2C1 ST: RXACKn (Bit 0) */ +#define I2C1_ST_RXACKn_Msk (0x1UL) /*!< I2C1 ST: RXACKn (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR1 ========================================================== */ +#define I2C1_SAR1_SLAn_Pos (1UL) /*!< I2C1 SAR1: SLAn (Bit 1) */ +#define I2C1_SAR1_SLAn_Msk (0xfeUL) /*!< I2C1 SAR1: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C1_SAR1_GCALLnEN_Pos (0UL) /*!< I2C1 SAR1: GCALLnEN (Bit 0) */ +#define I2C1_SAR1_GCALLnEN_Msk (0x1UL) /*!< I2C1 SAR1: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR2 ========================================================== */ +#define I2C1_SAR2_SLAn_Pos (1UL) /*!< I2C1 SAR2: SLAn (Bit 1) */ +#define I2C1_SAR2_SLAn_Msk (0xfeUL) /*!< I2C1 SAR2: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C1_SAR2_GCALLnEN_Pos (0UL) /*!< I2C1 SAR2: GCALLnEN (Bit 0) */ +#define I2C1_SAR2_GCALLnEN_Msk (0x1UL) /*!< I2C1 SAR2: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define I2C1_DR_DATA_Pos (0UL) /*!< I2C1 DR: DATA (Bit 0) */ +#define I2C1_DR_DATA_Msk (0xffUL) /*!< I2C1 DR: DATA (Bitfield-Mask: 0xff) */ +/* ========================================================= SDHR ========================================================== */ +#define I2C1_SDHR_HLDT_Pos (0UL) /*!< I2C1 SDHR: HLDT (Bit 0) */ +#define I2C1_SDHR_HLDT_Msk (0xfffUL) /*!< I2C1 SDHR: HLDT (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCLR ========================================================== */ +#define I2C1_SCLR_SCLL_Pos (0UL) /*!< I2C1 SCLR: SCLL (Bit 0) */ +#define I2C1_SCLR_SCLL_Msk (0xfffUL) /*!< I2C1 SCLR: SCLL (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCHR ========================================================== */ +#define I2C1_SCHR_SCLH_Pos (0UL) /*!< I2C1 SCHR: SCLH (Bit 0) */ +#define I2C1_SCHR_SCLH_Msk (0xfffUL) /*!< I2C1 SCHR: SCLH (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ I2C2 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define I2C2_CR_I2CnEN_Pos (7UL) /*!< I2C2 CR: I2CnEN (Bit 7) */ +#define I2C2_CR_I2CnEN_Msk (0x80UL) /*!< I2C2 CR: I2CnEN (Bitfield-Mask: 0x01) */ +#define I2C2_CR_TXDLYENBn_Pos (6UL) /*!< I2C2 CR: TXDLYENBn (Bit 6) */ +#define I2C2_CR_TXDLYENBn_Msk (0x40UL) /*!< I2C2 CR: TXDLYENBn (Bitfield-Mask: 0x01) */ +#define I2C2_CR_I2CnIEN_Pos (5UL) /*!< I2C2 CR: I2CnIEN (Bit 5) */ +#define I2C2_CR_I2CnIEN_Msk (0x20UL) /*!< I2C2 CR: I2CnIEN (Bitfield-Mask: 0x01) */ +#define I2C2_CR_I2CnIFLAG_Pos (4UL) /*!< I2C2 CR: I2CnIFLAG (Bit 4) */ +#define I2C2_CR_I2CnIFLAG_Msk (0x10UL) /*!< I2C2 CR: I2CnIFLAG (Bitfield-Mask: 0x01) */ +#define I2C2_CR_ACKnEN_Pos (3UL) /*!< I2C2 CR: ACKnEN (Bit 3) */ +#define I2C2_CR_ACKnEN_Msk (0x8UL) /*!< I2C2 CR: ACKnEN (Bitfield-Mask: 0x01) */ +#define I2C2_CR_IMASTERn_Pos (2UL) /*!< I2C2 CR: IMASTERn (Bit 2) */ +#define I2C2_CR_IMASTERn_Msk (0x4UL) /*!< I2C2 CR: IMASTERn (Bitfield-Mask: 0x01) */ +#define I2C2_CR_STOPCn_Pos (1UL) /*!< I2C2 CR: STOPCn (Bit 1) */ +#define I2C2_CR_STOPCn_Msk (0x2UL) /*!< I2C2 CR: STOPCn (Bitfield-Mask: 0x01) */ +#define I2C2_CR_STARTCn_Pos (0UL) /*!< I2C2 CR: STARTCn (Bit 0) */ +#define I2C2_CR_STARTCn_Msk (0x1UL) /*!< I2C2 CR: STARTCn (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define I2C2_ST_GCALLn_Pos (7UL) /*!< I2C2 ST: GCALLn (Bit 7) */ +#define I2C2_ST_GCALLn_Msk (0x80UL) /*!< I2C2 ST: GCALLn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_TENDn_Pos (6UL) /*!< I2C2 ST: TENDn (Bit 6) */ +#define I2C2_ST_TENDn_Msk (0x40UL) /*!< I2C2 ST: TENDn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_STOPDn_Pos (5UL) /*!< I2C2 ST: STOPDn (Bit 5) */ +#define I2C2_ST_STOPDn_Msk (0x20UL) /*!< I2C2 ST: STOPDn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_SSELn_Pos (4UL) /*!< I2C2 ST: SSELn (Bit 4) */ +#define I2C2_ST_SSELn_Msk (0x10UL) /*!< I2C2 ST: SSELn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_MLOSTn_Pos (3UL) /*!< I2C2 ST: MLOSTn (Bit 3) */ +#define I2C2_ST_MLOSTn_Msk (0x8UL) /*!< I2C2 ST: MLOSTn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_BUSYn_Pos (2UL) /*!< I2C2 ST: BUSYn (Bit 2) */ +#define I2C2_ST_BUSYn_Msk (0x4UL) /*!< I2C2 ST: BUSYn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_TMODEn_Pos (1UL) /*!< I2C2 ST: TMODEn (Bit 1) */ +#define I2C2_ST_TMODEn_Msk (0x2UL) /*!< I2C2 ST: TMODEn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_RXACKn_Pos (0UL) /*!< I2C2 ST: RXACKn (Bit 0) */ +#define I2C2_ST_RXACKn_Msk (0x1UL) /*!< I2C2 ST: RXACKn (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR1 ========================================================== */ +#define I2C2_SAR1_SLAn_Pos (1UL) /*!< I2C2 SAR1: SLAn (Bit 1) */ +#define I2C2_SAR1_SLAn_Msk (0xfeUL) /*!< I2C2 SAR1: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C2_SAR1_GCALLnEN_Pos (0UL) /*!< I2C2 SAR1: GCALLnEN (Bit 0) */ +#define I2C2_SAR1_GCALLnEN_Msk (0x1UL) /*!< I2C2 SAR1: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR2 ========================================================== */ +#define I2C2_SAR2_SLAn_Pos (1UL) /*!< I2C2 SAR2: SLAn (Bit 1) */ +#define I2C2_SAR2_SLAn_Msk (0xfeUL) /*!< I2C2 SAR2: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C2_SAR2_GCALLnEN_Pos (0UL) /*!< I2C2 SAR2: GCALLnEN (Bit 0) */ +#define I2C2_SAR2_GCALLnEN_Msk (0x1UL) /*!< I2C2 SAR2: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define I2C2_DR_DATA_Pos (0UL) /*!< I2C2 DR: DATA (Bit 0) */ +#define I2C2_DR_DATA_Msk (0xffUL) /*!< I2C2 DR: DATA (Bitfield-Mask: 0xff) */ +/* ========================================================= SDHR ========================================================== */ +#define I2C2_SDHR_HLDT_Pos (0UL) /*!< I2C2 SDHR: HLDT (Bit 0) */ +#define I2C2_SDHR_HLDT_Msk (0xfffUL) /*!< I2C2 SDHR: HLDT (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCLR ========================================================== */ +#define I2C2_SCLR_SCLL_Pos (0UL) /*!< I2C2 SCLR: SCLL (Bit 0) */ +#define I2C2_SCLR_SCLL_Msk (0xfffUL) /*!< I2C2 SCLR: SCLL (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCHR ========================================================== */ +#define I2C2_SCHR_SCLH_Pos (0UL) /*!< I2C2 SCHR: SCLH (Bit 0) */ +#define I2C2_SCHR_SCLH_Msk (0xfffUL) /*!< I2C2 SCHR: SCLH (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ LCD ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define LCD_CR_IRSEL_Pos (6UL) /*!< LCD CR: IRSEL (Bit 6) */ +#define LCD_CR_IRSEL_Msk (0xc0UL) /*!< LCD CR: IRSEL (Bitfield-Mask: 0x03) */ +#define LCD_CR_DBS_Pos (3UL) /*!< LCD CR: DBS (Bit 3) */ +#define LCD_CR_DBS_Msk (0x38UL) /*!< LCD CR: DBS (Bitfield-Mask: 0x07) */ +#define LCD_CR_LCLK_Pos (1UL) /*!< LCD CR: LCLK (Bit 1) */ +#define LCD_CR_LCLK_Msk (0x6UL) /*!< LCD CR: LCLK (Bitfield-Mask: 0x03) */ +#define LCD_CR_DISP_Pos (0UL) /*!< LCD CR: DISP (Bit 0) */ +#define LCD_CR_DISP_Msk (0x1UL) /*!< LCD CR: DISP (Bitfield-Mask: 0x01) */ +/* ========================================================= BCCR ========================================================== */ +#define LCD_BCCR_LCDABC_Pos (12UL) /*!< LCD BCCR: LCDABC (Bit 12) */ +#define LCD_BCCR_LCDABC_Msk (0x1000UL) /*!< LCD BCCR: LCDABC (Bitfield-Mask: 0x01) */ +#define LCD_BCCR_BMSEL_Pos (8UL) /*!< LCD BCCR: BMSEL (Bit 8) */ +#define LCD_BCCR_BMSEL_Msk (0x700UL) /*!< LCD BCCR: BMSEL (Bitfield-Mask: 0x07) */ +#define LCD_BCCR_LCTEN_Pos (5UL) /*!< LCD BCCR: LCTEN (Bit 5) */ +#define LCD_BCCR_LCTEN_Msk (0x20UL) /*!< LCD BCCR: LCTEN (Bitfield-Mask: 0x01) */ +#define LCD_BCCR_VLCD_Pos (0UL) /*!< LCD BCCR: VLCD (Bit 0) */ +#define LCD_BCCR_VLCD_Msk (0xfUL) /*!< LCD BCCR: VLCD (Bitfield-Mask: 0x0f) */ +/* ========================================================== DR0 ========================================================== */ +/* ========================================================== DR1 ========================================================== */ +/* ========================================================== DR2 ========================================================== */ +/* ========================================================== DR3 ========================================================== */ +/* ========================================================== DR4 ========================================================== */ +/* ========================================================== DR5 ========================================================== */ +/* ========================================================== DR6 ========================================================== */ +/* ========================================================== DR7 ========================================================== */ +/* ========================================================== DR8 ========================================================== */ +/* ========================================================== DR9 ========================================================== */ +/* ========================================================= DR10 ========================================================== */ +/* ========================================================= DR11 ========================================================== */ +/* ========================================================= DR12 ========================================================== */ +/* ========================================================= DR13 ========================================================== */ +/* ========================================================= DR14 ========================================================== */ +/* ========================================================= DR15 ========================================================== */ +/* ========================================================= DR16 ========================================================== */ +/* ========================================================= DR17 ========================================================== */ +/* ========================================================= DR18 ========================================================== */ +/* ========================================================= DR19 ========================================================== */ +/* ========================================================= DR20 ========================================================== */ +/* ========================================================= DR21 ========================================================== */ +/* ========================================================= DR22 ========================================================== */ +/* ========================================================= DR23 ========================================================== */ +/* ========================================================= DR24 ========================================================== */ +/* ========================================================= DR25 ========================================================== */ +/* ========================================================= DR26 ========================================================== */ +/* ========================================================= DR27 ========================================================== */ +/* ========================================================= DR28 ========================================================== */ +/* ========================================================= DR29 ========================================================== */ +/* ========================================================= DR30 ========================================================== */ +/* ========================================================= DR31 ========================================================== */ +/* ========================================================= DR32 ========================================================== */ +/* ========================================================= DR33 ========================================================== */ +/* ========================================================= DR34 ========================================================== */ +/* ========================================================= DR35 ========================================================== */ +/* ========================================================= DR36 ========================================================== */ +/* ========================================================= DR37 ========================================================== */ +/* ========================================================= DR38 ========================================================== */ +/* ========================================================= DR39 ========================================================== */ +/* ========================================================= DR40 ========================================================== */ +/* ========================================================= DR41 ========================================================== */ +/* ========================================================= DR42 ========================================================== */ +/* ========================================================= DR43 ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ CRC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define CRC_CR_MODS_Pos (7UL) /*!< CRC CR: MODS (Bit 7) */ +#define CRC_CR_MODS_Msk (0x80UL) /*!< CRC CR: MODS (Bitfield-Mask: 0x01) */ +#define CRC_CR_RLTCLR_Pos (6UL) /*!< CRC CR: RLTCLR (Bit 6) */ +#define CRC_CR_RLTCLR_Msk (0x40UL) /*!< CRC CR: RLTCLR (Bitfield-Mask: 0x01) */ +#define CRC_CR_MDSEL_Pos (5UL) /*!< CRC CR: MDSEL (Bit 5) */ +#define CRC_CR_MDSEL_Msk (0x20UL) /*!< CRC CR: MDSEL (Bitfield-Mask: 0x01) */ +#define CRC_CR_POLYS_Pos (4UL) /*!< CRC CR: POLYS (Bit 4) */ +#define CRC_CR_POLYS_Msk (0x10UL) /*!< CRC CR: POLYS (Bitfield-Mask: 0x01) */ +#define CRC_CR_SARINC_Pos (3UL) /*!< CRC CR: SARINC (Bit 3) */ +#define CRC_CR_SARINC_Msk (0x8UL) /*!< CRC CR: SARINC (Bitfield-Mask: 0x01) */ +#define CRC_CR_FIRSTBS_Pos (1UL) /*!< CRC CR: FIRSTBS (Bit 1) */ +#define CRC_CR_FIRSTBS_Msk (0x2UL) /*!< CRC CR: FIRSTBS (Bitfield-Mask: 0x01) */ +#define CRC_CR_CRCRUN_Pos (0UL) /*!< CRC CR: CRCRUN (Bit 0) */ +#define CRC_CR_CRCRUN_Msk (0x1UL) /*!< CRC CR: CRCRUN (Bitfield-Mask: 0x01) */ +/* ========================================================== IN =========================================================== */ +#define CRC_IN_INDATA_Pos (0UL) /*!< CRC IN: INDATA (Bit 0) */ +#define CRC_IN_INDATA_Msk (0xffffffffUL) /*!< CRC IN: INDATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== RLT ========================================================== */ +#define CRC_RLT_RLTDATA_Pos (0UL) /*!< CRC RLT: RLTDATA (Bit 0) */ +#define CRC_RLT_RLTDATA_Msk (0xffffUL) /*!< CRC RLT: RLTDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= INIT ========================================================== */ +#define CRC_INIT_INIDATA_Pos (0UL) /*!< CRC INIT: INIDATA (Bit 0) */ +#define CRC_INIT_INIDATA_Msk (0xffffUL) /*!< CRC INIT: INIDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= SADR ========================================================== */ +#define CRC_SADR_SADR_Pos (2UL) /*!< CRC SADR: SADR (Bit 2) */ +#define CRC_SADR_SADR_Msk (0xfffffffcUL) /*!< CRC SADR: SADR (Bitfield-Mask: 0x3fffffff) */ +/* ========================================================= EADR ========================================================== */ +#define CRC_EADR_EADR_Pos (2UL) /*!< CRC EADR: EADR (Bit 2) */ +#define CRC_EADR_EADR_Msk (0xfffffffcUL) /*!< CRC EADR: EADR (Bitfield-Mask: 0x3fffffff) */ + + +/* =========================================================================================================================== */ +/* ================ COA0 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== TRIM00 ========================================================= */ +/* ======================================================== TRIM01 ========================================================= */ +/* ======================================================== TRIM02 ========================================================= */ +/* ======================================================== TRIM03 ========================================================= */ +/* ======================================================== TRIM04 ========================================================= */ +/* ======================================================== TRIM05 ========================================================= */ +/* ======================================================== TRIM06 ========================================================= */ +/* ======================================================== TRIM07 ========================================================= */ +/* ======================================================== TRIM08 ========================================================= */ +/* ======================================================== TRIM09 ========================================================= */ +/* ======================================================== TRIM10 ========================================================= */ +/* ======================================================== TRIM11 ========================================================= */ +/* ======================================================== TRIM12 ========================================================= */ +/* ======================================================== TRIM13 ========================================================= */ +/* ======================================================== TRIM14 ========================================================= */ +/* ======================================================== TRIM15 ========================================================= */ +/* ======================================================== TRIM16 ========================================================= */ +/* ======================================================== TRIM17 ========================================================= */ +/* ======================================================== TRIM18 ========================================================= */ +/* ======================================================== TRIM19 ========================================================= */ +/* ===================================================== CONF_MF1CNFIG ===================================================== */ +#define COA0_CONF_MF1CNFIG_XYCDN_Pos (0UL) /*!< COA0 CONF_MF1CNFIG: XYCDN (Bit 0) */ +#define COA0_CONF_MF1CNFIG_XYCDN_Msk (0xffffffffUL) /*!< COA0 CONF_MF1CNFIG: XYCDN (Bitfield-Mask: 0xffffffff) */ +/* ===================================================== CONF_MF2CNFIG ===================================================== */ +#define COA0_CONF_MF2CNFIG_LOTNO_Pos (8UL) /*!< COA0 CONF_MF2CNFIG: LOTNO (Bit 8) */ +#define COA0_CONF_MF2CNFIG_LOTNO_Msk (0xffffff00UL) /*!< COA0 CONF_MF2CNFIG: LOTNO (Bitfield-Mask: 0xffffff) */ +#define COA0_CONF_MF2CNFIG_WAFNO_Pos (0UL) /*!< COA0 CONF_MF2CNFIG: WAFNO (Bit 0) */ +#define COA0_CONF_MF2CNFIG_WAFNO_Msk (0xffUL) /*!< COA0 CONF_MF2CNFIG: WAFNO (Bitfield-Mask: 0xff) */ +/* ===================================================== CONF_MF3CNFIG ===================================================== */ +#define COA0_CONF_MF3CNFIG_LOTNO_Pos (0UL) /*!< COA0 CONF_MF3CNFIG: LOTNO (Bit 0) */ +#define COA0_CONF_MF3CNFIG_LOTNO_Msk (0xffffffffUL) /*!< COA0 CONF_MF3CNFIG: LOTNO (Bitfield-Mask: 0xffffffff) */ +/* ===================================================== CONF_MF4CNFIG ===================================================== */ +#define COA0_CONF_MF4CNFIG_LOTNO_Pos (0UL) /*!< COA0 CONF_MF4CNFIG: LOTNO (Bit 0) */ +#define COA0_CONF_MF4CNFIG_LOTNO_Msk (0xffffffffUL) /*!< COA0 CONF_MF4CNFIG: LOTNO (Bitfield-Mask: 0xffffffff) */ +/* ======================================================== TRIM24 ========================================================= */ +/* ======================================================== TRIM25 ========================================================= */ +/* ======================================================== TRIM26 ========================================================= */ +/* ======================================================== TRIM27 ========================================================= */ +/* ======================================================== TRIM28 ========================================================= */ +/* ======================================================== TRIM29 ========================================================= */ +/* ======================================================== TRIM30 ========================================================= */ +/* ======================================================== TRIM31 ========================================================= */ + + +/* =========================================================================================================================== */ +/* ================ COA1 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== RPCNFIG ======================================================== */ +#define COA1_RPCNFIG_WTIDKY_Pos (4UL) /*!< COA1 RPCNFIG: WTIDKY (Bit 4) */ +#define COA1_RPCNFIG_WTIDKY_Msk (0xfffffff0UL) /*!< COA1 RPCNFIG: WTIDKY (Bitfield-Mask: 0xfffffff) */ +#define COA1_RPCNFIG_READP_Pos (0UL) /*!< COA1 RPCNFIG: READP (Bit 0) */ +#define COA1_RPCNFIG_READP_Msk (0x3UL) /*!< COA1 RPCNFIG: READP (Bitfield-Mask: 0x03) */ +/* ======================================================= WDTCNFIG ======================================================== */ +#define COA1_WDTCNFIG_WRCMF_Pos (4UL) /*!< COA1 WDTCNFIG: WRCMF (Bit 4) */ +#define COA1_WDTCNFIG_WRCMF_Msk (0xfff0UL) /*!< COA1 WDTCNFIG: WRCMF (Bitfield-Mask: 0xfff) */ +#define COA1_WDTCNFIG_WCLKMF_Pos (2UL) /*!< COA1 WDTCNFIG: WCLKMF (Bit 2) */ +#define COA1_WDTCNFIG_WCLKMF_Msk (0x4UL) /*!< COA1 WDTCNFIG: WCLKMF (Bitfield-Mask: 0x01) */ +#define COA1_WDTCNFIG_WRSTMF_Pos (1UL) /*!< COA1 WDTCNFIG: WRSTMF (Bit 1) */ +#define COA1_WDTCNFIG_WRSTMF_Msk (0x2UL) /*!< COA1 WDTCNFIG: WRSTMF (Bitfield-Mask: 0x01) */ +#define COA1_WDTCNFIG_WCNTMF_Pos (0UL) /*!< COA1 WDTCNFIG: WCNTMF (Bit 0) */ +#define COA1_WDTCNFIG_WCNTMF_Msk (0x1UL) /*!< COA1 WDTCNFIG: WCNTMF (Bitfield-Mask: 0x01) */ +/* ======================================================= LVRCNFIG ======================================================== */ +#define COA1_LVRCNFIG_LVRENM_Pos (8UL) /*!< COA1 LVRCNFIG: LVRENM (Bit 8) */ +#define COA1_LVRCNFIG_LVRENM_Msk (0xff00UL) /*!< COA1 LVRCNFIG: LVRENM (Bitfield-Mask: 0xff) */ +#define COA1_LVRCNFIG_LVRVS_Pos (0UL) /*!< COA1 LVRCNFIG: LVRVS (Bit 0) */ +#define COA1_LVRCNFIG_LVRVS_Msk (0xfUL) /*!< COA1 LVRCNFIG: LVRVS (Bitfield-Mask: 0x0f) */ +/* ======================================================= CNFIGWTP1 ======================================================= */ +#define COA1_CNFIGWTP1_CP3WP_Pos (2UL) /*!< COA1 CNFIGWTP1: CP3WP (Bit 2) */ +#define COA1_CNFIGWTP1_CP3WP_Msk (0x4UL) /*!< COA1 CNFIGWTP1: CP3WP (Bitfield-Mask: 0x01) */ +#define COA1_CNFIGWTP1_CP2WP_Pos (1UL) /*!< COA1 CNFIGWTP1: CP2WP (Bit 1) */ +#define COA1_CNFIGWTP1_CP2WP_Msk (0x2UL) /*!< COA1 CNFIGWTP1: CP2WP (Bitfield-Mask: 0x01) */ +#define COA1_CNFIGWTP1_CP1WP_Pos (0UL) /*!< COA1 CNFIGWTP1: CP1WP (Bit 0) */ +#define COA1_CNFIGWTP1_CP1WP_Msk (0x1UL) /*!< COA1 CNFIGWTP1: CP1WP (Bitfield-Mask: 0x01) */ +/* ======================================================== FMWTP1 ========================================================= */ +#define COA1_FMWTP1_SWTP31_Pos (31UL) /*!< COA1 FMWTP1: SWTP31 (Bit 31) */ +#define COA1_FMWTP1_SWTP31_Msk (0x80000000UL) /*!< COA1 FMWTP1: SWTP31 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP30_Pos (30UL) /*!< COA1 FMWTP1: SWTP30 (Bit 30) */ +#define COA1_FMWTP1_SWTP30_Msk (0x40000000UL) /*!< COA1 FMWTP1: SWTP30 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP29_Pos (29UL) /*!< COA1 FMWTP1: SWTP29 (Bit 29) */ +#define COA1_FMWTP1_SWTP29_Msk (0x20000000UL) /*!< COA1 FMWTP1: SWTP29 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP28_Pos (28UL) /*!< COA1 FMWTP1: SWTP28 (Bit 28) */ +#define COA1_FMWTP1_SWTP28_Msk (0x10000000UL) /*!< COA1 FMWTP1: SWTP28 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP27_Pos (27UL) /*!< COA1 FMWTP1: SWTP27 (Bit 27) */ +#define COA1_FMWTP1_SWTP27_Msk (0x8000000UL) /*!< COA1 FMWTP1: SWTP27 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP26_Pos (26UL) /*!< COA1 FMWTP1: SWTP26 (Bit 26) */ +#define COA1_FMWTP1_SWTP26_Msk (0x4000000UL) /*!< COA1 FMWTP1: SWTP26 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP25_Pos (25UL) /*!< COA1 FMWTP1: SWTP25 (Bit 25) */ +#define COA1_FMWTP1_SWTP25_Msk (0x2000000UL) /*!< COA1 FMWTP1: SWTP25 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP24_Pos (24UL) /*!< COA1 FMWTP1: SWTP24 (Bit 24) */ +#define COA1_FMWTP1_SWTP24_Msk (0x1000000UL) /*!< COA1 FMWTP1: SWTP24 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP23_Pos (23UL) /*!< COA1 FMWTP1: SWTP23 (Bit 23) */ +#define COA1_FMWTP1_SWTP23_Msk (0x800000UL) /*!< COA1 FMWTP1: SWTP23 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP22_Pos (22UL) /*!< COA1 FMWTP1: SWTP22 (Bit 22) */ +#define COA1_FMWTP1_SWTP22_Msk (0x400000UL) /*!< COA1 FMWTP1: SWTP22 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP21_Pos (21UL) /*!< COA1 FMWTP1: SWTP21 (Bit 21) */ +#define COA1_FMWTP1_SWTP21_Msk (0x200000UL) /*!< COA1 FMWTP1: SWTP21 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP20_Pos (20UL) /*!< COA1 FMWTP1: SWTP20 (Bit 20) */ +#define COA1_FMWTP1_SWTP20_Msk (0x100000UL) /*!< COA1 FMWTP1: SWTP20 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP19_Pos (19UL) /*!< COA1 FMWTP1: SWTP19 (Bit 19) */ +#define COA1_FMWTP1_SWTP19_Msk (0x80000UL) /*!< COA1 FMWTP1: SWTP19 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP18_Pos (18UL) /*!< COA1 FMWTP1: SWTP18 (Bit 18) */ +#define COA1_FMWTP1_SWTP18_Msk (0x40000UL) /*!< COA1 FMWTP1: SWTP18 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP17_Pos (17UL) /*!< COA1 FMWTP1: SWTP17 (Bit 17) */ +#define COA1_FMWTP1_SWTP17_Msk (0x20000UL) /*!< COA1 FMWTP1: SWTP17 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP16_Pos (16UL) /*!< COA1 FMWTP1: SWTP16 (Bit 16) */ +#define COA1_FMWTP1_SWTP16_Msk (0x10000UL) /*!< COA1 FMWTP1: SWTP16 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP15_Pos (15UL) /*!< COA1 FMWTP1: SWTP15 (Bit 15) */ +#define COA1_FMWTP1_SWTP15_Msk (0x8000UL) /*!< COA1 FMWTP1: SWTP15 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP14_Pos (14UL) /*!< COA1 FMWTP1: SWTP14 (Bit 14) */ +#define COA1_FMWTP1_SWTP14_Msk (0x4000UL) /*!< COA1 FMWTP1: SWTP14 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP13_Pos (13UL) /*!< COA1 FMWTP1: SWTP13 (Bit 13) */ +#define COA1_FMWTP1_SWTP13_Msk (0x2000UL) /*!< COA1 FMWTP1: SWTP13 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP12_Pos (12UL) /*!< COA1 FMWTP1: SWTP12 (Bit 12) */ +#define COA1_FMWTP1_SWTP12_Msk (0x1000UL) /*!< COA1 FMWTP1: SWTP12 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP11_Pos (11UL) /*!< COA1 FMWTP1: SWTP11 (Bit 11) */ +#define COA1_FMWTP1_SWTP11_Msk (0x800UL) /*!< COA1 FMWTP1: SWTP11 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP10_Pos (10UL) /*!< COA1 FMWTP1: SWTP10 (Bit 10) */ +#define COA1_FMWTP1_SWTP10_Msk (0x400UL) /*!< COA1 FMWTP1: SWTP10 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP9_Pos (9UL) /*!< COA1 FMWTP1: SWTP9 (Bit 9) */ +#define COA1_FMWTP1_SWTP9_Msk (0x200UL) /*!< COA1 FMWTP1: SWTP9 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP8_Pos (8UL) /*!< COA1 FMWTP1: SWTP8 (Bit 8) */ +#define COA1_FMWTP1_SWTP8_Msk (0x100UL) /*!< COA1 FMWTP1: SWTP8 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP7_Pos (7UL) /*!< COA1 FMWTP1: SWTP7 (Bit 7) */ +#define COA1_FMWTP1_SWTP7_Msk (0x80UL) /*!< COA1 FMWTP1: SWTP7 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP6_Pos (6UL) /*!< COA1 FMWTP1: SWTP6 (Bit 6) */ +#define COA1_FMWTP1_SWTP6_Msk (0x40UL) /*!< COA1 FMWTP1: SWTP6 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP5_Pos (5UL) /*!< COA1 FMWTP1: SWTP5 (Bit 5) */ +#define COA1_FMWTP1_SWTP5_Msk (0x20UL) /*!< COA1 FMWTP1: SWTP5 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP4_Pos (4UL) /*!< COA1 FMWTP1: SWTP4 (Bit 4) */ +#define COA1_FMWTP1_SWTP4_Msk (0x10UL) /*!< COA1 FMWTP1: SWTP4 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP3_Pos (3UL) /*!< COA1 FMWTP1: SWTP3 (Bit 3) */ +#define COA1_FMWTP1_SWTP3_Msk (0x8UL) /*!< COA1 FMWTP1: SWTP3 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP2_Pos (2UL) /*!< COA1 FMWTP1: SWTP2 (Bit 2) */ +#define COA1_FMWTP1_SWTP2_Msk (0x4UL) /*!< COA1 FMWTP1: SWTP2 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP1_Pos (1UL) /*!< COA1 FMWTP1: SWTP1 (Bit 1) */ +#define COA1_FMWTP1_SWTP1_Msk (0x2UL) /*!< COA1 FMWTP1: SWTP1 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP0_Pos (0UL) /*!< COA1 FMWTP1: SWTP0 (Bit 0) */ +#define COA1_FMWTP1_SWTP0_Msk (0x1UL) /*!< COA1 FMWTP1: SWTP0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ COA2 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== UDATA00 ======================================================== */ +/* ======================================================== UDATA01 ======================================================== */ +/* ======================================================== UDATA02 ======================================================== */ +/* ======================================================== UDATA03 ======================================================== */ +/* ======================================================== UDATA04 ======================================================== */ +/* ======================================================== UDATA05 ======================================================== */ +/* ======================================================== UDATA06 ======================================================== */ +/* ======================================================== UDATA07 ======================================================== */ +/* ======================================================== UDATA08 ======================================================== */ +/* ======================================================== UDATA09 ======================================================== */ +/* ======================================================== UDATA10 ======================================================== */ +/* ======================================================== UDATA11 ======================================================== */ +/* ======================================================== UDATA12 ======================================================== */ +/* ======================================================== UDATA13 ======================================================== */ +/* ======================================================== UDATA14 ======================================================== */ +/* ======================================================== UDATA15 ======================================================== */ +/* ======================================================== UDATA16 ======================================================== */ +/* ======================================================== UDATA17 ======================================================== */ +/* ======================================================== UDATA18 ======================================================== */ +/* ======================================================== UDATA19 ======================================================== */ +/* ======================================================== UDATA20 ======================================================== */ +/* ======================================================== UDATA21 ======================================================== */ +/* ======================================================== UDATA22 ======================================================== */ +/* ======================================================== UDATA23 ======================================================== */ +/* ======================================================== UDATA24 ======================================================== */ +/* ======================================================== UDATA25 ======================================================== */ +/* ======================================================== UDATA26 ======================================================== */ +/* ======================================================== UDATA27 ======================================================== */ +/* ======================================================== UDATA28 ======================================================== */ +/* ======================================================== UDATA29 ======================================================== */ +/* ======================================================== UDATA30 ======================================================== */ +/* ======================================================== UDATA31 ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ COA3 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== UDATA00 ======================================================== */ +/* ======================================================== UDATA01 ======================================================== */ +/* ======================================================== UDATA02 ======================================================== */ +/* ======================================================== UDATA03 ======================================================== */ +/* ======================================================== UDATA04 ======================================================== */ +/* ======================================================== UDATA05 ======================================================== */ +/* ======================================================== UDATA06 ======================================================== */ +/* ======================================================== UDATA07 ======================================================== */ +/* ======================================================== UDATA08 ======================================================== */ +/* ======================================================== UDATA09 ======================================================== */ +/* ======================================================== UDATA10 ======================================================== */ +/* ======================================================== UDATA11 ======================================================== */ +/* ======================================================== UDATA12 ======================================================== */ +/* ======================================================== UDATA13 ======================================================== */ +/* ======================================================== UDATA14 ======================================================== */ +/* ======================================================== UDATA15 ======================================================== */ +/* ======================================================== UDATA16 ======================================================== */ +/* ======================================================== UDATA17 ======================================================== */ +/* ======================================================== UDATA18 ======================================================== */ +/* ======================================================== UDATA19 ======================================================== */ +/* ======================================================== UDATA20 ======================================================== */ +/* ======================================================== UDATA21 ======================================================== */ +/* ======================================================== UDATA22 ======================================================== */ +/* ======================================================== UDATA23 ======================================================== */ +/* ======================================================== UDATA24 ======================================================== */ +/* ======================================================== UDATA25 ======================================================== */ +/* ======================================================== UDATA26 ======================================================== */ +/* ======================================================== UDATA27 ======================================================== */ +/* ======================================================== UDATA28 ======================================================== */ +/* ======================================================== UDATA29 ======================================================== */ +/* ======================================================== UDATA30 ======================================================== */ +/* ======================================================== UDATA31 ======================================================== */ + +/** @} */ /* End of group PosMask_peripherals */ + + +/* =========================================================================================================================== */ +/* ================ Enumerated Values Peripheral Section ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup EnumValue_peripherals + * @{ + */ + + + +/* =========================================================================================================================== */ +/* ================ INTC ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== PBTRIG ========================================================= */ +/* ============================================= INTC PBTRIG ITRIG11 [11..11] ============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG11 */ + INTC_PBTRIG_ITRIG11_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG11_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG11_Enum; + +/* ============================================= INTC PBTRIG ITRIG10 [10..10] ============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG10 */ + INTC_PBTRIG_ITRIG10_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG10_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG10_Enum; + +/* =============================================== INTC PBTRIG ITRIG9 [9..9] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG9 */ + INTC_PBTRIG_ITRIG9_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG9_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG9_Enum; + +/* =============================================== INTC PBTRIG ITRIG8 [8..8] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG8 */ + INTC_PBTRIG_ITRIG8_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG8_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG8_Enum; + +/* =============================================== INTC PBTRIG ITRIG7 [7..7] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG7 */ + INTC_PBTRIG_ITRIG7_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG7_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG7_Enum; + +/* =============================================== INTC PBTRIG ITRIG6 [6..6] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG6 */ + INTC_PBTRIG_ITRIG6_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG6_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG6_Enum; + +/* =============================================== INTC PBTRIG ITRIG5 [5..5] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG5 */ + INTC_PBTRIG_ITRIG5_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG5_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG5_Enum; + +/* =============================================== INTC PBTRIG ITRIG4 [4..4] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG4 */ + INTC_PBTRIG_ITRIG4_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG4_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG4_Enum; + +/* =============================================== INTC PBTRIG ITRIG3 [3..3] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG3 */ + INTC_PBTRIG_ITRIG3_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG3_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG3_Enum; + +/* =============================================== INTC PBTRIG ITRIG2 [2..2] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG2 */ + INTC_PBTRIG_ITRIG2_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG2_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG2_Enum; + +/* =============================================== INTC PBTRIG ITRIG1 [1..1] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG1 */ + INTC_PBTRIG_ITRIG1_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG1_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG1_Enum; + +/* =============================================== INTC PBTRIG ITRIG0 [0..0] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG0 */ + INTC_PBTRIG_ITRIG0_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG0_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG0_Enum; + +/* ======================================================== PCTRIG ========================================================= */ +/* =============================================== INTC PCTRIG ITRIG3 [3..3] =============================================== */ +typedef enum { /*!< INTC_PCTRIG_ITRIG3 */ + INTC_PCTRIG_ITRIG3_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PCTRIG_ITRIG3_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PCTRIG_ITRIG3_Enum; + +/* =============================================== INTC PCTRIG ITRIG2 [2..2] =============================================== */ +typedef enum { /*!< INTC_PCTRIG_ITRIG2 */ + INTC_PCTRIG_ITRIG2_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PCTRIG_ITRIG2_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PCTRIG_ITRIG2_Enum; + +/* =============================================== INTC PCTRIG ITRIG1 [1..1] =============================================== */ +typedef enum { /*!< INTC_PCTRIG_ITRIG1 */ + INTC_PCTRIG_ITRIG1_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PCTRIG_ITRIG1_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PCTRIG_ITRIG1_Enum; + +/* =============================================== INTC PCTRIG ITRIG0 [0..0] =============================================== */ +typedef enum { /*!< INTC_PCTRIG_ITRIG0 */ + INTC_PCTRIG_ITRIG0_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PCTRIG_ITRIG0_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PCTRIG_ITRIG0_Enum; + +/* ======================================================== PETRIG ========================================================= */ +/* =============================================== INTC PETRIG ITRIG3 [3..3] =============================================== */ +typedef enum { /*!< INTC_PETRIG_ITRIG3 */ + INTC_PETRIG_ITRIG3_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PETRIG_ITRIG3_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PETRIG_ITRIG3_Enum; + +/* =============================================== INTC PETRIG ITRIG2 [2..2] =============================================== */ +typedef enum { /*!< INTC_PETRIG_ITRIG2 */ + INTC_PETRIG_ITRIG2_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PETRIG_ITRIG2_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PETRIG_ITRIG2_Enum; + +/* =============================================== INTC PETRIG ITRIG1 [1..1] =============================================== */ +typedef enum { /*!< INTC_PETRIG_ITRIG1 */ + INTC_PETRIG_ITRIG1_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PETRIG_ITRIG1_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PETRIG_ITRIG1_Enum; + +/* =============================================== INTC PETRIG ITRIG0 [0..0] =============================================== */ +typedef enum { /*!< INTC_PETRIG_ITRIG0 */ + INTC_PETRIG_ITRIG0_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PETRIG_ITRIG0_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PETRIG_ITRIG0_Enum; + +/* ========================================================= PBCR ========================================================== */ +/* ============================================== INTC PBCR INTCTL11 [22..23] ============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL11 */ + INTC_PBCR_INTCTL11_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL11_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL11_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL11_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL11_Enum; + +/* ============================================== INTC PBCR INTCTL10 [20..21] ============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL10 */ + INTC_PBCR_INTCTL10_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL10_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL10_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL10_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL10_Enum; + +/* ============================================== INTC PBCR INTCTL9 [18..19] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL9 */ + INTC_PBCR_INTCTL9_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL9_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL9_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL9_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL9_Enum; + +/* ============================================== INTC PBCR INTCTL8 [16..17] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL8 */ + INTC_PBCR_INTCTL8_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL8_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL8_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL8_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL8_Enum; + +/* ============================================== INTC PBCR INTCTL7 [14..15] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL7 */ + INTC_PBCR_INTCTL7_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL7_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL7_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL7_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL7_Enum; + +/* ============================================== INTC PBCR INTCTL6 [12..13] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL6 */ + INTC_PBCR_INTCTL6_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL6_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL6_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL6_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL6_Enum; + +/* ============================================== INTC PBCR INTCTL5 [10..11] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL5 */ + INTC_PBCR_INTCTL5_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL5_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL5_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL5_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL5_Enum; + +/* =============================================== INTC PBCR INTCTL4 [8..9] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL4 */ + INTC_PBCR_INTCTL4_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL4_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL4_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL4_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL4_Enum; + +/* =============================================== INTC PBCR INTCTL3 [6..7] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL3 */ + INTC_PBCR_INTCTL3_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL3_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL3_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL3_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL3_Enum; + +/* =============================================== INTC PBCR INTCTL2 [4..5] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL2 */ + INTC_PBCR_INTCTL2_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL2_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL2_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL2_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL2_Enum; + +/* =============================================== INTC PBCR INTCTL1 [2..3] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL1 */ + INTC_PBCR_INTCTL1_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL1_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL1_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL1_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL1_Enum; + +/* =============================================== INTC PBCR INTCTL0 [0..1] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL0 */ + INTC_PBCR_INTCTL0_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL0_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL0_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL0_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL0_Enum; + +/* ========================================================= PCCR ========================================================== */ +/* =============================================== INTC PCCR INTCTL3 [6..7] ================================================ */ +typedef enum { /*!< INTC_PCCR_INTCTL3 */ + INTC_PCCR_INTCTL3_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PCCR_INTCTL3_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PCCR_INTCTL3_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PCCR_INTCTL3_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PCCR_INTCTL3_Enum; + +/* =============================================== INTC PCCR INTCTL2 [4..5] ================================================ */ +typedef enum { /*!< INTC_PCCR_INTCTL2 */ + INTC_PCCR_INTCTL2_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PCCR_INTCTL2_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PCCR_INTCTL2_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PCCR_INTCTL2_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PCCR_INTCTL2_Enum; + +/* =============================================== INTC PCCR INTCTL1 [2..3] ================================================ */ +typedef enum { /*!< INTC_PCCR_INTCTL1 */ + INTC_PCCR_INTCTL1_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PCCR_INTCTL1_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PCCR_INTCTL1_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PCCR_INTCTL1_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PCCR_INTCTL1_Enum; + +/* =============================================== INTC PCCR INTCTL0 [0..1] ================================================ */ +typedef enum { /*!< INTC_PCCR_INTCTL0 */ + INTC_PCCR_INTCTL0_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PCCR_INTCTL0_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PCCR_INTCTL0_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PCCR_INTCTL0_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PCCR_INTCTL0_Enum; + +/* ========================================================= PECR ========================================================== */ +/* =============================================== INTC PECR INTCTL3 [6..7] ================================================ */ +typedef enum { /*!< INTC_PECR_INTCTL3 */ + INTC_PECR_INTCTL3_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PECR_INTCTL3_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PECR_INTCTL3_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PECR_INTCTL3_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PECR_INTCTL3_Enum; + +/* =============================================== INTC PECR INTCTL2 [4..5] ================================================ */ +typedef enum { /*!< INTC_PECR_INTCTL2 */ + INTC_PECR_INTCTL2_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PECR_INTCTL2_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PECR_INTCTL2_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PECR_INTCTL2_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PECR_INTCTL2_Enum; + +/* =============================================== INTC PECR INTCTL1 [2..3] ================================================ */ +typedef enum { /*!< INTC_PECR_INTCTL1 */ + INTC_PECR_INTCTL1_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PECR_INTCTL1_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PECR_INTCTL1_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PECR_INTCTL1_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PECR_INTCTL1_Enum; + +/* =============================================== INTC PECR INTCTL0 [0..1] ================================================ */ +typedef enum { /*!< INTC_PECR_INTCTL0 */ + INTC_PECR_INTCTL0_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PECR_INTCTL0_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PECR_INTCTL0_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PECR_INTCTL0_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PECR_INTCTL0_Enum; + +/* ======================================================== PBFLAG ========================================================= */ +/* ============================================== INTC PBFLAG FLAG11 [11..11] ============================================== */ +typedef enum { /*!< INTC_PBFLAG_FLAG11 */ + INTC_PBFLAG_FLAG11_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG11_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG11_Enum; + +/* ============================================== INTC PBFLAG FLAG10 [10..10] ============================================== */ +typedef enum { /*!< INTC_PBFLAG_FLAG10 */ + INTC_PBFLAG_FLAG10_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG10_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG10_Enum; + +/* =============================================== INTC PBFLAG FLAG9 [9..9] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG9 */ + INTC_PBFLAG_FLAG9_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG9_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG9_Enum; + +/* =============================================== INTC PBFLAG FLAG8 [8..8] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG8 */ + INTC_PBFLAG_FLAG8_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG8_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG8_Enum; + +/* =============================================== INTC PBFLAG FLAG7 [7..7] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG7 */ + INTC_PBFLAG_FLAG7_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG7_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG7_Enum; + +/* =============================================== INTC PBFLAG FLAG6 [6..6] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG6 */ + INTC_PBFLAG_FLAG6_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG6_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG6_Enum; + +/* =============================================== INTC PBFLAG FLAG5 [5..5] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG5 */ + INTC_PBFLAG_FLAG5_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG5_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG5_Enum; + +/* =============================================== INTC PBFLAG FLAG4 [4..4] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG4 */ + INTC_PBFLAG_FLAG4_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG4_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG4_Enum; + +/* =============================================== INTC PBFLAG FLAG3 [3..3] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG3 */ + INTC_PBFLAG_FLAG3_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG3_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG3_Enum; + +/* =============================================== INTC PBFLAG FLAG2 [2..2] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG2 */ + INTC_PBFLAG_FLAG2_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG2_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG2_Enum; + +/* =============================================== INTC PBFLAG FLAG1 [1..1] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG1 */ + INTC_PBFLAG_FLAG1_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG1_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG1_Enum; + +/* =============================================== INTC PBFLAG FLAG0 [0..0] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG0 */ + INTC_PBFLAG_FLAG0_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG0_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG0_Enum; + +/* ======================================================== PCFLAG ========================================================= */ +/* =============================================== INTC PCFLAG FLAG3 [3..3] ================================================ */ +typedef enum { /*!< INTC_PCFLAG_FLAG3 */ + INTC_PCFLAG_FLAG3_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PCFLAG_FLAG3_Request = 1, /*!< Request : Request occurred. */ +} INTC_PCFLAG_FLAG3_Enum; + +/* =============================================== INTC PCFLAG FLAG2 [2..2] ================================================ */ +typedef enum { /*!< INTC_PCFLAG_FLAG2 */ + INTC_PCFLAG_FLAG2_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PCFLAG_FLAG2_Request = 1, /*!< Request : Request occurred. */ +} INTC_PCFLAG_FLAG2_Enum; + +/* =============================================== INTC PCFLAG FLAG1 [1..1] ================================================ */ +typedef enum { /*!< INTC_PCFLAG_FLAG1 */ + INTC_PCFLAG_FLAG1_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PCFLAG_FLAG1_Request = 1, /*!< Request : Request occurred. */ +} INTC_PCFLAG_FLAG1_Enum; + +/* =============================================== INTC PCFLAG FLAG0 [0..0] ================================================ */ +typedef enum { /*!< INTC_PCFLAG_FLAG0 */ + INTC_PCFLAG_FLAG0_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PCFLAG_FLAG0_Request = 1, /*!< Request : Request occurred. */ +} INTC_PCFLAG_FLAG0_Enum; + +/* ======================================================== PEFLAG ========================================================= */ +/* =============================================== INTC PEFLAG FLAG3 [3..3] ================================================ */ +typedef enum { /*!< INTC_PEFLAG_FLAG3 */ + INTC_PEFLAG_FLAG3_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PEFLAG_FLAG3_Request = 1, /*!< Request : Request occurred. */ +} INTC_PEFLAG_FLAG3_Enum; + +/* =============================================== INTC PEFLAG FLAG2 [2..2] ================================================ */ +typedef enum { /*!< INTC_PEFLAG_FLAG2 */ + INTC_PEFLAG_FLAG2_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PEFLAG_FLAG2_Request = 1, /*!< Request : Request occurred. */ +} INTC_PEFLAG_FLAG2_Enum; + +/* =============================================== INTC PEFLAG FLAG1 [1..1] ================================================ */ +typedef enum { /*!< INTC_PEFLAG_FLAG1 */ + INTC_PEFLAG_FLAG1_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PEFLAG_FLAG1_Request = 1, /*!< Request : Request occurred. */ +} INTC_PEFLAG_FLAG1_Enum; + +/* =============================================== INTC PEFLAG FLAG0 [0..0] ================================================ */ +typedef enum { /*!< INTC_PEFLAG_FLAG0 */ + INTC_PEFLAG_FLAG0_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PEFLAG_FLAG0_Request = 1, /*!< Request : Request occurred. */ +} INTC_PEFLAG_FLAG0_Enum; + +/* ====================================================== EINT0CONF1 ======================================================= */ +/* ============================================ INTC EINT0CONF1 CONF7 [28..31] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF7 */ + INTC_EINT0CONF1_CONF7_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF7_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF7_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF7_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF7_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF7_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF7_Enum; + +/* ============================================ INTC EINT0CONF1 CONF6 [24..27] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF6 */ + INTC_EINT0CONF1_CONF6_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF6_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF6_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF6_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF6_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF6_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF6_Enum; + +/* ============================================ INTC EINT0CONF1 CONF5 [20..23] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF5 */ + INTC_EINT0CONF1_CONF5_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF5_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF5_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF5_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF5_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF5_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF5_Enum; + +/* ============================================ INTC EINT0CONF1 CONF4 [16..19] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF4 */ + INTC_EINT0CONF1_CONF4_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF4_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF4_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF4_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF4_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF4_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF4_Enum; + +/* ============================================ INTC EINT0CONF1 CONF3 [12..15] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF3 */ + INTC_EINT0CONF1_CONF3_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF3_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF3_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF3_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF3_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF3_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF3_Enum; + +/* ============================================= INTC EINT0CONF1 CONF2 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF2 */ + INTC_EINT0CONF1_CONF2_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF2_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF2_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF2_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF2_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF2_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF2_Enum; + +/* ============================================= INTC EINT0CONF1 CONF1 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT0CONF1_CONF1 */ + INTC_EINT0CONF1_CONF1_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF1_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF1_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF1_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF1_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF1_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF1_Enum; + +/* ============================================= INTC EINT0CONF1 CONF0 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT0CONF1_CONF0 */ + INTC_EINT0CONF1_CONF0_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF0_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF0_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF0_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF0_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF0_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF0_Enum; + +/* ====================================================== EINT1CONF1 ======================================================= */ +/* ============================================ INTC EINT1CONF1 CONF7 [28..31] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF7 */ + INTC_EINT1CONF1_CONF7_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF7_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF7_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF7_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF7_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF7_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF7_Enum; + +/* ============================================ INTC EINT1CONF1 CONF6 [24..27] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF6 */ + INTC_EINT1CONF1_CONF6_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF6_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF6_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF6_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF6_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF6_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF6_Enum; + +/* ============================================ INTC EINT1CONF1 CONF5 [20..23] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF5 */ + INTC_EINT1CONF1_CONF5_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF5_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF5_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF5_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF5_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF5_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF5_Enum; + +/* ============================================ INTC EINT1CONF1 CONF4 [16..19] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF4 */ + INTC_EINT1CONF1_CONF4_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF4_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF4_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF4_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF4_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF4_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF4_Enum; + +/* ============================================ INTC EINT1CONF1 CONF3 [12..15] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF3 */ + INTC_EINT1CONF1_CONF3_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF3_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF3_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF3_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF3_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF3_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF3_Enum; + +/* ============================================= INTC EINT1CONF1 CONF2 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF2 */ + INTC_EINT1CONF1_CONF2_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF2_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF2_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF2_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF2_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF2_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF2_Enum; + +/* ============================================= INTC EINT1CONF1 CONF1 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT1CONF1_CONF1 */ + INTC_EINT1CONF1_CONF1_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF1_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF1_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF1_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF1_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF1_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF1_Enum; + +/* ============================================= INTC EINT1CONF1 CONF0 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT1CONF1_CONF0 */ + INTC_EINT1CONF1_CONF0_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF0_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF0_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF0_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF0_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF0_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF0_Enum; + +/* ====================================================== EINT2CONF1 ======================================================= */ +/* ============================================ INTC EINT2CONF1 CONF7 [28..31] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF7 */ + INTC_EINT2CONF1_CONF7_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF7_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF7_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF7_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF7_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF7_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF7_Enum; + +/* ============================================ INTC EINT2CONF1 CONF6 [24..27] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF6 */ + INTC_EINT2CONF1_CONF6_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF6_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF6_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF6_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF6_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF6_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF6_Enum; + +/* ============================================ INTC EINT2CONF1 CONF5 [20..23] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF5 */ + INTC_EINT2CONF1_CONF5_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF5_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF5_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF5_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF5_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF5_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF5_Enum; + +/* ============================================ INTC EINT2CONF1 CONF4 [16..19] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF4 */ + INTC_EINT2CONF1_CONF4_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF4_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF4_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF4_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF4_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF4_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF4_Enum; + +/* ============================================ INTC EINT2CONF1 CONF3 [12..15] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF3 */ + INTC_EINT2CONF1_CONF3_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF3_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF3_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF3_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF3_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF3_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF3_Enum; + +/* ============================================= INTC EINT2CONF1 CONF2 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF2 */ + INTC_EINT2CONF1_CONF2_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF2_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF2_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF2_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF2_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF2_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF2_Enum; + +/* ============================================= INTC EINT2CONF1 CONF1 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT2CONF1_CONF1 */ + INTC_EINT2CONF1_CONF1_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF1_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF1_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF1_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF1_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF1_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF1_Enum; + +/* ============================================= INTC EINT2CONF1 CONF0 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT2CONF1_CONF0 */ + INTC_EINT2CONF1_CONF0_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF0_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF0_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF0_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF0_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF0_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF0_Enum; + +/* ====================================================== EINT3CONF1 ======================================================= */ +/* ============================================ INTC EINT3CONF1 CONF7 [28..31] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF7 */ + INTC_EINT3CONF1_CONF7_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF7_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF7_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF7_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF7_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF7_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF7_Enum; + +/* ============================================ INTC EINT3CONF1 CONF6 [24..27] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF6 */ + INTC_EINT3CONF1_CONF6_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF6_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF6_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF6_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF6_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF6_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF6_Enum; + +/* ============================================ INTC EINT3CONF1 CONF5 [20..23] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF5 */ + INTC_EINT3CONF1_CONF5_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF5_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF5_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF5_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF5_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF5_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF5_Enum; + +/* ============================================ INTC EINT3CONF1 CONF4 [16..19] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF4 */ + INTC_EINT3CONF1_CONF4_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF4_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF4_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF4_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF4_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF4_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF4_Enum; + +/* ============================================ INTC EINT3CONF1 CONF3 [12..15] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF3 */ + INTC_EINT3CONF1_CONF3_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF3_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF3_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF3_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF3_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF3_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF3_Enum; + +/* ============================================= INTC EINT3CONF1 CONF2 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF2 */ + INTC_EINT3CONF1_CONF2_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF2_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF2_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF2_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF2_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF2_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF2_Enum; + +/* ============================================= INTC EINT3CONF1 CONF1 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT3CONF1_CONF1 */ + INTC_EINT3CONF1_CONF1_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF1_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF1_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF1_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF1_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF1_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF1_Enum; + +/* ============================================= INTC EINT3CONF1 CONF0 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT3CONF1_CONF0 */ + INTC_EINT3CONF1_CONF0_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF0_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF0_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF0_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF0_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF0_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF0_Enum; + +/* ====================================================== EINT0CONF2 ======================================================= */ +/* ============================================ INTC EINT0CONF2 CONF11 [12..15] ============================================ */ +typedef enum { /*!< INTC_EINT0CONF2_CONF11 */ + INTC_EINT0CONF2_CONF11_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF2_CONF11_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF2_CONF11_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF2_CONF11_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF2_CONF11_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF2_CONF11_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF2_CONF11_Enum; + +/* ============================================ INTC EINT0CONF2 CONF10 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF2_CONF10 */ + INTC_EINT0CONF2_CONF10_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF2_CONF10_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF2_CONF10_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF2_CONF10_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF2_CONF10_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF2_CONF10_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF2_CONF10_Enum; + +/* ============================================= INTC EINT0CONF2 CONF9 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT0CONF2_CONF9 */ + INTC_EINT0CONF2_CONF9_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF2_CONF9_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF2_CONF9_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF2_CONF9_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF2_CONF9_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF2_CONF9_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF2_CONF9_Enum; + +/* ============================================= INTC EINT0CONF2 CONF8 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT0CONF2_CONF8 */ + INTC_EINT0CONF2_CONF8_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF2_CONF8_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF2_CONF8_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF2_CONF8_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF2_CONF8_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF2_CONF8_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF2_CONF8_Enum; + +/* ====================================================== EINT1CONF2 ======================================================= */ +/* ============================================ INTC EINT1CONF2 CONF11 [12..15] ============================================ */ +typedef enum { /*!< INTC_EINT1CONF2_CONF11 */ + INTC_EINT1CONF2_CONF11_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF2_CONF11_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF2_CONF11_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF2_CONF11_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF2_CONF11_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF2_CONF11_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF2_CONF11_Enum; + +/* ============================================ INTC EINT1CONF2 CONF10 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF2_CONF10 */ + INTC_EINT1CONF2_CONF10_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF2_CONF10_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF2_CONF10_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF2_CONF10_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF2_CONF10_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF2_CONF10_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF2_CONF10_Enum; + +/* ============================================= INTC EINT1CONF2 CONF9 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT1CONF2_CONF9 */ + INTC_EINT1CONF2_CONF9_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF2_CONF9_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF2_CONF9_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF2_CONF9_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF2_CONF9_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF2_CONF9_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF2_CONF9_Enum; + +/* ============================================= INTC EINT1CONF2 CONF8 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT1CONF2_CONF8 */ + INTC_EINT1CONF2_CONF8_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF2_CONF8_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF2_CONF8_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF2_CONF8_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF2_CONF8_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF2_CONF8_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF2_CONF8_Enum; + +/* ====================================================== EINT2CONF2 ======================================================= */ +/* ============================================ INTC EINT2CONF2 CONF11 [12..15] ============================================ */ +typedef enum { /*!< INTC_EINT2CONF2_CONF11 */ + INTC_EINT2CONF2_CONF11_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF2_CONF11_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF2_CONF11_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF2_CONF11_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF2_CONF11_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF2_CONF11_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF2_CONF11_Enum; + +/* ============================================ INTC EINT2CONF2 CONF10 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF2_CONF10 */ + INTC_EINT2CONF2_CONF10_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF2_CONF10_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF2_CONF10_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF2_CONF10_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF2_CONF10_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF2_CONF10_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF2_CONF10_Enum; + +/* ============================================= INTC EINT2CONF2 CONF9 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT2CONF2_CONF9 */ + INTC_EINT2CONF2_CONF9_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF2_CONF9_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF2_CONF9_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF2_CONF9_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF2_CONF9_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF2_CONF9_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF2_CONF9_Enum; + +/* ============================================= INTC EINT2CONF2 CONF8 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT2CONF2_CONF8 */ + INTC_EINT2CONF2_CONF8_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF2_CONF8_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF2_CONF8_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF2_CONF8_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF2_CONF8_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF2_CONF8_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF2_CONF8_Enum; + +/* ====================================================== EINT3CONF2 ======================================================= */ +/* ============================================ INTC EINT3CONF2 CONF11 [12..15] ============================================ */ +typedef enum { /*!< INTC_EINT3CONF2_CONF11 */ + INTC_EINT3CONF2_CONF11_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF2_CONF11_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF2_CONF11_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF2_CONF11_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF2_CONF11_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF2_CONF11_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF2_CONF11_Enum; + +/* ============================================ INTC EINT3CONF2 CONF10 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF2_CONF10 */ + INTC_EINT3CONF2_CONF10_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF2_CONF10_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF2_CONF10_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF2_CONF10_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF2_CONF10_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF2_CONF10_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF2_CONF10_Enum; + +/* ============================================= INTC EINT3CONF2 CONF9 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT3CONF2_CONF9 */ + INTC_EINT3CONF2_CONF9_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF2_CONF9_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF2_CONF9_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF2_CONF9_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF2_CONF9_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF2_CONF9_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF2_CONF9_Enum; + +/* ============================================= INTC EINT3CONF2 CONF8 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT3CONF2_CONF8 */ + INTC_EINT3CONF2_CONF8_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF2_CONF8_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF2_CONF8_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF2_CONF8_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF2_CONF8_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF2_CONF8_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF2_CONF8_Enum; + +/* ========================================================== MSK ========================================================== */ +/* ============================================= INTC MSK IMSK31_NULL [31..31] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK31_NULL */ + INTC_MSK_IMSK31_NULL_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK31_NULL_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK31_NULL_Enum; + +/* ============================================= INTC MSK IMSK30_NULL [30..30] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK30_NULL */ + INTC_MSK_IMSK30_NULL_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK30_NULL_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK30_NULL_Enum; + +/* ============================================= INTC MSK IMSK29_NULL [29..29] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK29_NULL */ + INTC_MSK_IMSK29_NULL_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK29_NULL_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK29_NULL_Enum; + +/* ============================================= INTC MSK IMSK28_NULL [28..28] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK28_NULL */ + INTC_MSK_IMSK28_NULL_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK28_NULL_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK28_NULL_Enum; + +/* =========================================== INTC MSK IMSK27_USART13 [27..27] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK27_USART13 */ + INTC_MSK_IMSK27_USART13_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK27_USART13_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK27_USART13_Enum; + +/* =========================================== INTC MSK IMSK26_USART12 [26..26] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK26_USART12 */ + INTC_MSK_IMSK26_USART12_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK26_USART12_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK26_USART12_Enum; + +/* ============================================= INTC MSK IMSK25_I2C2 [25..25] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK25_I2C2 */ + INTC_MSK_IMSK25_I2C2_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK25_I2C2_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK25_I2C2_Enum; + +/* =========================================== INTC MSK IMSK24_TIMER16 [24..24] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK24_TIMER16 */ + INTC_MSK_IMSK24_TIMER16_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK24_TIMER16_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK24_TIMER16_Enum; + +/* =========================================== INTC MSK IMSK23_TIMER15 [23..23] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK23_TIMER15 */ + INTC_MSK_IMSK23_TIMER15_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK23_TIMER15_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK23_TIMER15_Enum; + +/* =========================================== INTC MSK IMSK22_TIMER14 [22..22] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK22_TIMER14 */ + INTC_MSK_IMSK22_TIMER14_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK22_TIMER14_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK22_TIMER14_Enum; + +/* =========================================== INTC MSK IMSK21_TIMER13 [21..21] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK21_TIMER13 */ + INTC_MSK_IMSK21_TIMER13_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK21_TIMER13_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK21_TIMER13_Enum; + +/* ============================================ INTC MSK IMSK20_UART1 [20..20] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK20_UART1 */ + INTC_MSK_IMSK20_UART1_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK20_UART1_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK20_UART1_Enum; + +/* ============================================ INTC MSK IMSK19_UART0 [19..19] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK19_UART0 */ + INTC_MSK_IMSK19_UART0_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK19_UART0_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK19_UART0_Enum; + +/* ============================================= INTC MSK IMSK18_ADC [18..18] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK18_ADC */ + INTC_MSK_IMSK18_ADC_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK18_ADC_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK18_ADC_Enum; + +/* =========================================== INTC MSK IMSK17_USART11 [17..17] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK17_USART11 */ + INTC_MSK_IMSK17_USART11_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK17_USART11_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK17_USART11_Enum; + +/* =========================================== INTC MSK IMSK16_TIMER21 [16..16] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK16_TIMER21 */ + INTC_MSK_IMSK16_TIMER21_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK16_TIMER21_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK16_TIMER21_Enum; + +/* =========================================== INTC MSK IMSK15_TIMER20 [15..15] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK15_TIMER20 */ + INTC_MSK_IMSK15_TIMER20_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK15_TIMER20_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK15_TIMER20_Enum; + +/* ============================================= INTC MSK IMSK14_I2C1 [14..14] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK14_I2C1 */ + INTC_MSK_IMSK14_I2C1_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK14_I2C1_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK14_I2C1_Enum; + +/* =========================================== INTC MSK IMSK13_TIMER30 [13..13] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK13_TIMER30 */ + INTC_MSK_IMSK13_TIMER30_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK13_TIMER30_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK13_TIMER30_Enum; + +/* ============================================== INTC MSK IMSK12_WT [12..12] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK12_WT */ + INTC_MSK_IMSK12_WT_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK12_WT_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK12_WT_Enum; + +/* =========================================== INTC MSK IMSK11_USART10 [11..11] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK11_USART10 */ + INTC_MSK_IMSK11_USART10_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK11_USART10_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK11_USART10_Enum; + +/* ============================================= INTC MSK IMSK10_I2C0 [10..10] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK10_I2C0 */ + INTC_MSK_IMSK10_I2C0_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK10_I2C0_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK10_I2C0_Enum; + +/* ============================================= INTC MSK IMSK9_TIMER12 [9..9] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK9_TIMER12 */ + INTC_MSK_IMSK9_TIMER12_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK9_TIMER12_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK9_TIMER12_Enum; + +/* ============================================= INTC MSK IMSK8_TIMER11 [8..8] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK8_TIMER11 */ + INTC_MSK_IMSK8_TIMER11_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK8_TIMER11_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK8_TIMER11_Enum; + +/* ============================================= INTC MSK IMSK7_TIMER10 [7..7] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK7_TIMER10 */ + INTC_MSK_IMSK7_TIMER10_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK7_TIMER10_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK7_TIMER10_Enum; + +/* ============================================== INTC MSK IMSK6_EINT3 [6..6] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK6_EINT3 */ + INTC_MSK_IMSK6_EINT3_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK6_EINT3_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK6_EINT3_Enum; + +/* ============================================== INTC MSK IMSK5_EINT2 [5..5] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK5_EINT2 */ + INTC_MSK_IMSK5_EINT2_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK5_EINT2_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK5_EINT2_Enum; + +/* ============================================== INTC MSK IMSK4_EINT1 [4..4] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK4_EINT1 */ + INTC_MSK_IMSK4_EINT1_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK4_EINT1_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK4_EINT1_Enum; + +/* ============================================== INTC MSK IMSK3_EINT0 [3..3] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK3_EINT0 */ + INTC_MSK_IMSK3_EINT0_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK3_EINT0_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK3_EINT0_Enum; + +/* =============================================== INTC MSK IMSK2_WDT [2..2] =============================================== */ +typedef enum { /*!< INTC_MSK_IMSK2_WDT */ + INTC_MSK_IMSK2_WDT_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK2_WDT_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK2_WDT_Enum; + +/* =============================================== INTC MSK IMSK1_WUT [1..1] =============================================== */ +typedef enum { /*!< INTC_MSK_IMSK1_WUT */ + INTC_MSK_IMSK1_WUT_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK1_WUT_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK1_WUT_Enum; + +/* =============================================== INTC MSK IMSK0_LVI [0..0] =============================================== */ +typedef enum { /*!< INTC_MSK_IMSK0_LVI */ + INTC_MSK_IMSK0_LVI_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK0_LVI_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK0_LVI_Enum; + + + +/* =========================================================================================================================== */ +/* ================ SCUCC ================ */ +/* =========================================================================================================================== */ + +/* ======================================================= VENDORID ======================================================== */ +/* ======================================================== CHIPID ========================================================= */ +/* ========================================================= REVNR ========================================================= */ +/* ======================================================== PMREMAP ======================================================== */ +/* ============================================= SCUCC PMREMAP WTIDKY [16..31] ============================================= */ +typedef enum { /*!< SCUCC_PMREMAP_WTIDKY */ + SCUCC_PMREMAP_WTIDKY_Value = 58097, /*!< Value : Key Value (0xe2f1) */ +} SCUCC_PMREMAP_WTIDKY_Enum; + +/* ============================================= SCUCC PMREMAP nPMREM [8..15] ============================================== */ +typedef enum { /*!< SCUCC_PMREMAP_nPMREM */ + SCUCC_PMREMAP_nPMREM_BootROM = 150, /*!< BootROM : Boot ROM is re-mapped to address 0x0. (0x96) */ + SCUCC_PMREMAP_nPMREM_FlashMemory = 0, /*!< FlashMemory : Flash Memory is re-mapped to address 0x0. */ +} SCUCC_PMREMAP_nPMREM_Enum; + +/* ============================================== SCUCC PMREMAP PMREM [0..7] =============================================== */ +typedef enum { /*!< SCUCC_PMREMAP_PMREM */ + SCUCC_PMREMAP_PMREM_BootROM = 105, /*!< BootROM : Boot ROM is re-mapped to address 0x0. (0x69) */ + SCUCC_PMREMAP_PMREM_FlashMemory = 0, /*!< FlashMemory : Flash Memory is re-mapped to address 0x0. */ +} SCUCC_PMREMAP_PMREM_Enum; + +/* ======================================================== BTPSCR ========================================================= */ +/* =============================================== SCUCC BTPSCR BFIND [5..6] =============================================== */ +typedef enum { /*!< SCUCC_BTPSCR_BFIND */ + SCUCC_BTPSCR_BFIND_PORorEXTR = 2, /*!< PORorEXTR : Check the BOOT pin when a system reset occurs by + nRESET including POR. */ + SCUCC_BTPSCR_BFIND_POR = 3, /*!< POR : Check the BOOT pin when a system reset occurs only by + POR. */ +} SCUCC_BTPSCR_BFIND_Enum; + +/* ============================================== SCUCC BTPSCR BTPSTA [0..0] =============================================== */ +typedef enum { /*!< SCUCC_BTPSCR_BTPSTA */ + SCUCC_BTPSCR_BTPSTA_Low = 0, /*!< Low : The BOOT pin is low level. */ + SCUCC_BTPSCR_BTPSTA_High = 1, /*!< High : The BOOT pin is high level. */ +} SCUCC_BTPSCR_BTPSTA_Enum; + +/* ======================================================== RSTSSR ========================================================= */ +/* ============================================== SCUCC RSTSSR MONSTA [5..5] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_MONSTA */ + SCUCC_RSTSSR_MONSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_MONSTA_Detected = 1, /*!< Detected : CMR was detected. */ +} SCUCC_RSTSSR_MONSTA_Enum; + +/* =============================================== SCUCC RSTSSR SWSTA [4..4] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_SWSTA */ + SCUCC_RSTSSR_SWSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_SWSTA_Detected = 1, /*!< Detected : SWR was detected. */ +} SCUCC_RSTSSR_SWSTA_Enum; + +/* ============================================== SCUCC RSTSSR EXTSTA [3..3] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_EXTSTA */ + SCUCC_RSTSSR_EXTSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_EXTSTA_Detected = 1, /*!< Detected : EXTR was detected. */ +} SCUCC_RSTSSR_EXTSTA_Enum; + +/* ============================================== SCUCC RSTSSR WDTSTA [2..2] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_WDTSTA */ + SCUCC_RSTSSR_WDTSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_WDTSTA_Detected = 1, /*!< Detected : WDTR was detected. */ +} SCUCC_RSTSSR_WDTSTA_Enum; + +/* ============================================== SCUCC RSTSSR LVRSTA [1..1] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_LVRSTA */ + SCUCC_RSTSSR_LVRSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_LVRSTA_Detected = 1, /*!< Detected : LVR was detected. */ +} SCUCC_RSTSSR_LVRSTA_Enum; + +/* ============================================== SCUCC RSTSSR PORSTA [0..0] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_PORSTA */ + SCUCC_RSTSSR_PORSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_PORSTA_Detected = 1, /*!< Detected : POR was detected. */ +} SCUCC_RSTSSR_PORSTA_Enum; + +/* ======================================================== NMISRCR ======================================================== */ +/* ============================================== SCUCC NMISRCR NMICON [7..7] ============================================== */ +typedef enum { /*!< SCUCC_NMISRCR_NMICON */ + SCUCC_NMISRCR_NMICON_Disable = 0, /*!< Disable : Disable NMI. */ + SCUCC_NMISRCR_NMICON_Enable = 1, /*!< Enable : Enable NMI. */ +} SCUCC_NMISRCR_NMICON_Enum; + +/* ============================================== SCUCC NMISRCR MONINT [6..6] ============================================== */ +typedef enum { /*!< SCUCC_NMISRCR_MONINT */ + SCUCC_NMISRCR_MONINT_NotSelect = 0, /*!< NotSelect : Non-select clock monitoring interrupt for NMI source. */ + SCUCC_NMISRCR_MONINT_Select = 1, /*!< Select : Select clock monitoring interrupt for NMI source. */ +} SCUCC_NMISRCR_MONINT_Enum; + +/* ======================================================== SWRSTR ========================================================= */ +/* ============================================= SCUCC SWRSTR WTIDKY [16..31] ============================================== */ +typedef enum { /*!< SCUCC_SWRSTR_WTIDKY */ + SCUCC_SWRSTR_WTIDKY_Value = 40627, /*!< Value : Key Value (0x9eb3) */ +} SCUCC_SWRSTR_WTIDKY_Enum; + +/* =============================================== SCUCC SWRSTR SWRST [0..7] =============================================== */ +typedef enum { /*!< SCUCC_SWRSTR_SWRST */ + SCUCC_SWRSTR_SWRST_Generate = 45, /*!< Generate : A software reset will be generated for all peripheral + and core. (0x2d) */ + SCUCC_SWRSTR_SWRST_NoEffect = 0, /*!< NoEffect : No effect. */ +} SCUCC_SWRSTR_SWRST_Enum; + +/* ======================================================== SRSTVR ========================================================= */ +/* ========================================================= WUTCR ========================================================= */ +/* =============================================== SCUCC WUTCR WUTIEN [7..7] =============================================== */ +typedef enum { /*!< SCUCC_WUTCR_WUTIEN */ + SCUCC_WUTCR_WUTIEN_Disable = 0, /*!< Disable : Disable Wake-Up Timer interrupt. */ + SCUCC_WUTCR_WUTIEN_Enable = 1, /*!< Enable : Enable Wake-Up Timer interrupt. */ +} SCUCC_WUTCR_WUTIEN_Enum; + +/* =============================================== SCUCC WUTCR CNTRLD [1..1] =============================================== */ +typedef enum { /*!< SCUCC_WUTCR_CNTRLD */ + SCUCC_WUTCR_CNTRLD_NoEffect = 0, /*!< NoEffect : No effect. */ + SCUCC_WUTCR_CNTRLD_Reload = 1, /*!< Reload : Reload data to counter. */ +} SCUCC_WUTCR_CNTRLD_Enum; + +/* ============================================== SCUCC WUTCR WUTIFLAG [0..0] ============================================== */ +typedef enum { /*!< SCUCC_WUTCR_WUTIFLAG */ + SCUCC_WUTCR_WUTIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + SCUCC_WUTCR_WUTIFLAG_Request = 1, /*!< Request : Request occurred. */ +} SCUCC_WUTCR_WUTIFLAG_Enum; + +/* ========================================================= WUTDR ========================================================= */ +/* ======================================================== HIRCTRM ======================================================== */ +/* ============================================= SCUCC HIRCTRM WTIDKY [16..31] ============================================= */ +typedef enum { /*!< SCUCC_HIRCTRM_WTIDKY */ + SCUCC_HIRCTRM_WTIDKY_Value = 42677, /*!< Value : Key Value (0xa6b5) */ +} SCUCC_HIRCTRM_WTIDKY_Enum; + +/* ======================================================= WDTRCTRM ======================================================== */ +/* ============================================ SCUCC WDTRCTRM WTIDKY [16..31] ============================================= */ +typedef enum { /*!< SCUCC_WDTRCTRM_WTIDKY */ + SCUCC_WDTRCTRM_WTIDKY_Value = 19517, /*!< Value : Key Value (0x4c3d) */ +} SCUCC_WDTRCTRM_WTIDKY_Enum; + + + +/* =========================================================================================================================== */ +/* ================ SCUCG ================ */ +/* =========================================================================================================================== */ + +/* ========================================================= SCCR ========================================================== */ +/* ============================================== SCUCG SCCR WTIDKY [16..31] =============================================== */ +typedef enum { /*!< SCUCG_SCCR_WTIDKY */ + SCUCG_SCCR_WTIDKY_Value = 22282, /*!< Value : Key Value (0x570a) */ +} SCUCG_SCCR_WTIDKY_Enum; + +/* =============================================== SCUCG SCCR MCLKSEL [0..1] =============================================== */ +typedef enum { /*!< SCUCG_SCCR_MCLKSEL */ + SCUCG_SCCR_MCLKSEL_HIRC = 0, /*!< HIRC : High Frequency Internal RC Oscillator (40MHz), HIRC */ + SCUCG_SCCR_MCLKSEL_XMOSC = 1, /*!< XMOSC : External Main Oscillator (2 - 40MHz), XMOSC */ + SCUCG_SCCR_MCLKSEL_XSOSC = 2, /*!< XSOSC : External Sub Oscillator (32.768kHz), XSOSC */ + SCUCG_SCCR_MCLKSEL_WDTRC = 3, /*!< WDTRC : Internal Watch-Dog Timer RC Oscillator (40kHz), WDTRC */ +} SCUCG_SCCR_MCLKSEL_Enum; + +/* ======================================================== CLKSRCR ======================================================== */ +/* ============================================= SCUCG CLKSRCR WTIDKY [16..31] ============================================= */ +typedef enum { /*!< SCUCG_CLKSRCR_WTIDKY */ + SCUCG_CLKSRCR_WTIDKY_Value = 42247, /*!< Value : Key Value (0xa507) */ +} SCUCG_CLKSRCR_WTIDKY_Enum; + +/* ============================================ SCUCG CLKSRCR HIRCSEL [12..13] ============================================= */ +typedef enum { /*!< SCUCG_CLKSRCR_HIRCSEL */ + SCUCG_CLKSRCR_HIRCSEL_HIRC1 = 0, /*!< HIRC1 : 40MHz HIRC */ + SCUCG_CLKSRCR_HIRCSEL_HIRC2 = 1, /*!< HIRC2 : 20MHz HIRC */ + SCUCG_CLKSRCR_HIRCSEL_HIRC4 = 2, /*!< HIRC4 : 10MHz HIRC */ + SCUCG_CLKSRCR_HIRCSEL_HIRC8 = 3, /*!< HIRC8 : 5MHz HIRC */ +} SCUCG_CLKSRCR_HIRCSEL_Enum; + +/* ============================================== SCUCG CLKSRCR XMFRNG [8..8] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_XMFRNG */ + SCUCG_CLKSRCR_XMFRNG_Xtal = 0, /*!< Xtal : X-tal for XMOSC, 2 to 16MHz */ + SCUCG_CLKSRCR_XMFRNG_Clock = 1, /*!< Clock : External Clock for XMOSC, 2MHz to 40MHz */ +} SCUCG_CLKSRCR_XMFRNG_Enum; + +/* ============================================= SCUCG CLKSRCR WDTRCEN [3..3] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_WDTRCEN */ + SCUCG_CLKSRCR_WDTRCEN_Disable = 0, /*!< Disable : Disable WDTRC. */ + SCUCG_CLKSRCR_WDTRCEN_Enable = 1, /*!< Enable : Enable WDTRC. */ +} SCUCG_CLKSRCR_WDTRCEN_Enum; + +/* ============================================== SCUCG CLKSRCR HIRCEN [2..2] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_HIRCEN */ + SCUCG_CLKSRCR_HIRCEN_Disable = 0, /*!< Disable : Disable HIRC. */ + SCUCG_CLKSRCR_HIRCEN_Enable = 1, /*!< Enable : Enable HIRC. */ +} SCUCG_CLKSRCR_HIRCEN_Enum; + +/* ============================================= SCUCG CLKSRCR XMOSCEN [1..1] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_XMOSCEN */ + SCUCG_CLKSRCR_XMOSCEN_Disable = 0, /*!< Disable : Disable XMOSC. */ + SCUCG_CLKSRCR_XMOSCEN_Enable = 1, /*!< Enable : Enable XMOSC. */ +} SCUCG_CLKSRCR_XMOSCEN_Enum; + +/* ============================================= SCUCG CLKSRCR XSOSCEN [0..0] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_XSOSCEN */ + SCUCG_CLKSRCR_XSOSCEN_Disable = 0, /*!< Disable : Disable XSOSC. */ + SCUCG_CLKSRCR_XSOSCEN_Enable = 1, /*!< Enable : Enable XSOSC. */ +} SCUCG_CLKSRCR_XSOSCEN_Enum; + +/* ======================================================== SCDIVR1 ======================================================== */ +/* ============================================== SCUCG SCDIVR1 WLDIV [4..6] =============================================== */ +typedef enum { /*!< SCUCG_SCDIVR1_WLDIV */ + SCUCG_SCDIVR1_WLDIV_MCLK64 = 0, /*!< MCLK64 : MCLK/64 */ + SCUCG_SCDIVR1_WLDIV_MCLK128 = 1, /*!< MCLK128 : MCLK/128 */ + SCUCG_SCDIVR1_WLDIV_MCLK256 = 2, /*!< MCLK256 : MCLK/256 */ + SCUCG_SCDIVR1_WLDIV_MCLK512 = 3, /*!< MCLK512 : MCLK/512 */ + SCUCG_SCDIVR1_WLDIV_MCLK1024 = 4, /*!< MCLK1024 : MCLK/1024 */ +} SCUCG_SCDIVR1_WLDIV_Enum; + +/* =============================================== SCUCG SCDIVR1 HDIV [0..2] =============================================== */ +typedef enum { /*!< SCUCG_SCDIVR1_HDIV */ + SCUCG_SCDIVR1_HDIV_MCLK16 = 0, /*!< MCLK16 : MCLK/16 */ + SCUCG_SCDIVR1_HDIV_MCLK8 = 1, /*!< MCLK8 : MCLK/8 */ + SCUCG_SCDIVR1_HDIV_MCLK4 = 2, /*!< MCLK4 : MCLK/4 */ + SCUCG_SCDIVR1_HDIV_MCLK2 = 3, /*!< MCLK2 : MCLK/2 */ + SCUCG_SCDIVR1_HDIV_MCLK1 = 4, /*!< MCLK1 : MCLK/1 */ +} SCUCG_SCDIVR1_HDIV_Enum; + +/* ======================================================== SCDIVR2 ======================================================== */ +/* ============================================= SCUCG SCDIVR2 SYSTDIV [4..5] ============================================== */ +typedef enum { /*!< SCUCG_SCDIVR2_SYSTDIV */ + SCUCG_SCDIVR2_SYSTDIV_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + SCUCG_SCDIVR2_SYSTDIV_HCLK2 = 1, /*!< HCLK2 : HCLK/2 */ + SCUCG_SCDIVR2_SYSTDIV_HCLK4 = 2, /*!< HCLK4 : HCLK/4 */ + SCUCG_SCDIVR2_SYSTDIV_HCLK8 = 3, /*!< HCLK8 : HCLK/8 */ +} SCUCG_SCDIVR2_SYSTDIV_Enum; + +/* =============================================== SCUCG SCDIVR2 PDIV [0..1] =============================================== */ +typedef enum { /*!< SCUCG_SCDIVR2_PDIV */ + SCUCG_SCDIVR2_PDIV_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + SCUCG_SCDIVR2_PDIV_HCLK2 = 1, /*!< HCLK2 : HCLK/2 */ + SCUCG_SCDIVR2_PDIV_HCLK4 = 2, /*!< HCLK4 : HCLK/4 */ + SCUCG_SCDIVR2_PDIV_HCLK8 = 3, /*!< HCLK8 : HCLK/8 */ +} SCUCG_SCDIVR2_PDIV_Enum; + +/* ======================================================== CLKOCR ========================================================= */ +/* ============================================== SCUCG CLKOCR CLKOEN [7..7] =============================================== */ +typedef enum { /*!< SCUCG_CLKOCR_CLKOEN */ + SCUCG_CLKOCR_CLKOEN_Disable = 0, /*!< Disable : Disable clock output. */ + SCUCG_CLKOCR_CLKOEN_Enable = 1, /*!< Enable : Enable clock output. */ +} SCUCG_CLKOCR_CLKOEN_Enum; + +/* ============================================== SCUCG CLKOCR POLSEL [6..6] =============================================== */ +typedef enum { /*!< SCUCG_CLKOCR_POLSEL */ + SCUCG_CLKOCR_POLSEL_Low = 0, /*!< Low : Low level during disable */ + SCUCG_CLKOCR_POLSEL_High = 1, /*!< High : High level during disable */ +} SCUCG_CLKOCR_POLSEL_Enum; + +/* ============================================== SCUCG CLKOCR CLKODIV [3..5] ============================================== */ +typedef enum { /*!< SCUCG_CLKOCR_CLKODIV */ + SCUCG_CLKOCR_CLKODIV_SelectedClock1 = 0, /*!< SelectedClock1 : Selected Clock/1 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock2 = 1, /*!< SelectedClock2 : Selected Clock/2 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock4 = 2, /*!< SelectedClock4 : Selected Clock/4 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock8 = 3, /*!< SelectedClock8 : Selected Clock/8 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock16 = 4, /*!< SelectedClock16 : Selected Clock/16 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock32 = 5, /*!< SelectedClock32 : Selected Clock/32 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock64 = 6, /*!< SelectedClock64 : Selected Clock/64 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock128 = 7, /*!< SelectedClock128 : Selected Clock/128 */ +} SCUCG_CLKOCR_CLKODIV_Enum; + +/* =============================================== SCUCG CLKOCR CLKOS [0..2] =============================================== */ +typedef enum { /*!< SCUCG_CLKOCR_CLKOS */ + SCUCG_CLKOCR_CLKOS_MCLK = 0, /*!< MCLK : Select MCLK. */ + SCUCG_CLKOCR_CLKOS_WDTRC = 1, /*!< WDTRC : Select WDTRC. */ + SCUCG_CLKOCR_CLKOS_HIRC = 2, /*!< HIRC : Select HIRC. */ + SCUCG_CLKOCR_CLKOS_HCLK = 3, /*!< HCLK : Select HCLK. */ + SCUCG_CLKOCR_CLKOS_PCLK = 4, /*!< PCLK : Select PCLK. */ +} SCUCG_CLKOCR_CLKOS_Enum; + +/* ======================================================== CMONCR ========================================================= */ +/* =============================================== SCUCG CMONCR MONEN [7..7] =============================================== */ +typedef enum { /*!< SCUCG_CMONCR_MONEN */ + SCUCG_CMONCR_MONEN_Disable = 0, /*!< Disable : Disable clock monitoring. */ + SCUCG_CMONCR_MONEN_Enable = 1, /*!< Enable : Enable clock monitoring. */ +} SCUCG_CMONCR_MONEN_Enum; + +/* =============================================== SCUCG CMONCR MACTS [5..6] =============================================== */ +typedef enum { /*!< SCUCG_CMONCR_MACTS */ + SCUCG_CMONCR_MACTS_FlagChk = 0, /*!< FlagChk : No action by clock monitoring, but flags will be set/cleared + on condition */ + SCUCG_CMONCR_MACTS_RstGen = 1, /*!< RstGen : Reset generation by clock monitoring */ + SCUCG_CMONCR_MACTS_SysClkChg = 2, /*!< SysClkChg : The system clock will be changed to the WDTRC regardless + of MCLKSEL[1:0] bits of system clock control register (SCU_SCCR) + only when the MCLK is selected for monitoring */ +} SCUCG_CMONCR_MACTS_Enum; + +/* ============================================== SCUCG CMONCR MONFLAG [3..3] ============================================== */ +typedef enum { /*!< SCUCG_CMONCR_MONFLAG */ + SCUCG_CMONCR_MONFLAG_NotReady = 0, /*!< NotReady : The clock to be monitored is not ready */ + SCUCG_CMONCR_MONFLAG_Ready = 1, /*!< Ready : The clock to be monitored is ready */ +} SCUCG_CMONCR_MONFLAG_Enum; + +/* ============================================== SCUCG CMONCR NMINTFG [2..2] ============================================== */ +typedef enum { /*!< SCUCG_CMONCR_NMINTFG */ + SCUCG_CMONCR_NMINTFG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + SCUCG_CMONCR_NMINTFG_Request = 1, /*!< Request : Request occurred. */ +} SCUCG_CMONCR_NMINTFG_Enum; + +/* =============================================== SCUCG CMONCR MONCS [0..1] =============================================== */ +typedef enum { /*!< SCUCG_CMONCR_MONCS */ + SCUCG_CMONCR_MONCS_MCLK = 0, /*!< MCLK : Select MCLK. */ + SCUCG_CMONCR_MONCS_HIRC = 1, /*!< HIRC : Select HIRC. */ + SCUCG_CMONCR_MONCS_XMOSC = 2, /*!< XMOSC : Select XMOSC. */ + SCUCG_CMONCR_MONCS_XSOSC = 3, /*!< XSOSC : Select XSOSC. */ +} SCUCG_CMONCR_MONCS_Enum; + +/* ======================================================= PPCLKEN1 ======================================================== */ +/* ============================================ SCUCG PPCLKEN1 T21CLKE [21..21] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T21CLKE */ + SCUCG_PPCLKEN1_T21CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T21CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T21CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T20CLKE [20..20] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T20CLKE */ + SCUCG_PPCLKEN1_T20CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T20CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T20CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T30CLKE [19..19] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T30CLKE */ + SCUCG_PPCLKEN1_T30CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T30CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T30CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T12CLKE [18..18] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T12CLKE */ + SCUCG_PPCLKEN1_T12CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T12CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T12CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T11CLKE [17..17] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T11CLKE */ + SCUCG_PPCLKEN1_T11CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T11CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T11CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T10CLKE [16..16] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T10CLKE */ + SCUCG_PPCLKEN1_T10CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T10CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T10CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T16CLKE [11..11] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T16CLKE */ + SCUCG_PPCLKEN1_T16CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T16CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T16CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T15CLKE [10..10] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T15CLKE */ + SCUCG_PPCLKEN1_T15CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T15CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T15CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 T14CLKE [9..9] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN1_T14CLKE */ + SCUCG_PPCLKEN1_T14CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T14CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T14CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 T13CLKE [8..8] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN1_T13CLKE */ + SCUCG_PPCLKEN1_T13CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T13CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T13CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PFCLKE [5..5] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PFCLKE */ + SCUCG_PPCLKEN1_PFCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PFCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PFCLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PECLKE [4..4] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PECLKE */ + SCUCG_PPCLKEN1_PECLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PECLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PECLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PDCLKE [3..3] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PDCLKE */ + SCUCG_PPCLKEN1_PDCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PDCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PDCLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PCCLKE [2..2] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PCCLKE */ + SCUCG_PPCLKEN1_PCCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PCCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PCCLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PBCLKE [1..1] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PBCLKE */ + SCUCG_PPCLKEN1_PBCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PBCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PBCLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PACLKE [0..0] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PACLKE */ + SCUCG_PPCLKEN1_PACLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PACLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PACLKE_Enum; + +/* ======================================================= PPCLKEN2 ======================================================== */ +/* ============================================ SCUCG PPCLKEN2 FMCLKE [19..19] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_FMCLKE */ + SCUCG_PPCLKEN2_FMCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_FMCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_FMCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 LVICLKE [18..18] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_LVICLKE */ + SCUCG_PPCLKEN2_LVICLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_LVICLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_LVICLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 WDTCLKE [17..17] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_WDTCLKE */ + SCUCG_PPCLKEN2_WDTCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_WDTCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_WDTCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 WTCLKE [16..16] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_WTCLKE */ + SCUCG_PPCLKEN2_WTCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_WTCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_WTCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 LCDCLKE [13..13] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_LCDCLKE */ + SCUCG_PPCLKEN2_LCDCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_LCDCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_LCDCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 CRCLKE [12..12] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_CRCLKE */ + SCUCG_PPCLKEN2_CRCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_CRCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_CRCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 ADCLKE [10..10] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_ADCLKE */ + SCUCG_PPCLKEN2_ADCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_ADCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_ADCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 I2C2CLKE [8..8] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_I2C2CLKE */ + SCUCG_PPCLKEN2_I2C2CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_I2C2CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_I2C2CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 I2C1CLKE [7..7] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_I2C1CLKE */ + SCUCG_PPCLKEN2_I2C1CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_I2C1CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_I2C1CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 I2C0CLKE [6..6] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_I2C0CLKE */ + SCUCG_PPCLKEN2_I2C0CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_I2C0CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_I2C0CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 UST13CLKE [5..5] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_UST13CLKE */ + SCUCG_PPCLKEN2_UST13CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UST13CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UST13CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 UST12CLKE [4..4] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_UST12CLKE */ + SCUCG_PPCLKEN2_UST12CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UST12CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UST12CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN2 UT1CLKE [3..3] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_UT1CLKE */ + SCUCG_PPCLKEN2_UT1CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UT1CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UT1CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN2 UT0CLKE [2..2] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_UT0CLKE */ + SCUCG_PPCLKEN2_UT0CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UT0CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UT0CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 UST11CLKE [1..1] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_UST11CLKE */ + SCUCG_PPCLKEN2_UST11CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UST11CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UST11CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 UST10CLKE [0..0] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_UST10CLKE */ + SCUCG_PPCLKEN2_UST10CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UST10CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UST10CLKE_Enum; + +/* ======================================================== PPCLKSR ======================================================== */ +/* ============================================= SCUCG PPCLKSR T20CLK [20..20] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKSR_T20CLK */ + SCUCG_PPCLKSR_T20CLK_XSOSC = 0, /*!< XSOSC : XSOSC clock */ + SCUCG_PPCLKSR_T20CLK_PCLK = 1, /*!< PCLK : PCLK clock */ +} SCUCG_PPCLKSR_T20CLK_Enum; + +/* ============================================= SCUCG PPCLKSR T30CLK [17..17] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKSR_T30CLK */ + SCUCG_PPCLKSR_T30CLK_MCLK = 0, /*!< MCLK : MCLK clock */ + SCUCG_PPCLKSR_T30CLK_PCLK = 1, /*!< PCLK : PCLK clock */ +} SCUCG_PPCLKSR_T30CLK_Enum; + +/* ============================================== SCUCG PPCLKSR LCDCLK [6..7] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKSR_LCDCLK */ + SCUCG_PPCLKSR_LCDCLK_DividedMCLK = 0, /*!< DividedMCLK : A clock of the MCLK which is divided by divider + 2 */ + SCUCG_PPCLKSR_LCDCLK_XSOSC = 1, /*!< XSOSC : XSOSC clock */ + SCUCG_PPCLKSR_LCDCLK_WDTRC = 2, /*!< WDTRC : WDTRC clock */ +} SCUCG_PPCLKSR_LCDCLK_Enum; + +/* ============================================== SCUCG PPCLKSR WTCLK [3..4] =============================================== */ +typedef enum { /*!< SCUCG_PPCLKSR_WTCLK */ + SCUCG_PPCLKSR_WTCLK_DividedMCLK = 0, /*!< DividedMCLK : A clock of the MCLK which is divided by divider + 2 */ + SCUCG_PPCLKSR_WTCLK_XSOSC = 1, /*!< XSOSC : XSOSC clock */ + SCUCG_PPCLKSR_WTCLK_WDTRC = 2, /*!< WDTRC : WDTRC clock */ +} SCUCG_PPCLKSR_WTCLK_Enum; + +/* ============================================== SCUCG PPCLKSR WDTCLK [0..0] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKSR_WDTCLK */ + SCUCG_PPCLKSR_WDTCLK_WDTRC = 0, /*!< WDTRC : WDTRC clock */ + SCUCG_PPCLKSR_WDTCLK_PCLK = 1, /*!< PCLK : PCLK clock */ +} SCUCG_PPCLKSR_WDTCLK_Enum; + +/* ======================================================== PPRST1 ========================================================= */ +/* ======================================================== PPRST2 ========================================================= */ +/* ======================================================== XTFLSR ========================================================= */ +/* ============================================= SCUCG XTFLSR WTIDKY [16..31] ============================================== */ +typedef enum { /*!< SCUCG_XTFLSR_WTIDKY */ + SCUCG_XTFLSR_WTIDKY_Value = 39735, /*!< Value : Key Value (0x9b37) */ +} SCUCG_XTFLSR_WTIDKY_Enum; + +/* =============================================== SCUCG XTFLSR XRNS [0..2] ================================================ */ +typedef enum { /*!< SCUCG_XTFLSR_XRNS */ + SCUCG_XTFLSR_XRNS_LE4p5MHz = 0, /*!< LE4p5MHz : x-tal LE 4.5MHz */ + SCUCG_XTFLSR_XRNS_LE6p5MHz = 1, /*!< LE6p5MHz : 4.5MHz GT x-tal LE 6.5MHz */ + SCUCG_XTFLSR_XRNS_LE8p5MHz = 2, /*!< LE8p5MHz : 6.5MHz GT x-tal LE 8.5MHz */ + SCUCG_XTFLSR_XRNS_LE10p5MHz = 3, /*!< LE10p5MHz : 8.5MHz GT x-tal LE 10.5MHz */ + SCUCG_XTFLSR_XRNS_LE12p5MHz = 4, /*!< LE12p5MHz : 10.5MHz GT x-tal LE 12.5MHz */ + SCUCG_XTFLSR_XRNS_LE16p5MHz = 5, /*!< LE16p5MHz : 12.5MHz GT x-tal LE 16.5MHz */ +} SCUCG_XTFLSR_XRNS_Enum; + + + +/* =========================================================================================================================== */ +/* ================ SCULV ================ */ +/* =========================================================================================================================== */ + +/* ========================================================= LVICR ========================================================= */ +/* =============================================== SCULV LVICR LVIEN [7..7] ================================================ */ +typedef enum { /*!< SCULV_LVICR_LVIEN */ + SCULV_LVICR_LVIEN_Disable = 0, /*!< Disable : Disable low voltage indicator. */ + SCULV_LVICR_LVIEN_Enable = 1, /*!< Enable : Enable low voltage indicator. */ +} SCULV_LVICR_LVIEN_Enum; + +/* ============================================== SCULV LVICR LVINTEN [5..5] =============================================== */ +typedef enum { /*!< SCULV_LVICR_LVINTEN */ + SCULV_LVICR_LVINTEN_Disable = 0, /*!< Disable : Disable low voltage indicator interrupt. */ + SCULV_LVICR_LVINTEN_Enable = 1, /*!< Enable : Enable low voltage indicator interrupt. */ +} SCULV_LVICR_LVINTEN_Enum; + +/* ============================================== SCULV LVICR LVIFLAG [4..4] =============================================== */ +typedef enum { /*!< SCULV_LVICR_LVIFLAG */ + SCULV_LVICR_LVIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + SCULV_LVICR_LVIFLAG_Request = 1, /*!< Request : Request occurred. */ +} SCULV_LVICR_LVIFLAG_Enum; + +/* =============================================== SCULV LVICR LVIVS [0..3] ================================================ */ +typedef enum { /*!< SCULV_LVICR_LVIVS */ + SCULV_LVICR_LVIVS_DNW3 = 3, /*!< DNW3 : Do not write. */ + SCULV_LVICR_LVIVS_2p00V = 4, /*!< 2p00V : 2.00V */ + SCULV_LVICR_LVIVS_2p13V = 5, /*!< 2p13V : 2.13V */ + SCULV_LVICR_LVIVS_2p28V = 6, /*!< 2p28V : 2.28V */ + SCULV_LVICR_LVIVS_2p46V = 7, /*!< 2p46V : 2.46V */ + SCULV_LVICR_LVIVS_2p67V = 8, /*!< 2p67V : 2.67V */ + SCULV_LVICR_LVIVS_3p04V = 9, /*!< 3p04V : 3.04V */ + SCULV_LVICR_LVIVS_3p20V = 10, /*!< 3p20V : 3.20V */ + SCULV_LVICR_LVIVS_3p55V = 11, /*!< 3p55V : 3.55V */ + SCULV_LVICR_LVIVS_3p75V = 12, /*!< 3p75V : 3.75V */ + SCULV_LVICR_LVIVS_3p99V = 13, /*!< 3p99V : 3.99V */ + SCULV_LVICR_LVIVS_4p25V = 14, /*!< 4p25V : 4.25V */ + SCULV_LVICR_LVIVS_4p55V = 15, /*!< 4p55V : 4.55V */ +} SCULV_LVICR_LVIVS_Enum; + +/* ========================================================= LVRCR ========================================================= */ +/* =============================================== SCULV LVRCR LVREN [0..7] ================================================ */ +typedef enum { /*!< SCULV_LVRCR_LVREN */ + SCULV_LVRCR_LVREN_Disable = 85, /*!< Disable : Disable low voltage reset. (0x55) */ + SCULV_LVRCR_LVREN_Enable = 0, /*!< Enable : Enable low voltage reset. */ +} SCULV_LVRCR_LVREN_Enum; + + + +/* =========================================================================================================================== */ +/* ================ Pn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ Pn MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE15 */ + Pn_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE15_Enum; + +/* ================================================ Pn MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE14 */ + Pn_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE14_Enum; + +/* ================================================ Pn MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE13 */ + Pn_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE13_Enum; + +/* ================================================ Pn MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE12 */ + Pn_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE12_Enum; + +/* ================================================ Pn MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE11 */ + Pn_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE11_Enum; + +/* ================================================ Pn MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE10 */ + Pn_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE10_Enum; + +/* ================================================= Pn MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE9 */ + Pn_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE9_Enum; + +/* ================================================= Pn MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE8 */ + Pn_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE8_Enum; + +/* ================================================= Pn MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE7 */ + Pn_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE7_Enum; + +/* ================================================= Pn MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE6 */ + Pn_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE6_Enum; + +/* ================================================= Pn MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE5 */ + Pn_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE5_Enum; + +/* ================================================== Pn MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE4 */ + Pn_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE4_Enum; + +/* ================================================== Pn MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE3 */ + Pn_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE3_Enum; + +/* ================================================== Pn MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE2 */ + Pn_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE2_Enum; + +/* ================================================== Pn MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE1 */ + Pn_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE1_Enum; + +/* ================================================== Pn MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE0 */ + Pn_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= Pn TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP15 */ + Pn_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP15_Enum; + +/* ================================================= Pn TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP14 */ + Pn_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP14_Enum; + +/* ================================================= Pn TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP13 */ + Pn_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP13_Enum; + +/* ================================================= Pn TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP12 */ + Pn_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP12_Enum; + +/* ================================================= Pn TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP11 */ + Pn_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP11_Enum; + +/* ================================================= Pn TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP10 */ + Pn_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP10_Enum; + +/* ================================================== Pn TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP9 */ + Pn_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP9_Enum; + +/* ================================================== Pn TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP8 */ + Pn_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP8_Enum; + +/* ================================================== Pn TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP7 */ + Pn_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP7_Enum; + +/* ================================================== Pn TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP6 */ + Pn_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP6_Enum; + +/* ================================================== Pn TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP5 */ + Pn_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP5_Enum; + +/* ================================================== Pn TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP4 */ + Pn_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP4_Enum; + +/* ================================================== Pn TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP3 */ + Pn_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP3_Enum; + +/* ================================================== Pn TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP2 */ + Pn_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP2_Enum; + +/* ================================================== Pn TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP1 */ + Pn_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP1_Enum; + +/* ================================================== Pn TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP0 */ + Pn_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ Pn AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR7 */ + Pn_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR7_Enum; + +/* ================================================ Pn AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR6 */ + Pn_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR6_Enum; + +/* ================================================ Pn AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR5 */ + Pn_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR5_Enum; + +/* ================================================ Pn AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR4 */ + Pn_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR4_Enum; + +/* ================================================ Pn AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR3 */ + Pn_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR3_Enum; + +/* ================================================ Pn AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< Pn_AFSR1_AFSR2 */ + Pn_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR2_Enum; + +/* ================================================= Pn AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< Pn_AFSR1_AFSR1 */ + Pn_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR1_Enum; + +/* ================================================= Pn AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< Pn_AFSR1_AFSR0 */ + Pn_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== Pn AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR15 */ + Pn_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR15_Enum; + +/* =============================================== Pn AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR14 */ + Pn_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR14_Enum; + +/* =============================================== Pn AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR13 */ + Pn_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR13_Enum; + +/* =============================================== Pn AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR12 */ + Pn_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR12_Enum; + +/* =============================================== Pn AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR11 */ + Pn_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR11_Enum; + +/* ================================================ Pn AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR10 */ + Pn_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR10_Enum; + +/* ================================================= Pn AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< Pn_AFSR2_AFSR9 */ + Pn_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR9_Enum; + +/* ================================================= Pn AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< Pn_AFSR2_AFSR8 */ + Pn_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ Pn PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD15 */ + Pn_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD15_Enum; + +/* ================================================ Pn PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD14 */ + Pn_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD14_Enum; + +/* ================================================ Pn PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD13 */ + Pn_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD13_Enum; + +/* ================================================ Pn PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD12 */ + Pn_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD12_Enum; + +/* ================================================ Pn PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD11 */ + Pn_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD11_Enum; + +/* ================================================ Pn PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD10 */ + Pn_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD10_Enum; + +/* ================================================ Pn PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD9 */ + Pn_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD9_Enum; + +/* ================================================ Pn PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD8 */ + Pn_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD8_Enum; + +/* ================================================ Pn PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD7 */ + Pn_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD7_Enum; + +/* ================================================ Pn PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD6 */ + Pn_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD6_Enum; + +/* ================================================ Pn PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD5 */ + Pn_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD5_Enum; + +/* ================================================= Pn PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD4 */ + Pn_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD4_Enum; + +/* ================================================= Pn PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD3 */ + Pn_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD3_Enum; + +/* ================================================= Pn PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD2 */ + Pn_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD2_Enum; + +/* ================================================= Pn PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD1 */ + Pn_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD1_Enum; + +/* ================================================= Pn PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD0 */ + Pn_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= Pn BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR15 */ + Pn_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR15_Enum; + +/* ================================================= Pn BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR14 */ + Pn_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR14_Enum; + +/* ================================================= Pn BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR13 */ + Pn_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR13_Enum; + +/* ================================================= Pn BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR12 */ + Pn_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR12_Enum; + +/* ================================================= Pn BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR11 */ + Pn_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR11_Enum; + +/* ================================================= Pn BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR10 */ + Pn_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR10_Enum; + +/* ================================================== Pn BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR9 */ + Pn_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR9_Enum; + +/* ================================================== Pn BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR8 */ + Pn_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR8_Enum; + +/* ================================================== Pn BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR7 */ + Pn_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR7_Enum; + +/* ================================================== Pn BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR6 */ + Pn_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR6_Enum; + +/* ================================================== Pn BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR5 */ + Pn_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR5_Enum; + +/* ================================================== Pn BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR4 */ + Pn_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR4_Enum; + +/* ================================================== Pn BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR3 */ + Pn_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR3_Enum; + +/* ================================================== Pn BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR2 */ + Pn_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR2_Enum; + +/* ================================================== Pn BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR1 */ + Pn_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR1_Enum; + +/* ================================================== Pn BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR0 */ + Pn_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= Pn BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR15 */ + Pn_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR15_Enum; + +/* ================================================= Pn BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR14 */ + Pn_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR14_Enum; + +/* ================================================= Pn BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR13 */ + Pn_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR13_Enum; + +/* ================================================= Pn BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR12 */ + Pn_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR12_Enum; + +/* ================================================= Pn BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR11 */ + Pn_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR11_Enum; + +/* ================================================= Pn BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR10 */ + Pn_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR10_Enum; + +/* ================================================== Pn BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR9 */ + Pn_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR9_Enum; + +/* ================================================== Pn BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR8 */ + Pn_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR8_Enum; + +/* ================================================== Pn BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR7 */ + Pn_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR7_Enum; + +/* ================================================== Pn BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR6 */ + Pn_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR6_Enum; + +/* ================================================== Pn BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR5 */ + Pn_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR5_Enum; + +/* ================================================== Pn BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR4 */ + Pn_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR4_Enum; + +/* ================================================== Pn BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR3 */ + Pn_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR3_Enum; + +/* ================================================== Pn BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR2 */ + Pn_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR2_Enum; + +/* ================================================== Pn BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR1 */ + Pn_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR1_Enum; + +/* ================================================== Pn BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR0 */ + Pn_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= Pn OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK15 */ + Pn_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK14 */ + Pn_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK13 */ + Pn_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK12 */ + Pn_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK11 */ + Pn_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK10 */ + Pn_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK9 */ + Pn_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK8 */ + Pn_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK7 */ + Pn_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK6 */ + Pn_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK5 */ + Pn_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK4 */ + Pn_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK3 */ + Pn_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK2 */ + Pn_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK1 */ + Pn_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK0 */ + Pn_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ Pn DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< Pn_DBCR_DBCLK */ + Pn_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + Pn_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + Pn_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + Pn_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + Pn_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + Pn_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} Pn_DBCR_DBCLK_Enum; + +/* ================================================ Pn DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< Pn_DBCR_DBEN11 */ + Pn_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN11_Enum; + +/* ================================================ Pn DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< Pn_DBCR_DBEN10 */ + Pn_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN10_Enum; + +/* ================================================= Pn DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN9 */ + Pn_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN9_Enum; + +/* ================================================= Pn DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN8 */ + Pn_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN8_Enum; + +/* ================================================= Pn DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN7 */ + Pn_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN7_Enum; + +/* ================================================= Pn DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN6 */ + Pn_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN6_Enum; + +/* ================================================= Pn DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN5 */ + Pn_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN5_Enum; + +/* ================================================= Pn DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN4 */ + Pn_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN4_Enum; + +/* ================================================= Pn DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN3 */ + Pn_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN3_Enum; + +/* ================================================= Pn DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN2 */ + Pn_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN2_Enum; + +/* ================================================= Pn DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN1 */ + Pn_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN1_Enum; + +/* ================================================= Pn DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN0 */ + Pn_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN0_Enum; + + + +/* =========================================================================================================================== */ +/* ================ PA ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PA MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PA_MOD_MODE15 */ + PA_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE15_Enum; + +/* ================================================ PA MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PA_MOD_MODE14 */ + PA_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE14_Enum; + +/* ================================================ PA MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PA_MOD_MODE13 */ + PA_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE13_Enum; + +/* ================================================ PA MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PA_MOD_MODE12 */ + PA_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE12_Enum; + +/* ================================================ PA MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PA_MOD_MODE11 */ + PA_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE11_Enum; + +/* ================================================ PA MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PA_MOD_MODE10 */ + PA_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE10_Enum; + +/* ================================================= PA MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PA_MOD_MODE9 */ + PA_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE9_Enum; + +/* ================================================= PA MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PA_MOD_MODE8 */ + PA_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE8_Enum; + +/* ================================================= PA MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PA_MOD_MODE7 */ + PA_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE7_Enum; + +/* ================================================= PA MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PA_MOD_MODE6 */ + PA_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE6_Enum; + +/* ================================================= PA MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PA_MOD_MODE5 */ + PA_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE5_Enum; + +/* ================================================== PA MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PA_MOD_MODE4 */ + PA_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE4_Enum; + +/* ================================================== PA MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PA_MOD_MODE3 */ + PA_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE3_Enum; + +/* ================================================== PA MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PA_MOD_MODE2 */ + PA_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE2_Enum; + +/* ================================================== PA MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PA_MOD_MODE1 */ + PA_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE1_Enum; + +/* ================================================== PA MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PA_MOD_MODE0 */ + PA_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PA TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PA_TYP_TYP15 */ + PA_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP15_Enum; + +/* ================================================= PA TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PA_TYP_TYP14 */ + PA_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP14_Enum; + +/* ================================================= PA TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PA_TYP_TYP13 */ + PA_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP13_Enum; + +/* ================================================= PA TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PA_TYP_TYP12 */ + PA_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP12_Enum; + +/* ================================================= PA TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PA_TYP_TYP11 */ + PA_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP11_Enum; + +/* ================================================= PA TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PA_TYP_TYP10 */ + PA_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP10_Enum; + +/* ================================================== PA TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PA_TYP_TYP9 */ + PA_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP9_Enum; + +/* ================================================== PA TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PA_TYP_TYP8 */ + PA_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP8_Enum; + +/* ================================================== PA TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PA_TYP_TYP7 */ + PA_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP7_Enum; + +/* ================================================== PA TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PA_TYP_TYP6 */ + PA_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP6_Enum; + +/* ================================================== PA TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PA_TYP_TYP5 */ + PA_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP5_Enum; + +/* ================================================== PA TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PA_TYP_TYP4 */ + PA_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP4_Enum; + +/* ================================================== PA TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PA_TYP_TYP3 */ + PA_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP3_Enum; + +/* ================================================== PA TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PA_TYP_TYP2 */ + PA_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP2_Enum; + +/* ================================================== PA TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PA_TYP_TYP1 */ + PA_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP1_Enum; + +/* ================================================== PA TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PA_TYP_TYP0 */ + PA_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PA AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR7 */ + PA_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR7_Enum; + +/* ================================================ PA AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR6 */ + PA_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR6_Enum; + +/* ================================================ PA AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR5 */ + PA_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR5_Enum; + +/* ================================================ PA AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR4 */ + PA_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR4_Enum; + +/* ================================================ PA AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR3 */ + PA_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR3_Enum; + +/* ================================================ PA AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PA_AFSR1_AFSR2 */ + PA_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR2_Enum; + +/* ================================================= PA AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PA_AFSR1_AFSR1 */ + PA_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR1_Enum; + +/* ================================================= PA AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PA_AFSR1_AFSR0 */ + PA_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PA AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR15 */ + PA_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR15_Enum; + +/* =============================================== PA AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR14 */ + PA_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR14_Enum; + +/* =============================================== PA AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR13 */ + PA_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR13_Enum; + +/* =============================================== PA AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR12 */ + PA_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR12_Enum; + +/* =============================================== PA AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR11 */ + PA_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR11_Enum; + +/* ================================================ PA AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR10 */ + PA_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR10_Enum; + +/* ================================================= PA AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PA_AFSR2_AFSR9 */ + PA_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR9_Enum; + +/* ================================================= PA AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PA_AFSR2_AFSR8 */ + PA_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PA PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD15 */ + PA_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD15_Enum; + +/* ================================================ PA PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD14 */ + PA_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD14_Enum; + +/* ================================================ PA PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD13 */ + PA_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD13_Enum; + +/* ================================================ PA PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD12 */ + PA_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD12_Enum; + +/* ================================================ PA PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD11 */ + PA_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD11_Enum; + +/* ================================================ PA PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD10 */ + PA_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD10_Enum; + +/* ================================================ PA PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD9 */ + PA_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD9_Enum; + +/* ================================================ PA PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD8 */ + PA_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD8_Enum; + +/* ================================================ PA PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD7 */ + PA_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD7_Enum; + +/* ================================================ PA PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD6 */ + PA_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD6_Enum; + +/* ================================================ PA PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD5 */ + PA_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD5_Enum; + +/* ================================================= PA PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD4 */ + PA_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD4_Enum; + +/* ================================================= PA PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD3 */ + PA_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD3_Enum; + +/* ================================================= PA PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD2 */ + PA_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD2_Enum; + +/* ================================================= PA PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD1 */ + PA_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD1_Enum; + +/* ================================================= PA PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD0 */ + PA_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PA BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PA_BSR_BSR15 */ + PA_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR15_Enum; + +/* ================================================= PA BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PA_BSR_BSR14 */ + PA_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR14_Enum; + +/* ================================================= PA BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PA_BSR_BSR13 */ + PA_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR13_Enum; + +/* ================================================= PA BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PA_BSR_BSR12 */ + PA_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR12_Enum; + +/* ================================================= PA BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PA_BSR_BSR11 */ + PA_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR11_Enum; + +/* ================================================= PA BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PA_BSR_BSR10 */ + PA_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR10_Enum; + +/* ================================================== PA BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PA_BSR_BSR9 */ + PA_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR9_Enum; + +/* ================================================== PA BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PA_BSR_BSR8 */ + PA_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR8_Enum; + +/* ================================================== PA BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PA_BSR_BSR7 */ + PA_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR7_Enum; + +/* ================================================== PA BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PA_BSR_BSR6 */ + PA_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR6_Enum; + +/* ================================================== PA BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PA_BSR_BSR5 */ + PA_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR5_Enum; + +/* ================================================== PA BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PA_BSR_BSR4 */ + PA_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR4_Enum; + +/* ================================================== PA BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PA_BSR_BSR3 */ + PA_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR3_Enum; + +/* ================================================== PA BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PA_BSR_BSR2 */ + PA_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR2_Enum; + +/* ================================================== PA BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PA_BSR_BSR1 */ + PA_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR1_Enum; + +/* ================================================== PA BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PA_BSR_BSR0 */ + PA_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PA BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PA_BCR_BCR15 */ + PA_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR15_Enum; + +/* ================================================= PA BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PA_BCR_BCR14 */ + PA_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR14_Enum; + +/* ================================================= PA BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PA_BCR_BCR13 */ + PA_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR13_Enum; + +/* ================================================= PA BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PA_BCR_BCR12 */ + PA_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR12_Enum; + +/* ================================================= PA BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PA_BCR_BCR11 */ + PA_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR11_Enum; + +/* ================================================= PA BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PA_BCR_BCR10 */ + PA_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR10_Enum; + +/* ================================================== PA BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PA_BCR_BCR9 */ + PA_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR9_Enum; + +/* ================================================== PA BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PA_BCR_BCR8 */ + PA_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR8_Enum; + +/* ================================================== PA BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PA_BCR_BCR7 */ + PA_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR7_Enum; + +/* ================================================== PA BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PA_BCR_BCR6 */ + PA_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR6_Enum; + +/* ================================================== PA BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PA_BCR_BCR5 */ + PA_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR5_Enum; + +/* ================================================== PA BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PA_BCR_BCR4 */ + PA_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR4_Enum; + +/* ================================================== PA BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PA_BCR_BCR3 */ + PA_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR3_Enum; + +/* ================================================== PA BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PA_BCR_BCR2 */ + PA_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR2_Enum; + +/* ================================================== PA BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PA_BCR_BCR1 */ + PA_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR1_Enum; + +/* ================================================== PA BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PA_BCR_BCR0 */ + PA_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PA OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK15 */ + PA_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK14 */ + PA_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK13 */ + PA_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK12 */ + PA_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK11 */ + PA_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK10 */ + PA_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK9 */ + PA_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK8 */ + PA_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK7 */ + PA_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK6 */ + PA_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK5 */ + PA_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK4 */ + PA_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK3 */ + PA_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK2 */ + PA_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK1 */ + PA_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK0 */ + PA_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PA DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PA_DBCR_DBCLK */ + PA_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PA_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PA_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PA_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PA_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PA_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PA_DBCR_DBCLK_Enum; + +/* ================================================ PA DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PA_DBCR_DBEN11 */ + PA_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN11_Enum; + +/* ================================================ PA DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PA_DBCR_DBEN10 */ + PA_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN10_Enum; + +/* ================================================= PA DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN9 */ + PA_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN9_Enum; + +/* ================================================= PA DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN8 */ + PA_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN8_Enum; + +/* ================================================= PA DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN7 */ + PA_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN7_Enum; + +/* ================================================= PA DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN6 */ + PA_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN6_Enum; + +/* ================================================= PA DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN5 */ + PA_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN5_Enum; + +/* ================================================= PA DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN4 */ + PA_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN4_Enum; + +/* ================================================= PA DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN3 */ + PA_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN3_Enum; + +/* ================================================= PA DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN2 */ + PA_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN2_Enum; + +/* ================================================= PA DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN1 */ + PA_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN1_Enum; + +/* ================================================= PA DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN0 */ + PA_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN0_Enum; + +/* ======================================================== PA_MOD ========================================================= */ +/* ======================================================== PA_TYP ========================================================= */ +/* ======================================================= PA_AFSR1 ======================================================== */ +/* ======================================================= PA_AFSR2 ======================================================== */ +/* ======================================================== PA_PUPD ======================================================== */ +/* ======================================================== PA_INDR ======================================================== */ +/* ======================================================= PA_OUTDR ======================================================== */ +/* ======================================================== PA_BSR ========================================================= */ +/* ======================================================== PA_BCR ========================================================= */ +/* ====================================================== PA_OUTDMSK ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ PB ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PB MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PB_MOD_MODE15 */ + PB_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE15_Enum; + +/* ================================================ PB MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PB_MOD_MODE14 */ + PB_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE14_Enum; + +/* ================================================ PB MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PB_MOD_MODE13 */ + PB_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE13_Enum; + +/* ================================================ PB MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PB_MOD_MODE12 */ + PB_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE12_Enum; + +/* ================================================ PB MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PB_MOD_MODE11 */ + PB_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE11_Enum; + +/* ================================================ PB MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PB_MOD_MODE10 */ + PB_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE10_Enum; + +/* ================================================= PB MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PB_MOD_MODE9 */ + PB_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE9_Enum; + +/* ================================================= PB MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PB_MOD_MODE8 */ + PB_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE8_Enum; + +/* ================================================= PB MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PB_MOD_MODE7 */ + PB_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE7_Enum; + +/* ================================================= PB MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PB_MOD_MODE6 */ + PB_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE6_Enum; + +/* ================================================= PB MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PB_MOD_MODE5 */ + PB_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE5_Enum; + +/* ================================================== PB MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PB_MOD_MODE4 */ + PB_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE4_Enum; + +/* ================================================== PB MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PB_MOD_MODE3 */ + PB_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE3_Enum; + +/* ================================================== PB MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PB_MOD_MODE2 */ + PB_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE2_Enum; + +/* ================================================== PB MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PB_MOD_MODE1 */ + PB_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE1_Enum; + +/* ================================================== PB MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PB_MOD_MODE0 */ + PB_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PB TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PB_TYP_TYP15 */ + PB_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP15_Enum; + +/* ================================================= PB TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PB_TYP_TYP14 */ + PB_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP14_Enum; + +/* ================================================= PB TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PB_TYP_TYP13 */ + PB_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP13_Enum; + +/* ================================================= PB TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PB_TYP_TYP12 */ + PB_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP12_Enum; + +/* ================================================= PB TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PB_TYP_TYP11 */ + PB_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP11_Enum; + +/* ================================================= PB TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PB_TYP_TYP10 */ + PB_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP10_Enum; + +/* ================================================== PB TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PB_TYP_TYP9 */ + PB_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP9_Enum; + +/* ================================================== PB TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PB_TYP_TYP8 */ + PB_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP8_Enum; + +/* ================================================== PB TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PB_TYP_TYP7 */ + PB_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP7_Enum; + +/* ================================================== PB TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PB_TYP_TYP6 */ + PB_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP6_Enum; + +/* ================================================== PB TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PB_TYP_TYP5 */ + PB_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP5_Enum; + +/* ================================================== PB TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PB_TYP_TYP4 */ + PB_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP4_Enum; + +/* ================================================== PB TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PB_TYP_TYP3 */ + PB_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP3_Enum; + +/* ================================================== PB TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PB_TYP_TYP2 */ + PB_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP2_Enum; + +/* ================================================== PB TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PB_TYP_TYP1 */ + PB_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP1_Enum; + +/* ================================================== PB TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PB_TYP_TYP0 */ + PB_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PB AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR7 */ + PB_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR7_Enum; + +/* ================================================ PB AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR6 */ + PB_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR6_Enum; + +/* ================================================ PB AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR5 */ + PB_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR5_Enum; + +/* ================================================ PB AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR4 */ + PB_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR4_Enum; + +/* ================================================ PB AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR3 */ + PB_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR3_Enum; + +/* ================================================ PB AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PB_AFSR1_AFSR2 */ + PB_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR2_Enum; + +/* ================================================= PB AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PB_AFSR1_AFSR1 */ + PB_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR1_Enum; + +/* ================================================= PB AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PB_AFSR1_AFSR0 */ + PB_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PB AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR15 */ + PB_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR15_Enum; + +/* =============================================== PB AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR14 */ + PB_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR14_Enum; + +/* =============================================== PB AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR13 */ + PB_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR13_Enum; + +/* =============================================== PB AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR12 */ + PB_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR12_Enum; + +/* =============================================== PB AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR11 */ + PB_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR11_Enum; + +/* ================================================ PB AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR10 */ + PB_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR10_Enum; + +/* ================================================= PB AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PB_AFSR2_AFSR9 */ + PB_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR9_Enum; + +/* ================================================= PB AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PB_AFSR2_AFSR8 */ + PB_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PB PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD15 */ + PB_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD15_Enum; + +/* ================================================ PB PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD14 */ + PB_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD14_Enum; + +/* ================================================ PB PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD13 */ + PB_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD13_Enum; + +/* ================================================ PB PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD12 */ + PB_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD12_Enum; + +/* ================================================ PB PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD11 */ + PB_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD11_Enum; + +/* ================================================ PB PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD10 */ + PB_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD10_Enum; + +/* ================================================ PB PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD9 */ + PB_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD9_Enum; + +/* ================================================ PB PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD8 */ + PB_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD8_Enum; + +/* ================================================ PB PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD7 */ + PB_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD7_Enum; + +/* ================================================ PB PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD6 */ + PB_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD6_Enum; + +/* ================================================ PB PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD5 */ + PB_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD5_Enum; + +/* ================================================= PB PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD4 */ + PB_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD4_Enum; + +/* ================================================= PB PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD3 */ + PB_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD3_Enum; + +/* ================================================= PB PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD2 */ + PB_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD2_Enum; + +/* ================================================= PB PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD1 */ + PB_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD1_Enum; + +/* ================================================= PB PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD0 */ + PB_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PB BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PB_BSR_BSR15 */ + PB_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR15_Enum; + +/* ================================================= PB BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PB_BSR_BSR14 */ + PB_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR14_Enum; + +/* ================================================= PB BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PB_BSR_BSR13 */ + PB_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR13_Enum; + +/* ================================================= PB BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PB_BSR_BSR12 */ + PB_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR12_Enum; + +/* ================================================= PB BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PB_BSR_BSR11 */ + PB_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR11_Enum; + +/* ================================================= PB BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PB_BSR_BSR10 */ + PB_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR10_Enum; + +/* ================================================== PB BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PB_BSR_BSR9 */ + PB_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR9_Enum; + +/* ================================================== PB BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PB_BSR_BSR8 */ + PB_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR8_Enum; + +/* ================================================== PB BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PB_BSR_BSR7 */ + PB_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR7_Enum; + +/* ================================================== PB BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PB_BSR_BSR6 */ + PB_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR6_Enum; + +/* ================================================== PB BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PB_BSR_BSR5 */ + PB_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR5_Enum; + +/* ================================================== PB BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PB_BSR_BSR4 */ + PB_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR4_Enum; + +/* ================================================== PB BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PB_BSR_BSR3 */ + PB_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR3_Enum; + +/* ================================================== PB BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PB_BSR_BSR2 */ + PB_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR2_Enum; + +/* ================================================== PB BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PB_BSR_BSR1 */ + PB_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR1_Enum; + +/* ================================================== PB BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PB_BSR_BSR0 */ + PB_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PB BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PB_BCR_BCR15 */ + PB_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR15_Enum; + +/* ================================================= PB BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PB_BCR_BCR14 */ + PB_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR14_Enum; + +/* ================================================= PB BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PB_BCR_BCR13 */ + PB_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR13_Enum; + +/* ================================================= PB BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PB_BCR_BCR12 */ + PB_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR12_Enum; + +/* ================================================= PB BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PB_BCR_BCR11 */ + PB_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR11_Enum; + +/* ================================================= PB BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PB_BCR_BCR10 */ + PB_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR10_Enum; + +/* ================================================== PB BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PB_BCR_BCR9 */ + PB_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR9_Enum; + +/* ================================================== PB BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PB_BCR_BCR8 */ + PB_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR8_Enum; + +/* ================================================== PB BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PB_BCR_BCR7 */ + PB_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR7_Enum; + +/* ================================================== PB BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PB_BCR_BCR6 */ + PB_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR6_Enum; + +/* ================================================== PB BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PB_BCR_BCR5 */ + PB_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR5_Enum; + +/* ================================================== PB BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PB_BCR_BCR4 */ + PB_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR4_Enum; + +/* ================================================== PB BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PB_BCR_BCR3 */ + PB_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR3_Enum; + +/* ================================================== PB BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PB_BCR_BCR2 */ + PB_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR2_Enum; + +/* ================================================== PB BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PB_BCR_BCR1 */ + PB_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR1_Enum; + +/* ================================================== PB BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PB_BCR_BCR0 */ + PB_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PB OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK15 */ + PB_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK14 */ + PB_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK13 */ + PB_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK12 */ + PB_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK11 */ + PB_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK10 */ + PB_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK9 */ + PB_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK8 */ + PB_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK7 */ + PB_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK6 */ + PB_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK5 */ + PB_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK4 */ + PB_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK3 */ + PB_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK2 */ + PB_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK1 */ + PB_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK0 */ + PB_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PB DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PB_DBCR_DBCLK */ + PB_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PB_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PB_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PB_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PB_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PB_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PB_DBCR_DBCLK_Enum; + +/* ================================================ PB DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PB_DBCR_DBEN11 */ + PB_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN11_Enum; + +/* ================================================ PB DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PB_DBCR_DBEN10 */ + PB_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN10_Enum; + +/* ================================================= PB DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN9 */ + PB_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN9_Enum; + +/* ================================================= PB DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN8 */ + PB_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN8_Enum; + +/* ================================================= PB DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN7 */ + PB_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN7_Enum; + +/* ================================================= PB DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN6 */ + PB_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN6_Enum; + +/* ================================================= PB DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN5 */ + PB_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN5_Enum; + +/* ================================================= PB DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN4 */ + PB_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN4_Enum; + +/* ================================================= PB DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN3 */ + PB_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN3_Enum; + +/* ================================================= PB DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN2 */ + PB_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN2_Enum; + +/* ================================================= PB DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN1 */ + PB_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN1_Enum; + +/* ================================================= PB DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN0 */ + PB_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN0_Enum; + +/* ======================================================== PB_MOD ========================================================= */ +/* ======================================================== PB_TYP ========================================================= */ +/* ======================================================= PB_AFSR1 ======================================================== */ +/* ======================================================= PB_AFSR2 ======================================================== */ +/* ======================================================== PB_PUPD ======================================================== */ +/* ======================================================== PB_INDR ======================================================== */ +/* ======================================================= PB_OUTDR ======================================================== */ +/* ======================================================== PB_BSR ========================================================= */ +/* ======================================================== PB_BCR ========================================================= */ +/* ====================================================== PB_OUTDMSK ======================================================= */ +/* ======================================================== PB_DBCR ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ PC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PC MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PC_MOD_MODE15 */ + PC_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE15_Enum; + +/* ================================================ PC MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PC_MOD_MODE14 */ + PC_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE14_Enum; + +/* ================================================ PC MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PC_MOD_MODE13 */ + PC_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE13_Enum; + +/* ================================================ PC MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PC_MOD_MODE12 */ + PC_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE12_Enum; + +/* ================================================ PC MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PC_MOD_MODE11 */ + PC_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE11_Enum; + +/* ================================================ PC MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PC_MOD_MODE10 */ + PC_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE10_Enum; + +/* ================================================= PC MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PC_MOD_MODE9 */ + PC_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE9_Enum; + +/* ================================================= PC MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PC_MOD_MODE8 */ + PC_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE8_Enum; + +/* ================================================= PC MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PC_MOD_MODE7 */ + PC_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE7_Enum; + +/* ================================================= PC MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PC_MOD_MODE6 */ + PC_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE6_Enum; + +/* ================================================= PC MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PC_MOD_MODE5 */ + PC_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE5_Enum; + +/* ================================================== PC MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PC_MOD_MODE4 */ + PC_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE4_Enum; + +/* ================================================== PC MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PC_MOD_MODE3 */ + PC_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE3_Enum; + +/* ================================================== PC MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PC_MOD_MODE2 */ + PC_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE2_Enum; + +/* ================================================== PC MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PC_MOD_MODE1 */ + PC_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE1_Enum; + +/* ================================================== PC MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PC_MOD_MODE0 */ + PC_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PC TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PC_TYP_TYP15 */ + PC_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP15_Enum; + +/* ================================================= PC TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PC_TYP_TYP14 */ + PC_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP14_Enum; + +/* ================================================= PC TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PC_TYP_TYP13 */ + PC_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP13_Enum; + +/* ================================================= PC TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PC_TYP_TYP12 */ + PC_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP12_Enum; + +/* ================================================= PC TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PC_TYP_TYP11 */ + PC_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP11_Enum; + +/* ================================================= PC TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PC_TYP_TYP10 */ + PC_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP10_Enum; + +/* ================================================== PC TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PC_TYP_TYP9 */ + PC_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP9_Enum; + +/* ================================================== PC TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PC_TYP_TYP8 */ + PC_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP8_Enum; + +/* ================================================== PC TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PC_TYP_TYP7 */ + PC_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP7_Enum; + +/* ================================================== PC TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PC_TYP_TYP6 */ + PC_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP6_Enum; + +/* ================================================== PC TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PC_TYP_TYP5 */ + PC_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP5_Enum; + +/* ================================================== PC TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PC_TYP_TYP4 */ + PC_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP4_Enum; + +/* ================================================== PC TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PC_TYP_TYP3 */ + PC_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP3_Enum; + +/* ================================================== PC TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PC_TYP_TYP2 */ + PC_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP2_Enum; + +/* ================================================== PC TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PC_TYP_TYP1 */ + PC_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP1_Enum; + +/* ================================================== PC TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PC_TYP_TYP0 */ + PC_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PC AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR7 */ + PC_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR7_Enum; + +/* ================================================ PC AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR6 */ + PC_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR6_Enum; + +/* ================================================ PC AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR5 */ + PC_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR5_Enum; + +/* ================================================ PC AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR4 */ + PC_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR4_Enum; + +/* ================================================ PC AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR3 */ + PC_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR3_Enum; + +/* ================================================ PC AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PC_AFSR1_AFSR2 */ + PC_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR2_Enum; + +/* ================================================= PC AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PC_AFSR1_AFSR1 */ + PC_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR1_Enum; + +/* ================================================= PC AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PC_AFSR1_AFSR0 */ + PC_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PC AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR15 */ + PC_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR15_Enum; + +/* =============================================== PC AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR14 */ + PC_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR14_Enum; + +/* =============================================== PC AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR13 */ + PC_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR13_Enum; + +/* =============================================== PC AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR12 */ + PC_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR12_Enum; + +/* =============================================== PC AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR11 */ + PC_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR11_Enum; + +/* ================================================ PC AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR10 */ + PC_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR10_Enum; + +/* ================================================= PC AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PC_AFSR2_AFSR9 */ + PC_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR9_Enum; + +/* ================================================= PC AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PC_AFSR2_AFSR8 */ + PC_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PC PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD15 */ + PC_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD15_Enum; + +/* ================================================ PC PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD14 */ + PC_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD14_Enum; + +/* ================================================ PC PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD13 */ + PC_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD13_Enum; + +/* ================================================ PC PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD12 */ + PC_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD12_Enum; + +/* ================================================ PC PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD11 */ + PC_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD11_Enum; + +/* ================================================ PC PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD10 */ + PC_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD10_Enum; + +/* ================================================ PC PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD9 */ + PC_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD9_Enum; + +/* ================================================ PC PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD8 */ + PC_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD8_Enum; + +/* ================================================ PC PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD7 */ + PC_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD7_Enum; + +/* ================================================ PC PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD6 */ + PC_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD6_Enum; + +/* ================================================ PC PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD5 */ + PC_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD5_Enum; + +/* ================================================= PC PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD4 */ + PC_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD4_Enum; + +/* ================================================= PC PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD3 */ + PC_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD3_Enum; + +/* ================================================= PC PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD2 */ + PC_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD2_Enum; + +/* ================================================= PC PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD1 */ + PC_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD1_Enum; + +/* ================================================= PC PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD0 */ + PC_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PC BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PC_BSR_BSR15 */ + PC_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR15_Enum; + +/* ================================================= PC BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PC_BSR_BSR14 */ + PC_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR14_Enum; + +/* ================================================= PC BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PC_BSR_BSR13 */ + PC_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR13_Enum; + +/* ================================================= PC BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PC_BSR_BSR12 */ + PC_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR12_Enum; + +/* ================================================= PC BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PC_BSR_BSR11 */ + PC_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR11_Enum; + +/* ================================================= PC BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PC_BSR_BSR10 */ + PC_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR10_Enum; + +/* ================================================== PC BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PC_BSR_BSR9 */ + PC_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR9_Enum; + +/* ================================================== PC BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PC_BSR_BSR8 */ + PC_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR8_Enum; + +/* ================================================== PC BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PC_BSR_BSR7 */ + PC_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR7_Enum; + +/* ================================================== PC BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PC_BSR_BSR6 */ + PC_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR6_Enum; + +/* ================================================== PC BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PC_BSR_BSR5 */ + PC_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR5_Enum; + +/* ================================================== PC BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PC_BSR_BSR4 */ + PC_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR4_Enum; + +/* ================================================== PC BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PC_BSR_BSR3 */ + PC_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR3_Enum; + +/* ================================================== PC BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PC_BSR_BSR2 */ + PC_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR2_Enum; + +/* ================================================== PC BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PC_BSR_BSR1 */ + PC_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR1_Enum; + +/* ================================================== PC BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PC_BSR_BSR0 */ + PC_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PC BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PC_BCR_BCR15 */ + PC_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR15_Enum; + +/* ================================================= PC BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PC_BCR_BCR14 */ + PC_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR14_Enum; + +/* ================================================= PC BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PC_BCR_BCR13 */ + PC_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR13_Enum; + +/* ================================================= PC BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PC_BCR_BCR12 */ + PC_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR12_Enum; + +/* ================================================= PC BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PC_BCR_BCR11 */ + PC_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR11_Enum; + +/* ================================================= PC BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PC_BCR_BCR10 */ + PC_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR10_Enum; + +/* ================================================== PC BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PC_BCR_BCR9 */ + PC_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR9_Enum; + +/* ================================================== PC BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PC_BCR_BCR8 */ + PC_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR8_Enum; + +/* ================================================== PC BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PC_BCR_BCR7 */ + PC_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR7_Enum; + +/* ================================================== PC BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PC_BCR_BCR6 */ + PC_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR6_Enum; + +/* ================================================== PC BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PC_BCR_BCR5 */ + PC_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR5_Enum; + +/* ================================================== PC BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PC_BCR_BCR4 */ + PC_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR4_Enum; + +/* ================================================== PC BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PC_BCR_BCR3 */ + PC_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR3_Enum; + +/* ================================================== PC BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PC_BCR_BCR2 */ + PC_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR2_Enum; + +/* ================================================== PC BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PC_BCR_BCR1 */ + PC_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR1_Enum; + +/* ================================================== PC BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PC_BCR_BCR0 */ + PC_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PC OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK15 */ + PC_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK14 */ + PC_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK13 */ + PC_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK12 */ + PC_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK11 */ + PC_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK10 */ + PC_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK9 */ + PC_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK8 */ + PC_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK7 */ + PC_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK6 */ + PC_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK5 */ + PC_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK4 */ + PC_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK3 */ + PC_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK2 */ + PC_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK1 */ + PC_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK0 */ + PC_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PC DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PC_DBCR_DBCLK */ + PC_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PC_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PC_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PC_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PC_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PC_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PC_DBCR_DBCLK_Enum; + +/* ================================================ PC DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PC_DBCR_DBEN11 */ + PC_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN11_Enum; + +/* ================================================ PC DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PC_DBCR_DBEN10 */ + PC_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN10_Enum; + +/* ================================================= PC DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN9 */ + PC_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN9_Enum; + +/* ================================================= PC DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN8 */ + PC_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN8_Enum; + +/* ================================================= PC DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN7 */ + PC_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN7_Enum; + +/* ================================================= PC DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN6 */ + PC_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN6_Enum; + +/* ================================================= PC DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN5 */ + PC_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN5_Enum; + +/* ================================================= PC DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN4 */ + PC_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN4_Enum; + +/* ================================================= PC DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN3 */ + PC_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN3_Enum; + +/* ================================================= PC DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN2 */ + PC_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN2_Enum; + +/* ================================================= PC DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN1 */ + PC_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN1_Enum; + +/* ================================================= PC DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN0 */ + PC_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN0_Enum; + +/* ======================================================== PC_MOD ========================================================= */ +/* ======================================================== PC_TYP ========================================================= */ +/* ======================================================= PC_AFSR1 ======================================================== */ +/* ======================================================= PC_AFSR2 ======================================================== */ +/* ======================================================== PC_PUPD ======================================================== */ +/* ======================================================== PC_INDR ======================================================== */ +/* ======================================================= PC_OUTDR ======================================================== */ +/* ======================================================== PC_BSR ========================================================= */ +/* ======================================================== PC_BCR ========================================================= */ +/* ====================================================== PC_OUTDMSK ======================================================= */ +/* ======================================================== PC_DBCR ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ PD ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PD MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PD_MOD_MODE15 */ + PD_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE15_Enum; + +/* ================================================ PD MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PD_MOD_MODE14 */ + PD_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE14_Enum; + +/* ================================================ PD MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PD_MOD_MODE13 */ + PD_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE13_Enum; + +/* ================================================ PD MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PD_MOD_MODE12 */ + PD_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE12_Enum; + +/* ================================================ PD MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PD_MOD_MODE11 */ + PD_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE11_Enum; + +/* ================================================ PD MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PD_MOD_MODE10 */ + PD_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE10_Enum; + +/* ================================================= PD MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PD_MOD_MODE9 */ + PD_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE9_Enum; + +/* ================================================= PD MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PD_MOD_MODE8 */ + PD_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE8_Enum; + +/* ================================================= PD MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PD_MOD_MODE7 */ + PD_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE7_Enum; + +/* ================================================= PD MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PD_MOD_MODE6 */ + PD_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE6_Enum; + +/* ================================================= PD MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PD_MOD_MODE5 */ + PD_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE5_Enum; + +/* ================================================== PD MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PD_MOD_MODE4 */ + PD_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE4_Enum; + +/* ================================================== PD MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PD_MOD_MODE3 */ + PD_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE3_Enum; + +/* ================================================== PD MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PD_MOD_MODE2 */ + PD_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE2_Enum; + +/* ================================================== PD MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PD_MOD_MODE1 */ + PD_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE1_Enum; + +/* ================================================== PD MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PD_MOD_MODE0 */ + PD_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PD TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PD_TYP_TYP15 */ + PD_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP15_Enum; + +/* ================================================= PD TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PD_TYP_TYP14 */ + PD_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP14_Enum; + +/* ================================================= PD TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PD_TYP_TYP13 */ + PD_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP13_Enum; + +/* ================================================= PD TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PD_TYP_TYP12 */ + PD_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP12_Enum; + +/* ================================================= PD TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PD_TYP_TYP11 */ + PD_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP11_Enum; + +/* ================================================= PD TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PD_TYP_TYP10 */ + PD_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP10_Enum; + +/* ================================================== PD TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PD_TYP_TYP9 */ + PD_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP9_Enum; + +/* ================================================== PD TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PD_TYP_TYP8 */ + PD_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP8_Enum; + +/* ================================================== PD TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PD_TYP_TYP7 */ + PD_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP7_Enum; + +/* ================================================== PD TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PD_TYP_TYP6 */ + PD_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP6_Enum; + +/* ================================================== PD TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PD_TYP_TYP5 */ + PD_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP5_Enum; + +/* ================================================== PD TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PD_TYP_TYP4 */ + PD_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP4_Enum; + +/* ================================================== PD TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PD_TYP_TYP3 */ + PD_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP3_Enum; + +/* ================================================== PD TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PD_TYP_TYP2 */ + PD_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP2_Enum; + +/* ================================================== PD TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PD_TYP_TYP1 */ + PD_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP1_Enum; + +/* ================================================== PD TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PD_TYP_TYP0 */ + PD_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PD AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR7 */ + PD_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR7_Enum; + +/* ================================================ PD AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR6 */ + PD_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR6_Enum; + +/* ================================================ PD AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR5 */ + PD_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR5_Enum; + +/* ================================================ PD AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR4 */ + PD_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR4_Enum; + +/* ================================================ PD AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR3 */ + PD_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR3_Enum; + +/* ================================================ PD AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PD_AFSR1_AFSR2 */ + PD_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR2_Enum; + +/* ================================================= PD AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PD_AFSR1_AFSR1 */ + PD_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR1_Enum; + +/* ================================================= PD AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PD_AFSR1_AFSR0 */ + PD_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PD AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR15 */ + PD_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR15_Enum; + +/* =============================================== PD AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR14 */ + PD_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR14_Enum; + +/* =============================================== PD AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR13 */ + PD_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR13_Enum; + +/* =============================================== PD AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR12 */ + PD_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR12_Enum; + +/* =============================================== PD AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR11 */ + PD_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR11_Enum; + +/* ================================================ PD AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR10 */ + PD_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR10_Enum; + +/* ================================================= PD AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PD_AFSR2_AFSR9 */ + PD_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR9_Enum; + +/* ================================================= PD AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PD_AFSR2_AFSR8 */ + PD_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PD PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD15 */ + PD_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD15_Enum; + +/* ================================================ PD PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD14 */ + PD_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD14_Enum; + +/* ================================================ PD PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD13 */ + PD_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD13_Enum; + +/* ================================================ PD PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD12 */ + PD_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD12_Enum; + +/* ================================================ PD PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD11 */ + PD_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD11_Enum; + +/* ================================================ PD PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD10 */ + PD_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD10_Enum; + +/* ================================================ PD PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD9 */ + PD_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD9_Enum; + +/* ================================================ PD PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD8 */ + PD_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD8_Enum; + +/* ================================================ PD PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD7 */ + PD_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD7_Enum; + +/* ================================================ PD PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD6 */ + PD_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD6_Enum; + +/* ================================================ PD PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD5 */ + PD_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD5_Enum; + +/* ================================================= PD PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD4 */ + PD_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD4_Enum; + +/* ================================================= PD PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD3 */ + PD_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD3_Enum; + +/* ================================================= PD PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD2 */ + PD_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD2_Enum; + +/* ================================================= PD PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD1 */ + PD_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD1_Enum; + +/* ================================================= PD PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD0 */ + PD_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PD BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PD_BSR_BSR15 */ + PD_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR15_Enum; + +/* ================================================= PD BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PD_BSR_BSR14 */ + PD_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR14_Enum; + +/* ================================================= PD BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PD_BSR_BSR13 */ + PD_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR13_Enum; + +/* ================================================= PD BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PD_BSR_BSR12 */ + PD_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR12_Enum; + +/* ================================================= PD BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PD_BSR_BSR11 */ + PD_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR11_Enum; + +/* ================================================= PD BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PD_BSR_BSR10 */ + PD_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR10_Enum; + +/* ================================================== PD BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PD_BSR_BSR9 */ + PD_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR9_Enum; + +/* ================================================== PD BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PD_BSR_BSR8 */ + PD_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR8_Enum; + +/* ================================================== PD BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PD_BSR_BSR7 */ + PD_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR7_Enum; + +/* ================================================== PD BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PD_BSR_BSR6 */ + PD_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR6_Enum; + +/* ================================================== PD BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PD_BSR_BSR5 */ + PD_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR5_Enum; + +/* ================================================== PD BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PD_BSR_BSR4 */ + PD_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR4_Enum; + +/* ================================================== PD BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PD_BSR_BSR3 */ + PD_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR3_Enum; + +/* ================================================== PD BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PD_BSR_BSR2 */ + PD_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR2_Enum; + +/* ================================================== PD BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PD_BSR_BSR1 */ + PD_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR1_Enum; + +/* ================================================== PD BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PD_BSR_BSR0 */ + PD_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PD BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PD_BCR_BCR15 */ + PD_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR15_Enum; + +/* ================================================= PD BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PD_BCR_BCR14 */ + PD_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR14_Enum; + +/* ================================================= PD BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PD_BCR_BCR13 */ + PD_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR13_Enum; + +/* ================================================= PD BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PD_BCR_BCR12 */ + PD_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR12_Enum; + +/* ================================================= PD BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PD_BCR_BCR11 */ + PD_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR11_Enum; + +/* ================================================= PD BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PD_BCR_BCR10 */ + PD_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR10_Enum; + +/* ================================================== PD BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PD_BCR_BCR9 */ + PD_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR9_Enum; + +/* ================================================== PD BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PD_BCR_BCR8 */ + PD_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR8_Enum; + +/* ================================================== PD BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PD_BCR_BCR7 */ + PD_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR7_Enum; + +/* ================================================== PD BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PD_BCR_BCR6 */ + PD_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR6_Enum; + +/* ================================================== PD BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PD_BCR_BCR5 */ + PD_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR5_Enum; + +/* ================================================== PD BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PD_BCR_BCR4 */ + PD_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR4_Enum; + +/* ================================================== PD BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PD_BCR_BCR3 */ + PD_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR3_Enum; + +/* ================================================== PD BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PD_BCR_BCR2 */ + PD_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR2_Enum; + +/* ================================================== PD BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PD_BCR_BCR1 */ + PD_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR1_Enum; + +/* ================================================== PD BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PD_BCR_BCR0 */ + PD_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PD OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK15 */ + PD_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK14 */ + PD_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK13 */ + PD_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK12 */ + PD_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK11 */ + PD_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK10 */ + PD_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK9 */ + PD_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK8 */ + PD_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK7 */ + PD_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK6 */ + PD_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK5 */ + PD_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK4 */ + PD_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK3 */ + PD_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK2 */ + PD_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK1 */ + PD_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK0 */ + PD_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PD DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PD_DBCR_DBCLK */ + PD_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PD_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PD_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PD_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PD_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PD_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PD_DBCR_DBCLK_Enum; + +/* ================================================ PD DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PD_DBCR_DBEN11 */ + PD_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN11_Enum; + +/* ================================================ PD DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PD_DBCR_DBEN10 */ + PD_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN10_Enum; + +/* ================================================= PD DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN9 */ + PD_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN9_Enum; + +/* ================================================= PD DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN8 */ + PD_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN8_Enum; + +/* ================================================= PD DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN7 */ + PD_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN7_Enum; + +/* ================================================= PD DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN6 */ + PD_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN6_Enum; + +/* ================================================= PD DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN5 */ + PD_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN5_Enum; + +/* ================================================= PD DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN4 */ + PD_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN4_Enum; + +/* ================================================= PD DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN3 */ + PD_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN3_Enum; + +/* ================================================= PD DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN2 */ + PD_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN2_Enum; + +/* ================================================= PD DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN1 */ + PD_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN1_Enum; + +/* ================================================= PD DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN0 */ + PD_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN0_Enum; + +/* ======================================================== PD_MOD ========================================================= */ +/* ======================================================== PD_TYP ========================================================= */ +/* ======================================================= PD_AFSR1 ======================================================== */ +/* ======================================================= PD_AFSR2 ======================================================== */ +/* ======================================================== PD_PUPD ======================================================== */ +/* ======================================================== PD_INDR ======================================================== */ +/* ======================================================= PD_OUTDR ======================================================== */ +/* ======================================================== PD_BSR ========================================================= */ +/* ======================================================== PD_BCR ========================================================= */ +/* ====================================================== PD_OUTDMSK ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ PE ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PE MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PE_MOD_MODE15 */ + PE_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE15_Enum; + +/* ================================================ PE MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PE_MOD_MODE14 */ + PE_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE14_Enum; + +/* ================================================ PE MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PE_MOD_MODE13 */ + PE_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE13_Enum; + +/* ================================================ PE MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PE_MOD_MODE12 */ + PE_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE12_Enum; + +/* ================================================ PE MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PE_MOD_MODE11 */ + PE_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE11_Enum; + +/* ================================================ PE MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PE_MOD_MODE10 */ + PE_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE10_Enum; + +/* ================================================= PE MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PE_MOD_MODE9 */ + PE_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE9_Enum; + +/* ================================================= PE MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PE_MOD_MODE8 */ + PE_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE8_Enum; + +/* ================================================= PE MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PE_MOD_MODE7 */ + PE_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE7_Enum; + +/* ================================================= PE MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PE_MOD_MODE6 */ + PE_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE6_Enum; + +/* ================================================= PE MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PE_MOD_MODE5 */ + PE_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE5_Enum; + +/* ================================================== PE MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PE_MOD_MODE4 */ + PE_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE4_Enum; + +/* ================================================== PE MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PE_MOD_MODE3 */ + PE_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE3_Enum; + +/* ================================================== PE MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PE_MOD_MODE2 */ + PE_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE2_Enum; + +/* ================================================== PE MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PE_MOD_MODE1 */ + PE_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE1_Enum; + +/* ================================================== PE MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PE_MOD_MODE0 */ + PE_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PE TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PE_TYP_TYP15 */ + PE_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP15_Enum; + +/* ================================================= PE TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PE_TYP_TYP14 */ + PE_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP14_Enum; + +/* ================================================= PE TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PE_TYP_TYP13 */ + PE_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP13_Enum; + +/* ================================================= PE TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PE_TYP_TYP12 */ + PE_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP12_Enum; + +/* ================================================= PE TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PE_TYP_TYP11 */ + PE_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP11_Enum; + +/* ================================================= PE TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PE_TYP_TYP10 */ + PE_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP10_Enum; + +/* ================================================== PE TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PE_TYP_TYP9 */ + PE_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP9_Enum; + +/* ================================================== PE TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PE_TYP_TYP8 */ + PE_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP8_Enum; + +/* ================================================== PE TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PE_TYP_TYP7 */ + PE_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP7_Enum; + +/* ================================================== PE TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PE_TYP_TYP6 */ + PE_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP6_Enum; + +/* ================================================== PE TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PE_TYP_TYP5 */ + PE_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP5_Enum; + +/* ================================================== PE TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PE_TYP_TYP4 */ + PE_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP4_Enum; + +/* ================================================== PE TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PE_TYP_TYP3 */ + PE_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP3_Enum; + +/* ================================================== PE TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PE_TYP_TYP2 */ + PE_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP2_Enum; + +/* ================================================== PE TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PE_TYP_TYP1 */ + PE_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP1_Enum; + +/* ================================================== PE TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PE_TYP_TYP0 */ + PE_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PE AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR7 */ + PE_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR7_Enum; + +/* ================================================ PE AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR6 */ + PE_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR6_Enum; + +/* ================================================ PE AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR5 */ + PE_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR5_Enum; + +/* ================================================ PE AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR4 */ + PE_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR4_Enum; + +/* ================================================ PE AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR3 */ + PE_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR3_Enum; + +/* ================================================ PE AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PE_AFSR1_AFSR2 */ + PE_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR2_Enum; + +/* ================================================= PE AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PE_AFSR1_AFSR1 */ + PE_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR1_Enum; + +/* ================================================= PE AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PE_AFSR1_AFSR0 */ + PE_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PE AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR15 */ + PE_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR15_Enum; + +/* =============================================== PE AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR14 */ + PE_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR14_Enum; + +/* =============================================== PE AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR13 */ + PE_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR13_Enum; + +/* =============================================== PE AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR12 */ + PE_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR12_Enum; + +/* =============================================== PE AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR11 */ + PE_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR11_Enum; + +/* ================================================ PE AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR10 */ + PE_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR10_Enum; + +/* ================================================= PE AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PE_AFSR2_AFSR9 */ + PE_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR9_Enum; + +/* ================================================= PE AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PE_AFSR2_AFSR8 */ + PE_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PE PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD15 */ + PE_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD15_Enum; + +/* ================================================ PE PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD14 */ + PE_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD14_Enum; + +/* ================================================ PE PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD13 */ + PE_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD13_Enum; + +/* ================================================ PE PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD12 */ + PE_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD12_Enum; + +/* ================================================ PE PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD11 */ + PE_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD11_Enum; + +/* ================================================ PE PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD10 */ + PE_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD10_Enum; + +/* ================================================ PE PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD9 */ + PE_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD9_Enum; + +/* ================================================ PE PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD8 */ + PE_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD8_Enum; + +/* ================================================ PE PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD7 */ + PE_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD7_Enum; + +/* ================================================ PE PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD6 */ + PE_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD6_Enum; + +/* ================================================ PE PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD5 */ + PE_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD5_Enum; + +/* ================================================= PE PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD4 */ + PE_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD4_Enum; + +/* ================================================= PE PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD3 */ + PE_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD3_Enum; + +/* ================================================= PE PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD2 */ + PE_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD2_Enum; + +/* ================================================= PE PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD1 */ + PE_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD1_Enum; + +/* ================================================= PE PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD0 */ + PE_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PE BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PE_BSR_BSR15 */ + PE_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR15_Enum; + +/* ================================================= PE BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PE_BSR_BSR14 */ + PE_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR14_Enum; + +/* ================================================= PE BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PE_BSR_BSR13 */ + PE_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR13_Enum; + +/* ================================================= PE BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PE_BSR_BSR12 */ + PE_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR12_Enum; + +/* ================================================= PE BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PE_BSR_BSR11 */ + PE_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR11_Enum; + +/* ================================================= PE BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PE_BSR_BSR10 */ + PE_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR10_Enum; + +/* ================================================== PE BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PE_BSR_BSR9 */ + PE_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR9_Enum; + +/* ================================================== PE BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PE_BSR_BSR8 */ + PE_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR8_Enum; + +/* ================================================== PE BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PE_BSR_BSR7 */ + PE_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR7_Enum; + +/* ================================================== PE BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PE_BSR_BSR6 */ + PE_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR6_Enum; + +/* ================================================== PE BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PE_BSR_BSR5 */ + PE_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR5_Enum; + +/* ================================================== PE BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PE_BSR_BSR4 */ + PE_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR4_Enum; + +/* ================================================== PE BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PE_BSR_BSR3 */ + PE_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR3_Enum; + +/* ================================================== PE BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PE_BSR_BSR2 */ + PE_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR2_Enum; + +/* ================================================== PE BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PE_BSR_BSR1 */ + PE_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR1_Enum; + +/* ================================================== PE BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PE_BSR_BSR0 */ + PE_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PE BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PE_BCR_BCR15 */ + PE_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR15_Enum; + +/* ================================================= PE BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PE_BCR_BCR14 */ + PE_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR14_Enum; + +/* ================================================= PE BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PE_BCR_BCR13 */ + PE_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR13_Enum; + +/* ================================================= PE BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PE_BCR_BCR12 */ + PE_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR12_Enum; + +/* ================================================= PE BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PE_BCR_BCR11 */ + PE_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR11_Enum; + +/* ================================================= PE BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PE_BCR_BCR10 */ + PE_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR10_Enum; + +/* ================================================== PE BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PE_BCR_BCR9 */ + PE_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR9_Enum; + +/* ================================================== PE BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PE_BCR_BCR8 */ + PE_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR8_Enum; + +/* ================================================== PE BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PE_BCR_BCR7 */ + PE_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR7_Enum; + +/* ================================================== PE BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PE_BCR_BCR6 */ + PE_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR6_Enum; + +/* ================================================== PE BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PE_BCR_BCR5 */ + PE_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR5_Enum; + +/* ================================================== PE BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PE_BCR_BCR4 */ + PE_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR4_Enum; + +/* ================================================== PE BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PE_BCR_BCR3 */ + PE_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR3_Enum; + +/* ================================================== PE BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PE_BCR_BCR2 */ + PE_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR2_Enum; + +/* ================================================== PE BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PE_BCR_BCR1 */ + PE_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR1_Enum; + +/* ================================================== PE BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PE_BCR_BCR0 */ + PE_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PE OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK15 */ + PE_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK14 */ + PE_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK13 */ + PE_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK12 */ + PE_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK11 */ + PE_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK10 */ + PE_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK9 */ + PE_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK8 */ + PE_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK7 */ + PE_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK6 */ + PE_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK5 */ + PE_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK4 */ + PE_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK3 */ + PE_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK2 */ + PE_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK1 */ + PE_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK0 */ + PE_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PE DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PE_DBCR_DBCLK */ + PE_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PE_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PE_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PE_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PE_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PE_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PE_DBCR_DBCLK_Enum; + +/* ================================================ PE DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PE_DBCR_DBEN11 */ + PE_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN11_Enum; + +/* ================================================ PE DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PE_DBCR_DBEN10 */ + PE_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN10_Enum; + +/* ================================================= PE DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN9 */ + PE_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN9_Enum; + +/* ================================================= PE DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN8 */ + PE_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN8_Enum; + +/* ================================================= PE DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN7 */ + PE_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN7_Enum; + +/* ================================================= PE DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN6 */ + PE_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN6_Enum; + +/* ================================================= PE DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN5 */ + PE_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN5_Enum; + +/* ================================================= PE DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN4 */ + PE_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN4_Enum; + +/* ================================================= PE DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN3 */ + PE_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN3_Enum; + +/* ================================================= PE DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN2 */ + PE_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN2_Enum; + +/* ================================================= PE DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN1 */ + PE_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN1_Enum; + +/* ================================================= PE DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN0 */ + PE_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN0_Enum; + +/* ======================================================== PE_MOD ========================================================= */ +/* ======================================================== PE_TYP ========================================================= */ +/* ======================================================= PE_AFSR1 ======================================================== */ +/* ======================================================= PE_AFSR2 ======================================================== */ +/* ======================================================== PE_PUPD ======================================================== */ +/* ======================================================== PE_INDR ======================================================== */ +/* ======================================================= PE_OUTDR ======================================================== */ +/* ======================================================== PE_BSR ========================================================= */ +/* ======================================================== PE_BCR ========================================================= */ +/* ====================================================== PE_OUTDMSK ======================================================= */ +/* ======================================================== PE_DBCR ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ PF ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PF MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PF_MOD_MODE15 */ + PF_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE15_Enum; + +/* ================================================ PF MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PF_MOD_MODE14 */ + PF_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE14_Enum; + +/* ================================================ PF MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PF_MOD_MODE13 */ + PF_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE13_Enum; + +/* ================================================ PF MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PF_MOD_MODE12 */ + PF_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE12_Enum; + +/* ================================================ PF MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PF_MOD_MODE11 */ + PF_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE11_Enum; + +/* ================================================ PF MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PF_MOD_MODE10 */ + PF_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE10_Enum; + +/* ================================================= PF MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PF_MOD_MODE9 */ + PF_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE9_Enum; + +/* ================================================= PF MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PF_MOD_MODE8 */ + PF_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE8_Enum; + +/* ================================================= PF MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PF_MOD_MODE7 */ + PF_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE7_Enum; + +/* ================================================= PF MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PF_MOD_MODE6 */ + PF_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE6_Enum; + +/* ================================================= PF MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PF_MOD_MODE5 */ + PF_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE5_Enum; + +/* ================================================== PF MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PF_MOD_MODE4 */ + PF_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE4_Enum; + +/* ================================================== PF MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PF_MOD_MODE3 */ + PF_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE3_Enum; + +/* ================================================== PF MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PF_MOD_MODE2 */ + PF_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE2_Enum; + +/* ================================================== PF MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PF_MOD_MODE1 */ + PF_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE1_Enum; + +/* ================================================== PF MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PF_MOD_MODE0 */ + PF_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PF TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PF_TYP_TYP15 */ + PF_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP15_Enum; + +/* ================================================= PF TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PF_TYP_TYP14 */ + PF_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP14_Enum; + +/* ================================================= PF TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PF_TYP_TYP13 */ + PF_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP13_Enum; + +/* ================================================= PF TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PF_TYP_TYP12 */ + PF_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP12_Enum; + +/* ================================================= PF TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PF_TYP_TYP11 */ + PF_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP11_Enum; + +/* ================================================= PF TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PF_TYP_TYP10 */ + PF_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP10_Enum; + +/* ================================================== PF TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PF_TYP_TYP9 */ + PF_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP9_Enum; + +/* ================================================== PF TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PF_TYP_TYP8 */ + PF_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP8_Enum; + +/* ================================================== PF TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PF_TYP_TYP7 */ + PF_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP7_Enum; + +/* ================================================== PF TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PF_TYP_TYP6 */ + PF_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP6_Enum; + +/* ================================================== PF TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PF_TYP_TYP5 */ + PF_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP5_Enum; + +/* ================================================== PF TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PF_TYP_TYP4 */ + PF_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP4_Enum; + +/* ================================================== PF TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PF_TYP_TYP3 */ + PF_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP3_Enum; + +/* ================================================== PF TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PF_TYP_TYP2 */ + PF_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP2_Enum; + +/* ================================================== PF TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PF_TYP_TYP1 */ + PF_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP1_Enum; + +/* ================================================== PF TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PF_TYP_TYP0 */ + PF_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PF AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR7 */ + PF_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR7_Enum; + +/* ================================================ PF AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR6 */ + PF_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR6_Enum; + +/* ================================================ PF AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR5 */ + PF_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR5_Enum; + +/* ================================================ PF AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR4 */ + PF_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR4_Enum; + +/* ================================================ PF AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR3 */ + PF_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR3_Enum; + +/* ================================================ PF AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PF_AFSR1_AFSR2 */ + PF_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR2_Enum; + +/* ================================================= PF AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PF_AFSR1_AFSR1 */ + PF_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR1_Enum; + +/* ================================================= PF AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PF_AFSR1_AFSR0 */ + PF_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PF AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR15 */ + PF_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR15_Enum; + +/* =============================================== PF AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR14 */ + PF_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR14_Enum; + +/* =============================================== PF AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR13 */ + PF_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR13_Enum; + +/* =============================================== PF AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR12 */ + PF_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR12_Enum; + +/* =============================================== PF AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR11 */ + PF_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR11_Enum; + +/* ================================================ PF AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR10 */ + PF_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR10_Enum; + +/* ================================================= PF AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PF_AFSR2_AFSR9 */ + PF_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR9_Enum; + +/* ================================================= PF AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PF_AFSR2_AFSR8 */ + PF_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PF PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD15 */ + PF_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD15_Enum; + +/* ================================================ PF PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD14 */ + PF_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD14_Enum; + +/* ================================================ PF PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD13 */ + PF_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD13_Enum; + +/* ================================================ PF PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD12 */ + PF_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD12_Enum; + +/* ================================================ PF PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD11 */ + PF_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD11_Enum; + +/* ================================================ PF PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD10 */ + PF_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD10_Enum; + +/* ================================================ PF PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD9 */ + PF_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD9_Enum; + +/* ================================================ PF PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD8 */ + PF_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD8_Enum; + +/* ================================================ PF PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD7 */ + PF_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD7_Enum; + +/* ================================================ PF PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD6 */ + PF_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD6_Enum; + +/* ================================================ PF PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD5 */ + PF_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD5_Enum; + +/* ================================================= PF PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD4 */ + PF_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD4_Enum; + +/* ================================================= PF PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD3 */ + PF_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD3_Enum; + +/* ================================================= PF PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD2 */ + PF_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD2_Enum; + +/* ================================================= PF PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD1 */ + PF_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD1_Enum; + +/* ================================================= PF PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD0 */ + PF_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PF BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PF_BSR_BSR15 */ + PF_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR15_Enum; + +/* ================================================= PF BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PF_BSR_BSR14 */ + PF_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR14_Enum; + +/* ================================================= PF BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PF_BSR_BSR13 */ + PF_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR13_Enum; + +/* ================================================= PF BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PF_BSR_BSR12 */ + PF_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR12_Enum; + +/* ================================================= PF BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PF_BSR_BSR11 */ + PF_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR11_Enum; + +/* ================================================= PF BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PF_BSR_BSR10 */ + PF_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR10_Enum; + +/* ================================================== PF BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PF_BSR_BSR9 */ + PF_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR9_Enum; + +/* ================================================== PF BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PF_BSR_BSR8 */ + PF_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR8_Enum; + +/* ================================================== PF BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PF_BSR_BSR7 */ + PF_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR7_Enum; + +/* ================================================== PF BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PF_BSR_BSR6 */ + PF_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR6_Enum; + +/* ================================================== PF BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PF_BSR_BSR5 */ + PF_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR5_Enum; + +/* ================================================== PF BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PF_BSR_BSR4 */ + PF_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR4_Enum; + +/* ================================================== PF BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PF_BSR_BSR3 */ + PF_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR3_Enum; + +/* ================================================== PF BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PF_BSR_BSR2 */ + PF_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR2_Enum; + +/* ================================================== PF BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PF_BSR_BSR1 */ + PF_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR1_Enum; + +/* ================================================== PF BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PF_BSR_BSR0 */ + PF_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PF BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PF_BCR_BCR15 */ + PF_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR15_Enum; + +/* ================================================= PF BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PF_BCR_BCR14 */ + PF_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR14_Enum; + +/* ================================================= PF BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PF_BCR_BCR13 */ + PF_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR13_Enum; + +/* ================================================= PF BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PF_BCR_BCR12 */ + PF_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR12_Enum; + +/* ================================================= PF BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PF_BCR_BCR11 */ + PF_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR11_Enum; + +/* ================================================= PF BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PF_BCR_BCR10 */ + PF_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR10_Enum; + +/* ================================================== PF BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PF_BCR_BCR9 */ + PF_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR9_Enum; + +/* ================================================== PF BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PF_BCR_BCR8 */ + PF_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR8_Enum; + +/* ================================================== PF BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PF_BCR_BCR7 */ + PF_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR7_Enum; + +/* ================================================== PF BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PF_BCR_BCR6 */ + PF_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR6_Enum; + +/* ================================================== PF BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PF_BCR_BCR5 */ + PF_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR5_Enum; + +/* ================================================== PF BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PF_BCR_BCR4 */ + PF_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR4_Enum; + +/* ================================================== PF BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PF_BCR_BCR3 */ + PF_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR3_Enum; + +/* ================================================== PF BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PF_BCR_BCR2 */ + PF_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR2_Enum; + +/* ================================================== PF BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PF_BCR_BCR1 */ + PF_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR1_Enum; + +/* ================================================== PF BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PF_BCR_BCR0 */ + PF_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PF OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK15 */ + PF_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK14 */ + PF_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK13 */ + PF_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK12 */ + PF_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK11 */ + PF_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK10 */ + PF_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK9 */ + PF_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK8 */ + PF_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK7 */ + PF_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK6 */ + PF_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK5 */ + PF_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK4 */ + PF_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK3 */ + PF_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK2 */ + PF_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK1 */ + PF_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK0 */ + PF_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PF DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PF_DBCR_DBCLK */ + PF_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PF_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PF_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PF_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PF_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PF_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PF_DBCR_DBCLK_Enum; + +/* ================================================ PF DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PF_DBCR_DBEN11 */ + PF_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN11_Enum; + +/* ================================================ PF DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PF_DBCR_DBEN10 */ + PF_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN10_Enum; + +/* ================================================= PF DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN9 */ + PF_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN9_Enum; + +/* ================================================= PF DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN8 */ + PF_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN8_Enum; + +/* ================================================= PF DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN7 */ + PF_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN7_Enum; + +/* ================================================= PF DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN6 */ + PF_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN6_Enum; + +/* ================================================= PF DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN5 */ + PF_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN5_Enum; + +/* ================================================= PF DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN4 */ + PF_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN4_Enum; + +/* ================================================= PF DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN3 */ + PF_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN3_Enum; + +/* ================================================= PF DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN2 */ + PF_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN2_Enum; + +/* ================================================= PF DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN1 */ + PF_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN1_Enum; + +/* ================================================= PF DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN0 */ + PF_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN0_Enum; + +/* ======================================================== PF_MOD ========================================================= */ +/* ======================================================== PF_TYP ========================================================= */ +/* ======================================================= PF_AFSR1 ======================================================== */ +/* ======================================================= PF_AFSR2 ======================================================== */ +/* ======================================================== PF_PUPD ======================================================== */ +/* ======================================================== PF_INDR ======================================================== */ +/* ======================================================= PF_OUTDR ======================================================== */ +/* ======================================================== PF_BSR ========================================================= */ +/* ======================================================== PF_BCR ========================================================= */ +/* ====================================================== PF_OUTDMSK ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ FMC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== ADR ========================================================== */ +/* ========================================================= IDR1 ========================================================== */ +/* ========================================================= IDR2 ========================================================== */ +/* ========================================================== CR =========================================================== */ +/* ================================================ FMC CR WTIDKY [16..31] ================================================= */ +typedef enum { /*!< FMC_CR_WTIDKY */ + FMC_CR_WTIDKY_Value = 27795, /*!< Value : Key Value (0x6c93) */ +} FMC_CR_WTIDKY_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================ FMC BCR WTIDKY [16..31] ================================================ */ +typedef enum { /*!< FMC_BCR_WTIDKY */ + FMC_BCR_WTIDKY_Value = 49598, /*!< Value : Key Value (0xc1be) */ +} FMC_BCR_WTIDKY_Enum; + +/* ======================================================== ERFLAG ========================================================= */ +/* ======================================================== PAGEBUF ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ WDT ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ================================================ WDT CR WTIDKY [16..31] ================================================= */ +typedef enum { /*!< WDT_CR_WTIDKY */ + WDT_CR_WTIDKY_Value = 23145, /*!< Value : Key Value (0x5a69) */ +} WDT_CR_WTIDKY_Enum; + +/* ================================================= WDT CR RSTEN [10..15] ================================================= */ +typedef enum { /*!< WDT_CR_RSTEN */ + WDT_CR_RSTEN_Disable = 37, /*!< Disable : Disable Watch-Dog Timer reset. (0x25) */ + WDT_CR_RSTEN_Enable = 0, /*!< Enable : Enable Watch-Dog Timer reset. */ +} WDT_CR_RSTEN_Enum; + +/* ================================================== WDT CR CNTEN [4..9] ================================================== */ +typedef enum { /*!< WDT_CR_CNTEN */ + WDT_CR_CNTEN_Disable = 26, /*!< Disable : Disable Watch-Dog Timer counter. (0x1a) */ + WDT_CR_CNTEN_Enable = 0, /*!< Enable : Enable Watch-Dog Timer counter. */ +} WDT_CR_CNTEN_Enum; + +/* ================================================= WDT CR WINMIEN [3..3] ================================================= */ +typedef enum { /*!< WDT_CR_WINMIEN */ + WDT_CR_WINMIEN_Disable = 0, /*!< Disable : Disable window data match interrupt. */ + WDT_CR_WINMIEN_Enable = 1, /*!< Enable : Enable window data match interrupt. */ +} WDT_CR_WINMIEN_Enum; + +/* ================================================= WDT CR UNFIEN [2..2] ================================================== */ +typedef enum { /*!< WDT_CR_UNFIEN */ + WDT_CR_UNFIEN_Disable = 0, /*!< Disable : Disable Watch-Dog Timer underflow interrupt. */ + WDT_CR_UNFIEN_Enable = 1, /*!< Enable : Enable Watch-Dog Timer underflow interrupt. */ +} WDT_CR_UNFIEN_Enum; + +/* ================================================= WDT CR CLKDIV [0..1] ================================================== */ +typedef enum { /*!< WDT_CR_CLKDIV */ + WDT_CR_CLKDIV_fWDT4 = 0, /*!< fWDT4 : fWDT/4 */ + WDT_CR_CLKDIV_fWDT16 = 1, /*!< fWDT16 : fWDT/16 */ + WDT_CR_CLKDIV_fWDT64 = 2, /*!< fWDT64 : fWDT/64 */ + WDT_CR_CLKDIV_fWDT256 = 3, /*!< fWDT256 : fWDT/256 */ +} WDT_CR_CLKDIV_Enum; + +/* ========================================================== SR =========================================================== */ +/* ================================================ WDT SR DBGCNTEN [7..7] ================================================= */ +typedef enum { /*!< WDT_SR_DBGCNTEN */ + WDT_SR_DBGCNTEN_Run = 0, /*!< Run : The Watch-Dog Timer counter continues even if the core + is halted */ + WDT_SR_DBGCNTEN_Stop = 1, /*!< Stop : The Watch-Dog Timer counter is stopped when the core + is halted */ +} WDT_SR_DBGCNTEN_Enum; + +/* ================================================ WDT SR WINMIFLAG [1..1] ================================================ */ +typedef enum { /*!< WDT_SR_WINMIFLAG */ + WDT_SR_WINMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + WDT_SR_WINMIFLAG_Request = 1, /*!< Request : Request occurred. */ +} WDT_SR_WINMIFLAG_Enum; + +/* ================================================ WDT SR UNFIFLAG [0..0] ================================================= */ +typedef enum { /*!< WDT_SR_UNFIFLAG */ + WDT_SR_UNFIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + WDT_SR_UNFIFLAG_Request = 1, /*!< Request : Request occurred. */ +} WDT_SR_UNFIFLAG_Enum; + +/* ========================================================== DR =========================================================== */ +/* ========================================================== CNT ========================================================== */ +/* ========================================================= WINDR ========================================================= */ +/* ========================================================= CNTR ========================================================== */ +/* ================================================= WDT CNTR CNTR [0..7] ================================================== */ +typedef enum { /*!< WDT_CNTR_CNTR */ + WDT_CNTR_CNTR_Reload = 106, /*!< Reload : Reload the WDTDR value to Watch-Dog Timer counter and + re-start. (0x6a) (Automatically cleared to '0x00' after + operation.) */ + WDT_CNTR_CNTR_NoEffect = 0, /*!< NoEffect : No effect. */ +} WDT_CNTR_CNTR_Enum; + + + +/* =========================================================================================================================== */ +/* ================ WT ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* =================================================== WT CR WTEN [7..7] =================================================== */ +typedef enum { /*!< WT_CR_WTEN */ + WT_CR_WTEN_Disable = 0, /*!< Disable : Disable watch timer operation. */ + WT_CR_WTEN_Enable = 1, /*!< Enable : Enable watch timer operation. */ +} WT_CR_WTEN_Enum; + +/* ================================================== WT CR WTINTV [4..5] ================================================== */ +typedef enum { /*!< WT_CR_WTINTV */ + WT_CR_WTINTV_fWT2Pow7 = 0, /*!< fWT2Pow7 : fWT/2^7 */ + WT_CR_WTINTV_fWT2Pow13 = 1, /*!< fWT2Pow13 : fWT/2^13 */ + WT_CR_WTINTV_fWT2Pow14 = 2, /*!< fWT2Pow14 : fWT/2^14 */ + WT_CR_WTINTV_fWT2Pow14DR = 3, /*!< fWT2Pow14DR : fWT/(2^14x(WTDR value + 1)) */ +} WT_CR_WTINTV_Enum; + +/* ================================================== WT CR WTIEN [3..3] =================================================== */ +typedef enum { /*!< WT_CR_WTIEN */ + WT_CR_WTIEN_Disable = 0, /*!< Disable : Disable watch timer interrupt. */ + WT_CR_WTIEN_Enable = 1, /*!< Enable : Enable watch timer interrupt. */ +} WT_CR_WTIEN_Enum; + +/* ================================================= WT CR WTIFLAG [1..1] ================================================== */ +typedef enum { /*!< WT_CR_WTIFLAG */ + WT_CR_WTIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + WT_CR_WTIFLAG_Request = 1, /*!< Request : Request occurred. */ +} WT_CR_WTIFLAG_Enum; + +/* ================================================== WT CR WTCLR [0..0] =================================================== */ +typedef enum { /*!< WT_CR_WTCLR */ + WT_CR_WTCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + WT_CR_WTCLR_Clear = 1, /*!< Clear : Clear the counter and divider. (Automatically cleared + to '0b' after operation) */ +} WT_CR_WTCLR_Enum; + +/* ========================================================== DR =========================================================== */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER1n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER10 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER11 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER12 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER13 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER14 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER15 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER16 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER2n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER20 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ +/* ====================================================== TIMER20_CR ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ TIMER21 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ +/* ====================================================== TIMER21_CR ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ TIMER3n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* =============================================== TIMER3n CR T3nEN [15..15] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nEN */ + TIMER3n_CR_T3nEN_Disable = 0, /*!< Disable : Disable TIMER3n Operation. */ + TIMER3n_CR_T3nEN_Enable = 1, /*!< Enable : Enable TIMER3n Operation. (Counter Clear and Start) */ +} TIMER3n_CR_T3nEN_Enum; + +/* ============================================== TIMER3n CR T3nCLK [14..14] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nCLK */ + TIMER3n_CR_T3nCLK_IntPrescaledClock = 0, /*!< IntPrescaledClock : Select an Internal Prescaler Clock. */ + TIMER3n_CR_T3nCLK_ExtClock = 1, /*!< ExtClock : Select an External Clock. */ +} TIMER3n_CR_T3nCLK_Enum; + +/* =============================================== TIMER3n CR T3nMS [12..13] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nMS */ + TIMER3n_CR_T3nMS_IntervalMode = 0, /*!< IntervalMode : Interval mode. (All match interrupts can occur) */ + TIMER3n_CR_T3nMS_CaptureMode = 1, /*!< CaptureMode : Capture mode. (The Period-match interrupt can + occur) */ + TIMER3n_CR_T3nMS_BackToBackMode = 2, /*!< BackToBackMode : Back-to-back mode. (All interrupts can occur) */ +} TIMER3n_CR_T3nMS_Enum; + +/* ============================================== TIMER3n CR T3nECE [11..11] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nECE */ + TIMER3n_CR_T3nECE_FallingEdge = 0, /*!< FallingEdge : Select falling edge of external clock. */ + TIMER3n_CR_T3nECE_RisingEdge = 1, /*!< RisingEdge : Select rising edge of external clock. */ +} TIMER3n_CR_T3nECE_Enum; + +/* =============================================== TIMER3n CR FORCA [10..10] =============================================== */ +typedef enum { /*!< TIMER3n_CR_FORCA */ + TIMER3n_CR_FORCA_AllChannelMode = 0, /*!< AllChannelMode : 6-Channel mode. (The PWM3nxA/PWM3nxB pins are + outputs according to the TIMER30_xDR registers, respectively.) */ + TIMER3n_CR_FORCA_AChannelMode = 1, /*!< AChannelMode : Force A-Channel mode. (All PWM3nxA/PWM3nxB pins + are outputs according only to the TIMER30_ADR register.) */ +} TIMER3n_CR_FORCA_Enum; + +/* ================================================ TIMER3n CR DLYEN [9..9] ================================================ */ +typedef enum { /*!< TIMER3n_CR_DLYEN */ + TIMER3n_CR_DLYEN_Disable = 0, /*!< Disable : Disable delay time insertion to the PWM3nxA/PWM3nxB. */ + TIMER3n_CR_DLYEN_Enable = 1, /*!< Enable : Enable delay time insertion to the PWM3nxA/PWM3nxB. */ +} TIMER3n_CR_DLYEN_Enum; + +/* =============================================== TIMER3n CR DLYPOS [8..8] ================================================ */ +typedef enum { /*!< TIMER3n_CR_DLYPOS */ + TIMER3n_CR_DLYPOS_FrontABehindB = 0, /*!< FrontABehindB : Insert in front of PWM3nxA and behind PWM3nxB + pins. */ + TIMER3n_CR_DLYPOS_BehindAFrontB = 1, /*!< BehindAFrontB : Insert behind PWM3nxA and in front of PWM3nxB + pins. */ +} TIMER3n_CR_DLYPOS_Enum; + +/* =============================================== TIMER3n CR T3nCPOL [6..7] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nCPOL */ + TIMER3n_CR_T3nCPOL_FallingEdge = 0, /*!< FallingEdge : Capture on falling edge. */ + TIMER3n_CR_T3nCPOL_RisingEdge = 1, /*!< RisingEdge : Capture on rising edge. */ + TIMER3n_CR_T3nCPOL_BothEdge = 2, /*!< BothEdge : Capture on both falling and rising edge. */ +} TIMER3n_CR_T3nCPOL_Enum; + +/* ================================================ TIMER3n CR UPDT [4..5] ================================================= */ +typedef enum { /*!< TIMER3n_CR_UPDT */ + TIMER3n_CR_UPDT_AtWriting = 0, /*!< AtWriting : Update data to buffer at the time of writing. */ + TIMER3n_CR_UPDT_AtPeriodMatch = 1, /*!< AtPeriodMatch : Update data to buffer at period match. */ + TIMER3n_CR_UPDT_AtBottom = 2, /*!< AtBottom : Update data to buffer at bottom. */ +} TIMER3n_CR_UPDT_Enum; + +/* ================================================ TIMER3n CR PMOC [1..3] ================================================= */ +typedef enum { /*!< TIMER3n_CR_PMOC */ + TIMER3n_CR_PMOC_Every1PeriodMatch = 0, /*!< Every1PeriodMatch : Once every 1 period match. */ + TIMER3n_CR_PMOC_Every2PeriodMatch = 1, /*!< Every2PeriodMatch : Once every 2 period match. */ + TIMER3n_CR_PMOC_Every3PeriodMatch = 2, /*!< Every3PeriodMatch : Once every 3 period match. */ + TIMER3n_CR_PMOC_Every4PeriodMatch = 3, /*!< Every4PeriodMatch : Once every 4 period match. */ + TIMER3n_CR_PMOC_Every5PeriodMatch = 4, /*!< Every5PeriodMatch : Once every 5 period match. */ + TIMER3n_CR_PMOC_Every6PeriodMatch = 5, /*!< Every6PeriodMatch : Once every 6 period match. */ + TIMER3n_CR_PMOC_Every7PeriodMatch = 6, /*!< Every7PeriodMatch : Once every 7 period match. */ + TIMER3n_CR_PMOC_Every8PeriodMatch = 7, /*!< Every8PeriodMatch : Once every 8 period match. */ +} TIMER3n_CR_PMOC_Enum; + +/* =============================================== TIMER3n CR T3nCLR [0..0] ================================================ */ +typedef enum { /*!< TIMER3n_CR_T3nCLR */ + TIMER3n_CR_T3nCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER3n_CR_T3nCLR_Clear = 1, /*!< Clear : Clear TIMER3n counter and prescaler. (Automatically + cleared to '0b' after operation) */ +} TIMER3n_CR_T3nCLR_Enum; + +/* ========================================================== PDR ========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== CDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ +/* ========================================================= OUTCR ========================================================= */ +/* ============================================== TIMER3n OUTCR POLB [15..15] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_POLB */ + TIMER3n_OUTCR_POLB_StartLow = 0, /*!< StartLow : Low level start. (The PWM3nxB pins are started with + low level after counting.) */ + TIMER3n_OUTCR_POLB_StartHigh = 1, /*!< StartHigh : High level start. (The PWM3nxB pins are started + with high level after counting) */ +} TIMER3n_OUTCR_POLB_Enum; + +/* ============================================== TIMER3n OUTCR POLA [14..14] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_POLA */ + TIMER3n_OUTCR_POLA_StartLow = 0, /*!< StartLow : Low level start. (The PWM3nxA pins are started with + low level after counting.) */ + TIMER3n_OUTCR_POLA_StartHigh = 1, /*!< StartHigh : High level start. (The PWM3nxA pins are started + with high level after counting) */ +} TIMER3n_OUTCR_POLA_Enum; + +/* ============================================= TIMER3n OUTCR PABOE [13..13] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PABOE */ + TIMER3n_OUTCR_PABOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PABOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PABOE_Enum; + +/* ============================================= TIMER3n OUTCR PBBOE [12..12] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PBBOE */ + TIMER3n_OUTCR_PBBOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PBBOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PBBOE_Enum; + +/* ============================================= TIMER3n OUTCR PCBOE [11..11] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PCBOE */ + TIMER3n_OUTCR_PCBOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PCBOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PCBOE_Enum; + +/* ============================================= TIMER3n OUTCR PAAOE [10..10] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PAAOE */ + TIMER3n_OUTCR_PAAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PAAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PAAOE_Enum; + +/* ============================================== TIMER3n OUTCR PBAOE [9..9] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PBAOE */ + TIMER3n_OUTCR_PBAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PBAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PBAOE_Enum; + +/* ============================================== TIMER3n OUTCR PCAOE [8..8] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PCAOE */ + TIMER3n_OUTCR_PCAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PCAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PCAOE_Enum; + +/* ============================================== TIMER3n OUTCR LVLAB [6..6] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLAB */ + TIMER3n_OUTCR_LVLAB_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLAB_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLAB_Enum; + +/* ============================================== TIMER3n OUTCR LVLBB [5..5] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLBB */ + TIMER3n_OUTCR_LVLBB_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLBB_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLBB_Enum; + +/* ============================================== TIMER3n OUTCR LVLCB [4..4] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLCB */ + TIMER3n_OUTCR_LVLCB_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLCB_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLCB_Enum; + +/* ============================================== TIMER3n OUTCR LVLAA [2..2] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLAA */ + TIMER3n_OUTCR_LVLAA_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLAA_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLAA_Enum; + +/* ============================================== TIMER3n OUTCR LVLBA [1..1] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLBA */ + TIMER3n_OUTCR_LVLBA_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLBA_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLBA_Enum; + +/* ============================================== TIMER3n OUTCR LVLCA [0..0] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLCA */ + TIMER3n_OUTCR_LVLCA_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLCA_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLCA_Enum; + +/* ========================================================== DLY ========================================================== */ +/* ========================================================= INTCR ========================================================= */ +/* ============================================== TIMER3n INTCR HIZIEN [6..6] ============================================== */ +typedef enum { /*!< TIMER3n_INTCR_HIZIEN */ + TIMER3n_INTCR_HIZIEN_Disable = 0, /*!< Disable : Disable TIMER3n output high-impedance interrupt. */ + TIMER3n_INTCR_HIZIEN_Enable = 1, /*!< Enable : Enable TIMER3n output high-impedance interrupt. */ +} TIMER3n_INTCR_HIZIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nCIEN [5..5] ============================================== */ +typedef enum { /*!< TIMER3n_INTCR_T3nCIEN */ + TIMER3n_INTCR_T3nCIEN_Disable = 0, /*!< Disable : Disable TIMER3n capture interrupt. */ + TIMER3n_INTCR_T3nCIEN_Enable = 1, /*!< Enable : Enable TIMER3n capture interrupt. */ +} TIMER3n_INTCR_T3nCIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nBTIEN [4..4] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nBTIEN */ + TIMER3n_INTCR_T3nBTIEN_Disable = 0, /*!< Disable : Disable TIMER3n bottom interrupt. */ + TIMER3n_INTCR_T3nBTIEN_Enable = 1, /*!< Enable : Enable TIMER3n bottom interrupt. */ +} TIMER3n_INTCR_T3nBTIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nPMIEN [3..3] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nPMIEN */ + TIMER3n_INTCR_T3nPMIEN_Disable = 0, /*!< Disable : Disable TIMER3n period interrupt. */ + TIMER3n_INTCR_T3nPMIEN_Enable = 1, /*!< Enable : Enable TIMER3n period interrupt. */ +} TIMER3n_INTCR_T3nPMIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nAMIEN [2..2] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nAMIEN */ + TIMER3n_INTCR_T3nAMIEN_Disable = 0, /*!< Disable : Disable TIMER3n A-ch match interrupt. */ + TIMER3n_INTCR_T3nAMIEN_Enable = 1, /*!< Enable : Enable TIMER3n A-ch match interrupt. */ +} TIMER3n_INTCR_T3nAMIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nBMIEN [1..1] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nBMIEN */ + TIMER3n_INTCR_T3nBMIEN_Disable = 0, /*!< Disable : Disable TIMER3n B-ch match interrupt. */ + TIMER3n_INTCR_T3nBMIEN_Enable = 1, /*!< Enable : Enable TIMER3n B-ch match interrupt. */ +} TIMER3n_INTCR_T3nBMIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nCMIEN [0..0] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nCMIEN */ + TIMER3n_INTCR_T3nCMIEN_Disable = 0, /*!< Disable : Disable TIMER3n C-ch match interrupt. */ + TIMER3n_INTCR_T3nCMIEN_Enable = 1, /*!< Enable : Enable TIMER3n C-ch match interrupt. */ +} TIMER3n_INTCR_T3nCMIEN_Enum; + +/* ======================================================== INTFLAG ======================================================== */ +/* ============================================ TIMER3n INTFLAG HIZIFLAG [6..6] ============================================ */ +typedef enum { /*!< TIMER3n_INTFLAG_HIZIFLAG */ + TIMER3n_INTFLAG_HIZIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_HIZIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_HIZIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nCIFLAG [5..5] ============================================ */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nCIFLAG */ + TIMER3n_INTFLAG_T3nCIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nCIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nCIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nBTIFLAG [4..4] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nBTIFLAG */ + TIMER3n_INTFLAG_T3nBTIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nBTIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nBTIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nPMIFLAG [3..3] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nPMIFLAG */ + TIMER3n_INTFLAG_T3nPMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nPMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nPMIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nAMIFLAG [2..2] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nAMIFLAG */ + TIMER3n_INTFLAG_T3nAMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nAMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nAMIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nBMIFLAG [1..1] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nBMIFLAG */ + TIMER3n_INTFLAG_T3nBMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nBMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nBMIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nCMIFLAG [0..0] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nCMIFLAG */ + TIMER3n_INTFLAG_T3nCMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nCMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nCMIFLAG_Enum; + +/* ========================================================= HIZCR ========================================================= */ +/* ============================================== TIMER3n HIZCR HIZEN [7..7] =============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HIZEN */ + TIMER3n_HIZCR_HIZEN_Disable = 0, /*!< Disable : Disable to control the output high-impedance. */ + TIMER3n_HIZCR_HIZEN_Enable = 1, /*!< Enable : Enable to control the output high-impedance. */ +} TIMER3n_HIZCR_HIZEN_Enum; + +/* ============================================== TIMER3n HIZCR HIZSW [4..4] =============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HIZSW */ + TIMER3n_HIZCR_HIZSW_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER3n_HIZCR_HIZSW_HiZ = 1, /*!< HiZ : PWM3nxA/PWM3nxB pins go into high impedance. (Automatically + cleared to '0' after operation) */ +} TIMER3n_HIZCR_HIZSW_Enum; + +/* ============================================== TIMER3n HIZCR HEDGE [2..2] =============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HEDGE */ + TIMER3n_HIZCR_HEDGE_FallingEdge = 0, /*!< FallingEdge : Falling edge of the BLNK pin. */ + TIMER3n_HIZCR_HEDGE_RisingEdge = 1, /*!< RisingEdge : Rising edge of the BLNK pin. */ +} TIMER3n_HIZCR_HEDGE_Enum; + +/* ============================================== TIMER3n HIZCR HIZSTA [1..1] ============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HIZSTA */ + TIMER3n_HIZCR_HIZSTA_NoHiZ = 0, /*!< NoHiZ : Indicates that the pins are not under a Hi-Z state. */ + TIMER3n_HIZCR_HIZSTA_HiZ = 1, /*!< HiZ : Indicates that the pins are under a Hi-Z state. */ +} TIMER3n_HIZCR_HIZSTA_Enum; + +/* ============================================== TIMER3n HIZCR HIZCLR [0..0] ============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HIZCLR */ + TIMER3n_HIZCR_HIZCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER3n_HIZCR_HIZCLR_Clear = 1, /*!< Clear : Clear high-impedance output. (The PWM3nxA/PWM3nxB pins + returns as output and this bit is automatically cleared + to '0' after operation.) */ +} TIMER3n_HIZCR_HIZCLR_Enum; + +/* ========================================================= ADTCR ========================================================= */ +/* ============================================= TIMER3n ADTCR T3nBTTG [4..4] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nBTTG */ + TIMER3n_ADTCR_T3nBTTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by bottom. */ + TIMER3n_ADTCR_T3nBTTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by bottom. */ +} TIMER3n_ADTCR_T3nBTTG_Enum; + +/* ============================================= TIMER3n ADTCR T3nPMTG [3..3] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nPMTG */ + TIMER3n_ADTCR_T3nPMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by period match. */ + TIMER3n_ADTCR_T3nPMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by period match. */ +} TIMER3n_ADTCR_T3nPMTG_Enum; + +/* ============================================= TIMER3n ADTCR T3nAMTG [2..2] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nAMTG */ + TIMER3n_ADTCR_T3nAMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by A-ch match. */ + TIMER3n_ADTCR_T3nAMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by A-ch match. */ +} TIMER3n_ADTCR_T3nAMTG_Enum; + +/* ============================================= TIMER3n ADTCR T3nBMTG [1..1] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nBMTG */ + TIMER3n_ADTCR_T3nBMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by B-ch match. */ + TIMER3n_ADTCR_T3nBMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by B-ch match. */ +} TIMER3n_ADTCR_T3nBMTG_Enum; + +/* ============================================= TIMER3n ADTCR T3nCMTG [0..0] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nCMTG */ + TIMER3n_ADTCR_T3nCMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by C-ch match. */ + TIMER3n_ADTCR_T3nCMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by C-ch match. */ +} TIMER3n_ADTCR_T3nCMTG_Enum; + +/* ========================================================= ADTDR ========================================================= */ + + +/* =========================================================================================================================== */ +/* ================ TIMER30 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* =============================================== TIMER30 CR T3nEN [15..15] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nEN */ + TIMER30_CR_T3nEN_Disable = 0, /*!< Disable : Disable TIMER3n Operation. */ + TIMER30_CR_T3nEN_Enable = 1, /*!< Enable : Enable TIMER3n Operation. (Counter Clear and Start) */ +} TIMER30_CR_T3nEN_Enum; + +/* ============================================== TIMER30 CR T3nCLK [14..14] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nCLK */ + TIMER30_CR_T3nCLK_IntPrescaledClock = 0, /*!< IntPrescaledClock : Select an Internal Prescaler Clock. */ + TIMER30_CR_T3nCLK_ExtClock = 1, /*!< ExtClock : Select an External Clock. */ +} TIMER30_CR_T3nCLK_Enum; + +/* =============================================== TIMER30 CR T3nMS [12..13] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nMS */ + TIMER30_CR_T3nMS_IntervalMode = 0, /*!< IntervalMode : Interval mode. (All match interrupts can occur) */ + TIMER30_CR_T3nMS_CaptureMode = 1, /*!< CaptureMode : Capture mode. (The Period-match interrupt can + occur) */ + TIMER30_CR_T3nMS_BackToBackMode = 2, /*!< BackToBackMode : Back-to-back mode. (All interrupts can occur) */ +} TIMER30_CR_T3nMS_Enum; + +/* ============================================== TIMER30 CR T3nECE [11..11] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nECE */ + TIMER30_CR_T3nECE_FallingEdge = 0, /*!< FallingEdge : Select falling edge of external clock. */ + TIMER30_CR_T3nECE_RisingEdge = 1, /*!< RisingEdge : Select rising edge of external clock. */ +} TIMER30_CR_T3nECE_Enum; + +/* =============================================== TIMER30 CR FORCA [10..10] =============================================== */ +typedef enum { /*!< TIMER30_CR_FORCA */ + TIMER30_CR_FORCA_AllChannelMode = 0, /*!< AllChannelMode : 6-Channel mode. (The PWM3nxA/PWM3nxB pins are + outputs according to the TIMER30_xDR registers, respectively.) */ + TIMER30_CR_FORCA_AChannelMode = 1, /*!< AChannelMode : Force A-Channel mode. (All PWM3nxA/PWM3nxB pins + are outputs according only to the TIMER30_ADR register.) */ +} TIMER30_CR_FORCA_Enum; + +/* ================================================ TIMER30 CR DLYEN [9..9] ================================================ */ +typedef enum { /*!< TIMER30_CR_DLYEN */ + TIMER30_CR_DLYEN_Disable = 0, /*!< Disable : Disable delay time insertion to the PWM3nxA/PWM3nxB. */ + TIMER30_CR_DLYEN_Enable = 1, /*!< Enable : Enable delay time insertion to the PWM3nxA/PWM3nxB. */ +} TIMER30_CR_DLYEN_Enum; + +/* =============================================== TIMER30 CR DLYPOS [8..8] ================================================ */ +typedef enum { /*!< TIMER30_CR_DLYPOS */ + TIMER30_CR_DLYPOS_FrontABehindB = 0, /*!< FrontABehindB : Insert in front of PWM3nxA and behind PWM3nxB + pins. */ + TIMER30_CR_DLYPOS_BehindAFrontB = 1, /*!< BehindAFrontB : Insert behind PWM3nxA and in front of PWM3nxB + pins. */ +} TIMER30_CR_DLYPOS_Enum; + +/* =============================================== TIMER30 CR T3nCPOL [6..7] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nCPOL */ + TIMER30_CR_T3nCPOL_FallingEdge = 0, /*!< FallingEdge : Capture on falling edge. */ + TIMER30_CR_T3nCPOL_RisingEdge = 1, /*!< RisingEdge : Capture on rising edge. */ + TIMER30_CR_T3nCPOL_BothEdge = 2, /*!< BothEdge : Capture on both falling and rising edge. */ +} TIMER30_CR_T3nCPOL_Enum; + +/* ================================================ TIMER30 CR UPDT [4..5] ================================================= */ +typedef enum { /*!< TIMER30_CR_UPDT */ + TIMER30_CR_UPDT_AtWriting = 0, /*!< AtWriting : Update data to buffer at the time of writing. */ + TIMER30_CR_UPDT_AtPeriodMatch = 1, /*!< AtPeriodMatch : Update data to buffer at period match. */ + TIMER30_CR_UPDT_AtBottom = 2, /*!< AtBottom : Update data to buffer at bottom. */ +} TIMER30_CR_UPDT_Enum; + +/* ================================================ TIMER30 CR PMOC [1..3] ================================================= */ +typedef enum { /*!< TIMER30_CR_PMOC */ + TIMER30_CR_PMOC_Every1PeriodMatch = 0, /*!< Every1PeriodMatch : Once every 1 period match. */ + TIMER30_CR_PMOC_Every2PeriodMatch = 1, /*!< Every2PeriodMatch : Once every 2 period match. */ + TIMER30_CR_PMOC_Every3PeriodMatch = 2, /*!< Every3PeriodMatch : Once every 3 period match. */ + TIMER30_CR_PMOC_Every4PeriodMatch = 3, /*!< Every4PeriodMatch : Once every 4 period match. */ + TIMER30_CR_PMOC_Every5PeriodMatch = 4, /*!< Every5PeriodMatch : Once every 5 period match. */ + TIMER30_CR_PMOC_Every6PeriodMatch = 5, /*!< Every6PeriodMatch : Once every 6 period match. */ + TIMER30_CR_PMOC_Every7PeriodMatch = 6, /*!< Every7PeriodMatch : Once every 7 period match. */ + TIMER30_CR_PMOC_Every8PeriodMatch = 7, /*!< Every8PeriodMatch : Once every 8 period match. */ +} TIMER30_CR_PMOC_Enum; + +/* =============================================== TIMER30 CR T3nCLR [0..0] ================================================ */ +typedef enum { /*!< TIMER30_CR_T3nCLR */ + TIMER30_CR_T3nCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER30_CR_T3nCLR_Clear = 1, /*!< Clear : Clear TIMER3n counter and prescaler. (Automatically + cleared to '0b' after operation) */ +} TIMER30_CR_T3nCLR_Enum; + +/* ========================================================== PDR ========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== CDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ +/* ========================================================= OUTCR ========================================================= */ +/* ============================================== TIMER30 OUTCR POLB [15..15] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_POLB */ + TIMER30_OUTCR_POLB_StartLow = 0, /*!< StartLow : Low level start. (The PWM3nxB pins are started with + low level after counting.) */ + TIMER30_OUTCR_POLB_StartHigh = 1, /*!< StartHigh : High level start. (The PWM3nxB pins are started + with high level after counting) */ +} TIMER30_OUTCR_POLB_Enum; + +/* ============================================== TIMER30 OUTCR POLA [14..14] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_POLA */ + TIMER30_OUTCR_POLA_StartLow = 0, /*!< StartLow : Low level start. (The PWM3nxA pins are started with + low level after counting.) */ + TIMER30_OUTCR_POLA_StartHigh = 1, /*!< StartHigh : High level start. (The PWM3nxA pins are started + with high level after counting) */ +} TIMER30_OUTCR_POLA_Enum; + +/* ============================================= TIMER30 OUTCR PABOE [13..13] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PABOE */ + TIMER30_OUTCR_PABOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PABOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PABOE_Enum; + +/* ============================================= TIMER30 OUTCR PBBOE [12..12] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PBBOE */ + TIMER30_OUTCR_PBBOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PBBOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PBBOE_Enum; + +/* ============================================= TIMER30 OUTCR PCBOE [11..11] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PCBOE */ + TIMER30_OUTCR_PCBOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PCBOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PCBOE_Enum; + +/* ============================================= TIMER30 OUTCR PAAOE [10..10] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PAAOE */ + TIMER30_OUTCR_PAAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PAAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PAAOE_Enum; + +/* ============================================== TIMER30 OUTCR PBAOE [9..9] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PBAOE */ + TIMER30_OUTCR_PBAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PBAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PBAOE_Enum; + +/* ============================================== TIMER30 OUTCR PCAOE [8..8] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PCAOE */ + TIMER30_OUTCR_PCAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PCAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PCAOE_Enum; + +/* ============================================== TIMER30 OUTCR LVLAB [6..6] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLAB */ + TIMER30_OUTCR_LVLAB_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLAB_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLAB_Enum; + +/* ============================================== TIMER30 OUTCR LVLBB [5..5] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLBB */ + TIMER30_OUTCR_LVLBB_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLBB_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLBB_Enum; + +/* ============================================== TIMER30 OUTCR LVLCB [4..4] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLCB */ + TIMER30_OUTCR_LVLCB_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLCB_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLCB_Enum; + +/* ============================================== TIMER30 OUTCR LVLAA [2..2] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLAA */ + TIMER30_OUTCR_LVLAA_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLAA_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLAA_Enum; + +/* ============================================== TIMER30 OUTCR LVLBA [1..1] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLBA */ + TIMER30_OUTCR_LVLBA_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLBA_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLBA_Enum; + +/* ============================================== TIMER30 OUTCR LVLCA [0..0] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLCA */ + TIMER30_OUTCR_LVLCA_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLCA_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLCA_Enum; + +/* ========================================================== DLY ========================================================== */ +/* ========================================================= INTCR ========================================================= */ +/* ============================================== TIMER30 INTCR HIZIEN [6..6] ============================================== */ +typedef enum { /*!< TIMER30_INTCR_HIZIEN */ + TIMER30_INTCR_HIZIEN_Disable = 0, /*!< Disable : Disable TIMER3n output high-impedance interrupt. */ + TIMER30_INTCR_HIZIEN_Enable = 1, /*!< Enable : Enable TIMER3n output high-impedance interrupt. */ +} TIMER30_INTCR_HIZIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nCIEN [5..5] ============================================== */ +typedef enum { /*!< TIMER30_INTCR_T3nCIEN */ + TIMER30_INTCR_T3nCIEN_Disable = 0, /*!< Disable : Disable TIMER3n capture interrupt. */ + TIMER30_INTCR_T3nCIEN_Enable = 1, /*!< Enable : Enable TIMER3n capture interrupt. */ +} TIMER30_INTCR_T3nCIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nBTIEN [4..4] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nBTIEN */ + TIMER30_INTCR_T3nBTIEN_Disable = 0, /*!< Disable : Disable TIMER3n bottom interrupt. */ + TIMER30_INTCR_T3nBTIEN_Enable = 1, /*!< Enable : Enable TIMER3n bottom interrupt. */ +} TIMER30_INTCR_T3nBTIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nPMIEN [3..3] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nPMIEN */ + TIMER30_INTCR_T3nPMIEN_Disable = 0, /*!< Disable : Disable TIMER3n period interrupt. */ + TIMER30_INTCR_T3nPMIEN_Enable = 1, /*!< Enable : Enable TIMER3n period interrupt. */ +} TIMER30_INTCR_T3nPMIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nAMIEN [2..2] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nAMIEN */ + TIMER30_INTCR_T3nAMIEN_Disable = 0, /*!< Disable : Disable TIMER3n A-ch match interrupt. */ + TIMER30_INTCR_T3nAMIEN_Enable = 1, /*!< Enable : Enable TIMER3n A-ch match interrupt. */ +} TIMER30_INTCR_T3nAMIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nBMIEN [1..1] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nBMIEN */ + TIMER30_INTCR_T3nBMIEN_Disable = 0, /*!< Disable : Disable TIMER3n B-ch match interrupt. */ + TIMER30_INTCR_T3nBMIEN_Enable = 1, /*!< Enable : Enable TIMER3n B-ch match interrupt. */ +} TIMER30_INTCR_T3nBMIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nCMIEN [0..0] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nCMIEN */ + TIMER30_INTCR_T3nCMIEN_Disable = 0, /*!< Disable : Disable TIMER3n C-ch match interrupt. */ + TIMER30_INTCR_T3nCMIEN_Enable = 1, /*!< Enable : Enable TIMER3n C-ch match interrupt. */ +} TIMER30_INTCR_T3nCMIEN_Enum; + +/* ======================================================== INTFLAG ======================================================== */ +/* ============================================ TIMER30 INTFLAG HIZIFLAG [6..6] ============================================ */ +typedef enum { /*!< TIMER30_INTFLAG_HIZIFLAG */ + TIMER30_INTFLAG_HIZIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_HIZIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_HIZIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nCIFLAG [5..5] ============================================ */ +typedef enum { /*!< TIMER30_INTFLAG_T3nCIFLAG */ + TIMER30_INTFLAG_T3nCIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nCIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nCIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nBTIFLAG [4..4] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nBTIFLAG */ + TIMER30_INTFLAG_T3nBTIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nBTIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nBTIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nPMIFLAG [3..3] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nPMIFLAG */ + TIMER30_INTFLAG_T3nPMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nPMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nPMIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nAMIFLAG [2..2] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nAMIFLAG */ + TIMER30_INTFLAG_T3nAMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nAMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nAMIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nBMIFLAG [1..1] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nBMIFLAG */ + TIMER30_INTFLAG_T3nBMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nBMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nBMIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nCMIFLAG [0..0] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nCMIFLAG */ + TIMER30_INTFLAG_T3nCMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nCMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nCMIFLAG_Enum; + +/* ========================================================= HIZCR ========================================================= */ +/* ============================================== TIMER30 HIZCR HIZEN [7..7] =============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HIZEN */ + TIMER30_HIZCR_HIZEN_Disable = 0, /*!< Disable : Disable to control the output high-impedance. */ + TIMER30_HIZCR_HIZEN_Enable = 1, /*!< Enable : Enable to control the output high-impedance. */ +} TIMER30_HIZCR_HIZEN_Enum; + +/* ============================================== TIMER30 HIZCR HIZSW [4..4] =============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HIZSW */ + TIMER30_HIZCR_HIZSW_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER30_HIZCR_HIZSW_HiZ = 1, /*!< HiZ : PWM3nxA/PWM3nxB pins go into high impedance. (Automatically + cleared to '0' after operation) */ +} TIMER30_HIZCR_HIZSW_Enum; + +/* ============================================== TIMER30 HIZCR HEDGE [2..2] =============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HEDGE */ + TIMER30_HIZCR_HEDGE_FallingEdge = 0, /*!< FallingEdge : Falling edge of the BLNK pin. */ + TIMER30_HIZCR_HEDGE_RisingEdge = 1, /*!< RisingEdge : Rising edge of the BLNK pin. */ +} TIMER30_HIZCR_HEDGE_Enum; + +/* ============================================== TIMER30 HIZCR HIZSTA [1..1] ============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HIZSTA */ + TIMER30_HIZCR_HIZSTA_NoHiZ = 0, /*!< NoHiZ : Indicates that the pins are not under a Hi-Z state. */ + TIMER30_HIZCR_HIZSTA_HiZ = 1, /*!< HiZ : Indicates that the pins are under a Hi-Z state. */ +} TIMER30_HIZCR_HIZSTA_Enum; + +/* ============================================== TIMER30 HIZCR HIZCLR [0..0] ============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HIZCLR */ + TIMER30_HIZCR_HIZCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER30_HIZCR_HIZCLR_Clear = 1, /*!< Clear : Clear high-impedance output. (The PWM3nxA/PWM3nxB pins + returns as output and this bit is automatically cleared + to '0' after operation.) */ +} TIMER30_HIZCR_HIZCLR_Enum; + +/* ========================================================= ADTCR ========================================================= */ +/* ============================================= TIMER30 ADTCR T3nBTTG [4..4] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nBTTG */ + TIMER30_ADTCR_T3nBTTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by bottom. */ + TIMER30_ADTCR_T3nBTTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by bottom. */ +} TIMER30_ADTCR_T3nBTTG_Enum; + +/* ============================================= TIMER30 ADTCR T3nPMTG [3..3] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nPMTG */ + TIMER30_ADTCR_T3nPMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by period match. */ + TIMER30_ADTCR_T3nPMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by period match. */ +} TIMER30_ADTCR_T3nPMTG_Enum; + +/* ============================================= TIMER30 ADTCR T3nAMTG [2..2] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nAMTG */ + TIMER30_ADTCR_T3nAMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by A-ch match. */ + TIMER30_ADTCR_T3nAMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by A-ch match. */ +} TIMER30_ADTCR_T3nAMTG_Enum; + +/* ============================================= TIMER30 ADTCR T3nBMTG [1..1] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nBMTG */ + TIMER30_ADTCR_T3nBMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by B-ch match. */ + TIMER30_ADTCR_T3nBMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by B-ch match. */ +} TIMER30_ADTCR_T3nBMTG_Enum; + +/* ============================================= TIMER30 ADTCR T3nCMTG [0..0] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nCMTG */ + TIMER30_ADTCR_T3nCMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by C-ch match. */ + TIMER30_ADTCR_T3nCMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by C-ch match. */ +} TIMER30_ADTCR_T3nCMTG_Enum; + +/* ========================================================= ADTDR ========================================================= */ +/* ======================================================= T30_OUTCR ======================================================= */ +/* =========================================== TIMER30 T30_OUTCR WTIDKY [16..31] =========================================== */ +typedef enum { /*!< TIMER30_T30_OUTCR_WTIDKY */ + TIMER30_T30_OUTCR_WTIDKY_Value = 57452, /*!< Value : Key Value (0xe06c) */ +} TIMER30_T30_OUTCR_WTIDKY_Enum; + + + +/* =========================================================================================================================== */ +/* ================ ADC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ================================================= ADC CR ADCEN [15..15] ================================================= */ +typedef enum { /*!< ADC_CR_ADCEN */ + ADC_CR_ADCEN_Disable = 0, /*!< Disable : Disable ADC module operation. */ + ADC_CR_ADCEN_Enable = 1, /*!< Enable : Enable ADC module operation. */ +} ADC_CR_ADCEN_Enum; + +/* ================================================= ADC CR TRIG [11..13] ================================================== */ +typedef enum { /*!< ADC_CR_TRIG */ + ADC_CR_TRIG_ADST = 0, /*!< ADST : Select ADST. */ + ADC_CR_TRIG_TIMER10 = 1, /*!< TIMER10 : Select TIMER10 A-Match Signal. */ + ADC_CR_TRIG_TIMER11 = 2, /*!< TIMER11 : Select TIMER11 A-Match Signal. */ + ADC_CR_TRIG_TIMER12 = 3, /*!< TIMER12 : Select TIMER12 A-Match Signal. */ + ADC_CR_TRIG_TIMER30 = 4, /*!< TIMER30 : Select ADC Trigger Signal from TIMER30. */ +} ADC_CR_TRIG_Enum; + +/* ================================================ ADC CR REFSEL [10..10] ================================================= */ +typedef enum { /*!< ADC_CR_REFSEL */ + ADC_CR_REFSEL_Vdd = 0, /*!< Vdd : Select analog power (VDD). */ + ADC_CR_REFSEL_AVref = 1, /*!< AVref : Select external reference (AVREF). */ +} ADC_CR_REFSEL_Enum; + +/* ================================================== ADC CR ADST [8..8] =================================================== */ +typedef enum { /*!< ADC_CR_ADST */ + ADC_CR_ADST_NoEffect = 0, /*!< NoEffect : No effect. */ + ADC_CR_ADST_Start = 1, /*!< Start : Trigger signal generation for conversion start. */ +} ADC_CR_ADST_Enum; + +/* ================================================= ADC CR ADCIEN [5..5] ================================================== */ +typedef enum { /*!< ADC_CR_ADCIEN */ + ADC_CR_ADCIEN_Disable = 0, /*!< Disable : Disable ADC interrupt. */ + ADC_CR_ADCIEN_Enable = 1, /*!< Enable : Enable ADC interrupt. */ +} ADC_CR_ADCIEN_Enum; + +/* ================================================ ADC CR ADCIFLAG [4..4] ================================================= */ +typedef enum { /*!< ADC_CR_ADCIFLAG */ + ADC_CR_ADCIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + ADC_CR_ADCIFLAG_Request = 1, /*!< Request : Request occurred. */ +} ADC_CR_ADCIFLAG_Enum; + +/* ================================================== ADC CR ADSEL [0..3] ================================================== */ +typedef enum { /*!< ADC_CR_ADSEL */ + ADC_CR_ADSEL_AN0 = 0, /*!< AN0 : Select AN0. */ + ADC_CR_ADSEL_AN1 = 1, /*!< AN1 : Select AN1. */ + ADC_CR_ADSEL_AN2 = 2, /*!< AN2 : Select AN2. */ + ADC_CR_ADSEL_AN3 = 3, /*!< AN3 : Select AN3. */ + ADC_CR_ADSEL_AN4 = 4, /*!< AN4 : Select AN4. */ + ADC_CR_ADSEL_AN5 = 5, /*!< AN5 : Select AN5. */ + ADC_CR_ADSEL_AN6 = 6, /*!< AN6 : Select AN6. */ + ADC_CR_ADSEL_AN7 = 7, /*!< AN7 : Select AN7. */ + ADC_CR_ADSEL_AN8 = 8, /*!< AN8 : Select AN8. */ + ADC_CR_ADSEL_AN9 = 9, /*!< AN9 : Select AN9. */ + ADC_CR_ADSEL_AN10 = 10, /*!< AN10 : Select AN10. */ + ADC_CR_ADSEL_AN11 = 11, /*!< AN11 : Select AN11 */ + ADC_CR_ADSEL_AN12 = 12, /*!< AN12 : Select AN12 */ + ADC_CR_ADSEL_AN13 = 13, /*!< AN13 : Select AN13 */ +} ADC_CR_ADSEL_Enum; + +/* ========================================================== DR =========================================================== */ +/* ========================================================= PREDR ========================================================= */ + + +/* =========================================================================================================================== */ +/* ================ USART1n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART1n CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART1n_CR1_USTnMS */ + USART1n_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART1n_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART1n_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART1n_CR1_USTnMS_Enum; + +/* ============================================== USART1n CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART1n_CR1_USTnP */ + USART1n_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART1n_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART1n_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART1n_CR1_USTnP_Enum; + +/* =============================================== USART1n CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART1n_CR1_USTnS */ + USART1n_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART1n_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART1n_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART1n_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART1n_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART1n_CR1_USTnS_Enum; + +/* ================================================ USART1n CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART1n_CR1_ORDn */ + USART1n_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART1n_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART1n_CR1_ORDn_Enum; + +/* =============================================== USART1n CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART1n_CR1_CPOLn */ + USART1n_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART1n_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART1n_CR1_CPOLn_Enum; + +/* =============================================== USART1n CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART1n_CR1_CPHAn */ + USART1n_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART1n_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART1n_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ USART10 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART10 CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART10_CR1_USTnMS */ + USART10_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART10_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART10_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART10_CR1_USTnMS_Enum; + +/* ============================================== USART10 CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART10_CR1_USTnP */ + USART10_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART10_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART10_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART10_CR1_USTnP_Enum; + +/* =============================================== USART10 CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART10_CR1_USTnS */ + USART10_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART10_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART10_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART10_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART10_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART10_CR1_USTnS_Enum; + +/* ================================================ USART10 CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART10_CR1_ORDn */ + USART10_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART10_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART10_CR1_ORDn_Enum; + +/* =============================================== USART10 CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART10_CR1_CPOLn */ + USART10_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART10_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART10_CR1_CPOLn_Enum; + +/* =============================================== USART10 CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART10_CR1_CPHAn */ + USART10_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART10_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART10_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ USART11 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART11 CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART11_CR1_USTnMS */ + USART11_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART11_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART11_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART11_CR1_USTnMS_Enum; + +/* ============================================== USART11 CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART11_CR1_USTnP */ + USART11_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART11_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART11_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART11_CR1_USTnP_Enum; + +/* =============================================== USART11 CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART11_CR1_USTnS */ + USART11_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART11_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART11_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART11_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART11_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART11_CR1_USTnS_Enum; + +/* ================================================ USART11 CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART11_CR1_ORDn */ + USART11_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART11_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART11_CR1_ORDn_Enum; + +/* =============================================== USART11 CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART11_CR1_CPOLn */ + USART11_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART11_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART11_CR1_CPOLn_Enum; + +/* =============================================== USART11 CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART11_CR1_CPHAn */ + USART11_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART11_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART11_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ USART12 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART12 CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART12_CR1_USTnMS */ + USART12_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART12_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART12_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART12_CR1_USTnMS_Enum; + +/* ============================================== USART12 CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART12_CR1_USTnP */ + USART12_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART12_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART12_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART12_CR1_USTnP_Enum; + +/* =============================================== USART12 CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART12_CR1_USTnS */ + USART12_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART12_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART12_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART12_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART12_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART12_CR1_USTnS_Enum; + +/* ================================================ USART12 CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART12_CR1_ORDn */ + USART12_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART12_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART12_CR1_ORDn_Enum; + +/* =============================================== USART12 CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART12_CR1_CPOLn */ + USART12_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART12_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART12_CR1_CPOLn_Enum; + +/* =============================================== USART12 CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART12_CR1_CPHAn */ + USART12_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART12_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART12_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ USART13 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART13 CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART13_CR1_USTnMS */ + USART13_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART13_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART13_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART13_CR1_USTnMS_Enum; + +/* ============================================== USART13 CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART13_CR1_USTnP */ + USART13_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART13_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART13_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART13_CR1_USTnP_Enum; + +/* =============================================== USART13 CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART13_CR1_USTnS */ + USART13_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART13_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART13_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART13_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART13_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART13_CR1_USTnS_Enum; + +/* ================================================ USART13 CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART13_CR1_ORDn */ + USART13_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART13_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART13_CR1_ORDn_Enum; + +/* =============================================== USART13 CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART13_CR1_CPOLn */ + USART13_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART13_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART13_CR1_CPOLn_Enum; + +/* =============================================== USART13 CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART13_CR1_CPHAn */ + USART13_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART13_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART13_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ UARTn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +/* ========================================================== THR ========================================================== */ +/* ========================================================== IER ========================================================== */ +/* ========================================================== IIR ========================================================== */ +/* ========================================================== LCR ========================================================== */ +/* ========================================================== DCR ========================================================== */ +/* ========================================================== LSR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== BFR ========================================================== */ +/* ================================================= UARTn BFR BFR [0..7] ================================================== */ +typedef enum { /*!< UARTn_BFR_BFR */ + UARTn_BFR_BFR_Disable = 0, /*!< Disable : Disable fraction counter. */ +} UARTn_BFR_BFR_Enum; + +/* ========================================================= IDTR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ UART0 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +/* ========================================================== THR ========================================================== */ +/* ========================================================== IER ========================================================== */ +/* ========================================================== IIR ========================================================== */ +/* ========================================================== LCR ========================================================== */ +/* ========================================================== DCR ========================================================== */ +/* ========================================================== LSR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== BFR ========================================================== */ +/* ================================================= UART0 BFR BFR [0..7] ================================================== */ +typedef enum { /*!< UART0_BFR_BFR */ + UART0_BFR_BFR_Disable = 0, /*!< Disable : Disable fraction counter. */ +} UART0_BFR_BFR_Enum; + +/* ========================================================= IDTR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ UART1 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +/* ========================================================== THR ========================================================== */ +/* ========================================================== IER ========================================================== */ +/* ========================================================== IIR ========================================================== */ +/* ========================================================== LCR ========================================================== */ +/* ========================================================== DCR ========================================================== */ +/* ========================================================== LSR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== BFR ========================================================== */ +/* ================================================= UART1 BFR BFR [0..7] ================================================== */ +typedef enum { /*!< UART1_BFR_BFR */ + UART1_BFR_BFR_Disable = 0, /*!< Disable : Disable fraction counter. */ +} UART1_BFR_BFR_Enum; + +/* ========================================================= IDTR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ I2Cn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================= SAR1 ========================================================== */ +/* ========================================================= SAR2 ========================================================== */ +/* ========================================================== DR =========================================================== */ +/* ========================================================= SDHR ========================================================== */ +/* ========================================================= SCLR ========================================================== */ +/* ========================================================= SCHR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ I2C0 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================= SAR1 ========================================================== */ +/* ========================================================= SAR2 ========================================================== */ +/* ========================================================== DR =========================================================== */ +/* ========================================================= SDHR ========================================================== */ +/* ========================================================= SCLR ========================================================== */ +/* ========================================================= SCHR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ I2C1 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================= SAR1 ========================================================== */ +/* ========================================================= SAR2 ========================================================== */ +/* ========================================================== DR =========================================================== */ +/* ========================================================= SDHR ========================================================== */ +/* ========================================================= SCLR ========================================================== */ +/* ========================================================= SCHR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ I2C2 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================= SAR1 ========================================================== */ +/* ========================================================= SAR2 ========================================================== */ +/* ========================================================== DR =========================================================== */ +/* ========================================================= SDHR ========================================================== */ +/* ========================================================= SCLR ========================================================== */ +/* ========================================================= SCHR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ LCD ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ================================================== LCD CR IRSEL [6..7] ================================================== */ +typedef enum { /*!< LCD_CR_IRSEL */ + LCD_CR_IRSEL_RLCD3 = 0, /*!< RLCD3 : RLCD3: 105/105/80[kohm] @(1/2)/(1/3)/(1/4) bias */ + LCD_CR_IRSEL_RLCD1 = 1, /*!< RLCD1 : RLCD1: 10/10/10[kohm] @(1/2)/(1/3)/(1/4) bias */ + LCD_CR_IRSEL_RLCD2 = 2, /*!< RLCD2 : RLCD2: 66/66/50[kohm] @(1/2)/(1/3)/(1/4) bias */ + LCD_CR_IRSEL_RLCD4 = 3, /*!< RLCD4 : RLCD4: 320/320/240[kohm] @(1/2)/(1/3)/(1/4) bias */ +} LCD_CR_IRSEL_Enum; + +/* =================================================== LCD CR DBS [3..5] =================================================== */ +typedef enum { /*!< LCD_CR_DBS */ + LCD_CR_DBS_Duty8Bias4 = 0, /*!< Duty8Bias4 : 1/8 duty, 1/4 bias */ + LCD_CR_DBS_Duty6Bias4 = 1, /*!< Duty6Bias4 : 1/6 duty, 1/4 bias */ + LCD_CR_DBS_Duty5Bias3 = 2, /*!< Duty5Bias3 : 1/5 duty, 1/3 bias */ + LCD_CR_DBS_Duty4Bias3 = 3, /*!< Duty4Bias3 : 1/4 duty, 1/3 bias */ + LCD_CR_DBS_Duty3Bias3 = 4, /*!< Duty3Bias3 : 1/3 duty, 1/3 bias */ + LCD_CR_DBS_Duty3Bias2 = 5, /*!< Duty3Bias2 : 1/3 duty, 1/2 bias */ +} LCD_CR_DBS_Enum; + +/* ================================================== LCD CR LCLK [1..2] =================================================== */ +typedef enum { /*!< LCD_CR_LCLK */ + LCD_CR_LCLK_fLCD256 = 0, /*!< fLCD256 : 128Hz */ + LCD_CR_LCLK_fLCD128 = 1, /*!< fLCD128 : 256Hz */ + LCD_CR_LCLK_fLCD64 = 2, /*!< fLCD64 : 512Hz */ + LCD_CR_LCLK_fLCD32 = 3, /*!< fLCD32 : 1024Hz */ +} LCD_CR_LCLK_Enum; + +/* ================================================== LCD CR DISP [0..0] =================================================== */ +typedef enum { /*!< LCD_CR_DISP */ + LCD_CR_DISP_Off = 0, /*!< Off : Display off */ + LCD_CR_DISP_On = 1, /*!< On : Normal display on */ +} LCD_CR_DISP_Enum; + +/* ========================================================= BCCR ========================================================== */ +/* =============================================== LCD BCCR LCDABC [12..12] ================================================ */ +typedef enum { /*!< LCD_BCCR_LCDABC */ + LCD_BCCR_LCDABC_Off = 0, /*!< Off : LCD automatic bias is off */ + LCD_BCCR_LCDABC_On = 1, /*!< On : LCD automatic bias is on */ +} LCD_BCCR_LCDABC_Enum; + +/* ================================================ LCD BCCR BMSEL [8..10] ================================================= */ +typedef enum { /*!< LCD_BCCR_BMSEL */ + LCD_BCCR_BMSEL_BMA1Clk = 0, /*!< BMA1Clk : 'Bias Mode A' for 1-clock of fLCD */ + LCD_BCCR_BMSEL_BMA2Clk = 1, /*!< BMA2Clk : 'Bias Mode A' for 2-clock of fLCD */ + LCD_BCCR_BMSEL_BMA3Clk = 2, /*!< BMA3Clk : 'Bias Mode A' for 3-clock of fLCD */ + LCD_BCCR_BMSEL_BMA4Clk = 3, /*!< BMA4Clk : 'Bias Mode A' for 4-clock of fLCD */ + LCD_BCCR_BMSEL_BMA5Clk = 4, /*!< BMA5Clk : 'Bias Mode A' for 5-clock of fLCD */ + LCD_BCCR_BMSEL_BMA6Clk = 5, /*!< BMA6Clk : 'Bias Mode A' for 6-clock of fLCD */ + LCD_BCCR_BMSEL_BMA7Clk = 6, /*!< BMA7Clk : 'Bias Mode A' for 7-clock of fLCD */ + LCD_BCCR_BMSEL_BMA8Clk = 7, /*!< BMA8Clk : 'Bias Mode A' for 8-clock of fLCD */ +} LCD_BCCR_BMSEL_Enum; + +/* ================================================= LCD BCCR LCTEN [5..5] ================================================= */ +typedef enum { /*!< LCD_BCCR_LCTEN */ + LCD_BCCR_LCTEN_Disable = 0, /*!< Disable : Disable LCD driver contrast. */ + LCD_BCCR_LCTEN_Enable = 1, /*!< Enable : Enable LCD driver contrast. */ +} LCD_BCCR_LCTEN_Enum; + +/* ================================================= LCD BCCR VLCD [0..3] ================================================== */ +typedef enum { /*!< LCD_BCCR_VLCD */ + LCD_BCCR_VLCD_Step0 = 0, /*!< Step0 : VDD x 16/31 Step */ + LCD_BCCR_VLCD_Step1 = 1, /*!< Step1 : VDD x 16/30 Step */ + LCD_BCCR_VLCD_Step2 = 2, /*!< Step2 : VDD x 16/29 Step */ + LCD_BCCR_VLCD_Step3 = 3, /*!< Step3 : VDD x 16/28 Step */ + LCD_BCCR_VLCD_Step4 = 4, /*!< Step4 : VDD x 16/27 Step */ + LCD_BCCR_VLCD_Step5 = 5, /*!< Step5 : VDD x 16/26 Step */ + LCD_BCCR_VLCD_Step6 = 6, /*!< Step6 : VDD x 16/25 Step */ + LCD_BCCR_VLCD_Step7 = 7, /*!< Step7 : VDD x 16/24 Step */ + LCD_BCCR_VLCD_Step8 = 8, /*!< Step8 : VDD x 16/23 Step */ + LCD_BCCR_VLCD_Step9 = 9, /*!< Step9 : VDD x 16/22 Step */ + LCD_BCCR_VLCD_Step10 = 10, /*!< Step10 : VDD x 16/21 Step */ + LCD_BCCR_VLCD_Step11 = 11, /*!< Step11 : VDD x 16/20 Step */ + LCD_BCCR_VLCD_Step12 = 12, /*!< Step12 : VDD x 16/19 Step */ + LCD_BCCR_VLCD_Step13 = 13, /*!< Step13 : VDD x 16/18 Step */ + LCD_BCCR_VLCD_Step14 = 14, /*!< Step14 : VDD x 16/17 Step */ + LCD_BCCR_VLCD_Step15 = 15, /*!< Step15 : VDD x 16/16 Step */ +} LCD_BCCR_VLCD_Enum; + +/* ========================================================== DR0 ========================================================== */ +/* ========================================================== DR1 ========================================================== */ +/* ========================================================== DR2 ========================================================== */ +/* ========================================================== DR3 ========================================================== */ +/* ========================================================== DR4 ========================================================== */ +/* ========================================================== DR5 ========================================================== */ +/* ========================================================== DR6 ========================================================== */ +/* ========================================================== DR7 ========================================================== */ +/* ========================================================== DR8 ========================================================== */ +/* ========================================================== DR9 ========================================================== */ +/* ========================================================= DR10 ========================================================== */ +/* ========================================================= DR11 ========================================================== */ +/* ========================================================= DR12 ========================================================== */ +/* ========================================================= DR13 ========================================================== */ +/* ========================================================= DR14 ========================================================== */ +/* ========================================================= DR15 ========================================================== */ +/* ========================================================= DR16 ========================================================== */ +/* ========================================================= DR17 ========================================================== */ +/* ========================================================= DR18 ========================================================== */ +/* ========================================================= DR19 ========================================================== */ +/* ========================================================= DR20 ========================================================== */ +/* ========================================================= DR21 ========================================================== */ +/* ========================================================= DR22 ========================================================== */ +/* ========================================================= DR23 ========================================================== */ +/* ========================================================= DR24 ========================================================== */ +/* ========================================================= DR25 ========================================================== */ +/* ========================================================= DR26 ========================================================== */ +/* ========================================================= DR27 ========================================================== */ +/* ========================================================= DR28 ========================================================== */ +/* ========================================================= DR29 ========================================================== */ +/* ========================================================= DR30 ========================================================== */ +/* ========================================================= DR31 ========================================================== */ +/* ========================================================= DR32 ========================================================== */ +/* ========================================================= DR33 ========================================================== */ +/* ========================================================= DR34 ========================================================== */ +/* ========================================================= DR35 ========================================================== */ +/* ========================================================= DR36 ========================================================== */ +/* ========================================================= DR37 ========================================================== */ +/* ========================================================= DR38 ========================================================== */ +/* ========================================================= DR39 ========================================================== */ +/* ========================================================= DR40 ========================================================== */ +/* ========================================================= DR41 ========================================================== */ +/* ========================================================= DR42 ========================================================== */ +/* ========================================================= DR43 ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ CRC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ================================================== CRC CR MODS [7..7] =================================================== */ +typedef enum { /*!< CRC_CR_MODS */ + CRC_CR_MODS_UserMode = 0, /*!< UserMode : User Mode (Calculate every data written to the CRC_IN + register) */ + CRC_CR_MODS_AutoMode = 1, /*!< AutoMode : Auto Mode (Calculate till CRC_SADR == CRC_EADR) */ +} CRC_CR_MODS_Enum; + +/* ================================================= CRC CR RLTCLR [6..6] ================================================== */ +typedef enum { /*!< CRC_CR_RLTCLR */ + CRC_CR_RLTCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + CRC_CR_RLTCLR_Init = 1, /*!< Init : Initialize the CRC_RLT register with the value of CRC_INIT. + (This bit is automatically cleared to '0' after operation.) */ +} CRC_CR_RLTCLR_Enum; + +/* ================================================== CRC CR MDSEL [5..5] ================================================== */ +typedef enum { /*!< CRC_CR_MDSEL */ + CRC_CR_MDSEL_CRC = 0, /*!< CRC : Select CRC. */ + CRC_CR_MDSEL_Checksum = 1, /*!< Checksum : Select Checksum. */ +} CRC_CR_MDSEL_Enum; + +/* ================================================== CRC CR POLYS [4..4] ================================================== */ +typedef enum { /*!< CRC_CR_POLYS */ + CRC_CR_POLYS_CRC16_CCITT = 0, /*!< CRC16_CCITT : CRC16-CCITT (G1(x) = x16 + x12 + x5 + 1) */ + CRC_CR_POLYS_CRC16 = 1, /*!< CRC16 : CRC16 (G2(x) = x16 + x15 + x2 + 1) */ +} CRC_CR_POLYS_Enum; + +/* ================================================= CRC CR SARINC [3..3] ================================================== */ +typedef enum { /*!< CRC_CR_SARINC */ + CRC_CR_SARINC_Disable = 0, /*!< Disable : No effect. */ + CRC_CR_SARINC_Enable = 1, /*!< Enable : The CRC/Checksum start address register is incremented + as the selected input size every writing to the CRC_IN + register. */ +} CRC_CR_SARINC_Enum; + +/* ================================================= CRC CR FIRSTBS [1..1] ================================================= */ +typedef enum { /*!< CRC_CR_FIRSTBS */ + CRC_CR_FIRSTBS_msbFirst = 0, /*!< msbFirst : msb first */ + CRC_CR_FIRSTBS_lsbFirst = 1, /*!< lsbFirst : lsb first */ +} CRC_CR_FIRSTBS_Enum; + +/* ================================================= CRC CR CRCRUN [0..0] ================================================== */ +typedef enum { /*!< CRC_CR_CRCRUN */ + CRC_CR_CRCRUN_Stop = 0, /*!< Stop : Not busy. The CRC operation can be finished by writing + '0' to this bit while running. */ + CRC_CR_CRCRUN_Start = 1, /*!< Start : Start CRC operation. This bit is automatically cleared + to '0' when the value of CRC_SADR register reaches the + value of CRC_EADR register. */ +} CRC_CR_CRCRUN_Enum; + +/* ========================================================== IN =========================================================== */ +/* ========================================================== RLT ========================================================== */ +/* ========================================================= INIT ========================================================== */ +/* ========================================================= SADR ========================================================== */ +/* ========================================================= EADR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ COA0 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== TRIM00 ========================================================= */ +/* ======================================================== TRIM01 ========================================================= */ +/* ======================================================== TRIM02 ========================================================= */ +/* ======================================================== TRIM03 ========================================================= */ +/* ======================================================== TRIM04 ========================================================= */ +/* ======================================================== TRIM05 ========================================================= */ +/* ======================================================== TRIM06 ========================================================= */ +/* ======================================================== TRIM07 ========================================================= */ +/* ======================================================== TRIM08 ========================================================= */ +/* ======================================================== TRIM09 ========================================================= */ +/* ======================================================== TRIM10 ========================================================= */ +/* ======================================================== TRIM11 ========================================================= */ +/* ======================================================== TRIM12 ========================================================= */ +/* ======================================================== TRIM13 ========================================================= */ +/* ======================================================== TRIM14 ========================================================= */ +/* ======================================================== TRIM15 ========================================================= */ +/* ======================================================== TRIM16 ========================================================= */ +/* ======================================================== TRIM17 ========================================================= */ +/* ======================================================== TRIM18 ========================================================= */ +/* ======================================================== TRIM19 ========================================================= */ +/* ===================================================== CONF_MF1CNFIG ===================================================== */ +/* ===================================================== CONF_MF2CNFIG ===================================================== */ +/* ===================================================== CONF_MF3CNFIG ===================================================== */ +/* ===================================================== CONF_MF4CNFIG ===================================================== */ +/* ======================================================== TRIM24 ========================================================= */ +/* ======================================================== TRIM25 ========================================================= */ +/* ======================================================== TRIM26 ========================================================= */ +/* ======================================================== TRIM27 ========================================================= */ +/* ======================================================== TRIM28 ========================================================= */ +/* ======================================================== TRIM29 ========================================================= */ +/* ======================================================== TRIM30 ========================================================= */ +/* ======================================================== TRIM31 ========================================================= */ + + +/* =========================================================================================================================== */ +/* ================ COA1 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== RPCNFIG ======================================================== */ +/* ============================================== COA1 RPCNFIG WTIDKY [4..31] ============================================== */ +typedef enum { /*!< COA1_RPCNFIG_WTIDKY */ + COA1_RPCNFIG_WTIDKY_Value = 110922279,/*!< Value : Key Value (0x69c8a27) */ +} COA1_RPCNFIG_WTIDKY_Enum; + +/* =============================================== COA1 RPCNFIG READP [0..1] =============================================== */ +typedef enum { /*!< COA1_RPCNFIG_READP */ + COA1_RPCNFIG_READP_Level0 = 3, /*!< Level0 : No restriction for read/erase/write. */ + COA1_RPCNFIG_READP_Level1 = 2, /*!< Level1 : 1. Not readable/erasable/writable by 'Debug' 2. Bulk + erasable only by 'Debug' 3. Readable/erasable/writable + by 'Instruction from Flash Memory and RAM' */ + COA1_RPCNFIG_READP_Level2 = 0, /*!< Level2 : 1. Not readable/erasable/writable by 'Debug' / 'Instruction + from RAM' 2. Bulk erasable only by 'Instruction from RAM' + / 'Debug' 3. Readable/erasable/writable by 'Instruction + from Flash Memory' */ +} COA1_RPCNFIG_READP_Enum; + +/* ======================================================= WDTCNFIG ======================================================== */ +/* ============================================== COA1 WDTCNFIG WRCMF [4..15] ============================================== */ +typedef enum { /*!< COA1_WDTCNFIG_WRCMF */ + COA1_WDTCNFIG_WRCMF_BySW = 2413, /*!< BySW : By S/W (CLKSRCR Register) (0x96d) */ + COA1_WDTCNFIG_WRCMF_AlwaysEnableExceptDeepSleep = 679,/*!< AlwaysEnableExceptDeepSleep : Always Enable Except for Deep + Sleep (0x2a7) */ + COA1_WDTCNFIG_WRCMF_AlwaysEnable = 4095, /*!< AlwaysEnable : Always Enable */ +} COA1_WDTCNFIG_WRCMF_Enum; + +/* ============================================== COA1 WDTCNFIG WCLKMF [2..2] ============================================== */ +typedef enum { /*!< COA1_WDTCNFIG_WCLKMF */ + COA1_WDTCNFIG_WCLKMF_BySW = 0, /*!< BySW : By S/W (PPCLKSR Register) */ + COA1_WDTCNFIG_WCLKMF_AlwaysWDTRC = 1, /*!< AlwaysWDTRC : Always WDTRC */ +} COA1_WDTCNFIG_WCLKMF_Enum; + +/* ============================================== COA1 WDTCNFIG WRSTMF [1..1] ============================================== */ +typedef enum { /*!< COA1_WDTCNFIG_WRSTMF */ + COA1_WDTCNFIG_WRSTMF_AlwaysEnable = 0, /*!< AlwaysEnable : Always Enable */ + COA1_WDTCNFIG_WRSTMF_BySW = 1, /*!< BySW : By S/W (WDTCR Register) */ +} COA1_WDTCNFIG_WRSTMF_Enum; + +/* ============================================== COA1 WDTCNFIG WCNTMF [0..0] ============================================== */ +typedef enum { /*!< COA1_WDTCNFIG_WCNTMF */ + COA1_WDTCNFIG_WCNTMF_AlwaysEnable = 0, /*!< AlwaysEnable : Always Enable */ + COA1_WDTCNFIG_WCNTMF_BySW = 1, /*!< BySW : By S/W (WDTCR Register) */ +} COA1_WDTCNFIG_WCNTMF_Enum; + +/* ======================================================= LVRCNFIG ======================================================== */ +/* ============================================= COA1 LVRCNFIG LVRENM [8..15] ============================================== */ +typedef enum { /*!< COA1_LVRCNFIG_LVRENM */ + COA1_LVRCNFIG_LVRENM_BySW = 170, /*!< BySW : By S/W (LVRCR Register) (0xaa) */ + COA1_LVRCNFIG_LVRENM_AlwaysEnable = 255, /*!< AlwaysEnable : Always Enable (0xff) */ +} COA1_LVRCNFIG_LVRENM_Enum; + +/* ============================================== COA1 LVRCNFIG LVRVS [0..3] =============================================== */ +typedef enum { /*!< COA1_LVRCNFIG_LVRVS */ + COA1_LVRCNFIG_LVRVS_1p62V = 15, /*!< 1p62V : 1.62V */ + COA1_LVRCNFIG_LVRVS_DNW14 = 14, /*!< DNW14 : Do not write. */ + COA1_LVRCNFIG_LVRVS_DNW13 = 13, /*!< DNW13 : Do not write. */ + COA1_LVRCNFIG_LVRVS_DNW12 = 12, /*!< DNW12 : Do not write. */ + COA1_LVRCNFIG_LVRVS_2p00V = 11, /*!< 2p00V : 2.00V */ + COA1_LVRCNFIG_LVRVS_2p13V = 10, /*!< 2p13V : 2.13V */ + COA1_LVRCNFIG_LVRVS_2p28V = 9, /*!< 2p28V : 2.28V */ + COA1_LVRCNFIG_LVRVS_2p46V = 8, /*!< 2p46V : 2.46V */ + COA1_LVRCNFIG_LVRVS_2p67V = 7, /*!< 2p67V : 2.67V */ + COA1_LVRCNFIG_LVRVS_3p04V = 6, /*!< 3p04V : 3.04V */ + COA1_LVRCNFIG_LVRVS_3p20V = 5, /*!< 3p20V : 3.20V */ + COA1_LVRCNFIG_LVRVS_3p55V = 4, /*!< 3p55V : 3.55V */ + COA1_LVRCNFIG_LVRVS_3p75V = 3, /*!< 3p75V : 3.75V */ + COA1_LVRCNFIG_LVRVS_3p99V = 2, /*!< 3p99V : 3.99V */ + COA1_LVRCNFIG_LVRVS_4p25V = 1, /*!< 4p25V : 4.25V */ + COA1_LVRCNFIG_LVRVS_4p55 = 0, /*!< 4p55 : 4.55V */ +} COA1_LVRCNFIG_LVRVS_Enum; + +/* ======================================================= CNFIGWTP1 ======================================================= */ +/* ============================================== COA1 CNFIGWTP1 CP3WP [2..2] ============================================== */ +typedef enum { /*!< COA1_CNFIGWTP1_CP3WP */ + COA1_CNFIGWTP1_CP3WP_Enable = 0, /*!< Enable : Enable protection. (Not erasable/writable by instruction) */ + COA1_CNFIGWTP1_CP3WP_Disable = 1, /*!< Disable : Disable protection. (Erasable/writable by instruction) */ +} COA1_CNFIGWTP1_CP3WP_Enum; + +/* ============================================== COA1 CNFIGWTP1 CP2WP [1..1] ============================================== */ +typedef enum { /*!< COA1_CNFIGWTP1_CP2WP */ + COA1_CNFIGWTP1_CP2WP_Enable = 0, /*!< Enable : Enable protection. (Not erasable/writable by instruction) */ + COA1_CNFIGWTP1_CP2WP_Disable = 1, /*!< Disable : Disable protection. (Erasable/writable by instruction) */ +} COA1_CNFIGWTP1_CP2WP_Enum; + +/* ============================================== COA1 CNFIGWTP1 CP1WP [0..0] ============================================== */ +typedef enum { /*!< COA1_CNFIGWTP1_CP1WP */ + COA1_CNFIGWTP1_CP1WP_Enable = 0, /*!< Enable : Enable protection. (Not erasable/writable by instruction) */ + COA1_CNFIGWTP1_CP1WP_Disable = 1, /*!< Disable : Disable protection. (Erasable/writable by instruction) */ +} COA1_CNFIGWTP1_CP1WP_Enum; + +/* ======================================================== FMWTP1 ========================================================= */ +/* ============================================== COA1 FMWTP1 SWTP31 [31..31] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP31 */ + COA1_FMWTP1_SWTP31_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP31_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP31_Enum; + +/* ============================================== COA1 FMWTP1 SWTP30 [30..30] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP30 */ + COA1_FMWTP1_SWTP30_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP30_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP30_Enum; + +/* ============================================== COA1 FMWTP1 SWTP29 [29..29] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP29 */ + COA1_FMWTP1_SWTP29_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP29_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP29_Enum; + +/* ============================================== COA1 FMWTP1 SWTP28 [28..28] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP28 */ + COA1_FMWTP1_SWTP28_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP28_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP28_Enum; + +/* ============================================== COA1 FMWTP1 SWTP27 [27..27] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP27 */ + COA1_FMWTP1_SWTP27_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP27_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP27_Enum; + +/* ============================================== COA1 FMWTP1 SWTP26 [26..26] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP26 */ + COA1_FMWTP1_SWTP26_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP26_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP26_Enum; + +/* ============================================== COA1 FMWTP1 SWTP25 [25..25] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP25 */ + COA1_FMWTP1_SWTP25_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP25_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP25_Enum; + +/* ============================================== COA1 FMWTP1 SWTP24 [24..24] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP24 */ + COA1_FMWTP1_SWTP24_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP24_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP24_Enum; + +/* ============================================== COA1 FMWTP1 SWTP23 [23..23] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP23 */ + COA1_FMWTP1_SWTP23_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP23_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP23_Enum; + +/* ============================================== COA1 FMWTP1 SWTP22 [22..22] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP22 */ + COA1_FMWTP1_SWTP22_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP22_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP22_Enum; + +/* ============================================== COA1 FMWTP1 SWTP21 [21..21] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP21 */ + COA1_FMWTP1_SWTP21_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP21_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP21_Enum; + +/* ============================================== COA1 FMWTP1 SWTP20 [20..20] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP20 */ + COA1_FMWTP1_SWTP20_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP20_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP20_Enum; + +/* ============================================== COA1 FMWTP1 SWTP19 [19..19] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP19 */ + COA1_FMWTP1_SWTP19_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP19_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP19_Enum; + +/* ============================================== COA1 FMWTP1 SWTP18 [18..18] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP18 */ + COA1_FMWTP1_SWTP18_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP18_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP18_Enum; + +/* ============================================== COA1 FMWTP1 SWTP17 [17..17] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP17 */ + COA1_FMWTP1_SWTP17_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP17_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP17_Enum; + +/* ============================================== COA1 FMWTP1 SWTP16 [16..16] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP16 */ + COA1_FMWTP1_SWTP16_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP16_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP16_Enum; + +/* ============================================== COA1 FMWTP1 SWTP15 [15..15] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP15 */ + COA1_FMWTP1_SWTP15_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP15_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP15_Enum; + +/* ============================================== COA1 FMWTP1 SWTP14 [14..14] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP14 */ + COA1_FMWTP1_SWTP14_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP14_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP14_Enum; + +/* ============================================== COA1 FMWTP1 SWTP13 [13..13] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP13 */ + COA1_FMWTP1_SWTP13_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP13_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP13_Enum; + +/* ============================================== COA1 FMWTP1 SWTP12 [12..12] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP12 */ + COA1_FMWTP1_SWTP12_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP12_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP12_Enum; + +/* ============================================== COA1 FMWTP1 SWTP11 [11..11] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP11 */ + COA1_FMWTP1_SWTP11_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP11_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP11_Enum; + +/* ============================================== COA1 FMWTP1 SWTP10 [10..10] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP10 */ + COA1_FMWTP1_SWTP10_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP10_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP10_Enum; + +/* =============================================== COA1 FMWTP1 SWTP9 [9..9] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP9 */ + COA1_FMWTP1_SWTP9_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP9_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP9_Enum; + +/* =============================================== COA1 FMWTP1 SWTP8 [8..8] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP8 */ + COA1_FMWTP1_SWTP8_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP8_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP8_Enum; + +/* =============================================== COA1 FMWTP1 SWTP7 [7..7] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP7 */ + COA1_FMWTP1_SWTP7_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP7_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP7_Enum; + +/* =============================================== COA1 FMWTP1 SWTP6 [6..6] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP6 */ + COA1_FMWTP1_SWTP6_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP6_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP6_Enum; + +/* =============================================== COA1 FMWTP1 SWTP5 [5..5] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP5 */ + COA1_FMWTP1_SWTP5_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP5_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP5_Enum; + +/* =============================================== COA1 FMWTP1 SWTP4 [4..4] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP4 */ + COA1_FMWTP1_SWTP4_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP4_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP4_Enum; + +/* =============================================== COA1 FMWTP1 SWTP3 [3..3] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP3 */ + COA1_FMWTP1_SWTP3_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP3_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP3_Enum; + +/* =============================================== COA1 FMWTP1 SWTP2 [2..2] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP2 */ + COA1_FMWTP1_SWTP2_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP2_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP2_Enum; + +/* =============================================== COA1 FMWTP1 SWTP1 [1..1] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP1 */ + COA1_FMWTP1_SWTP1_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP1_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP1_Enum; + +/* =============================================== COA1 FMWTP1 SWTP0 [0..0] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP0 */ + COA1_FMWTP1_SWTP0_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP0_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP0_Enum; + + + +/* =========================================================================================================================== */ +/* ================ COA2 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== UDATA00 ======================================================== */ +/* ======================================================== UDATA01 ======================================================== */ +/* ======================================================== UDATA02 ======================================================== */ +/* ======================================================== UDATA03 ======================================================== */ +/* ======================================================== UDATA04 ======================================================== */ +/* ======================================================== UDATA05 ======================================================== */ +/* ======================================================== UDATA06 ======================================================== */ +/* ======================================================== UDATA07 ======================================================== */ +/* ======================================================== UDATA08 ======================================================== */ +/* ======================================================== UDATA09 ======================================================== */ +/* ======================================================== UDATA10 ======================================================== */ +/* ======================================================== UDATA11 ======================================================== */ +/* ======================================================== UDATA12 ======================================================== */ +/* ======================================================== UDATA13 ======================================================== */ +/* ======================================================== UDATA14 ======================================================== */ +/* ======================================================== UDATA15 ======================================================== */ +/* ======================================================== UDATA16 ======================================================== */ +/* ======================================================== UDATA17 ======================================================== */ +/* ======================================================== UDATA18 ======================================================== */ +/* ======================================================== UDATA19 ======================================================== */ +/* ======================================================== UDATA20 ======================================================== */ +/* ======================================================== UDATA21 ======================================================== */ +/* ======================================================== UDATA22 ======================================================== */ +/* ======================================================== UDATA23 ======================================================== */ +/* ======================================================== UDATA24 ======================================================== */ +/* ======================================================== UDATA25 ======================================================== */ +/* ======================================================== UDATA26 ======================================================== */ +/* ======================================================== UDATA27 ======================================================== */ +/* ======================================================== UDATA28 ======================================================== */ +/* ======================================================== UDATA29 ======================================================== */ +/* ======================================================== UDATA30 ======================================================== */ +/* ======================================================== UDATA31 ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ COA3 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== UDATA00 ======================================================== */ +/* ======================================================== UDATA01 ======================================================== */ +/* ======================================================== UDATA02 ======================================================== */ +/* ======================================================== UDATA03 ======================================================== */ +/* ======================================================== UDATA04 ======================================================== */ +/* ======================================================== UDATA05 ======================================================== */ +/* ======================================================== UDATA06 ======================================================== */ +/* ======================================================== UDATA07 ======================================================== */ +/* ======================================================== UDATA08 ======================================================== */ +/* ======================================================== UDATA09 ======================================================== */ +/* ======================================================== UDATA10 ======================================================== */ +/* ======================================================== UDATA11 ======================================================== */ +/* ======================================================== UDATA12 ======================================================== */ +/* ======================================================== UDATA13 ======================================================== */ +/* ======================================================== UDATA14 ======================================================== */ +/* ======================================================== UDATA15 ======================================================== */ +/* ======================================================== UDATA16 ======================================================== */ +/* ======================================================== UDATA17 ======================================================== */ +/* ======================================================== UDATA18 ======================================================== */ +/* ======================================================== UDATA19 ======================================================== */ +/* ======================================================== UDATA20 ======================================================== */ +/* ======================================================== UDATA21 ======================================================== */ +/* ======================================================== UDATA22 ======================================================== */ +/* ======================================================== UDATA23 ======================================================== */ +/* ======================================================== UDATA24 ======================================================== */ +/* ======================================================== UDATA25 ======================================================== */ +/* ======================================================== UDATA26 ======================================================== */ +/* ======================================================== UDATA27 ======================================================== */ +/* ======================================================== UDATA28 ======================================================== */ +/* ======================================================== UDATA29 ======================================================== */ +/* ======================================================== UDATA30 ======================================================== */ +/* ======================================================== UDATA31 ======================================================== */ + +/** @} */ /* End of group EnumValue_peripherals */ + + +#ifdef __cplusplus +} +#endif + +#endif /* A31G12X_H */ + + +/** @} */ /* End of group A31G12x */ + +/** @} */ /* End of group VENDOR ABOV Semiconductor Co., Ltd. */ diff --git a/Project/SDK_V2_5_0/Device/Startup/startup_A31G12x.s b/Project/SDK_V2_5_0/Device/Startup/startup_A31G12x.s new file mode 100644 index 0000000..352f770 --- /dev/null +++ b/Project/SDK_V2_5_0/Device/Startup/startup_A31G12x.s @@ -0,0 +1,253 @@ +;/**************************************************************************//** +; * @file startup_A31G12x.s +; * @brief CMSIS Core Device Startup File for +; * A31G12x Device Series +; * @version V5.00 +; * @date 02. March 2016 +; ******************************************************************************/ +;/* +; * Copyright (c) 2009-2016 ARM Limited. All rights reserved. +; * +; * SPDX-License-Identifier: Apache-2.0 +; * +; * Licensed under the Apache License, Version 2.0 (the License); you may +; * not use this file except in compliance with the License. +; * You may obtain a copy of the License at +; * +; * www.apache.org/licenses/LICENSE-2.0 +; * +; * Unless required by applicable law or agreed to in writing, software +; * distributed under the License is distributed on an AS IS BASIS, WITHOUT +; * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +; * See the License for the specific language governing permissions and +; * limitations under the License. +; */ + +;/* +;//-------- <<< Use Configuration Wizard in Context Menu >>> ------------------ +;*/ + + +; Stack Configuration +; Stack Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Stack_Size EQU 0x00000500 + + AREA STACK, NOINIT, READWRITE, ALIGN=3 +Stack_Mem SPACE Stack_Size +__initial_sp + + +; Heap Configuration +; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Heap_Size EQU 0x00000080 + + AREA HEAP, NOINIT, READWRITE, ALIGN=3 +__heap_base +Heap_Mem SPACE Heap_Size +__heap_limit + + + PRESERVE8 + THUMB + + +; Vector Table Mapped to Address 0 at Reset + + AREA RESET, DATA, READONLY + EXPORT __Vectors + EXPORT __Vectors_End + EXPORT __Vectors_Size + +__Vectors DCD __initial_sp ; Top of Stack + DCD Reset_Handler ; Reset Handler + DCD NMI_Handler ; NMI Handler + DCD HardFault_Handler ; Hard Fault Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD SVC_Handler ; SVCall Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD PendSV_Handler ; PendSV Handler + DCD SysTick_Handler ; SysTick Handler + + ; External Interrupts + DCD LVI_Handler ; IRQ 0 + DCD WUT_Handler ; IRQ 1 + DCD WDT_Handler ; IRQ 2 + DCD EINT0_Handler ; IRQ 3 + DCD EINT1_Handler ; IRQ 4 + DCD EINT2_Handler ; IRQ 5 + DCD EINT3_Handler ; IRQ 6 + DCD TIMER10_Handler ; IRQ 7 + DCD TIMER11_Handler ; IRQ 8 + DCD TIMER12_Handler ; IRQ 9 + DCD I2C0_Handler ; IRQ 10 + DCD USART10_Handler ; IRQ 11 + DCD WT_Handler ; IRQ 12 + DCD TIMER30_Handler ; IRQ 13 + DCD I2C1_Handler ; IRQ 14 + DCD TIMER20_Handler ; IRQ 15 + DCD TIMER21_Handler ; IRQ 16 + DCD USART11_Handler ; IRQ 17 + DCD ADC_Handler ; IRQ 18 + DCD UART0_Handler ; IRQ 19 + DCD UART1_Handler ; IRQ 20 + DCD TIMER13_Handler ; IRQ 21 + DCD TIMER14_Handler ; IRQ 22 + DCD TIMER15_Handler ; IRQ 23 + DCD TIMER16_Handler ; IRQ 24 + DCD I2C2_Handler ; IRQ 25 + DCD USART12_Handler ; IRQ 26 + DCD USART13_Handler ; IRQ 27 + ;DCD RESERVED_Handler ; IRQ 28 + ;DCD RESERVED_Handler ; IRQ 29 + ;DCD RESERVED_Handler ; IRQ 30 + ;DCD RESERVED_Handler ; IRQ 31 +__Vectors_End + +__Vectors_Size EQU __Vectors_End - __Vectors + + AREA |.text|, CODE, READONLY + + +; Reset Handler + +Reset_Handler PROC + EXPORT Reset_Handler [WEAK] + IMPORT SystemInit + IMPORT __main + LDR R0, =SystemInit + BLX R0 + LDR R0, =__main + BX R0 + ENDP + + +; Dummy Exception Handlers (infinite loops which can be modified) + +NMI_Handler PROC + EXPORT NMI_Handler [WEAK] + B . + ENDP +HardFault_Handler\ + PROC + EXPORT HardFault_Handler [WEAK] + B . + ENDP +SVC_Handler PROC + EXPORT SVC_Handler [WEAK] + B . + ENDP +PendSV_Handler PROC + EXPORT PendSV_Handler [WEAK] + B . + ENDP +SysTick_Handler PROC + EXPORT SysTick_Handler [WEAK] + B . + ENDP + +DEVICE_Handler PROC + + EXPORT LVI_Handler [WEAK] + EXPORT WUT_Handler [WEAK] + EXPORT WDT_Handler [WEAK] + EXPORT EINT0_Handler [WEAK] + EXPORT EINT1_Handler [WEAK] + EXPORT EINT2_Handler [WEAK] + EXPORT EINT3_Handler [WEAK] + EXPORT TIMER10_Handler [WEAK] + EXPORT TIMER11_Handler [WEAK] + EXPORT TIMER12_Handler [WEAK] + EXPORT I2C0_Handler [WEAK] + EXPORT USART10_Handler [WEAK] + EXPORT WT_Handler [WEAK] + EXPORT TIMER30_Handler [WEAK] + EXPORT I2C1_Handler [WEAK] + EXPORT TIMER20_Handler [WEAK] + EXPORT TIMER21_Handler [WEAK] + EXPORT USART11_Handler [WEAK] + EXPORT ADC_Handler [WEAK] + EXPORT UART0_Handler [WEAK] + EXPORT UART1_Handler [WEAK] + EXPORT TIMER13_Handler [WEAK] + EXPORT TIMER14_Handler [WEAK] + EXPORT TIMER15_Handler [WEAK] + EXPORT TIMER16_Handler [WEAK] + EXPORT I2C2_Handler [WEAK] + EXPORT USART12_Handler [WEAK] + EXPORT USART13_Handler [WEAK] + +LVI_Handler +WUT_Handler +WDT_Handler +EINT0_Handler +EINT1_Handler +EINT2_Handler +EINT3_Handler +TIMER10_Handler +TIMER11_Handler +TIMER12_Handler +I2C0_Handler +USART10_Handler +WT_Handler +TIMER30_Handler +I2C1_Handler +TIMER20_Handler +TIMER21_Handler +USART11_Handler +ADC_Handler +UART0_Handler +UART1_Handler +TIMER13_Handler +TIMER14_Handler +TIMER15_Handler +TIMER16_Handler +I2C2_Handler +USART12_Handler +USART13_Handler + B . + + ENDP + + + ALIGN + + +; User Initial Stack & Heap + + IF :DEF:__MICROLIB + + EXPORT __initial_sp + EXPORT __heap_base + EXPORT __heap_limit + + ELSE + + IMPORT __use_two_region_memory + EXPORT __user_initial_stackheap + +__user_initial_stackheap PROC + LDR R0, = Heap_Mem + LDR R1, =(Stack_Mem + Stack_Size) + LDR R2, = (Heap_Mem + Heap_Size) + LDR R3, = Stack_Mem + BX LR + ENDP + + ALIGN + + ENDIF + + + END + diff --git a/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.c b/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.c new file mode 100644 index 0000000..578bae5 --- /dev/null +++ b/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.c @@ -0,0 +1,82 @@ +/***************************************************************************//** + * @file system_A31G12x.c + * @brief CMSIS Device System Source File for + * A31G12x Device Series + * @version V5.00 + * @date 10. January 2018 + *//***************************************************************************/ +/* + * Copyright (c) 2009-2018 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#include "A31G12x.h" + +//============================================================================== +// System Core Clock Variable +//============================================================================== +/* ToDo: initialize SystemCoreClock with the system core clock frequency value + achieved after system intitialization. + This means system core clock frequency after call to SystemInit() */ +uint32_t mclk; /**< Main Clock Frequency (MCLK) */ +uint32_t SystemCoreClock; /**< System Core Clock Frequency (Core Clock & HCLK) */ +uint32_t SystemPeriClock; /**< System Peripheral Clock Frequency (PCLK) */ +uint32_t ClkSrcTbl[] = { __HIRC, __XMOSC, __XSOSC, __WDTRC }; + +/*-------------------------------------------------------------------------*//** + * @brief Update SystemCoreClock variable. + * @return None + * @details Updates the SystemCoreClock with current core Clock retrieved from cpu registers. + * @note This function should be executed whenever the clock is changed. + *//*-------------------------------------------------------------------------*/ +void SystemCoreClockUpdate( void ) /* Get Core Clock Frequency */ +{ + mclk = ClkSrcTbl[SCUCG->SCCR_b.MCLKSEL]; + if( SCUCG->SCCR_b.MCLKSEL == 0 ) mclk >>= SCUCG->CLKSRCR_b.HIRCSEL; // MCLK + + if( SCUCG->SCDIVR1_b.HDIV > 4 ) SCUCG->SCDIVR1_b.HDIV = 4; + SystemCoreClock = mclk >> (4 - SCUCG->SCDIVR1_b.HDIV); // HCLK + SystemPeriClock = SystemCoreClock >> SCUCG->SCDIVR2_b.PDIV; // PCLK +} + +/*-------------------------------------------------------------------------*//** + * @brief Setup the microcontroller system. + * @return None + * @details Initialize the System and update the SystemCoreClock variable. + *//*-------------------------------------------------------------------------*/ +void SystemInit( void ) +{ + /* ToDo: add code to initialize the system + do not use global variables because this function is called before + reaching pre-main. RW section maybe overwritten afterwards. */ + + while( SCUCC->SRSTVR_b.VALID != 0x55 ) {} + + // disable interrupt + __disable_irq(); + + // disable WDT + WDT->CR = 0 + | ( 0x5A69uL << WDT_CR_WTIDKY_Pos ) + | ( WDT_CR_RSTEN_Disable << WDT_CR_RSTEN_Pos ) // added by lms + | ( WDT_CR_CNTEN_Disable << WDT_CR_CNTEN_Pos ) + ; + +#ifndef __ON_DEBUG__ + WDT->SR_b.DBGCNTEN = 0 << WDT_SR_DBGCNTEN_Pos; +#endif +} + diff --git a/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.h b/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.h new file mode 100644 index 0000000..14775bc --- /dev/null +++ b/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.h @@ -0,0 +1,72 @@ +/***************************************************************************//** + * @file system_A31G12x.h + * @brief CMSIS Device System Header File for + * A31G12x Device Series + * @version V5.00 + * @date 10. January 2018 + *//***************************************************************************/ +/* + * Copyright (c) 2009-2018 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#ifndef SYSTEM_A31G12x_H +#define SYSTEM_A31G12x_H + +#ifdef __cplusplus +extern "C" { +#endif + +//============================================================================== +// Define Debug mode or not +//============================================================================== +#define __ON_DEBUG__ // This line should be defined on debug mode only. + +//============================================================================== +// Define clocks +//============================================================================== +/* ToDo: add here your necessary defines for device initialization + following is an example for different system frequencies */ + +#define __HIRC (40000000uL) /**< Internal RC Oscillator Frequency */ +#define __XMOSC (16000000uL) /**< External Main Crystal Oscillator Frequency */ +#define __XSOSC (32768uL) /**< External Sub Crystal Oscillator Frequency */ +#define __WDTRC (40000uL) /**< Watch-Dog Timer RC Oscillator Frequency */ + +extern uint32_t mclk; /**< Main Clock Frequency (MCLK) */ +extern uint32_t SystemCoreClock; /**< System Core Clock Frequency (Core Clock & HCLK) */ +extern uint32_t SystemPeriClock; /**< System Peripheral Clock Frequency (PCLK) */ + +/*-------------------------------------------------------------------------*//** + * @brief Setup the microcontroller system. + * @return None + * @details Initialize the System and update the SystemCoreClock variable. + *//*-------------------------------------------------------------------------*/ +extern void SystemInit( void ); + +/*-------------------------------------------------------------------------*//** + * @brief Update SystemCoreClock variable. + * @return None + * @details Updates the SystemCoreClock with current core Clock retrieved from cpu registers. + *//*-------------------------------------------------------------------------*/ +extern void SystemCoreClockUpdate( void ); + +#ifdef __cplusplus +} +#endif + +#endif /* SYSTEM_A31G12x_H */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_aa_types.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_aa_types.h new file mode 100644 index 0000000..df93d53 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_aa_types.h @@ -0,0 +1,264 @@ +/***************************************************************************//** +* @file A31G12x_hal_aa_types.h +* @brief Contains the ABOV typedefs for C standard types. +* It is intended to be used in ISO C conforming development +* environments and checks for this insofar as it is possible +* to do so. +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef AA_TYPES_H +#define AA_TYPES_H + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +/* NULL pointer */ +#ifndef NULL +#define NULL ((void*) 0) +#endif + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** HAL Status Type Definition */ +typedef enum +{ + HAL_OK = 0x00U, + HAL_ERROR = 0x01U, + HAL_BUSY = 0x02U, + HAL_TIMEOUT = 0x03U +} HAL_Status_Type; + +/** Boolean Type Definition */ +typedef enum +{ + FALSE = 0, + TRUE = !FALSE +} Bool; + +/** Flag Status and Interrupt Flag Status Type Definition */ +typedef enum +{ + RESET = 0, + SET = !RESET +} FlagStatus, IntStatus, SetState; + +/** Functional State Definition */ +typedef enum +{ + DISABLE = 0, + ENABLE = !DISABLE +} FunctionalState; + +/** Status Type Definition */ +typedef enum +{ + ERROR = 0, + SUCCESS = !ERROR +} Status; + +/** Read/Write Transfer Mode Type */ +typedef enum +{ + NONE_BLOCKING = 0, /**< Non-Blocking Mode */ + BLOCKING, /**< Blocking Mode */ +} TRANSFER_BLOCK_Type; + +//============================================================================== +// Generic +//============================================================================== + +typedef unsigned char b8; // boolean type +typedef char c8; // character type +typedef unsigned char u8; // 8-bit unsigned integer type +typedef signed char s8; // 8-bit signed integer type +typedef unsigned short int u16; // 16-bit unsigned integer type +typedef signed short int s16; // 16-bit signed integer type +// typedef unsigned int u32; // 32-bit unsigned integer type +// typedef signed int s32; // 32-bit signed integer type +typedef unsigned long int u32; // 32-bit unsigned integer type +typedef signed long int s32; // 32-bit signed integer type +typedef unsigned long long int u64; // 64-bit unsigned integer type +typedef signed long long int s64; // 64-bit signed integer type +typedef float f32; // 32-bit floating point type +typedef double f64; // 64-bit floating point type + +typedef volatile unsigned char vb8; // boolean type +typedef volatile char vc8; // character type +typedef volatile unsigned char vu8; // 8-bit unsigned integer type +typedef volatile signed char vs8; // 8-bit signed integer type +typedef volatile unsigned short int vu16; // 16-bit unsigned integer type +typedef volatile signed short int vs16; // 16-bit signed integer type +// typedef volatile unsigned int vu32; // 32-bit unsigned integer type +// typedef volatile signed int vs32; // 32-bit signed integer type +typedef volatile unsigned long int vu32; // 32-bit unsigned integer type +typedef volatile signed long int vs32; // 32-bit signed integer type +typedef volatile unsigned long long int vu64; // 64-bit unsigned integer type +typedef volatile signed long long int vs64; // 64-bit signed integer type +typedef volatile float vf32; // 32-bit floating point type +typedef volatile double vf64; // 64-bit floating point type + +//****************************************************************************** +// Macro +//****************************************************************************** + +//============================================================================== +// bit operation +//============================================================================== + +#define bitm( p ) ((u32)1<<(p)) +#define bitp( r, p, v ) { \ + r &= ~bitm( p ); \ + r |= (((v) & bitm(0)) << (p)); \ + } +#define bits( r, p ) r |= bitm( p ) +#define bitr( r, p ) r &= ~bitm( p ) +#define bitc( r, p ) r ^= bitm( p ) +#define bitg( r, p ) ((r&bitm( p )) >> (p)) +#define bitt( r, p, v ) ((r&bitm( p )) == ((v)<<(p))) + +#define bitsm( p, s ) ((((u64)1<<(s))-1) << (p)) +#define bitsp( r, p, s, v ) { \ + r &= ~bitsm( p, s ); \ + r |= (((v) & bitsm(0,s)) << (p)); \ + } +#define bitss( r, p, s ) r |= bitsm( p, s ) +#define bitsr( r, p, s ) r &= ~bitsm( p, s ) +#define bitsc( r, p, s ) r ^= bitsm( p, s ) +#define bitsg( r, p, s ) ((r&bitsm( p, s )) >> (p)) +#define bitst( r, p, s, v ) ((r&bitsm( p, s )) == ((v)<<(p))) + +//============================================================================== +// bit operation +//============================================================================== + +/*-------------------------------------------------------------------------*//** + * _BIT( n ) sets the bit at position "n" + * _BIT( n ) is intended to be used in "OR" and "AND" expressions: + * e.g., "(_BIT(3) | _BIT(7))". + *//*-------------------------------------------------------------------------*/ +#undef _BIT +// Set bit macro +#define _BIT( n ) (1 << (n)) + +/*-------------------------------------------------------------------------*//** + * _SBF( f, v ) sets the bit field starting at position "f" to value "v". + * _SBF( f, v ) is intended to be used in "OR" and "AND" expressions: + * e.g., "((_SBF(5,7) | _SBF(12,0xF)) & 0xFFFF)" + *//*-------------------------------------------------------------------------*/ +#undef _SBF +// Set bit field macro +#define _SBF( f, v ) ((v) << (f)) + +/*-------------------------------------------------------------------------*//** + * _BITMASK constructs a symbol with 'field_width' least significant + * bits set. + * e.g., _BITMASK(5) constructs '0x1F', _BITMASK(16) == 0xFFFF + * The symbol is intended to be used to limit the bit field width + * thusly: + * = (any_expression) & _BITMASK(x), where 0 < x <= 32. + * If "any_expression" results in a value that is larger than can be + * contained in 'x' bits, the bits above 'x - 1' are masked off. When + * used with the _SBF example above, the example would be written: + * a_reg = ((_SBF(5,7) | _SBF(12,0xF)) & _BITMASK(16)) + * This ensures that the value written to a_reg is no wider than + * 16 bits, and makes the code easier to read and understand. + *//*-------------------------------------------------------------------------*/ +#undef _BITMASK +// Bitmask creation macro +#define _BITMASK( field_width ) (_BIT(field_width) - 1) + +//============================================================================== +// array +//============================================================================== + +// Number of elements in an array +#define NELEMENTS( array ) (sizeof (array) / sizeof (array[0])) + +//============================================================================== +// max/min +//============================================================================== + +// max +#if !defined( MAX ) +#define MAX( a, b ) (((a) > (b)) ? (a) : (b)) +#endif + +// min +#if !defined( MIN ) +#define MIN( a, b ) (((a) < (b)) ? (a) : (b)) +#endif + +//============================================================================== +// instruction +//============================================================================== + +#define SYS_RESET() NVIC_SystemReset() + +#define NOP() __NOP() +#define WFI() __WFI() +#define WFE() __WFE() +#define DI() __disable_irq() // reset value is "interrupt enable". +#define EI() __enable_irq() // reset value is "interrupt enable". + +#define APB_NOP {unsigned long tmp = CIDR;} + +//============================================================================== +// directive +//============================================================================== + +// Static data/function define +#define STATIC static + +// External data/function define +#define EXTERN extern + +// inline function +#ifdef __CC_ARM +#define INLINE __inline +#else +#define INLINE inline +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* AA_TYPES_H */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_adc.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_adc.h new file mode 100644 index 0000000..0e55dfc --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_adc.h @@ -0,0 +1,185 @@ +/***************************************************************************//** +* @file A31G12x_hal_adc.h +* @brief Contains all macro definitions and function prototypes +* support for adc firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _ADC_H_ +#define _ADC_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//---------- ADC Trigger Signal Definition ---------- +#define ADC_ADST (0x0uL << 11) +#define ADC_T10M (0x1uL << 11) +#define ADC_T11M (0x2uL << 11) +#define ADC_T12M (0x3uL << 11) +#define ADC_T30 (0x4uL << 11) + +//---------- ADC Reference Selection Definition ---------- +#define ADC_VDD (0x0uL << 10) +#define ADC_AVREF (0x1uL << 10) + +//---------- ADC Convesion Start Definition ---------- +//#define ADC_ADST (0x1uL << 8) + +//---------- ADC Interrupt Enable/Disable Definition ---------- +#define ADC_INTDIS (0x0uL << 5) +#define ADC_INTEN (0x1uL << 5) + +//---------- ADC Channel Selection Definition ---------- +#define ADC_AN0 (0x00uL << 0) +#define ADC_AN1 (0x01uL << 0) +#define ADC_AN2 (0x02uL << 0) +#define ADC_AN3 (0x03uL << 0) +#define ADC_AN4 (0x04uL << 0) +#define ADC_AN5 (0x05uL << 0) +#define ADC_AN6 (0x06uL << 0) +#define ADC_AN7 (0x07uL << 0) +#define ADC_AN8 (0x08uL << 0) +#define ADC_AN9 (0x09uL << 0) +#define ADC_AN10 (0x0auL << 0) + +/*-------------------------------------------------------------------------*//** + * Macro defines for ADC Status register + *//*-------------------------------------------------------------------------*/ +#define ADC_STAT_END ((1uL << 4)) + +#define ADC_REF_VDD 0 /**< ADC ref source VDD */ +#define ADC_REF_AVREF 1 /**< ADC ref source AVREF */ + +#define ADC_TRIGGER_DISABLE 0 /**< Event Trigger Disabled/Soft-Trigger Only */ +#define ADC_TRIGGER_TIMER10 1 /**< Timer10 Event Trigger */ +#define ADC_TRIGGER_TIMER11 2 /**< Timer11 Event Trigger */ +#define ADC_TRIGGER_TIMER12 3 /**< Timer12 Event Trigger */ +#define ADC_TRIGGER_TIMER30 4 /**< Timer30 Event Trigger */ + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + /* ADC_CR */ + uint32_t RefSel; /**< RestartEn = ENABLE or DISABLE */ + uint32_t TrgSel; + + /* ADC_PREDR */ + uint32_t InClkDiv; +} ADC_CFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief ADC Enable + * @details This macro Enable ADC Block + *//*-------------------------------------------------------------------------*/ +#define ADCEN() (ADC->CR_b.ADCEN = 1) + +/*-------------------------------------------------------------------------*//** + * @brief ADC Disable + * @details This macro Disable ADC Block + *//*-------------------------------------------------------------------------*/ +#define ADCDIS() (ADC->CR_b.ADCEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief ADC Start bit set + * @details This macro starts ADC conversion + *//*-------------------------------------------------------------------------*/ +#define ADCADST_Set() (ADC->CR_b.ADST = 1) + +/*-------------------------------------------------------------------------*//** + * @brief ADC Start bit get + * @details This macro gets ADST bit + *//*-------------------------------------------------------------------------*/ +#define ADCADST_Get() (ADC->CR_b.ADST) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of ADC Interrupt + * @details This macro gets interrupt flag of ADC + *//*-------------------------------------------------------------------------*/ +#define ADCInt_GetFg() (ADC->CR_b.ADCIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of ADC Interrupt + * @details This macro gets interrupt flag of ADC + *//*-------------------------------------------------------------------------*/ +#define ADCInt_ClrFg() (ADC->CR_b.ADCIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get data of ADC Conversion + * @details This macro gets data of ADC Conversion + *//*-------------------------------------------------------------------------*/ +#define ADCData_Get() (ADC->DR) + +/*-------------------------------------------------------------------------*//** + * Macro defines for ADC Data register + *//*-------------------------------------------------------------------------*/ +/** When DONE is 1, this field contains result value of ADC conversion */ +#define ADC_DR_RESULT( n ) ((n) & ADC_DR_ADDATA_Msk) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_ADC_Init( ADC_Type* ADCx, ADC_CFG_Type* ADC_Config ); +HAL_Status_Type HAL_ADC_DeInit( ADC_Type* ADCx ); + +HAL_Status_Type HAL_ADC_ConfigInterrupt( ADC_Type* ADCx, FunctionalState NewState ); +HAL_Status_Type HAL_ADC_ChannelSel( ADC_Type* ADCx, uint32_t Channel ); +HAL_Status_Type HAL_ADC_Start( ADC_Type* ADCx ); +HAL_Status_Type HAL_ADC_Stop( ADC_Type* ADCx ); +HAL_Status_Type HAL_ADC_ClearStatus( ADC_Type* ADCx ); +uint32_t HAL_ADC_GetStatus( ADC_Type* ADCx ); + +uint16_t HAL_ADC_GetData( ADC_Type* ADCx ); + +#ifdef __cplusplus +} +#endif + +#endif /* _ADC_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_crc.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_crc.h new file mode 100644 index 0000000..456d388 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_crc.h @@ -0,0 +1,124 @@ +/***************************************************************************//** +* @file A31G12x_hal_crc.h +* @brief Contains all macro definitions and function prototypes +* support for crc firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _CRC_H_ +#define _CRC_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// User/Auto Mode Selection Control +/* +#define CRC_USER_M (0x0uL << 7) +#define CRC_AUTO_M (0x1uL << 7) +*/ +#define MODS_UserMode (CRC_CR_MODS_UserMode << CRC_CR_MODS_Pos) +#define MODS_AutoMode (CRC_CR_MODS_AutoMode << CRC_CR_MODS_Pos) + +//---------- CRC/Checksum RLT Clear Constant Definition ---------- +#define CRC_RLTCLR (0x1uL << 6) + +//---------- CRC/Checksum Selection Constant Definition ---------- +#define CRC_CRC (0x0uL << 5) +#define CRC_CHECKSUM (0x1uL << 5) + +//---------- CRC Polynominal Constant Definition ---------- +#define CRC_CCITT (0x0uL << 4) +#define CRC_16 (0x1uL << 4) + +//---------- CRC/Checksum Start Address Auto Increment Constant Definition ---------- +#define CRC_NOINC (0x0uL << 3) +#define CRC_AUTOINC (0x1uL << 3) + +//---------- CRC/Checksum 1st Shifted-in Bit Constant Definition ---------- +#define CRC_MSB (0x0uL << 1) +#define CRC_LSB (0x1uL << 1) + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief CRC In Data + * @param[in] u32InData + * CRC/Checksum Input Data + * @details This macro puts input data for calculation + *//*-------------------------------------------------------------------------*/ +#define CRC_InData( u32InData ) (CRC->IN = u32InData) + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Run + * @details This macro starts CRC/Checksum calculation + *//*-------------------------------------------------------------------------*/ +#define CRCRun() { CRC->CR_b.CRCRUN = 1; NOP(); NOP(); NOP(); NOP(); } + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Stop + * @details This macro stops forcingly CRC/Checksum calculation + *//*-------------------------------------------------------------------------*/ +#define CRCStop() (CRC->CR_b.CRCRUN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Finish Check + * @details This macro checks CRC/Checksum finish + *//*-------------------------------------------------------------------------*/ +#define ChkCRCFinish() (CRC->CR_b.CRCRUN) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_CRC_Init( void ); +HAL_Status_Type HAL_CRC_DeInit( void ); + +HAL_Status_Type HAL_CRC_SetAddress( uint32_t u32SAdr, uint32_t u32EAdr, uint32_t u32IniD ); + +uint32_t HAL_CRC_ConfigAutoMode( uint32_t u32SEL, uint32_t u32POLY, uint32_t u32FirstBit ); +HAL_Status_Type HAL_CRC_ConfigUserMode( uint32_t u32SEL, uint32_t u32POLY, uint32_t u32AdrInc, uint32_t u32FirstBit ); +uint32_t HAL_CRC_UserInput( uint32_t u32Input ); + +#ifdef __cplusplus +} +#endif + +#endif /* _CRC_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_debug_frmwrk.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_debug_frmwrk.h new file mode 100644 index 0000000..19a5285 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_debug_frmwrk.h @@ -0,0 +1,117 @@ +/***************************************************************************//** +* @file A31G12x_hal_debug_frmwrk.h +* @brief Contains all macro definitions and function prototypes +* support for debug_frmwrk firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _DEBUG_FRMWRK_H_ +#define _DEBUG_FRMWRK_H_ + +#include "A31G12x_hal_uartn.h" +#include "A31G12x_hal_libcfg.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#ifdef _DEBUG_MSG + +//****************************************************************************** +// Constant +//****************************************************************************** + +#define USED_UART_DEBUG_PORT 1 + +#if (USED_UART_DEBUG_PORT == 0) +#define DEBUG_UART_PORT UART0 +#elif (USED_UART_DEBUG_PORT == 1) +#define DEBUG_UART_PORT UART1 +#endif + +//****************************************************************************** +// Macro +//****************************************************************************** + +#define _DBG( x ) _db_msg( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBG_( x ) _db_msg_( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBC( x ) _db_char( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBD( x ) _db_dec( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBD16( x ) _db_dec_16( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBD32( x ) _db_dec_32( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBH( x ) _db_hex( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBH16( x ) _db_hex_16( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBH32( x ) _db_hex_32( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DG() _db_get_char( (UARTn_Type*)DEBUG_UART_PORT ) +#define _DG_( x ) _db_get_ch( (UARTn_Type*)DEBUG_UART_PORT, x ) + +//****************************************************************************** +// Variable +//****************************************************************************** + +extern void ( *_db_msg )( UARTn_Type* UARTx, const void* s ); +extern void ( *_db_msg_ )( UARTn_Type* UARTx, const void* s ); +extern void ( *_db_char )( UARTn_Type* UARTx, uint8_t ch ); +extern void ( *_db_dec )( UARTn_Type* UARTx, uint8_t decn ); +extern void ( *_db_dec_16 )( UARTn_Type* UARTx, uint16_t decn ); +extern void ( *_db_dec_32 )( UARTn_Type* UARTx, uint32_t decn ); +extern void ( *_db_hex )( UARTn_Type* UARTx, uint8_t hexn ); +extern void ( *_db_hex_16 )( UARTn_Type* UARTx, uint16_t hexn ); +extern void ( *_db_hex_32 )( UARTn_Type* UARTx, uint32_t hexn ); +extern uint8_t ( *_db_get_char )( UARTn_Type* UARTx ); +extern uint8_t ( *_db_get_ch )( UARTn_Type* UARTx, uint8_t* ch ); + +//****************************************************************************** +// Function +//****************************************************************************** + +void UARTPutChar( UARTn_Type* UARTx, uint8_t ch ); +void UARTPuts( UARTn_Type* UARTx, const void* str ); +void UARTPuts_( UARTn_Type* UARTx, const void* str ); +void UARTPutDec( UARTn_Type* UARTx, uint8_t decnum ); +void UARTPutDec16( UARTn_Type* UARTx, uint16_t decnum ); +void UARTPutDec32( UARTn_Type* UARTx, uint32_t decnum ); +void UARTPutHex( UARTn_Type* UARTx, uint8_t hexnum ); +void UARTPutHex16( UARTn_Type* UARTx, uint16_t hexnum ); +void UARTPutHex32( UARTn_Type* UARTx, uint32_t hexnum ); +uint8_t UARTGetChar( UARTn_Type* UARTx ); +uint8_t UARTGetCh( UARTn_Type* UARTx, uint8_t* ch ); +void cprintf( const char* format, ... ); +void debug_frmwrk_init( void ); +uint8_t getstring( void ); + +#endif /* _DEBUG_MSG */ + +#ifdef __cplusplus +} +#endif + +#endif /* _DEBUG_FRMWRK_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_fmc.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_fmc.h new file mode 100644 index 0000000..be3058a --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_fmc.h @@ -0,0 +1,104 @@ +/***************************************************************************//** +* @file A31G12x_hal_fmc.h +* @brief Contains all macro definitions and function prototypes +* support for fmc firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _FMC_H_ +#define _FMC_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//---------- Flash Memory Control Constants Definition ---------- +#define FLASH_ID1 0x08192A3B +#define FLASH_ID2 0x4C5D6E7F +#define FLASH_IDXOR 0x9C752FC2 +#define FLASH_ADDR_CD0 0x5FFFFFFF +#define FLASH_ADDR_CD1 0x5F9A30D7 +#define FLASH_ADDR_CDXOR 0xA498DF92 +#define FLASH_ADDR_INIT 0x5FFFFF80 +#define FLASH_CLR_PAGEBUF 0x6C930001 +#define FLASH_CHIPER_WOPT 0xC1BE0555 +#define FLASH_BULK_CODE 0x6C93A408 +#define FLASH_MEM_PGM_CODE 0x6C93A400 +#define FLASH_OPT_PGM_CODE 0x6C933800 + +#define FLASH_START_ADDR (0x10000000) +#define FLASH_END_ADDR (0x1000FFFF) +#define CFG_OPT_SADDR (0x1FFFF200) +#define CFG_OPT_EADDR (0x1FFFF7FF) +#define SECTOR_SIZE_BYTE (0x80uL) + +//---------- Flash Erase/Write Code Constanst Definition ---------- +#define FLASH_BULK_ERASE 0x9AB1E0F8 +#define FLASH_PAGE_ERASE 0x190CD5A2 +#define FLASH_PAGE_WRITE 0x54760F54 + +//---------- Flash Procedure Good/Fail Constant Definition ---------- +#define FLASH_PGM_GOOD 0x0uL +#define FLASH_PGM_FAIL 0x9uL + +//****************************************************************************** +// Variable +//****************************************************************************** + +extern uint32_t flash_id1_reg; +extern uint32_t flash_id2_reg; +extern uint32_t flash_addr_code0; +extern uint32_t flash_addr_code1; + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_FMC_FlashEntry( void ); +void HAL_FMC_FlashExit( void ); +uint32_t HAL_FMC_FlashFunction( uint32_t u32FncSel, uint32_t u32Addr, uint32_t* u32Buf ); + +uint32_t HAL_FMC_BulkErase( uint32_t u32UserId ); +uint32_t HAL_FMC_PageErase( uint32_t u32UserId, uint32_t u32Addr ); +uint32_t HAL_FMC_PageWrite( uint32_t u32UserId, uint32_t u32Addr, uint32_t* u32Buf ); + +#ifdef __cplusplus +} +#endif + +#endif /* _FMC_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_i2cn.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_i2cn.h new file mode 100644 index 0000000..686cdff --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_i2cn.h @@ -0,0 +1,130 @@ +/***************************************************************************//** +* @file A31G12x_hal_i2cn.h +* @brief Contains all macro definitions and function prototypes +* support for i2cn firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _I2Cn_H_ +#define _I2Cn_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +/** I2C Slave Address registers bit mask */ +#define I2Cn_SLA_BITMASK (0xff) + +// I2C state handle return values +#define RECEIVE_MODE 1 +#define TRANS_MODE 2 +#define RECEIVE_DATA 3 +#define TRANS_DATA 4 +#define LOST_BUS 5 +#define STOP_DECT 6 + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** Transfer option type definitions */ +typedef enum +{ + I2Cn_TRANSFER_POLLING = 0, /**< Transfer in polling mode */ + I2Cn_TRANSFER_INTERRUPT /**< Transfer in interrupt mode */ +} I2Cn_TRANSFER_OPT_Type; + +//============================================================================== +// Structure +//============================================================================== + +/** Master transfer setup data structure definitions */ +typedef struct +{ + uint32_t sl_addr7bit; /**< Slave address in 7bit mode */ + uint8_t* tx_data; /**< Pointer to Transmit data - NULL if data transmit is not used */ + uint32_t tx_length; /**< Transmit data length - 0 if data transmit is not used */ + uint32_t tx_count; /**< Current Transmit data counter */ + uint8_t* rx_data; /**< Pointer to Receive data - NULL if data receive is not used */ + uint32_t rx_length; /**< Receive data length - 0 if data receive is not used */ + uint32_t rx_count; /**< Current Receive data counter */ +} I2Cn_M_SETUP_Type; + +/** Slave transfer setup data structure definitions */ +typedef struct +{ + uint8_t* tx_data; /**< Pointer to transmit data - NULL if data transmit is not used */ + uint32_t tx_length; /**< Transmit data length - 0 if data transmit is not used */ + uint32_t tx_count; /**< Current transmit data counter */ + uint8_t* rx_data; /**< Pointer to receive data - NULL if data received is not used */ + uint32_t rx_length; /**< Receive data length - 0 if data receive is not used */ + uint32_t rx_count; /**< Current receive data counter */ +} I2Cn_S_SETUP_Type; + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_I2C_Init( I2Cn_Type* I2Cx, uint32_t clockrate ); +HAL_Status_Type HAL_I2C_DeInit( I2Cn_Type* I2Cx ); + +HAL_Status_Type HAL_I2C_ConfigInterrupt( I2Cn_Type* I2Cx, Bool NewState ); +HAL_Status_Type HAL_I2C_Slave_SetAddress1( I2Cn_Type* I2Cx, uint8_t SlaveAddr_7bit, uint8_t GeneralCallState ); +HAL_Status_Type HAL_I2C_Slave_SetAddress2( I2Cn_Type* I2Cx, uint8_t SlaveAddr_7bit, uint8_t GeneralCallState ); +uint32_t HAL_I2C_Master_GetState( I2Cn_Type* I2Cx ); +uint32_t HAL_I2C_Slave_GetState( I2Cn_Type* I2Cx ); + +HAL_Status_Type HAL_I2C_Master_IRQHandler_IT( I2Cn_Type* I2Cx ); +HAL_Status_Type HAL_I2C_Slave_IRQHandler_IT( I2Cn_Type* I2Cx ); + +Status HAL_I2C_MasterTransferData( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); +Status HAL_I2C_SlaveTransferData( I2Cn_Type* I2Cx, I2Cn_S_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); + +Status HAL_I2C_Master_Transmit( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); +Status HAL_I2C_Master_Receive( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); +Status HAL_I2C_Slave_Receive( I2Cn_Type* I2Cx, I2Cn_S_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); + +#ifdef __cplusplus +} +#endif + +#endif /* _I2Cn_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_intc.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_intc.h new file mode 100644 index 0000000..acc9db4 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_intc.h @@ -0,0 +1,411 @@ +/***************************************************************************//** +* @file A31G12x_hal_intc.h +* @brief Contains all macro definitions and function prototypes +* support for intc firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _INTC_H_ +#define _INTC_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// External Interrupt Port Number +// #define PORTA (0x0uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#define PORTB (0x1uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#define PORTC (0x2uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +// #define PORTD (0x3uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#define PORTE (0x4uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +// #define PORTF (0x5uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ + +// External Interrupt Number +/* +#define EINT0_SEL (0x0uL) +#define EINT1_SEL (0x1uL) +#define EINT2_SEL (0x2uL) +#define EINT3_SEL (0x3uL) +*/ +#define EINT0 (0x0uL) +#define EINT1 (0x1uL) +#define EINT2 (0x2uL) +#define EINT3 (0x3uL) + +// External Interrupt Trigger Selection Control +/* +#define TRG_EDGE (0x0uL) +#define TRG_LEVEL (0x1uL) +*/ +#define ITRIGx_Edge INTC_PBTRIG_ITRIG11_Edge +#define ITRIGx_Level INTC_PBTRIG_ITRIG11_Level + +// External Interrupt Enable Control +/* +#define EInt_DISABLE (0x0uL) +#define EInt_LOW_LEVEL_INT (0x1uL) +#define EInt_HIGH_LEVEL_INT (0x2uL) +#define EInt_FALLING_EDGE_INT (0x1uL) +#define EInt_RISING_EDGE_INT (0x2uL) +#define EInt_BOTH_EDGE_INT (0x3uL) +*/ +#define INTCTLx_Disable INTC_PBCR_INTCTL11_Disable +#define INTCTLx_LowLevel INTC_PBCR_INTCTL11_FallingEdgeLowLevel +#define INTCTLx_HighLevel INTC_PBCR_INTCTL11_RisingEdgeHighLevel +#define INTCTLx_FallingEdge INTC_PBCR_INTCTL11_FallingEdgeLowLevel +#define INTCTLx_RisingEdge INTC_PBCR_INTCTL11_RisingEdgeHighLevel +#define INTCTLx_BothEdge INTC_PBCR_INTCTL11_BothEdgeNoLevel + +// External Interrupt Flag Clear Control +/* +#define EFLAG0 (0x1uL << 0) +#define EFLAG1 (0x1uL << 1) +#define EFLAG2 (0x1uL << 2) +#define EFLAG3 (0x1uL << 3) +#define EFLAG4 (0x1uL << 4) +#define EFLAG5 (0x1uL << 5) +#define EFLAG6 (0x1uL << 6) +#define EFLAG7 (0x1uL << 7) +#define EFLAG8 (0x1uL << 8) +#define EFLAG9 (0x1uL << 9) +#define EFLAG10 (0x1uL << 10) +#define EFLAG11 (0x1uL << 11) +*/ +// #define PnFLAG_FLAG15 (0x1uL << INTC_PBFLAG_FLAG15_Pos) +// #define PnFLAG_FLAG14 (0x1uL << INTC_PBFLAG_FLAG14_Pos) +// #define PnFLAG_FLAG13 (0x1uL << INTC_PBFLAG_FLAG13_Pos) +// #define PnFLAG_FLAG12 (0x1uL << INTC_PBFLAG_FLAG12_Pos) +#define PnFLAG_FLAG11 (0x1uL << INTC_PBFLAG_FLAG11_Pos) +#define PnFLAG_FLAG10 (0x1uL << INTC_PBFLAG_FLAG10_Pos) +#define PnFLAG_FLAG9 (0x1uL << INTC_PBFLAG_FLAG9_Pos) +#define PnFLAG_FLAG8 (0x1uL << INTC_PBFLAG_FLAG8_Pos) +#define PnFLAG_FLAG7 (0x1uL << INTC_PBFLAG_FLAG7_Pos) +#define PnFLAG_FLAG6 (0x1uL << INTC_PBFLAG_FLAG6_Pos) +#define PnFLAG_FLAG5 (0x1uL << INTC_PBFLAG_FLAG5_Pos) +#define PnFLAG_FLAG4 (0x1uL << INTC_PBFLAG_FLAG4_Pos) +#define PnFLAG_FLAG3 (0x1uL << INTC_PBFLAG_FLAG3_Pos) +#define PnFLAG_FLAG2 (0x1uL << INTC_PBFLAG_FLAG2_Pos) +#define PnFLAG_FLAG1 (0x1uL << INTC_PBFLAG_FLAG1_Pos) +#define PnFLAG_FLAG0 (0x1uL << INTC_PBFLAG_FLAG0_Pos) + +// External Interrupt Configuration Selection Control +#ifdef PORTA +#define CONFx_PA INTC_EINT0CONF1_CONF0_PA /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTB +#define CONFx_PB INTC_EINT0CONF1_CONF0_PB /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTC +#define CONFx_PC INTC_EINT0CONF1_CONF0_PC /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTD +#define CONFx_PD INTC_EINT0CONF1_CONF0_PD /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTE +#define CONFx_PE INTC_EINT0CONF1_CONF0_PE /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTF +#define CONFx_PF INTC_EINT0CONF1_CONF0_PF /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif + +// Interrupt Source Mask Control +/* +#define LVI_MASK (0x1uL << 0) +#define WUT_MASK (0x1uL << 1) +#define WDT_MASK (0x1uL << 2) +#define EINT0_MASK (0x1uL << 3) +#define EINT1_MASK (0x1uL << 4) +#define EINT2_MASK (0x1uL << 5) +#define EINT3_MASK (0x1uL << 6) +#define TIMER10_MASK (0x1uL << 7) +#define TIMER11_MASK (0x1uL << 8) +#define TIMER12_MASK (0x1uL << 9) +#define I2C0_MASK (0x1uL << 10) +#define USART10_MASK (0x1uL << 11) +#define WT_MASK (0x1uL << 12) +#define TIMER30_MASK (0x1uL << 13) +#define I2C1_MASK (0x1uL << 14) +#define TIMER20_MASK (0x1uL << 15) +#define TIMER21_MASK (0x1uL << 16) +#define USART11_MASK (0x1uL << 17) +#define ADC_MASK (0x1uL << 18) +#define UART0_MASK (0x1uL << 19) +#define UART1_MASK (0x1uL << 20) +#define TIMER13_MASK (0x1uL << 21) +#define TIMER14_MASK (0x1uL << 22) +#define TIMER15_MASK (0x1uL << 23) +#define TIMER16_MASK (0x1uL << 24) +#define I2C2_MASK (0x1uL << 25) +#define UST12_MASK (0x1uL << 26) +#define UST13_MASK (0x1uL << 27) +*/ +#define MSK_IMSK31 (0x1uL << INTC_MSK_IMSK31_NULL_Pos) +#define MSK_IMSK30 (0x1uL << INTC_MSK_IMSK30_NULL_Pos) +#define MSK_IMSK29 (0x1uL << INTC_MSK_IMSK29_NULL_Pos) +#define MSK_IMSK28 (0x1uL << INTC_MSK_IMSK28_NULL_Pos) +#define MSK_USART13 (0x1uL << INTC_MSK_IMSK27_USART13_Pos) +#define MSK_USART12 (0x1uL << INTC_MSK_IMSK26_USART12_Pos) +#define MSK_I2C2 (0x1uL << INTC_MSK_IMSK25_I2C2_Pos) +#define MSK_TIMER16 (0x1uL << INTC_MSK_IMSK24_TIMER16_Pos) +#define MSK_TIMER15 (0x1uL << INTC_MSK_IMSK23_TIMER15_Pos) +#define MSK_TIMER14 (0x1uL << INTC_MSK_IMSK22_TIMER14_Pos) +#define MSK_TIMER13 (0x1uL << INTC_MSK_IMSK21_TIMER13_Pos) +#define MSK_UART1 (0x1uL << INTC_MSK_IMSK20_UART1_Pos) +#define MSK_UART0 (0x1uL << INTC_MSK_IMSK19_UART0_Pos) +#define MSK_ADC (0x1uL << INTC_MSK_IMSK18_ADC_Pos) +#define MSK_USART11 (0x1uL << INTC_MSK_IMSK17_USART11_Pos) +#define MSK_TIMER21 (0x1uL << INTC_MSK_IMSK16_TIMER21_Pos) +#define MSK_TIMER20 (0x1uL << INTC_MSK_IMSK15_TIMER20_Pos) +#define MSK_I2C1 (0x1uL << INTC_MSK_IMSK14_I2C1_Pos) +#define MSK_TIMER30 (0x1uL << INTC_MSK_IMSK13_TIMER30_Pos) +#define MSK_WT (0x1uL << INTC_MSK_IMSK12_WT_Pos) +#define MSK_USART10 (0x1uL << INTC_MSK_IMSK11_USART10_Pos) +#define MSK_I2C0 (0x1uL << INTC_MSK_IMSK10_I2C0_Pos) +#define MSK_TIMER12 (0x1uL << INTC_MSK_IMSK9_TIMER12_Pos) +#define MSK_TIMER11 (0x1uL << INTC_MSK_IMSK8_TIMER11_Pos) +#define MSK_TIMER10 (0x1uL << INTC_MSK_IMSK7_TIMER10_Pos) +#define MSK_EINT3 (0x1uL << INTC_MSK_IMSK6_EINT3_Pos) +#define MSK_EINT2 (0x1uL << INTC_MSK_IMSK5_EINT2_Pos) +#define MSK_EINT1 (0x1uL << INTC_MSK_IMSK4_EINT1_Pos) +#define MSK_EINT0 (0x1uL << INTC_MSK_IMSK3_EINT0_Pos) +#define MSK_WDT (0x1uL << INTC_MSK_IMSK2_WDT_Pos) +#define MSK_WUT (0x1uL << INTC_MSK_IMSK1_WUT_Pos) +#define MSK_LVI (0x1uL << INTC_MSK_IMSK0_LVI_Pos) + +//****************************************************************************** +// Macro +//****************************************************************************** + +#ifdef PORTA +/*-------------------------------------------------------------------------*//** + * @brief Get PA Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPA_GetFg() (INTC->PAFLAG) +#endif + +#ifdef PORTB +/*-------------------------------------------------------------------------*//** + * @brief Get PB Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPB_GetFg() (INTC->PBFLAG) +#endif + +#ifdef PORTC +/*-------------------------------------------------------------------------*//** + * @brief Get PC Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPC_GetFg() (INTC->PCFLAG) +#endif + +#ifdef PORTD +/*-------------------------------------------------------------------------*//** + * @brief Get PD Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPD_GetFg() (INTC->PDFLAG) +#endif + +#ifdef PORTE +/*-------------------------------------------------------------------------*//** + * @brief Get PE Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPE_GetFg() (INTC->PEFLAG) +#endif + +#ifdef PORTF +/*-------------------------------------------------------------------------*//** + * @brief Get PF Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPF_GetFg() (INTC->PFFLAG) +#endif + +#ifdef PORTA +/*-------------------------------------------------------------------------*//** + * @brief Clear PA Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPA_ClrFgBits( u32Bit ) (INTC->PAFLAG = u32Bit) +#endif + +#ifdef PORTB +/*-------------------------------------------------------------------------*//** + * @brief Clear PB Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG11 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPB_ClrFgBits( u32Bit ) (INTC->PBFLAG = u32Bit) +#endif + +#ifdef PORTC +/*-------------------------------------------------------------------------*//** + * @brief Clear PC Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG3 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPC_ClrFgBits( u32Bit ) (INTC->PCFLAG = u32Bit) +#endif + +#ifdef PORTD +/*-------------------------------------------------------------------------*//** + * @brief Clear PD Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPD_ClrFgBits( u32Bit ) (INTC->PDFLAG = u32Bit) +#endif + +#ifdef PORTE +/*-------------------------------------------------------------------------*//** + * @brief Clear PE Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG3 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPE_ClrFgBits( u32Bit ) (INTC->PEFLAG = u32Bit) +#endif + +#ifdef PORTF +/*-------------------------------------------------------------------------*//** + * @brief Clear PF Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPF_ClrFgBits( u32Bit ) (INTC->PFFLAG = u32Bit) +#endif + +/*-------------------------------------------------------------------------*//** + * @brief Mask Interrupt Source + * @param[in] u32Msk + * Interrupt Source Mask + * - MSK_LVI, MSK_WUT, MSK_WDT, MSK_EINT0, ... + * @return None + * @details This macro masks an interrupt vector + *//*-------------------------------------------------------------------------*/ +#define Int_Mask( u32Msk ) (INTC->MSK = (INTC->MSK) & ~u32Msk) + +/*-------------------------------------------------------------------------*//** + * @brief Unmask Interrupt Source + * @param[in] u32UnMsk + * Interrupt Source Mask + * - MSK_LVI, MSK_WUT, MSK_WDT, MSK_EINT0, ... + * @return None + * @details This macro unmasks an interrupt vector + *//*-------------------------------------------------------------------------*/ +#define Int_UnMask( u32UnMsk ) (INTC->MSK = (INTC->MSK) | u32UnMsk) + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_INT_EIntPx_SetReg( uint32_t u32Px, uint32_t u32pin, uint32_t u32Trig, uint32_t u32Con ); +void HAL_INT_EIntCfg( uint32_t u32TarIntNum, uint32_t u32SrcPort, uint32_t u32SrcPin ); +void HAL_INT_EInt_MaskEnable( uint32_t u32Src ); +void HAL_INT_EInt_MaskDisable( uint32_t u32Src ); + +#ifdef PORTA +void HAL_INT_EIntPA_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTB +void HAL_INT_EIntPB_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTC +void HAL_INT_EIntPC_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTD +void HAL_INT_EIntPD_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTE +void HAL_INT_EIntPE_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTF +void HAL_INT_EIntPF_ClearIntStatus( uint32_t u32Value ); +#endif + +#ifdef PORTA +uint32_t HAL_INT_EIntPA_GetIntStatus( void ); +#endif +#ifdef PORTB +uint32_t HAL_INT_EIntPB_GetIntStatus( void ); +#endif +#ifdef PORTC +uint32_t HAL_INT_EIntPC_GetIntStatus( void ); +#endif +#ifdef PORTD +uint32_t HAL_INT_EIntPD_GetIntStatus( void ); +#endif +#ifdef PORTE +uint32_t HAL_INT_EIntPE_GetIntStatus( void ); +#endif +#ifdef PORTF +uint32_t HAL_INT_EIntPF_GetIntStatus( void ); +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* _INTC_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_lcd.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_lcd.h new file mode 100644 index 0000000..de1b07c --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_lcd.h @@ -0,0 +1,165 @@ +/***************************************************************************//** +* @file A31G12x_hal_lcd.h +* @brief Contains all macro definitions and function prototypes +* support for lcd firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _LCD_H_ +#define _LCD_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//========== LCD_CR ======================================== + +//---------- Internal LCD Bias Dividing Resistor Selection ---------- +#define LCD_RLCD3 (0x0uL << 6) +#define LCD_RLCD1 (0x1uL << 6) +#define LCD_RLCD2 (0x2uL << 6) +#define LCD_RLCD4 (0x3uL << 6) + +//---------- LCD Duty and Bias Selection ---------- +#define LCD_8D4B (0x0uL << 3) +#define LCD_6D4B (0x1uL << 3) +#define LCD_5D3B (0x2uL << 3) +#define LCD_4D3B (0x3uL << 3) +#define LCD_3D3B (0x4uL << 3) +#define LCD_3D2B (0x5uL << 3) + +//---------- LCD Clock Selection ---------- +#define LCD_CLK128 (0x0uL << 1) +#define LCD_CLK256 (0x1uL << 1) +#define LCD_CLK512 (0x2uL << 1) +#define LCD_CLK1024 (0x3uL << 1) + +//========== LCD_BCCR ======================================== + +//---------- LCD Automatic Bias Control En/Disable ---------- +#define LCD_ABCDIS (0x0uL << 12) +#define LCD_ABCEN (0x1uL << 12) + +//---------- "Bias Mode A" time Selection ---------- +#define LCD_BIA1CLK (0x0uL << 8) +#define LCD_BIA2CLK (0x1uL << 8) +#define LCD_BIA3CLK (0x2uL << 8) +#define LCD_BIA4CLK (0x3uL << 8) +#define LCD_BIA5CLK (0x4uL << 8) +#define LCD_BIA6CLK (0x5uL << 8) +#define LCD_BIA7CLK (0x6uL << 8) +#define LCD_BIA8CLK (0x7uL << 8) + +//---------- LCD Contrast Control En/Disable ---------- +#define LCD_CONTDIS (0x0uL << 5) +#define LCD_CONTEN (0x1uL << 5) + +//---------- VLC0 Voltage Control ---------- +#define LCD_VLC31 (0x0uL << 0) +#define LCD_VLC30 (0x1uL << 0) +#define LCD_VLC29 (0x2uL << 0) +#define LCD_VLC28 (0x3uL << 0) +#define LCD_VLC27 (0x4uL << 0) +#define LCD_VLC26 (0x5uL << 0) +#define LCD_VLC25 (0x6uL << 0) +#define LCD_VLC24 (0x7uL << 0) +#define LCD_VLC23 (0x8uL << 0) +#define LCD_VLC22 (0x9uL << 0) +#define LCD_VLC21 (0xAuL << 0) +#define LCD_VLC20 (0xBuL << 0) +#define LCD_VLC19 (0xCuL << 0) +#define LCD_VLC18 (0xDuL << 0) +#define LCD_VLC17 (0xEuL << 0) +#define LCD_VLC16 (0xFuL << 0) + +//---------- Constant ---------- +#define LCDBufSize 28 + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + /* LCD_CR */ + uint32_t Bias; /**< RestartEn = ENABLE or DISABLE */ + uint32_t Duty; + uint32_t Clk; + + /* LCD_BCCR */ + uint32_t AutoBiasEn; + uint32_t BiasTime; + uint32_t Contrast; + uint32_t ContrastStep; +} LCD_CFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief LCD Enable + * @details This macro Enable LCD Block + *//*-------------------------------------------------------------------------*/ +#define LCDON() (LCD->CR_b.DISP = 1) + +/*-------------------------------------------------------------------------*//** + * @brief LCD Disable + * @details This macro Disable LCD Block + *//*-------------------------------------------------------------------------*/ +#define LCDOFF() (LCD->CR_b.DISP = 0) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_LCD_Init( LCD_CFG_Type* LCD_Config ); + +HAL_Status_Type HAL_LCD_SetRegister( uint32_t u32LCD_CR, uint32_t u32LCD_BCCR ); +HAL_Status_Type HAL_LCD_ClearDspRam( void ); +HAL_Status_Type HAL_LCD_WriteDspRam( uint8_t* write_buf, uint32_t u32Index, uint32_t size ); + +#ifdef __cplusplus +} +#endif + +#endif /* _LCD_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_libcfg.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_libcfg.h new file mode 100644 index 0000000..1d1a18b --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_libcfg.h @@ -0,0 +1,85 @@ +/***************************************************************************//** +* @file A31G12x_hal_libcfg.h +* @brief Contains all macro definitions and function prototypes +* support for libcfg firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _A31G12x_LIBCFG_H_ +#define _A31G12x_LIBCFG_H_ + +/******************************************************************************* + * Included File + ******************************************************************************/ +/* Un-comment the line below to compile the library in DEBUG mode, this will expanse +the "CHECK_PARAM" macro in the FW library code */ + +#define USE_FULL_ASSERT + +/* DEBUG_FRAMWORK ------------------------------ */ +#define _DEBUG_MSG + + +/******************************************************************************* + * Public Macro + ******************************************************************************/ + +#ifdef USE_FULL_ASSERT + /*-------------------------------------------------------------------------*//** + * @brief The CHECK_PARAM macro is used for function's parameters check. + * It is used only if the library is compiled in DEBUG mode. + * @param expr + * - If expr is false, it calls check_failed() function + * which reports the name of the source file and the source + * line number of the call that failed. + * - If expr is true, it returns no value. + * @return None + *//*-------------------------------------------------------------------------*/ + #define CHECK_PARAM(expr) ((expr) ? (void)0 : check_failed((uint8_t *)__FILE__, __LINE__)) +#else + #define CHECK_PARAM(expr) ((void)0U) +#endif + + +/******************************************************************************* + * Public Typedef + ******************************************************************************/ + + +/******************************************************************************* + * Exported Public Function + ******************************************************************************/ + +#ifdef USE_FULL_ASSERT + void check_failed( uint8_t* file, uint32_t line ); +#endif + +#endif /* _A31G12x_LIBCFG_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pcu.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pcu.h new file mode 100644 index 0000000..7eac5b3 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pcu.h @@ -0,0 +1,267 @@ +/***************************************************************************//** +* @file A31G12x_hal_pcu.h +* @brief Contains all macro definitions and function prototypes +* support for pcu firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _PCU_H_ +#define _PCU_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// Pin Mode & Pin Alternative Function Mask +/* +#define PCU_FUNC_Msk (0x0FuL) +#define PCU_MODE_Msk (0x03uL) +*/ +#define MODEx_Msk Pn_MOD_MODE0_Msk +#define AFSRx_Msk Pn_AFSR1_AFSR0_Msk + +// Pin Alternative Function Selection Control +/* +#define FUNC0 0x0 // Alternative Function 0 +#define FUNC1 0x1 // Alternative Function 1 +#define FUNC2 0x2 // Alternative Function 2 +#define FUNC3 0x3 // Alternative Function 3 +#define FUNC4 0x4 // Alternative Function 4 +// #define FUNC5 0x5 // Alternative Function 5 +// #define FUNC6 0x6 // Alternative Function 6 +// #define FUNC7 0x7 // Alternative Function 7 +*/ +#define AFSRx_AF0 Pn_AFSR1_AFSR0_AF0 +#define AFSRx_AF1 Pn_AFSR1_AFSR0_AF1 +#define AFSRx_AF2 Pn_AFSR1_AFSR0_AF2 +#define AFSRx_AF3 Pn_AFSR1_AFSR0_AF3 +#define AFSRx_AF4 Pn_AFSR1_AFSR0_AF4 +// #define AFSRx_AF5 Pn_AFSR1_AFSR0_AF5 +// #define AFSRx_AF6 Pn_AFSR1_AFSR0_AF6 +// #define AFSRx_AF7 Pn_AFSR1_AFSR0_AF7 + +// Pin Pull Up / Pull Down Resistor Enable Control +/* +#define DISPUPD 0x0 // disable pu_pd +#define ENPU 0x1 // enable pull up +#define ENPD 0x2 // enable pull down +*/ +#define PUPDx_Disable Pn_PUPD_PUPD0_Disable +#define PUPDx_EnablePU Pn_PUPD_PUPD0_EnablePU +#define PUPDx_EnablePD Pn_PUPD_PUPD0_EnablePD + +// Debounce Filter Sampling Clock Selection Control +/* +#define HCLK_1 (0x0uL << 16) +#define HCLK_4 (0x1uL << 16) +#define HCLK_16 (0x2uL << 16) +#define HCLK_64 (0x3uL << 16) +#define HCLK_256 (0x4uL << 16) +#define HCLK_1024 (0x5uL << 16) +*/ +#define DBCLK_HCLK1 (Pn_DBCR_DBCLK_HCLK1 << Pn_DBCR_DBCLK_Pos) // HCLK/1 +#define DBCLK_HCLK4 (Pn_DBCR_DBCLK_HCLK4 << Pn_DBCR_DBCLK_Pos) // HCLK/4 +#define DBCLK_HCLK16 (Pn_DBCR_DBCLK_HCLK16 << Pn_DBCR_DBCLK_Pos) // HCLK/16 +#define DBCLK_HCLK64 (Pn_DBCR_DBCLK_HCLK64 << Pn_DBCR_DBCLK_Pos) // HCLK/64 +#define DBCLK_HCLK256 (Pn_DBCR_DBCLK_HCLK256 << Pn_DBCR_DBCLK_Pos) // HCLK/256 +#define DBCLK_HCLK1024 (Pn_DBCR_DBCLK_HCLK1024 << Pn_DBCR_DBCLK_Pos) // HCLK/1024 + +// Output Data Mask Control +#define OUTDMSKx_Unmask Pn_OUTDMSK_OUTDMSK0_Unmask +#define OUTDMSKx_Mask Pn_OUTDMSK_OUTDMSK0_Mask + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** Pin Mode and Pin Type Selection Control */ +typedef enum +{ + INPUT = 0, + PUSH_PULL_OUTPUT, + ALTERN_FUNC, + OPEN_DRAIN_OUTPUT +} PCU_PORT_MODE; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Get Port n Input Data + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return Current value of GPIO port + * @details This macro gets input data of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_GetInData( Px ) (Px->INDR) + +/*-------------------------------------------------------------------------*//** + * @brief Get a bit of Port n + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return Current bit value of GPIO port + * @details This macro gets a bit of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_GetBit0( Px ) (Px->INDR_b.INDR0) +#define GPIO_GetBit1( Px ) (Px->INDR_b.INDR1) +#define GPIO_GetBit2( Px ) (Px->INDR_b.INDR2) +#define GPIO_GetBit3( Px ) (Px->INDR_b.INDR3) +#define GPIO_GetBit4( Px ) (Px->INDR_b.INDR4) +#define GPIO_GetBit5( Px ) (Px->INDR_b.INDR5) +#define GPIO_GetBit6( Px ) (Px->INDR_b.INDR6) +#define GPIO_GetBit7( Px ) (Px->INDR_b.INDR7) +#define GPIO_GetBit8( Px ) (Px->INDR_b.INDR8) +#define GPIO_GetBit9( Px ) (Px->INDR_b.INDR9) +#define GPIO_GetBit10( Px ) (Px->INDR_b.INDR10) +#define GPIO_GetBit11( Px ) (Px->INDR_b.INDR11) +#define GPIO_GetBit12( Px ) (Px->INDR_b.INDR12) +#define GPIO_GetBit13( Px ) (Px->INDR_b.INDR13) +#define GPIO_GetBit14( Px ) (Px->INDR_b.INDR14) +#define GPIO_GetBit15( Px ) (Px->INDR_b.INDR15) + +/*-------------------------------------------------------------------------*//** + * @brief Set Port n Output Data + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] u32OutData + * Output Data of Port n + * @return None + * @details This macro sets output data of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_SetOutData( Px, u32OutData ) (Px->OUTDR = u32OutData) + +/*-------------------------------------------------------------------------*//** + * @brief Set a bit of Port n + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return None + * @details This macro sets a bit of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_SetBit0( Px ) (Px->BSR_b.BSR0 = 1) +#define GPIO_SetBit1( Px ) (Px->BSR_b.BSR1 = 1) +#define GPIO_SetBit2( Px ) (Px->BSR_b.BSR2 = 1) +#define GPIO_SetBit3( Px ) (Px->BSR_b.BSR3 = 1) +#define GPIO_SetBit4( Px ) (Px->BSR_b.BSR4 = 1) +#define GPIO_SetBit5( Px ) (Px->BSR_b.BSR5 = 1) +#define GPIO_SetBit6( Px ) (Px->BSR_b.BSR6 = 1) +#define GPIO_SetBit7( Px ) (Px->BSR_b.BSR7 = 1) +#define GPIO_SetBit8( Px ) (Px->BSR_b.BSR8 = 1) +#define GPIO_SetBit9( Px ) (Px->BSR_b.BSR9 = 1) +#define GPIO_SetBit10( Px ) (Px->BSR_b.BSR10 = 1) +#define GPIO_SetBit11( Px ) (Px->BSR_b.BSR11 = 1) +#define GPIO_SetBit12( Px ) (Px->BSR_b.BSR12 = 1) +#define GPIO_SetBit13( Px ) (Px->BSR_b.BSR13 = 1) +#define GPIO_SetBit14( Px ) (Px->BSR_b.BSR14 = 1) +#define GPIO_SetBit15( Px ) (Px->BSR_b.BSR15 = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear a bit of Port n + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return None + * @details This macro clears a bit of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_ClrBit0( Px ) (Px->BCR_b.BCR0 = 1) +#define GPIO_ClrBit1( Px ) (Px->BCR_b.BCR1 = 1) +#define GPIO_ClrBit2( Px ) (Px->BCR_b.BCR2 = 1) +#define GPIO_ClrBit3( Px ) (Px->BCR_b.BCR3 = 1) +#define GPIO_ClrBit4( Px ) (Px->BCR_b.BCR4 = 1) +#define GPIO_ClrBit5( Px ) (Px->BCR_b.BCR5 = 1) +#define GPIO_ClrBit6( Px ) (Px->BCR_b.BCR6 = 1) +#define GPIO_ClrBit7( Px ) (Px->BCR_b.BCR7 = 1) +#define GPIO_ClrBit8( Px ) (Px->BCR_b.BCR8 = 1) +#define GPIO_ClrBit9( Px ) (Px->BCR_b.BCR9 = 1) +#define GPIO_ClrBit10( Px ) (Px->BCR_b.BCR10 = 1) +#define GPIO_ClrBit11( Px ) (Px->BCR_b.BCR11 = 1) +#define GPIO_ClrBit12( Px ) (Px->BCR_b.BCR12 = 1) +#define GPIO_ClrBit13( Px ) (Px->BCR_b.BCR13 = 1) +#define GPIO_ClrBit14( Px ) (Px->BCR_b.BCR14 = 1) +#define GPIO_ClrBit15( Px ) (Px->BCR_b.BCR15 = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Set Port n Output Data Mask + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] u32Msk + * Output Data Mask of Port n + * - OUTDMSKx_Unmask, OUTDMSKx_Mask + * @return None + * @details This macro sets mask or unmask for output data of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_OutMsk( Px, u32Msk ) (Px->OUTDMSK = u32Msk) + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_GPIO_Init( Pn_Type* Px, uint32_t u32Mode, uint32_t u32Type, uint32_t u32Afsr1, uint32_t u32Afsr2, uint32_t u32PuPd ); + +void HAL_GPIO_ConfigFunction( Pn_Type* Px, uint8_t pin_no, uint32_t func ); +void HAL_GPIO_ConfigOutput( Pn_Type* Px, uint8_t pin_no, PCU_PORT_MODE dir_type ); +void HAL_GPIO_ConfigOutDataMask( Pn_Type* Px, uint8_t pin_no, FunctionalState maskctrl ); +void HAL_GPIO_ConfigPullup( Pn_Type* Px, uint8_t pin_no, uint8_t pullupdown ); +void HAL_GPIO_SetDebouncePin( Pn_Type* Px, uint32_t u32Pins, uint32_t u32Debnc ); + +void HAL_GPIO_SetPin( Pn_Type* Px, uint16_t bitValue ); +void HAL_GPIO_ClearPin( Pn_Type* Px, uint16_t bitValue ); +void HAL_GPIO_TogglePin( Pn_Type* Px, uint16_t bitValue ); +void HAL_GPIO_WritePin( Pn_Type* Px, uint16_t Value ); +uint16_t HAL_GPIO_ReadPin( Pn_Type* Px ); + +#ifdef __cplusplus +} +#endif + +#endif /* _PCU_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pwr.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pwr.h new file mode 100644 index 0000000..9b39b79 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pwr.h @@ -0,0 +1,57 @@ +/***************************************************************************//** +* @file A31G12x_hal_pwr.h +* @brief Contains all macro definitions and function prototypes +* support for pwr firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _PWR_H_ +#define _PWR_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_PWR_EnterSleepMode( void ); +void HAL_PWR_EnterPowerDownMode( void ); + +#ifdef __cplusplus +} +#endif + +#endif /*_PWR_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_scu.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_scu.h new file mode 100644 index 0000000..7b16922 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_scu.h @@ -0,0 +1,578 @@ +/***************************************************************************//** +* @file A31G12x_hal_scu.h +* @brief Contains all macro definitions and function prototypes +* support for scu firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _SCU_H_ +#define _SCU_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// Boot Pin Function Selection Control +/* +#define B_INCLUDE_RSTB 2 +#define B_POR_ONLY 3 +*/ +#define BFIND_PORorEXTR SCUCC_BTPSCR_BFIND_PORorEXTR +#define BFIND_POR SCUCC_BTPSCR_BFIND_POR + +// HIRC Fine Trim One Step Change Control +#define HIRC_UP_ONESTEP 0 +#define HIRC_DOWN_ONESTEP 1 + +// WDTRC Fine Trim One Step Change Control +#define WDTRC_UP_ONESTEP 0 +#define WDTRC_DOWN_ONESTEP 1 + + +// MCLK Selection Control +/* +#define SCU_HIRC (0x0uL << 0) +#define SCU_XMOSC (0x1uL << 0) +#define SCU_XSOSC (0x2uL << 0) +#define SCU_WDTRC (0x3uL << 0) +*/ +#define MCLKSEL_HIRC (SCUCG_SCCR_MCLKSEL_HIRC << SCUCG_SCCR_MCLKSEL_Pos) +#define MCLKSEL_XMOSC (SCUCG_SCCR_MCLKSEL_XMOSC << SCUCG_SCCR_MCLKSEL_Pos) +#define MCLKSEL_XSOSC (SCUCG_SCCR_MCLKSEL_XSOSC << SCUCG_SCCR_MCLKSEL_Pos) +#define MCLKSEL_WDTRC (SCUCG_SCCR_MCLKSEL_WDTRC << SCUCG_SCCR_MCLKSEL_Pos) + +// HIRC Selection Control +/* +#define HIRC_40M (0x0uL << 12) +#define HIRC_20M (0x1uL << 12) +#define HIRC_10M (0x2uL << 12) +#define HIRC_5M (0x3uL << 12) +*/ +#define HIRCSEL_HIRC1 (SCUCG_CLKSRCR_HIRCSEL_HIRC1 << SCUCG_CLKSRCR_HIRCSEL_Pos) // 40MHz HIRC +#define HIRCSEL_HIRC2 (SCUCG_CLKSRCR_HIRCSEL_HIRC2 << SCUCG_CLKSRCR_HIRCSEL_Pos) // 20MHz HIRC +#define HIRCSEL_HIRC4 (SCUCG_CLKSRCR_HIRCSEL_HIRC4 << SCUCG_CLKSRCR_HIRCSEL_Pos) // 10MHz HIRC +#define HIRCSEL_HIRC8 (SCUCG_CLKSRCR_HIRCSEL_HIRC8 << SCUCG_CLKSRCR_HIRCSEL_Pos) // 5MHz HIRC + +// XMFRNG Selection Control +/* +#define XTAL_XM (0x0uL << 8) +#define EXT_XM (0x1uL << 8) +*/ +#define XMFRNG_Xtal (SCUCG_CLKSRCR_XMFRNG_Xtal << SCUCG_CLKSRCR_XMFRNG_Pos) +#define XMFRNG_Clock (SCUCG_CLKSRCR_XMFRNG_Clock << SCUCG_CLKSRCR_XMFRNG_Pos) + +// System Clock Source Enable Control +/* +#define EN_XSOSC (0x1uL << 0) +#define EN_XMOSC (0x1uL << 1) +#define EN_HIRC (0x1uL << 2) +#define EN_WDTRC (0x1uL << 3) +*/ +#define CLKSRCR_WDTRCEN (0x1uL << SCUCG_CLKSRCR_WDTRCEN_Pos) +#define CLKSRCR_HIRCEN (0x1uL << SCUCG_CLKSRCR_HIRCEN_Pos) +#define CLKSRCR_XMOSCEN (0x1uL << SCUCG_CLKSRCR_XMOSCEN_Pos) +#define CLKSRCR_XSOSCEN (0x1uL << SCUCG_CLKSRCR_XSOSCEN_Pos) + +// WT & LCD Clock Divider Selection Control (Divider 2) +/* +#define MCLK_64 0 +#define MCLK_128 1 +#define MCLK_256 2 +#define MCLK_512 3 +#define MCLK_1024 4 +*/ +#define WLDIV_MCLK64 (SCUCG_SCDIVR1_WLDIV_MCLK64 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/64 +#define WLDIV_MCLK128 (SCUCG_SCDIVR1_WLDIV_MCLK128 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/128 +#define WLDIV_MCLK256 (SCUCG_SCDIVR1_WLDIV_MCLK256 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/256 +#define WLDIV_MCLK512 (SCUCG_SCDIVR1_WLDIV_MCLK512 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/512 +#define WLDIV_MCLK1024 (SCUCG_SCDIVR1_WLDIV_MCLK1024 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/1024 + +// HCLK Divider Selection Control (Divider 0) +/* +#define MCLK_16 0 +#define MCLK_8 1 +#define MCLK_4 2 +#define MCLK_2 3 +#define MCLK_1 4 +*/ +#define HDIV_MCLK16 (SCUCG_SCDIVR1_HDIV_MCLK16 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/16 +#define HDIV_MCLK8 (SCUCG_SCDIVR1_HDIV_MCLK8 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/8 +#define HDIV_MCLK4 (SCUCG_SCDIVR1_HDIV_MCLK4 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/4 +#define HDIV_MCLK2 (SCUCG_SCDIVR1_HDIV_MCLK2 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/2 +#define HDIV_MCLK1 (SCUCG_SCDIVR1_HDIV_MCLK1 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/1 + +// SysTick Timer Clock Divider Selection Control (Divider 3) +/* +#define SCU_HCLK_1 0 +#define SCU_HCLK_2 1 +#define SCU_HCLK_4 2 +#define SCU_HCLK_8 3 +*/ +#define SYSTDIV_HCLK1 (SCUCG_SCDIVR2_SYSTDIV_HCLK1 << SCUCG_SCDIVR2_SYSTDIV_Pos) // HCLK/1 +#define SYSTDIV_HCLK2 (SCUCG_SCDIVR2_SYSTDIV_HCLK2 << SCUCG_SCDIVR2_SYSTDIV_Pos) // HCLK/2 +#define SYSTDIV_HCLK4 (SCUCG_SCDIVR2_SYSTDIV_HCLK4 << SCUCG_SCDIVR2_SYSTDIV_Pos) // HCLK/4 +#define SYSTDIV_HCLK8 (SCUCG_SCDIVR2_SYSTDIV_HCLK8 << SCUCG_SCDIVR2_SYSTDIV_Pos) // HCLK/8 + +// PCLK Divider Selection Control (Divider 1) +#define PDIV_HCLK1 (SCUCG_SCDIVR2_PDIV_HCLK1 << SCUCG_SCDIVR2_PDIV_Pos) // HCLK/1 +#define PDIV_HCLK2 (SCUCG_SCDIVR2_PDIV_HCLK2 << SCUCG_SCDIVR2_PDIV_Pos) // HCLK/2 +#define PDIV_HCLK4 (SCUCG_SCDIVR2_PDIV_HCLK4 << SCUCG_SCDIVR2_PDIV_Pos) // HCLK/4 +#define PDIV_HCLK8 (SCUCG_SCDIVR2_PDIV_HCLK8 << SCUCG_SCDIVR2_PDIV_Pos) // HCLK/8 + +// Clock Output Enable/Disable Control +/* +#define DIS_CLKOUT 0 +#define EN_CLKOUT 1 +*/ +#define CLKOEN_Disable SCUCG_CLKOCR_CLKOEN_Disable +#define CLKOEN_Enable SCUCG_CLKOCR_CLKOEN_Enable + +// Clock Output Polarity Selection Control +/* +#define POL_L (0x0uL << 6) +#define POL_H (0x1uL << 6) +*/ +#define POLSEL_Low (SCUCG_CLKOCR_POLSEL_Low << SCUCG_CLKOCR_POLSEL_Pos) // Low level during disable +#define POLSEL_High (SCUCG_CLKOCR_POLSEL_High << SCUCG_CLKOCR_POLSEL_Pos) // High level during disable + +// Clock Output Divider Selection Control +/* +#define CLKODIV_1 (0x0uL << 3) +#define CLKODIV_2 (0x1uL << 3) +#define CLKODIV_4 (0x2uL << 3) +#define CLKODIV_8 (0x3uL << 3) +#define CLKODIV_16 (0x4uL << 3) +#define CLKODIV_32 (0x5uL << 3) +#define CLKODIV_64 (0x6uL << 3) +#define CLKODIV_128 (0x7uL << 3) +*/ +#define CLKODIV_SelectedClock1 (SCUCG_CLKOCR_CLKODIV_SelectedClock1 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/1 +#define CLKODIV_SelectedClock2 (SCUCG_CLKOCR_CLKODIV_SelectedClock2 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/2 +#define CLKODIV_SelectedClock4 (SCUCG_CLKOCR_CLKODIV_SelectedClock4 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/4 +#define CLKODIV_SelectedClock8 (SCUCG_CLKOCR_CLKODIV_SelectedClock8 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/8 +#define CLKODIV_SelectedClock16 (SCUCG_CLKOCR_CLKODIV_SelectedClock16 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/16 +#define CLKODIV_SelectedClock32 (SCUCG_CLKOCR_CLKODIV_SelectedClock32 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/32 +#define CLKODIV_SelectedClock64 (SCUCG_CLKOCR_CLKODIV_SelectedClock64 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/64 +#define CLKODIV_SelectedClock128 (SCUCG_CLKOCR_CLKODIV_SelectedClock128 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/128 + +// Clock Output Target Selection Control +/* +#define MCLK_OUT (0x0uL << 0) +#define WDTRC_OUT (0x1uL << 0) +#define HIRC_OUT (0x2uL << 0) +#define HCLK_OUT (0x3uL << 0) +#define PCLK_OUT (0x4uL << 0) +*/ +#define CLKOS_MCLK (SCUCG_CLKOCR_CLKOS_MCLK << SCUCG_CLKOCR_CLKOS_Pos) +#define CLKOS_WDTRC (SCUCG_CLKOCR_CLKOS_WDTRC << SCUCG_CLKOCR_CLKOS_Pos) +#define CLKOS_HIRC (SCUCG_CLKOCR_CLKOS_HIRC << SCUCG_CLKOCR_CLKOS_Pos) +#define CLKOS_HCLK (SCUCG_CLKOCR_CLKOS_HCLK << SCUCG_CLKOCR_CLKOS_Pos) +#define CLKOS_PCLK (SCUCG_CLKOCR_CLKOS_PCLK << SCUCG_CLKOCR_CLKOS_Pos) + +// Clock Monitoring Action Selection Control +/* +#define FLAG_CHK_M (0x0uL << 5) +#define RST_GEN_M (0x1uL << 5) +#define SYS_CHG_M (0x2uL << 5) +*/ +#define MACTS_FlagChk (SCUCG_CMONCR_MACTS_FlagChk << SCUCG_CMONCR_MACTS_Pos) +#define MACTS_RstGen (SCUCG_CMONCR_MACTS_RstGen << SCUCG_CMONCR_MACTS_Pos) +#define MACTS_SysClkChg (SCUCG_CMONCR_MACTS_SysClkChg << SCUCG_CMONCR_MACTS_Pos) + +// Clock Monitoring Target Selection Control +/* +#define MCLK_MON (0x0uL << 0) +#define HIRC_MON (0x1uL << 0) +#define XMOSC_MON (0x2uL << 0) +#define XSOSC_MON (0x3uL << 0) +*/ +#define MONCS_MCLK (SCUCG_CMONCR_MONCS_MCLK << SCUCG_CMONCR_MONCS_Pos) +#define MONCS_HIRC (SCUCG_CMONCR_MONCS_HIRC << SCUCG_CMONCR_MONCS_Pos) +#define MONCS_XMOSC (SCUCG_CMONCR_MONCS_XMOSC << SCUCG_CMONCR_MONCS_Pos) +#define MONCS_XSOSC (SCUCG_CMONCR_MONCS_XSOSC << SCUCG_CMONCR_MONCS_Pos) + +// Peripheral Clock Enable Control 1 +/* +#define PERI_PA (0x1uL << 0) +#define PERI_PB (0x1uL << 1) +#define PERI_PC (0x1uL << 2) +#define PERI_PD (0x1uL << 3) +#define PERI_PE (0x1uL << 4) +#define PERI_PF (0x1uL << 5) +#define PERI_T13 (0x1uL << 8) +#define PERI_T14 (0x1uL << 9) +#define PERI_T15 (0x1uL << 10) +#define PERI_T16 (0x1uL << 11) +#define PERI_T10 (0x1uL << 16) +#define PERI_T11 (0x1uL << 17) +#define PERI_T12 (0x1uL << 18) +#define PERI_T30 (0x1uL << 19) +#define PERI_T20 (0x1uL << 20) +#define PERI_T21 (0x1uL << 21) +*/ +#define PPCLKEN1_T21CLKE (0x1uL << SCUCG_PPCLKEN1_T21CLKE_Pos) +#define PPCLKEN1_T20CLKE (0x1uL << SCUCG_PPCLKEN1_T20CLKE_Pos) +#define PPCLKEN1_T30CLKE (0x1uL << SCUCG_PPCLKEN1_T30CLKE_Pos) +#define PPCLKEN1_T12CLKE (0x1uL << SCUCG_PPCLKEN1_T12CLKE_Pos) +#define PPCLKEN1_T11CLKE (0x1uL << SCUCG_PPCLKEN1_T11CLKE_Pos) +#define PPCLKEN1_T10CLKE (0x1uL << SCUCG_PPCLKEN1_T10CLKE_Pos) +#define PPCLKEN1_T16CLKE (0x1uL << SCUCG_PPCLKEN1_T16CLKE_Pos) +#define PPCLKEN1_T15CLKE (0x1uL << SCUCG_PPCLKEN1_T15CLKE_Pos) +#define PPCLKEN1_T14CLKE (0x1uL << SCUCG_PPCLKEN1_T14CLKE_Pos) +#define PPCLKEN1_T13CLKE (0x1uL << SCUCG_PPCLKEN1_T13CLKE_Pos) +#define PPCLKEN1_PFCLKE (0x1uL << SCUCG_PPCLKEN1_PFCLKE_Pos) +#define PPCLKEN1_PECLKE (0x1uL << SCUCG_PPCLKEN1_PECLKE_Pos) +#define PPCLKEN1_PDCLKE (0x1uL << SCUCG_PPCLKEN1_PDCLKE_Pos) +#define PPCLKEN1_PCCLKE (0x1uL << SCUCG_PPCLKEN1_PCCLKE_Pos) +#define PPCLKEN1_PBCLKE (0x1uL << SCUCG_PPCLKEN1_PBCLKE_Pos) +#define PPCLKEN1_PACLKE (0x1uL << SCUCG_PPCLKEN1_PACLKE_Pos) + +// Peripheral Clock Enable Control 2 +/* +#define PERI_UST10 (0x1uL << 0) +#define PERI_UST11 (0x1uL << 1) +#define PERI_UT0 (0x1uL << 2) +#define PERI_UT1 (0x1uL << 3) +#define PERI_UST12 (0x1uL << 4) +#define PERI_UST13 (0x1uL << 5) +#define PERI_I2C0 (0x1uL << 6) +#define PERI_I2C1 (0x1uL << 7) +#define PERI_I2C2 (0x1uL << 8) +#define PERI_ADC (0x1uL << 10) +#define PERI_CRC (0x1uL << 12) +#define PERI_LCD (0x1uL << 13) +#define PERI_WT (0x1uL << 16) +#define PERI_WDT (0x1uL << 17) +#define PERI_LVI (0x1uL << 18) +#define PERI_FMC (0x1uL << 19) +*/ +#define PPCLKEN2_FMCLKE (0x1uL << SCUCG_PPCLKEN2_FMCLKE_Pos) +#define PPCLKEN2_LVICLKE (0x1uL << SCUCG_PPCLKEN2_LVICLKE_Pos) +#define PPCLKEN2_WDTCLKE (0x1uL << SCUCG_PPCLKEN2_WDTCLKE_Pos) +#define PPCLKEN2_WTCLKE (0x1uL << SCUCG_PPCLKEN2_WTCLKE_Pos) +#define PPCLKEN2_LCDCLKE (0x1uL << SCUCG_PPCLKEN2_LCDCLKE_Pos) +#define PPCLKEN2_CRCLKE (0x1uL << SCUCG_PPCLKEN2_CRCLKE_Pos) +#define PPCLKEN2_ADCLKE (0x1uL << SCUCG_PPCLKEN2_ADCLKE_Pos) +#define PPCLKEN2_I2C2CLKE (0x1uL << SCUCG_PPCLKEN2_I2C2CLKE_Pos) +#define PPCLKEN2_I2C1CLKE (0x1uL << SCUCG_PPCLKEN2_I2C1CLKE_Pos) +#define PPCLKEN2_I2C0CLKE (0x1uL << SCUCG_PPCLKEN2_I2C0CLKE_Pos) +#define PPCLKEN2_UST13CLKE (0x1uL << SCUCG_PPCLKEN2_UST13CLKE_Pos) +#define PPCLKEN2_UST12CLKE (0x1uL << SCUCG_PPCLKEN2_UST12CLKE_Pos) +#define PPCLKEN2_UT1CLKE (0x1uL << SCUCG_PPCLKEN2_UT1CLKE_Pos) +#define PPCLKEN2_UT0CLKE (0x1uL << SCUCG_PPCLKEN2_UT0CLKE_Pos) +#define PPCLKEN2_UST11CLKE (0x1uL << SCUCG_PPCLKEN2_UST11CLKE_Pos) +#define PPCLKEN2_UST10CLKE (0x1uL << SCUCG_PPCLKEN2_UST10CLKE_Pos) + +// Peripheral Clock Enable/Disable Control +/* +#define DIS_PERICLK 0 +#define EN_PERICLK 1 +*/ +#define PPxCLKE_Disable SCUCG_PPCLKEN1_PACLKE_Disable +#define PPxCLKE_Enable SCUCG_PPCLKEN1_PACLKE_Enable + +// Timer/Counter 20 Clock Selecion Control +/* +#define SCUCG_T20CLK (SCUCG_PPCLKSR_T20CLK_Msk) +#define SCUCG_T20CLK_XSOSC (0x0uL << SCUCG_PPCLKSR_T20CLK_Pos) +#define SCUCG_T20CLK_PCLK (0x1uL << SCUCG_PPCLKSR_T20CLK_Pos) +*/ +#define PPCLKSR_T20CLK (SCUCG_PPCLKSR_T20CLK_Msk) +#define T20CLK_XSOSC (SCUCG_PPCLKSR_T20CLK_XSOSC << SCUCG_PPCLKSR_T20CLK_Pos) +#define T20CLK_PCLK (SCUCG_PPCLKSR_T20CLK_PCLK << SCUCG_PPCLKSR_T20CLK_Pos) + +// Timer/Counter 30 Clock Selection Control +/* +#define SCUCG_T30CLK (SCUCG_PPCLKSR_T30CLK_Msk) +#define SCUCG_T30CLK_MCLK (0x0uL << SCUCG_PPCLKSR_T30CLK_Pos) +#define SCUCG_T30CLK_PCLK (0x1uL << SCUCG_PPCLKSR_T30CLK_Pos) +*/ +#define PPCLKSR_T30CLK (SCUCG_PPCLKSR_T30CLK_Msk) +#define T30CLK_MCLK (SCUCG_PPCLKSR_T30CLK_MCLK << SCUCG_PPCLKSR_T30CLK_Pos) +#define T30CLK_PCLK (SCUCG_PPCLKSR_T30CLK_PCLK << SCUCG_PPCLKSR_T30CLK_Pos) + +// LCD Driver Clock Selection Control +/* +#define SCUCG_LCDCLK (SCUCG_PPCLKSR_LCDCLK_Msk) +#define SCUCG_LCDCLK_MCLK (0x0uL << SCUCG_PPCLKSR_LCDCLK_Pos) +#define SCUCG_LCDCLK_XSOSC (0x1uL << SCUCG_PPCLKSR_LCDCLK_Pos) +#define SCUCG_LCDCLK_WDTRC (0x2uL << SCUCG_PPCLKSR_LCDCLK_Pos) +*/ +#define PPCLKSR_LCDCLK (SCUCG_PPCLKSR_LCDCLK_Msk) +#define LCDCLK_DividedMCLK (SCUCG_PPCLKSR_LCDCLK_DividedMCLK << SCUCG_PPCLKSR_LCDCLK_Pos) +#define LCDCLK_XSOSC (SCUCG_PPCLKSR_LCDCLK_XSOSC << SCUCG_PPCLKSR_LCDCLK_Pos) +#define LCDCLK_WDTRC (SCUCG_PPCLKSR_LCDCLK_WDTRC << SCUCG_PPCLKSR_LCDCLK_Pos) + +// Watch Timer Clock Selection Control +/* +#define SCUCG_WTCLK (SCUCG_PPCLKSR_WTCLK_Msk) +#define SCUCG_WTCLK_MCLK (0x0uL << SCUCG_PPCLKSR_WTCLK_Pos) +#define SCUCG_WTCLK_XSOSC (0x1uL << SCUCG_PPCLKSR_WTCLK_Pos) +#define SCUCG_WTCLK_WDTRC (0x2uL << SCUCG_PPCLKSR_WTCLK_Pos) +*/ +#define PPCLKSR_WTCLK (SCUCG_PPCLKSR_WTCLK_Msk) +#define WTCLK_DividedMCLK (SCUCG_PPCLKSR_WTCLK_DividedMCLK << SCUCG_PPCLKSR_WTCLK_Pos) +#define WTCLK_XSOSC (SCUCG_PPCLKSR_WTCLK_XSOSC << SCUCG_PPCLKSR_WTCLK_Pos) +#define WTCLK_WDTRC (SCUCG_PPCLKSR_WTCLK_WDTRC << SCUCG_PPCLKSR_WTCLK_Pos) + +// Watch-Dog Timer Clock Selection Control +/* +#define SCUCG_WDTCLK (SCUCG_PPCLKSR_WDTCLK_Msk) +#define SCUCG_WDTCLK_WDTRC (0x0uL << SCUCG_PPCLKSR_WDTCLK_Pos) +#define SCUCG_WDTCLK_PCLK (0x1uL << SCUCG_PPCLKSR_WDTCLK_Pos) +*/ +#define PPCLKSR_WDTCLK (SCUCG_PPCLKSR_WDTCLK_Msk) +#define WDTCLK_WDTRC (SCUCG_PPCLKSR_WDTCLK_WDTRC << SCUCG_PPCLKSR_WDTCLK_Pos) +#define WDTCLK_PCLK (SCUCG_PPCLKSR_WDTCLK_PCLK << SCUCG_PPCLKSR_WDTCLK_Pos) + +// Peripheral Reset Control 1 +#define PPRST1_T21RST (0x1uL << SCUCG_PPRST1_T21RST_Pos) +#define PPRST1_T20RST (0x1uL << SCUCG_PPRST1_T20RST_Pos) +#define PPRST1_T30RST (0x1uL << SCUCG_PPRST1_T30RST_Pos) +#define PPRST1_T12RST (0x1uL << SCUCG_PPRST1_T12RST_Pos) +#define PPRST1_T11RST (0x1uL << SCUCG_PPRST1_T11RST_Pos) +#define PPRST1_T10RST (0x1uL << SCUCG_PPRST1_T10RST_Pos) +#define PPRST1_T16RST (0x1uL << SCUCG_PPRST1_T16RST_Pos) +#define PPRST1_T15RST (0x1uL << SCUCG_PPRST1_T15RST_Pos) +#define PPRST1_T14RST (0x1uL << SCUCG_PPRST1_T14RST_Pos) +#define PPRST1_T13RST (0x1uL << SCUCG_PPRST1_T13RST_Pos) +#define PPRST1_PFRST (0x1uL << SCUCG_PPRST1_PFRST_Pos) +#define PPRST1_PERST (0x1uL << SCUCG_PPRST1_PERST_Pos) +#define PPRST1_PDRST (0x1uL << SCUCG_PPRST1_PDRST_Pos) +#define PPRST1_PCRST (0x1uL << SCUCG_PPRST1_PCRST_Pos) +#define PPRST1_PBRST (0x1uL << SCUCG_PPRST1_PBRST_Pos) +#define PPRST1_PARST (0x1uL << SCUCG_PPRST1_PARST_Pos) + +// Peripheral Reset Control 2 +#define PPRST2_FMCRST (0x1uL << SCUCG_PPRST2_FMCRST_Pos) +#define PPRST2_LVIRST (0x1uL << SCUCG_PPRST2_LVIRST_Pos) +#define PPRST2_WTRST (0x1uL << SCUCG_PPRST2_WTRST_Pos) +#define PPRST2_LCDRST (0x1uL << SCUCG_PPRST2_LCDRST_Pos) +#define PPRST2_CRRST (0x1uL << SCUCG_PPRST2_CRRST_Pos) +#define PPRST2_ADRST (0x1uL << SCUCG_PPRST2_ADRST_Pos) +#define PPRST2_I2C2RST (0x1uL << SCUCG_PPRST2_I2C2RST_Pos) +#define PPRST2_I2C1RST (0x1uL << SCUCG_PPRST2_I2C1RST_Pos) +#define PPRST2_I2C0RST (0x1uL << SCUCG_PPRST2_I2C0RST_Pos) +#define PPRST2_UST13RST (0x1uL << SCUCG_PPRST2_UST13RST_Pos) +#define PPRST2_UST12RST (0x1uL << SCUCG_PPRST2_UST12RST_Pos) +#define PPRST2_UT1RST (0x1uL << SCUCG_PPRST2_UT1RST_Pos) +#define PPRST2_UT0RST (0x1uL << SCUCG_PPRST2_UT0RST_Pos) +#define PPRST2_UST11RST (0x1uL << SCUCG_PPRST2_UST11RST_Pos) +#define PPRST2_UST10RST (0x1uL << SCUCG_PPRST2_UST10RST_Pos) + +// External Main Oscillator Filter Selection Control +/* +#define XTAL_4DOT5MHZ (0x0 << 0) +#define XTAL_6DOT5MHZ (0x1 << 0) +#define XTAL_8DOT5MHZ (0x2 << 0) +#define XTAL_10DOT5MHZ (0x3 << 0) +#define XTAL_12DOT5MHZ (0x4 << 0) +#define XTAL_16DOT5MHZ (0x5 << 0) +*/ +#define XRNS_LE4p5MHz (SCUCG_XTFLSR_XRNS_LE4p5MHz << SCUCG_XTFLSR_XRNS_Pos) // x-tal LE 4.5MHz +#define XRNS_LE6p5MHz (SCUCG_XTFLSR_XRNS_LE6p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 4.5MHz GT x-tal LE 6.5MHz +#define XRNS_LE8p5MHz (SCUCG_XTFLSR_XRNS_LE8p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 6.5MHz GT x-tal LE 8.5MHz +#define XRNS_LE10p5MHz (SCUCG_XTFLSR_XRNS_LE10p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 8.5MHz GT x-tal LE 10.5MHz +#define XRNS_LE12p5MHz (SCUCG_XTFLSR_XRNS_LE12p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 10.5MHz GT x-tal LE 12.5MHz +#define XRNS_LE16p5MHz (SCUCG_XTFLSR_XRNS_LE16p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 12.5MHz GT x-tal LE 16.5MHz + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Get "Vendor ID"/"Chip ID"/Revision Number" + * @details This macro gets vendor ID, chip ID, and revision number. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_GetVendorID() (SCUCC->VENDORID) +#define SCUCC_GetChipID() (SCUCC->CHIPID) +#define SCUCC_GetRevNo() (SCUCC->REVNR) + +/*-------------------------------------------------------------------------*//** + * @brief Set Boot Pin Function + * @param[in] rst_src + * Reset sources to check boot pin + * - BFIND_PORorEXTR, BFIND_POR + * @details This macro sets boot pin function to check when reset occurs. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_SetBtFnc(rst_src) (SCUCC->BTPSCR_b.BFIND = rst_src) + +/*-------------------------------------------------------------------------*//** + * @brief Get Boot Pin Status + * @details This macro gets boot pin status. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_GetBtPinSt() (SCUCC->BTPSCR_b.BTPSTA) + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable NMI Interrupt + * @details This macro sets the NMI interrupt control bit. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_EnNMI() (SCUCC->NMISRCR_b.NMICON = 1) +#define SCUCC_DisNMI() (SCUCC->NMISRCR_b.NMICON = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Generate Software Reset + * @details This macro generates software reset. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_GenSwRst() (SCUCC->SWRSTR = ((uint32_t)SCUCC_SWRSTR_WTIDKY_Value << SCUCC_SWRSTR_WTIDKY_Pos) | 0x2DuL) + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable Wake-Up Timer Interrupt + * @details This macro sets the wake-up timer interrupt enable bit. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_EnWutInt() (SCUCC->WUTCR_b.WUTIEN = 1) +#define SCUCC_DisWutInt() (SCUCC->WUTCR_b.WUTIEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Get/Clear Wake-Up Timer Interrupt Flag + * @details This macro gets/clears the wake-up timer interrupt flag. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_GetWutFlag() (SCUCC->WUTCR_b.WUTIFLAG) +#define SCUCC_ClrWutFlag() (SCUCC->WUTCR_b.WUTIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Reload Counter of Wake-Up Timer + * @details This macro reloads wake-up timer counter to re-count. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_ReloadWut() (SCUCC->WUTCR_b.CNTRLD = 1) + + +/*-------------------------------------------------------------------------*//** + * @brief Set Divider 0/1/2/3 + * @param[in] scu_hdiv + * - scu_hdiv: HDIV_MCLK16, HDIV_MCLK8, HDIV_MCLK4, HDIV_MCLK2, HDIV_MCLK1 + * - scu_pdiv: PDIV_HCLK1, PDIV_HCLK2, PDIV_HCLK4, PDIV_HCLK8 + * - scu_wldiv: WLDIV_MCLK64, WLDIV_MCLK128, WLDIV_MCLK256, WLDIV_MCLK512, WLDIV_MCLK1024 + * - scu_systdiv: SYSTDIV_HCLK1, SYSTDIV_HCLK2, SYSTDIV_HCLK4, SYSTDIV_HCLK8 + * @details This macro sets dividers. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetHCLK( scu_hdiv ) (SCUCG->SCDIVR1_b.HDIV = scu_hdiv) +#define SCUCG_SetPCLK( scu_pdiv ) (SCUCG->SCDIVR2_b.PDIV = scu_pdiv) +#define SCUCG_SetWtLcd( scu_wldiv ) (SCUCG->SCDIVR1_b.WLDIV = scu_wldiv) +#define SCUCG_SetSysTick( scu_systdiv ) (SCUCG->SCDIVR2_b.SYSTDIV = scu_systdiv) + +/*-------------------------------------------------------------------------*//** + * @brief Set Clock Output Control Register (CLKOCR) + * @param[in] u32Clko + * Values for CLKO frequency, Polarity, and Divider + * @details This macro sets clock output control register for CLKO. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetClkOutReg( u32Clko ) (SCUCG->CLKOCR = u32Clko) + +/*-------------------------------------------------------------------------*//** + * @brief Get MONFLAG of Clock Monitoring + * @details This macro reads MONFLAG of Clock Monitoring. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_GetMonFlag() (SCUCG->CMONCR_b.MONFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Set Timer/Counter 20 Clock + * @param[in] clk + * - T20CLK_XSOSC, T20CLK_PCLK + * @details This macro sets Timer/Counter 20 clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetT20ClkSrc( clk ) (SCUCG->PPCLKSR_b.T20CLK = clk) + +/*-------------------------------------------------------------------------*//** + * @brief Set Timer/Counter 30 Clock + * @param[in] clk + * - T30CLK_MCLK, T30CLK_PCLK + * @details This macro sets Timer/Counter 30 clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetT30ClkSrc( clk ) (SCUCG->PPCLKSR_b.T30CLK = clk) + +/*-------------------------------------------------------------------------*//** + * @brief Set LCD Driver Clock + * @param[in] clk + * - LCDCLK_DividedMCLK, LCDCLK_XSOSC, LCDCLK_WDTRC + * @details This macro sets LCD Driver clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetLcdClk( clk ) (SCUCG->PPCLKSR_b.LCDCLK = clk) + +/*-------------------------------------------------------------------------*//** + * @brief Set Watch Timer Clock + * @param[in] clk + * - WTCLK_DividedMCLK, WTCLK_XSOSC, WTCLK_WDTRC + * @details This macro sets Watch Timer clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetWtClk( clk ) (SCUCG->PPCLKSR_b.WTCLK = clk) + +/*-------------------------------------------------------------------------*//** + * @brief Set Watch-Dog Timer Clock + * @param[in] clk + * - WDTCLK_WDTRC, WDTCLK_PCLK + * @details This macro sets Watch-Dog Timer clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetWdtClk( clk ) (SCUCG->PPCLKSR_b.WDTCLK = clk) + +//****************************************************************************** +// Function +//****************************************************************************** + +uint32_t HAL_SCU_ResetSourceStatus( void ); +void HAL_SCU_SetNMI( uint32_t u32NmiCon ); +void HAL_SCU_SoftwareReset_Config( void ); +void HAL_SCU_SetWakupData( uint32_t u32Data ); +void HAL_SCU_HIRCTRM_ClockConfig( uint32_t u32Ind ); +void HAL_SCU_WDTRCTRM_ClockConfig( uint32_t u32Ind ); + + +void HAL_SCU_ClockMonitoring( uint32_t u32Acts, uint32_t u32Target ); +void HAL_SCU_ClockMonitoring_Disable( void ); +void HAL_SCU_ClockSource_Config( uint32_t u32FreIRC, uint32_t u32TypeXM, uint32_t u32ClkSrc ); +void HAL_SCU_ClockSource_Enable( uint32_t u32ClkSrc, uint32_t u32HircDiv ); +void HAL_SCU_ClockSource_Disable( uint32_t u32ClkSrc ); +void HAL_SCU_SystemClockChange( uint32_t u32Target ); +void HAL_SCU_MainXtal_PinConfig( uint32_t u32XtalFilter ); +void HAL_SCU_SubXtal_PinConfig( void ); +void HAL_SCU_SystemClockDivider( uint32_t u32Div02, uint32_t u32Div13 ); +void HAL_SCU_CLKO_PinConfig( void ); +void HAL_SCU_ClockOutput( uint32_t u32ClkSrc, uint32_t u32Level, uint32_t u32Div ); +void HAL_SCU_Peripheral_ClockConfig( uint32_t u32PeriClk1, uint32_t u32PeriClk2 ); +void HAL_SCU_Peripheral_EnableClock1( uint32_t u32PeriClk1, uint32_t Ind ); +void HAL_SCU_Peripheral_EnableClock2( uint32_t u32PeriClk2, uint32_t u32Ind ); +void HAL_SCU_Peripheral_ResetConfig( uint32_t u32PeriRst1, uint32_t u32PeriRst2 ); +void HAL_SCU_Peripheral_SetReset1( uint32_t u32EachPeri1 ); +void HAL_SCU_Peripheral_SetReset2( uint32_t u32EachPeri2 ); +void HAL_SCU_Peripheral_ClockSelection( uint32_t u32Peri, uint32_t u32ClkSrc ); + +#ifdef __cplusplus +} +#endif + +#endif /* _SCU_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_sculv.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_sculv.h new file mode 100644 index 0000000..5c38380 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_sculv.h @@ -0,0 +1,130 @@ +/***************************************************************************//** +* @file A31G12x_hal_sculv.h +* @brief Contains all macro definitions and function prototypes +* support for sculv firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _SCULV_H_ +#define _SCULV_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// LVI Enable/Disable Control +/* +#define LVI_DIS (0x00uL << 7) +#define LVI_EN (0x01uL << 7) +*/ +#define LVIEN_Disable (SCULV_LVICR_LVIEN_Disable << SCULV_LVICR_LVIEN_Pos) +#define LVIEN_Enable (SCULV_LVICR_LVIEN_Enable << SCULV_LVICR_LVIEN_Pos) + +// LVI Interrupt Enable/Disable Control +/* +#define LVI_INT_DIS (0x00uL << 5) +#define LVI_INT_EN (0x01uL << 5) +*/ +#define LVINTEN_Disable (SCULV_LVICR_LVINTEN_Disable << SCULV_LVICR_LVINTEN_Pos) +#define LVINTEN_Enable (SCULV_LVICR_LVINTEN_Enable << SCULV_LVICR_LVINTEN_Pos) + +// LVI Voltage Selection Control +/* +#define LV_1DOT88 (0x03uL) +#define LV_2DOT00 (0x04uL) +#define LV_2DOT13 (0x05uL) +#define LV_2DOT28 (0x06uL) +#define LV_2DOT46 (0x07uL) +#define LV_2DOT67 (0x08uL) +#define LV_3DOT04 (0x09uL) +#define LV_3DOT20 (0x0AuL) +#define LV_3DOT55 (0x0BuL) +#define LV_3DOT75 (0x0CuL) +#define LV_3DOT99 (0x0DuL) +#define LV_4DOT25 (0x0EuL) +#define LV_4DOT55 (0x0FuL) +*/ +#define LVIVS_2p00V (SCULV_LVICR_LVIVS_2p00V << SCULV_LVICR_LVIVS_Pos) // 2.00V */ +#define LVIVS_2p13V (SCULV_LVICR_LVIVS_2p13V << SCULV_LVICR_LVIVS_Pos) // 2.13V */ +#define LVIVS_2p28V (SCULV_LVICR_LVIVS_2p28V << SCULV_LVICR_LVIVS_Pos) // 2.28V */ +#define LVIVS_2p46V (SCULV_LVICR_LVIVS_2p46V << SCULV_LVICR_LVIVS_Pos) // 2.46V */ +#define LVIVS_2p67V (SCULV_LVICR_LVIVS_2p67V << SCULV_LVICR_LVIVS_Pos) // 2.67V */ +#define LVIVS_3p04V (SCULV_LVICR_LVIVS_3p04V << SCULV_LVICR_LVIVS_Pos) // 3.04V */ +#define LVIVS_3p20V (SCULV_LVICR_LVIVS_3p20V << SCULV_LVICR_LVIVS_Pos) // 3.20V */ +#define LVIVS_3p55V (SCULV_LVICR_LVIVS_3p55V << SCULV_LVICR_LVIVS_Pos) // 3.55V */ +#define LVIVS_3p75V (SCULV_LVICR_LVIVS_3p75V << SCULV_LVICR_LVIVS_Pos) // 3.75V */ +#define LVIVS_3p99V (SCULV_LVICR_LVIVS_3p99V << SCULV_LVICR_LVIVS_Pos) // 3.99V */ +#define LVIVS_4p25V (SCULV_LVICR_LVIVS_4p25V << SCULV_LVICR_LVIVS_Pos) // 4.25V */ +#define LVIVS_4p55V (SCULV_LVICR_LVIVS_4p55V << SCULV_LVICR_LVIVS_Pos) // 4.55V */ + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable LVR + * @details This macro disables/enables LVR. If any value is written, the LVR register won't be changed until to POR. + *//*-------------------------------------------------------------------------*/ +#define SCULV_DisLVR() (SCULV->LVRCR_b.LVREN = 0x55) +#define SCULV_EnLVR() (SCULV->LVRCR_b.LVREN = 0x8A) + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable LVI Interrupt + * @details This macro sets the LVI interrupt enable bit. + *//*-------------------------------------------------------------------------*/ +#define SCULV_EnLviInt() (SCULV->LVICR_b.LVINTEN = 1) +#define SCULV_DisLviInt() (SCULV->LVICR_b.LVINTEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Get/Clear LVI Interrupt Flag + * @details This macro gets/clears the LVI interrupt flag. + *//*-------------------------------------------------------------------------*/ +#define SCULV_GetLviFlag() (SCULV->LVICR_b.LVIFLAG) +#define SCULV_ClrLviFlag() (SCULV->LVICR_b.LVIFLAG = 1) + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_LVI_Init( uint32_t u32LviEnBit, uint32_t u32LviIntEnBit, uint32_t u32LviLevel ); + +#ifdef __cplusplus +} +#endif + +#endif /* _SCULV_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer1n.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer1n.h new file mode 100644 index 0000000..8d9cb0e --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer1n.h @@ -0,0 +1,308 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer1n.h +* @brief Contains all macro definitions and function prototypes +* support for timer1n firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _TIMER1n_H_ +#define _TIMER1n_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//---------- TIMER1n Enable/Disable Definition ---------- +#define TIMER1n_DISABLE (0x0uL << 15) +#define TIMER1n_ENABLE (0x1uL << 15) + +//---------- TIMER1n Clock Selection Definition ---------- +#define TIMER1n_CLKINT (0x0uL << 14) +#define TIMER1n_CLKEXT (0x1uL << 14) + +//---------- TIMER1n Mode Selection Definition ---------- +#define TIMER1n_CNTM (0x0uL << 12) +#define TIMER1n_CAPM (0x1uL << 12) +#define TIMER1n_PPGONEM (0x2uL << 12) +#define TIMER1n_PPGREM (0x3uL << 12) + +//---------- TIMER1n External Clock Edge Selection Definition ---------- +#define TIMER1n_FEDGE (0x0uL << 11) +#define TIMER1n_REDGE (0x1uL << 11) + +//---------- TIMER1n OUT Polarity Selection Definition ---------- +#define TIMER1n_STHIGH (0x0uL << 8) +#define TIMER1n_STLOW (0x1uL << 8) + +//---------- TIMER1n Capture Polarity Selection Definition ---------- +#define TIMER1n_CAPFALL (0x0uL << 6) +#define TIMER1n_CAPRISE (0x1uL << 6) +#define TIMER1n_CAPBOTH (0x2uL << 6) + +//---------- TIMER1n Match Interrupt Definition ---------- +#define TIMER1n_MATINTEN (0x1uL << 5) +#define TIMER1n_MATINTDIS (0x0uL << 5) + +//---------- TIMER1n Capture Interrupt Definition ---------- +#define TIMER1n_CAPINTEN (0x1uL << 4) +#define TIMER1n_CAPINTDIS (0x0uL << 4) + +#define TIMER1n_PRS_MASK 0x0FFF + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** counter clock source select */ +typedef enum +{ + TIMER1n_PCLK = 0, /**< clock source from pclk */ + TIMER1n_ECn = 1 /**< clock source from ECn pin input. before setting, have to set ECn pin mode */ +} TIMER1n_CKSEL_MODE_OPT; + +typedef enum +{ + TIMER1n_PERIODIC_MODE = 0, /**< PERIODIC mode */ + TIMER1n_CAPTURE_MODE, /**< CAPTURE mode */ + TIMER1n_ONESHOT_MODE, /**< ONE SHOT mode */ + TIMER1n_PWM_MODE /**< PWM mode */ +} TIMER1n_MODE_OPT; + +typedef enum +{ + TIMER1n_FALLING_EGDE = 0, /**< falling edge clear mode */ + TIMER1n_RISING_EGDE, /**< rising edge clear mode */ + TIMER1n_BOTH_EGDE, /**< both edge clear mode */ + TIMER1n_NONE /**< none clear mode */ +} TIMER1n_CLR_MODE_OPT; + +/** start default level select: initial output value. */ +typedef enum +{ + TIMER1n_START_HIGH = 0, + TIMER1n_START_LOW +} TIMER1n_STARTLVL_OPT; + +/** TIMER Interrupt Type definitions */ +typedef enum +{ + TIMER1n_INTCFG_MIE = 0, /**< Match Interrupt enable*/ + TIMER1n_INTCFG_CIE, /**< Capture Interrupt enable*/ +} TIMER1n_INT_Type; + +//============================================================================== +// Structure +//============================================================================== + +/** Configuration structure in TIMER mode */ +typedef struct +{ + uint16_t ADR; + uint16_t BDR; + uint16_t Prescaler; + uint8_t StartLevel; /**< set initial output value + - TIMER1n_START_LOW, TIMER1n_START_HIGH + */ + uint8_t CkSel; /**< Counter clock source select + - PCLK, ECn + */ + uint8_t ECE; +} TIMER1n_PERIODICCFG_Type; + +/** Configuration structure in COUNTER mode */ +typedef struct +{ + uint16_t ADR; + uint16_t BDR; + uint16_t Prescaler; + uint8_t StartLevel; /**< set initial output value + - TIMER1n_START_LOW, TIMER1n_START_HIGH + */ + uint8_t CkSel; /**< Counter clock source select + - PCLK, ECn + */ + uint8_t ECE; +} TIMER1n_PWMCFG_Type, TIMER1n_ONESHOTCFG_Type; + +/** Capture Input configuration structure */ +typedef struct +{ + uint16_t ADR; + uint16_t Prescaler; + uint8_t ClrMode; + uint8_t CkSel; + uint8_t ECE; +} TIMER1n_CAPTURECFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief TIMER1n Enable/Disable + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro Enable TIMER1n Block + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_EN( TIMER1x ) (TIMER1x->CR_b.T1nEN = 1) +#define TIMER1n_DIS( TIMER1x ) (TIMER1x->CR_b.T1nEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER1n Continue Counting/Temporary Pause + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro select TIMER1n Continue Counting/Temporary Pause + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_ConCnt( TIMER1x ) (TIMER1x->CR_b.T1nPAU = 0) +#define TIMER1n_TempPau( TIMER1x ) (TIMER1x->CR_b.T1nPAU = 1) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER1n Counter and Prescaler clear + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro clears Counter and Prescalrer of TIMER1n + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_ClrCnt( TIMER1x ) (TIMER1x->CR_b.T1nCLR = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER1n Counter Register + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro gets TIMER1n Counter Register + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_GetCnt( TIMER1x ) (TIMER1x->CNT) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER1n A Data Register + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @param[in] u32AData + * A Data of TIMER1n + * @details This macro sets TIMER1n A Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_SetAData( TIMER1x, u32AData ) (TIMER1x->ADR = u32AData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER1n B Data Register + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @param[in] u32BData + * B Data of TIMER1n + * @details This macro sets TIMER1n B Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_SetBData( TIMER1x, u32BData ) (TIMER1x->BDR = u32BData) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER1n Capture Data Register + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro gets TIMER1n Capture Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_GetCapData( TIMER1x ) (TIMER1x->CAPDR) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER1n Match Interrupt + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro gets interrupt flag of TIMER1n Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define T1nMaInt_GetFg( TIMER1x ) (TIMER1x->CR_b.T1nMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER1n Match Interrupt + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro clears interrupt flag of TIMER1n Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define T1nMaInt_ClrFg( TIMER1x ) (TIMER1x->CR_b.T1nMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER1n Capture Interrupt + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro gets interrupt flag of TIMER1n Capture Interrupt + *//*-------------------------------------------------------------------------*/ +#define T1nCapInt_GetFg( TIMER1x ) (TIMER1x->CR_b.T1nCIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER1n Capture Interrupt + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro clears interrupt flag of TIMER1n Capture Interrupt + *//*-------------------------------------------------------------------------*/ +#define T1nCapInt_ClrFg( TIMER1x ) (TIMER1x->CR_b.T1nCIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Timer device enumeration + *//*-------------------------------------------------------------------------*/ +/* Timer n Control register */ +#define TIMER1n_CR_CKSEL_MASK (TIMER1n_CR_T1nCLK_Msk) +#define TIMER1n_CR_CKSEL_SET( n ) (n << TIMER1n_CR_T1nCLK_Pos) + +#define TIMER1n_CR_MODE_MASK (TIMER1n_CR_T1nMS_Msk) +#define TIMER1n_CR_MODE_SET( n ) (n << TIMER1n_CR_T1nMS_Pos) + +#define TIMER1n_CR_ECE_MASK (TIMER1n_CR_T1nECE_Msk) +#define TIMER1n_CR_ECE_SET( n ) (n << TIMER1n_CR_T1nECE_Pos) + +#define TIMER1n_CR_STARTLVL_MASK (TIMER1n_CR_T1nOPOL_Msk) +#define TIMER1n_CR_STARTLVL_SET( n ) (n << TIMER1n_CR_T1nOPOL_Pos) + +#define TIMER1n_CR_CPOL_MASK (TIMER1n_CR_T1nCPOL_Msk) +#define TIMER1n_CR_CPOL_SET( n ) (n << TIMER1n_CR_T1nCPOL_Pos) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_TIMER1n_Init( TIMER1n_Type* TIMER1x, TIMER1n_MODE_OPT TimerCounterMode, void* TIMER1n_Config ); +HAL_Status_Type HAL_TIMER1n_DeInit( TIMER1n_Type* TIMER1x ); + +HAL_Status_Type HAL_TIMER1n_ConfigInterrupt( TIMER1n_Type* TIMER1x, TIMER1n_INT_Type TIMER1n_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_TIMER1n_Cmd( TIMER1n_Type* TIMER1x, FunctionalState NewState ); +HAL_Status_Type HAL_TIMER1n_SetRegister( TIMER1n_Type* TIMER1x, uint32_t u32T1nSet, uint32_t u32T1nClk ); + +#ifdef __cplusplus +} +#endif + +#endif /* _TIMER1n_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer2n.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer2n.h new file mode 100644 index 0000000..402b6f7 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer2n.h @@ -0,0 +1,352 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer2n.h +* @brief Contains all macro definitions and function prototypes +* support for timer2n firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _TIMER2n_H_ +#define _TIMER2n_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//-------------------------------------- +// TIMER2n Control Register definitions +//-------------------------------------- + +/** Counter/timer mode bits */ +#define TIMER2n_CR_MODE_MASK (0x3) + +/** counter clock select bits */ +#define TIMER2n_CR_CLK_MASK (TIMER2n_CR_T2nCLK_Msk) + +/** External Clock Edge Selection bit */ +#define TIMER2n_CR_ECE_MASK (TIMER2n_CR_T2nECE_Msk) + +/** T2nCAP signal selection bit */ +#define TIMER2n_CR_CAPSEL_MASK (0x03 << 9) + +/** T2nOUT Polarity Selection bit */ +#define TIMER2n_CR_OPOL_MASK (TIMER2n_CR_T2nOPOL_Msk) + +/** TIMER2n Capture Polarity Selection bit */ +#define TIMER2n_CR_CPOL_MASK (TIMER2n_CR_T2nCPOL_Msk) + +/** TIMER2n Match Interrupt Enable bit */ +#define TIMER2n_CR_MIEN_MASK (TIMER2n_CR_T2nMIEN_Msk) + +/** TIMER2n Capture Interrupt Enable bit */ +#define TIMER2n_CR_CIEN_MASK (TIMER2n_CR_T2nCIEN_Msk) + +/** TIMER2n Counter Temporary Pause Control bit */ +#define TIMER2n_CR_PAU_MASK (TIMER2n_CR_T2nPAU_Msk) + +/** TIMER2n Match Interrupt Flag */ +#define TIMER2n_CR_MATCH_FLAG (1 << 3) +#define TIMER2n_CR_CAPTURE_FLAG (1 << 2) + +/** Clear Flag */ +#define TIMER2n_CR_CLEAR_MATCHINT (0x01 << TIMER2n_CR_T2nMIFLAG_Pos) +#define TIMER2n_CR_CLEAR_CAPTUREINT (0x01 << TIMER2n_CR_T2nCIFLAG_Pos) +#define TIMER2n_CR_CLEAR_CNT_PRED (0x01 << TIMER2n_CR_T2nCLR_Pos) + +/** TIMER2n A Data Register (ADR) */ +#define TIMER2n_ADR_MASK 0xFFFFFFFF + +/** TIMER2n B Data Register (BDR) */ +#define TIMER2n_BDR_MASK 0xFFFFFFFF + +/** TIMER2n Prescaler Data Register (PREDR) */ +#define TIMER2n_PREDR_MASK (0xFFF << 0) + +/** Timer/counter enable bit */ +#define TIMER2n_ENABLE (1) +#define TIMER2n_DISABLE (0) + +/** Timer/counter reset bit */ +#define TIMER2n_CLEAR (1) + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** Timer operating mode */ +typedef enum +{ + TIMER2n_PERIODIC_MODE = 0, /**< PERIODIC mode */ + TIMER2n_CAPTURE_MODE, /**< PWM mode */ + TIMER2n_ONESHOT_MODE, /**< ONE SHOT mode */ + TIMER2n_PWM_MODE /**< CAPTURE mode */ +} TIMER2n_MODE_OPT; + +/** clear select when capture mode */ +typedef enum +{ + TIMER2n_FALLING_EGDE = 0, /**< rising edge clear mode */ + TIMER2n_RISING_EGDE, /**< falling edge clear mode */ + TIMER2n_BOTH_EGDE, /**< both edge clear mode */ + TIMER2n_NONE /**< none clear mode */ +} TIMER2n_CLR_MODE_OPT; + +/** Timer clock source select */ +typedef enum +{ + TIMER2n_XSOSC_CLK = 0, /**< clock source from Internal */ + TIMER2n_PCLK_CLK, /**< clock source from External */ +} TIMER2n_MASTER_CKSEL_OPT; + +/** counter clock source select */ +typedef enum +{ + TIMER2n_INTERNAL_CLK = 0, /**< clock source from Internal */ + TIMER2n_EXTERNAL_CLK, /**< clock source from External */ +} TIMER2n_CKSEL_MODE_OPT; + +/** capture clock source select */ +typedef enum +{ + TIMER2n_CAP_EXTERNAL_CLK = 0, /**< clock source from Externl */ + TIMER2n_CAP_XSOSC_CLK, /**< clock source from XSOSC */ + TIMER2n_CAP_WDTRC_CLK, /**< Clock Source from WDTRC */ +} TIMER2n_CAP_CKSEL_OPT; + +/** start default level select: initial output value. */ +typedef enum +{ + TIMER2n_START_HIGH = 0, /**< clock source from pclk div 2 */ + TIMER2n_START_LOW /**< clock source from pclk div 4 */ +} TIMER2n_STARTLVL_OPT; + +/** TIMER Interrupt Type definitions */ +typedef enum +{ + TIMER2n_CR_MATCH_INTR = 0, /**< OVIE Interrupt enable*/ + TIMER2n_CR_CAPTURE_INTR, /**< MBIE Interrupt enable*/ +} TIMER2n_INT_Type; + +//============================================================================== +// Structure +//============================================================================== + +/** Configuration structure in TIMER mode */ +typedef struct +{ + uint32_t ADR; + uint32_t BDR; + uint8_t TCLK_SEL; /**< Timer Clock Source, should be: + - XSOSC + - PCLK + */ + uint16_t Prescaler; /**< Timer Prescaler(TnPRS), should be: + - 0~4095 value range + */ + uint8_t CkSel; /**< Counter clock source select, should be: + - Internal + - EXT : EXT, clock source + */ + uint8_t ExtCkEdge; /**< Set External Clock Edge Selection bit: + - Falling Edge + - Rising Edge + */ + uint8_t StartLevel; /**< set initial output value, should be: + - TIMER2n_START_LOW + - TIMER2n_START_HIGH + */ + uint8_t CapEdge; /**< Set Capture Edge, should be: + - Falling Edge + - Rising Edge + - Both Edge + */ +} TIMER2n_PERIODICCFG_Type; + +/** Configuration structure in COUNTER mode */ +typedef struct +{ + uint32_t ADR; + uint32_t BDR; + uint8_t TCLK_SEL; /**< Timer Clock Source, should be: + - XSOSC + - PCLK + */ + uint16_t Prescaler; /**< Timer Prescaler(TnPRS), should be: + - 0~4095 value range + */ + uint8_t CkSel; /**< Counter clock source select, should be: + - Internal + - EXT : EXT, clock source + */ + uint8_t ExtCkEdge; /**< Set External Clock Edge Selection bit: + - Falling Edge + - Rising Edge + */ + uint8_t StartLevel; /**< set initial output value, should be: + - TIMER2n_START_LOW + - TIMER2n_START_HIGH + */ + uint8_t CapEdge; /**< Set Capture Edge, should be: + - Falling Edge + - Rising Edge + - Both Edge + */ +} TIMER2n_PWMCFG_Type, TIMER2n_ONESHOTCFG_Type; + +/** Capture Input configuration structure */ +typedef struct +{ + uint8_t TCLK_SEL; /**< Timer Clock Source, should be: + - XSOSC + - PCLK + */ + uint16_t Prescaler; /**< Timer Prescaler(TnPRS), should be: + - 0~4095 value range + */ + uint8_t ClrMode; /**< clear select when capture, should be: + - TIMER2n_RISING_EGDE + - TIMER2n_FALLING_EGDE + - TIMER2n_BOTH_EGDE + - TIMER2n_NONE + */ + uint8_t CkSel; /**< Counter clock source select, should be: + - PCLK_2: PCLK / 2 + - PCLK_4: PCLK / 4 + - PCLK_16: PCLK / 16 + - PCLK_64: PCLK / 64 + - EXT = 4: EXT, clock source from MCCR3(TEXT) clock + - TnC + */ + uint8_t CAPCkSel; /**< Capture clock source select, should be: + - External Capture Signal + - XSOSC + - WDTRC + */ + uint8_t Reserved[2]; /**< Reserved */ +} TIMER2n_CAPTURECFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief TIMER2n Counter and Prescaler clear + * @param[in] TIMER2x + * - TIMER20 ~ TIMER21 + * @details This macro clears Counter and Prescalrer of TIMER2n + *//*-------------------------------------------------------------------------*/ +#define TIMER2n_ClrCnt( TIMER2x ) (TIMER2x->CR_b.T2nCLR = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER2n Counter Register + * @param[in] TIMER2x + * - TIMER20 ~ TIMER21 + * @details This macro gets TIMER2n Counter Register + *//*-------------------------------------------------------------------------*/ +#define TIMER2n_GetCnt( TIMER2x ) (TIMER2x->CNT) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER2n A Data Register + * @param[in] TIMER2x + * - TIMER20 ~ TIMER21 + * @param[in] u32AData + * A Data of TIMER2n + * @details This macro sets TIMER2n A Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER2n_SetAData( TIMER2x, u32AData ) (TIMER2x->ADR = u32AData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER2n B Data Register + * @param[in] TIMER2x + * - TIMER20 ~ TIMER21 + * @param[in] u32BData + * B Data of TIMER2n + * @details This macro sets TIMER2n B Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER2n_SetBData( TIMER2x, u32BData ) (TIMER2x->BDR = u32BData) + +/** counter clock select bits */ +#define TIMER2n_CR_CLK_SET( n ) (n << TIMER2n_CR_T2nCLK_Pos) + +/** External Clock Edge Selection bit */ +#define TIMER2n_CR_ECE_SET( n ) (n << TIMER2n_CR_T2nECE_Pos) + +/** T2nCAP signal selection bit */ +#define TIMER2n_CR_CAPSEL_SET( n ) (n << 9) + +/** T2nOUT Polarity Selection bit */ +#define TIMER2n_CR_OPOL_SET( n ) (n << TIMER2n_CR_T2nOPOL_Pos) + +/** TIMER2n Capture Polarity Selection bit */ +#define TIMER2n_CR_CPOL_SET( n ) (n << TIMER2n_CR_T2nCPOL_Pos) + +/** TIMER2n Match Interrupt Enable bit */ +#define TIMER2n_CR_MIEN_SET( n ) (n << TIMER2n_CR_T2nMIEN_Pos) + +/** TIMER2n Capture Interrupt Enable bit */ +#define TIMER2n_CR_CIEN_SET( n ) (n << TIMER2n_CR_T2nCIEN_Pos) + +/** TIMER2n Counter Temporary Pause Control bit */ +#define TIMER2n_CR_PAU_SET( n ) (n << TIMER2n_CR_T2nPAU_Pos) + +#define TIMER2n_PREDR_SET( n ) (n << 0) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_TIMER2n_Init( TIMER2n_Type* TIMER2x, TIMER2n_MODE_OPT TimerCounterMode, void* TIMER2n_Config ); +HAL_Status_Type HAL_TIMER2n_DeInit( TIMER2n_Type* TIMER2x ); + +HAL_Status_Type HAL_TIMER2n_ConfigInterrupt( TIMER2n_Type* TIMER2x, TIMER2n_INT_Type TIMER2n_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_TIMER2n_Cmd( TIMER2n_Type* TIMER2x, FunctionalState NewState ); +HAL_Status_Type HAL_TIMER2n_ClearCounter( TIMER2n_Type* TIMER2x ); +HAL_Status_Type HAL_TIMER2n_UpdateCountValue( TIMER2n_Type* TIMER2x, uint8_t CountCh, uint16_t Value ); +HAL_Status_Type HAL_TIMER2n_ClearStatus( TIMER2n_Type* TIMER2x, uint8_t value ); +uint8_t HAL_TIMER2n_GetStatus( TIMER2n_Type* TIMER2x ); + +uint32_t HAL_TIMER2n_GetCaptureData( TIMER2n_Type* TIMER2x ); + +#ifdef __cplusplus +} +#endif + +#endif /* _TIMER2n_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer3n.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer3n.h new file mode 100644 index 0000000..3a4da8b --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer3n.h @@ -0,0 +1,490 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer3n.h +* @brief Contains all macro definitions and function prototypes +* support for timer3n firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _TIMER3n_H_ +#define _TIMER3n_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//========== TIMER3n_CR ======================================== + +//---------- TIMER3n Enable/Disable Definition ---------- +#define TIMER3n_DISABLE (0x0uL << TIMER3n_CR_T3nEN_Pos) +#define TIMER3n_ENABLE (0x1uL << TIMER3n_CR_T3nEN_Pos) + +//---------- TIMER3n Clock Selection Definition ---------- +#define TIMER3n_CLKINT (0x0uL << TIMER3n_CR_T3nCLK_Pos) +#define TIMER3n_CLKEXT (0x1uL << TIMER3n_CR_T3nCLK_Pos) + +//---------- TIMER3n Mode Selection Definition ---------- +#define TIMER3n_INVM (0x0uL << TIMER3n_CR_T3nMS_Pos) +#define TIMER3n_CAPM (0x1uL << TIMER3n_CR_T3nMS_Pos) +#define TIMER3n_BTOB (0x2uL << TIMER3n_CR_T3nMS_Pos) + +//---------- TIMER3n External Clock Edge Selection Definition ---------- +#define TIMER3n_FEDGE (0x0uL << TIMER3n_CR_T3nECE_Pos) +#define TIMER3n_REDGE (0x1uL << TIMER3n_CR_T3nECE_Pos) + +//---------- TIMER3n Output Mode Selection Definition ---------- +#define TIMER3n_6CHMOD (0x0uL << TIMER3n_CR_FORCA_Pos) +#define TIMER3n_FORAMOD (0x1uL << TIMER3n_CR_FORCA_Pos) + +//---------- TIMER3n Delay Time Insert En/Disable Definition ---------- +#define TIMER3n_DLYINSDIS (0x0uL << TIMER3n_CR_DLYEN_Pos) +#define TIMER3n_DLYINSEN (0x1uL << TIMER3n_CR_DLYEN_Pos) + +//---------- TIMER3n Delay Timer Insertion Position Definition ---------- +#define TIMER3n_INSFRONT (0x0uL << TIMER3n_CR_DLYPOS_Pos) +#define TIMER3n_INSBACK (0x1uL << TIMER3n_CR_DLYPOS_Pos) + +//---------- TIMER3n Capture Polarity Selection Definition ---------- +#define TIMER3n_CAPFALL (0x0uL << TIMER3n_CR_T3nCPOL_Pos) +#define TIMER3n_CAPRISE (0x1uL << TIMER3n_CR_T3nCPOL_Pos) +#define TIMER3n_CAPBOTH (0x2uL << TIMER3n_CR_T3nCPOL_Pos) + +//---------- TIMER3n Data Reload Time Selection Definition ---------- +#define TIMER3n_UPWRITE (0x0uL << TIMER3n_CR_UPDT_Pos) +#define TIMER3n_UPMATCH (0x1uL << TIMER3n_CR_UPDT_Pos) +#define TIMER3n_UPBOTTOM (0x2uL << TIMER3n_CR_UPDT_Pos) + +//---------- TIMER3n Period Match Interrupt Occurrence Selection Definition ---------- +#define TIMER3n_E1PERIOD (0x00uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E2PERIOD (0x01uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E3PERIOD (0x02uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E4PERIOD (0x03uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E5PERIOD (0x04uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E6PERIOD (0x05uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E7PERIOD (0x06uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E8PERIOD (0x07uL << TIMER3n_CR_PMOC_Pos) + +//========== TIMER3n_OUTCR ======================================== + +//---------- TIMER3n PWM30xB Output Polarity Selection Definition ---------- +#define TIMER3n_OUT_BPOLOW (0x0uL << TIMER3n_OUTCR_POLB_Pos) +#define TIMER3n_OUT_BPOHIGH (0x1uL << TIMER3n_OUTCR_POLB_Pos) + +//---------- TIMER3n PWM30xA Output Polarity Selection Definition ---------- +#define TIMER3n_OUT_APOLOW (0x0uL << TIMER3n_OUTCR_POLA_Pos) +#define TIMER3n_OUT_APOHIGH (0x1uL << TIMER3n_OUTCR_POLA_Pos) + +//---------- TIMER3n PWM30AB Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMABDIS (0x0uL << TIMER3n_OUTCR_PABOE_Pos) +#define TIMER3n_OUT_PWMABEN (0x1uL << TIMER3n_OUTCR_PABOE_Pos) + +//---------- TIMER3n PWM30BB Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMBBDIS (0x0uL << TIMER3n_OUTCR_PBBOE_Pos) +#define TIMER3n_OUT_PWMBBEN (0x1uL << TIMER3n_OUTCR_PBBOE_Pos) + +//---------- TIMER3n PWM30CB Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMCBDIS (0x0uL << TIMER3n_OUTCR_PCBOE_Pos) +#define TIMER3n_OUT_PWMCBEN (0x1uL << TIMER3n_OUTCR_PCBOE_Pos) + +//---------- TIMER3n PWM30AA Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMAADIS (0x0uL << TIMER3n_OUTCR_PAAOE_Pos) +#define TIMER3n_OUT_PWMAAEN (0x1uL << TIMER3n_OUTCR_PAAOE_Pos) + +//---------- TIMER3n PWM30BA Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMBADIS (0x0uL << TIMER3n_OUTCR_PBAOE_Pos) +#define TIMER3n_OUT_PWMBAEN (0x1uL << TIMER3n_OUTCR_PBAOE_Pos) + +//---------- TIMER3n PWM30CA Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMCADIS (0x0uL << TIMER3n_OUTCR_PCAOE_Pos) +#define TIMER3n_OUT_PWMCAEN (0x1uL << TIMER3n_OUTCR_PCAOE_Pos) + +//---------- TIMER3n PWM30AB Output When Disable ---------- +#define TIMER3n_OUT_ABLOW (0x0uL << TIMER3n_OUTCR_LVLAB_Pos) +#define TIMER3n_OUT_ABHIGH (0x1uL << TIMER3n_OUTCR_LVLAB_Pos) + +//---------- TIMER3n PWM30BB Output When Disable ---------- +#define TIMER3n_OUT_BBLOW (0x0uL << TIMER3n_OUTCR_LVLBB_Pos) +#define TIMER3n_OUT_BBHIGH (0x1uL << TIMER3n_OUTCR_LVLBB_Pos) + +//---------- TIMER3n PWM30CB Output When Disable ---------- +#define TIMER3n_OUT_CBLOW (0x0uL << TIMER3n_OUTCR_LVLCB_Pos) +#define TIMER3n_OUT_CBHIGH (0x1uL << TIMER3n_OUTCR_LVLCB_Pos) + +//---------- TIMER3n PWM30AA Output When Disable ---------- +#define TIMER3n_OUT_AALOW (0x0uL << TIMER3n_OUTCR_LVLAA_Pos) +#define TIMER3n_OUT_AAHIGH (0x1uL << TIMER3n_OUTCR_LVLAA_Pos) + +//---------- TIMER3n PWM30BA Output When Disable ---------- +#define TIMER3n_OUT_BALOW (0x0uL << TIMER3n_OUTCR_LVLBA_Pos) +#define TIMER3n_OUT_BAHIGH (0x1uL << TIMER3n_OUTCR_LVLBA_Pos) + +//---------- TIMER3n PWM30CA Output When Disable ---------- +#define TIMER3n_OUT_CALOW (0x0uL << TIMER3n_OUTCR_LVLCA_Pos) +#define TIMER3n_OUT_CAHIGH (0x1uL << TIMER3n_OUTCR_LVLCA_Pos) + +//========== TIMER3n_INTCR ======================================== + +//---------- TIMER3n High-Impedance Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_HIZDIS (0x0uL << TIMER3n_INTCR_HIZIEN_Pos) +#define TIMER3n_INT_HIZEN (0x1uL << TIMER3n_INTCR_HIZIEN_Pos) + +//---------- TIMER3n Capture Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_CAPDIS (0x0uL << TIMER3n_INTCR_T3nCIEN_Pos) +#define TIMER3n_INT_CAPEN (0x1uL << TIMER3n_INTCR_T3nCIEN_Pos) + +//---------- TIMER3n Bottom Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_BOTDIS (0x0uL << TIMER3n_INTCR_T3nBTIEN_Pos) +#define TIMER3n_INT_BOTEN (0x1uL << TIMER3n_INTCR_T3nBTIEN_Pos) + +//---------- TIMER3n Period Match Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_PMATDIS (0x0uL << TIMER3n_INTCR_T3nPMIEN_Pos) +#define TIMER3n_INT_PMATEN (0x1uL << TIMER3n_INTCR_T3nPMIEN_Pos) + +//---------- TIMER3n A Match Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_AMATDIS (0x0uL << TIMER3n_INTCR_T3nAMIEN_Pos) +#define TIMER3n_INT_AMATEN (0x1uL << TIMER3n_INTCR_T3nAMIEN_Pos) + +//---------- TIMER3n B Match Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_BMATDIS (0x0uL << TIMER3n_INTCR_T3nBMIEN_Pos) +#define TIMER3n_INT_BMATEN (0x1uL << TIMER3n_INTCR_T3nBMIEN_Pos) + +//---------- TIMER3n C Match Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_CMATDIS (0x0uL << TIMER3n_INTCR_T3nCMIEN_Pos) +#define TIMER3n_INT_CMATEN (0x1uL << TIMER3n_INTCR_T3nCMIEN_Pos) + +//========== TIMER3n_HIZCR ======================================== + +//---------- TIMER3n PWM Output High-Impedance En/Disable Definition ---------- +#define TIMER3n_HIZ_DISABLE (0x0uL << TIMER3n_HIZCR_HIZEN_Pos) +#define TIMER3n_HIZ_ENABLE (0x1uL << TIMER3n_HIZCR_HIZEN_Pos) + +//---------- TIMER3n High-Impedance(BLNK) Edge Definition ---------- +#define TIMER3n_HIZ_BLNKFALL (0x0uL << TIMER3n_HIZCR_HEDGE_Pos) +#define TIMER3n_HIZ_BLNKRISE (0x1uL << TIMER3n_HIZCR_HEDGE_Pos) + +//========== TIMER3n_ADTCR ======================================== + +//---------- TIMER3n Bottom for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_BTTGDIS (0x0uL << TIMER3n_ADTCR_T3nBTTG_Pos) +#define TIMER3n_ADT_BTTGEN (0x1uL << TIMER3n_ADTCR_T3nBTTG_Pos) + +//---------- TIMER3n Period Match for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_PMTGDIS (0x0uL << TIMER3n_ADTCR_T3nPMTG_Pos) +#define TIMER3n_ADT_PMTGEN (0x1uL << TIMER3n_ADTCR_T3nPMTG_Pos) + +//---------- TIMER3n A-ch Match for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_AMTGDIS (0x0uL << TIMER3n_ADTCR_T3nAMTG_Pos) +#define TIMER3n_ADT_AMTGEN (0x1uL << TIMER3n_ADTCR_T3nAMTG_Pos) + +//---------- TIMER3n B-ch Match for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_BMTGDIS (0x0uL << TIMER3n_ADTCR_T3nBMTG_Pos) +#define TIMER3n_ADT_BMTGEN (0x1uL << TIMER3n_ADTCR_T3nBMTG_Pos) + +//---------- TIMER3n C-ch Match for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_CMTGDIS (0x0uL << TIMER3n_ADTCR_T3nCMTG_Pos) +#define TIMER3n_ADT_CMTGEN (0x1uL << TIMER3n_ADTCR_T3nCMTG_Pos) + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + // TIMER3n.CR + TIMER3n_CR_T3nMS_Enum T3nMS; // TIMER3n Operation Mode Selection + TIMER3n_CR_T3nCLK_Enum T3nCLK; // TIMER3n Clock Selection + TIMER3n_CR_T3nECE_Enum T3nECE; // TIMER3n External Clock Edge Selection + TIMER3n_CR_T3nCPOL_Enum T3nCPOL; // TIMER3n Capture Polarity Selection + + // TIMER3n.PDR + uint16_t PDR; + + // TIMER3n.ADR + uint16_t ADR; + + // TIMER3n.BDR + uint16_t BDR; + + // TIMER3n.CDR + uint16_t CDR; + + // TIMER3n.PREDR + uint16_t Prescaler; +} TIMER3n_CFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +// Control +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n Enable/Disable + * @details This macro Enable TIMER3n Block + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_EN() (TIMER30->CR_b.T3nEN = 1) +#define TIMER3n_DIS() (TIMER30->CR_b.T3nEN = 0) +#define TIMER3n_EnableTimer( TIMER3x ) (TIMER3x->CR_b.T3nEN = 1) +#define TIMER3n_DisableTimer( TIMER3x ) (TIMER3x->CR_b.T3nEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n Counter and Prescaler clear + * @details This macro clears Counter and Prescalrer of TIMER3n + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_ClrCnt() (TIMER30->CR_b.T3nCLR = 1) +#define TIMER3n_ClearCounter( TIMER3x ) (TIMER3x->CR_b.T3nCLR = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER3n Counter Register + * @details This macro gets TIMER3n Counter Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_GetCnt() (TIMER30->CNT) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n Period Match Interrupt Occurrence + * @details This macro sets Period Match Interrupt Occurrence + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetPMOC( u32PMOC ) (TIMER30->CR_b.PMOC = u32PMOC) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n PWM Output High-Impedance Enable/Disable + * @details This macro Enable TIMER3n PWM Output High-Impedance + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_HIZEN() (TIMER30->HIZCR_b.T3nEN = 1) +#define TIMER3n_HIZDIS() (TIMER30->HIZCR_b.T3nEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n PWM Output High-Impedance by Software + * @details This macro sets TIMER3n PWM Output High-Impedance by Software + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetHIZSW() (TIMER30->HIZCR_b.HIZSW = 1) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n High-Impedance Output Clear + * @details This macro clears TIMER3n High-Impedance Output + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_ClrHIZ() (TIMER30->HIZCR_b.HIZCLR = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER3n High-Impedance Status + * @details This macro gets TIMER3n High-Impedance Status + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_GetHIZStaus() (TIMER30->HIZCR_b.HIZSTA) + +// Set & Get Data Register +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n Period Data Register + * @param[in] u32PData + * Period Data of TIMER3n + * @details This macro sets TIMER3n Period Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetPeData( u32PData ) (TIMER30->PDR = u32PData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n A Data Register + * @param[in] u32AData + * A Data of TIMER3n + * @details This macro sets TIMER3n A Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetAData( u32AData ) (TIMER30->ADR = u32AData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n B Data Register + * @param[in] u32BData + * B Data of TIMER3n + * @details This macro sets TIMER3n B Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetBData( u32BData ) (TIMER30->BDR = u32BData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n C Data Register + * @param[in] u32CData + * C Data of TIMER3n + * @details This macro sets TIMER3n C Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetCData( u32CData ) (TIMER30->CDR = u32CData) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER3n Capture Data Register + * @details This macro gets TIMER3n Capture Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_GetCapData() (TIMER30->CAPDR) +#define TIMER3n_GetCaptureData( TIMER3x ) (TIMER3x->CAPDR) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n PWM Output Delay Data Register + * @param[in] u32DelayData + * PWM Output Delay Data of TIMER3n + * @details This macro sets TIMER3n PWM Output Delay Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetDelayData( u32DelayData ) (TIMER30->DLY = u32DelayData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n A/DC Trigger Generator Data Register + * @param[in] u32ADTData + * A/DC Trigger Generator Data of TIMER3n + * @details This macro sets TIMER3n A/DC Trigger Generator Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetADTData( u32ADTData ) (TIMER30->ADTDR = u32ADTData) + +// Get & Clear Interrupt Flag +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n All Interrupt + * @details This macro gets interrupt flag of TIMER3n All Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_AllInt_GetFg() (TIMER30->INTFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n C-ch Match Interrupt + * @details This macro gets interrupt flag of TIMER3n C-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_CMaInt_GetFg() (TIMER30->INTFLAG_b.T30CMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n B-ch Match Interrupt + * @details This macro gets interrupt flag of TIMER3n B-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_BMaInt_GetFg() (TIMER30->INTFLAG_b.T30BMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n A-ch Match Interrupt + * @details This macro gets interrupt flag of TIMER3n A-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_AchMaInt_GetFg() (TIMER30->INTFLAG_b.T30AMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n Period Match Interrupt + * @details This macro gets interrupt flag of TIMER3n Period Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_PeMaInt_GetFg() (TIMER30->INTFLAG_b.T30PMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n Bottom Interrupt + * @details This macro gets interrupt flag of TIMER3n Bottom Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_BotMaInt_GetFg() (TIMER30->INTFLAG_b.T30BTIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n Capture Interrupt + * @details This macro gets interrupt flag of TIMER3n Capture Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_CapInt_GetFg() (TIMER30->INTFLAG_b.T30CIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n High-Impedance Interrupt + * @details This macro gets interrupt flag of TIMER3n High-Impedance Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_HIZInt_GetFg() (TIMER30->INTFLAG_b.HIZIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n All Interrupt + * @details This macro Clears interrupt flag of TIMER3n All Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_AllInt_ClrFg() (TIMER30->INTFLAG = 0x7F) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n C-ch Match Interrupt + * @details This macro clears interrupt flag of TIMER3n C-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_CchMaInt_ClrFg() (TIMER30->INTFLAG_b.T30CMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n B-ch Match Interrupt + * @details This macro clears interrupt flag of TIMER3n B-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_BchMaInt_ClrFg() (TIMER30->INTFLAG_b.T30BMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n A-ch Match Interrupt + * @details This macro clears interrupt flag of TIMER3n A-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_AchMaInt_ClrFg() (TIMER30->INTFLAG_b.T30AMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n Period Match Interrupt + * @details This macro clears interrupt flag of TIMER3n Period Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_PeMaInt_ClrFg() (TIMER30->INTFLAG_b.T30PMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n Bottom Interrupt + * @details This macro clears interrupt flag of TIMER3n Bottom Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_BotMaInt_ClrFg() (TIMER30->INTFLAG_b.T30BTIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n Capture Interrupt + * @details This macro clears interrupt flag of TIMER3n Capture Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_CapInt_ClrFg() (TIMER30->INTFLAG_b.T30CIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n High-Impedance Interrupt + * @details This macro clears interrupt flag of TIMER3n High-Impedance Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_HIZInt_ClrFg() (TIMER30->INTFLAG_b.HIZIFLAG = 1) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_TIMER3n_Init( TIMER3n_Type* TIMER3x, TIMER3n_CFG_Type* TIMER3n_Config ); +HAL_Status_Type HAL_TIMER3n_DeInit( TIMER3n_Type* TIMER3x ); + +HAL_Status_Type HAL_TIMER3n_ConfigInterrupt( TIMER3n_Type* TIMER3x, uint32_t NewState, uint32_t USART3n_IntCfg ); +HAL_Status_Type HAL_TIMER3n_MPWMCmd( TIMER3n_Type* TIMER3x, uint32_t updatedata, uint32_t intcount ); +HAL_Status_Type HAL_TIMER3n_Start( TIMER3n_Type* TIMER3x, uint32_t NewState ); +HAL_Status_Type HAL_TIMER3n_OutputCtrl( TIMER3n_Type* TIMER3x, uint32_t NewState, uint32_t pwmApol, uint32_t pwmBpol ); +HAL_Status_Type HAL_TIMER3n_ClockPrescaler( TIMER3n_Type* TIMER3x, uint32_t prescale ); +HAL_Status_Type HAL_TIMER3n_SetPeriod( TIMER3n_Type* TIMER3x, uint32_t period ); +HAL_Status_Type HAL_TIMER3n_SetADuty( TIMER3n_Type* TIMER3x, uint32_t aduty ); +HAL_Status_Type HAL_TIMER3n_SetBDuty( TIMER3n_Type* TIMER3x, uint32_t bduty ); +HAL_Status_Type HAL_TIMER3n_SetCDuty( TIMER3n_Type* TIMER3x, uint32_t cduty ); +HAL_Status_Type HAL_TIMER3n_SetDelayTime( TIMER3n_Type* TIMER3x, uint32_t dten, uint32_t dtpos, uint32_t clkdata ); +HAL_Status_Type HAL_TIMER3n_SetHizReg( TIMER3n_Type* TIMER3x, uint32_t u32T30HizSet ); +HAL_Status_Type HAL_TIMER3n_SetADCTrigger( TIMER3n_Type* TIMER3x, uint32_t u32triggerpoint, uint32_t u32triggertime ); +HAL_Status_Type HAL_TIMER3n_ClearStatus_IT( TIMER3n_Type* TIMER3x, uint32_t USART3n_IntCfg ); +uint32_t HAL_TIMER3n_GetStatus_IT( TIMER3n_Type* TIMER3x ); + +#ifdef __cplusplus +} +#endif + +#endif /* _TIMER3n_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_uartn.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_uartn.h new file mode 100644 index 0000000..e314b6a --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_uartn.h @@ -0,0 +1,223 @@ +/***************************************************************************//** +* @file A31G12x_hal_uartn.h +* @brief Contains all macro definitions and function prototypes +* support for uartn firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _UARTn_H_ +#define _UARTn_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * UARTn time-out definitions in case of using Read() and Write function + * with Blocking Flag mode + *//*-------------------------------------------------------------------------*/ +#define UARTn_BLOCKING_TIMEOUT 0xffffuL // (0xFFFFFFFFuL) + +//-------------------------------------- +// Macro defines for UARTn interrupt enable register +//-------------------------------------- +#define UARTn_IER_RBRINT_EN ((uint8_t)(1 << 0)) /**< RBR Interrupt enable */ +#define UARTn_IER_THREINT_EN ((uint8_t)(1 << 1)) /**< THR Interrupt enable */ +#define UARTn_IER_RLSINT_EN ((uint8_t)(1 << 2)) /**< RX line status interrupt enable */ +#define UARTn_IER_TXE_EN ((uint8_t)(1 << 3)) /**< TXE interrupt enable */ +#define UARTn_IER_BITMASK ((uint8_t)(0x0F)) /**< UART interrupt enable register bit mask */ + +//-------------------------------------- +// Macro defines for UARTn interrupt identification register +//-------------------------------------- +#define UARTn_IIR_INTSTAT_PEND ((uint8_t)(1 << 0)) /**< Interrupt Status - Active low */ +#define UARTn_IIR_INTID_RLS ((uint8_t)(3 << 1)) /**< Interrupt identification: Receive line status */ +#define UARTn_IIR_INTID_RDA ((uint8_t)(2 << 1)) /**< Interrupt identification: Receive data available */ +#define UARTn_IIR_INTID_THRE ((uint8_t)(1 << 1)) /**< Interrupt identification: THRE interrupt */ +#define UARTn_IIR_INTID_TXE ((uint8_t)(1 << 4)) /**< Interrupt identification: TXE interrupt */ +#define UARTn_IIR_INTID_MASK ((uint8_t)(7 << 1)) /**< Interrupt identification: Interrupt ID mask */ +#define UARTn_IIR_BITMASK ((uint8_t)(0x1F)) /**< UART interrupt identification register bit mask */ + +//-------------------------------------- +// Macro defines for UARTn line control register +//-------------------------------------- +#define UARTn_LCR_WLEN5 ((uint8_t)(0)) /**< UART 5 bit data mode */ +#define UARTn_LCR_WLEN6 ((uint8_t)(1 << 0)) /**< UART 6 bit data mode */ +#define UARTn_LCR_WLEN7 ((uint8_t)(2 << 0)) /**< UART 7 bit data mode */ +#define UARTn_LCR_WLEN8 ((uint8_t)(3 << 0)) /**< UART 8 bit data mode */ +#define UARTn_LCR_STOPBIT_SEL ((uint8_t)(1 << 2)) /**< UART Two Stop Bits Select */ +#define UARTn_LCR_PARITY_EN ((uint8_t)(1 << 3)) /**< UART Parity Enable */ +#define UARTn_LCR_PARITY_ODD ((uint8_t)(0 << 4)) /**< UART Odd Parity Select */ +#define UARTn_LCR_PARITY_EVEN ((uint8_t)(1 << 4)) /**< UART Even Parity Select */ +#define UARTn_LCR_PARITY_F_1 ((uint8_t)(2 << 4)) /**< UART force 1 stick parity */ +#define UARTn_LCR_PARITY_F_0 ((uint8_t)(3 << 4)) /**< UART force 0 stick parity */ +#define UARTn_LCR_BREAK_EN ((uint8_t)(1 << 6)) /**< UART Transmission Break enable */ +#define UARTn_LCR_BITMASK ((uint8_t)(0x7F)) /**< UART line control bit mask */ + +//-------------------------------------- +// Macro defines for UARTn data control register +//-------------------------------------- +#define UARTn_DCR_TXINV ((uint8_t)(1 << 2)) /**< data control register: Tx Data Inversion */ +#define UARTn_DCR_RXINV ((uint8_t)(1 << 3)) /**< data control register: Rx Data Inversion */ +#define UARTn_DCR_LBON ((uint8_t)(1 << 4)) /**< data control register: Local loopback test mode */ +#define UARTn_DCR_BITMASK ((uint8_t)(0x7 << 2)) /**< UART data control bit mask */ + +//-------------------------------------- +// Macro defines for UARTn line status register +//-------------------------------------- +#define UARTn_LSR_RDR ((uint8_t)(1 << 0)) /**< Line status register: Receive data ready */ +#define UARTn_LSR_OE ((uint8_t)(1 << 1)) /**< Line status register: Overrun error */ +#define UARTn_LSR_PE ((uint8_t)(1 << 2)) /**< Line status register: Parity error */ +#define UARTn_LSR_FE ((uint8_t)(1 << 3)) /**< Line status register: Framing error */ +#define UARTn_LSR_BI ((uint8_t)(1 << 4)) /**< Line status register: Break interrupt */ +#define UARTn_LSR_THRE ((uint8_t)(1 << 5)) /**< Line status register: Transmit holding register empty */ +#define UARTn_LSR_TEMT ((uint8_t)(1 << 6)) /**< Line status register: Transmitter empty */ +#define UARTn_LSR_BITMASK ((uint8_t)(0x7F)) /**< UART Line status bit mask */ + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** UARTn Data Bit type definitions */ +typedef enum +{ + UARTn_DATA_BIT_5 = 0, /**< 5 Data Bits */ + UARTn_DATA_BIT_6, /**< 6 Data Bits */ + UARTn_DATA_BIT_7, /**< 7 Data Bits */ + UARTn_DATA_BIT_8 /**< 8 Data Bits */ +} UARTn_DATA_BIT_Type; + +/** UARTn Stop Bit type definitions */ +typedef enum +{ + UARTn_STOP_BIT_1 = 0, /**< 1 Stop Bits */ + UARTn_STOP_BIT_2 /**< 2 Stop Bits */ +} UARTn_STOP_BIT_Type; + +/** UARTn Parity Bit type definitions */ +typedef enum +{ + UARTn_PARITY_BIT_NONE = 0, /**< No parity */ + UARTn_PARITY_BIT_ODD, /**< Odd parity */ + UARTn_PARITY_BIT_EVEN, /**< Even parity */ + UARTn_PARITY_BIT_SP_1, /**< Forced "1" stick parity */ + UARTn_PARITY_BIT_SP_0 /**< Forced "0" stick parity */ +} UARTn_PARITY_BIT_Type; + +/** UARTn Interrupt Type definitions */ +typedef enum +{ + UARTn_INTCFG_RBR = 0, /**< RBR Interrupt enable */ + UARTn_INTCFG_THRE, /**< THR Interrupt enable */ + UARTn_INTCFG_RLS, /**< RX line status interrupt enable */ + UARTn_INTCFG_TXE /**< TXE interrupt */ +} UARTn_INT_Type; + +/** UARTn Data Control type definition */ +typedef enum +{ + UARTn_DATA_CONTROL_LOOPBACK = 0, /**< Loop back mode select */ + UARTn_DATA_CONTROL_RXINV, + UARTn_DATA_CONTROL_TXINV, + UARTn_DATA_CONTROL_RTXINV, +} UARTn_DATA_CONTROL_Type; + +//============================================================================== +// Structure +//============================================================================== + +/** UARTn Configuration Structure definition */ +typedef struct +{ + uint32_t Baudrate; /**< Baud Rate */ + UARTn_DATA_BIT_Type Databits; /**< Number of Data Bits, should be: + - UARTn_DATA_BIT_5: 5 Data Bits + - UARTn_DATA_BIT_6: 6 Data Bits + - UARTn_DATA_BIT_7: 7 Data Bits + - UARTn_DATA_BIT_8: 8 Data Bits + */ + UARTn_PARITY_BIT_Type Parity; /**< Number of Parity Bits, should be: + - UARTn_PARITY_BIT_NONE: No Parity + - UARTn_PARITY_BIT_ODD: Odd Parity + - UARTn_PARITY_BIT_EVEN: Even Parity + - UARTn_PARITY_BIT_SP_1: Forced "1" Stick Parity + - UARTn_PARITY_BIT_SP_0: Forced "0" Stick Parity + */ + UARTn_STOP_BIT_Type Stopbits; /**< Number of Stop Bits, should be: + - UARTn_STOP_BIT_1: 1 Stop Bits + - UARTn_STOP_BIT_2: 2 Stop Bits + */ +} UARTn_CFG_Type; + +//****************************************************************************** +// Variable +//****************************************************************************** + +extern char InData[80]; +extern int InFlag; +extern int InCount; + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_UART_Init( UARTn_Type* UARTx, UARTn_CFG_Type* UARTn_Config ); +HAL_Status_Type HAL_UART_DeInit( UARTn_Type* UARTx ); + +HAL_Status_Type HAL_UART_ConfigStructInit( UARTn_CFG_Type* UARTn_Config ); +HAL_Status_Type HAL_UART_ConfigInterrupt( UARTn_Type* UARTx, UARTn_INT_Type UARTn_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_UART_DataControlConfig( UARTn_Type* UARTx, UARTn_DATA_CONTROL_Type Mode, FunctionalState NewState ); +HAL_Status_Type HAL_UART_IFDelayConfig( UARTn_Type* UARTx, uint8_t waitval ); +HAL_Status_Type HAL_UART_ForceBreak( UARTn_Type* UARTx ); +uint8_t HAL_UART_GetLineStatus( UARTn_Type* UARTx ); +FlagStatus HAL_UART_CheckBusy( UARTn_Type* UARTx ); + +HAL_Status_Type HAL_UART_TransmitByte( UARTn_Type* UARTx, uint8_t Data ); +uint8_t HAL_UART_ReceiveByte( UARTn_Type* UARTx ); +uint32_t HAL_UART_Transmit( UARTn_Type* UARTx, uint8_t* txbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ); +uint32_t HAL_UART_Receive( UARTn_Type* UARTx, uint8_t* rxbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ); + +#ifdef __cplusplus +} +#endif + +#endif /* _UARTn_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_usart1n.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_usart1n.h new file mode 100644 index 0000000..a659629 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_usart1n.h @@ -0,0 +1,241 @@ +/***************************************************************************//** +* @file A31G12x_hal_usart1n.h +* @brief Contains all macro definitions and function prototypes +* support for usart1n firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _USART1n_H_ +#define _USART1n_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * USART1n time-out definitions in case of using Read() and Write function + * with Blocking Flag mode + *//*-------------------------------------------------------------------------*/ +#define USART1n_BLOCKING_TIMEOUT (0xFFFFFFFFuL) + +//-------------------------------------- +// Macro defines for USART1n interrupt enable register +//-------------------------------------- + +#define USART1n_IER_WAKEINT_EN ((uint16_t)(1 << 2)) /**< WAKE Interrupt enable */ +#define USART1n_IER_RXCINT_EN ((uint16_t)(1 << 3)) /**< RXC Interrupt enable */ +#define USART1n_IER_TXCINT_EN ((uint16_t)(1 << 4)) /**< TXC interrupt enable */ +#define USART1n_IER_DR_EN ((uint16_t)(1 << 5)) /**< DR interrupt enable */ +#define USART1n_IER_BITMASK ((uint16_t)(0x3C)) /**< USART interrupt enable register bit mask */ + + +//-------------------------------------- +// Macro defines for USART1n interrupt status register +//-------------------------------------- +#define USART1n_SR_DRE ((uint16_t)(1 << 7)) /**< Interrupt identification: Tx Buffer Busy */ +#define USART1n_SR_TXC ((uint16_t)(1 << 6)) /**< Interrupt identification: Tx Complete */ +#define USART1n_SR_RXC ((uint16_t)(1 << 5)) /**< Interrupt identification: Rx Complete */ +#define USART1n_SR_WAKE ((uint16_t)(1 << 4)) /**< Interrupt identification: Wake */ +#define USART1n_SR_DOR ((uint16_t)(1 << 2)) /**< Interrupt identification: Data OverRun */ +#define USART1n_SR_FE ((uint16_t)(1 << 1)) /**< Interrupt identification: Frame Error */ +#define USART1n_SR_PE ((uint16_t)(1 << 0)) /**< Interrupt identification: Parity Error */ +#define USART1n_SR_BITMASK ((uint16_t)(0xFF)) /**< USART interrupt identification register bit mask */ + +#define USART1n_CR2_USTnRX8 ((uint16_t)(1 << 0)) /**< */ +#define USART1n_CR2_USTnTX8 ((uint16_t)(1 << 1)) /**< */ +#define USART1n_CR2_USTnSB ((uint16_t)(1 << 2)) /**< */ +#define USART1n_CR2_FXCHn ((uint16_t)(1 << 3)) /**< */ +#define USART1n_CR2_USTnSSEN ((uint16_t)(1 << 4)) /**< */ +#define USART1n_CR2_DISSCKn ((uint16_t)(1 << 5)) /**< */ +#define USART1n_CR2_LOOPSn ((uint16_t)(1 << 6)) /**< */ +#define USART1n_CR2_MASTERn ((uint16_t)(1 << 7)) /**< */ +#define USART1n_CR2_DBLSn ((uint16_t)(1 << 8)) /**< */ +#define USART1n_CR2_USTnEN ((uint16_t)(1 << 9)) /**< */ +#define USART1n_CR2_BITMASK ((uint16_t)(0x3FF)) /**< */ + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +typedef enum +{ + USART1n_UART_MODE = 0, /**< UART Mode */ + USART1n_USRT_MODE, /**< USRT Mode (Syncronous) */ + USART1n_SPI_MODE = 3 /**< SPI Mode */ +} USART1n_OPMODE_Type; + +typedef enum +{ + USART1n_SPI_LSB = 0, /**< SPI LSB First */ + USART1n_SPI_MSB, /**< SPI MSB First */ +} USART1n_SPI_ORDER_Type; + +typedef enum +{ + USART1n_SPI_TX_RISING = 0, /**< Txd Change : Rising / Rxd Change : Falling */ + USART1n_SPI_TX_FALLING, /**< Txd Change : Falling / Rxd Change : Rising */ +} USART1n_ACK_Type; + +typedef enum +{ + USART1n_SPI_TX_LEADEDGE_SAMPLE = 0, /**< Leading edge : Sample / Trailing edge : Setup */ + USART1n_SPI_TX_LEADEDGE_SETUP, /**< Leading edge : Setup / Trailing edge : Sample */ +} USART1n_EDGE_Type; + +/** USART1n Data Bit type definitions */ +typedef enum +{ + USART1n_DATA_BIT_5 = 0, /**< 5 Data Bits */ + USART1n_DATA_BIT_6, /**< 6 Data Bits */ + USART1n_DATA_BIT_7, /**< 7 Data Bits */ + USART1n_DATA_BIT_8, /**< 8 Data Bits */ + USART1n_DATA_BIT_9 = 7 /**< 9 Data Bits */ +} USART1n_DATA_BIT_Type; + +/** USART1n Stop Bit type definitions */ +typedef enum +{ + USART1n_STOP_BIT_1 = 0, /**< 1 Stop Bits */ + USART1n_STOP_BIT_2 /**< 2 Stop Bits */ +} USART1n_STOP_BIT_Type; + +/** USART1n Parity Bit type definitions */ +typedef enum +{ + USART1n_PARITY_BIT_NONE = 0, /**< No parity */ + USART1n_PARITY_BIT_EVEN = 2, /**< Even parity */ + USART1n_PARITY_BIT_ODD = 3 /**< Odd parity */ +} USART1n_PARITY_BIT_Type; + +/** USART Data Control type definition */ +typedef enum +{ + USART1n_CONTROL_USTRX8 = 0, + USART1n_CONTROL_USTTX8, + USART1n_CONTROL_USTSB, + USART1n_CONTROL_FXCH, + USART1n_CONTROL_USTSSEN, + USART1n_CONTROL_DISSCK, + USART1n_CONTROL_LOOPS, + USART1n_CONTROL_MASTER, + USART1n_CONTROL_DBLS, + USART1n_CONTROL_USTEN +} USART1n_CONTROL_Type; + +typedef enum +{ + USART1n_STATUS_PE = 0, + USART1n_STATUS_FE, + USART1n_STATUS_DOR, + USART1n_STATUS_WAKE, + USART1n_STATUS_RXC, + USART1n_STATUS_TXC, + USART1n_STATUS_DRE, +} USART1n_STATUS_Type; + +typedef enum +{ + USART1n_INTCFG_WAKE = 0, /**< Wake-Up Interrupt enable*/ + USART1n_INTCFG_RXC, /**< Receive Complete Interrupt enable*/ + USART1n_INTCFG_TXC, /**< Transmit Complete line status interrupt enable*/ + USART1n_INTCFG_DR /**< Data Register Empty interrupt */ +} USART1n_INT_Type; + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + uint32_t Baudrate; + USART1n_OPMODE_Type Mode; + USART1n_SPI_ORDER_Type Order; + USART1n_ACK_Type ACK; + USART1n_EDGE_Type Edge; + USART1n_DATA_BIT_Type Databits; /**< Number of Data Bits, should be: + - USART_DATABIT_5: 5 Data Bits + - USART_DATABIT_6: 6 Data Bits + - USART_DATABIT_7: 7 Data Bits + - USART_DATABIT_8: 8 Data Bits + - USART_DATABIT_9: 9 Data Bits + */ + USART1n_PARITY_BIT_Type Parity; /**< Number of Parity Bits, should be: + - USART_PARITY_NONE: No Parity + - USART_PARITY_ODD: Odd Parity + - USART_PARITY_EVEN: Even Parity + - USART_PARITY_SP_1: Forced "1" Stick Parity + - USART_PARITY_SP_0: Forced "0" Stick Parity + */ + USART1n_STOP_BIT_Type Stopbits; /**< Number of Stop Bits, should be: + - USART_STOPBIT_1: 1 Stop Bits + - USART_STOPBIT_2: 2 Stop Bits + */ +} USART1n_CFG_Type; + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_USART_Init( USART1n_Type* USART1x, USART1n_CFG_Type* USART1n_Config ); +HAL_Status_Type HAL_USART_DeInit( USART1n_Type* USART1x ); + +HAL_Status_Type HAL_USART_UART_Mode_Config( USART1n_CFG_Type* USART1n_Config ); +HAL_Status_Type HAL_USART_USRT_Mode_Config( USART1n_CFG_Type* USART1n_Config ); +HAL_Status_Type HAL_USART_SPI_Mode_Config( USART1n_CFG_Type* USART1n_Config ); +HAL_Status_Type HAL_USART_ConfigInterrupt( USART1n_Type* USART1x, USART1n_INT_Type USART1n_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_USART_DataControlConfig( USART1n_Type* USART1x, USART1n_CONTROL_Type Mode, FunctionalState NewState ); +HAL_Status_Type HAL_USART_Enable( USART1n_Type* USART1x, FunctionalState state ); +HAL_Status_Type HAL_USART_ClearStatus( USART1n_Type* USART1x, USART1n_STATUS_Type Status ); +uint8_t HAL_USART_GetStatus( USART1n_Type* USART1x ); + +FlagStatus HAL_USART_CheckBusy( USART1n_Type* USART1x ); + +HAL_Status_Type HAL_USART_TransmitByte( USART1n_Type* USART1x, uint8_t Data ); +uint8_t HAL_USART_ReceiveByte( USART1n_Type* USART1x ); +uint32_t HAL_USART_Transmit( USART1n_Type* USART1x, uint8_t* txbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ); +uint32_t HAL_USART_Receive( USART1n_Type* USART1x, uint8_t* rxbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ); + +#ifdef __cplusplus +} +#endif + +#endif /* _USART1n_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wdt.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wdt.h new file mode 100644 index 0000000..5a7d41e --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wdt.h @@ -0,0 +1,116 @@ +/***************************************************************************//** +* @file A31G12x_hal_wdt.h +* @brief Contains all macro definitions and function prototypes +* support for wdt firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _WDT_H_ +#define _WDT_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// WDT_CR interrupt enable bit +/* Deprecated +#define WDT_CR_UNFIEN ((uint32_t)(1 << 2)) // WDT Underflow Interrupt Enable bit +#define WDT_CR_WINMIEN ((uint32_t)(1 << 3)) // WDT Window Match Interrupt Enable bit +#define WDT_INTERRUPT_BITMASK 0x000c +*/ + +/** WDT_SR interrupt enable bit */ +#define WDT_SR_UNFIFLAG ((uint32_t)(1 << 0)) // WDT Underflow Interrupt Flag bit +#define WDT_SR_WINMIFLAG ((uint32_t)(1 << 1)) // WDT Window Match Interrupt Flag bit + +#define WDT_STATUS_BITMASK 0x0003 + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +enum +{ + WDT_DIV_4 = 0, + WDT_DIV_16, + WDT_DIV_64, + WDT_DIV_256 +}; + +typedef enum +{ + WDT_INTCFG_UNFIEN = 0, /**< UNFIEN Interrupt enable */ + WDT_INTCFG_WINMIEN, /**< WINMIEN Interrupt enable */ +} WDT_INT_Type; + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + uint8_t wdtResetEn; /**< if ENABLE -> the Reset bit is enabled */ + uint16_t wdtClkDiv; /**< wdtClkDiv */ + uint32_t wdtTmrConst; /**< Set Watch-Dog Timer Data Register */ + uint32_t wdtWTmrConst; /**< Set Watch-Dog Timer Window Data Register */ +} WDT_CFG_Type; + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_WDT_Init( WDT_CFG_Type* WDT_Config ); +HAL_Status_Type HAL_WDT_DeInit( void ); + +HAL_Status_Type HAL_WDT_ConfigInterrupt( WDT_INT_Type WDT_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_WDT_ReloadTimeCounter( void ); +HAL_Status_Type HAL_WDT_Start( FunctionalState ctrl ); +HAL_Status_Type HAL_WDT_ClearStatus( uint32_t clrbit ); +uint32_t HAL_WDT_GetStatus( void ); + +uint32_t HAL_WDT_GetCurrentCount( void ); + +#ifdef __cplusplus +} +#endif + +#endif /* _WDT_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wt.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wt.h new file mode 100644 index 0000000..d4117ec --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wt.h @@ -0,0 +1,154 @@ +/***************************************************************************//** +* @file A31G12x_hal_wt.h +* @brief Contains all macro definitions and function prototypes +* support for wt firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _WT_H_ +#define _WT_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//========== WT_CR ======================================== + +//---------- WT Interval Selection ---------- +#define WT_DIV2E7 (0x0uL << 4) +#define WT_DIV2E13 (0x1uL << 4) +#define WT_DIV2E14 (0x2uL << 4) +#define WT_DIV2E14DR (0x3uL << 4) + +//---------- WT Interrupt En/Disable ---------- +#define WT_INTDIS (0x0uL << 3) +#define WT_INTEN (0x1uL << 3) + +/** WT_CR interrupt enable bit */ +#define WT_CR_WTIEN ((uint32_t)(1 << 3)) // WT Interrupt Enable bit +#define WT_INTERRUPT_BITMASK 0x0008 + +/** WT_CR interrupt status flag bit */ +#define WT_CR_WTIFLAG ((uint32_t)(1 << 1)) // WT Interrupt Flag bit +#define WT_STATUS_BITMASK 0x0002 + +#define WT_CR_WTCLR ((uint32_t)(1 << 0)) // WT counter and divider clear bit + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +enum +{ + WT_DIV_2_7 = 0, + WT_DIV_2_13, + WT_DIV_2_14, + WT_DIV_2_14_MUL_DR +}; + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + uint32_t wtClkDiv; /**< wtClkDiv */ + uint32_t wtTmrConst; /**< Set Watch Timer Data Register */ +} WT_CFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Watch Timer Enable/Disable + * @details This macro Enable Watch Timer Block + *//*-------------------------------------------------------------------------*/ +#define WT_EN() (WT->CR_b.WTEN = 1) +#define WT_DIS() (WT->CR_b.WTEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Get Watch Timer Counter Register + * @details This macro gets Watch Timer Counter Register + *//*-------------------------------------------------------------------------*/ +#define WT_GetCnt() (WT->CNT) + +/*-------------------------------------------------------------------------*//** + * @brief Set Watch Timer Data Register + * @param[in] u32WTData + * Data of WT_DR + * @details This macro sets Watch Timer Data Register + *//*-------------------------------------------------------------------------*/ +#define WT_SetWT_DR( u32WTData ) (WT->DR = u32WTData) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of Watch Timer Interrupt + * @details This macro gets interrupt flag of Watch Timer Interrupt + *//*-------------------------------------------------------------------------*/ +#define WTInt_GetFg() (WT->CR_b.WTIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of Watch Timer Interrupt + * @details This macro clears interrupt flag of Watch Timer Interrupt + *//*-------------------------------------------------------------------------*/ +#define WTInt_ClrFg() (WT->CR_b.WTIFLAG = 1) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_WT_Init( WT_CFG_Type* WT_Config ); +HAL_Status_Type HAL_WT_DeInit( void ); + +HAL_Status_Type HAL_WT_ConfigInterrupt( FunctionalState NewState ); +HAL_Status_Type HAL_WT_Start( FunctionalState ctrl ); +HAL_Status_Type HAL_WT_SetRegister( uint32_t u32WTSet ); +HAL_Status_Type HAL_WT_ClearStatus( void ); +uint32_t HAL_WT_GetStatus( void ); + +uint32_t HAL_WT_GetCurrentCount( void ); + +#ifdef __cplusplus +} +#endif + +#endif /*_WT_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wtidky.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wtidky.h new file mode 100644 index 0000000..c1dedf8 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wtidky.h @@ -0,0 +1,68 @@ +/***************************************************************************//** +* @file A31G12x_hal_wtidky.h +* @brief Contains all macro definitions and function prototypes +* support for wtidky firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _WTIDKY_H_ +#define _WTIDKY_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// Write ID Key Definition +#define SCU_SCCRWTIDKY 0x570AuL // 0 SCUCG_SCCR_WTIDKY_Value +#define SCU_CLKSRCRWTIDKY 0xA507uL // 1 SCUCG_CLKSRCR_WTIDKY_Value +#define XTFWTIDKY 0x9B37uL // 2 SCUCG_XTFLSR_WTIDKY_Value +#define HIRCWTIDKY 0xA6B5uL // 3 SCUCC_HIRCTRM_WTIDKY_Value +#define WDTRCWTIDKY 0x4C3DuL // 4 SCUCC_WDTRCTRM_WTIDKY_Value +#define REMWTIDKY 0xE2F1uL // 5 SCUCC_PMREMAP_WTIDKY_Value +#define WDTWTIDKY 0x5A69uL // 6 WDT_CR_WTIDKY_Value +#define T30OUTWTIDKY 0xE06CuL // 7 TIMER30_T30_OUTCR_WTIDKY_Value +#define FMC_BCRWTIDKY 0xC1BEuL // 8 FMC_BCR_WTIDKY_Value +#define FMC_CRWTIDKY 0x6C93uL // 9 FMC_CR_WTIDKY_Value +#define SWRSTWTIDKY 0x9EB3uL // 10 SCUCC_SWRSTR_WTIDKY_Value +#define VECTWTIDKY 0x05FAuL // 11 + +#ifdef __cplusplus +} +#endif + +#endif /* _WTIDKY_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_adc.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_adc.c new file mode 100644 index 0000000..d7b2921 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_adc.c @@ -0,0 +1,264 @@ +/***************************************************************************//** +* @file A31G12x_hal_adc.c +* @brief Contains all functions support for adc firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_adc.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the ADC peripheral with the specified parameters. + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @param[in] ADC_Config + * Pointer to a ADC_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_Init( ADC_Type* ADCx, ADC_CFG_Type* ADC_Config ) +{ + uint32_t tempreg; + + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_ADCLKE, PPxCLKE_Enable ); + + ADCx->CR = ( 1 << ADC_CR_ADCEN_Pos ); // ADCEN; + + tempreg = 0 + | ( 1 << ADC_CR_ADCEN_Pos ) // ADCEN + | ( ( ( ADC_Config->TrgSel ) & 7 ) << ADC_CR_TRIG_Pos ) // TRGSRC + | ( ( ( ADC_Config->RefSel ) & 1 ) << ADC_CR_REFSEL_Pos ) + | ( 1 << ADC_CR_ADCIFLAG_Pos ) // clear flag + ; + ADCx->CR = tempreg; + + ADCx->PREDR = ( ADC_Config->InClkDiv & ADC_PREDR_PRED_Msk ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Close ADC + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_DeInit( ADC_Type* ADCx ) +{ + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + ADCx->CR = 0; + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_ADCLKE, PPxCLKE_Disable ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief ADC interrupt configuration + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_ConfigInterrupt( ADC_Type* ADCx, FunctionalState NewState ) +{ + uint32_t tempreg; + + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + tempreg = ADCx->CR; + tempreg &= ~( 1 << ADC_CR_ADCIEN_Pos ); + + if( NewState ) + { + tempreg |= ( 1 << ADC_CR_ADCIEN_Pos ); + } + ADCx->CR = tempreg; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Select ADC Channel Number + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @param[in] Channel + * Channel Number + * - ADC_CR_ADSEL_AN0 ~ ADC_CR_ADSEL_AN13 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_ChannelSel( ADC_Type* ADCx, uint32_t Channel ) +{ + uint32_t temp_reg; + + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + temp_reg = ADCx->CR & ( uint32_t )( ~ADC_CR_ADSEL_Msk ); + temp_reg |= ( uint32_t )( Channel & ADC_CR_ADSEL_Msk ); + ADCx->CR = temp_reg; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Start A/D conversion + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_Start( ADC_Type* ADCx ) +{ + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + ADCx->CR |= ( 1 << ADC_CR_ADST_Pos ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Stop A/D conversion + * If this function called after a conversion cycle starts, + * the current conversion is completed + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_Stop( ADC_Type* ADCx ) +{ + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + ADCx->CR &= ~( 1 << ADC_CR_ADST_Pos ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear ADC channel status + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_ClearStatus( ADC_Type* ADCx ) +{ + uint32_t tempreg; + + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + tempreg = ADCx->CR; + tempreg |= ( 1 << ADC_CR_ADCIFLAG_Pos ); + + ADCx->CR = tempreg; // clear flag; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get ADC channel status + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return ADC status register + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_ADC_GetStatus( ADC_Type* ADCx ) +{ + uint32_t tempreg; + + tempreg = ADCx->CR; + tempreg &= ( 1 << ADC_CR_ADCIFLAG_Pos ); + + return tempreg; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Result conversion from A/D data register + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return Result of conversion + *//*-------------------------------------------------------------------------*/ +uint16_t HAL_ADC_GetData( ADC_Type* ADCx ) +{ + uint16_t adc_value; + + adc_value = ADCx->DR; + + return ADC_DR_RESULT( adc_value ); +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_crc.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_crc.c new file mode 100644 index 0000000..849de94 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_crc.c @@ -0,0 +1,212 @@ +/***************************************************************************//** +* @file A31G12x_hal_crc.c +* @brief Contains all functions support for crc firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_crc.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize CRC/Checksum peripheral + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_CRC_Init( void ) +{ + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_CRCLKE, PPxCLKE_Enable ); + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief DeInitialize CRC peripheral + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_CRC_DeInit( void ) +{ + // reset peripheral and disable peripheral clock + HAL_SCU_Peripheral_SetReset2( PPRST2_CRRST ); + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_CRCLKE, PPxCLKE_Disable ); + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set CRC/Checksum Address + * @param[in] u32SAdr + * CRC/Checksum Start Address + * - 0x10000000 ~ 0x10007FFF + * @param[in] u32EAdr + * CRC/Checksum End Address + * - 0x10000000 ~ 0x10007FFF + * @param[in] u32IniD + * CRC/Checksum Initial Data + * @return @ref HAL_Status_Type + * @details This function sets the start/end address for range and initial data for calculation + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_CRC_SetAddress( uint32_t u32SAdr, uint32_t u32EAdr, uint32_t u32IniD ) +{ + SCUCG->PPCLKEN2_b.CRCLKE = 1; // CRC/Checksum Clock Enable + + CRC->SADR = u32SAdr; // Set start address + CRC->EADR = u32EAdr; // Set end address + CRC->INIT = u32IniD; // Set initial data for CRC/Checksum + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Auto Mode Start and Result + * @param[in] u32SEL + * CRC/Checksum Selection + * - CRC_CRC + * - CRC_CHECKSUM + * @param[in] u32POLY + * CRC Polynomial Selection + * - CRC_CCITT + * - CRC_16 + * @param[in] u32FirstBit + * CRC 1st Shifted-in bit + * - CRC_MSB + * - CRC_LSB + * @return CRC/Checksum Result + * @details This function starts CRC/Checksum calculation and gets result + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_CRC_ConfigAutoMode( uint32_t u32SEL, uint32_t u32POLY, uint32_t u32FirstBit ) +{ + uint32_t imgPRIMASK; + + if( SystemCoreClock > 20000000uL ) + { + SCUCG->SCDIVR1_b.HDIV = 3; // HCLK should be less than or equal to 20MHz during CRC/Checksum auto mode + } + + CRC->CR = 0 + | ( 0x1uL << CRC_CR_RLTCLR_Pos ) // CRC/Checksum Result Data Register (CRC_RLT) Initialization + | MODS_AutoMode // User/Auto Mode Selection + | u32SEL // CRC/Checksum Selection + | u32POLY // Polynomial Selection (CRC only) + | CRC_NOINC // CRC/Checksum Start Address Auto Increment Control (User mode only) + | u32FirstBit; // First Shifted-in Selection (CRC only) + + imgPRIMASK = __get_PRIMASK(); // backup PRIMASK (current global interrupt configuration) + DI(); // disable global interrupt + CRCRun(); + while( ChkCRCFinish() ) {} // Check if CRC/Checksum finishes or not + __set_PRIMASK( imgPRIMASK ); // restore PRIMASK + + SCUCG->PPCLKEN2_b.CRCLKE = 0; // CRC/Checksum Clock Disable + + if( SystemCoreClock > 20000000uL ) + { + SCUCG->SCDIVR1_b.HDIV = 4; // HCLK should be set with original frequency + } + + return ( CRC->RLT & 0xffff ); +} + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum User Mode Start + * @param[in] u32SEL + * CRC/Checksum Selection + * - CRC_CRC + * - CRC_CHECKSUM + * @param[in] u32POLY + * CRC Polynomial Selection + * - CRC_CCITT + * - CRC_16 + * @param[in] u32AdrInc + * Auto Increment of Start Address + * - CRC_NOINC + * - CRC_AUTOINC + * @param[in] u32FirstBit + * CRC 1st Shifted-in bit + * - CRC_MSB + * - CRC_LSB + * @return @ref HAL_Status_Type + * @details This function sets the control register for CRC/Checksum User mode + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_CRC_ConfigUserMode( uint32_t u32SEL, uint32_t u32POLY, uint32_t u32AdrInc, uint32_t u32FirstBit ) +{ + CRC->CR = 0 + | ( 0x1uL << CRC_CR_RLTCLR_Pos ) // CRC/Checksum Result Data Register (CRC_RLT) Initialization + | MODS_UserMode // User/Auto Mode Selection + | u32SEL // CRC/Checksum Selection + | u32POLY // Polynomial Selection (CRC only) + | u32AdrInc // CRC/Checksum Start Address Auto Increment Control (User mode only) + | u32FirstBit; // First Shifted-in Selection (CRC only) + CRCRun(); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Input on User Mode + * @param[in] u32Input + * CRC/Checksum Input + * @return CRC/Checksum Result with finish Indicator + * - 0x8a29xxxx if on run + * - 0x0000xxxx if Finish + * @details This function calculates up to finish. + * The CRC_SADR register should be incremented by 4 every input on CRC_NOINC + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_CRC_UserInput( uint32_t u32Input ) +{ + uint32_t u32Result = 0x8a290000uL; + uint32_t CRC_EADR; + + CRC_InData( u32Input ); + CRC_EADR = CRC->EADR; + if( ( !ChkCRCFinish() ) || ( CRC->SADR > CRC_EADR ) ) // "Auto"/"User" Increment of Start Address + { + CRCStop(); // Stop forcingly on User Increment of Start Address + SCUCG->PPCLKEN2_b.CRCLKE = 0; // CRC/Checksum Clock Disable + u32Result = ( CRC->RLT & 0xffff ); + } + + return u32Result; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_debug_frmwrk.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_debug_frmwrk.c new file mode 100644 index 0000000..102740e --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_debug_frmwrk.c @@ -0,0 +1,438 @@ +/***************************************************************************//** +* @file A31G12x_hal_debug_frmwrk.c +* @brief Contains all functions support for debug_frmwrk firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include +#include +#include "A31G12x_hal_debug_frmwrk.h" +#include "A31G12x_hal_pcu.h" + +//****************************************************************************** +// Constant +//****************************************************************************** + +#define ASCII_BACKSPACE (0x08) +#define ASCII_LINEFEED (0x0A) +#define ASCII_CARRIAGE_RETURN (0x0D) + +#ifdef _DEBUG_MSG + +//****************************************************************************** +// Variable +//****************************************************************************** + +void ( *_db_msg )( UARTn_Type* UARTx, const void* s ); +void ( *_db_msg_ )( UARTn_Type* UARTx, const void* s ); +void ( *_db_char )( UARTn_Type* UARTx, uint8_t ch ); +void ( *_db_dec )( UARTn_Type* UARTx, uint8_t decn ); +void ( *_db_dec_16 )( UARTn_Type* UARTx, uint16_t decn ); +void ( *_db_dec_32 )( UARTn_Type* UARTx, uint32_t decn ); +void ( *_db_hex )( UARTn_Type* UARTx, uint8_t hexn ); +void ( *_db_hex_16 )( UARTn_Type* UARTx, uint16_t hexn ); +void ( *_db_hex_32 )( UARTn_Type* UARTx, uint32_t hexn ); +uint8_t ( *_db_get_char )( UARTn_Type* UARTx ); +uint8_t ( *_db_get_ch )( UARTn_Type* UARTx, uint8_t* ch ); + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +#if 0 +/*-------------------------------------------------------------------------*//** + * @brief Puts a character to file + * @param[in] ch + * Character to put + * @param[in] f + * Pointer to file + * @return character + * @note if you use IAR EWARM, select Full as Options/General Options/Library Configuration/Library. + *//*-------------------------------------------------------------------------*/ +int fputc( int ch, FILE* f ) +{ + while( HAL_UART_CheckBusy( ( UARTn_Type* )DEBUG_UART_PORT ) ); + HAL_UART_TransmitByte( ( UARTn_Type* )DEBUG_UART_PORT, ch ); + + return( ch ); +} +#endif + +/*-------------------------------------------------------------------------*//** + * @brief Puts a character to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] ch + * Character to put + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutChar( UARTn_Type* UARTx, uint8_t ch ) +{ + HAL_UART_Transmit( UARTx, &ch, 1, BLOCKING ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Get a character to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return character value that returned + *//*-------------------------------------------------------------------------*/ +uint8_t UARTGetChar( UARTn_Type* UARTx ) +{ + uint8_t tmp = 0; + + HAL_UART_Receive( UARTx, &tmp, 1, BLOCKING ); + + return( tmp ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Get a character to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] ch + * Character to get + * @return if getting value, return '1'. if not, return '0' + *//*-------------------------------------------------------------------------*/ +uint8_t UARTGetCh( UARTn_Type* UARTx, uint8_t* ch ) +{ + if( !( UARTx->LSR & UARTn_LSR_RDR ) ) + { + *ch = 0; + return( 0 ); + } + else + { + *ch = HAL_UART_ReceiveByte( UARTx ); + return( 1 ); + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a string to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] str + * String to put + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPuts( UARTn_Type* UARTx, const void* str ) +{ + uint8_t* s = ( uint8_t* )str; + + while( *s ) + { + UARTPutChar( UARTx, *s++ ); + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a string to UART port and print new line + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] str + * String to put + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPuts_( UARTn_Type* UARTx, const void* str ) +{ + UARTPuts( UARTx, str ); + UARTPuts( UARTx, "\n\r" ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a decimal number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] decnum + * Decimal number (8-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutDec( UARTn_Type* UARTx, uint8_t decnum ) +{ + uint8_t c1 = decnum % 10; + uint8_t c2 = ( decnum / 10 ) % 10; + uint8_t c3 = ( decnum / 100 ) % 10; + + UARTPutChar( UARTx, '0' + c3 ); + UARTPutChar( UARTx, '0' + c2 ); + UARTPutChar( UARTx, '0' + c1 ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a decimal number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] decnum + * Decimal number (8-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutDec16( UARTn_Type* UARTx, uint16_t decnum ) +{ + uint8_t c1 = decnum % 10; + uint8_t c2 = ( decnum / 10 ) % 10; + uint8_t c3 = ( decnum / 100 ) % 10; + uint8_t c4 = ( decnum / 1000 ) % 10; + uint8_t c5 = ( decnum / 10000 ) % 10; + + UARTPutChar( UARTx, '0' + c5 ); + UARTPutChar( UARTx, '0' + c4 ); + UARTPutChar( UARTx, '0' + c3 ); + UARTPutChar( UARTx, '0' + c2 ); + UARTPutChar( UARTx, '0' + c1 ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a decimal number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] decnum + * Decimal number (8-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutDec32( UARTn_Type* UARTx, uint32_t decnum ) +{ + uint8_t c1 = decnum % 10; + uint8_t c2 = ( decnum / 10 ) % 10; + uint8_t c3 = ( decnum / 100 ) % 10; + uint8_t c4 = ( decnum / 1000 ) % 10; + uint8_t c5 = ( decnum / 10000 ) % 10; + uint8_t c6 = ( decnum / 100000 ) % 10; + uint8_t c7 = ( decnum / 1000000 ) % 10; + uint8_t c8 = ( decnum / 10000000 ) % 10; + uint8_t c9 = ( decnum / 100000000 ) % 10; + uint8_t c10 = ( decnum / 1000000000 ) % 10; + + UARTPutChar( UARTx, '0' + c10 ); + UARTPutChar( UARTx, '0' + c9 ); + UARTPutChar( UARTx, '0' + c8 ); + UARTPutChar( UARTx, '0' + c7 ); + UARTPutChar( UARTx, '0' + c6 ); + UARTPutChar( UARTx, '0' + c5 ); + UARTPutChar( UARTx, '0' + c4 ); + UARTPutChar( UARTx, '0' + c3 ); + UARTPutChar( UARTx, '0' + c2 ); + UARTPutChar( UARTx, '0' + c1 ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a hex number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] hexnum + * Hex number (8-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutHex( UARTn_Type* UARTx, uint8_t hexnum ) +{ + uint8_t nibble, i; + + i = 1; + do + { + nibble = ( hexnum >> ( 4 * i ) ) & 0x0F; + UARTPutChar( UARTx, ( nibble > 9 ) ? ( 'A' + nibble - 10 ) : ( '0' + nibble ) ); + } while( i-- ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a hex number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] hexnum + * Hex number (16-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutHex16( UARTn_Type* UARTx, uint16_t hexnum ) +{ + uint8_t nibble, i; + + i = 3; + do + { + nibble = ( hexnum >> ( 4 * i ) ) & 0x0F; + UARTPutChar( UARTx, ( nibble > 9 ) ? ( 'A' + nibble - 10 ) : ( '0' + nibble ) ); + } while( i-- ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a hex number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] hexnum + * Hex number (32-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutHex32( UARTn_Type* UARTx, uint32_t hexnum ) +{ + uint8_t nibble, i; + + i = 7; + do + { + nibble = ( hexnum >> ( 4 * i ) ) & 0x0F; + UARTPutChar( UARTx, ( nibble > 9 ) ? ( 'A' + nibble - 10 ) : ( '0' + nibble ) ); + } while( i-- ); +} + +/*-------------------------------------------------------------------------*//** + * @brief print function that supports format as same as printf() function of library + * @param[in] format + * formatted string to be print + * @return None + *//*-------------------------------------------------------------------------*/ +void cprintf( const char* format, ... ) +{ + char buffer[512 + 1]; + va_list vArgs; + + va_start( vArgs, format ); + vsprintf( ( char* )buffer, ( char const* )format, vArgs ); + va_end( vArgs ); + + _DBG( buffer ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Initializes Debug Framework through initializing UARTn + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void debug_frmwrk_init( void ) +{ + UARTn_CFG_Type UARTn_Config; + +#if (USED_UART_DEBUG_PORT == 0) + + // Initialize UART0 pin connect + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 5, ALTERN_FUNC ); // PB5 SWDIO 0: SEG36 1: RXD0 2: SWDIO 3: ---- 4: ---- + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 5, AFSRx_AF1 ); + + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 4, ALTERN_FUNC ); // PB4 SWCLK 0: SEG37 1: TXD0 2: SWCLK 3: ---- 4: ---- + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 4, AFSRx_AF1 ); + +#elif (USED_UART_DEBUG_PORT == 1) + + // Initialize UART1 pin connect + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 7, ALTERN_FUNC ); // PB7 0: SEG34 1: RXD1 2: ---- 3: ---- 4: ---- + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 7, AFSRx_AF1 ); + + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 6, ALTERN_FUNC ); // PB6 0: SEG35 1: TXD1 2: ---- 3: ---- 4: ---- + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 6, AFSRx_AF1 ); + +#endif + + /* Initialize UART Configuration parameter structure to default state: + * Baudrate = 38400bps + * 8 data bit + * no parity + * 1 stop bit + */ + HAL_UART_ConfigStructInit( &UARTn_Config ); + UARTn_Config.Baudrate = 38400; + + // Initialize DEBUG_UART_PORT peripheral with given to corresponding parameter + HAL_UART_Init( ( UARTn_Type* )DEBUG_UART_PORT, &UARTn_Config ); + + _db_msg = UARTPuts; + _db_msg_ = UARTPuts_; + _db_char = UARTPutChar; + _db_hex = UARTPutHex; + _db_hex_16 = UARTPutHex16; + _db_hex_32 = UARTPutHex32; + _db_dec = UARTPutDec; + _db_dec_16 = UARTPutDec16; + _db_dec_32 = UARTPutDec32; + _db_get_char = UARTGetChar; + _db_get_ch = UARTGetCh; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get a character to UART port + * @param None + * @return character value that returned + *//*-------------------------------------------------------------------------*/ +uint8_t getstring( void ) +{ + uint8_t ch; + + ch = UARTGetChar( ( UARTn_Type* )UART1 ); + + if( ch > 0 ) + { + if( InCount < 80 ) + { + if( InCount == 0 && ch < 0x20 ) + { + InData[0] = 0; + return ch; + } + + UARTPutChar( ( UARTn_Type* )UART1, ch ); + if( ch == ASCII_BACKSPACE ) + { + InCount--; + return ch; + } + + if( ch == ASCII_CARRIAGE_RETURN ) + { + InData[InCount] = 0; + InFlag = 1; + return ch; + } + + InData[InCount++] = ch; + } + } + + return 0; +} + +#endif /* _DEBUG_MSG */ + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_fmc.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_fmc.c new file mode 100644 index 0000000..b0ba493 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_fmc.c @@ -0,0 +1,320 @@ +/***************************************************************************//** +* @file A31G12x_hal_fmc.c +* @brief Contains all functions support for fmc firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_fmc.h" + +//****************************************************************************** +// Variable +//****************************************************************************** + +uint32_t flash_id1_reg; +uint32_t flash_id2_reg; +uint32_t flash_addr_code0; +uint32_t flash_addr_code1; + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Entry of Flash Memory Control + * @return None + * @details This function sets flash IDs for erase/write + * The HIRC should be enabled before flash functions. So, Do enable the HIRC if disabled + * The LVR should be enabled and set over 2.28V level before flash functions. So, Do enable the LVR and Set 2.28V level over if disabled + * The global interrupt should be diabled by s/w. So, Diable global interrupt + *//*-------------------------------------------------------------------------*/ +void HAL_FMC_FlashEntry( void ) +{ + uint32_t WDT_WINDR; + + flash_id1_reg = FLASH_ID1 ^ FLASH_IDXOR; + flash_id2_reg = FLASH_ID2 ^ FLASH_IDXOR; + flash_addr_code0 = FLASH_ADDR_CD0 ^ FLASH_ADDR_CDXOR; + SCUCG->PPCLKEN2_b.FMCLKE = 1; // Enable Flash Memory Control Clock +#if 0 + SCUCG->CLKSRCR_b.HIRCEN = 1; // Enable HIRC + NOP(); + NOP(); + NOP(); + + SCULV->LVRCR_b.LVREN = 0x00; // Enable LVR, the Level should be set 2.28V over in CONF_LVRCNFIG register of configure option page 1 + SCUCC->NMISRCR_b.NMICON = 0; // Disable NMI +#endif + WDT_WINDR = WDT->WINDR; + if( WDT->CNT < WDT_WINDR ) + { + WDT->CNTR_b.CNTR = 0x6a; // Reload WDT Counter if WDT->CNT < WDT_WINDR + } + DI(); // Disable global interrupt +} + +/*-------------------------------------------------------------------------*//** + * @brief Exit of Flash Memory Control + * @return None + * @details This function clears flash IDs for erase/write + *//*-------------------------------------------------------------------------*/ +void HAL_FMC_FlashExit( void ) +{ + flash_id1_reg = 0; + flash_id2_reg = 0; + flash_addr_code0 = 0; + flash_addr_code1 = 0; + SCUCG->PPCLKEN2_b.FMCLKE = 0; // Disable Flash Memory Control Clock + EI(); // Enable global interrupt +} + +/*-------------------------------------------------------------------------*//** + * @brief Flash Page Erase/Write and Bulk(Chip) Erase + * @param[in] u32FncSel + * Select Flash Function + * - FLASH_BULK_ERASE + * - FLASH_PAGE_ERASE + * - FLASH_PAGE_WRITE + * @param[in] u32Addr + * Address of erase/write + * - Flash Memory: 0x00000000 ~ 0x0000FFFF + * - Configuration Option Area Page 1 ~ 3 + * @param[in] u32Buf + * Write Data + * @return None + * @details This function erases/writes flash memory and configure option area 1 to 3 + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_FMC_FlashFunction( uint32_t u32FncSel, uint32_t u32Addr, uint32_t* u32Buf ) +{ + uint32_t i; + volatile uint32_t* pagebuffer; + + pagebuffer = &FMC->PAGEBUF; + FMC->ADR = flash_addr_code0 ^ FLASH_ADDR_CDXOR; // Write 0x5FFFFFFF to FMC_ADR during the register is equal to 0x5FFFFF80; + FMC->IDR1 = flash_id1_reg ^ FLASH_IDXOR; // Identification Value 0 + FMC->IDR2 = flash_id2_reg ^ FLASH_IDXOR; // Identification Value 1 + + FMC->CR = FLASH_CLR_PAGEBUF; // Clear page buffer + for( i = 0 ; i < SECTOR_SIZE_BYTE / 4 ; i++ ) + { + if( u32FncSel == FLASH_PAGE_WRITE ) + { + *pagebuffer++ = *u32Buf++; // To page write + } + else if( ( u32FncSel == FLASH_PAGE_ERASE ) || ( u32FncSel == FLASH_BULK_ERASE ) ) + { + *pagebuffer++ = 0xFFFFFFFF; // To page or bulk erase + } + else + { + return FLASH_PGM_FAIL; + } + } + + if( ( u32FncSel == FLASH_PAGE_WRITE ) || ( u32FncSel == FLASH_PAGE_ERASE ) ) // Page Erase/Write + { + if( u32Addr < FLASH_START_ADDR ) + { + FMC->ADR = u32Addr + FLASH_START_ADDR; // Flash Page Address to be erased or written + } + else + { + FMC->ADR = u32Addr; + } + } + else if( u32FncSel == FLASH_BULK_ERASE ) // Bulk(Chip) Erase + { + FMC->BCR = FLASH_CHIPER_WOPT; // For bulk erase including Configure Option Page 1/2/3 + FMC->ADR = flash_addr_code1 ^ FLASH_ADDR_CDXOR; // Identification Address for bulk erase + } + else + { + return FLASH_PGM_FAIL; + } + + if( FMC->IDR1 != FLASH_ID1 ) + { + return FLASH_PGM_FAIL; // Check whether ID0 is ok or not + } + if( FMC->IDR2 != FLASH_ID2 ) + { + return FLASH_PGM_FAIL; // Check whether ID1 is ok or not + } + + if( ( u32FncSel == FLASH_PAGE_WRITE ) || ( u32FncSel == FLASH_PAGE_ERASE ) ) // Page Erase/Write + { + if( ( FMC->ADR >= FLASH_START_ADDR ) && ( FMC->ADR <= FLASH_END_ADDR ) ) + { + FMC->CR = FLASH_MEM_PGM_CODE | ( u32FncSel & 0x0000000F ); // Start flash page erase/write from here + } + else if( ( FMC->ADR >= CFG_OPT_SADDR ) && ( FMC->ADR <= CFG_OPT_EADDR ) ) + { + FMC->CR = FLASH_OPT_PGM_CODE | ( u32FncSel & 0x0000000F ); // Start configure page erase/write from here + } + else + { + return FLASH_PGM_FAIL; + } + } + else if( u32FncSel == FLASH_BULK_ERASE ) // Bulk(Chip) Erase + { + if( FMC->ADR != FLASH_ADDR_CD1 ) + { + return FLASH_PGM_FAIL; + } + FMC->CR = FLASH_BULK_CODE; // Start bulk(chip) erase from here + } + else + { + return FLASH_PGM_FAIL; + } + + while( FMC->CR_b.FMBUSY ) {} // Check whether the busy bit. If time over, goes out with error. + if( !FMC->ERFLAG_b.FMOPFLAG ) + { + return FLASH_PGM_GOOD; // Success + } + + return FLASH_PGM_FAIL; +} + +/*-------------------------------------------------------------------------*//** + * @brief Flash Bulk(Chip) Erase Function + * @param[in] u32UserId + * Check value for real chip erase User ID to check flash memory bulk erase + * @return Result of FMC + * - Success: 0 + * - Fail: 9 + * @details This function erases flash memory area with configure option area 1 to 3 + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_FMC_BulkErase( uint32_t u32UserId ) +{ + uint32_t result; + + HAL_FMC_FlashEntry(); + flash_addr_code1 = FLASH_ADDR_CD1 ^ FLASH_ADDR_CDXOR; + if( u32UserId == 0x90E832CF ) // Ex) 0x90E832CF, The user ID may be changed by programmer + { + result = HAL_FMC_FlashFunction( FLASH_BULK_ERASE, 0, 0 ); + } + else + { + result = FLASH_PGM_FAIL; + } + + if( result ) // If fail + { + FMC->ERFLAG = 0x03uL; // Clear FMC related flag + } + HAL_FMC_FlashExit(); + + return result; +} + +/*-------------------------------------------------------------------------*//** + * @brief Flash Page Erase Function + * @param[in] u32UserId + * Check value for real page erase User ID to check flash memory page erase + * @param[in] u32Addr + * Target Address + * - Flash Memory: 0x00000000 ~ 0x0000FFFF + * - Configuration Option Area Page 1 ~ 3 + * @return Result of FMC + * - Success: 0 + * - Fail: 9 + * @details This function erases flash memory area and configure option area + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_FMC_PageErase( uint32_t u32UserId, uint32_t u32Addr ) +{ + uint32_t result; + + HAL_FMC_FlashEntry(); + if( u32UserId == 0xA901358F ) // Ex) 0xA901358F, The user ID may be changed by programmer + { + result = HAL_FMC_FlashFunction( FLASH_PAGE_ERASE, u32Addr, 0 ); + } + else + { + result = FLASH_PGM_FAIL; + } + + if( result ) // If fail + { + FMC->ERFLAG = 0x03uL; // Clear FMC related flag + } + HAL_FMC_FlashExit(); + + return result; +} + +/*-------------------------------------------------------------------------*//** + * @brief Flash Page Write Function + * @param[in] u32UserId + * Check value for real page write User ID to check flash memory page write + * @param[in] u32Addr + * Target Address + * - Flash Memory: 0x00000000 ~ 0x0000FFFF + * - Configuration Option Area Page 1 ~ 3 + * @param[in] u32Buf + * Write Data + * @return Result of FMC + * - Success: 0 + * - Fail: 9 + * @details This function writes flash memory area and configure option area + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_FMC_PageWrite( uint32_t u32UserId, uint32_t u32Addr, uint32_t* u32Buf ) +{ + uint32_t result; + + HAL_FMC_FlashEntry(); + if( u32UserId == 0x4F17DC86 ) // Ex) 0x4F17DC86, The user ID may be changed by programmer + { + result = HAL_FMC_FlashFunction( FLASH_PAGE_WRITE, u32Addr, u32Buf ); + } + else + { + result = FLASH_PGM_FAIL; + } + + if( result ) // If fail + { + FMC->ERFLAG = 0x03uL; // Clear FMC related flag + } + HAL_FMC_FlashExit(); + + return result; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_i2cn.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_i2cn.c new file mode 100644 index 0000000..0af1551 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_i2cn.c @@ -0,0 +1,1110 @@ +/***************************************************************************//** +* @file A31G12x_hal_i2cn.c +* @brief Contains all functions support for i2cn firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + + +#include "A31G12x_hal_i2cn.h" +#include "A31G12x_hal_scu.h" + +//****************************************************************************** +// Constant +//****************************************************************************** + +#define I2Cn_BLOCKING_TIMEOUT (0x000FFFFFUL) +#define I2Cn_MAX 3 + +//****************************************************************************** +// Type +//****************************************************************************** + +/** I2Cn device configuration structure type */ +typedef struct +{ + union + { + I2Cn_M_SETUP_Type txrx_setup_master; /**< Transmission setup */ + I2Cn_S_SETUP_Type txrx_setup_slave; /**< Transmission setup */ + }; + int32_t dir; /* Current direction phase, 0 - write, 1 - read */ +} I2Cn_CFG_Type; + +//****************************************************************************** +// Variable +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief I2Cn driver data + *//*-------------------------------------------------------------------------*/ + +static I2Cn_CFG_Type i2cdat[I2Cn_MAX]; +static Bool I2Cn_MasterComplete[I2Cn_MAX]; +static Bool I2Cn_SlaveComplete[I2Cn_MAX]; + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Convert from I2C peripheral to number + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return I2C number or error code, could be: + * - 0: I2C0 + * - 1: I2C1 + * - 2: I2C2 + * - (-1): Error + *//*-------------------------------------------------------------------------*/ +int32_t I2Cn_getNum( I2Cn_Type* I2Cx ) +{ +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + return 0; + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + return 1; + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + return 2; + } +#endif + + return -1; +} + +/*-------------------------------------------------------------------------*//** + * @brief wait and return status in master mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return Status + *//*-------------------------------------------------------------------------*/ +int32_t I2Cn_MWait( I2Cn_Type* I2Cx ) +{ + uint32_t tmp; + int32_t ret = 0; + + while( 1 ) // Interrupt Status Check + { + if( ( I2Cx->CR & I2Cn_CR_I2CnIFLAG_Msk ) != 0 ) + { + break; + } + } + + tmp = I2Cx->ST; + I2Cx->ST = 0xFF; + + switch( tmp ) + { + // Transmitter mode + case 0x87: + ret = TRANS_MODE; + break; + + // Receive mode + case 0x85: + ret = RECEIVE_MODE; + break; + + // Transed Data + case 0x47: + ret = TRANS_DATA; + break; + + // Received Data + case 0x44: + case 0x45: + ret = RECEIVE_DATA; + break; + + default: + if( ( tmp ) & 0x08 ) + { + ret = LOST_BUS; // lost + } + else if( ( tmp ) & 0x20 ) + { + ret = STOP_DECT; // stop + } + else + { + ret = -1; + } + break; + } + + return ret; +} + +/*-------------------------------------------------------------------------*//** + * @brief wait and return status in slave mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return Status + *//*-------------------------------------------------------------------------*/ +int32_t I2Cn_SWait( I2Cn_Type* I2Cx ) +{ + uint32_t tmp; + int32_t ret = 0; + + while( 1 ) // Interrupt Status Check + { + if( ( I2Cx->CR & I2Cn_CR_I2CnIFLAG_Msk ) != 0 ) + { + break; + } + } + + tmp = I2Cx->ST; + I2Cx->ST = 0xFF; + + switch( tmp ) + { + // Receive mode + case 0x15: + case 0x95: + ret = RECEIVE_MODE; + break; + + // Transmitter mode + case 0x17: + case 0x97: + ret = TRANS_MODE; + break; + + // Received Data + case 0x45: + ret = RECEIVE_DATA; + break; + + // Transed Data + case 0x47: + ret = TRANS_DATA; + break; + default: + if( tmp & 0x08 ) + { + ret = LOST_BUS; // lost + } + else if( tmp & 0x20 ) + { + ret = STOP_DECT; // stop + } + else + { + ret = -1; + } + break; + } + + return ret; +} + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the I2Cn peripheral with the specified parameters. + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] clockrate + * Target Clock Rate (Hz) + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Init( I2Cn_Type* I2Cx, uint32_t clockrate ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + /* Set up clock for I2C0 module */ + SCUCG->PPCLKEN2_b.I2C0CLKE = 1; + HAL_SCU_Peripheral_SetReset2( 1 << 6 ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + /* Set up clock for I2C1 module */ + SCUCG->PPCLKEN2_b.I2C1CLKE = 1; + HAL_SCU_Peripheral_SetReset2( 1 << 7 ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + /* Set up clock for I2C2 module */ + SCUCG->PPCLKEN2_b.I2C2CLKE = 1; + HAL_SCU_Peripheral_SetReset2( 1 << 8 ); + } +#endif + + I2Cx->CR_b.I2CnEN = 1; // I2C Block Active + I2Cx->CR_b.I2CnIEN = 1; // I2C Interrupt Enable + + + I2Cx->SCLR = ( SystemPeriClock / clockrate - 4 ) / 8; // freq = PCLK / ((4*SCLL+2) + (4*SCLH+2)) + I2Cx->SCHR = ( SystemPeriClock / clockrate - 4 ) / 8; // ex) 100k = 10M / ((4*12+2) + (4*12+2)), if PCLK : 10MHz + + + + + I2Cx->SDHR = 1; // default value 1 + + I2Cx->CR_b.ACKnEN = 1; // ACK Signal Enable + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Deinitialize the I2Cn peripheral registers to their default reset values. + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_DeInit( I2Cn_Type* I2Cx ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + /* Disable I2C control */ + I2Cx->CR = 0; // I2C Block Disable + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + /* Set up clock for I2C0 module */ + SCUCG->PPCLKEN2_b.I2C0CLKE = 0; + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + /* Set up clock for I2C1 module */ + SCUCG->PPCLKEN2_b.I2C1CLKE = 0; + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + /* Set up clock for I2C2 module */ + SCUCG->PPCLKEN2_b.I2C2CLKE = 0; + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable interrupt for I2C peripheral + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_ConfigInterrupt( I2Cn_Type* I2Cx, Bool NewState ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + if( NewState ) + { +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + NVIC_ClearPendingIRQ( I2C0_IRQn ); + NVIC_EnableIRQ( I2C0_IRQn ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + NVIC_ClearPendingIRQ( I2C1_IRQn ); + NVIC_EnableIRQ( I2C1_IRQn ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + NVIC_ClearPendingIRQ( I2C2_IRQn ); + NVIC_EnableIRQ( I2C2_IRQn ); + } +#endif + } + else + { +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + NVIC_DisableIRQ( I2C0_IRQn ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + NVIC_DisableIRQ( I2C1_IRQn ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + NVIC_DisableIRQ( I2C2_IRQn ); + } +#endif + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Own slave address in I2C peripheral + * corresponding to parameter specified in OwnSlaveAddrConfigStruct. + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] SlaveAddr_7bit + * own slave address + * @param[in] GeneralCallState + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Slave_SetAddress1( I2Cn_Type* I2Cx, uint8_t SlaveAddr_7bit, uint8_t GeneralCallState ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + I2Cx->SAR1 = ( ( ( uint32_t )( SlaveAddr_7bit << 1 ) ) | ( ( GeneralCallState == ENABLE ) ? 0x01 : 0x00 ) ) & I2Cn_SLA_BITMASK; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Own slave address in I2C peripheral + * corresponding to parameter specified in OwnSlaveAddrConfigStruct. + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] SlaveAddr_7bit + * own slave address + * @param[in] GeneralCallState + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Slave_SetAddress2( I2Cn_Type* I2Cx, uint8_t SlaveAddr_7bit, uint8_t GeneralCallState ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + I2Cx->SAR2 = ( ( ( uint32_t )( SlaveAddr_7bit << 1 ) ) | ( ( GeneralCallState == ENABLE ) ? 0x01 : 0x00 ) ) & I2Cn_SLA_BITMASK; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Status of Master Transfer + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return Status of Master Transfer + * - TRUE, FALSE + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_I2C_Master_GetState( I2Cn_Type* I2Cx ) +{ + uint32_t retval, tmp; + + tmp = I2Cn_getNum( I2Cx ); + retval = I2Cn_MasterComplete[tmp]; + I2Cn_MasterComplete[tmp] = FALSE; + + return retval; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Status of Slave Transfer + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return Status of Slave Transfer + * - TRUE, FALSE + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_I2C_Slave_GetState( I2Cn_Type* I2Cx ) +{ + uint32_t retval, tmp; + + tmp = I2Cn_getNum( I2Cx ); + retval = I2Cn_SlaveComplete[tmp]; + I2Cn_SlaveComplete[tmp] = FALSE; + + return retval; +} + +/*-------------------------------------------------------------------------*//** + * @brief General Master Interrupt handler for I2C peripheral + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Master_IRQHandler_IT( I2Cn_Type* I2Cx ) +{ + int32_t tmp; + I2Cn_M_SETUP_Type* txrx_setup; + uint32_t status; + + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + tmp = I2Cn_getNum( I2Cx ); + txrx_setup = ( I2Cn_M_SETUP_Type* )&i2cdat[tmp].txrx_setup_master; + + status = I2Cx->ST; + + switch( status ) + { + case 0x87: // transmit mode - addr ACK + if( txrx_setup->tx_count < txrx_setup->tx_length ) + { + I2Cx->DR = txrx_setup->tx_data[txrx_setup->tx_count]; + txrx_setup->tx_count++; + } + else + { + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 1 ); // STOP + } + break; + + case 0x47: // transmit mode - data ACK + if( txrx_setup->tx_count < txrx_setup->tx_length ) + { + I2Cx->DR = txrx_setup->tx_data[txrx_setup->tx_count]; + txrx_setup->tx_count++; + } + else + { + if( txrx_setup->rx_count < txrx_setup->rx_length ) + { + // load slave address and rw flag (SLA+RnW) + I2Cx->DR = ( ( txrx_setup->sl_addr7bit << 1 ) | 0x01 ); + + // generate start condition + I2Cx->CR |= ( 1 << 0 ); // reSTART + } + else + { + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 1 ); // STOP + } + } + break; + + case 0x85: // receive mode - addr ACK + if( txrx_setup->rx_count < txrx_setup->rx_length ) + { + if( ( txrx_setup->rx_length > 1 ) && ( txrx_setup->rx_count < ( txrx_setup->rx_length - 1 ) ) ) + { + NOP(); + } + else + { + I2Cx->CR_b.ACKnEN = 0; // disable ACKEN + } + } + else + { + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 1 ); // STOP + } + break; + + case 0x45: // receive mode - data ACK + if( txrx_setup->rx_count < txrx_setup->rx_length ) + { + txrx_setup->rx_data[txrx_setup->rx_count] = I2Cx->DR; + txrx_setup->rx_count++; + + if( ( txrx_setup->rx_length > 1 ) && ( txrx_setup->rx_count < ( txrx_setup->rx_length - 1 ) ) ) + { + NOP(); + } + else + { + I2Cx->CR_b.ACKnEN = 0; // disable ACKEN + } + } + break; + + case 0x44: // receive mode - data NOACK + if( txrx_setup->rx_count < txrx_setup->rx_length ) + { + txrx_setup->rx_data[txrx_setup->rx_count] = I2Cx->DR; + txrx_setup->rx_count++; + + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 1 ); // STOP + } + break; + + case 0x20: // receive mode + case 0x22: // transmit mode - stop receive + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + goto s_int_end; + + default: + if( status & 0x08 ) // mastership lost + { + + } + break; + } + + I2Cx->ST = 0xff; // flag clear and SCL go to HIGH + return HAL_OK; + +s_int_end: + + I2Cx->ST = 0xff; // flag clear and SCL go to HIGH + + // Disable interrupt + HAL_I2C_ConfigInterrupt( I2Cx, FALSE ); + + I2Cn_MasterComplete[tmp] = TRUE; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief General Slave Interrupt handler for I2C peripheral + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Slave_IRQHandler_IT( I2Cn_Type* I2Cx ) +{ + int32_t tmp; + I2Cn_S_SETUP_Type* txrx_setup; + uint32_t status; + + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + tmp = I2Cn_getNum( I2Cx ); + txrx_setup = ( I2Cn_S_SETUP_Type* )&i2cdat[tmp].txrx_setup_slave; + + status = I2Cx->ST; + switch( status ) + { + case 0x15: // receive mode - slave select + ACK + case 0x45: // receive mode - data ACK + if( ( txrx_setup->rx_count < txrx_setup->rx_length ) && ( txrx_setup->rx_data != NULL ) ) + { + txrx_setup->rx_data[txrx_setup->rx_count] = I2Cx->DR; + txrx_setup->rx_count++; + } + break; + + case 0x20: // receive mode + case 0x22: // transmit mode - stop receive + goto s_int_end; + + case 0x17: // transmit mode - slave select + ACK + case 0x46: // transmit mode - data NOACK + case 0x47: // transmit mode - data ACK + if( ( txrx_setup->tx_count < txrx_setup->tx_length ) && ( txrx_setup->tx_data != NULL ) ) + { + I2Cx->DR = txrx_setup->tx_data[txrx_setup->tx_count]; + txrx_setup->tx_count++; + } + break; + } + + I2Cx->ST = 0xff; // flag clear and SCL go to HIGH + return HAL_OK; + +s_int_end: + + I2Cx->ST = 0xff; // flag clear and SCL go to HIGH + + // Disable interrupt + HAL_I2C_ConfigInterrupt( I2Cx, FALSE ); + + I2Cn_SlaveComplete[tmp] = TRUE; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Transmit and Receive data in master mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_M_SETUP_Type structure + * that contains specified information about the configuration for master transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_MasterTransferData( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + int32_t tmp; + uint32_t exitflag; + int32_t Ret; + + // Reset I2C setup value to default state + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + while( I2Cx->ST & 0x04 ); // busy check + + if( Opt == I2Cn_TRANSFER_POLLING ) + { + // init count + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + // tx transfer + if( TransferCfg->tx_count < TransferCfg->tx_length ) + { + // generate start condition + I2Cx->DR = ( TransferCfg->sl_addr7bit << 1 ); // load slave address and write flag (SLA+RnW) + I2Cx->CR |= ( 1 << 0 ); // START + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != TRANS_MODE ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + + // tx data + exitflag = 1; + while( exitflag ) + { + if( TransferCfg->tx_count < TransferCfg->tx_length ) + { + // tx byte + I2Cx->DR = TransferCfg->tx_data[TransferCfg->tx_count]; + TransferCfg->tx_count++; + I2Cx->ST = 0xFF; + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != TRANS_DATA ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + } + else + { + if( TransferCfg->rx_count >= TransferCfg->rx_length ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cx->ST = 0xFF; + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return SUCCESS; + } + else + { + exitflag = 0; + } + } + } + } + + // rx transfer + if( TransferCfg->rx_count < TransferCfg->rx_length ) + { + // generate start condition + I2Cx->DR = ( ( TransferCfg->sl_addr7bit << 1 ) | 0x01 ); // load slave address and read flag (SLA+RnW) + I2Cx->CR |= ( 1 << 0 ); // START + I2Cx->ST = 0xFF; + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != RECEIVE_MODE ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + + // rx data + exitflag = 1; + while( exitflag ) + { + if( ( TransferCfg->rx_length > 1 ) && ( TransferCfg->rx_count < ( TransferCfg->rx_length - 1 ) ) ) + { + // rx byte + I2Cx->ST = 0xFF; + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != RECEIVE_DATA ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + } + else // the next byte is the last byte, send NACK instead. + { + // generate nack + I2Cx->CR &= ~( 1 << 3 ); // ACK Signal Disable + + // rx byte + I2Cx->ST = 0xFF; + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != RECEIVE_DATA ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + } + TransferCfg->rx_data[TransferCfg->rx_count] = I2Cx->DR; + TransferCfg->rx_count++; + if( TransferCfg->rx_count == TransferCfg->rx_length ) + { + exitflag = 0; + // commented by kth return SUCCESS; + } + } + + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cx->ST = 0xFF; + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return SUCCESS; + } + } + else if( Opt == I2Cn_TRANSFER_INTERRUPT ) + { + // clear flag + tmp = I2Cn_getNum( I2Cx ); + I2Cn_MasterComplete[tmp] = FALSE; + + // Setup tx_rx data, callback and interrupt handler + i2cdat[tmp].txrx_setup_master = *TransferCfg; + + // Set direction phase, write first + i2cdat[tmp].dir = 0; + + // enable interrupt + HAL_I2C_ConfigInterrupt( I2Cx, TRUE ); + + // generate start condition + if( TransferCfg->tx_count < TransferCfg->tx_length ) + { + I2Cx->DR = ( TransferCfg->sl_addr7bit << 1 ); // load slave address and write flag (SLA+RnW) + } + else if( TransferCfg->rx_count < TransferCfg->rx_length ) + { + I2Cx->DR = ( ( TransferCfg->sl_addr7bit << 1 ) | 0x01 ); // load slave address and read flag (SLA+RnW) + } + I2Cx->CR |= ( 1 << 0 ); // START + + // return + return SUCCESS; + } + + // return + return ERROR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive and Transmit data in slave mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_S_SETUP_Type structure + * that contains specified information about the configuration for master transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_SlaveTransferData( I2Cn_Type* I2Cx, I2Cn_S_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + int32_t tmp; + int32_t Ret; + + // Reset I2C setup value to default state + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + // Polling option + if( Opt == I2Cn_TRANSFER_POLLING ) + { + while( 1 ) + { + Ret = I2Cn_SWait( I2Cx ); // Start + switch( Ret ) + { + case RECEIVE_MODE: + case RECEIVE_DATA: + if( ( TransferCfg->rx_count < TransferCfg->rx_length ) && ( TransferCfg->rx_data != NULL ) ) + { + TransferCfg->rx_data[TransferCfg->rx_count] = I2Cx->DR; + TransferCfg->rx_count++; + } + break; + case TRANS_MODE: + case TRANS_DATA: + if( ( TransferCfg->tx_count < TransferCfg->tx_length ) && ( TransferCfg->tx_data != NULL ) ) + { + I2Cx->DR = TransferCfg->tx_data[TransferCfg->tx_count]; + TransferCfg->tx_count++; + } + break; + case STOP_DECT: + goto s_end_stage; + case 0: + break; + default: + goto s_error; + } + } + +s_end_stage: + I2Cx->ST = 0xFF; + return SUCCESS; + +s_error: + I2Cx->ST = 0xFF; + return ERROR; + } + + else if( Opt == I2Cn_TRANSFER_INTERRUPT ) + { + tmp = I2Cn_getNum( I2Cx ); + I2Cn_SlaveComplete[tmp] = FALSE; + + // Setup tx_rx data, callback and interrupt handler + i2cdat[tmp].txrx_setup_slave = *TransferCfg; + + // Set direction phase, read first + i2cdat[tmp].dir = 1; + + HAL_I2C_ConfigInterrupt( I2Cx, TRUE ); + + return SUCCESS; + } + + // return + return ERROR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Transmit an array of bytes in Master mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_M_SETUP_Type structure + * that contains specified information about the configuration for master transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_Master_Transmit( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + TransferCfg->rx_data = NULL; + TransferCfg->rx_length = 0; + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + return HAL_I2C_MasterTransferData( I2Cx, TransferCfg, Opt ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive an array of bytes in Master mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_M_SETUP_Type structure + * that contains specified information about the configuration for master transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_Master_Receive( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + TransferCfg->tx_data = NULL; + TransferCfg->tx_length = 0; + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + return HAL_I2C_MasterTransferData( I2Cx, TransferCfg, Opt ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive an array of bytes in Slave mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_S_SETUP_Type structure + * that contains specified information about the configuration for slave transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_Slave_Receive( I2Cn_Type* I2Cx, I2Cn_S_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + TransferCfg->tx_data = NULL; + TransferCfg->tx_length = 0; + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + return HAL_I2C_SlaveTransferData( I2Cx, TransferCfg, Opt ); +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_intc.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_intc.c new file mode 100644 index 0000000..1ac6aeb --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_intc.c @@ -0,0 +1,461 @@ +/***************************************************************************//** +* @file A31G12x_hal_intc.c +* @brief Contains all functions support for intc firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_intc.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Configure External Interrupt Trigger + * @param[in] u32Px + * Port Number + * - PORTB ~ PORTC, PORTE + * @param[in] u32pin + * Pin Number + * - 0 ~ 11 + * @param[in] u32Trig + * Trigger Mode + * - ITRIGx_Edge, ITRIGx_Level + * @param[in] u32Con + * Interrupt Mode + * - when Trigger Mode is ITRIGx_Edge + * - INTCTLx_Disable, INTCTLx_FallingEdge, INTCTLx_RisingEdge, INTCTLx_BothEdge + * - when Trigger Mode is ITRIGx_Level + * - INTCTLx_Disable, INTCTLx_LowLevel, INTCTLx_HighLevel + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + * @code + * [Example] + * + * // configure PB0 as a Falling Edge Trigger + * HAL_INT_EIntPx_SetReg( PORTB, 0, ITRIGx_Edge, INTCTLx_FallingEdge ); + * + * // configure PB1 as a Falling Edge Trigger + * HAL_INT_EIntPx_SetReg( PORTB, 1, ITRIGx_Edge, INTCTLx_RisingEdge ); + * + * // configure PB2 as a Falling Edge Trigger + * HAL_INT_EIntPx_SetReg( PORTB, 2, ITRIGx_Edge, INTCTLx_BothEdge ); + * + * // configure PB3 as a Falling Edge Trigger + * HAL_INT_EIntPx_SetReg( PORTB, 3, ITRIGx_Level, INTCTLx_LowLevel ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPx_SetReg( uint32_t u32Px, uint32_t u32pin, uint32_t u32Trig, uint32_t u32Con ) +{ + uint32_t temp_reg; + +#ifdef PORTA + if( u32Px == PORTA ) + { + temp_reg = INTC->PATRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PATRIG = temp_reg; + + temp_reg = INTC->PACR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PACR = temp_reg; + } +#endif + +#ifdef PORTB + if( u32Px == PORTB ) + { + temp_reg = INTC->PBTRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PBTRIG = temp_reg; + + temp_reg = INTC->PBCR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PBCR = temp_reg; + } +#endif + +#ifdef PORTC + if( u32Px == PORTC ) + { + temp_reg = INTC->PCTRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PCTRIG = temp_reg; + + temp_reg = INTC->PCCR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PCCR = temp_reg; + } +#endif + +#ifdef PORTD + if( u32Px == PORTD ) + { + temp_reg = INTC->PDTRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PDTRIG = temp_reg; + + temp_reg = INTC->PDCR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PDCR = temp_reg; + } +#endif + +#ifdef PORTE + if( u32Px == PORTE ) + { + temp_reg = INTC->PETRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PETRIG = temp_reg; + + temp_reg = INTC->PECR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PECR = temp_reg; + } +#endif + +#ifdef PORTF + if( u32Px == PORTF ) + { + temp_reg = INTC->PFTRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PFTRIG = temp_reg; + + temp_reg = INTC->PFCR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PFCR = temp_reg; + } +#endif +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure External Interrupt Group + * @param[in] u32TarIntNum + * External Interrupt Number + * - EINT0 ~ EINT3 + * @param[in] u32SrcPort + * Port Number + * - CONFx_PB ~ CONFx_PC, CONFx_PE + * @param[in] u32SrcPin + * Pin Number + * - 0 ~ 11 + * @return None + * @details This function configures the external interrupt group 0 to 3 + * - If EINT0CONF1 == 0x01214211, The group 0 interrupts are [None:PB6:None:PB4:PE3:PC2:PB1:PB0] + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntCfg( uint32_t u32TarIntNum, uint32_t u32SrcPort, uint32_t u32SrcPin ) +{ + uint32_t temp_reg; + + if( u32SrcPin < 8 ) + { + if( u32TarIntNum == EINT0 ) + { + temp_reg = INTC->EINT0CONF1; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT0CONF1 = temp_reg; + } + else if( u32TarIntNum == EINT1 ) + { + temp_reg = INTC->EINT1CONF1; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT1CONF1 = temp_reg; + } + else if( u32TarIntNum == EINT2 ) + { + temp_reg = INTC->EINT2CONF1; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT2CONF1 = temp_reg; + } + else if( u32TarIntNum == EINT3 ) + { + temp_reg = INTC->EINT3CONF1; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT3CONF1 = temp_reg; + } + } +#if 1 + else + { + u32SrcPin -= 8; + if( u32TarIntNum == EINT0 ) + { + temp_reg = INTC->EINT0CONF2; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT0CONF2 = temp_reg; + } + else if( u32TarIntNum == EINT1 ) + { + temp_reg = INTC->EINT1CONF2; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT1CONF2 = temp_reg; + } + else if( u32TarIntNum == EINT2 ) + { + temp_reg = INTC->EINT2CONF2; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT2CONF2 = temp_reg; + } + else if( u32TarIntNum == EINT3 ) + { + temp_reg = INTC->EINT3CONF2; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT3CONF2 = temp_reg; + } + } +#endif +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable Interrupt Source Mask + * @param[in] u32Src + * Interrupt Source Mask + * - MSK_LVI | MSK_WUT | MSK_WDT | MSK_EINT0 | ... + * @return None + * @code + * [Example] + * + * // mask LVI, WUT, WDT interrupt + * HAL_INT_EInt_MaskEnable( MSK_LVI | MSK_WUT | MSK_WDT ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EInt_MaskEnable( uint32_t u32Src ) +{ + INTC->MSK &= ~u32Src; +} + +/*-------------------------------------------------------------------------*//** + * @brief Disable Interrupt Source Mask + * @param[in] u32Src + * Interrupt Source Mask + * - MSK_LVI | MSK_WUT | MSK_WDT | MSK_EINT0 | ... + * @return None + * @code + * [Example] + * + * // unmask LVI, WUT, WDT interrupt + * HAL_INT_EInt_MaskDisable( MSK_LVI | MSK_WUT | MSK_WDT ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EInt_MaskDisable( uint32_t u32Src ) +{ + INTC->MSK |= u32Src; +} + +#ifdef PORTA +/*-------------------------------------------------------------------------*//** + * @brief Clear PA Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPA_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PAFLAG = u32Value; +} +#endif + +#ifdef PORTB +/*-------------------------------------------------------------------------*//** + * @brief Clear PB Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG11 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPB_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PBFLAG = u32Value; +} +#endif + +#ifdef PORTC +/*-------------------------------------------------------------------------*//** + * @brief Clear PC Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG3 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPC_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PCFLAG = u32Value; +} +#endif + +#ifdef PORTD +/*-------------------------------------------------------------------------*//** + * @brief Clear PD Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPD_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PDFLAG = u32Value; +} +#endif + +#ifdef PORTE +/*-------------------------------------------------------------------------*//** + * @brief Clear PE Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG3 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPE_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PEFLAG = u32Value; +} +#endif + +#ifdef PORTF +/*-------------------------------------------------------------------------*//** + * @brief Clear PF Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPF_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PFFLAG = u32Value; +} +#endif + +#ifdef PORTA +/*-------------------------------------------------------------------------*//** + * @brief Get PA Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPA_GetIntStatus( void ) +{ + return INTC->PAFLAG; +} +#endif + +#ifdef PORTB +/*-------------------------------------------------------------------------*//** + * @brief Get PB Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPB_GetIntStatus( void ) +{ + return INTC->PBFLAG; +} +#endif + +#ifdef PORTC +/*-------------------------------------------------------------------------*//** + * @brief Get PC Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPC_GetIntStatus( void ) +{ + return INTC->PCFLAG; +} +#endif + +#ifdef PORTD +/*-------------------------------------------------------------------------*//** + * @brief Get PD Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPD_GetIntStatus( void ) +{ + return INTC->PDFLAG; +} +#endif + +#ifdef PORTE +/*-------------------------------------------------------------------------*//** + * @brief Get PE Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPE_GetIntStatus( void ) +{ + return INTC->PEFLAG; +} +#endif + +#ifdef PORTF +/*-------------------------------------------------------------------------*//** + * @brief Get PF Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPF_GetIntStatus( void ) +{ + return INTC->PFFLAG; +} +#endif + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_lcd.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_lcd.c new file mode 100644 index 0000000..e97a961 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_lcd.c @@ -0,0 +1,151 @@ +/***************************************************************************//** +* @file A31G12x_hal_lcd.c +* @brief Contains all functions support for lcd firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_lcd.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the LCD peripheral with the specified parameters. + * @param[in] LCD_Config + * Pointer to LCD_CFG_Type + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + * @details This function sets the LCD Bias Resistor, LCD Duty, + * LCD Clock, Automatic Bias Control, and Contrast Control Data. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_LCD_Init( LCD_CFG_Type* LCD_Config ) +{ + /* Check LCD_Config */ + if( LCD_Config == NULL ) + { + return HAL_ERROR; + } + + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_LCDCLKE, PPxCLKE_Enable ); + + LCD->CR = 0 + | LCD_Config->Bias + | LCD_Config->Duty + | LCD_Config->Clk + ; + + LCD->BCCR = 0 + | LCD_Config->AutoBiasEn + | LCD_Config->BiasTime + | LCD_Config->Contrast + | LCD_Config->ContrastStep + ; + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set LCD LCD_CR/LCD_BCCR Registers + * @param[in] u32LCD_CR + * LCD Driver Control Register Setting Data + * @param[in] u32LCD_BCCR + * LCD Automatic bias and Contrast Control Register Setting Data + * @return @ref HAL_Status_Type + * @details This function sets the LCD Bias Resistor, LCD Duty, + * LCD Clock, Automatic Bias Control, and Contrast Control Data. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_LCD_SetRegister( uint32_t u32LCD_CR, uint32_t u32LCD_BCCR ) +{ + LCD->CR = u32LCD_CR; // Set LCD Driver Control Register + LCD->BCCR = u32LCD_BCCR; // Set LCD Driver Automatic Bias and Contrast Control Register + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear LCD Buffer + * @return @ref HAL_Status_Type + * @details This function clear Display Data RAM. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_LCD_ClearDspRam( void ) +{ + uint8_t u32Index; + volatile uint8_t* lcd_dsp_ram; + + lcd_dsp_ram = &LCD->DR0; + for( u32Index = 0; u32Index < LCDBufSize; u32Index ++ ) + { + lcd_dsp_ram[u32Index] = 0; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Write LCD Buffer + * @param[in] write_buf + * Font Data Buffer Address + * @param[in] u32Index + * Font Data Buffer Index + * @param[in] size + * Font Data Buffer Size + * @return @ref HAL_Status_Type + * @details This function writes display data to Display Data RAM. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_LCD_WriteDspRam( uint8_t* write_buf, uint32_t u32Index, uint32_t size ) +{ + volatile uint8_t* lcd_dsp_ram; + uint32_t i; + + if( ( u32Index + size ) > LCDBufSize ) // Check Buffer size + { + size = size - ( ( u32Index + size ) - LCDBufSize ); + } + + lcd_dsp_ram = &LCD->DR0; + for( i = 0; i < size; i ++ ) + { + lcd_dsp_ram[u32Index + i] = write_buf[i]; + } + + return HAL_OK; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pcu.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pcu.c new file mode 100644 index 0000000..13bc51c --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pcu.c @@ -0,0 +1,364 @@ +/***************************************************************************//** +* @file A31G12x_hal_pcu.c +* @brief Contains all functions support for pcu firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_pcu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Set PCU Pn_MOD/Pn_TYP/Pn_AFSR1/Pn_PUPD Registers + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] u32Mode + * Values for In/Out/Alternative mode + * @param[in] u32Type + * Values for Push-pull and Open-drain + * @param[in] u32Afsr1 + * Values for Alternative Function + * @param[in] u32Afsr2 + * Values for Alternative Function + * @param[in] u32PuPd + * Values for Pull-up/down resistor + * @return None + * @details This function sets the mode, type, alternative function, and pull-up/down resistor of port. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_Init( Pn_Type* Px, uint32_t u32Mode, uint32_t u32Type, uint32_t u32Afsr1, uint32_t u32Afsr2, uint32_t u32PuPd ) +{ + Px->MOD = u32Mode; // 00/01/10/11: Input/Output/"Alternative Function"/Reserved Mode + Px->TYP = u32Type; // 0/1: Push-pull/Open-drain Output + Px->AFSR1 = u32Afsr1; // 0 to 4: Alternative Function 0 to 4 + Px->AFSR2 = u32Afsr2; // 0 to 4: Alternative Function 0 to 4 + Px->PUPD = u32PuPd; // 00/01/10/11: "Disable Pull-up/down"/"Enable Pull-up"/"Enable Pull-down"/Reserved Resistor +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure pin function + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] pin_no + * Pin Number + * - 0 ~ 15 + * @param[in] func + * Alternative Function Number + * - AFSRx_AF0 ~ AFSRx_AF4 + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ConfigFunction( Pn_Type* Px, uint8_t pin_no, uint32_t func ) +{ + uint8_t pin_offset; + uint32_t reg_val; + + if( pin_no < 8 ) // 0~7 + { + //-------------------------------------- + // pin_offset = pin_no * 4 + //-------------------------------------- + pin_offset = ( pin_no * 4 ); + + //-------------------------------------- + // MR + //-------------------------------------- + reg_val = Px->AFSR1; + reg_val &= ~( AFSRx_Msk << pin_offset ); + reg_val |= ( func << pin_offset ); + + Px->AFSR1 = reg_val; + } + else + { + pin_no -= 8; + //-------------------------------------- + // pin_offset = pin_no * 4 + //-------------------------------------- + pin_offset = ( pin_no * 4 ); + + //-------------------------------------- + // MR + //-------------------------------------- + reg_val = Px->AFSR2; + reg_val &= ~( AFSRx_Msk << pin_offset ); + reg_val |= ( func << pin_offset ); + + Px->AFSR2 = reg_val; + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure pin mode + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] pin_no + * Pin Number + * - 0 ~ 15 + * @param[in] dir_type + * Pin Mode + * - INPUT: 0 + * - PUSH_PULL_OUTPUT: 1 + * - ALTERN_FUNC: 2 + * - OPEN_DRAIN_OUTPUT: 3 + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ConfigOutput( Pn_Type* Px, uint8_t pin_no, PCU_PORT_MODE dir_type ) +{ + uint8_t pin_offset; + uint32_t reg_val; + uint32_t dir_type_temp; + + dir_type_temp = dir_type; + if( dir_type_temp == OPEN_DRAIN_OUTPUT ) + { + dir_type = PUSH_PULL_OUTPUT; + } + //-------------------------------------- + // pin_offset = pin_no * 2 + //-------------------------------------- + pin_offset = ( pin_no << 1 ); + + //-------------------------------------- + // Pn_MOD + //-------------------------------------- + reg_val = Px->MOD; + reg_val &= ~( MODEx_Msk << pin_offset ); + reg_val |= ( dir_type << pin_offset ); + Px->MOD = reg_val; + + //-------------------------------------- + // Pn_TYP + //-------------------------------------- + if( ( dir_type_temp == PUSH_PULL_OUTPUT ) || ( dir_type_temp == OPEN_DRAIN_OUTPUT ) ) + { + reg_val = Px->TYP; + reg_val &= ~( 1 << pin_no ); + if( dir_type_temp == OPEN_DRAIN_OUTPUT ) + { + reg_val |= ( 1 << pin_no ); + } + Px->TYP = reg_val; + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure out data Mask + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] pin_no + * Pin Number + * - 0 ~ 15 + * @param[in] maskctrl + * state + * - OUTDMSKx_Unmask // The corresponding OUTDRx bit can be changed. + * - OUTDMSKx_Mask // The corresponding OUTDRx bit is protected. + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ConfigOutDataMask( Pn_Type* Px, uint8_t pin_no, FunctionalState maskctrl ) +{ + uint32_t reg_val; + + reg_val = Px->OUTDMSK; + reg_val &= ~( 1 << pin_no ); + reg_val |= ( maskctrl << pin_no ); + Px->OUTDMSK = reg_val; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure Pin Pull-Up & Pull-Down + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] pin_no + * Pin Number + * - 0 ~ 15 + * @param[in] pullupdown + * Target Configuration + * - PUPDx_Disable + * - PUPDx_EnablePU + * - PUPDx_EnablePD + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ConfigPullup( Pn_Type* Px, uint8_t pin_no, uint8_t pullupdown ) +{ + uint32_t reg_val; + uint8_t pin_offset; + + pin_offset = ( pin_no << 1 ); + + reg_val = Px->PUPD; + reg_val &= ~( 3 << pin_offset ); + reg_val |= ( pullupdown << pin_offset ); + Px->PUPD = reg_val; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set PCU Debounce + * @param[in] Px + * Pointer to the target PORT + * - PB ~ PC, PE + * @param[in] u32Pins + * Pin Number + * - 0 ~ 11 + * @param[in] u32Debnc + * Sampling Clock Selection + * - DBCLK_HCLK1, DBCLK_HCLK4, DBCLK_HCLK16, DBCLK_HCLK64, DBCLK_HCLK256, DBCLK_HCLK1024 + * @return None + * @details This function enables the debounce filter for the pin specified by u32Pins + * and selects the debounce filter sampling clock. + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + * @code + * [Example] + * + * HAL_GPIO_SetDebouncePin( ( Pn_Type* )PB, 0, DBCLK_HCLK1024 ); + * HAL_GPIO_SetDebouncePin( ( Pn_Type* )PB, 1, DBCLK_HCLK1024 ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_SetDebouncePin( Pn_Type* Px, uint32_t u32Pins, uint32_t u32Debnc ) +{ +#if 0 // before bug fix + uint32_t reg_val; + + reg_val = ( 0x07ff & Px->DBCR ); + reg_val |= ( 0x01 << u32Pins ); + reg_val |= u32Debnc; + Px->DBCR = reg_val; +#else // after bug fix + Px->DBCR = Px->DBCR + & ~Pn_DBCR_DBCLK_Msk + | ( 1 << u32Pins ) + | u32Debnc + ; +#endif +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Value for bits that have output direction on GPIO port. + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] bitValue + * Value that contains all bits on GPIO to set. + * - 0x0000 ~ 0xffff + * - Example: Use the value of 0x0003 to set bit 0 and bit 1. + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_SetPin( Pn_Type* Px, uint16_t bitValue ) +{ + Px->BSR = bitValue; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear Value for bits that have output direction on GPIO port. + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] bitValue + * Value that contains all bits on GPIO to clear. + * - 0x0000 ~ 0xffff + * - Example: Use the value of 0x0003 to clear bit 0 and bit 1. + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ClearPin( Pn_Type* Px, uint16_t bitValue ) +{ + Px->BCR = bitValue; +} + +/*-------------------------------------------------------------------------*//** + * @brief Toggle Value for bits that have output direction on GPIO port. + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] bitValue + * Value that contains all bits on GPIO to toggle. + * - 0x00 ~ 0xff + * @return None + * @remark Available GPIO Pin: PA[7:0], PB[7:0], PC[4:0], PD[7:0], PE[7:0], PF[7:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_TogglePin( Pn_Type* Px, uint16_t bitValue ) +{ + if((Px->OUTDR & bitValue) != 0) + { + HAL_GPIO_ClearPin(Px, bitValue); + } + else + { + HAL_GPIO_SetPin(Px, bitValue); + } +} +/*-------------------------------------------------------------------------*//** + * @brief Write Value on port that have output direction of GPIO + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] Value + * Value to write + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_WritePin( Pn_Type* Px, uint16_t Value ) +{ + Px->OUTDR = Value; +} + +/*-------------------------------------------------------------------------*//** + * @brief Read Current state on port pin that have input direction of GPIO + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return Current value of GPIO port. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + * @note Return value contain state of each port pin (bit) on that GPIO regardless + * its direction is input or output. + *//*-------------------------------------------------------------------------*/ +uint16_t HAL_GPIO_ReadPin( Pn_Type* Px ) +{ + return Px->INDR; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pwr.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pwr.c new file mode 100644 index 0000000..e5d970f --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pwr.c @@ -0,0 +1,82 @@ +/***************************************************************************//** +* @file A31G12x_hal_pwr.c +* @brief Contains all functions support for pwr firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_pwr.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Enter Sleep mode with co-operated instruction by the Cortex-M0+. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void HAL_PWR_EnterSleepMode( void ) +{ + // Sleep Mode, clear SLEEPDEEP bit + SCB->SCR = 0; + + // Sleep Mode + WFI(); + NOP(); + NOP(); + NOP(); + NOP(); +} + +/*-------------------------------------------------------------------------*//** + * @brief Enter Deep Sleep mode with co-operated instruction by the Cortex-M0+. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void HAL_PWR_EnterPowerDownMode( void ) +{ + // Deep-Sleep Mode, set SLEEPDEEP bit + SCB->SCR = 0x4; + + // Deep Sleep Mode + WFI(); + NOP(); + NOP(); + NOP(); + NOP(); +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_scu.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_scu.c new file mode 100644 index 0000000..ab27804 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_scu.c @@ -0,0 +1,582 @@ +/***************************************************************************//** +* @file A31G12x_hal_scu.c +* @brief Contains all functions support for scu firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Get Reset Source Status + * @return Reset Source + * @details This function gets reset source status and clear the register. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_SCU_ResetSourceStatus( void ) +{ + uint32_t tmp; + + tmp = SCUCC->RSTSSR; // Get reset source status + SCUCC->RSTSSR = 0x3FuL; // Clear all reset source status + return tmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Non-Maskable Interrupt(NMI) Source Selection Register + * @param[in] u32NmiCon + * Values for NMISRCR register + * @return None + * @details This function sets NMISRCR register. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SetNMI( uint32_t u32NmiCon ) +{ + SCUCC->NMISRCR = u32NmiCon; +} + +/*-------------------------------------------------------------------------*//** + * @brief Check whether system reset ok or not. Generate s/w reset if a weak reset + * @return None + * @details This function checks system reset validation and Generate s/w reset if a weak reset. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SoftwareReset_Config( void ) +{ + if( SCUCC->SRSTVR_b.VALID != 0x55 ) + { + SCUCC_GenSwRst(); // Generate S/W reset on invalid reset + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Wake-Up Timer Data + * @param[in] u32Data + * @return None + * @details This function sets wake-up timer data to wait for release of deep sleep mode. + * Its value should be set to be at least more than 150usec. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SetWakupData( uint32_t u32Data ) +{ + SCUCC->WUTDR = u32Data; // On HCLK=40MHz, (150us x 40)/32 = 187.5. So, the data should be more than 187 +} + +/*-------------------------------------------------------------------------*//** + * @brief Change fine trim value of HIRC by one step + * @param[in] u32Ind + * Indicator for +/- one step + * - HIRC_UP_ONESTEP, HIRC_DOWN_ONESTEP + * @return None + * @details This function changes fine trim value by one step. + * If the u32Ind is HIRC_UP_ONESTEP, HIRC frequency is changed up by about 140kHz. + * If the u32Ind is HIRC_DOWN_ONESTEP, HIRC frequency is changed down by about 140kHz. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_HIRCTRM_ClockConfig( uint32_t u32Ind ) +{ + uint32_t tmp, ntrim; + + tmp = ( SCUCC->HIRCTRM ) & 0x001fuL; // Read current fine trim value of HIRC + if( u32Ind == HIRC_UP_ONESTEP ) // Increment by one step(about 140kHz) + { + if( tmp != 0x0f ) + { + tmp++; + } + } + else // Decrement by one step(about 140kHz) + { + if( tmp != 0x10 ) + { + tmp--; + } + } + tmp &= 0x1f; // Fine trim value is only 5-bits + tmp |= ( ( SCUCC->HIRCTRM ) & 0x00E0uL ); // Read Coarse trim value + ntrim = ( tmp << 8 ) ^ 0x0000FF00; // Make write complement key + SCUCC->HIRCTRM = ( ( uint32_t )SCUCC_HIRCTRM_WTIDKY_Value << SCUCC_HIRCTRM_WTIDKY_Pos ) // Write new HIRC trim value with write ID and complement key + | ntrim + | tmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Change fine trim value of WDTRC by one step + * @param[in] u32Ind + * Indicator for +/- one step + * - WDTRC_UP_ONESTEP, WDTRC_DOWN_ONESTEP + * @return None + * @details This function changes fine trim value by one step. + * If the u32Ind is WDTRC_UP_ONESTEP, WDTRC frequency is changed up by about 1.1kHz. + * If the u32Ind is WDTRC_DOWN_ONESTEP, WDTRC frequency is changed down by about 1.1kHz. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_WDTRCTRM_ClockConfig( uint32_t u32Ind ) +{ + uint32_t ctmp, ftmp, ntrim; + + ftmp = ( SCUCC->WDTRCTRM ) & 0x0007uL; // Read current fine trim value of WDTRC + ctmp = ( SCUCC->WDTRCTRM ) & 0x00F0uL; // Read current coarse trim value of WDTRC + if( u32Ind == WDTRC_UP_ONESTEP ) // Increment by one step(about 1.1kHz) + { + ftmp++; + ftmp &= 0x07uL; // Fine trim value is only 3-bits + if( ftmp == 0x04 ) + { + if( ctmp != 0x70 ) + { + ctmp += 0x10uL; + } + else + { + ftmp = 0x03uL; + } + } + } + else // Decrement by one step(about 1.1kHz) + { + ftmp--; + ftmp &= 0x07uL; // Fine trim value is only 3-bits + if( ftmp == 0x03 ) + { + if( ctmp != 0x80 ) + { + ctmp -= 0x10uL; + } + else + { + ftmp = 0x04uL; + } + } + } + ctmp &= 0x00f0uL; // Coarse trim value is only 4-bits + ctmp |= ftmp; + ntrim = ( ctmp << 8 ) ^ 0x0000FF00; // Make write complement key + SCUCC->WDTRCTRM = ( SCUCC_WDTRCTRM_WTIDKY_Value << SCUCC_WDTRCTRM_WTIDKY_Pos ) // Write new WDTRC trim value with write ID and complement key + | ntrim + | ctmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure Clock Monitoring + * @param[in] u32Acts + * Clock Monitoring Action Selection + * - MACTS_FlagChk, MACTS_RstGen, MACTS_SysClkChg + * @param[in] u32Target + * Clock Monitoring Target Selection + * - MONCS_MCLK, MONCS_HIRC, MONCS_XMOSC, MONCS_XSOSC + * @return None + * @details This function checks whether the target clock oscillates. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockMonitoring( uint32_t u32Acts, uint32_t u32Target ) +{ + if( SCUCG->CLKSRCR_b.WDTRCEN == 0 ) + { + SCUCG->CLKSRCR = SCUCG->CLKSRCR + | ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ) + | CLKSRCR_WDTRCEN; // The WDTRC should be enabled to use clock monitoring + } + SCUCG->CMONCR = ( 0x3uL << 2 ) | u32Acts | u32Target; // Clear MONFLAG and NMINTFG, Set Monitoring Target and Monitoring Action + SCUCG->CMONCR_b.MONEN = 1; // Clock Monitoring Enable +} + +/*-------------------------------------------------------------------------*//** + * @brief Disable Clock Monitoring + * @return None + * @details This function disables clock monitoring. + Before disabling the clock monitoring function, you need to take step to clear + the MACTS[1:0] bits of SCU_CMONCR register to 00b. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockMonitoring_Disable( void ) +{ + SCUCG->CMONCR_b.MACTS = 0; // Clear MACTS bits first + SCUCG->CMONCR_b.MONEN = 0; // Disable clock monitoring function +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Clock Source, HIRC Frequency, and type of XMOSC + * @param[in] u32FreIRC + * HIRC Frequency Selection + * - HIRCSEL_HIRC1, HIRCSEL_HIRC2, HIRCSEL_HIRC4, HIRCSEL_HIRC8 + * @param[in] u32TypeXM + * Main Oscillator Type and Frequency Range Selection + * - XMFRNG_Xtal, XMFRNG_Clock + * @param[in] u32ClkSrc + * Clock Source + * - CLKSRCR_WDTRCEN | CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN + * @return None + * @details This function sets clock source, HIRC frequency, and x-tal type of XMOSC. + * If target clock source is one of XMOSC and XSOSC, + * the x-tal pins should be set as alternative before this function call. + * To set alternative for x-tal, Use HAL_SCU_MainXtal_PinConfig() and HAL_SCU_SubXtal_PinConfig() functions. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockSource_Config( uint32_t u32FreIRC, uint32_t u32TypeXM, uint32_t u32ClkSrc ) +{ + uint32_t tmp; + + tmp = SCUCG->CLKSRCR & 0x0000000F; + tmp |= ( ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ) // Write ID + | u32FreIRC // HIRC Frequency + | u32TypeXM // XMOSC type: x-tal or external clock + | u32ClkSrc ); + SCUCG->CLKSRCR = tmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable Clock Source + * @param[in] u32ClkSrc + * Clock Source + * - CLKSRCR_WDTRCEN | CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN + * @param[in] u32HircDiv + * HIRC Frequency Selection + * - HIRCSEL_HIRC1, HIRCSEL_HIRC2, HIRCSEL_HIRC4, HIRCSEL_HIRC8 + * @return None + * @details This function is used to enable original source after system clock change. + * @code + * [Example] + * + * // eable HIRC, XMOSC, SXOSC, WDTRC and select HIRCSEL_HIRC1(40MHz HIRC) + * HAL_SCU_ClockSource_Enable( CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN | CLKSRCR_WDTRCEN, HIRCSEL_HIRC1 ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockSource_Enable( uint32_t u32ClkSrc, uint32_t u32HircDiv ) +{ +#if 0 // before bug fix + uint32_t tmp; + + tmp = SCUCG->CLKSRCR & 0x0000FFFF; // 0x00000fff ... + tmp |= ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ); // Write ID + tmp |= u32ClkSrc; + tmp |= u32HircDiv; + SCUCG->CLKSRCR = tmp; +#else // after bug fix + SCUCG->CLKSRCR = SCUCG->CLKSRCR + & ~( SCUCG_CLKSRCR_WTIDKY_Msk | SCUCG_CLKSRCR_HIRCSEL_Msk ) + | ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ) // Write ID + | u32HircDiv + | u32ClkSrc + ; +#endif +} + +/*-------------------------------------------------------------------------*//** + * @brief Disable Clock Source + * @param[in] u32ClkSrc + * Clock Source + * - CLKSRCR_WDTRCEN | CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN + * @return None + * @details This function is used to disable original source after system clock change. + * @code + * [Example] + * + * // disable XMOSC, SXOSC + * HAL_SCU_ClockSource_Disable( CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockSource_Disable( uint32_t u32ClkSrc ) +{ + uint32_t tmp; + + tmp = SCUCG->CLKSRCR & 0x0000FFFF; + tmp |= ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ); // Write ID + tmp &= ~u32ClkSrc; + SCUCG->CLKSRCR = tmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set XMOSC Pins for x-tal + * @param[in] u32XtalFilter + * the filter of x-tal frequency + * - XRNS_LE4p5MHz, XRNS_LE6p5MHz, XRNS_LE8p5MHz + * - XRNS_LE10p5MHz, XRNS_LE12p5MHz, XRNS_LE16p5MHz + * @return None + * @details This function sets PF[1:0]'s alternative for x-tal of XMOSC. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_MainXtal_PinConfig( uint32_t u32XtalFilter ) +{ + PF->AFSR1 &= 0xFFFFFF00; // PF[1:0]: XIN/XOUT + PF->PUPD &= 0xFFFFF0uL; // PF[1:0]: Pull-up/down resistors Disable + PF->MOD &= 0xFFFFF0uL; + PF->MOD |= 0x000AuL; // PF[1:0]: Alternative Function + SCUCG->XTFLSR = ( ( uint32_t )SCUCG_XTFLSR_WTIDKY_Value << SCUCG_XTFLSR_WTIDKY_Pos ) // Write ID + | u32XtalFilter; // x-tal filter value +} + +/*-------------------------------------------------------------------------*//** + * @brief Set XSOSC Pins for x-tal + * @return None + * @details This function sets PF[3:2]'s alternative for x-tal of XSOSC. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SubXtal_PinConfig( void ) +{ + PF->AFSR1 &= 0xFFFF00FF; // PF[3:2]: SXIN/SXOUT + PF->PUPD &= 0xFFFF0FuL; // PF[3:2]: Pull-up/down resistors Disable + PF->MOD &= 0xFFFF0FuL; + PF->MOD |= 0x00A0uL; // PF[3:2]: Alternative Function +} + +/*-------------------------------------------------------------------------*//** + * @brief Change System Clock + * @param[in] u32Target + * Target Clock + * - MCLKSEL_HIRC, MCLKSEL_XMOSC, MCLKSEL_XSOSC, MCLKSEL_WDTRC + * @return None + * @details This function changes system clock to target source. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SystemClockChange( uint32_t u32Target ) +{ + SCUCG->SCCR = ( SCUCG_SCCR_WTIDKY_Value << SCUCG_SCCR_WTIDKY_Pos ) // Write ID + | u32Target; // Target Clock Source +} + +/*-------------------------------------------------------------------------*//** + * @brief Set System Clock Dividers, SCDIVR1 for WT and LCD Driver in case of using MCLK, SCDIVR2 for SysTick Timer and PCLK + * @param[in] u32Div02 + * Values for Divider 0 and 2 + * - Clock Divide for HCLK (Divider 0) + * - HDIV_MCLK16, HDIV_MCLK8, HDIV_MCLK4, HDIV_MCLK2, HDIV_MCLK1 + * - Clock Divide for Watch Timer and LCD Driver (Divider 2) + * - WLDIV_MCLK64, WLDIV_MCLK128, WLDIV_MCLK256, WLDIV_MCLK512, WLDIV_MCLK1024 + * @param[in] u32Div13 + * Values for Divider 1 and 3 + * - Clock Divide for PCLK (Divider 1) + * - PDIV_HCLK1, PDIV_HCLK2, PDIV_HCLK4, PDIV_HCLK8 + * - Clock Divide for SysTick Timer (Divider 3) + * - SYSTDIV_HCLK1, SYSTDIV_HCLK2, SYSTDIV_HCLK4, SYSTDIV_HCLK8 + * @return None + * @details This function changes system clock to target source. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SystemClockDivider( uint32_t u32Div02, uint32_t u32Div13 ) +{ + // Divider 0 for HCLK: 000/001/010/011/100: MCLK is divided by 16/8/4/2/1 + // Divider 2 for WT and LCD Driver: 000/001/010/011/100: MCLK is divided by 64/128/256/512/1024 + SCUCG->SCDIVR1 = u32Div02; + + // Divider 1 for PCLK: 00/01/10/11: HCLK is divided by 1/2/4/8 + // Divider 3 for SysTick Timer: 00/01/10/11: HCLK is divided by 1/2/4/8 + SCUCG->SCDIVR2 = u32Div13; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set CLKO Pin for Clock Output + * @return None + * @details This function sets selected pin's alternative for CLKO. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_CLKO_PinConfig( void ) +{ + PF->AFSR1 &= 0xFFF0FFFF; // PF4 CLKO 0: CLKO 1: ---- 2: ---- 3: ---- 4: ---- + PF->PUPD &= 0xFFFCFFuL; // PF4 CLKO 0: Disable Pull-Up/Down 1: Enable Pull-Up 2: Enable Pull-Down + PF->MOD &= 0xFFFCFFuL; // PF4 CLKO 0: Input Mode 1: Output Mode 2: Alternative Function Mode + PF->MOD |= 0x0200uL; // PF4 CLKO 0: Input Mode 1: Output Mode 2: Alternative Function Mode +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Configuration for Clock Output + * @param[in] u32ClkSrc + * Clock to output + * - CLKOS_MCLK, CLKOS_WDTRC, CLKOS_HIRC, CLKOS_HCLK, CLKOS_PCLK + * @param[in] u32Level + * Clock Output Polarity when Disable + * - POLSEL_Low, POLSEL_High + * @param[in] u32Div + * Output Clock Divide + * - CLKODIV_SelectedClock1, CLKODIV_SelectedClock2 + * - CLKODIV_SelectedClock4, CLKODIV_SelectedClock8 + * - CLKODIV_SelectedClock16, CLKODIV_SelectedClock32 + * - CLKODIV_SelectedClock64, CLKODIV_SelectedClock128 + * @return None + * @details This function sets clock output related configuration. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockOutput( uint32_t u32ClkSrc, uint32_t u32Level, uint32_t u32Div ) +{ + SCUCG->CLKOCR = 0 + | ( 1 << SCUCG_CLKOCR_CLKOEN_Pos ) // CLKO Enable + | u32Level // 0: Low Level 1: High Level + | u32Div // 0: div_1 1: div_2 2: div_4 3: div_8 4: div_16 5: div_32 6: div_64 7: div_128 + | u32ClkSrc // 0: MCLK 1: WDTRC 2: HIRC 3: HCLK 4: PCLK + ; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Peripheral Clock, The peripheral doesn't work if the corresponding bit is "0b". + * @param[in] u32PeriClk1 + * Values for TIMER20 ~ TIMER21, TIMER30, TIMER10 ~ TIMER16, PA ~ PF + * @param[in] u32PeriClk2 + * Values for the Others Peripheral + * @return None + * @details This function sets the peripheral clock. + * A peripheral works properly during the corresponding bit is set to "1b". + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_ClockConfig( uint32_t u32PeriClk1, uint32_t u32PeriClk2 ) +{ + SCUCG->PPCLKEN1 = u32PeriClk1; // Set peripheral clock of timers and ports + SCUCG->PPCLKEN2 = u32PeriClk2; // Set peripheral clock of the others +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Each Peripheral Clock + * @param[in] u32PeriClk1 + * PeriClk1 + * - PPCLKEN1_T20CLKE ~ PPCLKEN1_T21CLKE, PPCLKEN1_T30CLKE + * - PPCLKEN1_T10CLKE ~ PPCLKEN1_T16CLKE, PPCLKEN1_PACLKE ~ PPCLKEN1_PFCLKE + * @param[in] Ind + * Enable/Disable Peripheral Clock. + * - PPxCLKE_Disable, PPxCLKE_Enable + * @return None + * @details This function sets each peripheral clock of timers and Ports. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_EnableClock1( uint32_t u32PeriClk1, uint32_t Ind ) +{ + if( Ind ) + { + SCUCG->PPCLKEN1 |= u32PeriClk1; // Enable a peripheral clock of timers and ports + } + else + { + SCUCG->PPCLKEN1 &= ~u32PeriClk1; // Disable a peripheral clock of timers and ports + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Each Peripheral Clock + * @param[in] u32PeriClk2 + * PeriClk2 + * - PPCLKEN2_FMCLKE, PPCLKEN2_LVICLKE, PPCLKEN2_WDTCLKE, PPCLKEN2_WTCLKE + * - PPCLKEN2_LCDCLKE, PPCLKEN2_CRCLKE, PPCLKEN2_ADCLKE + * - PPCLKEN2_I2C0CLKE ~ PPCLKEN2_I2C2CLKE + * - PPCLKEN2_UT0CLKE ~ PPCLKEN2_UT1CLKE, PPCLKEN2_UST10CLKE ~ PPCLKEN2_UST13CLKE + * @param[in] u32Ind + * Enable/Disable Peripheral Clock. + * - PPxCLKE_Disable, PPxCLKE_Enable + * @return None + * @details This function sets each peripheral clock of the others. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_EnableClock2( uint32_t u32PeriClk2, uint32_t u32Ind ) +{ + if( u32Ind ) + { + SCUCG->PPCLKEN2 |= u32PeriClk2; // Enable a peripheral clock of others + } + else + { + SCUCG->PPCLKEN2 &= ~u32PeriClk2; // Disable a peripheral clock of others + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Reset Peripheral Block, The peripheral is reset if the corresponding bit is "1b". + * @param[in] u32PeriRst1 + * Values for TIMER20 ~ TIMER21, TIMER30, TIMER10 ~ TIMER16, PA ~ PF + * @param[in] u32PeriRst2 + * Values for the Others Peripheral + * @return None + * @details This function reset peripheral block during the corresponding bit is set to "1b". + After reset of a block, the corresponding bit should be cleared to "0b" for operation. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_ResetConfig( uint32_t u32PeriRst1, uint32_t u32PeriRst2 ) +{ + uint32_t i; + + SCUCG->PPRST1 = u32PeriRst1; // Reset peripheral block of timers and ports if the corresponding bit is "1b" + SCUCG->PPRST2 = u32PeriRst2; // Reset peripheral block of the others + for( i = 0 ; i < 10 ; i++ ) + { + NOP(); + } + SCUCG->PPRST1 = 0x0uL; // Clear the peripheral reset bits + SCUCG->PPRST2 = 0x0uL; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set/Reset Each Peripheral Block Reset of PPRST1 Register + * @param[in] u32EachPeri1 + * Peri1 + * - PPRST1_T20RST ~ PPRST1_T21RST, PPRST1_T30RST + * - PPRST1_T10RST ~ PPRST1_T16RST, PPRST1_PARST ~ PPRST1_PFRST + * @details This function resets each peripheral block. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_SetReset1( uint32_t u32EachPeri1 ) +{ + uint32_t i; + + SCUCG->PPRST1 = u32EachPeri1; // Reset a peripheral block + for( i = 0 ; i < 10 ; i++ ) + { + NOP(); + } + SCUCG->PPRST1 = 0; // Clear the peripheral reset bit +} + +/*-------------------------------------------------------------------------*//** + * @brief Set/Reset Each Peripheral Block Reset of PPRST2 Register + * @param[in] u32EachPeri2 + * Peri2 + * - PPRST2_FMCRST, PPRST2_LVIRST, PPRST2_WTRST, PPRST2_LCDRST + * - PPRST2_CRRST, PPRST2_ADRST, PPRST2_I2C0RST ~ PPRST2_I2C2RST + * - PPRST2_UT0RST ~ PPRST2_UT1RST, PPRST2_UST10RST ~ PPRST2_UST13RST + * @details This function resets each peripheral block. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_SetReset2( uint32_t u32EachPeri2 ) +{ + uint32_t i; + + SCUCG->PPRST2 = u32EachPeri2; // Reset a peripheral block + for( i = 0 ; i < 10 ; i++ ) + { + NOP(); + } + SCUCG->PPRST2 = 0; // Clear the peripheral reset bit +} + + +/*-------------------------------------------------------------------------*//** + * @brief Peripheral Clock Selection of PPCLKSR Register + * @param[in] u32Peri + * Peripheral Selection + * - PPCLKSR_T20CLK, PPCLKSR_T30CLK, PPCLKSR_LCDCLK, PPCLKSR_WTCLK, PPCLKSR_WDTCLK + * @param[in] u32ClkSrc + * Peripheral Clock Selection + * - PPCLKSR_T20CLK: T20CLK_XSOSC, T20CLK_PCLK + * - PPCLKSR_T30CLK: T30CLK_MCLK, T30CLK_PCLK + * - PPCLKSR_LCDCLK: LCDCLK_DividedMCLK, LCDCLK_XSOSC, LCDCLK_WDTRC + * - PPCLKSR_WTCLK: WTCLK_DividedMCLK, WTCLK_XSOSC, WTCLK_WDTRC + * - PPCLKSR_WDTCLK: WDTCLK_WDTRC, WDTCLK_PCLK + * @details This function resets each peripheral block. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_ClockSelection( uint32_t u32Peri, uint32_t u32ClkSrc ) +{ + SCUCG->PPCLKSR &= ~u32Peri; + SCUCG->PPCLKSR |= u32ClkSrc; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_sculv.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_sculv.c new file mode 100644 index 0000000..513d5f9 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_sculv.c @@ -0,0 +1,80 @@ +/***************************************************************************//** +* @file A31G12x_hal_sculv.c +* @brief Contains all functions support for sculv firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_sculv.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Set LVI Block Enable/Disable and Voltage Level + * @param[in] u32LviEnBit + * LVI Enable/Disable Control + * - LVIEN_Disable, LVIEN_Enable + * @param[in] u32LviIntEnBit + * LVI Interrupt Enable/Disable Control + * - LVINTEN_Disable, LVINTEN_Enable + * @param[in] u32LviLevel + * LVI Voltage Level + * - LVIVS_2p00V // 2.00V + * - LVIVS_2p13V // 2.13V + * - LVIVS_2p28V // 2.28V + * - LVIVS_2p46V // 2.46V + * - LVIVS_2p67V // 2.67V + * - LVIVS_3p04V // 3.04V + * - LVIVS_3p20V // 3.20V + * - LVIVS_3p55V // 3.55V + * - LVIVS_3p75V // 3.75V + * - LVIVS_3p99V // 3.99V + * - LVIVS_4p25V // 4.25V + * - LVIVS_4p55V // 4.55V + * @return None + * @details This function sets LVI voltage level. + *//*-------------------------------------------------------------------------*/ +void HAL_LVI_Init( uint32_t u32LviEnBit, uint32_t u32LviIntEnBit, uint32_t u32LviLevel ) +{ + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_LVICLKE, PPxCLKE_Enable ); + + SCULV->LVICR = ( u32LviEnBit | u32LviIntEnBit | u32LviLevel ); +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer1n.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer1n.c new file mode 100644 index 0000000..09997bf --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer1n.c @@ -0,0 +1,360 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer1n.c +* @brief Contains all functions support for timer1n firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_scu.h" +#include "A31G12x_hal_timer1n.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the TIMER1n peripheral with the specified parameters. + * - Set Clock frequency for Timer + * - Set initial configuration for Timer + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @param[in] TimerCounterMode + * Timer Counter Mode + * - TIMER1n_PERIODIC_MODE: Timer mode + * - TIMER1n_PWM_MODE: Counter rising mode + * - TIMER1n_ONESHOT_MODE: Counter falling mode + * - TIMER1n_CAPTURE_MODE: Counter on both edges + * @param[in] TIMER1n_Config + * Pointer to the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_Init( TIMER1n_Type* TIMER1x, TIMER1n_MODE_OPT TimerCounterMode, void* TIMER1n_Config ) +{ + TIMER1n_PERIODICCFG_Type* pTimeCfg; + TIMER1n_PWMCFG_Type* pPwmOneshotCfg; + TIMER1n_CAPTURECFG_Type* pCaptureCfg; + uint16_t reg_val16; + + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER10 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T10CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER11 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T11CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER12 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T12CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER13 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T13CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER14 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T14CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER15 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T15CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER16 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T16CLKE, PPxCLKE_Enable ); + } +#endif + + if( TimerCounterMode == TIMER1n_PERIODIC_MODE ) + { + pTimeCfg = ( TIMER1n_PERIODICCFG_Type* )TIMER1n_Config; + + reg_val16 = 0 + | TIMER1n_CR_CKSEL_SET( pTimeCfg->CkSel ) + | TIMER1n_CR_MODE_SET( TimerCounterMode ) + | TIMER1n_CR_STARTLVL_SET( pTimeCfg->StartLevel ) + ; + if( pTimeCfg->CkSel == 1 ) + { + reg_val16 = reg_val16 | TIMER1n_CR_ECE_SET( pTimeCfg->ECE ); + } + TIMER1x->CR = reg_val16; + + TIMER1x->PREDR = ( ( pTimeCfg->Prescaler - 1 ) & TIMER1n_PRS_MASK ); + TIMER1x->ADR = pTimeCfg->ADR; + } + else if( ( TimerCounterMode == TIMER1n_PWM_MODE ) || ( TimerCounterMode == TIMER1n_ONESHOT_MODE ) ) + { + pPwmOneshotCfg = ( TIMER1n_PWMCFG_Type* )TIMER1n_Config; + + reg_val16 = 0 + | TIMER1n_CR_CKSEL_SET( pPwmOneshotCfg->CkSel ) + | TIMER1n_CR_MODE_SET( TimerCounterMode ) + | TIMER1n_CR_STARTLVL_SET( pPwmOneshotCfg->StartLevel ) + ; + if( pPwmOneshotCfg->CkSel == 1 ) + { + reg_val16 = reg_val16 | TIMER1n_CR_ECE_SET( pPwmOneshotCfg->ECE ); + } + TIMER1x->CR = reg_val16; + + TIMER1x->PREDR = ( ( pPwmOneshotCfg->Prescaler - 1 ) & TIMER1n_PRS_MASK ); + TIMER1x->ADR = pPwmOneshotCfg->ADR; + TIMER1x->BDR = pPwmOneshotCfg->BDR; + } + else if( TimerCounterMode == TIMER1n_CAPTURE_MODE ) + { + pCaptureCfg = ( TIMER1n_CAPTURECFG_Type* )TIMER1n_Config; + + reg_val16 = 0 + | TIMER1n_CR_CKSEL_SET( pCaptureCfg->CkSel ) + | TIMER1n_CR_MODE_SET( TimerCounterMode ) + | TIMER1n_CR_CPOL_SET( pCaptureCfg->ClrMode ) + ; + if( pCaptureCfg->CkSel == 1 ) + { + reg_val16 = reg_val16 | TIMER1n_CR_ECE_SET( pCaptureCfg->ECE ); + } + TIMER1x->CR = reg_val16; + + TIMER1x->PREDR = ( ( pCaptureCfg->Prescaler - 1 ) & TIMER1n_PRS_MASK ); + TIMER1x->ADR = pCaptureCfg->ADR; + } + TIMER1x->CR |= 0x1; // timer counter clear + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Close Timer/Counter device + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_DeInit( TIMER1n_Type* TIMER1x ) +{ + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + + // Disable timer/counter + TIMER1x->CR = 0x00; + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER10 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T10CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER11 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T11CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER12 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T12CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER13 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T13CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER14 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T14CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER15 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T15CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER16 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T16CLKE, PPxCLKE_Disable ); + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @param[in] TIMER1n_IntCfg + * Specifies the interrupt flag + * - TIMER1n_INTCFG_MIE: OVIE Interrupt enable + * - TIMER1n_INTCFG_CIE: MBIE Interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_ConfigInterrupt( TIMER1n_Type* TIMER1x, TIMER1n_INT_Type TIMER1n_IntCfg, FunctionalState NewState ) +{ + uint8_t tmp; + + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + + switch( TIMER1n_IntCfg ) + { + case TIMER1n_INTCFG_MIE: + tmp = TIMER1n_MATINTEN; + break; + case TIMER1n_INTCFG_CIE: + tmp = TIMER1n_CAPINTEN; + break; + } + + if( NewState == ENABLE ) + { + TIMER1x->CR |= tmp; + } + else + { + TIMER1x->CR &= ( ~tmp ); + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Start/Stop Timer/Counter device + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_Cmd( TIMER1n_Type* TIMER1x, FunctionalState NewState ) +{ + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + + if( NewState == ENABLE ) + { + TIMER1x->CR |= TIMER1n_ENABLE; + } + else + { + TIMER1x->CR &= ~TIMER1n_ENABLE; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER1n CR/PREDR Registers + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @param[in] u32T1nSet + * TIMER1n Control Register Setting Data + * @param[in] u32T1nClk + * TIMER1n Prescaler Data + * @return @ref HAL_Status_Type + * @details This function sets the mode, external clock edge, Timer out polarity, + * Capture Polarity and Timer match/capture interrupt. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_SetRegister( TIMER1n_Type* TIMER1x, uint32_t u32T1nSet, uint32_t u32T1nClk ) +{ + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + + TIMER1x->CR = u32T1nSet; // Setting TIMER1n Control Register + + TIMER1x->PREDR = u32T1nClk; // Setting TIMER1n Prescaler data + + return HAL_OK; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer2n.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer2n.c new file mode 100644 index 0000000..fad6eea --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer2n.c @@ -0,0 +1,357 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer2n.c +* @brief Contains all functions support for timer2n firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_timer2n.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the TIMER2n peripheral with the specified parameters. + * - Set Clock frequency for Timer + * - Set initial configuration for Timer + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] TimerCounterMode + * Timer Counter Mode + * - TIMER2n_PERIODIC_MODE: Timer mode + * - TIMER2n_PWM_MODE: Counter rising mode + * - TIMER2n_ONESHOT_MODE: Counter falling mode + * - TIMER2n_CAPTURE_MODE: Counter on both edges + * @param[in] TIMER2n_Config + * Pointer to the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_Init( TIMER2n_Type* TIMER2x, TIMER2n_MODE_OPT TimerCounterMode, void* TIMER2n_Config ) +{ + TIMER2n_PERIODICCFG_Type* pTimeCfg; + TIMER2n_PWMCFG_Type* pPwmOneshotCfg; + TIMER2n_CAPTURECFG_Type* pCaptureCfg; + uint16_t reg_val16; + + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( TIMER2x == ( TIMER2n_Type* )TIMER20 ) + { + SCUCG->PPCLKEN1_b.T20CLKE = 1; + } +#endif + +#if 1 // supported + if( TIMER2x == ( TIMER2n_Type* )TIMER21 ) + { + SCUCG->PPCLKEN1_b.T21CLKE = 1; + } +#endif + + if( TimerCounterMode == TIMER2n_PERIODIC_MODE ) + { + pTimeCfg = ( TIMER2n_PERIODICCFG_Type* )TIMER2n_Config; + + SCUCG->PPCLKSR_b.T20CLK = pTimeCfg->TCLK_SEL; + reg_val16 = 0 + | ( TimerCounterMode << 12 ) + | TIMER2n_CR_CLK_SET( pTimeCfg->CkSel ) + | TIMER2n_CR_ECE_SET( pTimeCfg->ExtCkEdge ) + | TIMER2n_CR_OPOL_SET( pTimeCfg->StartLevel ) + | TIMER2n_CR_CPOL_SET( pTimeCfg->CapEdge ) + ; + TIMER2x->CR = reg_val16; + TIMER2x->PREDR = ( ( pTimeCfg->Prescaler - 1 ) & TIMER2n_PREDR_MASK ); + TIMER2x->ADR = pTimeCfg->ADR; + TIMER2x->BDR = pTimeCfg->BDR; + } + else if( ( TimerCounterMode == TIMER2n_PWM_MODE ) || ( TimerCounterMode == TIMER2n_ONESHOT_MODE ) ) + { + pPwmOneshotCfg = ( TIMER2n_PWMCFG_Type* )TIMER2n_Config; + + SCUCG->PPCLKSR_b.T20CLK = pPwmOneshotCfg->TCLK_SEL; + reg_val16 = 0 + | ( TimerCounterMode << 12 ) + | TIMER2n_CR_CLK_SET( pPwmOneshotCfg->CkSel ) + | TIMER2n_CR_ECE_SET( pPwmOneshotCfg->ExtCkEdge ) + | TIMER2n_CR_OPOL_SET( pPwmOneshotCfg->StartLevel ) + | TIMER2n_CR_CPOL_SET( pPwmOneshotCfg->CapEdge ) + ; + TIMER2x->CR = reg_val16; + TIMER2x->PREDR = ( ( pPwmOneshotCfg->Prescaler - 1 ) & TIMER2n_PREDR_MASK ); + TIMER2x->ADR = pPwmOneshotCfg->ADR; + TIMER2x->BDR = pPwmOneshotCfg->BDR; + } + else if( TimerCounterMode == TIMER2n_CAPTURE_MODE ) + { + pCaptureCfg = ( TIMER2n_CAPTURECFG_Type* )TIMER2n_Config; + + SCUCG->PPCLKSR_b.T20CLK = pCaptureCfg->TCLK_SEL; + reg_val16 = 0 + | ( TimerCounterMode << 12 ) + | TIMER2n_CR_CLK_SET( pCaptureCfg->CkSel ) + | TIMER2n_CR_CPOL_SET( pCaptureCfg->ClrMode ) + | TIMER2n_CR_CAPSEL_SET( pCaptureCfg->CAPCkSel ) + ; + TIMER2x->CR = reg_val16; + TIMER2x->PREDR = ( ( pCaptureCfg->Prescaler - 1 ) & TIMER2n_PREDR_MASK ); + } + + TIMER2x->CR_b.T2nCLR = TIMER2n_CLEAR; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Close Timer/Counter device + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_DeInit( TIMER2n_Type* TIMER2x ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + // Disable timer/counter + TIMER2x->CR_b.T2nEN = 0; + +#if 1 // supported + if( TIMER2x == ( TIMER2n_Type* )TIMER20 ) + { + SCUCG->PPCLKEN1_b.T20CLKE = 0; + } +#endif + +#if 1 // supported + if( TIMER2x == ( TIMER2n_Type* )TIMER21 ) + { + SCUCG->PPCLKEN1_b.T21CLKE = 0; + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] TIMER2n_IntCfg + * Specifies the interrupt flag + * - TIMER2n_CR_MATCH_INTR: Match interrupt enable + * - TIMER2n_CR_CAPTURE_INTR: Capture interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_ConfigInterrupt( TIMER2n_Type* TIMER2x, TIMER2n_INT_Type TIMER2n_IntCfg, FunctionalState NewState ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + switch( TIMER2n_IntCfg ) + { + case TIMER2n_CR_MATCH_INTR: + if( NewState == ENABLE ) + { + TIMER2x->CR_b.T2nMIEN = 1; + } + else if( NewState == DISABLE ) + { + TIMER2x->CR_b.T2nMIEN = 0; + } + break; + case TIMER2n_CR_CAPTURE_INTR: + if( NewState == ENABLE ) + { + TIMER2x->CR_b.T2nCIEN = 1; + } + else if( NewState == DISABLE ) + { + TIMER2x->CR_b.T2nCIEN = 0; + } + break; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Start/Stop Timer/Counter device + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_Cmd( TIMER2n_Type* TIMER2x, FunctionalState NewState ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + if( NewState == ENABLE ) + { + TIMER2x->CR_b.T2nEN = TIMER2n_ENABLE; + } + else + { + TIMER2x->CR_b.T2nEN = TIMER2n_DISABLE; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear Timer/Counter device, + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_ClearCounter( TIMER2n_Type* TIMER2x ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + TIMER2x->CR_b.T2nCLR |= TIMER2n_CLEAR; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Update value + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] CountCh + * - 0 = GRA + * - 1 = GRB + * @param[in] Value + * updated match value + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_UpdateCountValue( TIMER2n_Type* TIMER2x, uint8_t CountCh, uint16_t Value ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + switch( CountCh ) + { + case 0: + TIMER2x->ADR = Value; + break; + case 1: + TIMER2x->BDR = Value; + break; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear Timer Status + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] value + * clear value + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_ClearStatus( TIMER2n_Type* TIMER2x, uint8_t value ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + TIMER2x->CR |= ( 0xD & value ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Timer Status + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @return Value of status register + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_TIMER2n_GetStatus( TIMER2n_Type* TIMER2x ) +{ + return TIMER2x->CR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Read value of capture register in timer/counter device + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @return Value of count register + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_TIMER2n_GetCaptureData( TIMER2n_Type* TIMER2x ) +{ + return TIMER2x->CAPDR; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer3n.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer3n.c new file mode 100644 index 0000000..ef073e1 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer3n.c @@ -0,0 +1,498 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer3n.c +* @brief Contains all functions support for timer3n firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_timer3n.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the TIMER3n peripheral with the specified parameters. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] TIMER3n_Config + * Pointer to the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_Init( TIMER3n_Type* TIMER3x, TIMER3n_CFG_Type* TIMER3n_Config ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( TIMER3x == ( TIMER3n_Type* )TIMER30 ) + { + // enable peripheral clock + SCUCG->PPCLKEN1_b.T30CLKE = 1; + } +#endif + +#if 0 // not supported + if( TIMER3x == ( TIMER3n_Type* )TIMER31 ) + { + // enable peripheral clock + SCUCG->PPCLKEN1_b.T31CLKE = 1; + } +#endif + + // CR + TIMER3x->CR = 0 + | ( TIMER3n_Config->T3nMS << TIMER3n_CR_T3nMS_Pos ) + | ( TIMER3n_Config->T3nCLK << TIMER3n_CR_T3nCLK_Pos ) + | ( TIMER3n_Config->T3nECE << TIMER3n_CR_T3nECE_Pos ) + | ( TIMER3n_Config->T3nCPOL << TIMER3n_CR_T3nCPOL_Pos ) + ; + + // PDR + TIMER3x->PDR = TIMER3n_Config->PDR; + + // ADR & BDR & CDR + TIMER3x->ADR = TIMER3n_Config->ADR; + TIMER3x->BDR = TIMER3n_Config->BDR; + TIMER3x->CDR = TIMER3n_Config->CDR; + + // PREDR + TIMER3x->PREDR = TIMER3n_Config->Prescaler; + + // clear counter and prescaler + TIMER3n_ClearCounter( TIMER3x ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Close Timer/Counter device + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_DeInit( TIMER3n_Type* TIMER3x ) +{ + /* Check TIMER2 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + // Disable timer/counter + TIMER3x->CR_b.T3nEN = 0; + +#if 1 // supported + if( TIMER3x == ( TIMER3n_Type* )TIMER30 ) + { + // disable peripheral clock + SCUCG->PPCLKEN1_b.T30CLKE = 0; + } +#endif + +#if 0 // not supported + if( TIMER3x == ( TIMER3n_Type* )TIMER31 ) + { + // disable peripheral clock + SCUCG->PPCLKEN1_b.T31CLKE = 0; + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Interrupt Control Register + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @param[in] USART3n_IntCfg + * Interrupt Sourtce Setting + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_ConfigInterrupt( TIMER3n_Type* TIMER3x, uint32_t NewState, uint32_t USART3n_IntCfg ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + if( NewState == ENABLE ) + { + TIMER3x->INTCR |= USART3n_IntCfg; + } + else + { + TIMER3x->INTCR &= ( ~USART3n_IntCfg ) & 0x7f; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n PWM Mode Setting (Initial : Back to Back Mode, Internal Clock, 6channel Mode) + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] updatedata + * PWM Duty Update Timing + * @param[in] intcount + * Period Match Interrupt Occurence Seletion + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_MPWMCmd( TIMER3n_Type* TIMER3x, uint32_t updatedata, uint32_t intcount ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->CR = 0 + | TIMER3n_CLKINT + | TIMER3n_BTOB + | TIMER3n_6CHMOD + | updatedata + | intcount + | 1 // Clear TIMER3n & Precaler + ; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable or Disable PWM start + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_Start( TIMER3n_Type* TIMER3x, uint32_t NewState ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + if( NewState == TIMER3n_ENABLE ) + { + TIMER3x->CR |= TIMER3n_ENABLE; + } + else + { + TIMER3x->CR &= ~TIMER3n_ENABLE; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief PWM Output Port Control Register (Initial : 6channel enable, output low) + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @param[in] pwmApol + * Timer 30xA Output Polarity Selection + * @param[in] pwmBpol + * Timer 30xB Output Polarity Selection + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_OutputCtrl( TIMER3n_Type* TIMER3x, uint32_t NewState, uint32_t pwmApol, uint32_t pwmBpol ) +{ + uint32_t temp; + + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + temp = 0 + | ( ( uint32_t )TIMER30_T30_OUTCR_WTIDKY_Value << TIMER30_OUTCR_WTIDKY_Pos ) + | ( pwmApol | pwmBpol ) + ; + if( NewState == ENABLE ) + { + temp |= ( 0x3f << 8 ); + } + + TIMER3x->OUTCR = temp; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Prescaler data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] prescale + * Timer30 Prescaler Value + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_ClockPrescaler( TIMER3n_Type* TIMER3x, uint32_t prescale ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->PREDR = ( prescale & 0x0fff ); // period. it sould be larger than 0x10 + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set period data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] period + * MPWM period data + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetPeriod( TIMER3n_Type* TIMER3x, uint32_t period ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->PDR = ( period & 0xffff ); // period. it sould be larger than 0x10 + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set duty A data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] aduty + * Timer30 Aduty data + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetADuty( TIMER3n_Type* TIMER3x, uint32_t aduty ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->ADR = ( ( aduty ) & 0xffff ); // if using I/O control function, set period data + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set duty B data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] bduty + * Timer30 Bduty data + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetBDuty( TIMER3n_Type* TIMER3x, uint32_t bduty ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->BDR = ( ( bduty ) & 0xffff ); // if using I/O control function, set period data + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set duty C data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] cduty + * Timer30 Cduty data + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetCDuty( TIMER3n_Type* TIMER3x, uint32_t cduty ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->CDR = ( ( cduty ) & 0xffff ); // if using I/O control function, set period data + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set dead time (delay time) + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] dten + * dead time enable + * @param[in] dtpos + * dead timer position (front or back) + * @param[in] clkdata + * dead time + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetDelayTime( TIMER3n_Type* TIMER3x, uint32_t dten, uint32_t dtpos, uint32_t clkdata ) +{ + uint32_t temp; + + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + temp = TIMER3x->CR; + temp &= ~( 0x03 << 8 ); + temp |= dten | dtpos; + TIMER3x->CR = temp; + + TIMER3x->DLY = clkdata & 0x03ff; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set HIZCR Register + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] u32T30HizSet + * Timer 30 Output High-Impedance Setting Data + * @return @ref HAL_Status_Type + * @details This function sets the Timer 30 HIZ/ADT Control Register + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetHizReg( TIMER3n_Type* TIMER3x, uint32_t u32T30HizSet ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->HIZCR = u32T30HizSet; //Setting Timer 30 High-Impedance Control Register + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set ADC Tirgger Source & Timing + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] u32triggerpoint + * Timer 30 Output High-Impedance Setting Data + * @param[in] u32triggertime + * Timer 30 A/DC Trigger Setting Data + * @return @ref HAL_Status_Type + * @details This function sets the Timer 30 HIZ/ADT Control Register + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetADCTrigger( TIMER3n_Type* TIMER3x, uint32_t u32triggerpoint, uint32_t u32triggertime ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->ADTCR = u32triggerpoint; //Setting Timer 30 A/DC Trigger Control Register + TIMER3x->ADTDR = u32triggertime; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Interrupt Flag Clear + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] USART3n_IntCfg + * Select clear interrupt + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_ClearStatus_IT( TIMER3n_Type* TIMER3x, uint32_t USART3n_IntCfg ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->INTFLAG = USART3n_IntCfg; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Interrupt Flag + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @return Interrupt Flag + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_TIMER3n_GetStatus_IT( TIMER3n_Type* TIMER3x ) +{ + return TIMER3x->INTFLAG; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_uartn.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_uartn.c new file mode 100644 index 0000000..b9acd64 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_uartn.c @@ -0,0 +1,671 @@ +/***************************************************************************//** +* @file A31G12x_hal_uartn.c +* @brief Contains all functions support for uartn firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_scu.h" +#include "A31G12x_hal_uartn.h" + +//****************************************************************************** +// Variable +//****************************************************************************** + +static uint32_t UARTn_BaseClock; + +char InData[80]; +int InFlag; +int InCount; + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Determines best dividers to get a target clock rate + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] baudrate + * Desired baudrate + * @return None + *//*-------------------------------------------------------------------------*/ +static void uart_set_divisors( UARTn_Type* UARTx, uint32_t baudrate ) +{ + uint32_t numerator; + uint32_t denominator; + uint32_t bdr, bfr; + uint32_t fd; + + //-------------------------------------- + // bdr = UARTn_BaseClock / (16 * baudrate * 2) + //-------------------------------------- + numerator = UARTn_BaseClock; + denominator = 16 * baudrate * 2; + bdr = numerator / denominator; + + //-------------------------------------- + // fd = numerator - bdr * denominator + // bfr = (numerator / denominator - bdr) * 256 + // = (numerator - bdr * denominator) * 256 / denominator + // = fd * 256 / denominator + //-------------------------------------- + fd = numerator - bdr * denominator; + bfr = ( fd * 256 ) / denominator; + UARTx->BDR = ( uint16_t )( bdr & 0xffff ); + UARTx->BFR = ( uint8_t )( bfr & 0xff ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the UARTn peripheral with the specified parameters. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] UARTn_Config + * Pointer to a UARTn_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_Init( UARTn_Type* UARTx, UARTn_CFG_Type* UARTn_Config ) +{ + uint8_t tmp; + + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( UARTx == ( UARTn_Type* )UART0 ) + { + /* Set up peripheral clock for UART0 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UT0CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( UARTx == ( UARTn_Type* )UART1 ) + { + /* Set up peripheral clock for UART1 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UT1CLKE, PPxCLKE_Enable ); + } +#endif + + // Dummy reading + while( UARTx->LSR & UARTn_LSR_RDR ) + { + tmp = UARTx->RBR; + } + // Wait for current transmit complete + while( !( UARTx->LSR & UARTn_LSR_THRE ) ); + + // Disable interrupt + UARTx->IER = 0; + // Set LCR, DCR to default state + UARTx->LCR = 0; + UARTx->DCR = 0; + // Dummy reading + tmp = UARTx->LSR; + tmp = UARTx->IIR; + + // uart clock set + // SCU->MCCR7 &=0xffff0000; + // SCU->MCCR7 |=((4<<8)|(2)); // MCLK + UARTn_BaseClock = SystemPeriClock; + + // Set Line Control register ---------------------------- + uart_set_divisors( UARTx, ( UARTn_Config->Baudrate ) ); + + tmp = ( UARTx->LCR & UARTn_LCR_BREAK_EN ) & UARTn_LCR_BITMASK; + + switch( UARTn_Config->Databits ) + { + case UARTn_DATA_BIT_5: + tmp |= UARTn_LCR_WLEN5; + break; + case UARTn_DATA_BIT_6: + tmp |= UARTn_LCR_WLEN6; + break; + case UARTn_DATA_BIT_7: + tmp |= UARTn_LCR_WLEN7; + break; + case UARTn_DATA_BIT_8: + default: + tmp |= UARTn_LCR_WLEN8; + break; + } + + if( UARTn_Config->Parity == UARTn_PARITY_BIT_NONE ) + { + // Do nothing... + } + else + { + tmp |= UARTn_LCR_PARITY_EN; + switch( UARTn_Config->Parity ) + { + case UARTn_PARITY_BIT_ODD: + tmp |= UARTn_LCR_PARITY_ODD; + break; + + case UARTn_PARITY_BIT_EVEN: + tmp |= UARTn_LCR_PARITY_EVEN; + break; + + case UARTn_PARITY_BIT_SP_1: + tmp |= UARTn_LCR_PARITY_F_1; + break; + + case UARTn_PARITY_BIT_SP_0: + tmp |= UARTn_LCR_PARITY_F_0; + break; + default: + break; + } + } + + switch( UARTn_Config->Stopbits ) + { + case UARTn_STOP_BIT_2: + tmp |= UARTn_LCR_STOPBIT_SEL; + break; + case UARTn_STOP_BIT_1: + default: + // Do no thing + break; + } + + UARTx->LCR = ( uint8_t )( tmp & UARTn_LCR_BITMASK ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Deinitialize the UARTn peripheral registers to their default reset values. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_DeInit( UARTn_Type* UARTx ) +{ + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( UARTx == ( UARTn_Type* )UART0 ) + { + /* Set up peripheral clock for UART0 module */ + HAL_SCU_Peripheral_SetReset2( 1 << 2 ); + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UT0CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( UARTx == ( UARTn_Type* )UART1 ) + { + /* Set up peripheral clock for UART1 module */ + HAL_SCU_Peripheral_SetReset2( 1 << 3 ); + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UT1CLKE, PPxCLKE_Disable ); + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Fills each UARTn_Config member with its default value: + * - 38400 bps + * - 8 Data Bit + * - No Parity Bit + * - 1 Stop Bit + * @param[out] UARTn_Config + * Pointer to a UARTn_CFG_Type structure which will be initialized. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_ConfigStructInit( UARTn_CFG_Type* UARTn_Config ) +{ + /* Check UARTn_Config */ + if( UARTn_Config == NULL ) + { + return HAL_ERROR; + } + + UARTn_Config->Baudrate = 38400; + UARTn_Config->Databits = UARTn_DATA_BIT_8; + UARTn_Config->Parity = UARTn_PARITY_BIT_NONE; + UARTn_Config->Stopbits = UARTn_STOP_BIT_1; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] UARTn_IntCfg + * Specifies the interrupt flag + * - UARTn_INTCFG_RBR: RBR Interrupt enable + * - UARTn_INTCFG_THRE: THR Interrupt enable + * - UARTn_INTCFG_RLS: RX line status interrupt enable + * - UARTn_INTCFG_DRX: THR Interrupt enable + * - UARTn_INTCFG_DTX: RX line status interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_ConfigInterrupt( UARTn_Type* UARTx, UARTn_INT_Type UARTn_IntCfg, FunctionalState NewState ) +{ + uint32_t tmp; + + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + switch( UARTn_IntCfg ) + { + case UARTn_INTCFG_RBR: + tmp = UARTn_IER_RBRINT_EN; + break; + case UARTn_INTCFG_THRE: + tmp = UARTn_IER_THREINT_EN; + break; + case UARTn_INTCFG_RLS: + tmp = UARTn_IER_RLSINT_EN; + break; + case UARTn_INTCFG_TXE: + tmp = UARTn_IER_TXE_EN; + break; + } + + if( NewState == ENABLE ) + { + UARTx->IER |= tmp; + } + else + { + UARTx->IER &= ( ~tmp ) & UARTn_IER_BITMASK; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure Data Control mode for UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * @param[in] Mode + * Data Control Mode + * - UARTn_DATA_CONTROL_LOOPBACK: Loop back mode. + * - UARTn_DATA_CONTROL_RXINV: RX Data inversion mode. + * - UARTn_DATA_CONTROL_TXINV: TX Data inversion mode. + * - UARTn_DATA_CONTROL_RTXINV: TX RX Data inversion mode. + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_DataControlConfig( UARTn_Type* UARTx, UARTn_DATA_CONTROL_Type Mode, FunctionalState NewState ) +{ + uint8_t tmp; + + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + switch( Mode ) + { + case UARTn_DATA_CONTROL_LOOPBACK: + tmp = UARTn_DCR_LBON; + break; + case UARTn_DATA_CONTROL_RXINV: + tmp = UARTn_DCR_RXINV; + break; + case UARTn_DATA_CONTROL_TXINV: + tmp = UARTn_DCR_TXINV; + break; + case UARTn_DATA_CONTROL_RTXINV: + tmp = UARTn_DCR_RXINV | UARTn_DCR_TXINV; + break; + default: + break; + } + + if( NewState == ENABLE ) + { + UARTx->DCR |= tmp; + } + else + { + UARTx->DCR &= ( ~tmp ) & UARTn_DCR_BITMASK; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure inter-frame delay time for UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * @param[in] waitval + * inter-frame delay time: 1 bit time unit (0~7) + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_IFDelayConfig( UARTn_Type* UARTx, uint8_t waitval ) +{ + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + if( waitval < 8 ) + { + UARTx->IDTR = waitval; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Force BREAK character on UART line, output pin UARTn TXD is + * forced to logic 0. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_ForceBreak( UARTn_Type* UARTx ) +{ + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + UARTx->LCR |= UARTn_LCR_BREAK_EN; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief This function returns the current value of Line Status Register. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return Current value of Line Status register in UART peripheral. + * @note The return value of this function must be ANDed with each member in + * UART_LS_Type enumeration to determine current flag status + * corresponding to each Line status type. Because some flags in + * Line Status register will be cleared after reading, the next reading + * Line Status register could not be correct. So this function used to + * read Line status register in one time only, then the return value + * used to check all flags. + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_UART_GetLineStatus( UARTn_Type* UARTx ) +{ + return ( ( UARTx->LSR ) & UARTn_LSR_BITMASK ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Check whether if UART is busy or not + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return RESET if UART is not busy, otherwise return SET. + *//*-------------------------------------------------------------------------*/ +FlagStatus HAL_UART_CheckBusy( UARTn_Type* UARTx ) +{ + if( UARTx->LSR & UARTn_LSR_TEMT ) + { + return RESET; + } + else + { + return SET; + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Transmit a single data through UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] Data + * Data to transmit (must be 8-bit long) + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_TransmitByte( UARTn_Type* UARTx, uint8_t Data ) +{ + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + UARTx->THR = Data; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive a single data from UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return Data received + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_UART_ReceiveByte( UARTn_Type* UARTx ) +{ + return UARTx->RBR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Send a block of data via UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] txbuf + * Pointer to Transmit buffer + * @param[in] buflen + * Length of Transmit buffer + * @param[in] flag + * Flag used in UART transfer + * - NONE_BLOCKING + * - BLOCKING + * @return Number of bytes sent. + * @note when using UART in BLOCKING mode, + * a time-out condition is used via defined symbol UARTn_BLOCKING_TIMEOUT. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_UART_Transmit( UARTn_Type* UARTx, uint8_t* txbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ) +{ + uint32_t bToSend, bSent, timeOut; + uint8_t* pChar = txbuf; + + // init counter + bToSend = buflen; + bSent = 0; + + // Blocking Mode + if( flag == BLOCKING ) + { + while( bToSend ) + { + // wait until tx data register is empty with timeout + timeOut = UARTn_BLOCKING_TIMEOUT; + while( !( UARTx->LSR & UARTn_LSR_THRE ) ) + { + if( timeOut == 0 ) + { + break; + } + timeOut--; + } + + // if timeout + if( timeOut == 0 ) + { + break; + } + + // send byte + HAL_UART_TransmitByte( UARTx, ( *pChar++ ) ); + + // update counter + bToSend--; + bSent++; + } + + // wait until previous transmission is complete + while( UARTx->LSR_b.TEMT == 0 ); // Polling Only + } + + // Non-Blocking Mode + else + { + while( bToSend ) + { + // if tx data register is not empty + if( !( UARTx->LSR & UARTn_LSR_THRE ) ) + { + break; + } + + // send byte + HAL_UART_TransmitByte( UARTx, ( *pChar++ ) ); + + // update counter + bToSend--; + bSent++; + } + } + + // return + return bSent; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive a block of data via UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[out] rxbuf + * Pointer to Received buffer + * @param[in] buflen + * Length of Received buffer + * @param[in] flag + * Flag mode + * - NONE_BLOCKING + * - BLOCKING + * @return Number of bytes received + * @note when using UART in BLOCKING mode, + * a time-out condition is used via defined symbol UARTn_BLOCKING_TIMEOUT. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_UART_Receive( UARTn_Type* UARTx, uint8_t* rxbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ) +{ + uint32_t bToRecv, bRecv, timeOut; + uint8_t* pChar = rxbuf; + + // init counter + bToRecv = buflen; + bRecv = 0; + + // Blocking Mode + if( flag == BLOCKING ) + { + while( bToRecv ) + { + // wait until data are received with timeout + timeOut = UARTn_BLOCKING_TIMEOUT; + while( !( UARTx->LSR & UARTn_LSR_RDR ) ) + { + if( timeOut == 0 ) + { + break; + } + timeOut--; + } + + // if timeout + if( timeOut == 0 ) + { + break; + } + + // receive byte + ( *pChar++ ) = HAL_UART_ReceiveByte( UARTx ); + + // update counter + bToRecv--; + bRecv++; + } + } + + // Non-Blocking Mode + else + { + while( bToRecv ) + { + // if no data were received + if( !( UARTx->LSR & UARTn_LSR_RDR ) ) + { + break; + } + + // receive byte + ( *pChar++ ) = HAL_UART_ReceiveByte( UARTx ); + + // update counter + bToRecv--; + bRecv++; + } + } + + // return + return bRecv; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_usart1n.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_usart1n.c new file mode 100644 index 0000000..e44a338 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_usart1n.c @@ -0,0 +1,781 @@ +/***************************************************************************//** +* @file A31G12x_hal_usart1n.c +* @brief Contains all functions support for usart1n firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_scu.h" +#include "A31G12x_hal_usart1n.h" + +//****************************************************************************** +// Variable +//****************************************************************************** + +uint32_t USART1n_BaseClock; + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Determines best dividers to get a target clock rate + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] mode + * - USART1n_UART_MODE + * - USART1n_USRT_MODE + * - USART1n_SPI_MODE + * @param[in] baudrate + * Desired baudrate + * @return None + *//*-------------------------------------------------------------------------*/ +static void usart_set_divisors( USART1n_Type* USART1x, uint32_t mode, uint32_t baudrate ) +{ + uint32_t numerator; + uint32_t denominator; + uint32_t n; + uint32_t bdr; + + if( mode == USART1n_UART_MODE ) + { + // baudrate = PCLK / (16 * (bdr + 1)) + // bdr = (PCLK / (16 * baudrate)) - 1 + n = 16; + } + else + { + // baudrate = PCLK / (2 * (bdr + 1)) + // bdr = (PCLK / (2 * baudrate)) - 1 + n = 2; + } + + //-------------------------------------- + // numerator & denominator + // + // bdr = USART1n_BaseClock / n / baudrate - 1 + //-------------------------------------- + numerator = USART1n_BaseClock; + denominator = baudrate; + + bdr = numerator / n / denominator - 1; + + USART1x->BDR = ( uint16_t )( bdr & 0xffff ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the USART1n peripheral with the specified parameters. + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] USART1n_Config + * Pointer to a USART1n_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_Init( USART1n_Type* USART1x, USART1n_CFG_Type* USART1n_Config ) +{ + uint32_t tmp; + + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( USART1x == ( USART1n_Type* )USART10 ) + { + /* Set up peripheral clock for USART10 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UST10CLKE, PPxCLKE_Enable ); + HAL_SCU_Peripheral_SetReset2( 1 << 0 ); + } +#endif + +#if 1 // supported + if( USART1x == ( USART1n_Type* )USART11 ) + { + /* Set up peripheral clock for USART11 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UST11CLKE, PPxCLKE_Enable ); + HAL_SCU_Peripheral_SetReset2( 1 << 1 ); + } +#endif + +#if 1 // supported + if( USART1x == ( USART1n_Type* )USART12 ) + { + /* Set up peripheral clock for USART12 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UST12CLKE, PPxCLKE_Enable ); + HAL_SCU_Peripheral_SetReset2( 1 << 4 ); + } +#endif + +#if 1 // supported + if( USART1x == ( USART1n_Type* )USART13 ) + { + /* Set up peripheral clock for USART13 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UST13CLKE, PPxCLKE_Enable ); + HAL_SCU_Peripheral_SetReset2( 1 << 5 ); + } +#endif + + USART1n_BaseClock = SystemPeriClock; + + usart_set_divisors( USART1x, USART1n_Config->Mode, USART1n_Config->Baudrate ); + + tmp = 0 + | ( ( USART1n_Config->Mode & 0x3 ) << USART1n_CR1_USTnMS_Pos ) + | ( ( USART1n_Config->Parity & 0x3 ) << USART1n_CR1_USTnP_Pos ) + | ( ( USART1n_Config->Databits & 0x7 ) << USART1n_CR1_USTnS_Pos ) + | ( ( USART1n_Config->Order & 0x1 ) << USART1n_CR1_ORDn_Pos ) + | ( ( USART1n_Config->ACK & 0x1 ) << USART1n_CR1_CPOLn_Pos ) + | ( ( USART1n_Config->Edge & 0x3 ) << USART1n_CR1_CPHAn_Pos ) + | ( 1 << USART1n_CR1_TXEn_Pos ) // Tx Enable + | ( 1 << USART1n_CR1_RXEn_Pos ) // Rx Enable + ; + + USART1x->CR1 = tmp; + + USART1x->CR2 &= ~( 1 << USART1n_CR2_USTnSB_Pos ); // USTnSB reset + USART1x->CR2 |= ( ( USART1n_Config->Stopbits & 0x1 ) << USART1n_CR2_USTnSB_Pos ); // USTnSB + USART1x->CR2 &= ~( 1 << USART1n_CR2_FXCHn_Pos ); // FXCHn reset + // USART1x->CR2 |= (1<Mode = USART1n_UART_MODE; + USART1n_Config->Baudrate = 38400; + USART1n_Config->Databits = USART1n_DATA_BIT_8; + USART1n_Config->Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config->Stopbits = USART1n_STOP_BIT_1; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Fills each USART_InitStruct member with its default value: + * - 38400 bps + * - 8 Data Bit + * - No Parity Bit + * - 1 Stop Bit + * @param[out] USART1n_Config + * Pointer to a USART1n_CFG_Type structure which will be initialized. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_USRT_Mode_Config( USART1n_CFG_Type* USART1n_Config ) +{ + /* Check USART1n_Config */ + if( USART1n_Config == NULL ) + { + return HAL_ERROR; + } + + USART1n_Config->Mode = USART1n_USRT_MODE; + USART1n_Config->Baudrate = 38400; + USART1n_Config->Databits = USART1n_DATA_BIT_8; + USART1n_Config->Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config->Stopbits = USART1n_STOP_BIT_1; + + //only SPI & Sync. Mode + USART1n_Config->Order = USART1n_SPI_LSB; +#if 0 // CPOLn : 0, CPHAn : 0 (X) + USART1n_Config->ACK = USART1n_SPI_TX_RISING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; +#endif +#if 1 // CPOLn : 0, CPHAn : 1 (O) + USART1n_Config->ACK = USART1n_SPI_TX_RISING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SETUP; +#endif + +#if 0 // CPOLn : 1, CPHAn : 0 (X) + USART1n_Config->ACK = USART1n_SPI_TX_FALLING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; +#endif + +#if 0 // CPOLn : 1, CPHAn : 1 (O) + USART1n_Config->ACK = USART1n_SPI_TX_FALLING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SETUP; +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Fills each USART_InitStruct member with its default value: + * - 38400 bps + * - 8 Data Bit + * - No Parity Bit + * - 1 Stop Bit + * @param[out] USART1n_Config + * Pointer to a USART1n_CFG_Type structure which will be initialized. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_SPI_Mode_Config( USART1n_CFG_Type* USART1n_Config ) +{ + /* Check USART1n_Config */ + if( USART1n_Config == NULL ) + { + return HAL_ERROR; + } + + USART1n_Config->Mode = USART1n_SPI_MODE; + USART1n_Config->Baudrate = 38400; + USART1n_Config->Databits = USART1n_DATA_BIT_8; + USART1n_Config->Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config->Stopbits = USART1n_STOP_BIT_1; + + //only SPI & Sync. Mode + USART1n_Config->Order = USART1n_SPI_LSB; +#if 1 // CPOLn : 0, CPHAn : 0 (X) + USART1n_Config->ACK = USART1n_SPI_TX_RISING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; +#endif +#if 0 // CPOLn : 0, CPHAn : 1 (O) + USART1n_Config->ACK = USART1n_SPI_TX_RISING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SETUP; +#endif + +#if 0 // CPOLn : 1, CPHAn : 0 (X) + USART1n_Config->ACK = USART1n_SPI_TX_FALLING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; +#endif + +#if 0 // CPOLn : 1, CPHAn : 1 (O) + USART1n_Config->ACK = USART1n_SPI_TX_FALLING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SETUP; +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] USART1n_IntCfg + * Specifies the interrupt flag + * - USART1n_INTCFG_DR: DR Interrupt enable + * - USART1n_INTCFG_TXC: TXC Interrupt enable + * - USART1n_INTCFG_RXC: RXC interrupt enable + * - USART1n_INTCFG_WAKE: WAKE Interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_ConfigInterrupt( USART1n_Type* USART1x, USART1n_INT_Type USART1n_IntCfg, FunctionalState NewState ) +{ + uint32_t tmp = 0; + + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + switch( USART1n_IntCfg ) + { + case USART1n_INTCFG_WAKE : + tmp = USART1n_IER_WAKEINT_EN; + break; + case USART1n_INTCFG_RXC: + tmp = USART1n_IER_RXCINT_EN; + break; + case USART1n_INTCFG_TXC: + tmp = USART1n_IER_TXCINT_EN; + break; + case USART1n_INTCFG_DR: + tmp = USART1n_IER_DR_EN; + break; + } + + if( NewState == ENABLE ) + { + USART1x->CR1 |= tmp; + } + else + { + USART1x->CR1 &= ~( tmp & USART1n_IER_BITMASK ); + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure Data Control mode for USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] Mode + * Data Control Mode + * - UST_CR_USTEN: Activate USART1n Block by supplying. + * - UST_CR_DBLS: Selects receiver sampling rate. (only UART mode) + * - UST_CR_MASTER: Selects master or slave in SPIn or Synchronous mode and controls the direction of SCKn pin. + * - UST_CR_LOOPS: Control the Loop Back mode of USART1n for test mode. + * - UST_CR_DISSCK: In synchronous mode operation, selects the waveform of SCKn output. + * - UST_CR_USTSSEN: This bit controls the SSn pin operation. (only SPI mode) + * - UST_CR_FXCH: SPIn port function exchange control bit. (only SPI mode) + * - UST_CR_USTSB: Selects the length of stop bit in Asynchronous or Synchronous mode. + * - UST_CR_USTTX8: The ninth bit of data frame in Asynchronous or Synchronous mode of operation. Write this bit first before loading the USART1n_DR register. + * - UST_CR_USTRX8: The ninth bit of data frame in Asynchronous or Synchronous mode of operation. Read this bit first before reading the receive buffer (only UART mode) + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_DataControlConfig( USART1n_Type* USART1x, USART1n_CONTROL_Type Mode, FunctionalState NewState ) +{ + uint16_t tmp = 0; + + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + switch( Mode ) + { + case USART1n_CONTROL_USTRX8: + tmp = USART1n_CR2_USTnRX8; + break; + case USART1n_CONTROL_USTTX8: + tmp = USART1n_CR2_USTnTX8; + break; + case USART1n_CONTROL_USTSB: + tmp = USART1n_CR2_USTnSB; + break; + case USART1n_CONTROL_FXCH: + tmp = USART1n_CR2_FXCHn; + break; + case USART1n_CONTROL_USTSSEN: + tmp = USART1n_CR2_USTnSSEN; + break; + case USART1n_CONTROL_DISSCK: + tmp = USART1n_CR2_DISSCKn; + break; + case USART1n_CONTROL_LOOPS: + tmp = USART1n_CR2_LOOPSn; + break; + case USART1n_CONTROL_MASTER: + tmp = USART1n_CR2_MASTERn; + break; + case USART1n_CONTROL_DBLS: + tmp = USART1n_CR2_DBLSn; + break; + case USART1n_CONTROL_USTEN: + tmp = USART1n_CR2_USTnEN; + break; + default: + break; + } + + if( NewState == ENABLE ) + { + USART1x->CR2 |= tmp; + } + else + { + USART1x->CR2 &= ~( tmp & USART1n_CR2_BITMASK ); + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief USART1n enable control + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] state + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_Enable( USART1n_Type* USART1x, FunctionalState state ) +{ + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + if( state == ENABLE ) + { + USART1x->CR2 |= ( 1 << USART1n_CR2_USTnEN_Pos ); // USTnEN + } + else + { + USART1x->CR2 &= ~( 1 << USART1n_CR2_USTnEN_Pos ); // USTnEN + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear Status register in USART peripheral. + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] Status + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_ClearStatus( USART1n_Type* USART1x, USART1n_STATUS_Type Status ) +{ + uint32_t tmp; + + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + switch( Status ) + { + case USART1n_STATUS_WAKE: + tmp = USART1n_SR_WAKE; + break; + case USART1n_STATUS_RXC: + tmp = USART1n_SR_RXC; + break; + case USART1n_STATUS_TXC: + tmp = USART1n_SR_TXC; + break; + case USART1n_STATUS_DRE: + tmp = USART1n_SR_DRE; + break; + default: + return HAL_ERROR; + } + + USART1x->ST = tmp; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get current value of Line Status register in USART peripheral. + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @return Current value of Status register in USART peripheral. + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_USART_GetStatus( USART1n_Type* USART1x ) +{ + return ( ( USART1x->ST ) & USART1n_SR_BITMASK ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Check whether if USART is busy or not + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @return RESET if USART is not busy, otherwise return SET. + *//*-------------------------------------------------------------------------*/ +FlagStatus HAL_USART_CheckBusy( USART1n_Type* USART1x ) +{ + if( USART1x->ST & USART1n_SR_DRE ) + { + return RESET; + } + else + { + return SET; + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Transmit a single data through USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] Data + * Data to transmit (must be 8-bit long) + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_TransmitByte( USART1n_Type* USART1x, uint8_t Data ) +{ + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + USART1x->DR = Data; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive a single data from USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @return Data received + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_USART_ReceiveByte( USART1n_Type* USART1x ) +{ + return USART1x->DR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Send a block of data via USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] txbuf + * Pointer to Transmit buffer + * @param[in] buflen + * Length of Transmit buffer + * @param[in] flag + * Flag used in USART transfer + * - NONE_BLOCKING + * - BLOCKING + * @return Number of bytes sent. + * @note when using USART in BLOCKING mode, a time-out condition is used + * via defined symbol USART_BLOCKING_TIMEOUT. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_USART_Transmit( USART1n_Type* USART1x, uint8_t* txbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ) +{ + uint32_t bToSend, bSent, timeOut; + uint8_t* pChar = txbuf; + + bToSend = buflen; + + // blocking mode + + if( flag == BLOCKING ) + { + bSent = 0; + while( bToSend ) + { + + HAL_USART_TransmitByte( USART1x, ( *pChar++ ) ); + + timeOut = USART1n_BLOCKING_TIMEOUT; + // Wait for THR empty with timeout + while( !( USART1x->ST & USART1n_SR_TXC ) ) + { + if( timeOut == 0 ) + { + break; + } + timeOut--; + } + // Time out! + if( timeOut == 0 ) + { + break; + } + HAL_USART_ClearStatus( USART1x, USART1n_STATUS_TXC ); + bToSend--; + bSent++; + } + } + + // Non-Blocking Mode + else + { + bSent = 0; + while( bToSend ) + { + if( !( USART1x->ST & USART1n_SR_DRE ) ) + { + break; + } + HAL_USART_TransmitByte( USART1x, ( *pChar++ ) ); + bToSend--; + bSent++; + } + } + + return bSent; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive a block of data via USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[out] rxbuf + * Pointer to Received buffer + * @param[in] buflen + * Length of Received buffer + * @param[in] flag + * Flag mode + * - NONE_BLOCKING + * - BLOCKING + * @return Number of bytes received + * @note when using USART in BLOCKING mode, a time-out condition is used + * via defined symbol USART_BLOCKING_TIMEOUT. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_USART_Receive( USART1n_Type* USART1x, uint8_t* rxbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ) +{ + uint32_t bToRecv, bRecv, timeOut; + uint8_t* pChar = rxbuf; + + bToRecv = buflen; + + // Blocking Mode + if( flag == BLOCKING ) + { + bRecv = 0; + while( bToRecv ) + { + timeOut = USART1n_BLOCKING_TIMEOUT; + while( !( USART1x->ST & USART1n_SR_RXC ) ) + { + if( timeOut == 0 ) + { + break; + } + timeOut--; + } + // Time out! + + if( timeOut == 0 ) + { + break; + } + // Get data from the buffer + ( *pChar++ ) = HAL_USART_ReceiveByte( USART1x ); + + bToRecv--; + bRecv++; + } + } + + // Non-Blocking Mode + else + { + bRecv = 0; + while( bToRecv ) + { + if( !( USART1x->ST & USART1n_SR_RXC ) ) + { + break; + } + else + { + ( *pChar++ ) = HAL_USART_ReceiveByte( USART1x ); + bRecv++; + bToRecv--; + } + } + } + + return bRecv; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wdt.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wdt.c new file mode 100644 index 0000000..0d5236a --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wdt.c @@ -0,0 +1,243 @@ +/***************************************************************************//** +* @file A31G12x_hal_wdt.c +* @brief Contains all functions support for wdt firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_wdt.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the WDT peripheral with the specified parameters. + * @param[in] WDT_Config + * Pointer to a WDT_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_Init( WDT_CFG_Type* WDT_Config ) +{ + uint32_t reg_val = 0; + + /* Check WDT_Config */ + if( WDT_Config == NULL ) + { + return HAL_ERROR; + } + + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_WDTCLKE, PPxCLKE_Enable ); + + WDT->DR = ( WDT_Config->wdtTmrConst & 0x00FFFFFF ); + WDT->WINDR = ( WDT_Config->wdtWTmrConst & 0x00FFFFFF ); + reg_val = WDT_Config->wdtClkDiv; + if( WDT_Config->wdtResetEn == ENABLE ) + { + reg_val &= ~( 0x3f << WDT_CR_RSTEN_Pos ); + } + else + { + reg_val |= ( 0x25 << WDT_CR_RSTEN_Pos ); + } + WDT->CR = ( 0x5A69 << WDT_CR_WTIDKY_Pos ) | ( 0x1a << WDT_CR_CNTEN_Pos ) | reg_val; // /w Write Identification Key + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Deinitialize WDT + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_DeInit( void ) +{ + WDT->CR = 0 + | ( 0x5A69 << WDT_CR_WTIDKY_Pos ) // Write Identification Key + | ( 0x25 << WDT_CR_RSTEN_Pos ) // Disable watch-dog timer reset + | ( 0x1A << WDT_CR_CNTEN_Pos ) // Disable watch-dog timer counter + ; + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] WDT_IntCfg + * Specifies the interrupt flag + * - WDT_INTCFG_UNFIEN: UNFIEN Interrupt enable + * - WDT_INTCFG_WINMIEN: WINMIEN Interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_ConfigInterrupt( WDT_INT_Type WDT_IntCfg, FunctionalState NewState ) +{ +#if 0 // before bug fix + uint32_t reg_val = 0; + uint32_t tmp = 0; + + reg_val = ( WDT->CR & 0xFFFF ); + + switch( WDT_IntCfg ) + { + case WDT_INTCFG_UNFIEN: + tmp = WDT_CR_UNFIEN; + break; + case WDT_INTCFG_WINMIEN: + tmp = WDT_CR_WINMIEN; + break; + } + + if( NewState == ENABLE ) + { + reg_val |= ( tmp & WDT_INTERRUPT_BITMASK ); + } + else + { + reg_val &= ( ( ~tmp ) & WDT_INTERRUPT_BITMASK ); // reg_val &= ~tmp; ... + } + + WDT->CR = ( 0x5A69 << WDT_CR_WTIDKY_Pos ) | reg_val; // Write Identification Key 0x5A69 +#else // after bug fix + uint32_t reg_val = 0; + uint32_t mask = 0; + + switch( WDT_IntCfg ) + { + case WDT_INTCFG_UNFIEN: + mask = WDT_CR_UNFIEN_Msk; + break; + case WDT_INTCFG_WINMIEN: + mask = WDT_CR_WINMIEN_Msk; + break; + } + + reg_val = WDT->CR + & ~( WDT_CR_WTIDKY_Msk ) + | ( ( uint32_t )WDT_CR_WTIDKY_Value << WDT_CR_WTIDKY_Pos ) + ; + if( NewState == ENABLE ) + { + reg_val |= mask; + } + else + { + reg_val &= ~mask; + } + + WDT->CR = reg_val; +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Reload WDT counter + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_ReloadTimeCounter( void ) +{ + WDT->CNTR = 0x6a; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable WDT activity + * @param[in] ctrl + * - DISABLE: wdt enable + * - ENABLE: wdt disable + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_Start( FunctionalState ctrl ) +{ + uint32_t tmp_reg; + + tmp_reg = WDT->CR & 0xFFFF; + tmp_reg |= ( 0x1a << WDT_CR_CNTEN_Pos ); // Disable watch-dog timer counter + + if( ctrl == ENABLE ) + { + tmp_reg &= ~( 0x3f << WDT_CR_CNTEN_Pos ); // Enable watch-dog timer counter, + } + + WDT->CR = ( 0x5A69 << WDT_CR_WTIDKY_Pos ) | tmp_reg; // Write Identification Key 0x5A69 + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear the timer status register of WDT + * @param[in] clrbit + * - WDT_SR_UNFIFLAG: UNFIFLAG Interrupt flag + * - WDT_SR_WINMIFLAG: WINMIFLAG Interrupt flag + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_ClearStatus( uint32_t clrbit ) +{ + WDT->SR = clrbit; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get the timer status register of WDT + * @param None + * @return the status register of WDT + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_WDT_GetStatus( void ) +{ + return WDT->SR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get the current value of WDT + * @param None + * @return current value of WDT + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_WDT_GetCurrentCount( void ) +{ + return WDT->CNT; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wt.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wt.c new file mode 100644 index 0000000..f2aaa41 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wt.c @@ -0,0 +1,188 @@ +/***************************************************************************//** +* @file A31G12x_hal_wt.c +* @brief Contains all functions support for wt firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_wt.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the WT peripheral with the specified parameters. + * @param[in] WT_Config + * Pointer to a WT_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_Init( WT_CFG_Type* WT_Config ) +{ + /* Check WT_Config */ + if( WT_Config == NULL ) + { + return HAL_ERROR; + } + + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_WTCLKE, PPxCLKE_Enable ); + + WT->CR = 0 + | ( ( WT_Config->wtClkDiv & 0x03 ) << 4 ) // WTINTV[1:0] + | WT_CR_WTCLR + ; + WT->DR = ( WT_Config->wtTmrConst & 0xFFF ); + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief deinit for Watch Timer function + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_DeInit( void ) +{ + WT->CR = 0; + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_ConfigInterrupt( FunctionalState NewState ) +{ + uint32_t reg_val = 0; + + reg_val = ( WT->CR & 0xFF ); + + if( NewState == ENABLE ) + { + reg_val |= WT_CR_WTIEN; // WTIEN bit + } + else + { + reg_val &= ~WT_CR_WTIEN; + } + + WT->CR = reg_val | WT_CR_WTIFLAG; // (1<<1): clear for WTIFLAG + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable WT activity + * @param[in] ctrl + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_Start( FunctionalState ctrl ) +{ + uint32_t tmp_reg; + + tmp_reg = WT->CR & 0xFF; + tmp_reg &= ~( 0x1 << 7 ); // Disable watch-dog timer counter + + if( ctrl == ENABLE ) + { + tmp_reg |= ( 0x1 << 7 ); // Enable watch timer counter + } + + tmp_reg |= WT_CR_WTCLR; // clear the counter and divider + + WT->CR = tmp_reg; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set WT CR Register + * @param[in] u32WTSet + * Watch Timer Control Register Setting Data + * @return @ref HAL_Status_Type + * @details This function sets the mode, external clock edge, Timer out polarity, + * Capture Polarity and Timer match/capture interrupt. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_SetRegister( uint32_t u32WTSet ) +{ + WT->CR = u32WTSet; // Setting Timer 1n Control Register + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear the timer status register of WT + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_ClearStatus( void ) +{ + WT->CR |= WT_CR_WTIFLAG; // (1<<1): clear for WTIFLAG + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get the timer status register of WT + * @param None + * @return the status register of WT + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_WT_GetStatus( void ) +{ + return ( WT->CR & WT_STATUS_BITMASK ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Get the current value of WT + * @param None + * @return current value of WT + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_WT_GetCurrentCount( void ) +{ + return ( WT->CNT & 0xFFF ); +} + diff --git a/Project/SDK_V2_5_0/FlashLoader/A31G12x_CFG.FLM b/Project/SDK_V2_5_0/FlashLoader/A31G12x_CFG.FLM new file mode 100644 index 0000000000000000000000000000000000000000..16fd34040d8928f5672bcf455a9887d51c6172f7 GIT binary patch literal 15028 zcmeHOdu&_RdH?RcBt=WIB}=j*%d$*c^edKVQ7_Am<%pyxlh(tsDBEd5hos0Wkv3o9 zLzeThlcsG}7=eSdSy6OthGj*t1)Hj}GYlQPB18J8)1_TH4A6ex zx%ZNktR}${6xpEToO{0KIp6o4bM8IoqjJ$ZJ}OBP8AYZ&WMCg0AnF?gM<#P0+0g8v zda9%RXx)o+v->x7-~aY#_9)Tt_p(P{Lw(fu#qF+e?&U}P$IeO}|6*$O9Gks(OpZ*~ zKN|i)TuFS>ZF<|CXsB+G&-S<@zW9Y>^&VfC{+j=t_gTYa%O1VY_cw7l@gyW&iFMWM z`I zmat#h8J_&6d;dG(V^`ef>mfX4@wC5n?=ffi-s9)P_xfKzeLqaw$J(tw@VCAB7q-3s z^$*?QZ2VO>U6<@H#83Giaj$!eI;-9~>AM-e87Iqs|0J7utxCFHj7YYd*9g@a{_wq< zFI^rT@>9p(#n&gEhfm`Pd$nCY+czFL>>rx+whZ|d`_S>1+y~z|JnigljQQH3NwwJBSjY~hg^tWu+6Yk%<)8)5@kHx!vkFsqYUybhv zB)vuZr0e66bvL?vm)hP4zacF22@C(@J;TC-;Rhp{jZJ>q{iAqo;*VhCSYk`{7Wr)N zSVY>suIh2O^!9Lzv^z3N_BY#<(ciOH-LU>BCr;LwFvw_ih#78_9ML^ zZ--9`l4_g$%|s?U)zloRQLIGfjgn&BX>Bxbv2K(aqM6A!w)&tt%rQ zC~7@aR}xvJ#vm9HwUq>-^#;nq8w`Y~&H&4O*Oy5wym1$?M-3*prdVrgh#DDWplJ^& z);2IV2>=lik-9Tz;*4Nac>m7B%%#H)1v76Cw^mj5qw2K=Vz3mnN+}cww7<(HrFx=1Z<(?kE#9MWVyYNkl_s z!coNPNEt1gI*gFrxtzhqGI_X6*34z1t4yruq?*XRoFO^^*;6L#oq#y96+v@iJ@R_; zY6#J2nR-{<7wS&K%cB~}Kz-bqq316nY}m016hn+@DA70riuG`9A13Ec%-BSk!06;A z@Odd6XMkv`S*%cPN{LQrC{OkDZK5Y$7Xbztn9*oNCvOw0=@h3nPq&D*epjF9NnY0p z!7msH(cL--qwmo`76@t}(HTRM$Gq1-I_nq>>8OQ~u!d-yh=wqIwhzN=qecaYfnp5s zFe(E?b7s-ZbHf`wZq{ujO6VZ8&T1ghIfKppCk;d!Ic3N*FU=kDe#{5}ePj&}IN0}T z$ep!^f#fu#t(oo08wgRMU+m!G9U`I&L(~F;&u^6YT}!lhSO*YjlC)P^`3)>PproxU z=69lU?pBH9Qqkx>k{;a9u%4=@N~)5p6syT8`1F~TCR3f)_WZF5^6iDtMTE- zJg=!sbG+o+cX{ej2KUow4J`3iIK>PBU~+krg$5&XXge`Xc_yPzwe!;5N%BbZ1> zlf{@C98E^@@#6u9k35-FHj`G;-~wwp{<#dJO~pH^eGWF|dNCb>+=$1}MCnIvm8 z9?5ZA5*38KR~6yYh`Nx7s`SkE_ETyuPYu^d?jYp}x#7noU8HufCnG798qAX1Au~F2 zAq(^xDIJeWjJskvYIq*#V^Vwmeh@V5amJ=1^N?lcWvP8yMfVI}k=hp`NpC7!xIhg* z1>tK_dm%HG%gk&1Z!qf3Me@4twI939@?;g+w%Yj^Sp8=ZfhlBMOjv zL!q3SFD45Fb!o~i(UA{iX~29kUFwuOyoCBi?$pq|39DD=BJ4-Lt4J=h*ioK%A}efS zRepy?QCDcRLF0-^n$R@#t;2q>Jik{xXyJ!&<86!>sZ|IJSkq$#Vk-wiq)W{$@qK1E? zUqNh{0gr>A8}MC#h5jc|{D&)6d0l^DIR5!CegM zgLeR~82lCbQ|Msubd3EsgUgY4h28{N46e=o&*(kwoXhK|3O$P>f=R-DFgCUJ!TEGM z0;h*aJ|#Xm{c7~gr}*Hoq5RPvR&cYNRwJ=cA(u!oRgk&8f%>`kgAWzFxi7OHp%9{j z$#Zsx#5)VY4n;%Gp8o#mfdhkJgr>cx0zPpPVWs~gKGwrf_S1^D?kDrnIeLliaz;N`_X-_ru#fLqC)$i z3t+iDD{atr3nY={ka@<;va(gOG~(ZFn=G3xy8tw4)jX;!Y&P4KYUY|{%Wkx`rY6BP zOHEL;Hd;2Dw<{MR#Y#d3T`;p*VY~_a=H+~J&-|TA75FXYb;?6%n<2bYd4T(AF~%~R zEN$j0rKZtrQi@DuNyX9%a14evn$L-+$ZLRyT?i6z1iG8zty;i>7^^Kw}S>7pFto&4( zq}JA5t*x>Q{Y55F7|&b!@*D~V$J9hJw9p?6;vNzVPPsf|I_Q}Q_yfUUzoXaD9SrK2 zU%$!V+z?IW6Zw$1Tsq>sCwX*!XDII~5{BkI`#T+*Uq8w}md1V=CcTPkribY1r=Mo9 z8qtyIAvRJJPQf&xf+kv4spNkdQhW_$KDR7i$&Z6}R_Ale^59$1h%$mF%dmcBVR|L) zm+1EcREegnFprzm_<`zKV1rpw%tfnNpZL&2Tl0oV*lBp5)` zmencemK`Qm7kfhYfCZ^)uAxXAV-synN zNz#s!lVg*|PfyyqN$NO0>GAoem~8jnH8be;&)9lM+6mY>z(_AiyMXleFw#fTZXkgv zzpbC7y#cRh=J<4I^5lfA-wCbTr(8$9p$XSrwt@cs-T{)Fx`cOf#MZqys+Z0Rm?Y2W zxGUfbjZB{k2?O_ZFLpb-yZ14&mlH_suwSNc!Qs~dJ&Rg-u>?x@pvn}+QLY~K-+_s{ z(IDchUy}*|%eNlB3i8c3{xK@QL0SG;z=r)B zWh?@Ff$TB-=g6s%BrC;nw`@?~mG0)hD{bax!ICTK1Z`^)7fKR>GK7AZ~uiG_f z56rkGM_kh*<9@e&+IwQqJ~izP4BEXew|}9B%Gb3|bm75e;1kK=VkEYkzSYXxta6K0 zZZCanRqkS5H@wzRhH-|`AUrXx|LgP{PBqCy`ka32jh!LnUB{Ww)s@FAL<<0t$f<_- zCLT&fvY|wJE(0P!mbs9c%_Q@HVu{FnC|}HGk%-9;9X#0U1TmT|4tbDQRTsM^y7z&Q zOymng4n2vx7|J7ypyosPvv7yjU#3{d7FhzoYEqHKIUY3{DHPP)5MQb^FgO#*&8r0m zd;y5${e8dgs7EUk{XTiToUz?t-&0r=~J7bqHDYsJcpQvd;eg zzOLR+6_{md7Q}^dtRUpN=DEFPC25{H2fBMYo!tj|A@ecrMY7TS(0(K$)!g|^?py`5 zKbOfS_UF!L6X*ruk0lloG1c~&OgXkrv!vaf@O=yESQ7C=J#xP@hl-Uj_WGBCclW&NI=SO&0UPQXeCs(8*K&fdBZU zIRBs>>hI~db&Z5zUkI(HB$jq+E;O6VoX@K(;}gxz+4z-F@!Lg34n%}8hTk$i#isv^ zR)DZVTPNi?|F{*vN^2|Nx32(>&nhcmMErWiN85Q1-h14R@JR^tk$f~q4)IzFMP_Gl z?lG`AB;Qp7;jfJWLf6~JJaK&%>qVSmIGq`qEWd?ry z7jig73jT8#w{jc<=JPK12ez<_ZDhNQV#x8im*tEyj>G-y$nqP-;Oz#%fSFehMZN|b z#Q@oE17_akRy^2fy%@Y<;0DaB%N@9f8%9;|_^wbXSHA~;io?$9#o%$q!GM`Hj#TU$ zx(qi4Z>|DndQ+!%wr7}&A-4dSV`r3aK#ni!d=513I@~^tT44_PkWyngqZlA3Zm?!b r<-`5j-vAf{!}ZG`7&^>r>c*k7Leb!T9lXkMXYl`@KEn!nG35RS{d9Ia literal 0 HcmV?d00001 diff --git a/Project/SDK_V2_5_0/FlashLoader/A31G12x_FLASH.FLM b/Project/SDK_V2_5_0/FlashLoader/A31G12x_FLASH.FLM new file mode 100644 index 0000000000000000000000000000000000000000..46b844b28c8fbf84f50c7d851771b05aba168213 GIT binary patch literal 14884 zcmeHNdu&_RdH?Rcd|8qsSue}7tWp+j%aKIuEysx+i4tW}FVmv@NJ5*WNJ^wkkt`pw zoR?iU&5|H1oF}rR9lS0Bvc=en1Sybi>6|owG|kZ2Ns1Y3vAIKrCK!e}Ly9dy0qysl zdoM}ZPFyrWTMRn(;rZU@`@ZwwIfpvw93PP+iS!~<3+Y(6pXhm1lF8UZRx~YCLFF{? z{nXy`sDJAEdedMa{k?CyN9U#HpBd_hMrWQIm4j0irvq<9mDsZm!#j>xWl5zx-{lCp zqEC%h47mdI_x$e(mnA^f)ZRaKy&jcgk3n)Uwx(o_Jl{DOeAw-pmo~p+I5Xs$VVNth zJTOLEe;(ZwI|n&m%vNHP=X-p?ACml@)V$GrzV7Q2r^dfF)*3iHb`14J)c*)j^IHuM zTa?SEethc9yBdD>(w{g!xzrMvkDhQp;-CxC))%A4N0p{095oloUUjk3yf}8c?pcR) zDd#d?&W)a`%Z;9Klw8WXJ_vjeCDX6pi^k5Ir3qZ@l@cb>jI)3X&iWcpyB*W)|OA-^}8y9r~yy(EPK;@CaN^O z;E*m-t8`(1uANj~SoBr)%JyQ^E^@T~BDK{ZN9`g<@})M{sYcV~R%EFaSt`BM3jBI` zvIjo><&{?+9jSKHwzs2Yu|LQ7jm0*WY?SA_$Aaw7Lk{VkfjVhhaD;4cH7FwwTg;cO zZ`Vd&aYz^HqE}w4+!Sv3Gsmwk(GK}Sx$r+QeC0LF$$A=~IvQABUM2&X|AMIG5H$$g zPaA3A)|)q2P9~0wOY}q>GG@c`PsA~KFE5u98FoGqKVba9>;Kg8tpDV9eiWnsW-5^+ z4R&|#?d)2z&L-2=k$5l@W%BQ^=qpd6Q(CXfXv12~(-06BO=PuM&A@5~Rx_}gfz=GG zW?(e~s~K3$z-k6oGq9S0)eNj=;Qvtuq$b*dhYGm~F)4Vot8v%jF~dfsrF%*h3z2c1 zq*%6Cs*D>g>!eDl!bD_RNg^^A2+W6|u0ph?fDA!VwNO$_WR+5#pi7h$6NuL8C=1`D zBShsoSg>7DAhGbet;7*k8W5UdDJ>!NxE7Y(wL8}keMJR{tU9fyjHpRRN=w(4mcjJqa^Yrk zzi4bmG@Sh{3=nPQMuxR*0zg|PqDOW7Fm$_@z-1My^tHk~Sajzz~ zvp`bSt?2cZl|&}(DvW~ucS5Y47srAAV z&kHYu3{0yuq66#2Y&uwn*>tE*%=N=P!jinE4T5**2+^Gy2&eB-K^E|-Akh(BlKVWW zBW>l3`Zd(VNI*qYPEbXdKGTEl)m}paL`Pu;xSI$AM6*WG%yGjDJ!;f^C5mYvwB}Wi z=$OuC`*9sndtT7xnU`RLydIMRfQ^(M0Vn&bDsp?-Z9vj0(ooBOWpson+bdRZ?pBe} z#obf~hmWt5c&{Z|x=jO+Xp+<|t*`^jb||UyiurA*{C2AZ(+iRJoSSWR}db zS+N)_MvKW}wpc7BmQr(><))UaYfLT-!MF={E_@kEH|>E3Vk3?3jVzh7`~xA2(F{b zCy!L!Td?sh$UH7&R@&e`9zwOtSOVAKUxuCnIy^41+kLS_D4q*PeBzORpV#i9q2xj; znTRB^yR3s#6IMoJ@krX*Ywzf@=2GEcHe&6ww#?*W@vybOw`03?I+=_^IK415WWB2= z+}+pRe}p6&-sOugWPIvR3EjSt@d^J#@K_}6$Bznr(cgG77>=YJC%lJ-eW`SEJ`&1` zoU-2rgE^PT#O4x_ur;1c%#lGZ5HitZI!gx05{d@X+~!3EVf#5n*dLB8#zGM~+the4 zlFm@&t0Xs*@`zmdHc2O`5$ws}LWC+MNp6-Ioj#EQ`YI{SrzOS*!)dB~0q6r#W9DuU zRO}(fJi$50GV@WXaVi4y44;u27lU!D*qWqo#|jk)BTpD zJf~EilcZ&Z8Z$^hk{x|aQku_7wEeOghgYLp(5(uyF~rh17!Ie4DLe~_&Y>2KaVD8d zhqNI^4EE6PdyIvX_*hr*z5xHO;I9R9@(<46RPk)8coZwkvX(P_f;M9{VGBpHwR{_| z^52u5`~Bye_oLJB`V3lw!5B+m?Oe0Gu3kjN60EVg@=eNC{uJ$pXkSMA5$*X0S*kL+ z6R|8wmFL91$9vu1pvHojngqFaWF{7hA7i+l;n`dw#E2QlQNKSO$>ic$g1Y2!NVMl6 zMQSr1NaT&OLEbQ*W23t6$B5=CT@1yZM-0*#$;hiM9b6C#l-*)&3kK>Qwh&ak`UMQc zGIz5dKVfhtH%pcOPJav7U+hyD1nJHgA^76}?~J4o)H!;A;S_cN=*>nz`cHZUB-*oV zkot{ceGf%rDK#tTns;jl_*eOSM$&B8Kw0@m1A4*6bjO@SvpOOxk6pQ6?{xpQopy^( zKQu}+YNy&>z)^FT{3WotC~6t_itw<>=;6H#Q19u#7+x9PMUZIE`zGnURF2&=HhTiW zy)1g*sl`Rl?P-W=xxIryEw{GeGOSszrpKlynXCKQ81svP~DdI$gK{l<$-xuhAXv4#( zA3-o(uebL@w{SRtKAB7=SlB6Sw&C;hZC+2e48x;PD|9h)SNe0c59+LfBwiI{9$KTU zR7s{P{99jR+F;rWpjxfQ5oK|M(WaCz*C?B|p|w_53$9VBhN7j)w87Y$Dm6XCZ;MUkX2J7Kz)MNW8%pY*3uH@wL$@W zVqLCW4@0|rzOhIw?qBTn`EVcb`8K2@DYU;GO>(b zT=48sUZ=c#c*|4XSEPDb=5_o#?VCMNewy!lE0%u?s)6pKr=Mo%Zd94>t7btiPr~V^ zQ9%QQ0uJ!cbAi!yvH?^NS19 zi)k}(NSsl~v!wYiiTze6P35`MQGko6lDHKLt|!m+7Pwx$x3)a@P=R|$=W-&{ey0lD zsbcQUqO<+nsDi$NAm)Zz*bIjNp9@_6x)8+*&SsUXlYW9czJn^!{!3_nu7W!E`#>)U z(iC(5O$BxCGeD8N;uP?(7*_Vs0aBk(^kmUIsDiqUMxZ@{wEs3V__I28Md$Lc9~Pv1 z8vhr(Pf?3Tup3B!@QR4XgXH)Ad+I(Pg zY;xbBNoxm5&HE;YTy77OZO+5fJ00$6YZpmd0C)B=(oNDl~WiH|3u^Fk$WOgx1ZT!M#rZ#Nc6TUvF=BA4#2>gmZG(+OagEm9`?7q@j`VL9fd{ zJay179Bk=W>gep~Xk}zO$J7Y_WqJ|;p9gdnwfMpZl6X&UE-DC#G`#QlVaU%RS2 z1hDwxQ37j)d%#H@(+=n#0@qH_Z2me0=8B3WQm-Ghc@WItz6UF6kp+G!k1ND)=Xx>F zN6R;B2oDy+dH_}Jz?|OJWku-uJNue+u>c~ot-u> zAh*-$?6kQL*`^M8+_w9@(_=$p!?punr}tn#P}jI^pBG=mPTSNz@STpqoi^|E;Nx)-LpfV63@P4VK@ z#PgvH6=eYD2^GR8hU>R}mcj`m9!nh4Zg1fugty3XCbYL_kn>O$Kpbz4e!fxq7lJ8& zEHRq|5g^N)SeQx1Gl0Ue;G92`OQrBAk=cFAE!~|UhEloRLwIkDEVWN`w1N}zWAu1Z#tNsi)8JH2YhyO zcP6*65KN!Q#O{t{+SJc%G8>F%+H#3pCK7I2j3gp>-z>r4pjUpeUBL5gW*2@-;6L3? z;R!1FB_>zTc6)m&n9jz6aX6Yta&GaK6HkUR{%q2pON1k{F@C%i%sIH2#>+7$KNU+L z$)A(+Hcot7Utdobl&{JDEQZ6IoXs8_@qoP|(}i%sS)7e5B*T&2cx?_vu9KToBou1z z{z7?K@nV3qFpf!tN1-`xubE70V4Zy(U2UBm{oRncL3F`XD6@M9Uf&|=XctG5WMk( zW0>SVsPNh;VBttAk_c<-B(KDC=9W*G)%gjfXRTK~mFUkDB8OqT@qd8@|0NB-@Isv= zg#o|O@UznD@PGF3bAGNf{KMi`9X^204PnpYc9>5%pbuw4X|jtw$se4V!Ffi_q5e$%g%{VvfP8IR^r<7uQAH$IW4qhudkI()(%&Z>> *** + + FUNC void Setup( void ) + { + SP = _RDWORD( 0x20000000 ); // Setup Stack Pointer + PC = _RDWORD( 0x20000004 ); // Setup Program Counter + // _WDWORD( 0xE000ED08, 0x20000000 ); // Setup Vector Table Offset Register + } + + LOAD %L INCREMENTAL // Load The Application + + Setup(); // Setup for Running + +// g, main + diff --git a/Project/SDK_V2_5_0/INI/ROM.ini b/Project/SDK_V2_5_0/INI/ROM.ini new file mode 100644 index 0000000..224d47e --- /dev/null +++ b/Project/SDK_V2_5_0/INI/ROM.ini @@ -0,0 +1,25 @@ +/***********************************************************************/ +/* This file is part of the ARM Compiler package */ +/* Copyright KEIL ELEKTRONIK GmbH 1992-2006 */ +/***********************************************************************/ +/* */ +/* ROM.ini: ROM Initialization File */ +/* */ +/***********************************************************************/ + + + //*** <<< Use Configuration Wizard in Context Menu >>> *** + + FUNC void Setup( void ) + { + SP = _RDWORD( 0x00000000 ); // Setup Stack Pointer + PC = _RDWORD( 0x00000004 ); // Setup Program Counter + // _WDWORD( 0xE000ED08, 0x00000000 ); // Setup Vector Table Offset Register + } + + LOAD %L INCREMENTAL // Load The Application + + Setup(); // Setup for Running + +// g, main + diff --git a/Project/SDK_V2_5_0/Option/option_A31G12x.s b/Project/SDK_V2_5_0/Option/option_A31G12x.s new file mode 100644 index 0000000..b2520cf --- /dev/null +++ b/Project/SDK_V2_5_0/Option/option_A31G12x.s @@ -0,0 +1,268 @@ +;------------------------------------------------------------------------------- +; This file is used for configure option setting, Area 1/2/3. +;------------------------------------------------------------------------------- +;// <<< Use Configuration Wizard in Context Menu >>> +;/*****************************************************************************/ +;/* This file is part of the uVision/ARM development tools. */ +;/* Copyright (c) 2005-2008 Keil Software. All rights reserved. */ +;/* This software may only be used under the terms of a valid, current, */ +;/* end user licence from KEIL for a compatible version of KEIL software */ +;/* development tools. Nothing else gives you the right to use this software. */ +;/*****************************************************************************/ + + +;// Configure Option Page 1 +CONFIGURE_OPTION_1 EQU 1 + +;// Read Protection Configuration +;// Read Protection +;// <3=> Level 0 <2=> Level 1 <0=> Level 2 +;// +RPCNFIG EQU 0x69C8A273 + +;// Extra User Data +;// Word 0 <0x0000-0xFFFF> +;// Word 1 <0x0000-0xFFFF> +;// +EX0CNFIG EQU 0xFFFF +EX1CNFIG EQU 0xFFFF +nEX0CNFIG EQU EX0CNFIG:EOR:0xFFFF +nEX1CNFIG EQU EX1CNFIG:EOR:0xFFFF + +;// Watch-Dog Timer Configuration +;// WDTRC Enable Selection +;// <0x96D=> By S/W (CLKSRCR Register) <0x2A7=> Enable but disable at deep sleep <0xFFF=> Always Enable +;// Watch-Dog Timer Clock Selection +;// <0=> By S/W (PPCLKSR Register) <1=> WDTRC +;// Watch-Dog Timer Reset Enable Selection +;// <0=> Enable WDT Reset <1=> By S/W (WDTCR Register) +;// Watch-Dog Timer Counter Enable Selection +;// <0=> Enable WDT Counter <1=> By S/W (WDTCR Register) +;// +WDTCNFIG EQU 0xFFFF96DB + +;// Low Voltage Reset Configuration +;// LVR Operation Control Selection +;// <0xAA=> By S/W (LVRCR Register) <0xFF=> Always Enable +;// LVR Voltage Selection +;// <15=> 1.62V <11=> 2.00V <10=> 2.13V <9=> 2.28V <8=> 2.46V <7=> 2.67V <6=> 3.04V <5=> 3.20V <4=> 3.55V <3=> 3.75V <2=> 3.99V <1=> 4.25V <0=> 4.55V +;// +LVRCNFIG EQU 0xFFFFAAFF + +;// Configure Option Page Erase/Write Protection +;// Option Page 1, 0x1FFFF200 to 0x1FFFF27F +;// Option Page 2, 0x1FFFF400 to 0x1FFFF47F +;// Option Page 3, 0x1FFFF600 to 0x1FFFF67F +;// +OPTIONPAGE EQU 0x00000000 +CNFIGWTP1 EQU ~OPTIONPAGE + +;// Flash Memory Erase/Write Protection +;// Sector 0, 0x10000000 to 0x100007FF +;// Sector 1, 0x10000800 to 0x10000FFF +;// Sector 2, 0x10001000 to 0x100017FF +;// Sector 3, 0x10001800 to 0x10001FFF +;// Sector 4, 0x10002000 to 0x100027FF +;// Sector 5, 0x10002800 to 0x10002FFF +;// Sector 6, 0x10003000 to 0x100037FF +;// Sector 7, 0x10003800 to 0x10003FFF +;// Sector 8, 0x10004000 to 0x100047FF +;// Sector 9, 0x10004800 to 0x10004FFF +;// Sector 10, 0x10005000 to 0x100057FF +;// Sector 11, 0x10005800 to 0x10005FFF +;// Sector 12, 0x10006000 to 0x100067FF +;// Sector 13, 0x10006800 to 0x10006FFF +;// Sector 14, 0x10007000 to 0x100077FF +;// Sector 15, 0x10007800 to 0x10007FFF +;// Sector 16, 0x10008000 to 0x100087FF +;// Sector 17, 0x10008800 to 0x10008FFF +;// Sector 18, 0x10009000 to 0x100097FF +;// Sector 19, 0x10009800 to 0x10009FFF +;// Sector 20, 0x1000A000 to 0x1000A7FF +;// Sector 21, 0x1000A800 to 0x1000AFFF +;// Sector 22, 0x1000B000 to 0x1000B7FF +;// Sector 23, 0x1000B800 to 0x1000BFFF +;// Sector 24, 0x1000C000 to 0x1000C7FF +;// Sector 25, 0x1000C800 to 0x1000CFFF +;// Sector 26, 0x1000D000 to 0x1000D7FF +;// Sector 27, 0x1000D800 to 0x1000DFFF +;// Sector 28, 0x1000E000 to 0x1000E7FF +;// Sector 29, 0x1000E800 to 0x1000EFFF +;// Sector 30, 0x1000F000 to 0x1000F7FF +;// Sector 31, 0x1000F800 to 0x1000FFFF +;// +FLASHSECTOR EQU 0x00000000 +FMWTP0 EQU ~FLASHSECTOR +;// + +;// Configure Option Page 2 +CONFIGURE_OPTION_2 EQU 1 + +;// User Data Area 0 +;// Double 0 <0x00000000-0xFFFFFFFF> +;// Double 1 <0x00000000-0xFFFFFFFF> +;// Double 2 <0x00000000-0xFFFFFFFF> +;// Double 3 <0x00000000-0xFFFFFFFF> +;// Double 4 <0x00000000-0xFFFFFFFF> +;// Double 5 <0x00000000-0xFFFFFFFF> +;// Double 6 <0x00000000-0xFFFFFFFF> +;// Double 7 <0x00000000-0xFFFFFFFF> +;// Double 8 <0x00000000-0xFFFFFFFF> +;// Double 9 <0x00000000-0xFFFFFFFF> +;// Double 10 <0x00000000-0xFFFFFFFF> +;// Double 11 <0x00000000-0xFFFFFFFF> +;// Double 12 <0x00000000-0xFFFFFFFF> +;// Double 13 <0x00000000-0xFFFFFFFF> +;// Double 14 <0x00000000-0xFFFFFFFF> +;// Double 15 <0x00000000-0xFFFFFFFF> +;// Double 16 <0x00000000-0xFFFFFFFF> +;// Double 17 <0x00000000-0xFFFFFFFF> +;// Double 18 <0x00000000-0xFFFFFFFF> +;// Double 19 <0x00000000-0xFFFFFFFF> +;// Double 20 <0x00000000-0xFFFFFFFF> +;// Double 21 <0x00000000-0xFFFFFFFF> +;// Double 22 <0x00000000-0xFFFFFFFF> +;// Double 23 <0x00000000-0xFFFFFFFF> +;// Double 24 <0x00000000-0xFFFFFFFF> +;// Double 25 <0x00000000-0xFFFFFFFF> +;// Double 26 <0x00000000-0xFFFFFFFF> +;// Double 27 <0x00000000-0xFFFFFFFF> +;// Double 28 <0x00000000-0xFFFFFFFF> +;// Double 29 <0x00000000-0xFFFFFFFF> +;// Double 30 <0x00000000-0xFFFFFFFF> +;// Double 31 <0x00000000-0xFFFFFFFF> +;// +AREA0_0 EQU 0xe2e2e2e2 +AREA0_1 EQU 0xFFFFFFFF +AREA0_2 EQU 0xFFFFFFFF +AREA0_3 EQU 0xFFFFFFFF +AREA0_4 EQU 0xFFFFFFFF +AREA0_5 EQU 0xFFFFFFFF +AREA0_6 EQU 0xFFFFFFFF +AREA0_7 EQU 0xFFFFFFFF +AREA0_8 EQU 0xFFFFFFFF +AREA0_9 EQU 0xFFFFFFFF +AREA0_10 EQU 0xFFFFFFFF +AREA0_11 EQU 0xFFFFFFFF +AREA0_12 EQU 0xFFFFFFFF +AREA0_13 EQU 0xFFFFFFFF +AREA0_14 EQU 0xFFFFFFFF +AREA0_15 EQU 0xFFFFFFFF +AREA0_16 EQU 0xFFFFFFFF +AREA0_17 EQU 0xFFFFFFFF +AREA0_18 EQU 0xFFFFFFFF +AREA0_19 EQU 0xFFFFFFFF +AREA0_20 EQU 0xFFFFFFFF +AREA0_21 EQU 0xFFFFFFFF +AREA0_22 EQU 0xFFFFFFFF +AREA0_23 EQU 0xFFFFFFFF +AREA0_24 EQU 0xFFFFFFFF +AREA0_25 EQU 0xFFFFFFFF +AREA0_26 EQU 0xFFFFFFFF +AREA0_27 EQU 0xFFFFFFFF +AREA0_28 EQU 0xFFFFFFFF +AREA0_29 EQU 0xFFFFFFFF +AREA0_30 EQU 0xFFFFFFFF +AREA0_31 EQU 0xe2e2e2e2 +;// + +;// Configure Option Page 3 +CONFIGURE_OPTION_3 EQU 1 + +;// User Data Area 1 +;// Double 0 <0x00000000-0xFFFFFFFF> +;// Double 1 <0x00000000-0xFFFFFFFF> +;// Double 2 <0x00000000-0xFFFFFFFF> +;// Double 3 <0x00000000-0xFFFFFFFF> +;// Double 4 <0x00000000-0xFFFFFFFF> +;// Double 5 <0x00000000-0xFFFFFFFF> +;// Double 6 <0x00000000-0xFFFFFFFF> +;// Double 7 <0x00000000-0xFFFFFFFF> +;// Double 8 <0x00000000-0xFFFFFFFF> +;// Double 9 <0x00000000-0xFFFFFFFF> +;// Double 10 <0x00000000-0xFFFFFFFF> +;// Double 11 <0x00000000-0xFFFFFFFF> +;// Double 12 <0x00000000-0xFFFFFFFF> +;// Double 13 <0x00000000-0xFFFFFFFF> +;// Double 14 <0x00000000-0xFFFFFFFF> +;// Double 15 <0x00000000-0xFFFFFFFF> +;// Double 16 <0x00000000-0xFFFFFFFF> +;// Double 17 <0x00000000-0xFFFFFFFF> +;// Double 18 <0x00000000-0xFFFFFFFF> +;// Double 19 <0x00000000-0xFFFFFFFF> +;// Double 20 <0x00000000-0xFFFFFFFF> +;// Double 21 <0x00000000-0xFFFFFFFF> +;// Double 22 <0x00000000-0xFFFFFFFF> +;// Double 23 <0x00000000-0xFFFFFFFF> +;// Double 24 <0x00000000-0xFFFFFFFF> +;// Double 25 <0x00000000-0xFFFFFFFF> +;// Double 26 <0x00000000-0xFFFFFFFF> +;// Double 27 <0x00000000-0xFFFFFFFF> +;// Double 28 <0x00000000-0xFFFFFFFF> +;// Double 29 <0x00000000-0xFFFFFFFF> +;// Double 30 <0x00000000-0xFFFFFFFF> +;// Double 31 <0x00000000-0xFFFFFFFF> +;// +AREA1_0 EQU 0xe3e3e3e3 +AREA1_1 EQU 0xFFFFFFFF +AREA1_2 EQU 0xFFFFFFFF +AREA1_3 EQU 0xFFFFFFFF +AREA1_4 EQU 0xFFFFFFFF +AREA1_5 EQU 0xFFFFFFFF +AREA1_6 EQU 0xFFFFFFFF +AREA1_7 EQU 0xFFFFFFFF +AREA1_8 EQU 0xFFFFFFFF +AREA1_9 EQU 0xFFFFFFFF +AREA1_10 EQU 0xFFFFFFFF +AREA1_11 EQU 0xFFFFFFFF +AREA1_12 EQU 0xFFFFFFFF +AREA1_13 EQU 0xFFFFFFFF +AREA1_14 EQU 0xFFFFFFFF +AREA1_15 EQU 0xFFFFFFFF +AREA1_16 EQU 0xFFFFFFFF +AREA1_17 EQU 0xFFFFFFFF +AREA1_18 EQU 0xFFFFFFFF +AREA1_19 EQU 0xFFFFFFFF +AREA1_20 EQU 0xFFFFFFFF +AREA1_21 EQU 0xFFFFFFFF +AREA1_22 EQU 0xFFFFFFFF +AREA1_23 EQU 0xFFFFFFFF +AREA1_24 EQU 0xFFFFFFFF +AREA1_25 EQU 0xFFFFFFFF +AREA1_26 EQU 0xFFFFFFFF +AREA1_27 EQU 0xFFFFFFFF +AREA1_28 EQU 0xFFFFFFFF +AREA1_29 EQU 0xFFFFFFFF +AREA1_30 EQU 0xFFFFFFFF +AREA1_31 EQU 0xe3e3e3e3 +;// + + IF CONFIGURE_OPTION_1 <> 0 + AREA |.ARM.__AT_0x1FFFF200|, CODE, READONLY + DCD RPCNFIG + DCW EX0CNFIG, nEX0CNFIG, EX1CNFIG, nEX1CNFIG + DCD WDTCNFIG + DCD LVRCNFIG + DCD CNFIGWTP1 + FILL 40,0xFF,1 + DCD FMWTP0 + ENDIF + + IF CONFIGURE_OPTION_2 <> 0 + AREA |.ARM.__AT_0x1FFFF400|, CODE, READONLY + DCD AREA0_0, AREA0_1, AREA0_2, AREA0_3, AREA0_4, AREA0_5, AREA0_6, AREA0_7 + DCD AREA0_8, AREA0_9, AREA0_10, AREA0_11, AREA0_12, AREA0_13, AREA0_14, AREA0_15 + DCD AREA0_16, AREA0_17, AREA0_18, AREA0_19, AREA0_20, AREA0_21, AREA0_22, AREA0_23 + DCD AREA0_24, AREA0_25, AREA0_26, AREA0_27, AREA0_28, AREA0_29, AREA0_30, AREA0_31 + ENDIF + + IF CONFIGURE_OPTION_3 <> 0 + AREA |.ARM.__AT_0x1FFFF600|, CODE, READONLY + DCD AREA1_0, AREA1_1, AREA1_2, AREA1_3, AREA1_4, AREA1_5, AREA1_6, AREA1_7 + DCD AREA1_8, AREA1_9, AREA1_10, AREA1_11, AREA1_12, AREA1_13, AREA1_14, AREA1_15 + DCD AREA1_16, AREA1_17, AREA1_18, AREA1_19, AREA1_20, AREA1_21, AREA1_22, AREA1_23 + DCD AREA1_24, AREA1_25, AREA1_26, AREA1_27, AREA1_28, AREA1_29, AREA1_30, AREA1_31 + ENDIF + + END +
  • >pfN90Y#6Ll{ zl^{^K&^bi>6nk3cbOFoS-;SBY`)-V*v0uu^Kib^xq@Ftv9Esg* zMUmLNuFljFg&8gzzx(u1@oYyz#9!`DWeNb3X!T`s036yp5*mlVqSha{3=F( zMn{hiaS=zi!+{f?72G5r^L=&L!)IwU*pDUg2K^?pydqZd7(Ceo;A>&i{Gu`UlEK%A z|9YTt9&M7ILEZu)-&2}cVR5jI4h#JqEhr6zi$%@mD*aI9X&8?uA<(PaMq|-u9yn>e+=fR}LOH4+mHjr2WfWcF8_f zh9gqhV>S6oW=$y=U*1Q3zaG^yqrJ+O zV6bF0as_F9kCUWDuk9W}>IT8)IHt2I2BZhv_@n zKCOwX?NxGLWVuMh)THT|yPS6Gwra79quWa#h640oRD>TvLA~AeG&bOERSt(`>!5-8 z_-0FXK4_iHQK69UBG;XIoN%Xcasv`LKic8_q0Ura;*yFJMPF&9YmW)FvRY zh#0B_QmJ7$R!`T&7*2{cp1tZpvB(%T&E*sG&p7#Uka{Uh)5LHB!(OCI47_fV+Xv6E zQ;+3B8Rw62d_5_tXkv47^AXvA+DA}@v<%Bb(BMy6(2z<{^UuOWiQVrO6DxF~+zxV( zRG*#fRTTYBEmtL4WBTa~^mKHdg*`9g9{fQ+FcaG}GLAZD}%f-!h!h?Jv%5+nXGLno{W z2i>3O#}*AAuw@Oe0za}W3}prrF`YfCu00lU_D~Y4k&|C?{XCy6Ziyu7_ZjW#W1KvZ!tU(c0 zmkX5ImVSpM z`|un5V0}I?ApUIUk*3PM@!aR10)B~P1+4zO-3*hwn;(B?1U22h6^w*)vRqeuFvFzR ziVaZmqYUHfxAgJZR_uj(k}ex}(AZSVhCI5_een{J&-zMrk}RG~P^gxYU#*gJfbvYI z6C7{Axhm&s!Uc@qF{h%wx?o*@rcJi=blF_quS8;3oel)WV*J(t5Nan4e9dn1(#Ko* z)noxyD^r)o;q-zNgJe(Fe#8Wj)-YUbM6*@!8oeUMS}^Ok*BYRx8B{4t9iLaLL8#Iv z1)e}xRFSQAf>RC-)g+h|ew*O?@(zsBRx*ulD+1Z z0DGFQiv!vUET(@M--8z&F+P`l6O~*LQtlQQ9zcBLPVi4$5(ixKq(a>-BYO)*#ZSh5 zjigO=?qeeQqbIKlT>?LyQiY^ZSOhU)W&xw5GP-%h?yna~IR^wN~LL!TJKZ$`-{ByE5{AZ?mnIISHTX_1v`f1?!mEBQahz;a?)~>R3L=R zcM;6;OXld}+p*14%67b;REDs4r23|tz7@z}RND+Po5FQQ6Vxgsn3l{3*vvATMQ4tb z+edUiQ-_4ZV2n|7-#hw_QE%hfgo`GfTab<0Sz9Yc}ypVS*T*c&7K}6wxf{=b^)xQ!#}9Qbu-`?W&z|R zC@b< zy`1Sth}*-2vYuS53H16A@v_-b*F7buGu;&1CtiFgo+CH$cF5n9k=(o1VxI^3pCA`> z90~Yrf^JlD=el@&;{|utVqim%eeRAxUh^X(BU4k%)WLMxs6+aUP3J3D?6;EfEaKTz z%vD*Phe9%+d+~#)42+B0R;|Td9=&fiyYb*dN`Y@;0>JIGDTT>6Ma&BUj~XS8?2~1? zkwf@YBvuOOho5m&XQQQU@U#xe5-c2UHZ!TNAdZ_UPd-@YB)E()5$VG`2hmQc?U4??1XRnj;_u1U~QH__fZnlYZWig`K_hP*PIl1K0x}baU?vbHeRopuoloLFwC7S+QA9#f9|q zKeq&>W=&;x{QWXCD(dGi~Q>0w&quSY)DY4`=xo(UzD31^+Avze!#8Tku<+}`d@wAzm--TAA*cGgx!xg?(B_LHnrnB5M zoT3;J94$%GG(%__$9o5k=C_@u(r%sv=(B0t@2*Z$g4{f__KoZURJp&Q@|`1PfAjQX zg3vo|6l662iWe?78-du}KQBojlNS^BXb>+f+adw|VYGgBFxMosKWu7v?vW-mb@XS0 zh^^)MPaqX~Y0{^-#>PiZRFvDaq@!m6KsG2RR!5-$89c`2_*;mlt2SDPu)ok#-X5~{ zMU&!6@%gYR_G`xn=p%3n-lH9VPv%F>7QE2p7Um34RC78htL0~JI;_P+@5zMz$G2e8 zbZJsqgSp)hV`gd;;-gJ~(zYf_n)ihs*&kZBh+8NgNkUjcvNtvnjq)Q!A1AnVcEVzg zUnw{;D1dfRJAlv7-WtVob5Yh;7pc&$CDX7nX7QGr#yNHCUt$-^kJrdHz!9D1fl zUUg?iS}+ghr5aguEDkOL_cf(X#t?NgF&Pe$S;gECi7@HS$ul~zxbXN94sr5;{#0)2LMMmBW|Pe3jIokvkcIQR837QN0LTpkc=16>7BF+?9Iz99*kA zI%b>|rN?v{^ggC)_F0Kg0p8D^fBYw1ZOnf;1lWw=LZLzJ|Z=K)HnZf3|9C}yHe4s&-zbl!&BkROQjgpLm5y=-P5Uz!Q z5djjf@km0$Z&LjBSIeoH+z;jiUk^mH?`%j#8bOi`V_(YkePr~ySA*3H?Ze!(?^&fP z6`?zUq8>gCLuh(k$r$GHp)^U4S(ffThJqiG_ty_=0eqdemb-iGQz!Ek!UBWIIO0qW z(WoN0_v?sRk8GsYlZ(XD{3L+`mw^RuQAu!AB8Scp`$M=vo;q#0M}6Y~tm0xlLH;kE z=kTEp9D>0K<2+9$owj@N5w_R-_WSqEYLH}CFBrD=BWH6{_jHksiaXyUJ+TKx1a1gm ze-hHfwyCK2b>E-YEC4Au{*Vmm#m~Q-E7xaTa?6uGMFt>dH3`)&q&kKir`P!8_~!d{ zO8Sl>Em@4BoBURPuP~WiRIG@Xw!vm`Eyk&xza4w2epk?WhA@CF%N$U3t>lE&U+SAF zdETjmAi1WrRV&ZfF<-Fq-)x9Sz`|)-Axz_AK(sAPOWbq1wD#~hgl3G6c_M<`MVG6bD{)2_=iBDQq3*j zAv!sqOSMK}?S;x1;r9a&@+|2u=3c$i(07E}y2*h(MUyR3`+@E5I^3JYdt+P5df~{P zN9Q^brRDu|Rn1AQe$?yL@vdTU-*sw0ISiB`NuFI+ZvHmQyQ2%kSY=8hph?nd=hhnz zib}+YGSXEBy&Ky*49bx(gbZU!!oN=lT`OBEOB;3FB=G4Pp@VDAXD22vMBYQHyO^4x zkgWALF{tP^`R2ws$8{KV2!yK)#-s)24@GFrg#s{1SoydE*vqzj8L#~I zMR1~2-AR_06i*iKmlbwJJ&pJnm<~DWjkxO(!|Fat+H$t|dB`$4imKZMRgcsLx{NH= z%G%<~eH6}w*9E&hGp<_9k#DTFLdTcEhe^|5Nik)+U4EC-iRb3}NW z6c>3VC;LfY$lr` zkf#?0uKy&RtqSt#a2dR1Ffj_vB)(R#vpkW<4rYfH)S>5P`g_7u)TT1;`9{D@HP)r3H? zpTEcfBK|duf@)~!|9rGBioHMhDV2ic|6}VN*z@eVZsFK!Y^O=%G`4Lwc4OPNZC)`O zn~iOwv2E|G@3X&SKllB9|KL2=niyk_x#pas`Dkj;ZFQ!z9RIBUnjMs&sj8oQcUA=)7m}z;rx;BxSBdvZa227k;^@>J{gfg~nIum2J#btB z(tS#{*)TdFvO z=USx`#+@5@Yjw4cv*5VoF?!iMbqIj}dlDcz4Le+g=O^kj&~V?}QI8tb!h;o` zz*nZl1a&VGBrMTU3fFbl*tGl`njQJ-eqjOoszNX;z>S(heAcl1we`qS)yFjp4kwEEGzPn1=iJb2(jfIA3r)PzJ=MtU>f^OF}giN}!tdNzFS2wY=`us?hc z`l3&~ReJI?qoxJ3{d!Wpgwo6vTO+fB9e=w7dh^07q=`pD1A|z0*Z?Zj9Qz_ZYAn}> z{J-5ZO_Jl*3t@BF;A*OWK=qs7$IAB0Dw{>*_W?M7owx93hE``$$=vO>#lB;w^I*#C z=(j~G2{-w}BJw&+fi7KS4}>E>BlKe%lT51Z^$fdUn}rNH zOI8zHSYcoXfLLn(z)_aX=;NnfoJi4)$hpMh+%3dR)r0$_R1F;zogB4G;xC+0Yz&B0 z%yUL`j&QOp4F)A{f1%e?ukh$UeRBMS|FQJ2JU=S_RlVQ+qtuC?GH<@5QT>39px-faf5;Z)d+S{f5N?-g9SemB}y|LKk*4zUL zt!B=3WGG7{0QxJxUieuvDvMG*cCir4Cy2qLmgNh;3`7kAD4)km0a&0|54kjD^?&Au z2<0q~%)rcQIdZc|2C@^w$g0-ln<(u{l*A}fYI zY&4jcv$C}p;)p!R*~!F;M3U=0%E(D|q+rONh~4jvaz6bfXw3{SM70{E??bmHfy zf-l>Utmz_E9u7kW&u1h}FKYkcz3TP!SjbZNNo)SG2rk+Ngb0bk-#wbVt=o6*G!}}^ zfRjxdL01J*s^jXh_AbEPU-}r{i7!E(tYOdzU@?slEzxQ5wV_XtF@l7T1I;1lf={>4J`R-_^915tYN)P47a8OOmt0y)60#w=;VN zd%GU`fkuOYQdBOx9C}7|5Ik;KsG+zZ|500f@ZqSxc#*DT0&~K?@DS#qOZDNLA0g#D zj19teviuWN0XsNWQ;Tl;s4MULsJ$P8d1`fl&PdiU-O4%~R zLhX03ei?Hm0)v47BIgmr9GFwZjr~-%_*d*cs$sMp!LR2K7dh!_=P|;uTfG(_404KM zy{CBPHO~Ct94j9#PEr(8u=|V6Q-W}(6UJ@^;<UmA-u+_TlFGlm}P|I@m&*|?&kor3LJs8N+gSJx2K0|x;z)66_1#Q?5!xi9nDtr^macu@mG9K#%aG7}qT z;M)og;tVy=ukT2J2%Hpkr1yl8?hVgEKB*{3{6d#H;9L&vsn=7aW)ZWMWT8rVOb zVBNi%RK;~Fh_H3IaGll$%3r}wa( zP6)dQ7Pu$1U3kz2ytV`RDeey)6>3d2szW#lQBPnU=+2EjJD38eL?}*@0n26T6hZ?8 zLxz`>r$m>F3^2WcBX_E5VJ*M-%s+9Sw;^@Z=KsX1D%yxwhxK9;N|>3K=>6h>FKqBq zX3Fx=M`SY8UW6sY0G&_oR}kJF)?ZJRa(oS`0QM*m$itEknqfJ&5y288K;owz(6$KH zy)<(5TADBYScY|g+i?HEu0!V|@=y4+xBErpMqf2D*MIVx>b&qOaYp805UxhpphCPG zExAqK_Mc@7FHYGhsweMR-{a>SWu3wTlVFExKa~ar4~XhT@IM*emPrnxsB$JkngEdR zV4sOUp?CbL2n>yxnVdj|e{a`yEV6Ya2vKTwiXNrUD-G1DKj;5D$1%6krpL6mAkkJq zkDVl*7pyYYK&97l7lcg`KEvG}EGNA=`3ZD!76s({PMj}0Si$VM!cuNKGyV#{+qy;f z>p+;iEMQPii>BtuQ+KCc$nqn>!|ORoLa)#w@De|uZTudkQ|7t|mo_$o{>rpOi;erzY0I&DXcO9P&3-NV;Nip2c-K$j<(U^Me>v(~NDA`+mQnCn7%HWy z%58mxr?We7I7Y@co!pW-+mO4qo3VpvR}f9j&^A1zFSk&t^SWj~nG@mwq0lAoavyOf z3D!R?OxrE2T-OXcJtndlGBiQ5^%*MRxsBf$+KeWg(b1yVN0#f94-)d@_IxJ*;u5C@ zDcap$e>vNlH#j$3WV-tx1lc{@Ro@}-uT0*6(p`~sLOT~0S z)bD2z2%9tg)kjo{XzEV@F``o2=pK!X3GQ_>n;%f1Z7>#P6(3>D=^A|g-u+l$Grsaxp`g>*BZbgd19>_3c9OCx? z?pPThOD2{%5_MrPTR!l6sOyhw?+haTU#$$X!>`h3@K$ z+xpot&*|4EH!CHeYkNn7Gd+*Aw!qZm)I-cgG0RwH1?N zWUIU!#8@v|Q-F1(+;=^?CdH7Tkf3gl;Lq$|$Z{$az=9j%Fpea4?>K_XzdsuWu)i?M zA09;I88xlkhA4PMD8&AyEnpf4vI7Od2)*NaLi^=BB|j>_NkqHb>3z$lBZE-@mbw;{ z6GKRvrX+|H8Cy%C=(UlC?buI3jskqO26aLE%ozs2ypP7ZjB4(lR{c}kBlUt^N(7m` z6{+k8fdlxm=Ev^gb;dvyYNz8USQ7XXK^}svTQe9zSLrzIGJX4IT*bC!w1YMnC*Ii> zi_YGNanI72Qe8Ufzs=@OADs&SWog~&;Ec{cR;;BswI7=6Pr_uq%k56mI@MEH=HBH! z-FS2-H8hnV1sib*n3-Ol)% zxoJF&EVyVepYRX$YPrLG7HBhB*5gGMz1Wo0ZS(tEu2E3r#A@1=xn?JfI)^s#36sN^ zj@?yM*Y{vPB13bk7c-GXVedQxf-VP?SBJuf*9#?)x*+z=NzkJ2zr3&cIhvx@(q>@= zPYX}_AoBhNZUN8${YuF3axj<1VTS_>XURUCj!1Y>G28?QsF`&KC#@3Q?V1lf-;=r! z1|VD_{*TT=bbkJ6_4&uHc{~1s48S9%WA`;@a~FK64(_+QW|=-4T+4KILr8%LB^{Xc z+2K>CsZPFyh?qa500kFD7pMTUAEwHwjgat{CFoLvX*3ca@mH%rgHIEj-4vspeC@>8 zKXjA3s7jk7IYq5mV!aG;5yG))hSM-dnq7c(``u+Z!FmMQ8VGkW2L4SRjZf{^rI%s;mR*%mjjRrfhs09&V z0;x1jE{H@Kn?_KhjJ!>bR{g)fVwmhcxWb;{$kuO14KZ!;%}GQ2>l*S#s`Hs3?X&#u zxc4>06W>|?q+CVx2-ZQ_WPw&Ua*4XdulUrp>) zo`Bh1T1^4TOxGfhzjh*}=AR+RTQ7ZEA+);m=X0-T%U4%T)hkBF1Q2_kR~coR^X@UW z!5Y^arlx^^9}y@X$FQtAJ&Hy6N9_oR(UoAC+gi4B7l01+hudM=deW&xrsJ=A;P5+) z#TuAH$mopw2$}cJ;mE5+y7CaufmR1uZQ0%$NiFgt_%R^@ zH*`ATlw{ffznCxqkG`hvCs&Q8FL#W2`8|r-Q*SxDuvTmj)%rl2%Stz-FS|uTAE6wT z_~a%ik!2PkI~w|288X0)brSxO}o7pTx*W)f?4U?2YZyk zG6C|9%}N%4z9p4|M}P~T@GI#s{pJo2eeb@uF>uP*Dyi-Febk%T+*4CO%%WmXJ}kPm z{_o_}`*knB>X<6cQ=E0i&hWJMGnQ`JYlo*7+3&!s83DL)C~mbwBfoyGhs$G~{d^b1 zec_eUzwnr?Rq(TlhFUI4MY)B>t%i#mpH@u$9Ar|z(SDC>B66LRu(jpP!-wqoe_S^{ zR$Q@11zDawFWl?xoj$DM|50c{7k{ zq|DN&5%{2TZ^?ILBW%AhAX-)nLL9sMksI+ zlm!4p{U{Mb$Yq(7+{c)hSzG-hTYSNpdxdlLZ7D*H|~Syb$e z#s4g;0$rm+f`yOX#Lvw@q)#AYZB4lzcN%3Xf)S4KV#lk91l%z|r9K=z9~Cb~8MJ}u z9qV24W5{B%l@h09d;5D`!pRI%Pcm)Tmcuu&!_>vV;6@39nqW<#`eQ`W=l~!^5(lL0&xFX3M>%an1FiyEn#S~|@vXe?Fuoi>Q(M}f6gX|Y z8(}$f91K4x+8I|4p6KV$@a13k0rU^u+TlYou1B2g&j@<*#20~Dqny+?%Lln zbDDiW99yMjxAI%#_ItP_paN{qW+YO%Q1K5}dEukBv^J%k^O&D1U3HaNleZdO@`2wy z{ZGl3o@we@e@@_&`*COE6572I{F9SPwYOxC^a!9sp~Tfw^J#AgPW6Dt=J#V$@pL2x z7H<)D3Kc5m1*msO#ed+HCcYDN!WHz-wPk-ER*WIe*8zFng&^Lf@2F^A((=cVnRBSbohLi&?W~)3fbRid3o!`V{ej zS!?bz^@n0fVUlb2z#60oNrBZHq&;azSG23X#p@ZEt0*>e0MPxDkB0Bkh$LYaQOo%>7-Oi4}$H&uGdw_jp+ z+FK~wHdAa_yJ8m~1Zsv6%Hty=z|JubS1-f6T37~Naeh}EQlQM?hT#Px-Oj}*=AFO` zL_^wy7)J(-Bjmz7d|##%G0F@1Ft}DOy2vEs>@kjPvjYgZSG7Kcp6i9@D9CuzJksoM zM!dF$DX_MHtyS$e9_uGPZdqr6X!d>SkcS1!ZHWZ4UB6_o6SU#}Q!)FidE8uIk#aUV zV^-@~DV3|o4G_=`Gru&GxS{RT3wJP*=KBJAxc$8b+oGqvg9u6Q{5(Ej1%^|5O$yoM zMxq0T{l@$=J+CZ)D;7JUZ7;Pj@`~phveGm-)tf$|Eikj)ovo-elgi0}`(t!R=hAmg z%!|P`a33?kPW!lY5GL$6R_gL*vcfPs9JUt8e4kZVn@rD>v0|j~2C;(yYnJ{VURM9KXtJB2k^tb1tlJe%AQbG_81bo~M9ht#U%Rtoqcy=Dc=h2}d72q~QIt zF!=1|7XN3|B}ee2y<#fp&v;1B()jM=i~mP77#G7Ml1?60i~_pa;1>_UcRNPeiG{uY zM)vA7I)64_JG0;61!BacJ9QPQO_$^zK((!=0~Q!G8BSAiZPQ!KXeTnl*g(%ShY;g&TLlCax!v;(erN1;|= zCfN;QzS#BI;x7nN?tb$)+9ZI5!;^^M@l)V& z1#O9>B*8XQ-Wrdi!+V1xR}%!y80i%aHNFT)1+HMJo!dBX%J+QXbtb&q>0wmWZiSyT zhM?rLqpK&^?pc4uTw8C4!gRthXKMT|kb}EPRG5!3IKDqB?iK|Q<^s|+x$!pnF5qI_ za5GzF&ns%FE`bzBF(Nd{G3_DX++a+j*!2TLEO>DDz4?3rbVn# z^&o7ax#4^K@xz*V~+gS^l*7v3ojr3L%Y<_4$DGMYW1?z*Gb3Rxn}j+2`bWR{yZ#t`e&N6q2@1!B$H+}`Z07#1e` zm7WR_C4YNciRlstkaJX)nm(BH2&KW^Fsycf3{ zrsTmOVQK_ZI?x{biwA=m|CcX3{Yq5 znCtDnDye^EKf;vi%yJu&B&N2xtsgn^q7FX_5+Rzn&mRXy_0V^H!lIBm^MK|p3ZcimF1^LK2c6t9Fi^EO z`V)}e$|6fwKVN0AtaNm>{SIk|!a zw3?{D5(pX)Lw*H{*iQ+2=d}@X%HNpT=rWL12rW;4e}QA}q>r{_Lc>`6)n|5Wnw04D z7$O)I;B7Pt_%(r$a04ZLzBKcicrvuhTP-CxmYJTvA30aMwaP-vC??F6dE`qfdat=m zbi<;2PSkV^qkxXpx_eHQpccG{^Z~+Yf6(Rx7+x?d&%~As2BBG-wgSy=Nr)*h3Ayw# zGV3k09DF>Q#BC-~wG{I4)4j)pcI((9a^OJQ=}??Kj>>ssAaN44k^gu((-b#UC9?DY zc^9g0HD$75JgIuH0^RRmiT>~ZgNWnFH!_)BEGiAb5L>e?LY_3&O6(YU89%gpB@0y) z*vh9{a{cD7M3ELbp!*v5TkY9=V|EtK=-{Amw=>*4UHN#J`%r*9G8rr9&6xw7q+rbN%{lwlZnh5*u#+1Jc_e*Mx;Nt6D zY5UQBPm-bLa)82gs2==Zs(g|C1j#p_5k zMn})=v=rle-oQ4^plAq;VY8YjXPk4)5T5R7tVH9@NkPM6VuGU>l;~Z_GW${-Q3-N+ zNr^DeiQPmo`RuPV@3K%yvc}AqGILYBm$|7@g-$G4zLl-Q0&A*Rt2Lda4!c_;oQ1b3 zmK(0?7rP#Mz&L9FoPe?2_&Mt+)79kYFFnT1v&Fx&(zPxBh~&A$cGp`i*sSvsRGG{H z<6MlkV&AW!mPolK$jKl}_Lr`S0AX@Ckgj?28GWYLUWjY!7>6j{J^k4?0pXI@qsiI& zBPPf-n9AI9mw(5@e(lppg6R&rG51SA6@()?fYu%-;l~?k-SPNa8lnw42LnN!Wh%{v z>~|ccOJ_L72$A~CA6d;f`JJ1pI_bOzLkJHO<)(D zAKD$lL?Qq3#!HbdREZDpt6`&>rCR20%i8Kjw9C;CT`adg9TzK4J<5q+c!HTExBfa$ z*n(@CrUv;{9l{DRni^49THy22?FSR;cSpTS4%;M$7_J!AiLNf;1^|R!d_l%z(`}Z* zVS%z|)~%rkoZRs{ugV3N7I_tjF&RLA^O$NVE-3nOI;Lcp74F8v5V0C278n0 z2kM;+Y7W|^;(qwW4@W{(md6b`-kG7qb(4NU*Y}FYS13+hb({UP8!Oykd)Qgm55trS;Rk>Ky8~Bd|4+sxev%H%-;i&1h`S zK(~#VD0O$UxCck0J|~=Hc!Tz#r-kc6_Lc8ZH$8GiIhvOHTKnY~1d`*{SaYzwOkDAV zHnP4KaC|F^=LRi17e(btE5Fb1XfhQtHc1$f60$t}zs>|kvVU_fQj^1ZIGDXd7=Q{d zz#$Y1fiVyFjt6OSkZb%~eoB}}k`5_m+flR1@g$uw{!Q!q7@V)#vE4IT~n3{ux+Dx&yTb6qr*ieT-z z3^n-$!8;82ZT2sKjL+HF2D#ZHB8nYJig&^VQNlqw>t@| zkTP8sEae2uF6U4v$NUvo8dt^5=l)8VA z%74{i^y`~8-w%k?6i!R`ODc@U#sM}*{mm;fe~ALcwcc_#UqhzwN0%{~vSja6H5qen zyFjRmx0zWkDo7^?{clsNgf~-k1)eWhpD#$z;fj ze=AcbnLkMtPk95G0w>Km~N8XPJ_ox~SAQt5yQ2OFuy^gC5K}L?_Tl zYer+r74j1|BV^rFexVGezkMG(mtihuI!0(CQQ$)n)>EiVr$K1dBXTi0+l7?QqIdfZYVB#-Ppzp{(Q)ul#T0d>p)(M*7}~-TD>GKiu6>HI-UFpz6go@v2q^rbBEOsh*m>zrEZK z#zd_mIz4|6TQa8aL2j~+y#10TsaZx0O-Fe+%&WeahXJMAP(J=4Svni^4x%azzT7;g zus;1lZHpf*jF_4|uqc?iE|9Y0bHm&q0s*XHkTRIFL*q<3UYOvH#A6*=Lg&J@oI!DCRwVc5 zy2B-uBES6mT4O;PMHrDM>v=i8TegYY6&B#C@tnUgKzAsr#V&wb zv!Nz4RB0Ya6WRb8zDca8Th_IsBl|Kym$DRdtUFyu{T#zX0_qDM_7 zSZ!COO~aN!k-5Ir&lPZThs0R5QR=|;BpgCDM@vZe%-Sp^ycjL5!gf*Qjo6?`w^r=m zfz7mk-R>`Dn5kDJ4#%!bxGLCK?;gI`Hd!B1y~;ZL4D)vQ!2FJFv~s8q)81ymVsE|Kcf`wzG7K9M8pL>}@qICBw&$@-1| zP8gvjnuZStZCZ;?KuTv z))W=$?))~YpzQz<$b40ZVSqGDxpryXoW2&HM!!FED5;yC7}TJuWh2k6w-o0Vs*rtD zZmstnpjmEzT;v@#zk$!}?S9|bw5U{pv^n^cAx-d1|m=XmmXafG& zJwY!7Ohi$EPJ67G_~>3}6eh!c1^5NC5w#`-=bhJSm>O#A2%C@rddO~WuYc^NhRIRp zJAOL?^RCm6z9khh}|QSSJC&TkG}9(TF+)JVQWBYAaH=e`zTDcFpKB-(~YNsCgA#9LVG;BwE#5jnOujmOVh7Cvg86=ja?CK}omMmDTYh9HBOkCb z{`S4*liMEqHcO>If}UTsZMJ4~<9Z98LsH{Jif6vMBB3I-N>tLuo18HRA?F0r($E1e zb%m*>J@*a_D^%KhtV(o!njhj9dvVUk;kkXbu{9EegRcy{OW)E;O*($?*H|AyPmAtH zWqh0~ndWyFLapO{u9WLDoIiA?BrE|_>_1tWIEduZg!*s;PN`s%((h{$&lUb9NKkJ| z#BTy@FVv&gOD~aLCetv&W;WrnBi|Y-d2S_!5PP2(Hh~M_DJ>WZ{FUJV#4ImIg^!d~ zW8n>qN@a$P0PT?gD;nvq!j|Ti_ zF6Cr?W3l(TijCKfDCQWE@`~}=<9VzV#WKpFaR}}x3lpvbyRjV#{uFuH7U6em#^HFw z(2T*J(*C+YoN&bGYA-sJJ*++eX?&eP{kG{=!~Hb!eCoGy{LOxEmR%RMKVK|Vx*_Tj zTqyQfZ)Apgg6DdL9ag77nv0ia(v!LiGUt-$OH=1(va^nHDYKT)zw%D(hboMf(A$n1 z$y5-yTm6-rhYr`zcbcGzP}UH=kkYA(fmaU5lG`10bMy{`4QnXQ<0HK)Lk`pBwhMR1 zQn71=dC850Uw-ul@{^WIaUFw^AbX!ke@?^@N6SGpLC3SQ!u=x?h4E*y?~k@I?}i~a z*3xj>-gXCyNX^IQ{Fn61;=&HhL3L^Dk`2v4JuXbl*R52wH&*8fYlMzj(b;hzmA3hW zoUyW%zLjDeWu?m>9x|sOx=YfFV6+)HX}KE|`$F`%?&U4_Fn%jux3n=OpU)9VD2% zYybub&;;N|WSzVhfb+JA&Txa+I|7j<3qZ-45Mp;E3)=(|uBbwTh?2;{p>lxG+X**c zfQybj2&qE1XwBsAn*gdo7~8!*bHr-kPKUyd;@vhjkUJIdPSwm0ug0spF)$QiTcZ~r{z@-%y;9ROY3^SO!z0d6kjTb z{&_-l{h~s8d&;&MZr66>Gx-e>$<2Mnkf&daXmMlyrexOcr=(^CUc6$@8I7{sp9h}o z03RJfh-r*VDRbTkGqmK>Egj6y@V= zw|*+TtLG6NeS;r}Oa?UZP3FD9;v6fMj@0Yf@rAjs1VyhJ)*{Ll5)0Q2D_=+M==C+! zZleW8xJ>s38b!N!^nF#$BWZY< zh=cjcdYNmvjZ~7X40w&o9z)z6*WA&p`vM)@AXMzBXi!=0Y+1>Qd#}Fo=JRS0S zy%Yapfu1X{4O%OgG7=m>AdJekDa@T4UsugAJed+I!$XHJ|JnI$@!1*s%k4K(C${(7 zN|-R^8p26-XLP_)$#;su^;KIKz#ofMMGoMFI{GAn-vNiU()Fc@gD~Gd7h7Sg5fSU5 zHN9Ci)bcgSf{sJAg!DdUgJ-u%i&U_7+v7pPaeiTCBF#Ck#lNMH6OoHiYAh-H3Safq z$=KGOGVlz-eL-@U*=`A=t*y6a=&iN^wfIaG7D3Nkxt zDeIy^A~Ts%l1>Z+Se)V9(xc@capST@N>nwMEKxjhKiNh&b<2;{eO2vC=QqnK$nzg+ zk@$~02JfB6GazO<#K^VwM&rC(El3g|(xj3L+M|oPq8MQL=p2+VfXc)IY@x zV;(PqhW91u63wV=Njt!UNXhmr01%V4++SgJ;UwrjN&Q5>GX8l2Zjk9a^z4HjhFF4$ z=AxknGMP@j-Vk1r5&uwqDrbtoOC~Bs^x7Q#W3}>m*K0OLNLI|BP@o@XtHalAps$>} z{HDK*QdirEDl8YcoO8TL?3iFV=4Cp%t(b>st^Iaa)d}>&wz9uuCn5?)ZsG`Qu#ix3m z6{AY!)!!rv8m^MQXWK(Xb}+Wv&`X~vcP;nGdT}=1(Wv1t;tLy&;5puHX3wvk(gk^3 zw4D+Te1k!ATH0Lk@HB5ki0>8m1v%OuMrjT>ErbBFSKY03EVa3et7+5zG zq{>kBYPa*Uk@dEAhDh-Z>C>*D&oIvG<(QjSa__yT1CF?;OheN{%I3en3z{3iRZoB5 z{X9Z@PIIu2uP|9@SQ1*|ij;G|d5jB}&XE+Im%D`WN+G{050F2I0O6lAcv5qsVA!k? zHziUns_7yK!+SUr^4;81+otzrt?dF_q0{Yw^9s=>sKKCFjm<7sz9_iW zt6Sc8np!0wl4g*M^=6m5JhrBCEJU;k4?`iWbzg0e7x$TRg4t-=tYL!_e&_X1Q`g5x z4VY6MVW%th`qeqVlM0zXc}8zhUc9a5`Cy(&qe7_n!V<`dBpyMKKSaog`5WXL1OCW? z1$Zoi@EdpJX9{N@yKWt;qJrO0r3dK}(_CXopc3xK!T33lkBln+yy5e6$%a>haXgNl z)-WLFfgGNQEV(P}1hIaW8Wtz?#)B-&ADc-$KZ@qQ?zRnqvc%6lIy-Q~WG6vbiezV|JnSvKstm7D%#u*F zAG*keSE8pcnCsLa5s#e^(^LjP4ry`Z*fewf`rRh&TiLyCFKkD77&oa^eZTBpa+w1i z!u#cEUvJINEXJm{@AjV7jaCvx6A~Oax~4X#-+p~)ckl9*Y;Og_H;g0cH*&Q&{TYS{ z7kr{(o+*{ap$aH}m-kNi5Z&7n_eCT;YoLT73gLcYp&oHZw%gDb9m!DggsV~s6}+!s z7PZJpwjo>B)H)c&4He%hN+!mkqQB`zA8nG1Iaz))41!g?HG-awsGXnTnAbnXq1|3a zUCXDyB7#Lrfh z__oPU&WVaA+xc2IlMoM1ElCjIDYeuwc2X}dl4nM9{#f!WOEz%Ashtb`HS_(M0~WY< ziI1!ETLfSKzDRI5CYhV;A-C3RxwgU}ekQ)Jp=QU_9mi!E5W^B)0%c`pt)3pr8#g?~ zoZ7y(3u2E`xi?1sEm1L$zGlNj{{09vGDJ>zL1|Q{9us}0W@u3F<03hNkOE8 z+{WkpC$LkUgLBUO9d1JA-&Eb%Ct2S!B$G(PRsPytaNN;{Qn|b&gb@2w*;KBjj3d}d zvyJs3m;*eSez}x@L*4V;z5qD*aaMq4(9b?vRLfa{yW0c1 zz@0MbfYnBS8`O&3ZI?q`?htYmhIf!kj2&Z+Fb(7QR3vQd; zsD91QUtl6es;=T8uT3IM5tF!**`_pC+?i?5n80d&TI?v*rbW09iin_Cd@y}t*iUSK?0dFTvi2xYkcHDRP8LgqYqkke5{H;{Kh)||Cm z5aA-=mQ#`DWq;4hN-ZvaT(X6WhX9x`nVq7eys&O#el*kYP`E~No=clov(O-aHQ#lk z&fc4H7TgC8M&GhL>ek5I)0or3P>&!7nP5Gb2c@gQgLMPZr12LKC|Mv4XR)T?L*6@s z5~a<%qoU#mrIz)#VD4FmvH)(WkW`pgk`Yw`b$ImK5zaf~DCNN@*AlEsSFlBz&z2RT zl|L01*vJCDObszA2glFRB_r(B{M<^#1=J7 zRZGbFZgMcL7TU&p2{Mi2;1bN^yDbizolLHJUY(L`DF|uSq+dAXJvn9SxFsqHWkXQWw7!O$3n3a<*O~gq#j!rYFvkaQMu51BJ9!KHn~4d2GE?(7nuyTp(F&E9Isk(%g8iZ&w7-W~{Ud@EwqVbNqVhvPJM#7sY-6;?amD z`X-)2eWj6*_XUhkzC`$0tT%mtPBEd|f*&g1lI0{6RwExs1w-jq!5n-#ng)L*7<*fN z4ZS4uyru*`lEYR&jpE>6dpGjUPyxMSyQZvbji$ysH!6x3gl=`q0RusHF(t$#`~gE> zb1v5%aT>cf8lsCS`kj2z2B8*H8IQ_JZeV4=)0Oif>G|YX@gXfPgu1yb!x^w^Ygp!zG>R2UFqD;-Eeu1x& z{P?+v)j$`VIm=P)9RJA;xWFG7P$VqMpQ z;U(?Cv({_)ue}0PO-WByKV!Mde$)D zBbdhH_+dbKB5-1aB!<+SIsa1#S$i)?mY`!h8%mfh>`(;>ouZXvA7|nrwr3ED&8~@u zd>i(v>>M~Eh>*nUwNz~)GM?^7Q1RKGU=~SGdvxKhX!!u^)8+1vr}*~WJ~aI?l~zo( zX*Kc{ya3t>S|#v-a2qS2Vk>3DK930q8$eJVw} zFQPO%<$0bB?a}gI?}CGx^E}0A>3oZeICbl2fkT+`>?Q3TQY6i@vQ}29I82ssDPYn+ zCjQDsoSbEF;NU(~Z?i@a@52-rbWLp3pz{US26!OoMy=lILS-t1lKRbiw+dbWvx{~9fk5kG zZpGtrcc;f}(DrE6EoLAO(p0ZC$Xmhp-ZB%YSc ziutSjJrgR{O^k~EwU-njbpnm7TV`Ot!_V(>Xfr!;B1cV7d8U3!a<_g^Y;oNTEWd!2 z#bEvk(>t@oIie!-3a4s)Zv{<~Ijv(SxbL|>FnPRk$2LdB%190O1!hDvKm@7EoX&a3 zJXR<=PhJ5F^8xjQ_6K=k0Pv&{q)RS<8jy+~>-sf^N*-1MoeWkky!98Y<>az}XP212 zTh3il0XSR2djo@%$JhMOLR8}_4(h~S`b7RJ+GVXIjz<<=EE*x)WM@v)DY zs2`q^MEFk&=jxTFrbGqdueKnzaK2oS4X6}`7~l1>j~6Ya?fmSgbK&-+>0XLmk?!WQ zv(`CO6mk$LJX!dDdUbOVu^n z%58|aXA#|sN=LnSdel7*4H%g2S zUp^ylP~pQR@dVziE9BjDnEvn<-Iy%+5@=KH)L5w2HdEV>c}7*^;w)(yLI`icfS_S+YZzv0F3vrJtnN-zO|0b8AqP@w;_;Wa8&2O|)tm zoPj^c6Js!=sY zRUsy-kz@V#*^0a^e#kiX3^4snoG@|m!9w*^0E0&f09`Xqn;o~0@#bu@V-D&Ogf(s1 zUgymX=_eeLKTHa#Zjt>HZ=9)1lg=Iu>)niD0{Fz!!QxU{unEjddFi&Y(d)?$v}2!T zN%y2~oQFrbw&(4>FaXtg3qNSfJlp(O0Av7kh!%zAba~elhm6@yd2E9^z4uRNuNHCR zRjbis>7PfjJ!dFl>Chv#!nM9Xc;#3s-fr_7W1c6ZEO|!kv9V)DPiCRz-oB}!#q5Am zK<;DdO}q4?A)X{8(p&;#j>D*GK07TGG@e~D(hBZZUJD9t%ZgtN9A3`IdG)dPcu*YX zSVnnl4|&?drpdAPdkRrF+Lu|Zm;W|t)k^mxrn#WN$R6OJpM8Zv6yN8+77am3@3A!0 zJk1*$)60{%D5fRpJ*BEUepe-)q7V==R)eQ$deru1cF%KfOvvQj5MjvM&?Qq0mXv7d z)Xrrhkz9jP?&}J$YU}U)%)f@--NxSC$V}w6+cU{1dhRuK?L%(Ab;L@37wJ!7O>h}8 zH?m0R!Y5}+nD-3+^#ner;a zw~GbryvuLrZ5OCCry;snrY4#grZ)axp!<-yP-Mic)6KtPR5rF%u z+*X(JL*2}j9nl=x<2X`SbS^1HY$r1Di_CIdRFX(-?t^F=p#duz_L!U*(~g;%ZHIH7 ziL=Souq_#nh^Ro;)F1}SpmFOL4JZDW*$HSw8JO7}7LF(A>o`9q?qKltM>d1z)z`o{ zpRe>~x|M{WqTM>lY!x}9UjVZF(^a+A$STaMMio`mXUJGU*F315 zC$nX&TqPq<*k%5Z*hpbXzEcB!{osWy6>}gtxGFRFS`l;4vgPT^@{eagZUup3%8$Ku z6MJ7dL%n+@U-78GzEr7WBhdBZn_T&&uxHZYnl*n@h943BV-#N01 z7bQ+~>6rcJW_%)dVtMPBJ~_G`sXJq_b3ey0M&iX(^bYzw$#qFm1bg7{57Lsugf&jX z{6Ny6kB5TFA(f(M#s{~!Te0+l%V12mJ_;_eUztXh6NEWOn{Cuu=NY;LuZC*{IX1#B z+4qn5a=i+ef7r4$t%do7V5F64=UFVrTouGb5==t8nINkh54;l7ULd{`yA!d#E*qrv zY<#ciX!E{x>4Qip+X2jZFWjXZ0_T|k*HkJ>K%(;F#ChC9Dy%onT=(%TLCl-7Y13MU z)<#8xW&}k9WiZpgplFtMDA^OPzVOHSHfwMc%;mPTU3Ox;BtB>Q-m11#1oE-FRpu1c zyOe5?gjp_bLe zrq3(Ga9J5n2JROgSnkSEbSO{#Mo3|M&i0X2Lw5KSDKx{>;Yd`h8GjVhx-JcW_y*9X zk^HMlm=sJ52?xnhykq#fYp8XGWp5oCbAQR#e57}DtPy=_dC{^UAqmjE%+oC7VNpI; zBO2nnMA-1~gNWNY_|IwQ`SOF51ydW|uzw|WK%sdob%fL)z4wLqBN*S3hxaTk z4SV>zY%D?N$W_s(J8tk@-<(DBzDFP92>bDiXvx8@=vG@)zErL9MY>Hw46wpYVJ?Sb;0T8Edw9&g zzkOOo9F|vz*_U^&HX?b1U+?(C)CMNhOY^t!teJkzA{upF}5^DzWafPUw{OZbo-^Zd+T5#IKBr>W~0Ni zW3Qs+SQ}2Bg3PssCbr=$Q+SwZ9ClNmb>0*00=9D;>KDmEvCl7*n?hMx+0N9@cr46e zA;}QVopSwd0SO}TL9FrWgv6mY6<=V{n6)It%fG4iRiSrvlY}NW-?f9y6!bqSU49Yv z!KvcSv^cV4wlRI@2!$%i>n@_41|yuZAR6E#chF^CcJt=GPr-``g4_&{M2|kDeN>z?QyU| z{Z2?&?VD|e?ct5O+2PZgU9K0+N>67SM{k2=(xH|oa zQ#i|;X>0Fqxp7=Gsiq#rM`pD4ZnnH={sWC<55;5x7kf;?^*;-qvt(YQC2PA|vM`9BIxf*yY0<*= z>wvDv5%7fOm0yzhYTqJqAN^NFy$8GZsJvXI4}?yw}1yTrfAeb%^Qj!qgF-T!4# zCTzd2{AWBu*v!2~0DDhBy|GD5(-yJNs1NGoxyxXm(Q~ECaCN$+W1k9|Wq!+HQ)2vd-06*n(942kccQk6u1rO4 zK>4b;UJUI-0(K;suhn%|(xUl#d1@D-Hc<%CW#Z2{pBS;HF|hTpd`lqaj#SL?qvA4l zeQi*qWOY7R)8*?tz2ci4*EB?$19jXS$vslkSBIuYQwh z$0z!i|ABRp=~O0xwbBG*;?qm&Gs;ZHj)kT*Cne&FE{^${<4CfNMh;`0q2Bv0y6|;~ z9OLl42X`+m>vpjxF}{I@;e2pLWXCzmo`kcn9Hp*qwzUq}ef7qysA-hRsE1X)64mYWiredu(g3{H8Sz6^8FR_6el%Jp-P z|DIRR0BumTh8XR%!+&vM@7E7WG$y!-#-YX1OXl_|pEbsvCjo{vT5>HT|7gdsj2C5( zjibr4*+Ei)=hS!I?0{@cas%QWEq(YWel$}OEv0fZO+r@p9Nz-lHLiE-1S?X-XPZ9> zwdBV+JdHE8FvJKsezO{y2XUm)j44pt2Cp*)nmUlv)01(sDOLqJU34mCkY4Vy2yCnc z3z5rSNWTPc_NPI~}j;fIS$#GjA5@K~j_dQ{5pDfvU?(mJhoMmnyS>S-N1O)PNKj zyG|&X91|oybKGFL6Ay6g2@+OwJ>Ai*D?L)$yV~~N`@7<RZ!3%E)}A&Tm@wlcif>@6HLu-Cp@CZ+<%L5SMw?qO_SvBfjN9H2=;;sU|h$ zKOdlsR~AX-WjTzpw$u*MJgt=#QAR!Y44Zg&`dhk`8Rkm$wiN|RyK$T^2| zy=1u^6|Ht{FCV>~;FT{D2j81Q)J^~IfqU=Terj||xnDCVKfB4`GlL{j;>8DJpi`|z zWi{jL-bUWlIi9ET#5S8V!h3n!ymPQ{(AO|2KH4AQd!5XYgne7LuMb_P2X&T5+J|SX zpzF$IbQ+3qVQLq8IB$p-!N-BiQ>FcDzfkai*2v*%72mzvr2kmV>gf6FbtC5dU5DW@ zUU&fDp6rk~TXvZ!finZHlz~(kkd4y-gBIwATte(xzt3ihQ3ZmQ9QH@$LO2;iMq+yA?+0Azd-d%MG3?LsX8G_J!B`K-yxE79m#5d7Ca@5Zmj8DCAvM z?i%XK+AEpabr3m8H_Gp_w8qxI3UO0NnI|vMc9sG3`aH`zl_eL`(_^-b^tDwPu`bgV2n^4 znmAAOF^At!+zAd;8`j6uyfoeWk!9~!M*EYNAK%sv=MJ6x`c(FIc?7x3`&TgvQsIH? z5D!EP>wlgfP+DExO~%<$v^0IS zu#b05!odPH1_pr8Ba9jjsC2gZ!T-{EfTOF&ihz8kCv!4o41ECex4iC-c+XBf-KQ=O8j8LPdHdG}bE@nmg8 zRcaA;0{u;H7X}#(H&1@tgfgJozq2!tC{6o%fa$Y;&m*g)kaGY{RJlLkZOHJ{neueC z(!LeV@x1#4n;YJ{z||bJDR}*Tgg$En(x1B{4FxwfKr8FDE$HccXv8Iwob|C2u#8#r z@%gG&;2-7d(}(e@Rlbj)TUK1dhc>jc`L@S4TgAh;LJ{Y8^qDuQyK~mWI8qnk!MGV0x77{^F6HchHMJ%#=s{gP3cwtMQ$qx^# ziKYUTg2&%7Ty2eXJMu<4TQ#Y520=9cya$4o`re;!j3WJd*T{aHUo|jjmreB+k=6$u ztykYvd8q;eEaY~k)M6;;`8LnKdSA7F6bOY#>=>n&*-m@i-X>oc!>Xp_7Kg#;v%I+j z=M-SvvrIIkc0S~ zw$FR0m{fM0x-a2Oc(nvPj?O#z=TVVjg6Ba%tc9&W9)xAXX{ljy{sVo+<@!!wUf>1+ zNm;6kBj|2#D8iG;k`U_+Avk6xOu5h5#zU>^)G2;^3Qm;RmtsL~_|5Nrq?wLTsP=m z)Dqn{=e!K*;p>?b6Xs?+wy$_UiCcY%Dd2soiUr8XA62hzDeG<#eA4ga&(g zru+)+2iSE3Z5LwSoxUUW9>u*i$z|qk!>w?QkJ0bDXS8%9SB?-~{&dE8hc9uGiR1XdBI>^*6}kbi_}3x)4PM?v z;Mk)9=&z;>(Lq20Pl|t!qgbZuq~0ff(6JyCN4v4150NAU3w(;qimu;T`J%yPIp7q+ z!PH5Mp3TW_WCnHN*3WMDQV=-a9ILV{{aA5ozSM@!)n^m6P_RBVd~&rt$7&)|??q#> zt{lkCd))Sfw@@%2`@Z%tH{w~dD+zgnru)!KtMeL3l`MyitI!{01)fL>BipAQR;)0C z`gfcilI~ivJvh&-C`rdyb54cI=3#w@57QL`{S47N#%mnD9hJ|Xzr!1FL+p8rv-D_t z!%aCwJCOtg+%nG~)rAr`D1Swlq$IebqHmUDI2@YKGi#YImVFkkn4w#xzVDs_~N?rA#{OnC5D5G7+xW>4~A-A8VQTMWc%C8^kj)nZ& zyHTVz?C81$T80n2E<}4y{k#n`zsY4e45oOp{zLFmfAllT0jr&lw;eNfJ&=+&lJYKY zwJ{FW0UMt=a*!C+5RkJ8<||XrYX>d=H8V~EdUJ4`4z%82e8t9VOKqi72{%=jO?;Rk zgw?`MXtFtE$YGQQ93z7H=8U6a)e!{1zn7EjKG*Un2mArr!LVCe*S$sM88Dvfqs1JC zSB07XzwKHAk%F^_D~49}i`Dso1G=_kYM~$2BJnE0YoN~U1PxkBLB|%meATR$&e2Ly zi*w$J1YkQp>QOiAMd>)THZ5jeLD1>W%(XbrI*t>i6GGuAkC!Tj_a|t{vIV(ZNf2EA^S(Dx^Gf0jZgeqR5K_>`VTs8m;_BB zSpe(na^l}e{@7REl4=s6rfNBHak{_Pq(iEaj?vQWy#sxW%SB$@JO_AkP57T`CgSVy6BxNXfwqN1QU ziVM2@V>EPhNfD{UQh}-$w5zVeR)J}Y4b?uY1&T!Ur$+d1_%%p5grZD=4(*ZV6uijp z#e$FfM1BBT3|uBitW^oO6kZ%Io-&uxCVNNlwx2el$whoCH~E!Rr<-G#u?J;#%-_{N z7PX6T+^KI58?FjEMKALSx2WLac41JtYS%{YldtyF?szW2zs^k=4>8^K$UzBvr=W&o z3#-3_4-Sfj$ZOQsm4V?lw|iY;4gBs%NIkhkl`6Tnco-MCM2N7(zJ{DxRPAPc z0qGoh;as*hLRb2=yV1qBa#)*Bhk^C4>Y?=?LP{jJ>BimHkxFDkApFPspyCWFPL9g_VDq> zy5J**H7&nLTqhZV_H|&^pFSn+KYN8e;llhoVoGk-^%Caveoc%|7S2IBooJGD`hKRYd-hkRA+v&n*F-%Q)U7>S`I~zf67vep> zXCi^>`pQ@u)jAjtc)8@wp#*aafI=$0*-LMe5BNe**CyTTt>|u6>bs##g>a%WgR_Zc zKJ>ikh$s|CS?&19ec@g6dAWahGruVcristug68MyWU2=uyzqUH2Li}Fp2|xsdj-Wj zc%a=*l$P6B35F44Dm)|Z>f2E z>bIP~fBfv9?$s=t2{7XD*5yG@`^b)&gQU6#MtxRj?|7hDX&Jtf#TBG4OgOg}%_K!pnL!voQ8xh9#WvCLe9q=|jVi2_J1#liQ#wy8P0eY|pO*(Q zg(5G&J9rLz-G%!(z4a}*b@QJ%YEp$$#gpKbL5 zncBS{)e#LMDz`x)XO}iD=ZOAJ9@8#c@A&0D~L<3Afm4)B@SIMmX?yVL$XIu==7Y6ONU<0YbdkW@yuOlxRKOHYN*NE-fD5 z6Jt&LhJ+_M+L>NtGHZ~IbXX^7<6Ny>e02}lKi`JD*T>f#qV|>c;0%bk7geg7mrv=v z-a14QalAY_@GSZ={oL*Y4Mze`^4(i-MhN;9_4jL49upCn3@+$jXNtGL-nRC&lJ;Su z^pp!jdQbjSEhihY((t%BIM(6_df1#PhG`55-Izci`Z5uUmsN4$SKI%392N+1z=o%+ z9WfKyNJ0PH>UKIy3&L8}X96I7InZ-4T`DAM8%SnlArY9y4~vvHy=jK-9jq#tNsOnW z6Vg#wN}I*{ZRTn>visjiB0+X6w0G;02x{b>nQNp%>gl6m1uSL9)TqmNd%#y4#gRz5 zb4&Y#vGFb_87EAjI`(E+k{&oToW;nWjOy3fpJtLnhpBpjMBwfm_M)8drTGS}ajdsl zPST~MDXNe)rH+tjOci;k4!2QUO65|{S&UD^>L&(N(H3C03bWe1cMgy%B zC%OsCSX!*!BGJE*Bs`Fiv{7^TMGXV{K};b3{5eUEv@$f+;1#73YX4U$>IR=ZJkE=U zJSgwF@L4KG5Ktmw{D&8({I>m0@JIDJcAfs4f`Pbuk-Nric-jjFQ8c!B%)l(3xYV`3 zb!++o+D;N5FVN-!<<9pTml2h5PBzTeiGg1;&J$e3H=Y7kf(jXGs+v>ZMvqOUa+Zz) zW7RZVOX>$N6VB+3^FZV*oynjNAbO2ZynRQUL!BQGQL&9p9y=dcepKW&5o98a-(OXi zuvJzD`ZH24cCTD_1S#cC39KGzTn*r!8+#mEs+EFN0C0jMeH^^u6Y;HUzwpG1|NW1T z)5}xrMgd8S+_FTI4UZD2As_jb{+W#7*ZN#UQxd9!u8Tdho3&e2GMOGIw!d`-W&=xb zK}W{n#^^6k_u;Y3`m-L-EVLV~Re;+FvMp0gtu9dbLZ@Y;dA`|$h}SUZo~Hccl{27C zO(K{~4)7qBcwCR{T5Am2mIr(|wX;&l=plpD&XcbGiiqX2*!+gOV7B*h%8oDHFyzBt z(qXNZ=H4>(&xj8!?n)}8uGM`bG{*5o6EEY_nZxu2hl;2>w4i_fmhqAoT>&WGR^cy8 zUyMk^9lzw>ZPA|4M!C`O@vhAPXg28LC)jAFxSCcboDI*sgw39E&5YjNn`^Vk*542l zcP2RWdWdB#RgZ*PdqQ3@AK*8 z&KGmp-t5>Y+HHci4*OEkJaQzo1*Td>nO&V~(7zLc86$EOo4uuM1;WQP)htE{-6?o& z&TuXfzlL_VtQh;tjkTT8!9`dYhs{LKutfZDZD4A))UQpDy=Uw_8nUB4(V=*4sKo6G z#$PxiIhT?$J=ig)LphYC_n}`rG!mj`&Hj~0g`EqBCog=5gvE8Hx$2WchYXvkC)lZ-jfW9&hqEWW^&?>xPu5en#^m_0F%3&1wU>%Ryt1VkH+s>D#mvSKF z34aZl?>X}X zljdWA^!4upmdHa~XVnRO^}n@gI|y=APu=#TGv9E_)Aou=f<&klH(oU?z7mvE8@9Uk z8D}b$m-H$o8FRd-5uW%9JfnD1jro7`?lxIcE-)xkpP|3+15^1BeaPm7>FA$JmIVhl zO7%CP6YM}6F+#wj)Q9nb)b0;$uMgG+;}j(827xbUJqhmV6=NdF*F!0ZStZE%^C|q@ zG0-s}L)H?zdnkDmFkue8Ti89xbGf{WlfS=VACl^L0ZxhSEB}?lA@q#$7TZGkU-BZ! z>AXHfN@r`~gmV|~%a1W3)Hz$ALM=aDcMjin8-MU>&$d*P^);fchWiB>NvF-XTa=3*kEZn(jvSb|BMXYe-Jp<_r;g+0|n&F1+EKgeJxl z-y-eA7W%`LDnAvq_oTfX6^D~~c!snX(Z(i_?GrMc-&x1-G)M?6KDaI(K3;Nnj4eI4 z93$>;xdwE;gcr8On;a%wi9E2JM>#A!M)hY;`eriAk&$CdeJ-vqz4DP0+t0JkR!f2L z-u+}mtcb!!zbTIz4#)t93BdMvv=3vJABIQWXg%S)uXx^e6<{joK+rbBQ1Q^FTM5Ef zd09&k4aAXx6%jitrA##G@QqQawL;bydai6(OQ{j?85V6{M5z-YneA_Ar%*d^wIBNm z;4*qsNfZuVrDs*}mAj9$HvoG;;(tb~Ic`pGGl`dK`J20Xo6z}`V)9Oz{N~Q=TYJ0x zLOg5W{?>7|YfE7UzJ^S4H;+QvII~GDXUJA)44e5e>qLWfUZ6qxl*GP+)Xq`sF=)E7 zyW43Ww$7k9ma&CUA|X@TN?1vqndm?ihldy z8tWTVZm1YF7^Ke}68kInh;Y9>t4!&rjo@KXJevu!pnR#1-voi0xC0-SnD#I}AoR$2 zuFz)yEZyIVkWL35q>u{kPm_!wRT8n9eb%-i^*B}DE>Q*cQ>(3#jb3l&9#Tv}W*nI7 zadeUUCj8GmFBTc*TQz9$c|$uNn?K85r;Ipw^X~Fr9_>#Gk2xthnJz>7mLp6Vs_#s9hG>r~}d`Kn46x(M|7f`P)*z?>N` zbT1vL`YKz}LGB>m4>lMn>khScr##by;LXG^RRYw{)Ke zEgnz%auw^Z1wtI6`3J2-I3yv!etMOP@?%%YZA7qflQ9=RLdp>!@P4uD`K6k_- z084W}#P|=J|4>_(*(+SmZx&L1Gd0LmEr_s)_93(8JKV=QwX<_}KUA@qRm8jvsO#I4 zV9eHDBzJJ`2AnUD5SW13aC`!LiM#A}}DjKx%`UU)$InE9HF)_9{1viWU zjB#fuMr7_#6lY$%Y@6t2|FCiUQbYO;gjkyZUxUg*v~W^Xo+XD?+P{}7;;B+D{}G{&0$WLf zQHk1y&OsUN=mZygL$Yuk3kMp&Mn16e!IA9};(ipZ#LJ zn8qOwsM9!kI@?VhX-q@3KjIp94S6drS%3o-6JcmR+>k&`sOQ*GUZYC@#ro>VR4QRK>+Hjls4>$cPpUtAF5JX*i`xYAzmeJXZZz`9rk9VU2q9V=sh2*T(cER$+!Eo;7Rn0vE~wJ0(P$ zPa5iPPT1HJ(bm+@CkDr`h&N**HTE`|*a3S)fT((E@47i1VJSGG#b@5i^^U7XPe`Ub z{wsdXuaKIO@2lC-QkGkj_mJ((Xy$gAWMTBZcm5Q~szTQVJd=oNBjHd2!lC{KL)5Cr zuk6E0to^yg(F`5x=FP*Fqta$A2PKcXzz!yWq9BVta}1e2E?=>QnW@iWnRXjRWp_*C zExP9&V1RGSHTc-^Jw8BPwYC~{v4Ym|_E{09J>WN1<0Nm~b95F0-wV6(4t;pse`vhF z5|6LFJu%Ss8PuGJQy4z(4)eao$pi;q{jlUXrZ`5CC|+&NpgD^NsvD0-S7--g4UT+N z4V#}EMBik=Z3mCZlg?gzej}kxB!mB+@;`)vjEFBM7$8LfLLookQ)y$LSzz~q@EG=| zLZog;rBJ;tuq#`(?R)CP-19xzlRFb36_RRK7oLrUYj`5VNkP?r||~amH2M( z!%y#%quQP=W72G5KUa#_Enn(xU!DP>_v~A$@h-*8;djfl6JtZb9yrH9-q#8{JyM&c zKZujk4*lMR32zI{ERP@IvTp|67_awUlbC;A^~wFml}WY=&V--ns@q4=tKBY8hv8GM zP}I*WFtlj2m2n~eR8NTMd@speA|C#I65xAnpVlhcQUkZd8S*|t zwmA_wmDju>tF*s)HsGcd5m7_h8zwA~5O_Z&g6`sEG1IlBI`DroMOO?RuwJfLJlDcF z73y$eAJ==CYs5ncH#XL*^LLV=X(BcNS}HScoYrJYUv+b3_Sj{1NH7+#B>*cF0J|_~ zBS+!`c3J4xVh<{3J@r*@{3dr}_#vY6P1M_w@xQ*$e4j0NVmf#xx3T!RXoY%a3_Njg zs|GKWzkyp{85#ok_IR8n|7WS@QRBl_*C^;w3+tC?hcL9GmA*dveWm&-izIrK35Swc ztdUc?{eF!A4`1WbXEPCt!QOMNb~av-VU>|Ehh{b6^@Yi`+P(2R+*PBy;2|nTn2!Wt zu)%w+!~1vybCoF?AGtGQFAtf@Q?a8LLc+(&YvF|sKFSQb)tZSp1plvhqvDi^HwJhc zC2sU-e^o*lVZPE(iE~p2wt*DK{;RvmCsyG9g3C4i5C&~Xq%!d4Cn_QtF*N?Wj%qZ{ zzh^`??TfU@{TGsEFI$7U^OF-aiF0iG^DV<1kw%lUXC;vB)vH7G@WHTjjq`2~g7z`^ zdUy>#NOE{daFA3H*O$yD8nEjgG5sHi2|=1b4jqistMdmY#O~DZ&z37$c=@dcY0bJO zEXD2hm`u2?7lMzs>;e18-$1F|YDZpq;m2{K z3XlEx4OET1w2AV3&x=*vz$g33u=hKI45qc@{V*Z7gsy@Tfk5<2LK3mMR?u40WwGL;@G#g}r zhJ-?(t$)%OVrF1njz|5m+*CxcG3t4{^r$Tz?=z9uM#`>Y|^!o)}` z$Qar;vtdX994POaojk=Wb45}jCtnb+`JI7;e)6^OBc_}pOC)LBEUn3eK5_%2RUa<+ zPX3vf{SRFJ^#Q!Gj^H*A;-~pKx{xpak1Z`@UgiCqi2X@HC0ZuhN-4KOj5eTOY2CxP z5Jm_1=~B94vl!7|z%DFX$;9PRE?<}Mek?pRd)jy0>RhJg@x%5x-Dl$8D3<$=z9t3Z zNb3C2C=)57D>iqdQU3k~D$F^J^dM><&m4o!7gf(xej`D=8YK0`ZUyV+oND&Z`RVEz zc7_D30xBLe$rJ9H;dKJ&8C0nCj9uspvo+j3!J_1D8}GXDZdYsBnRLLiK+B6Es79It z@yroeU&|%Coz|a6EbF6nXLs_!kas%wUTJ;SZS>bFS3>?Bqd-T_c;fkXvqWY|7CXROri1>c4~E1=z)b}Vb5!1Ek@t?1zn}k*Fx=q37mJ~)#$&`s zQd5IfFk`9i#qFyI{6rpwIMqvt4kn`StKcMp*Hx8_`km@%5i5Y|;OKbkaC;K?__}-2 zD8TUusMc!*E-frqKj#MXMqX`oD>O^;MZVN7lSs?W1QyGSMXhy5 zBLfx<6UzDxA{LzPx3Jhmf<*logF^L5>ADZq{1^&(k_g6$lQ$n`Dj`PD;dI}9>{6kd2Lo%}lcC5Hk z#e4D>4OW~S9%DDW%;yix%#bV>C3uN%bgcKH%AGAB-pCe+hTwNuEf&5s&Iit!hhM-j z_L;gdh#9QBM?T>7f;DbVX(K9EmlND`>H+sA8v`?I)N=xUfxF|?Q?1Cny`6?nME z3LlE9IM}pOBUo!me;TF>OCrv zA4@`{+SL%-dzb4zq}&&N^i^5Ku!bH-b9rM0%?xf?X{B^c$>0BoD8ufqgu8ir~`Fgh-L? zyKrOlOt$JqXh^V9BgVs{CIUvDMnrgEXrLMwkS9K_NzqjeCRgzhbAI~=yUYfRSgLd4Tuw+ZU#;4kcCL> z_V3{%0rqkJFD2{+#I7)Rd5n_0(W0l%ZcK?FjStI3JPhd!OV5|TmcWc$(kiG{jF}H{ zdaoikaa~zV0trK*Jco!*ewQq?JHXVjJ2FQPN?#?dl*`>{!4J0XyisEp1;u<^5;?Wl zASbV<9gijkaO>D0@oRTD9VHRAoWp&BiqwQf5F<>ST-bF#9)t8B#fx~=1as)V4yiwC zD)^sngLGcF^nN2JH9&+)g?c(@(4eDsWxlH$hTgrQ^#0(I11n)T}1O|OEo zUxN_FwzCP1+ghC?9Kd;f#Us9P>Jnb;%eO96e!rVYry^!fYaZ*R?~;94DlaOyc%=fY zYMzig&vsQ0&w9i53&IcIZ=-)!C>(_zvh=2l(q>)iaa&G=;ja`(r=dp4&0!fF&q3P` zyBH9WZpQoUFTR zI7rBM&sCF8wQ}&1?*_Z%4@q+TJhrqWQn5pju{I7=4JPv?rgQ*g<6HQakXEov+~UW+ z!pbnnAy?+7uj$;E@JiojBA_gnS7s79AIfpr#+7DaLv`pp-9EPx6OMOLwT#zIMT(?9 zjM)5qp2vjLOpEvF8C+DgToprgc3Wscia=Id1gYK3(NN++NAF+KhVsvjCY~y=&8gxP z@T-n~_?mNy!yJn{J$)wPKjM3jpZZd7s*l$XA^xJVfOcxgm3*ySnP={Gk?LWNxWi)m z^!TcBdpr0l^j+GYP`Of}_7mcahoF9$!Yn;)l zx{%WDD?=Un0_QzxwPZjE5?{Wv=TLD?nFIrvF%2Q`Dr z?S}Omw4ViY~ zJh&MO6x4;kUQr7M#E&j~ow zKW=!Ph$FQc*Jjsfl2G8}a^+5*rQ_fZwIaV;nK7`Z?_xgul~|Gg%?j?@2-KUz(Z%2r z0vcT#WOAN+7%Fr* zcOgdEgE;5+^cvg+5Si?1M$x^uLt>XU2O9=lz$Xx!5u9&`&dEIS@6`se;fX5F!!03C zpGkg9g-eu^pDh$4v9-FR;Y>vvEz8k{8xn=Z3!xsam$&$9^cl5a~)v59aeAqLF1JW)Q^%DM% zySZ%azrLn^B%t_-lqZr<9516-KfWk zwqJ=I`haCAcV~{yGG1!X&TEllI97In9b!QmfVeHuI^{;3)`r#1Op0%*UX9<0Hep&a zyzJ)@9xY7FG5JV_X&?aujavb<5plWotR5WPz3ct`8 zI?7K+S>%}e^fO#$qR=7Z|DwVW$C~YNfQeS zXWo+)Z|~R-huCZbx0W3DW;iC*^DfKRe6)%5uAQvrDUxz1`6p6T522`i;`iHIbgZv* zU}KDjHO_hC99M0~Ww_lpNGp3pa*+xber=lR>~H8tja@P8gJN>W z3q$veOV6s)@aUe#2NB)?;c3jSRXB)!JkN3JORF(U-c>8oGcroGEbTTSG0wHyi6KGz zP9Bn)w{mpc;bzXXL@Q>5STBYZWvSv!&R`kwB*MIfYDChsCWVAV z&ADizaP_QJ17^{4HIRsQ_A&EkMlS194yoYDvhMvakk*TcBJAzR_|&AR?Mu1AeDgdP!;o+2xX=T<72k|5kB@Fy zHc`bOD)b<_kTLoaqI65>@@FFgY6wQSl+d^70?oJw!@ON0-gG-pF4ftb)Vk^X>=~B* zvZEP1lSY@KKH>gw^8b^+wOF1+vqsy*tTsu=~$hlV{64m$F^-dckS<- z=iYPn{W1TqRd3ZO%$j2ux+;YcJ1V@A_BhJzC2cS|z7Nw^{MvSI%pw`lf&;;U&%QE} zzDWTy?XaSO{3S)kh3r1pVPue4n{*tnBIK^RyrPzrqFyvMcrHGwPr-V|_lg%F@WS7w zc+UF(`t0Ccnp8o1E&~dJi~P$vR=t#dJw9m%aOdRX(HYv6wBaAlNphjOQ2xw(O0Wjz zGr5bK0+!uC%`BZeBb+fcQ1m2!W_bY;vjla-o|G2U63mXFKGS10B#kOw_vT?H< z>QZU~6-3K-5p8>6y?t@syz(muqcujg^B5%DDE5#A)T5R)FN2A zJhR%)F9o)ee7W0H#1-DD4O3hSs)#09Sc&UHzoE|3KN^+;tYv{6Za{No^;9<36rHb5J*U|DVox z*M|H%hCys*p|dT$dB*@F5clobPfv3$y$@K#fY%t=ulTOL1!@c>K)>V2>%MQL=qSOH zr{LGEau52xKMn153j0O`D)Q)L4T#Qbs^>lrXy<()UV&9or}22lr}D=5pHCq6PWmv61R3lwjExgm>agodJJ5BI^3{2z>y;{mY8b=f?oI|K z0lt!Lm33-q+iwL;2h(#k(>?-P<*(hAim8AE3~6BhXfIeV;N=EF38W6RhhPuiE&Un# zjg(FAWHQu5Rr6QBD4Hi5Y+I*TIND60xy6=oqXW$8w6wW%wYcjm>9PMiLuw&thUqG}0p9Wi-(5Db!UA>*<+muX&d3re*e<$KR;a`BHhdD!pM znD_aFwSo)v5uDCeqS@9js||rfh=J^KRq>|b)owOJr;`u(lNym@WuYFmb_SNu?8BM8 z+*HjGdtYWHN1cY?pCR`<>IQee`xP;hDC)BIBnYt7_JN#gl_N(X^LvC|(I{nrKC#80 zQal$-0_e2B7#FjaeTz+x)@~zC>e5Q}j8l^c+L~1QtIGqJN3t&Hf7%El*4V!ZE>b~j zD05IiBpFnVv_+$;;q+{bUU9xUvHZLf(9`^d2|x?2ym8J` z*R}c^m)`T%@)x9RG^3aUK_-xobyVYdW@}f?gCTXjqmI$q{(SX359t9LSH0=oK-$d^ z0R;}P3l1(oCBMZ&;_nX^uw$_6??RlfTcJaZB@+3|$khG1N_mshmeA9IP=J{*FScrU zC1qZXUb=#J%Jq9UmV;+Jjj-4PD+X5M-~y_K;JrQO$QR%XK#V!i@WRdE&^~Ju9&V>dwEL3ppNFLsX-+N>@iKS6)deAMOo~OkK zh}sl9Jg(hc+`~;6>4ffighgUc z$aA!y0@`w=1f1b|ipaO`I7k%t7^4p3)Zr(g7G}CoBy&SK~z$|zKrZ6%<-l$Aj__2TQ1Uu9o?#959PZIyOFi2kn%d62` z*8cPnEK0P6eGY)riEY+@@*4Es^;ORcs|jx>gMg_56nX;H4_k4hAeGek4ECul;|^|>=quyIx6+Xo zFm#~k^+2`Kq1DM)ov#g}5P}RiBJJS5#b7~WCz-z+(bjPoZsI0%Aw4_(b63u|=Fb>7 zeZiNg{5D(=kIgNUugr(~{w6yS>e*a+oijAH^owc0aRr>m-BPA&b5tUm@B^!Yd7HYf4{rc!l%BWvk2y1*3+Ru^t@#APM`m=|?NF!8SzYw&=b zWf4&+C|&O-$Sv(Y+x;bu46njxBAnpJYM=A{Pw45z^xc-jib?%L7D~{3He1rOS*i|p z{$|7vJTl8+$ZC(UUlT}&3Vw-hTV#(U6q)tOkwo00FPAniso1wiyd4Wa;2h*Q&w>l) z8^pt0pf=e<+9%ciDBW2>c+x_0q2)c%`Mz(?BuIEO=$Ucdq5URFECapaspk6q#<0W^ zA~5SkmRX4#G(GhL+5;0la>6Gc=Y{W`1RD(yJ>{XtqsSKfL+?>$oF)Bnpu zgRgAy^aaH!&j;yQAScoUm<6QXkG>3k79(tgb%f4aH+Fc5GlDa-&pz`ZU{==z_wt-T zvriWCh1g3tHoXCcpm?a1ngi`}8Jn0!P~Ts4?uwF;tm>E(o!{?}duAsDA~cYhrH8I% zkla0MTw%@JJfhb_`s@vZs_gP%3dXZ;|?f{q?jF=U2218JAZ(}Eq+NMlrmdG zHk>*gyiDAy>!AScB7`nY#y%%HFrDn_Z6f4 z+u7m|4AXd`E77{Q(G+8XT%IDyIGWkZv)I2pDX|}*`zj-Q)_b~)_{_YW2Z!EM*f3w5 z+Fo$^p_vLAxXE;zXIq29qp%`Mb?1NL*Vd-dsqc4TWO$-BJqpw9OthJ+iM*8P@8QPa z2+r*EIHCG_7#GaiUb;rK61?{lF*7EFsV_UA)3sRxc#`c5dk`eWC|+2??=%v8--8+;@KC;M3=)E z+uM?3(fqL@^=OUbR50Z6cA8aXbm{rYqOXMe7sihyYA->2UoNxO@!*2Vr!cOKLBs1V z-tLRe74P8%;w5Mctzw^ETC{r^Vbzq_w`#I?LM-qU^~TRHG-92?;H^z@u!u6OvVDV; zk}lZIdqi~Op`@JGz~O@yGcRsqcwFv){-3 zJI&0+^Lq2V|1n}=j&Eno?EBpzEec|tQQaAV?t$mt8E@%L(C-1PVS`wyj5Ux-t6)z` z(|)5D@aPZYC8+K?SjCp;2`4`piuC1BH;;((A{QUhbL4!P6$hwv2eUcc&3V(Tu-$S* z5-e&^%63|+HpQbZrfp$tB<61q0iKUT30Ad&SZ!1Z8^JdtC$Qz1-i3Fn4_Np?7?7%S zs;=XI3H;f2U}Cv&xNW|CnGceEFr|r#Ni7;cgm}~$@i+1x-f1Y|tudqzS91FjKcltt z3^uE2xB;%>MUfjcsFLG%Xne0B+ryYiO%+Z!AUR)tg#! ztk)`LDAm+EYtY+70+&aklJrazs3(BIpDAL95$eq~@f&r7e4R$$SS`P>v%~}KTPrt! z{)|Jo>CfC{J{F^5VJBvy|+#r?-Yx7>Qi%diIP1a ziC`8nuOA^?QO~bl)0=AYUEjZeKrQy^*XTh)I5Db=?vFp8*{s*bw8xqul>{~;OmYH{ zG%m(2NKChY)*Ttht3Z47n0m@Hfv}4JV9Vblaoi@uukaD#<*HAykNJCTi=mtj`6!i+ z?tP22od@TVS1u{KtD%yy%G6Y{f=o*0x|!_p5HGGogH&(vNkFXFO}@q|RA0DwbHw)Z z^DIR=Nvbm;Tb{a?S$$9&Pa`h z`QrhH1Ir9-k)`qtZ4=|Ah09`2s?QaC2XAP7D0V2rSkbyrt_~uN>OdQ~ zNe3D6i=@od5oWx$O+zbW_j~XslWcAbndoC!1M&0cp<86IwgoFtmFeS%_!x%d+wle% z@z)-P@W6K(NP^x98i^PjRN^TXxiTpy8@Hk_tY*HBV)-7Gy`Jth1KkB!Xh0x&XXU9i zQzUC`f`dV3P4+%F5H{E1kOQL~d+<#L;q1RZL&k&<5Bz{*qsa7SxZ>e`=i$BiWeZvH zi4iSj+VQnRT`7i5&$0O@O1Uu^_ti_-!O-DwhY8sM2XHkh6t2^K+4ikR0C07gK%~Ru zs=GIwN_l4!(hrmFxZu!(?~&dCLN|yWC1a!An+o=H(saX1U=H8%4R&q*`~KEtTr`ET z|F+po%0UnJ!#J>R%^h#fY}{P$oE0Sesc($gXMubEhsHgJEsqY;$8@p9dLOCt^mC^{ zbuKQ(!_h*995Wo-7Jt7!=o{?tp4#7Hbdd18Wa~;sPr6mD^*x6OiYuD*Y{2yW)cJ7D zWQ<4Pxg<^sSlqTg#@SL$je7KQuZ+C>-{gVidz32>^->X6_5 zAC&t$)sfuyJBG~L*y=knPh2w%{*GT9MSkcp6j&~vnZ3+Efsi(p3I+}fKeZw^KSR~( zax%K8env=OKu+(%AFU%4b6MB2#uL<*ngNTMJJDrqG}pW>liq`oqHV+#iw zhNgI5?E1T++j?@uP0?Tx;U@}Ey1s97Mbg*7@7^LfIkwUN<9i2-wnRGOQSEM|`b^~J zlv$2!Y6KT2jqz*d(wstPJx`XA5**uTjUY)N&-pq;3 zN&)&Ij_D9(A1^3YANuG(ABz-21e%_o+Hm^?xa`Q=_(d#9Ey2IxJ0yM!p|0X5VM_;@ zWd6>%M!^2XD3aD?V#}p48=@7|SBW9ju%HrSLfqr+e{*F)J@?|Ot^UVk#Z+fx6eH~2 zYhg<5e9c)MP9{U-q^@wZ1gVu4vV@pGkA$Jr&**&YgAs&`P;z&gApasnzCE=xXoW~O zH#98S!L^}dQz=0BCaj- z8KM`xR+kC$vVdD@g^+ED5a@|Y zcPI}yc3qChu4D5zkY>>_qP(^J<#P#v20Sky$D@apJa7Uhd{totNG;iA*;-&u5B z8x7pzEMo0h+Ggi{`w}|UniH&{X*$lthM%Mq&Xeb!^!Kmp4KW(4z$3Q1vJoyR=bY1{ zin2$m<``i6@GZSL4;Q>^Oi|GL8K5K*=h7uA;49*d1JFSOYSN5FfTOOXxl0O-l_m-_TA~d;C*oL6rziNn?2OR6Sg^Jva%jO>aGJ5&%J^_Tx#CC}x0p zRyeN35kS&sLDQzx9$7}QT7W4{s|1b=BxHl=cmF^T^wMb*Z{bueQnBef#sUMjlK9!f zYA>+)^dO(^1P}eC+Y4Z_qlg59n;5xZdjuo*wK9h*1$|;Pp_rk8R?er4NvK{`*0{GI zQRePm)V%Fw!`GUcfove1am~%Bp6b=^FzN)|>7BP?Pzh~{v1z%#e0esh`1+~%^j`D2 zF0F2p8e))A5m4Ymg=rIPy*-vIYA|4opt^qoJCk6Q{38mHn`^R@Hg>6E=M(i_tH2-d zGQvj73l3|EbPa>RM)xi+-Nmpxxt};GR}oTRi@pi@Z%RVrgI^qG12=V;b=5DtqWCt*NuU+PXD%^e(lfJkbMcT^^~A<$>)^n@5yM(B!`^ zzg9pnsZRE?sXX}1>As=m!{i(eG@vAvz@Ob?dq;%3CZ})l^`YhAvXeKAoL??0o~2z` zC$M`2%b_!j#dJd1A~9Am_R_MK@@ZJ zJG3mn)eNWyVF$re9&7;bnF7iN{~Gz_a5Jb8hGMnK7xt$Ib>8d7w;fej1EGPNe?D%x z(c_n@IoxyPxtO~nDBfJs{-u7^wkWTR@KS7`lXSP0YEl|d!;MfET4RZ`^k`>3IX!Q8 zB!SWQ40K{;XIumAZw?g(ADaG1H{p_q2pI-4GuqG4v3^dj>~h)q(0$| zONBy0U=9re^6_5s{u&~{0gi5p%_U2JrXteYr6XtUfzS1~)Dw9{d*yr6=ClUBWqbZb zKUEh6^fH2s8>>JmoeL0DcBJ-p%yD>^^Iadpa;nJVBb&>GhKV}v#_qkZ^H{(zLDGLk zU_#R%D#d-$dmrDQn$>|vzb0x=c}UW4;h*@UEqJDA%gw>9Gf*Ij@?J!K(h(cD)_;#{ zs;nqXAfBV9BP4wZ{?g+QaB^#bRYcV{vis>D-k?ELMGLuAX8)Uy`a=T#JF&Wb9jOZR zHpJJ8H<|P;4Z5;?LjG+&W4#S!m_52WT7d@w#qJG`)WF_O1x5%tMwF5RR{Jw9q_0>Y zv62uJ&j*&L;87zK0-fRj1rj;^W&?3l@E%ROTg?~+dx-{0Jo^WJlzX%b(V3aDEZQ|k z+)Ty~LYQg{kQ9jLKqQ4lurlxf_9`!zA|4@mH|amZd0s5F6m`hFtx)&sv@w&Qu}Axt zBkv<6w;y(L_4@5!ao^alAMx|allr2@kbtW%hht~9ErLJ}J6-gIH~RgwjMh<8>zP7G zG1}=S7@0uRw;lIzm*2(yq7Le`R%hcX>M46+*b_pz>cf# zO}V)F@3t|dDR4=_+t|ryx%268Yf_z*6g6sCh>Qr?phW2I`>*zOa2%0tBz#fAv$|@} zkLhwmw;P*R4bm#7lRAo+b@@fhq>^6_Be&G@77M}KGQFawlPsA??OjE7UCC{+tz#LO zoUM6!x+bA?LyUZ1c)tnyHZop7YxEt9c34sV9MB(lw(CSn{ykc#mRb^yvFYTPexMC* zTk)B)4LaDn3P>7{_^M5_z$#zM|$wB zYQPNyk1^$$8*Pph-E67pt91cOpL8+%R4|`M#*e5%_g`+@Cb7TnGU(268=;s=W#M)4 zn(XH*t%SOYkyo2eSKlaNxgQ{2gyyN49{b1Q-{O|fY-FqY3d0rnko-@+W#fg}u`V4| zoUUsNhcL=GQ079;#uddRANS+lk0SeW%YLf*DrdvL&6vu$OUK|iUwyj;rh#o3;CGXq z9IEPgU8LgMU}ClQXBc&$L2Vl#9hQ`tqA}8-80)RHsOI5-GmqAIZKL~gtA>M(RN{j| zyYXl&$B?T5h0p30B<%UElbGWxvw}?1eYAAt{-NR}ik-0~c5kix7Md2H@}JqkudPat zzV}6J)7PC?hb->&A5UOqLrgJgpr&$^e|sCRK>3#on$1CBN7dgl8Q6u9Q^B;XaUMhl zX>i>Y_ycpJPi-0NF`poIj2n^S|11~@@owBxK-OPEcDSgT#P z;>nw(y9dKr9QXJBpLfP{q=M~oG|c0au|l3|iq1h!AKF`3k9K~WRpQG&WviymB$9ql z-X#CckxRJz{ZF6_IrWPaZ9e2mznz6?%AlFJ7!eVymeTuE(m|=hO{BBD5X-~0XXptR zkA1{O-Oo-=Fdt->9*#|0G?88(X^ASgl=((}bKG;L_G+TgWUs%?%5rGPx^Rz5Hb1gl zg{OZq301VQj|;8?#d_%RoK9gQ%KjXJAHyNPN6z?VU1Pj>ifl>wb3qPoJvo~S0>bZ` z9`mx;+uP~x-V1?!9{*D=5M_vV*rPaI_(LT~2;n-+`{k^sY%l-%*(I zFOy4q1439*yjtoi<1@B9KJuI|NhF$*O!1U+8mb)@rr_INhYtbl7&|e&D+UvblC-dzxRNbsNlbw6ZB_ zcX7iyYkBX2+R2}<^!auV1`*|;s4?Qmwj@<&E#q*P%-p<>e>fa@ z9(9+2arX^KPjm8P<2vNdm|7=l-SUa+zP;<4|!jy@}Y*{!-IX0xm$ooJOn#!ykHRmDa)P zwzbvCozZ)qj#kweLNlmJws@8TSrg$B{)x254`%;R#yg_*C^04N^Ok^65#tfL z_xf!c#nU9Ga;snVX*7NgrH>AyIrKaTYiz63j?Q1OlH=rYf3#_>lP2SCVHbH02l9;L z6wJZ*f{DG@8_Gr9uT{Q!&M$Q+*{0zO*)!yx6-yj{KCW*rsF6&GH}$-+ztYJrfZ*ng zqHh3tchQqD|8i~Hf)pw2W3)fq}opsdHw0-V}chC3?z$DCcc3zPR$%+Nv@Czs4Z*LC?Jfp&C2XFCH zTm^rN{$Wm9bVkdn)$RYZGxHgC?!6*vw#X*m%ipYr4IHp(X0K2#54sL43b!F(cEWR^8EmfVy0BIH!v-?GX5H?Q~DEK zc!%nPpT8e3={AME&j1PXItPjpxz8R+0e8+m1%A5%bjsbJKOOa7lfDSdOyhoByYs&;bdNe^WROMFke*VFtc=&%zM6Xh%&gZwot(4hqIAD$|z?4fu(2gTf`u=$NBjb8P%RX)Iagz^@vP0mvtALLRZutXWh(WcIFfU z=cj%R8|~XeND&4Nxiq^>KZl_y}shMoIEYpRcFDr>+&cJL4z%XgmThD?#f`g7Tve zM80I#`ypjxEK3dYVx%6P&(rPeGih4SHhEXg_uq`s(I@2;`2?XMxd-*MS~undWU=}` zy1lM&yO1tMF5kM+Xftn!Gm%R2v-Y5rDcG*f`GQ^TVgI4*nUEUTRhBN^`{#U%qoA0s zsF4q>oad?aYKXxel5$X~8;ikAOv{$JlDR2Ai49t@jaFhl`3-NF0FB@f{;5+|HNzK> z^j#a%B(+%OM_CqenUJh_0efdZQ%q#R%p$yKDZ4pjOsECR(FFWa1+j+y8-Nz=B1S?| zfbx5ujMy+*!WMS1W|7dAp#&$~B%`H1S(~FQyDsj2Y1zu|)5dhghT6UXpmQbM=us*B z8QXrUr6?lSX`CA6BxZZUWSOJX?K5$ZB3X=qC{>K-KS!7Xo2+FumNpx_8z#T0A@~cQsMn@%W6^Cg(dqfXrEy9sB;zCS z4$@mIt!3=-<5uMUr8uH-gMib87JNWehTw+x9<}rCLJf_Zj~8SiPog?HBQ0xhpxI z=poPU2A%Oggru2?5raiZQp5k8{^^xGxcTRTOM0EVu|Mo zDbN0)kXs645O1wpq^JB{TG2p(%u__9r(UkC)>%*W+Hb%*&&u-iW!ClisNQ^s&8P5V$&D+ z@_IwSw|==l$|THx5?kT_2Eb*Qg9D{}2!*_N6B=P7p&5#7&XGy5$vfeN60GreYqcy} z>qj}Z06c1~(TBgPU}1xmGn;gc$Cc<))7T)=e`j7d17MrF%LIPXNy6jk$uvH`I(xHJ z_x#Bjwp1Igk!@lx+4Q5n$jUm#yK2Z3jUy8 zekd6US*80zU6!~;eO%mN2A?7tT$A;#0=&igdI&iS?v;8Q2}#!|kA8p&SVq}l5X8yk zcea#BkXAZ)>YC!u;{E_5G3a(SP@bz>;}NP`R6@a1FvlLckLYe^)o_Z85CZX$xR3oYPfja^MQF0|JVC(pc)u<~NI z|7tfHGs{+H`T2%e#v6Q@r{j&S002ZxOe=#F21{==hYZ~%YY^>JZJ8Z+qPABBHp^-< zLH2NXdag5p`~X=r>E~?%0Wxrb?PDuXG&s^w@GL;5-CMW#IsMyLAd<6R!tiRkQs`yP z-vzGEby7Uqb{uPb-#A9BF(O1dE{D9!xSP<_9*$KZLb>4&fpMGfh*(giJRbu6-thvu z%i2BeRbAueZ@rIgLql0IPTr9F@&Z~#{v+2z$lX+!O=RPT-n_-_7c9vnibQX(hL`UR zANE+eE=BH%UW8SsQ_<51x95mOQNU25X1nSG2wM3{m82iWQK>&>6)g+EQ-5PeTi-*% zOsphbAhEbYrX#WdDC7IT0y+J{8k-7Zw|9l;3tbw0GmW_(^s6rv&(9rlo(0_ zG;Te@W^PW!#upwSJGzl-=&^g)2$YB0&WLZ#@6^lZu}9x*bY%w(?FjD|+Jbhb1gH#G za1s-l3uFO`(c=c0EZrrVWIY1B==W-66aawS5){AX?F3O(RTKygS>H)e*)<(AC*}f$ zS9acKvB)Av@ijrdk$w1z)j`il8k}PLvSsLmV*EofYWCl0`M*+gpxTHS8SMc@Zwi;C z>Vo%_!>KM@!P*S;uyWKoE*;kl&|ytMhH3<8T4CCE>vF%ux-3gbocA6Dl67$9vyzVP zvDJKgkpP0L32mh9$|%mZ<{ZzVa&XnkAm(wJ=2?WCC>XJa2tmnBf|4$6OegLl>NG53N!tOElAcXCo4G zYq<=!*TYBTs+F=Q_d-A!HJo?QvILxyFIJdfx=1)oI+xy$NM7L5O(h^!sdy-TL-iIv zP6;J^ykC&4ut{ZP(<$(gcJjW%nd~ify+=PS(9_Vw5k~`yN&_PN&wNzdsaR4@KOL@U zMKU#N#qOo=ILcx>fNO56?`IfWPtBovFZ0O_Z2Yj=C!}!R2C?!?`dGdGo6M9zO@}O8 zaA%4&XPlt8KvlS9y935acO&o6u*qw`>TJ3^G?UR3--F9a>N2Kb9)|?U6t%?@edFP= zUHiz(>oL6M>d(DgxAp=(t2usP+CEss4jj)UeV0 zJPL*-wX}8Hx(J(%wC01+nkGW7jgCQ+)j!`jmu&+CvdWrVJ%s=~GR*Q=`EJ>2~KDcz-!O7{hD4sgDygfH}_o1~|)f%z{ zy5tRw;7jw09)dS0PheY4o+G8Z7r~b>O&SEcMwP|5)p7pe^8MccEX}wJJqwkOCD*U%>%ketGEFG2HC!#!C);?jM5; z{lYiM%ij`CzZ2UdALh}o#WlCPWSv;op}-13Yc#Wp!xhU32h>lBxtpkxZ$o4TnYLKK ztj`VTyM-T87*A+%Y#{)^4Lp#}jj~v)NMwgI+j^l3^-A=XI>X!0>{uyuljD`X2Yl+( z$2^RFztGf&?$%94HYaokIe+S_&ABe6J|JyK7NX|f9g!%26Jp;DY~bLolz(JA=1w4B znt~?O;MG$eA&bNSh^y&h;+#W@Hmj~p6V5YN-w9;pH_(rVo|jAt5l-;|MOFS+X}n{N zam=gMhu@okP)EOpT>)NOpkwHB{c+nDyhrbMoo9$pB~TL?d<&a*(f>`&tR=q zyD63ndSI2DV-YZz0Y&P)CldLo2~{t{5BbzjMCl*FC@2AA4)qO==n`)+5{AQMN+D;z z2By>8MTXAXI1}1|-EYZJF28C)j8%E|CuO{#*Au&U90JS&jS>csW<3KpQj?D^(&ax# zFkX3knbp5xCERbzr*r@M!e6H}Wlb_4rJBb{M3TyG*R))5w4 z>*+e#KuL)ky#D6<>@L-3LzNHpk2GbDN9gIFlyBVgJ>u}%tM>ra{_oDGKqov~ZafXA z*5K#r+Py?AS%;25>CwY+Ltyl?P)VI@IMvl$hS2~D6d2NLhqkZ&w2W7%8ggPZsdip; zX4<38j+>GpuZJ`Hcdqv%DiX?T^uc_dk029n)F;0WLl_@UO7+?@Wq~t#=X9IWAyO$| z;AiMNO-g}JnQo2nIPK2yGHU*Ilf)XOJUzi)3#dO6Ac%C>{z1Ly}tgK z9Jcu*&4=AXK09!iX?%k`@Yq8^OolM^`7YAaB$k+mCSs0zw4vpS#j&W|q+tx=b>yPd;)@z4^1gk&SlfyXI-#;L*%*Gl{g=OkqGuhZi8fsDxW z(>Q$RYid*euG4*4({tq&Z=e{mIHoM5!M~l{Be8a1zrAi|(ZetX!doj_$J`&23)+{5 zl&Pv#c7PM^H0}?agNM_CMP4E^KyrGmKw7;l!RiM%H~gfuwrAbrJ^bJ49zF8%Nni14 zBiPJmJ@71?>VmpLsS!e5y2sbB%S8Q;yi39;d<;&eO?{%;udCybcf|wOn6>d~f!VI%g%wdn@86YiW?{T@yle#CncQBvY--63#yf zNMp(%6EXi|Dj*JP42Ii>g4fOrxW^!V=b?vVX){`!yTgb5-NX!9w4R;hUJ-mSU+ zg`4vc1lCpPyfs4!q?c#X>9xUatg*yX|ygH5u z0rqFEEm_01J{C%7Coy2O`5{At5kNxdcn+BQgz7b!GX%L*ARg4mb8hw#YzcX!#puV2%FxrM0A1(OuK#puu0xRH{BwZ##{O_Oz zNM#%{7x(-V5eB$F+#72lt=e*aYHz5%KDIghkq8vd&RNU8G&^{;nWe%yYlbRixG?Lf zRAul3iU^MWtdU?sZe{(_Mq-wUHJ+@7RamTTL~UjJ+xW+HG4t>DI}C=KzP?2YZ}^rs z>9B^1gnx*i|Cf*GQ!Fa@{nZ86sp(v|({l(%Bqihwb6asL0v14>?t)4|bbT6OFM&0` z+9B99ZAd7pfkTpw)*X2K4-snyaJSM2tke$710&zBfwX!lGm2-m^Q?R6uOXIWV<*nE z%pQ-TpC6sY-S++lsE?zR6bc=T$e*>_x-ok+<_rRTCvfHH4p8vweT$ zi;@Rw#&iKyg39L*BClVdRLJ;) znqJ(Es!`HC#<)EN`7Z+@GbarwX}H+)d)4CdJ4q_?%{15^zqny^qIWLe7(7Gmg?>Xj zH^ohWuD#^^%_jGb`1{9izK9>>2Ayt56%-k1mICn9WfGt@9mX-V2#GouC|=AwUx{Zm z-~4+7i^R=2V3;r~vZ&{u0(wDd8jX_MCW!wDbOaDDFV~bE>V2azDmjt%rv;l=e0`ix{kN6_k1D)cdc5!OBf;p(^izLr@ zkVF;dpfa@v)gjc%7$f=#Ev?3KtBN8nGn1b6AZ(26E4)$tO+WW2nmlev#;lWVyVPjy z9}6=0VSJM$bMtWi9f@5M!Ym>h(hfm7``1h&EvW6)0i0Y(a!|m^SY)qD%woR)TN~cR z232TDSBiqN`Wi>gVympa3!R=g)5y`rid`@qkJ@}5F55tGpyVJ5bs^BgPuW{SN+aZc z8_1&{(Qzj3*1h|V|MV{VXh4uLi&sC7K2(kY5De?e78hi)*v+M3x`!njyF?687*g(j z$K1+5{`?LYP8nYNoH;O3RFpg}hhV+!N&9?pM`ZT=sTNDW39~&2P|sY zED$N)YCk}*Jcc=V#af@ptSFYO5k*ePicv>@5@}qKWZQlax7kK`H10mouL$Da2;-EB z_LoVgL8*b3jp!dKh@OfD zlWKDo7j$iM`7dD}ZCsMm%zCdx3{fQ*gkt^st1QsaSRT{;=NrsUFJP|OBZlh+2Uu`h z^B%EyR4Lv+B|Fkw9$=JlFMR0NWB$C@ zKe~Ib_?9grv;jE`#M4G?=kg0djn$g&KZw3Yz-;wY{f1jcg7EwaF`n0Q6-F4Jyh(Z= zEGMx_^gs^0NK$L-;!BdPmI}#D#zem#^RcC*PAUOCca578cuRERs+qm1ES=N zB3f`4WZ}Twe3<)nflbm#Pco|1fE9_dM~Y}4_*h~{8tErtt%{ z8LXgVy=FH_7(}f|2xrzu$nR^JTs95iU3~>YkA9C#6nnt3mVF+7!egxds`4ecQjQ^B z;QEy}S>Udau;V^Qos=D;%9(22(K1;Y-G~bJ8HIXRl`1s^R+?EfSwELGiP|_hVnL|z znkJlr9pOjiCCCO@V9}c5Wy+Ilc*8} zU|z5meIYrvMae>e0ukSE`uNL!l54Rd@4YdV$+&y`Y{$JHn;!X(at|0K_I{A8&Le{6 z^RU-$h`6u1(hLMXr1X^N&Cbr9GC_?xDGyMExRDtuq0|+a?%H<%H>3(SrNdthw9yS$ z@1l>mV7eZxY>}FX6maoQQnNij2Y<<-8TNs=1nT;hD?I>nRNIY`Bdc0{c#|%-4LA zA`rHUyxHxNPhJ_V>_*ZkmNe+_s&lm%Xd0yH} z0|*AlS*D1zO2KC#`m4eRevx}v(r8ZwLoRZc@W)D~CLJpe2_GqRjd2e{7$z~hCVW*cXdz0Ai}UTT!>+C~#L=k`9JE^cTn5!QnPz4f zUn<(G=W8i2P=9ra%Wl{NMHlU0pz$v=l%y*L({1ZMy+UR}*ffG6&2O&WUxg$R_5-aj zNbcQgI>iN~gh4^_>e46((g4pk6DkbR`pM}?_5&VPK+sq?|BXZW%VXK+l!$3O!Jr(` zcr#Gx@tyo}gCr1N=HQ}3_$(h&n=#vOH*mi2j}&+)KBS!+W+dkp^FH{wxmDm>DSDQd z`ZV^7cKfbS^vm=NH@ATDlDLABjP(oo4~e^c=t` zuv68`kJ?%yoXY)%r#*+<;63Ytr*KsjK>~iZQMl5)c$tJBUINQFi7>W$1+me6r~HbKbS9+ppga&wvxWn^53IbmK$&+wh`}Uh_Jzo&_pJ124U< zHa5s-EtDJwlKu}}@BCP2`-BgkxUp>~4Nhz|w$V6E(%80br?J)8X`D2+ZKJXMJ?&?A ze|UHIFL>sed*+&JaL;Yzc-fCsspN+mjC?va0(E&XWV}s=M=BMvmGp^vtr%j@BbhNP z-7{?*FDLm66(V5eOR-JddW=@-ou%N=I`K7vA9!Hi(kF{)tWaq^rz^}ii=&+2>w6{| z-t~(LT@fQWk}jWil5-_Nlrw=hEwd&tyui_NwI68G3*4wr@~wZQE5=VE-F{m@Y&41c zz{ZQ9A#OzW8S#aaQJ|Ll+bBA&3!1qx!tF|l)oID2bt=jqDoh4`bP~HoTL58)QmnU* zgw@E`Uh?_?K{H(r{ixzfTIGc-pp+L44|}&$;$k}3FI!eMd(6G1whBIHSa6uzIT{>~ zom#G+wk>3i65$>+XuxFnP~ljc zo@`XdJ^E9+Q%5s#d;QtCw3#-%;$HAtw;av#7mMQJGj6U`fnWcZ)^JLx5GZyB(`b~E zZKe*s3$FriaZu^y<7BIpSOcqIi5y%qi4=sdeFTi5)Um>nL9!Gn6*j@8Wb|(zW1Mql z^Zl7=yxSUn5;d?@G ztpIKp5%!`?gu`4ASPccplN&o>2$O<+??|B0Ju_BRP?k_fBWVKzZ z+-|nZ{BO|mx4sZh)*nO*aj8twage!0qjKB{aeXEOH(L+YXp(>*x+0gUqCu_waN$?I z00${7`IBc_YAujibO;tH`ls>u`F_*a;IKl$Pb#z(IdN#A+t3s-1l!_Z;8iauMW}8^gAwNVRck~PR0O6t;RBevXx>Qqhdr4kMdO36RG*k_ z=1^|DUC;S)50l@1$6Z&;NT9`GZQNieLR|e`?O9O z$#ivL9b`l5gqSz_Da)8h#Skk5>ME~1J%MvA#q+9?s-kvaF@(FIT*jIXuxG|tief07 z|BwaC#(9q1Ngwxc{${D9dp>s89Az4)r@W(i6F+5RYV4|TV75y&pkRYx^~MP)BQr4N zoaOu8P0O|ZwxzaAA#!xGvUy=u>sjNyRqy>f-&=eJf8i$Qg81F+FvoQr5%Oe4YnF8~ zQ*hifq%3GiXk7$~7bl~RLI?(s%8@4UI4MLkW8hP!c6B?|tfR{#1)}PLyx3i2By;mr zeMOWTuUMRU#FHKOSOo50H-^^K?Ds*>$V5?$S^>QIQ>mEE)Fdz*!>~a_gU?OQbUjGo zMVzE?5$u-}1t!>iRD+FT+)|7fi;}uWqlcHiz|h>3j8R;AuRxz+I`rB0#vmC zkhh&#(Az2a(b28!2zoy@Q=7|WGFBS^mI2ogNq|ShkLiMsTQGKrQVgMQfzv547o8A@ zhu}#>YE0Tep1>Vc`FBZ1ngVBJOnL(=>GCIuyhL~*auQNO(6o!c0KxZRV4CzzSP2n>3G%~A*ibRlSP>m z3I_unLv7CqM-#s2f8@<<1{W%L+0RFkPcD|S9_#N2DImN%jV4hz8OHZ$Ta&CdYoFp& z?+7PA2b^%ER1nZg>IvbvAI(&nrKWu^dx*O$#1jdj@i0mg{z<{!PUI_ zkhN~t@Ros?r-}Pix2dZE0L`L3tfYP$?(Q`Ttmj`X1O5)o5|PtUo~P-?se2%rv!|dF z@Kf%!nbw9=-k ze2FGZubm0*Hx^LM|K}?{|0+Xwa|j%5_jTcJ%*oT1Hg~A6IW=5~2}e)IQj+)=+8yxT z#xo!R41HlDBr0_~@o<^#UQZ--=*94#Ly1gxvK^GY(~>8+70DM&jNFx4-m!Zh543&B z1R`Vs@{!`H3oeyvB}E6J=7=(RU5V280tMI!#PxiV20dIi2Fh)Y_h$YRi4+sZRV-%O zaE^GrD|<6#h(P&#il5r+$>i20X9}9!3Ds?#$>dRdEh1dm?lKRUR>o_qYY^TXm-~sU ziKU!%CHc8{P-tK7+fL!SAKCpPgAfVZk2764kmX%jHacuEWBAB~+2I<(#D{=b_6Wbs zJa9D2TXeLE$Se;X0qXr=t;37rF^LwIf`m6vZ+^GKkdxj6ms#%R!cKO_iC+sIy+xS( zoT>SJLAvc{hro*VU-b)iN-(qk)A5P#M;|}gmj@AsQF?SNv?oe;PaJQa=*}DwDQr|Y z;ZLXKi^LsQ)qajtOp~j|$AXHtt`?U88GF!;S^7|NVQ9e=a``=&#A6hObCm*!ao_hp z)ib5v!hd4dbj0k&x(e@v$d7d9r_29%U2^c^Yb;Yx3*=LVV8NJ?%=l#`@V z?KMZrFX1SyjsUX7*b{YG+E+8nGh+6v%h-S`@h?Q zuC+ov=-m?K8H(`X-bBt|-o;ap?A=}RhAVA=e}$o^NTJB4jRzuM;LgZWv@ga=Q@}== zD2H^x$;nZ{jGE7XF`f8|@em?kefAo*B5~ILf-iRMCJ02!sqKOqE9jjMtuzy>e&ni6 z(i4S$5mz%Zo}vyHNaD!nqEAE2o{BU_Av)G>wIDFcO)qyzzrNzoXhp zuj=*4czf}9XdM=ExxdQ9!Qk7yMQQ=%66_0biDZ-I-kL*szCn5Y;CNetBSoH-gQ-qK zp;F+sm5oKPbWy9smX8+kAS#OsC2Yx#7tAfu@_?6?bN-z&Z~pqHW2|j-k~OqEj__`* z0QtLH*{`3y7@l6%1!@{cUrE0P=Nf)eu1&6OHSAAMMp#d=m-0mNVF0s>e@hte$6nfTqhib5S6ging!}w zEhm3%^*F{G=#r`Ko8ar`>mJDk)A~3z(Ln833yut|G^be$m)D;yqIgwKZDT5%Dd4yO z)xOfulmHfTyD|yT0+U?<{g7)DPf~<(KZV{73Wiv>oxD=u!{`2Cep|Mb$!{h9fd*FE zc#~qzI3Xr4SnA9u`Pz;8g{u^E#8L|kV~oqn`R@MlHIF^&>1G+QTdSI#>#^>K>*}N_ zf98meCJu14_;+Z!A^z4ES+-K?<^$D zXDLz=GFrwwAk{pkc#6Wg!)P)iJ$6Sc{lalWiV6@D+>bt3g!x4d7-4}?BXlmHA19kxjii_wMK#M@ zeVTxgsTcR=0GgC#zj`DPvPWj>=wDG;(9Kc&4>O66SnN2qTk{_k-aLEtVpP zgQ~lq{TBHjex;DsIOS8QQ&r< z-P7x_CAb>VqPg31W4Bl{T&9atX_XV)PfJ5S?3ly+uba~MGD!xDtw%`EzoP+SnlK-@ zkDa$Zah4gjshN^BKn^BpG;g!^*$-tN!8AAGzWiy{ge@LE){^u5=bBu`c_G%H5LKq1 zwZKfjm3EDPI67SV-u&o5AP5@HeFSZV0ZVPX8n_x%k~l4Oj$H`$oL4)|c79tgx3Tjp z-^s4jF83pg`5Z>G1r7O78sSOW0<$HoYPSsulRx=!u9rJTaUpX})xMVMwV~i3<>StH zadqG`ydRSR9<10FCuu%IQkE~~!&Z0vQy`hT|3Qau_YocNY|)zy+o*D?txeiOiz2ih z)h>PZJTjv%wctMD5me5xiJco=b)*LVV^Q+$f~M23t5A&5`}6%bHzV8{@xrK>zL!i6 zgRd&`>CEqPKF4G}+4W|}O!$~xV07P#piL$_8_qZfqA1>vzI?1uOS9pNp?M$N#g`fx zl1-C(mP)U88Ekp*%=W^;X@l*J!Cc-=ONEm`PS%beox4K|*;4b|Y0E&}AXAweCyQo{ z@?tB-_f@Vr>uLmN>C-Ch4G3sIlUMK|J5tt;Va-14JoD{9GAkxaQ~Bt5%4<4i%Wot`SG%95f&LajsuA=F>~M z(sT8K&H5*!Zvj8;yGUF@7fPtY_Vf>Jmoo7@3URtfkSl_-iKrCvie&hlj?;Ziw zrbC&2%dNrC&PKR%!h`$W@%aJV^B&DxubjVdsgIL5yr0RRtbita+OsrLvm))dVih$` zBG(A7Ma!ai$MhaKe=z|ZO@e`x5LgqYT7oPp4ZmZxBi5rQXA19<5irBBb9t{*#FDBo z|KUYxE6#GrL2NcgjEq2GcI>RBvPrsoKw4hW+1d>;+w?o_?*e<3IIW1!#eQBKg!N@) zX-^*NBVSY^H~U1Db>>dtXUdx@%$UrvnRBP4!$l)vFi;`X8yiXvYANN~@p5oZxC7qa zQ<;PW*Fj=|gumT0B_Hl;r%;*lnTDvfrn=y?Xgfl%fdgoX6f?$kV0*2?be_WhdY&FE z5JbpFu8WLS?U<|l70viCbq8hxR}i`P@3a~5R=edEj|$xVGK~lQ`>#gO8ud&Rz~3~? zxm+VISRn?&;qyhtC`m&Q?Os#%V~|ZvRM~9d(C{Xje`bL9B12>zzh*5BdR0%Z;3-P6 zlE$yv%Uqya*{SHOTwdSi?;TSpO&SF3q!7jR|8{ImNRs)ug&Hb~9m;BM%t$oTcDhruyh^1Q%R5E`=k7lto8=}-Er6=NLbkZQU^KyMF zR>enk2<-E9)qvJ{F$W{E1Zjg6990W(HS!kw&pFMul#PPXxS8wJlAKG_Xal%7_E^$L zi2C1Sc(;O%-O~EuJXBOO?&)o~-7(B2yn`v0qVJI~l?z4KP&Bhoz;Lo43kdbNTdDmr zjHi6>iqWd0IF-e8(0ZN>iWAutw**KLvSr>pL+9%o787)DnqfPEjO(oSJ+h9JKR}pU zwY3g(x_DyBg-M9FXfoMlFRh57K1AwUh6dWIgrZA)J42{jI(a)V4g`pvUKL~2bSKqd zl;FQ0`gRnN9x$|Jq(RVzOQerCv{fvGGcS{ME2flX#Qb&gouFJLP)wf7dRC{3>yEnE ze&-`qaS~M!LKT~!Q3Yn*`iKgr4s}fT7(dv1q5C3c$`R8^8;meTDAFBHVnQk?MGov+ z$OXhR0SX-8b!P5f5UBILH8!4H%Aq-pgT?ll69Z>vcv!zRCOq8hi-d_TnHi9OQ;wnvFRlV9%`?20Oj3_CC)V3q{&Q2w3Ur>qE;?JX1JRl)EJ^glX{P-NvqJ@PP7re!3096e35&MC)wRz+;$5kJd6LX*rn2B8K_ga5_l6A6tu z3?``hbc;Ra-x=U}8=)q|53D9MQgN#oUQbSjP0ruKI&@VWfAT4vAal2ufB05ISLj;d z=3rMd#d{T%=YM}LgjdN$jc@Lpb&X=q&;fH=Kc1`rgDFveaq@`K1DRt!P&xygk*sZ^ zIM3uygvvT=51sMmLjh;;8oe|vVH8$eTq^vdQz&?yM**pdki6vFw$(xKFHcJh*EZ2%xe= za8E16nQNHNda*{{ZhaixIwUKO3S0>0bQ~(j?Z*}2@{H#XO)*{EU?hIs>UFG5nJ)>Z zg;zsQWtEs4sRfKHWzl9j#u@B{@dOSJC6((#g{Sg@IZ#qJIJ2txNXs)xq6mH&;L(v3 zi=>guZQK;Z^_SJ;qySoEY%(JzEg>#o>;&V-K(6*ku{9hZ0!(!bx^bA);mg~f+x0K; z22rxo#kovEO8$tDPNMOG5CNn`@N&U^RQ0))h)$Z~+9ld8)AfQwgwH%856M59m0%>< zw8qUY@WU62dAwxl6`FY;hBP>uWbZ1zJ36FByv0$G*k9!mX4r1}uq8M4zBs6g^ERSJ zN5=IP`D_o*5;F2ho#oQ%LCQ(>0a|FMS|lB^U_EV$n)dx+;>#*oiZ9n#1$`?1^ zDXJ^JnGIqD)o{4!qZ0@_7Lzz?3?&?iZFz?4Cz~7WYWv2!l`uLV5?eLhs;z6(!P{ClNXo;K#-`yDdgQ*Kv0=LVZP9d8_qmwrkpLU?IVLX( zteA(vc!z5z6TJD*?!MvNR`UzV_jupX;uA;^H>luGw}koC>8aVPpfLk%;Mf?+Qe%o4 z`^-i2R&_ucH4Nvj)Ks}llcR#=go2goil-j*xR8@Wkco?89(8qjMP-^pgs>{LAKp|> zwb`Vi>wq|R{9>?4y%&2=E@LH6aoT^&UJr`W`XnKdE*79Gw`ZyVHb!ukyCPd@GH3%2 zObvAOc$vW@#y+xQ5{blPb`qQYX${ z8$KLKWT({8Sf;czp?{1bUYfnoIFn0Zr^6{Ftn*aEPeE>R-Y35f9GuqOCj2y^cn&yQ zl)1-g;c0?cjk{}rSRKSacJd`WTUAHd{?H%ykyyhhByUgwWBU8cEnyBkI-=Z!D$iNi zZTXGG(ZQXZq4~ef-vYf7o@_k;4N{T+d}~UD*$o0=Q`~guFfKa3$IOq@d|A^Jc1ZTl z{AGB|pY{&r?mST%i=~A&*INF$f>exg_EW6Yf&llxIYo|fHkNS0#<%eF+Hlg=wp+2Y zZn-Z!!vCMT5iO}JGMnU}vV~L5kSeHqUDLf-Bi|guQzSmZiFu*cAf<&KqNtcdyn}@r z;%+MDkqZZXa*CxsCV2I!OWXOhe3ah_zJGkXz_jYLZx;7Ovt+xpo{(*?7cJTSE2loF*E2-Jo9S#gNS865aJvMK`O$Z)G%t<=N z!_;Ziv=q8PIUBgXN47Sb-qi-R-9wXkFz<^S>aw}unIs-}u>9B55rW1b$(i6{!!YC^Q#o|8UY4TaJSMVN|jI=u%2S?Gtm9E!>e9 zo2&2RHxvv4>BD~#n_KFVe23`RNvcatk?SFzcfw35o{i~1FhKTxMJ*wUHei_YuSh1_ z;jY^o{&iV*so1OQooEPY zji5h$@TwUXZLGp4*@wiwq*tjX-p*(~`ul<(Yjl3EPI*UDFDDJ^1y;x}?H}w!?y-Xc z+gE0MSGWoTTbg}<`%>A*Oc-h{kBMT_k&sa-LXeNj%8D`)GUjI@VJpc?KxpVKql}Ke zs5W`!c&UWf!D9J!cFTP=x!wuU`)WztGBsv@8 zD-lkAF1@15U&G*n+FfaDITx^T6UF|&PH{bIsbNUnFF7BsDO%V7Chh_mI4-P4ZJlcO8DeEtD6ypLyTz6mQGj-C- zRts0(?K$e;;;2JDA1+jb=dAp6vVcRo@|ZNwSXgGiFU$pc%GU62nMYVk@o27U^!^6N z@8XI$;p{KCVBivHr! zHrdE=VZrAhnqK!ZW=GeKE@XC1hy4BP`bzao%i2G(w^ZGiA+!zSN$$jQaR`{w2`GSDrwah;`bo|^^?8Hs&_P7`qc zmY!QBi5DktK{cd6(BE@HxFJQngtG`_v_0{B%QOQ z>$iQTkZGX(sZ@^s-Wk|_diBjkEfzaJJI1dqk6uPTnU#PW{EcIFsQdrGGrje?7~S3!XUTWY@{PBV_RgYEHk3@XnvAw5hj>1 z8V6;7A4iH)73F_<6*39m7p>n6uz3xh_rDFcc?i9Ivss5{m+xuWGn~srr|tSx5SRfj zm-ip*`Y{9iWv3@fcLF_RgQK%#samGHJ93euvwxW*NSvj)>MC9dq4G09w1Z8J4eF|0 z%&8MI+4G3UFQT^x2X}L8XvyMhkiv{Jsz9q6$ceKCB4b_cI@e53*Zo&TU@j2w?MZr{ zhgM;!_2hZBH$8XEY~Z4h%S@g90O^1`QCr4sb@QtuVxk0;k=hP>^?P z_uGa7q||J}^lS=BcXYCOc&QtEZ6{Ob$o|*{x*vx`dyc2MIZokcSWkGLy{NCdmwu`3 zTtGflah>L7+DFEND5jr5tUz@XhS*5ltXVQPk#!@}AD4iIu3(f0=PqoV^vF)I^-l)g z7Xgn3fk*NS_vc-h{*WT9gXq)6I8mCPtGeS+|LYm2*g$WlPFhLft?lCm8%>KjQhwXN zQUXq7mc-wLuiIV_{m9~y^1V5sPJmdKZmYRh{U0~rU5xy;U-fUzK`B2tgV8Z2f*ckA z-`1*4O|!jG7?=Sbr%*dJ6R}8>g=ho&rfW#ef-E!>>>8E(^m!Gfd$Nn6pj!HV-3YmJuo3_?{K_%?XCW%;z95^JKx;f7gPV8;dr=zu=F zKAcaPzT1g=U?#XgSE#Bp=2pe_ydyQ&t2A%JdpRXgr00SuP&CCKmcU&nyo(Jj`tukh zpdTjw8uaLo82gwVts?}Q*k2-nicOB;W3M8%qj0ai@x5dJvZKM{vQ3xZ^X50S{;sIp zZ6OE2)91*yiQT;Ns5PC-P5b|{TV+gP3gD#cHjI8BYuNiO5%wEjBysFc9|ux2 zpVUOjDv?CEAf8iZKwd_-g-kIj(Jf43I_etykEq>M zQa%~b?uT|SL9#!W+%-+Kt9$rdlHDF>?Dk8n&|;Qg}$`D5)Bctz26@uyw3Dl z8k!R-BLwU2z2J7Dc7I4TlQ7MzV$>s+aTio*-`TpHR`g;?2!X|QJ1M-crF6?7~ZGL zQUW5tUjcCLBy09rV87XpKwt6UOt6G0;%5&8eIO5Azigq#i z?ErMYEiKS?0$s#OeEYv*{s+qbM@^*ldcDMbB^b^5-;e8V+1B61M%Hak=|=TP+vz%d z(QM!fXRCQCgJoU#tAifJ`Z!MSzntq2k$UU}MUJl0*(c3y6>pJye%!NrKHYPBroPe| za(Nb`7uwiOdS`m>(Gi|P@u;PFF3IFnNwHhP4KQv>#k`+PVf2N^pvB3frC zB>TcpBBjQ>7c>%lrh|9SOJ3h2A8y-EZ2)B<W}n(uz2G-_^`3n)XvbyyJ_wYwqLX;0w5tNntC+>bzolbaiNW>v_k^*_9_Ol4FsRV zev>h+Cdiy93e;3iMiDWuG;^GhHrQ;fFK6qXou{ye6h=64H zJ&n|xsx`F1&_Aj-uVe!~I>B76*0GsS5#C{9i@$cs`~Q6QNRJiSc)b0*-amu5?i*fq zjc3+2J^y|u9wrFp8$~2B(N^=05taXl)3X?uf9JoT5PCZXx141U70691DhlU!I8YL}%7f;@Y_D2u>Y*GR5b(Clb>aK_6We`cUPT*h4W+Tp%lzn{Pm0%f z>Hgqp_`DDoaU#(g)>!qbkw=O|94r6T;g+IZVb#QTb18$KcEonZRUU6h>-4cd-G*aN z_k7OVnW*zXvOjlYca;)V=)tmj!^o4u=>@^+zUJyx=$$THt23RffX4eh!*cg0?g(m7 zS-T8I1dX2G)E3{e)Sm}`uh|C6ZxN=XCWzOU^ECvkFJI)L3uAdv)LkK`M)&K{LUWCp z-n!s-uysr9>}@=p`@r8Ii_PQ`O-KHI0{-hoq$f|&7zcw;zC<`B&XvUY*rbMD6Vv&{ zE@50xXMIG@zr8`v?o%HMrc}GTx?d*X*Rq|77@$sOF+LuYKskB+I&P`ZZTbmd|N2mK zZ(S#@>!iqA`c;5bJyWRd)c()c7A6M5&2-N-HC~$eqp|0RqJ|uu&Fv^#HoR*j4v;GC zxJHa#4@8VoFRV1ckKRsTs%kU%yM%xKAjkGR`3p)kt3*cBspSUS(1B}4)azlj#$@6A z@2F~Ak64qWdLjrWK#ZVT{8`}eP9$q0^+#+5xEfb&DLL<{T<+q@jEXC0y&xcZ=sMor zdLD@(dvLj~KLs%sVss9P>Woi3O33TChf+*L#zt)tww3iyrWaXzJmr(w@Gg|M_*kXC z&P^akrbBp*^SDtp&)}>qT*FQddmabV{V$I{1<(~fj&X~}>zcY5uGL`VN*tDnYG_$~Y;tlwe z<$V)|hMZ1gv!;(TE84+aJpvtRw9a`y)!XDfj%Kzp=H;8xc9s!1)~ts^4f=+jh@f+# z&HKXVR&`3VkTKnFL*g}S3GRi|n)x~E+GY{K8MnRYAuXqr>gjJlFIyxb{l=k8A2_1F z79mSCtkEz@d{L=sr5Wz5zWJqLKOEJ<22|mI3Lp2GV6gd~M*W@~;B!a#iX@Ip%0mjO zp`ds|mzap3)EO$TS?s>_9m_Cwf|XM2%Lg!xh%w^*xb3HSoUrz@eV|l87A82sOu5w}^mph@)5U0%WQ-PC z(5;ynK$5lx_daphr@H%8TEn*Af}C9yE${Bb{WO2G`0&47(rkG-|8wFYGHTF~!d^9! zUHyY#av0D~K(9X$Ddi4NM$@*&N$enNFc!_H#W{Dx)>;B2m4R)swi7_V6?pWAWCWxx zJ`}e^6qaYiQ_~`9QxT3oXEpo)_w5sO5d`Gg?x#Z7U1&f8SI7j^C=~y~lHH8oC1&&j zYz7d#lr69C0lE1H`SUQDB@XltaOe0p1j)mnDi3Y=Faq~=aH|4QvfCTKhi{z*hniui zz4-azt5;7kw;lUD?hgST3A!T?Rs@O&K%jcLrx&GsMXP>_-q+Q(4|PbU;1~w-6^uY; z8O~A^6@uN7?x+){YNV`#g<^7@qvB=u?a7}^#j5FgqRLmihM_T@65zH8{lGxdU@lN07T#3T`)BM%TF% z@1p4q_FgEIQ$Y7kTb{hht`&M22KOxK`&MAh)rxvnyuF>fMHnW+Z6ARGEy)4B7E4nw3 zZ7kAY#vG>-1?<&EkZlrBh(Bs^D%k9?@t@LoASodZK~f?~AcG<)sGQc@5h_8He*4s? zk4kOYQh`ffD*ytlR*C9|hrE>0$tKsb0y!!%GXY9{it$vTCjM7_LErl0gH4B?bu6`ddv*bxK$}LTJLprO za@W;cFec$QfCKcKWzNp$1f)1}#Jr+#PEeG-67;5wsRbL#En;|ODqSC`M-xL!t(93_ zyj6X3C;JDru&8aksga1CD$u!PZR;8kxpxyQWVS#BO1e>d;+7r&wJ2-ru6|9je*Y$Vr(BN^uB8uBZ8tkZoCy7kI4DEq8$weKA7M;7-ePN7#9sE>SuZXk=fi{wYL4D$>?dL%=v=s0p?!ZQ7 zV&avp2KTNP0#jFXy#Hzw^w=LZfIlifS?%j!(^0@`S^p4B$*~AW&I{gF-h1@bc_V(7 zd1PSZuVuz`(x^s)x~IE$vf;(urMB>rAVCMv+uOPRN5qL2e|$MRev+_JWu`K-h3UvL zxP->(Jj?FoIh5m z8R6Gs=Sh@~a*{Dbp70m04&P?;?ayLBd|btHNbsMBi~j9rf^aDatspn9XhjJ}7?ep! z9CG~73U|?mP$bBuLs;eMf9Rk1RQ6C2ErYP(+GJZ^j^X;5-#PVife@^!@;u6IgQoUa z#Oc$pQ0Kd46MxKE+ymxLO6ZT0Nee9pfpzSqxoyTP*gRMrAv;ULOhQOeyYstt{ zEAeq2cTTcU$6ZF3iRmQbt^2i9sPOqq0IXCI6uJ~PBcJo`Mvd9HfHoK#gxM#>*bZMM z<~Iz!zl#3B_rES}iE0kmJ~gOja%|Zv7W%=7*%aV~71!7nfr=;l_lN(-blEfkisJ}% z6)zobw`spl?gbzf^)=1|Uah_^HbS3vONnyKX>hU#QecCM3?+sOL6M_~rr1(9(4E$LC6X5gz1mK*127PCk`xb1RohY-*j0e`SmKMPh_$skpQ7a-S_5FK`Udk8HEYLp^Kmn?Q{hb70fktum8pwvl z91eQW*`3j=!xo+#a{hD-YM=d3={fbV#@DH{`tv&v+F}qrQkzEjySvr_%ZX zSuLqO5Vwy}@%wToG>xzyHSHkh4{pPZx*)d-$|EN~1AdZjNaCb1b4`+mE zEvTsPRsYa6x9I5-^Ojaf+(tg97?A<~_bdys|61>SETasdEhUzWNAT`P2Q5M~- z6#AxN2?4yr>;~j54Hnl0cbyeviY!nl;eqJ8_uTYx@D63|oOWXR5*;_iX9DqvL;}SB z;2~o6k7YhQu;l=vHoK>uz{foEafX>~PHwkk32>H(){72)5BaBdmKPmBlO zA_m9*!5kZi;lwGF1TSVZ(Z;OJu#PDYk?g*D%XelWk`vR-3E*;E>4rn<^qvV@YaIg!8%U z1#!kj1^|BZRVSnoR>iQxRKAaI8L@J9uVVi@=ZRKCtrGqyBKO%a2p;dL+?;ox4OmqUY~#i;!rF4DF|_JhdI2JT( z#z}gCH9OPbcoV^3r-mi(j8mqFpy?WGEZB?6#jY;qyul{Bz#jagl3#QPItqfruOzNl zwb18WyY<#{$D(IFL+iCBpMou?vsOiK(DeujNrlA`A@S z7}P26aVO^k)fS8)YS5rpa#lP}y}_f!^`ql*AdT%*YOEh)J*iar*g6JkHJvBk*DnLC zReak_T904z2Rutu)tEU(soX8nfE;cQPbK>F9k1WUt)0C6@QG)!SNXGjHEVsfQ|M1J z0vamji)a5D5)w72BZ-42MX?jQBqI7}Hj{Q}0UeZwpcRl>eA& zLfb^NIdhOnb%UN>d)XeFjUVtGWCw*;^>{XjQb`O=7+xl}%>zIxP0QCfV}1`DJ-v2w zgS3daEH{4((5)=-A(XFpQU$bey#IjJ4g*AvelI;Al|R%kJz?;AG3Sj4Z532vd5UYC zBTRvTc9CjxEOU-~c)nePEk+X{azf2uMp_9cEMKa;r3_F#D>nbN z;FagLhl#yPd<3o*7ia4){}5bDp%Hs(hM$Or5_~W=ojFpih!+*Izdpib9mzl^Wm04) ziQhr3VMu~%H_0AyjW%ikW=Q6zNGj(1U0Mvhj^Mjf2>h|p9p_NUNfFiJ*+O3}Y)a~T zojP`(GZ~@9vKa7};)4ACza$O;i4!VBbjAYANneVWl=RlsziKpZ-|O%E(9vl$n!dvy z?PweIrdSb!9ghv{g=VF+4VmE;0X6s3!U2)dViK+dNX1To5YSI*|C=HA4+H4k(cT}* zpsUqi_YyHyH#onJ9#GiBWYr6Atq|dyl{+ovi^id6bP#ek)A9P8nr^1InEQq{#pvGD zeB_PvPO{A?P49pXS{^zSL?lI6uBV|Mowv8u=_Z^(jhFE=lM4n{Xd7h0cGfXa<7K!c zN7Z7MWdeN;a~|~$32i($>TeHj`jPJoLHz})^9aO((&FpK{W+vSarqm>qe!FlX39@p zF@|c7vW0tfeYM7hqDIxtg{rU=vri0a`)|!O77Ws~c$hCdDOyIb5i4jG;ogyyF3V7; zlOJomy~b^h7h}3qX`2tM109Fi+}y0V=TGBnp0O?A!A)IKq^;AEa&n04_zH4jC-kQu z;K2%SVYc*~rIQwG28{3XhWRU^3L~~p?V=ZII5-6RfBfgHGOs!y0DvhlVgDFimZy@o zt+88$v)_-Yp1@dTCE~p9*+S1m!J-;=OKy$=xx#UviS7k&#tXIWN4D!5O0(zCpInSGwI|eFgs+^e>~n>$m@`=Z`MhXe-k^7u^FYDjE_$(q(gNV zrIDm=|0w^dTn|3`32+^l`eN;*1^xUmErG>`8rn_Az30S1MyjViQ(JS0-aIsP6@#w z?qMNqIJVAyKPQnaoZjnR;%7n3u7Z|%6-MzsuiHV+{gS<#{)1KdpPxTUl8QFm0D!o0 zQjC^dTleQ$lV7#AUDqmE)O{Uau_#BfYJ0QR$?=AbYJ1Q9be{>*~0$J2X2!7Bv)9nlJA;@Kly4`>szMg{n-lVawR8F zzuEW8g83CLd#o4@$doF;^ST?eUtOf}s3W25fhk!o16HP{O+$3Cx<41&(_K~}p2(NY z4rJ7C$8`0G;0kvYgozbUu(*mx3nqZ!w?Whn!~3-1Ma`#&j>EP+=sZqJ3;d0@n{juii?VM<^VQ%0z}HWC-C5a%`b^s;APsrm| z<-=>42}?$UlTAZ~TVFREQe9a>nN!beC7n#ZR_ctwWC|=8iNRX$<3eQgq09-m8ga-79bg`817K(XhWTV23{QMI&ypyRWnQ& z!E9oE!4#TUu{RI;v!p&(&N4591w2806Q8C#;{=E%VfK82m~t?g-zv71Mv{jB0HDFq zTqC2#dJKk`tJ;x za+WN75b=9J8>@%#s5}U!ID46P{D8-M~Qc0a1U;uz!;DPSh*|-mohnVKGYRkyl zw{y~;0=a$Sjy!1AUZ#0k3IczOjW#6h(36%r;-g18mc#pU*a6+9vchp(B zolQ+UpEY|6&I>-?c!pB3y?U4aON(GyFPrs zaFcoI-}Yw!G8}un#S&fNKX0tFZ1u$WEAc{4e0t@RKvD}$YkYoxSQO0?*?m0f<8)Xd zRvP65Hl_kUmQ80qJ7x!~zo1o|gZ{yfhz&7xg5fsNWpc8qN!N)bN@KR7ROS2Q0s*&{ z>yWPDOPDM7z%aqrY;-u$QI(jRTh^I?7VOb%m&dq7M}Tsv)nE`(d8i_ZtYG%z?u0fr zfiw}(VskJn0|XSVK;(~g@a0C_;EQqU(=OMXXrjG*R;OF9*!)- zv6h;$xS_FiybzS?~`?Ehg&N(v*3E%dd%WwT4(XY#2hxwB~ z#KbHB+{GRX4P>AF*z@eTANvj(=GTM!YxO(y4X3`&n5Q3z99i1XJ>NQ-(OT+|@s;PA ztw%5>j|c#|asodRGyiDZ1()Neu^;MS;hG#5Bxk-q;ML76d#>D%fp$5XQ~~0T*Kqt+ zA`s1Iz}6t_gTA+?Q0is7Z@>;qOxSj_v5A$IuKlQ$*}J_rWXYv=_z8O}(mz?kXtZq- zo>E7!NC9VbD+vZ>nq%@Ww}>UV!d(S%eFQ88`HN|TZr zPPJ@?om7QzUB}DpHxk&7)Q&rM50f7q(qdn7VHdyh&6ld8$d@h<8jT`?x=f51uP>!} zCzjRv#<`^KJvK<(+ZeY^F$mgsUC1#{fV61TJj z=m_u#X`f0e$E@nfD@0EzQ@aJem+t9^JMJWooM3=&M;a&BnY8Ik08uE)W%hoWJgwqY zsAyIYW}e6Q7UR$EP8&_hVw+ZF!petQ3%q&7LSbs~J&O1VRRG*fQZ8Y%m7zExQlk|U zZgI-xoCJyR3X5Yuh+fb;+Qic+cf*tJ98>_}`7{|< zanfG+{E(-S)Nf&~2xq#^&EW9el=5Y+D>s@?fJ_>n0W&G1du6(tMHKR zb*5nQNyUU=huY;#+%3=`!NeE6bZ3sBCd%^)%I^DOzP5BepRF(l{dS}8rtr&A;fg|j z=yc<)Lk7AegP{_X!x9P90tw@!Pp*-#MkD$}PV+yN6Q6cPfGJi$=d4(eTu$3bihN(c zw8g59mX^R6=0{5>mOM~TCPnh#Gk{7`>6YdO7!h+Rwnh+e3xq0=P5$-6NEogS!r?hg#7o-hBp5K%CmhIKEW*Q4GayfRF zT->eAaRximLpGO$yu!5#L$oT#VAmYG`fbfRclctbs@=Ruz*V~FGnLg{_{mx6p$d9Y z@wiuBLT|P*qrJ1H`0~Q%Zj@H%7fK0Rekkd5ANC~CpDHP)&x?ZaPrv725YRqdhD`SJ zK(tvSQz8V~Snwi@lee*=oDW-x>kLKjg5M>HFx)!X;54Frr|a=r)tja;$nUH z$UnSCEPZ~hDiYrSk*5jRHMFKs%5BqqK3%woEU?~tgT0k=;-yHGVpcsvC@o0)jbK{( zq!JGQ);dJwp?qaHu$}{IKrr1`@EO-bO92ifUs=VA400n!jI<^L!xOfAFN@gQQ4_4Q z6&6AxQ_t%wt1T$@+LyNrO16>f@le9p#1jIyk!oeEVxO>9)fffFS1^v*udsjuIDkMSZd zZfIvL>3D~vm*&_rVD;%-?m_hxBc~8I6sC1d12R_!>6_No84UYLwwdytKfvpvb^!}`7FY5YZbv&KU> zKj^%5H|6~6xTmWg6Q~cJ~5ok@+JMwJM4DLCr@McXm=Tg zy|TJxUHXKKO-~s;IO#fwgL5Z^*V)2&`?Et|4gtRemLr`IfALPG16b4k-4zeohd5;s z`W@@zC5E0WnFve8Q3uqtrWT!dIHA$Y+K$|?%_xpbrygGBUhTt7uRip`^Fd%F?K2^Q zcdFQcSG)kiZ9Kj}#wlyU4%g6+s-vB>xr&qsW2T-aABH};|MT?!VM33&`Jbl2^zV4C1rM&Zvs`# z%V}~%GQ>&l?%ZU;7lQ+{(XTF;GTv$LU*chYEjTH)sX)N#qqgpKYd5ORnu(67+Beoi zv|JR`Ga^;U?h9RftoEwm>daAOhs%`z$PfJ)xYo#-S?tYUJapw;y5UW`z6^YEbOyvU z8Rh<)+&6fB?>D=ygk%;v>ktLFqLPFCD=Bmd(73%qVC=>oT5E5Lug z4@DiDd`>GD^~Z0B{WMP2K$Yw(H+{c5orY~>S*|>^_-!)E07@EVU3#Ldnzp2zQZ;A% zn5f1e33{ki*kGFC-Vc|xp&|0MDj*Sgr_<#INf%AI!jH%L{PXv~;i5aQ-Q zME=T&(#JfPSmj?5U*{vvbbzQ*KYTu@O6(tT+o$AJ+hZ&Vp^r&765hB$8T@)C!?03i zL$W!K>j&Lg_|;(ONBd};yYxSl;&Wc;j*id@`#1^H--t9kIWpmJkEGu|cV($}0YlhBJc*Phc{n)X2=_p@Dp^K6VPwm_=--KHe2C%xj`%;FsI(S8i1B( zOX3vYTN*ExHp>Q|V47}yU(Bk^dPn%?mrwB_-R8q$%e0M`7H{m78n;CiJpF)H)`d}*gB!VM!no)Az^ zgyo8{)bVG#(=K-?G{|b=r2TCk05ZxAJ6_V-Z`yksSz)U3(+*2gFTwoWyoY3K<|ukK zFqS-es@5q5JRO~nD9<;L7h|~%Wz@&5BP5N!dlk$KeuDH3n{E3#4q%Vf zrcQEM-eM!%efZu;I{K17>vGZ_lMxFiUw0J*Lcu&C%XFOh^*PsIYX0k&(Q=W`E7s)b zA8c#+<~zwqsj*<9JLKk1VMqVggG{|ZcJeHkj%SCXpC458@qEoVQ$;a|3l}gvuADrF z+-|0Oiu6*T0VZLPE-BfgLz4=<@7U`PMhp!7MvH6jW43179kbCB`@16MpZ!v;%&8F3 zZ;Q=jOgxT!Z{X5_Izu-`a6B35qVq|4%eLH@0z|GaNDJ3q%TGva@|TVOiEtFwhr)m( zIHMlAambzv(nW{Knk6ml#^PC|P%5TZ$U2EM9|}A)uZE%nTmT@T6S&dxMiYrT9_C}G zpd!$r)-xoERZTY*%UAW7bv;;do~)Db%aWAXMy;rm=04>tT*r^XWs)@{PxY_;>F?F{ zMP4?%A2HoY=kQM#jWRPGPEw$>=p9p4{xY%|Cy|g+{Q+%FI`{$+b)HHdfO-2R08T2P zeD&s1n8`pS9L#-)L$rQ5ZST6FxW8_T3=Xa%jhiv;?+?+jb)Ts| zJL(X@ZREkjrTny?YIwbBBKRyC)H|0T&Ao*is@GY@n8_g3-@n*$Ke{drzdi1HU%=l$ zQn?l8I)s4m2*((jrB=QX))7?1_iA(@f$@uz-AI>?LFQ?Qh#Z}X>2PlWF3)!cxX`YN z&b3Yx!GKP$r%dC+<{ zw5~N&5q9)l>3MLxcpNVKb_YKiId0nZEH9j%28yI>h79uAE}5<*jIER?V)IyLB=pW0 z&DbYYO~)F&lD2uNl)#&;G^-B8J^&Ec!&c=y=u1INcj1N04@g2X3Z*B-M~cj+KePih z5BNQBg!dMQ-}_-D;*+ze=+Gvw9EC8KT8{3)0$R>aB?Zif&yzRUM3fTlpv_?XmZL8~ z1cS7WHdj{vwA%+?*~$;`luO8x0W|U(Ee_d>kuBoei^yNFTlN|H}t7!jP!Cqf-ETO=)y$Go} z1<T=5ELe{{p?CBZX^1I*R<<}J8J7`uO+X~6UNk(KF z<`Rn^eT{>cwm@JkATa!GyRMeB9-}?j%A$zJVcP7?lrI-RzNY^c)P#GnegakK z1216~lftH+?`VI>EO*HN5V-Pg(KJi!cyi#QkaKv-V63(U06V_luhaoQA-UZQE!jxhG+J zr~MXA=n~OF)anCzut{i^&#TT1|+}lXqeG(zAIGVauibE4KVK z&FWA#Uz+#KS@H~tTu6sPxy{Ih@!7WM*$MF)bWJ^9sI_8?!Rht^5cPn+RKD%IXtYg# zkoxEL7T>I~($%K7gvi5QO_b;p7aIU%g`)FrE>2G&_j{EiC9FIf?nbIj^V;Wk%v$MA~ z)VKKg((0`#HYOMAGfGyy*0q1I&<3DNGW>UYDW=Jm!U`OSNN`7Fg?j< z#kTl{9D0<5spU({TiTcKWxa>%ULEp5?*e?!XkXnkYj?~89+%XlFS9(iU$R{&21?<$ zFe3Ndsyjy6P1}Z+WJldG=T1}pLVD+W!tq@NZxg%Q80k81QgcOpV}pqgJsvn_9&ZPd z$s2GvxY~862G6#zl^~dX-ud*Ye?zk7j(%!k+306FmJ?*6C>YIMAPqJd(Bi_Gds;j` z`^eD5XFa-R=0We$9UlNWPRKljR_fIxGrE4y(SFkR zT*T&icC@yO#g;&UL&QK`2B#(S0V=v{G&fQxP_B&+HC~oe(sco{*H@dxqBl23BW8+1ClO2Ek%plda%68VNCJ>L^9p@mVrx5xYwd8$)QcSlF;J?@yyO4@2EREo~k zh3sc!vGVQJg1td@M={!oCcs^EZbt%o8d%FAKFY%`&_grG8qHe3dS72wUD@<^qR_%63f0x7*@L6}^ zAx};ZX6kaagsxNCY}Q$pi5{HaOcx_;pL*dwhAOU*>&qUV2IaT!6nkGAjnMnq`QUTV z#V?70?&pny9-|w|`8}N!h-N$X>!o3%lEr)uw^LnhoAj7bHO{MPWiTH-P-%E8MOCx) zW;&uagXafIvP){2ryiN-a!A$v3UIqPhj=H#;EL$oV((`LpA@Zm6yw*-)_D}noUu3U z5V5Sfx4vYI?)4t(X$ki<3xf$X-*7B@cdliIHkFFKPl%MbH#Id<)Y6YAvl|l3G4w_d zJ_+^?DYDO}hwI+fdO`9^aH5^~*)vJB7KFFs&F5rmH9Es-IZBJW5bCJMV6Rel_RSqU zu_ZVe+Bi3nij#qWUqM(xo+5UTTXlP%RTSA^bpA{CSG7FgDIVjB-J6*>lfoG?WXas5 z=%N~@uB1B@RzoF+|AkuE{y{CuF4l%1b@{iZh6eT^C5N~6*Z)ge=o=e?)WGimhT-Aj z07)2vjZN$+pRsd22Z>mjTiGgE>l+w?L=7Fm28OT2g+an#dpiX~TM;V@Yb#4bOM6Og zkfbF*S=Lt9ltpQzZ*FJ!zp)r67bhpzzjY0;?ExY)+fN;0;> zi@}c{-#fqwiC=4Ij*J_rOCzP@Axn(T|fjxR5sz~W={ul)VLqG6^@SQ2A zh~R~HMr=InP6F&mc!xNhU4J4^jotQ+6K~vu+t+L?{*#z1F{3K0@_@SK1`WOx3Gif% zl7$UCai^sX9qmjr0WZC?38W`Gn}AaB20r$$4}FHNr$~GO2Ku5mJb!}K z3i1Mla*G9d@$Y1g1*5!Szux^ZvnE91YVxc?zvuZU`J)HJT;wN?C1YkNZ@8pLpa+Pv zJdMaLzM9nSJT2sYrv4_@ME$y+Q;ZiIP{s)gj(U1+U1`o5JG+j2ljfS!fx0kY}$1 zuE=dhisnl<{7zb?+Jp}6dZ8W;s6(w70&OK*MClsa>|VStp=av_I~CiLCl=pfJTXklb)|e#35rpBL_4}W+u|#UfPxrqcs^tabD0a;O*|? zc;#R1c5|t2Mg_ug?XXZ$zfv?Fe!;TBpI^~y6ymkcA}xQ@{JtsHY09SK16{I-F7(9O zrnRjB6%@SUrI)Z24KHD}I<#x4U~C%EWN?t=@AmU2JZFL{kgVn6q&8 z*7J1M7dJaI^A;!bbah#p8%XOSj#NR}>C_5sUs$e%7aLgg~0(JQ~S z{-jA>uj^(C3xkKzRGm(I@GN62vlh|GSL6QV-uUMQ4G@E(DTu3E0|t8kq|I!H7GkRA zX_xDwH)661-RpEtyu25yJ67EJFfXlw44&mREvbC&>VUkuoUF7U8HX5jbOme)xa}-7 z^3;j!@IRlFM%81<;}F#F+JP^ngN$#b)MxG6Ie8oeDUz>Y)7`l6aSFT9={*!svbZ8! z=v2zw{|riDT=>vxQ|L>@1~I8j*Sc@uQuE!ISheB{1`P`5S)UkYtu8F2=P}~!{_>*@ z+DA)PowvBG9Gn=RaqN$GczH>{W74e>1{Ug%o+I8jKCGL0R!QW}k!ok*EMChu9A7e1 z)L2R8Bk4J=yW8F81wGzO=?0}Z&wnWI<7F&e>mzzA(f%w9sp|)tt(>&1J5P3dPh4(2 z_buogv0U!u2;RQ8C%o3x=1`KV_f&4{2{LELU+5JnZj+sK4AbfEL|!|q*BB`&L7!}3>Itjjo4$EU-4XI16M?T*j$b=y#^ zsZzBP-6GA32;%I9OT|kJEesn~gj*vzHk(!Ssrn3HW2o&27jdKV_*$F1$f%N95gnFI z8eSu@_{`qaO2Xrrq_yVm$c#gqdlDsC)+g3NXvoP$fs zJy8FnSf?(%WdBmdEFarIYy8k`v@p+0#{mHgt=pJ|;neLBzcictDi%;V==7~##IHK* zQgS85%kz!WPMwnQfs46yRVY$vTdrGJwQj*GuMv+9-jFjBP1wv8CnO}Ch`QsuMuO{J z+Usl;{H(~_%d->ut?0RK$sO-rdJA=ZH}IGRuhWYvqL($*Ypt~DdX0^p(kYAB7|=P+ z{gBZD%ni#QixtgIXq;qJtdRA<(`b1#05; zSAi7EXnOn2<2k-#l?|3MOSpEu%^Rade9kQyED?Ii9!C>CE?wQkT=v`By_&jQ#t0#3 zf|?9=Jlx&wC^_xo>Sd>9HKl#4o2 zBedN(CG&IM@F6Oh9)!pu7~A4f3QG`e3;xwd^8G&&avWlly{cd6o~#(R*-i zC#w+BonEfA5)2A%1-F)hQ2ekYhO?B%XBGHDJ@G7KqnByThohrMxDCa9tT->8N)9QPL{m|1!5H6I>> z72TGG5EXVfGJK1@=Ly|9P7_K>*e4|Y#W!U5NoZ)KNL0BMVXvlf(WXz^*=!8@^ab=b zF1KK0Lb~ude`IkXvc_S%2Q9}b58HcAp!qAUFfNF_r%PXD>IOwpjpeEr&XtpQ;@tW(tR-b^<~I%c z7yU}?t%uYUSJpbSU0An!!@Y3hYgA{Zv>UbC+dyO&l&u%RO4E$K8AkhMwO2JpqR(`5t)$ZMJO5H zt^T185(=22Eg>fPM^Ib%63y~b9MMiDhxN5g?e=F-H7?sF|g(gjGf2F%aC($|O zVU_saLfmV-npw8h?kc$})O#~6Hhj2PXA1w=8y|pIX4|=}EcUrB- zAh*F3pYu^KiORFmaxlM173V`{n@GyFL4V6gwf`LPBw#mM-(3@2ucK?l7$`b4pC&I6 z#aRes=E2;=5d(Wm-5LB_wo0A4$CajlTCox0&X(C4}`#rkLU{6fgGVYz{2uSGexj^lq1snpnab^vLmJ zw_qy>lsW8VYjNSA@a*+V^fz%~kYV2?N=}@lw}~}BY3o{TS=c6l zLjk;iH!Hxr#iBS<$)rfue{WF{=Iuytk(=>LHKJftSQ;cP6o3{m@N-6%j;7|>R^9eh zLnz`Hh2{f~-SWXU-0R|GcWDXTs}nB^+u^q&NS`9&*o}4FciT|Bi+F+G$8bNfehC(d zONHpaoI<}XPVmNdUi(g5d10aXLVHLh94nkAzo0;*kMfTwH9(ECDFzlH`@J(Mk^!7Q z3(#A<;EUoM0sQn@Q|XYK2nCpe_;17y-G+$#{{#OYRL=ctTKQY6^46j^Y5z6{fAc-G zFMpe3=N4ewKju&bI4aCp;GgfgiLEl6XgM!MtG#WI+^O2`vb=rK_rcC*AImyQBh(gmijX8pLPy@8zy+&en3a$IDvI_*(+7 zySux~1?4l0`je+ueW=i*qNBy?XX3VXoOkDg#`wzVU$Fn}5-GWPd7t@CE5>xo1)~#l>{j|lR}||@0B5B~Wn*Wzv$La~ z87KoTc>FVe>qkPyMY%12pAYlWFG$l7i)0q#rBq$s5D4U@?`^UAG=pFid*Qzv>j<|d z@^~9=)y)rN^yPwK^B#mmM6VuFvTL|oa}5PLqoBL3d+g^HUj@N9D;&3#!*gAu9YkBmic%o&>=_%Nb8s5 zoCjuSB}GKwdwkNCH#9Uni4JAP9KiUCDkg>OnGnFI(P1MoE#FG)%@w`n{@4Iml*4>;fl1NPKQhF_=usbEjnMpGA4Wz-CMS~{ z-pIlh1z7nP!5!hsO4p^PzF6|Tl9HAdDYmgy^yd7>4#8kX&&;Fww&4Htk(#P1r)wAk z14B(sP4n14ouksrL=zSO1C?N$(!2vD7HyS#l+(X+F&1EyN%#BRF& z!yM48q|cuRSFeY<oP#UK=!KcWxRLhNj9hlYmI@1{b0g8r^9F4{_( zny}SNKt%U%ei+M!|3X1nc-6Dug)RJ!8LH4)6;hi>?u%E0i($g{_tT|i_N(&-!$$hP ze+Q(*`y;->sZVp)gB2Ui@A1JOKc?UHTulqKY&aawChbxg;I{(9M`P~p!W0)l;Qdrd9Tvkz*WHa=^m^OD&Ce);;l$Sy(^&^ z#d(*UZTe?0J`dc=0$kDI8wQ=|L+#hE0oDT)@PPka?a!p*C~P)~-^C-Ed7qp41ZoLA zHHyYPOW?GK2Lj4P&_Bfq`WjgjM8k2QR3-gQk;W@K8#sn}9{(9gxP(%=q`q7|$l!Eu(T%JWJ*MG{2ZIm#t}9wyv4Y)j2BIE8)7!bQYELTsCWSPK&2u7Pjd<) zFYIKGakCH<&3vbPE$YS(*m$`fwbQnYYabs6)NUBWcYvvmpPP*`x%Ae%>=Rhg*PWGh zkdyE`Tf4ftzIqjq3u0z2ay#Cdn3w=4;mMOHqTE2bNd^Zrlm#(}AKgb2r7$O!n)Fx) zEuCTm>o_9b;Y!y@$Fi&>InCS?C%w0U?Q4-6nUVq`sRJ4=d3kxV37pt0)~8wZuPq}` z7g-;ZYGyzdNY-GGC`XxLSE%=@FG3hxS7Oi+YKx4Djdg1X|Dp)H`g|kH<9OOhXzx4q zURTwOD`Pgv(+Dx#X8n4%UK8C5)e1!f=q zC*2%v!%Nn#(jb@AH>KQ$G9}r(;cnXkE)Dz)BUUC!pVrgUAG3c`Qc`N6uGgt^jEjo{ z9z8b8I-IdduU>*XxP~gg42Un;hwe8A(I@?fj{LIE1BZ@qNu=F?a9$~sWvkb$Czr^* zn`qbfnYb8es;pRIt&&wW<=SDpb zN@9H%#r`+R>VPcfw`7(u2*XJ{fX{AFK|#T^hKzfA2#N6#=k{s<-TxxTnB3M#-Y|6- z|J!cmkdG$>RRA`cVq_&XQQi{VkU|2h{rr@6YWZZfmd z^QZGlQ&5ld_azMU^x8^yaii)Mm*tNiKQ7OYV;MJrIwr$+sZ=rJRZZzr zAQk$*$@{mE)#Z_6#X5WdojwewvI6dgk@s4TGk)h58x@t?KdJx*X;-uEEJZw#UW9bV zf+*PDNz59&3cw*VZQ;5P+RwPSjZ04I@r87tP=nnm#xL`~_%a=a^kfx0SPhGjg@uKQ zsi(6O16dfRB*(Kf?EqC10XE;oPD_~JnTgBX*+xm@ z{6|Kho+79}tZKYO08pfr`NC>BRlU2=;dYMcDDv(UAZNa_2d53y7vLEQ&L~HBYMq3b zp-`}9KQW$1sSdq`yh*JWJ;If!!Mu>%tT4f((5q;M!lgHUxBcUk$G+Z&ne%x=4$wBzB5>-w?5C zu;@KB^xA3EcTx1eK_6#ggkGL#S?k`Iwt|B7sD^P(;}>8FMxE|>2GkEFUFY9*0wQ>fM!pJ2bnpZQdblyFjzRLzW;9~4IEOPT0{Yy z?@ceC(^et}IO23T^!#{xY{@MRGRN~@5jRguyjmT(6kq4CL5qa0=NBx(8(a?~y!33^ z2-C~LMvgF~NRs#SApk1Pwi`}(%J}6wUgcy#5SoCSK2`RNX8r9MMb({XFvMbf{G*!X z&(z}adbdaQ6ci{yf$rp~LcpoVM7pf$dKbH!sro?Ljv<)#hI%D=;zCjZW}2X#IxQE2 zb+yxi>)Uu&`)WQI1UYehq?1VmM}sW4J|ptzBVyM4eiPVD)urb>u4Gg9EQgKJy?YaN zPF5nQq^|Y&+pG^*-*|_V17t~W(I(3>U`E&f<=Mi)uskl5_T8m%vdc1nv#qW4x39o0 zb}X@#aTAL8D9N*V?N*wV<_r{3Ku=X{N(#(a3wW>7))aWm{5mMq&1AFm*VIG|xQ&_8 z$tUxlLWbqAt|$E30#xtQI?6rt7S4UWL!9ghdhLrM{69{@U7*TpYJpbi5W>!FdJw3; zePT1dOBRR*Cyx5qpRxM-`*E+>tX)P6H8kCU78L)ACOC4!vt21fD9%_`MMdTNVN~Vg zQ!;_G)eO!)qcLU6bck<}TY>S~h;G8n8Z*+|v#S5-q1VK&zSn70y*4ManMkuQBi+n$ z=eG-RcMP*_uR;5#XN`9Yz0MBS_V&7>85^|Xp8__t%Yk&7r!3^PS3Pd$%qn^dG|u+; z)`3og&VAEs=Lwe$*?XrCePRGqhbqMv!1>W|FCgG4U3m;i(pgN`{@BQ3xJD9j=rguS zq;vjmd4TRjrOrIr?ITa|Yi04h8%8L3vLdH6N{d(cvi9Q7XP6^nms0rl#(1kJVnBK< zbY634=w9eqc8=RKm(A!D-w*ra*u|G3B`$pdA4wE!|8CB_qr70Z)#?aL8&&xd%vIT0 zajBrI3k*G(3nYuD0BY5p#EgyyaYb0krWgJG<8t*a-b0-9h<47J8j8im66jgJ-99yG z7Ir_6)2BEJrB_B~J~@w=xydF!I6Y8+-OidGr;U}atFND`IlTw8CRa##+chgpm-_gm z^?@nv=T^O%XlL|afJvep4HBlNaFh6)94&1{fp)l^9I3%_m=)K7G}kO(Ym-bM55*DC z{WCAuam&tJOHu;qoU*53J*o9~s=$M_Vew#GX1`FY(oco!vJ@{&%i6tGiP5Elo4q z62q6&0M#XgV$>}6R=BNWn;chDo4q$sEzt$4CBmV}=~{;bKBwY)-F4zXQ#8f%Y|S7{ zfHSiewXqsK2={jdR{2Auu zuXHIc18ia)Ss#w;)Y@Y}Hvl0Vo4jwjUgA?Y`~NP_wC-gA{hULcy&*6ERrB_tOg^Pg zXrKq}x4Fh{i(SVz4wC_!4l?4Ysy%5St;rM&15#UaAWNkB!94A@-2fB`Az*SETq%;< zxN(;B7Gl?!3FLY6V4^#}EgH!|l2?S+-J#nrJ>^MBee|`P1?z*lOGtEzJfs2Q+gTeE zLiY8IXDa^RWhJ2^01z?<_1I_9ZTeO5KK976`KDN3Y=h|GZV_*$A34dcPZtC6mSXp$ z>U1AFLLj~>%F1^iuu@*2KLz-~y!&E+_+JVp4CsCKN%|Etk*sCRSYr5#^X8Pt(DQFK zV?H{Jh&MnGnn!H~&11)d0+XCd?d9-euPz6@V)>w7X;?kZ4qm(vg}& zUueUcKL!JN*#k=CWa~bX_(o;M&!*u|{1k8J`eN*p3?43pF-~hM&$F}F)z-o`{6{jR z!RV6}W@Eu1=z~4f=%H&G(|t7mtKs&`O0{e0jh?kG!3hPDI6$}VNZ*LWHO4V0oFX?- z(4%&YQP})vx8xVl=P+yfJ3>fS4xc`j@E*e9)cuW(vjd;QL&4j9#VP+-0U0r*wgrA?h151}1 z_mA2ymHH=yd8U?STzqN*vPjF?HCmo*m;Gg+E-x;^{%cl?CE1Utcv~0y{S?pM`d!QD zLt#_1XPn|Ox}nlUvPD`2K$nfg4F&-}T`0)U=e1v@>MP%)WQIG;~;KB(5;bL z_Bae6G%HxeTTKxyMpZfa%*)wF8nBi?++#oi&(FNq&x3$g>-B{5tu2cOBA}K2o*u~8 z+w7G~@XBH=>klG1>hl6%B|vr^cuPsWP5xA^(OW+Yp?b%7yfL9Gd33dyRC#U!t!AM)N%0_JO zTS6O4A)~$`On1w;12sO)x9eU4nFR(k#FCG z590{MaUQZ|0x2^QQ8XpD*5UjBIKes4rvd&s`&?WBX8j-wL0CkL%Sh_FijMs#>hov) z?ME>zI$b`d)|Kl49nwEZ-kSW+QK0%A;fIrzB`-q@3uTT{I9C7(!eW1V#~i=ZB%>yX zT$TG^K+n1vjaobu;(=}Z4k&QzgQf5ii(Kb+Utd!XXQDXRO>CpB1#?Bptu^Q#mD9V+ zq};uDpi-nGD!kXH+OXrya2;p)MxgDM9!-5&H0Zyl-ifbt1h(;QA?uoO5ocfHKO!%a z9$1GuFAG28*5QZCT{L(g;390MB`$mByRn=f$|J*NeJ(aE`<;MzHK;E=DEDVxuhNv}N@ zzeQb#%Q-~9koA)lwgLDp0)0&3m$j&~owy6^-sS-XmqLn$%{w^M!>W4jHr6jy*sMZi z|B(}@-=-V#v<6yefHhM16P>>#x~(qt3}?%h+hE&{7iyF<6){i&CTUCSVBEz)y(S|A z(nN{#=I8&w)WKRt@<2%FLoQ2FaRz(QU%3ijd-Dg#Upk<}ZIGH&@YK*U#I}_IdN0^! zC{tqp@i>H(+eogW?UjJrk-ByZq6*H^aj-GgUm1PVGVK>hOrOkl&qJAI{t}=Vk`)yd zWz-w5q0w@(yRhU9@C0xsxDq^U1XS#mEO^#L*Pe0Zb^Rqx`Rg;NCuI&rT4o!VCoiHt zp1)TW))~kzLX;21WGO&kg0aH*cs4h+6fp|q%{2_vQHX-S(gT+*D^TLy(xCZJ_%t}& z&rkO2&6`)-?}}Ubw3d5%1df^Z&pns#8*feVox=AUk9)#w*hC~?Hdbyqfzg?FXe?Ad zvFI8n3pstC)%PFlvIM$*Zzubb1rn^1Q&P64%xCI(8f(DAwA=Zp;nG9kjh46#cB|v> z1H1YXu-)9<($FZM%%YEjZ5uL3pTo3oU0qUi4_eO;LxE<3d%+Xwm=O?<>p||m0?@nK zsg=3GaFB9~!zWW#0ygN*Zrr=0*YCFV$dloLgOEy3v|emQI7H=Ht#i_vP$^X9OH53= zmm1I&6jgPEW(H{0Ia++z0nRD81N7eeWaQ4Qz$M*HSxWHz2*+E59>pO$LiT669aZ^z zd7^cc;DWdIyS&3*Z!Qa2fvP2rhR$=A~odn*}t>%qyn z(2JLlmNuKNtvGM{SXfy21=n_G_GN%P_09XMLKr3}k_q7uUyCnD3eW8VGaNs78}VKp zk*ueV5!g|9NVRQs-XR;=^t8|#xSEFFAq?jWkh=nx!py_!OH1+eNe*(5G^rRg7Q#a~ zj>l+)cF48CEkwlgg=8$mLv;ABeZn5`+S5~v1EHaSC6nq^)rtgE?OS znK2}_PFR`=DM=$LpS~h4De6n&+xPha1S(mphWnM&&<&Co=-DZ6p)ZGMX)NaIZmD@& zOTh9U=+svxmS`*Lh}}I?dl0!V9tB+PlaICg0gYLjVKtWWr&Nt*dio>G$AmBr9ANnM zekv+FFVC`N6I@TcWpHpAm7h>k`(n5w>c}=tG}goIVKAo$&UNAep|~PLjy1LW>~W3t zl(2>i7U=uLbn}2;aM}dQse_%tcaYBbQQ+LyzDHjESLA)wBCy8C@Db2C4(iXfD>pZ4 zaqSUK_cK~3(57upsG;Etj1ndNyuXC+%a#v2a~j5YF=VL@oG?GxQdU;ZWf5gb(2T(h zhoDhiL+1;h?w5rNip0jtFW7PgbvukWp0QS9uv?N^gc-qOfh$Np?o)J`uQwJrFcozC zwTU1MSrY599)UhpZtqr4SZNTf8KV?Nn@-LB%8d(Hmkj-azt=wS+A1wM91XNM*EHgy zv3PmbA0zl;DAb63P|MIEw)ZR6SF&HmVDC@i+u?PTAtWSp&tbxuJgVrijF8g;_V|~h zQ9k^Ap1J!Hw3AW%gz4t$nt6tS!xUFK|)IVOzC-=yuf6c(t zVRTh5ndgEyr@{67gYWQoFjuK=ER6jxOF0m6l#=MCTrSGPH$2+%y{^_*YoD!u#C&VE z0kptRiw)ns%VlYGtE}u%{ma~S8`bw8@G)EaBl!pCk>v}3A%0TGA!^-Pi@rnTx9O6R zOY^A^q&o77(bUXNed?^fo*(K25CVXlFKx`__-9Y<{Pc;2x{dJ;^fje)2T?6lv%Qp! z512uiA3knA`U}^*cKwkHT&iQB)ARJ#vhCWDY&2zibuffwoW}s@r3B0`lWZlPGsM^G zw^RD}M-Cdvscf?G5^VX; zqd}A$4MNP$;YF8QhZ~!k)^LycInclRKL*~2@N%$9g;EyUqaI^NMOxNILa zxW8eiB+{K>fb$RNC7MUsTN(=l(6o#={)RnH?Z&6`STZafb)pj*#OoTdiM#*P!5CFk zRrdrermD-@jwpz2wB#(orvKP;Ymg<9a{|C&dCvJlYzZp=gUOZ=Z(dR92ew_)XFWpj}dPiJ3y z;g3tBYQ*r%_*zxdjwBT0Kth`b(7(xLwar2g=A=oS#$V8%7y{YyWu>gNZUm2)=$;Rc z6xl&{GdLNkenv?cFA$-Bt}FPxr)O~ixW2Nm7LV#5tkXtwT+OD$Zlrnz4oIpAfmOmzHv3vN@G^E)yCPhxS`B zikp<{tYtxE=Lyd^i(AAxRPWwl@)i9P|AFP?-8rPB8_(n6n{vii=OAL4jZu4}u-5X6tj>LQ6PA zXEbbEng6n^!H%t9S;)g#5pE!@mr8gE?w!Rx1qoc8G^i${0rBBFekuii;!HcVWa`Rc zs}+|#4PpS@n17t72h8@9@*LIjFWrXGe*)2c{l!oWwj>FEU?%e~Qmr6|%mUwHf^^%2 zq0c&d= z-aRGV8?9)PT0gnR*Zt2r%$h^c)4{Sac22;zwv09d#M@8wzFb=z@bhcYu=jqMIS*A* ztI|X=!Oit0&-s3X*zDaOL~u&{N)kla23)JcGd=hR6Pv~v z;08JDj+(Q)Gdb8czj>GQd*Dxt{XS5jBz@~}qaOwIt^~KfxFLRZ!50&&(Dx6PC@Fr% zS=`PS8`HuOoF0PjE9En%mDZYM^3R0w6Lp*}yUW%w|2d-hqb#kuAcv#drgQjbKkrA0}9)Z%17#s^TgFJ zL$h}Y{~@Hg2WdBt-kz3fZZ2tNL0$9>A8PM0mq-&Q)m|t(E9b#YY;NGZ-b+48ggggS zwYek>6#4Ks-X&h#LFg3mP zk5#kIHyPkMM*REx$fR5b2r%}j>LfjUjrC?4OHl)2o-Mq-MNe7U$@a^Sc>7B3uL-%X zVxH`U-S{}g7*g1pn&oy^kLJ-Kznr+N6C5V)A69I`aj4XU(ADR9WwOxmp(3!2I;^UC z%lI+#+vn0{tH~DQo}9FJ12*WAt{?VYHN*Q-7sXpFLNKxRALe@mZQV*lx|B~Ik3GwZ z2?nWn-Ljt=<{45%nK{Flw%Fvu{xUU5J1AOubU6P z5c5w*YbP`5z=j$eFx~I^4$qb51VMC9RAz7YzM<{T_3@=N!M$T(d8=Bw*P8E4it}5BW0BZ;E|rR_@|^;>U}cWqN(xR0bjYO>b3JV%CaTtg7FPwTNsQw5?y?eDL$x9lG0 zBL#c@lvn8Npfu;(hZi+BSpR-Ny(yi)U~Zh=38`+sDoyeqvbAVB-cq-BYyd9YdOLZR z$j>Wd0mu&ehvNIbUF)egQdLuy-N*PF9eX7yZ~pOMcBNos#pSrCn?RMBdR@q%b)9u?^Vu@eahxT`iDhUtJ8BXzv9lh)T80i z5H#N5xRQQ^5mDA1%p_pP!u@vlLL$<4v>GI&5Z#N+U>1=SMvt1bVh;*4o4ux*TxQMZPNRoE}+I{?Z|)9T5)pW z5bNYXI8Sw`Ykfg!eQUTdC3fTydWuj#4yW6xEe&5#oyrBM_tQj{!@d#NP#~ZwqQBm+ z>p|nCiu9!+5hOn&9fhXUGYE`rQc0loY_I61 z)3rgqXz;2WE>=dmR4{ltvvlkI$%|X4H}+=kVO@K&eT+o?_)@%?`d5PSD0hhomoA8r zFo^f9>ep)ax_RZD*x8>7p76)dH5dc2Lv=c8U7|2P6C2}?u62r~GkW%ofzBhbR&w6* z0ja_4J*@c+A$*?o+fuyVdO_Oe1P={HK^mgZsrThjjO-3WpP<*hVW6lG-GjO*Fce`< z)&3;K(!-&oq8$9HfFic$`&1{Erjo*3IzI!o8#$~6If-`T7kYH5fh8mrULU_!=uM&4 zeFj$N!(LjO%osotU9KN@#y>h?o(tzaXG)eJPXB3z?BCajy@nqS?R}>;X*nD2vK`2u z-Hw0-Ciycc;i%au+?#8DTu0Ji*{!14T%g@NGQ)N_g0c2H{^~uM36CvRc>GT$yKHjqeKlY;n>lRy&fahfGm zb}FwGtH7TwaBtP7tB3aTS39vFGn2^g3Avej4HUE{kGwDt!*zSpgwK`h4#&(6434!C zmLb5MkQ!6Z;&Ud~J34SqTBd&w6(a~x8gxtN@MKAP`7=8)5;KN3?#@w}+%lLBNyZ>{ zl5zpbGn5xmxgbrrJq<%iL`+d&9Q-=Y{YxgPU)^01&)R6$Uk4}q-|=yj;(>1PqSs7P zh_<{YGdcSVZJ+bua6fkhmJz4q9g6M5$$5N{J`-I&%u#UszZ; z;EcU_sjz_S)gk`AXHBawGc>~$k48nNpVn|XJ_r^y3Rol--@0|{_zUPc$Y!F1$Cpa9 zjEIhoHh!E^w7YNzL`yKs3rm!|cjsI%%3$1>cHRl%+*?CUsdHEA!MR0$;|Hk;DlCG4 zl9bCC0IlPUp1dUG0up-(>!0?#Ku?e7NLZ->SS-7%8*Yww&-TQI>|7@o-c3}lWlLPU zJHI?>qTB~r7iF}e_Y^#G^_zcWZ1K%%v>V!w3zi!Vo@Ze&uImApEBNtAr_pCVg)t%? zTaba2c~36MEjd=~N3-!}?O5gMjdoPeFJMA}WfGCZ0+#K1Atwuhp&WC#OFY_fBOgrA zrFiG_pP!lCoW6&PyVsY<{VH=+#M?2Y^yJiRIA8A9Xghr)H7@06hD~;^xewqMZVG7t zMfQ07LnYUsF56k7YB3Fo+sp)gvT_VdKGw3uW0uR;+k~=)i41qXrw4}u?k}l?E!EVOS zkmT{TV}c0{C1(n)IO|hBPWTx0vD1zgjo~}IX#b&^`V1)FeHg@}w$_r+8ZF=QyJ769 zWE5}X$_7Kfdh976OjSgL_OuI&J+_OD_Q}@`W`3@$Lj=MS;FEep9A*S-wu~GEYZ83X zAo=3-iGyFH{}8Hfwf(TOwe^YSw{kw&F-Kv7eJ|0zkWg_bv^SQD?|2Az-Nl11`eK>Q z9{tRHi2yO@3W@dF;64$Ra=D6_F-W}YF22~It@(`K*5L7l-}Lt^3CXcqC@T7r=oOpO z#&AyhhU+}?G&^%3yd;hxUAggxr{d3vchIqaTK@NF-x1uVjN3=NLQgRnY1E$XSWnB~ zn++dL2k@)CEC@S8l;UM#OikX@rJ|*$rw?*?mz^zbN0vghQ3_$EgFmC%!I7_Xs^aAc zk!2GI#Y1HDl87v)NWsF#?|M8Qq$lQZ`{GTJdg#^~5$>TQ@2o`77mZXneaW#j5zrxQ zXDrrvUo6nJ7E%N3!-w~fh>XUzjL_|@5)yvSt%*7h+u5L?lY<~62Hq<_zxJOecwgp8 zBf^WvYz5vp&j-Tii9?D8ZcVxN*QC#Xz&#P^qoV@8=nF>*P-0E1_NP>~8o~!iCkbpg zM@UH$0Z9l*CRAGbYby)9gdJbt?1>?nPR8jIL%+{4A8Qs&xt!u!+AhTE*75mF6DA*J zI3_ESnfTCk)0l%cpa;2RC+S^RMV=J5Y<=|l!9D@>>zU!P-2z2sHRKKIDV3yETDQE5 z?O@YB7_zy$amn5zvS_*(ikd3s30o%O`4Q^MTZ>*UEl?pUwmP5_&^(PMix~^6*l!hY_a+)4JfC zrUAsj0prJ!|+^~=Dw#?O+bMJPbzwZhVNLUOj6-JWn)0Uu4 zDh2(bt!!t8PAnkdW$wz>-rl}yxyWaW)^`|YcgRe#YWY-$18Aq~goaN#8qZ94G>INx z?diD-mdsdp%jr(4O`!L85X7iU_Sen@pm4IpGxMkYNF=20H-aJgRJ;=hURXQ%+EamP5UA^F?$P3SI0M+Ezb8oNN2-;xHcNEej5!RdDqJa z5phWNt+zt%vsW&^57JkR@Mmu0 zbk=03{0C!x`eeEkmR90?AcW5of1HuhWUGzDmS2yioM3SxsU&e^G`WNq&_5E!p=8Bf zJ57j;?6BuV^fzno`|h+nh*5|kYE7{ zEL#i<9XR4XfLW%zh8}rM0w9a~D`07e1q6g-CC7w}<4t~r=p1<8_6j!$M+UMKmXs4X zpw6IXS3rypVrdRckTv+7(aN;GRO-tq)Vvz{Qt@iZdDj!NBhrru%N72L)*FO?oj>+pXOXnDgeUZKU0DYLR-~)=z`Sar6!T} zW!)`^HVXU)QA>Vs%aBK9)_(_n1i>#fH7CDV>){+X+q-M(M&&f|M0zV$KJK8Syk{#Uw-JGCr1lpe-P=a0?i?0Z0X#N8hCH<^SaHzZk zFlLXdOMpk{n>vX}NvXBpP#ZLWKfdwj9L%39Dk`d}wR;SG|Nb%mrVtRBW?_)C_!R;} zAZt3!9O!?Cng|dTq(4W1)bqp@h5RFL1c69DK6^Ap`Du#r4F-7fk4z6>aVhs zI1iz9TBSipj`Mt3aObmP} zbwtac8>3*UC1I}R?do5yB?1Kb(Mwjg_mWnk|M)~tN4$sl_n%UJfoarV%LnlUFmP4@ z{3(Fy%SH`=``0Iv61%dlkA2QrrF&Tq(j7lt4Orz85%LBp^)`UmTqo*hxI-sBMJ6s&L?SUg>D8{|KBRkR73ZM0~I+zr9(Arz5F}&p+~89RIb#mHL7b zq<2Z&A0J!7b%8bdGwMWyU>Y{*8;ga{`2U@71+SWk)CEQO|6_rMb5%e5*8(-rG`2<3 zfE*l9Q-2;qU5U4Zvyr->-v|^V5dJ*tVA99>ajB^V|23rUe@%|%zfOUp0DkjMGS1F+ znPeE+zcV8o5KopK8qsTm4wJL+zlzncEsx-6^#ou4E8TzqX+n@9&=>kU_4l8fft+%? zj+%~&j)IP6OsZ2P$6knUB68O9{%iT*8js1=X&ds!e;@DHF0Avz9XJP9o3)Hs?D2H$vI8BxF6%FT55?l|EF>5Xqlc!^QP4s;to*UJ`Tra)Mn$54o;jzAr;Upks^OgzYTUx(fZkQ*|Nm7@LrzOZlmmHB}{8p28&JixfW zZ4E@I5HYjL-$;ZKDv9@p5@Hy$jZIAK*aGg>SQ%7ZgP{4`j+OEW$^-C{p8?PBOe_JU zp31dS{j({y_6YdpWr;$n!1)sB_@FO-M|b)h-usWwR%x|8>f)TZ@*Un)!UK8s}utp!Z)B*!i{!dT_o^vx9V=y8*y< zJ>-1;I8_>w>-)=_04t1|x@l@=rog=b2+2!8$JAqvKY!xWsW3DAy1ObjeWlmaSngAH z_MF2DW#yJC-A?V-KW^1u`w`t5ztI%51+$C0F0V02n084YD(B?p&gX;>au?4Ig7!z| z;xW*3cWg=_9&GIR8Abr`b_$Rvk#JcF*FuVkz9F)Z9S zF03GbI;08(y}xJ$KU^-w@qPq=p4B?mUkdSEF*Jb3R*_d3V;hmoTo+A+SLVc zH;twy-|~g%3FoyuVjKk4<890QFl`z=Ts{w3$j9GhM6xd{-HEB%E*tC((1MynwH-aZ zi_?Qyr!R1zu_T1k{0P9_+#byb(nL6w`ZnSnw_OdF8c3Ss3Ss|`exYSfUjfL5CFRSV zP!D8_srQdjcN+B$RtH@Th~M2D-Wn~T(XJ~iQ_^1m_@ycmzOjZR%1dL=L@DPuJ~5$z z5d?>SS3qY!p61}wjT`^aAY!J@!oqNMQ=n(2LBbgHZfd6@X@*CG^YO!Z8&D|h9RMJ& zm{R_yPr5DIqcP#7fDYs2Lsv2{XHl0mF+Q9W!TIaIEfHqM3TQU@!I|p1E7gEhaXc4v za>}s`44Y_ zYieTK;mx;1aQ?;tIhz!zd5{O*XBP)P!GTah?uhL&VkP(pU{tUEA+>K`_uruq_jTZ& z;>%SZL9dt#&?iP)3cvHdG~jB_l;)}RjM2P@>B@k%8y%eRPknaEcSQ&4uItCcyyEM{ z6}kjc1b4BfGCvnMv>z8>=jDATz!Uu3@bFbqx3JGD3eh_-^5Fz zp^Zz9g~e@i>`+Y_S$6P>bT15lh>?X+*kUl^7I{v&$aT)772(op5 zYUh=`^Bkbao1#nGk_x+GL04j!yb(_~1%+>UK+&VS<4>lPE(NJ2{jQC6m_Se6Eix0) zYN^EADB~#Wu>d}~=2yPbA;uY19(@&xqWIGY{93IUi^@I_tgMZ}V){E{&o6c|12m_c z&v$7mk+RRlj2oNWHT$j7;UqyEFPXZe?FWp<PyG!}{pW!uePCa~-TLfrZev@YWOrPdwO48s-0nrbXfQ?*pZ< zL;o6`=HiP0PFi) zSkV2XTLolswxv+udR@f22YIhvoeyb^?NCQ>2+=jtQeV$mk=sPQ;u(q+>*2?zA0`m` zLEt6YXy224+G$fu5PB>k`Reh^)GwvX?pV)A1{GfgIEFI$|wW4Ta zSqU$&E4@py<-2^v3XM{{V?2F0|DHWXLc#^1o?t?;&s$<`8#hwDy3*>*t+|U}cH)EG z)N|T?B=Ulb1iZ2nQxKkSa+(87+PL$bh(o*3km|aVkwfJ|^|R@A`HJ`=rJAX`qffB^ z+*_iJ{3MKF4~MgDrP}nf?SSsQZ!UTFF&i9I@o>wMgKI3O1;9clq7wx9m4EX6xK@Ws z?3806k5{)cGlDkgO_2Tm&&7VcnIPasryWsu@usz``HqKRiK=d5!4ow#X`bX24k8X5 znJ;pu`^vv8`mbdQnmBMh>tGo|5ITV;C!lQ(4lK>U6FY|i~_FvatnLE(dIS4*I zpt%~4F5reP(kOVA1%%k0@f_0UZm1$`+CrhaXXR(eYhCf}Z zKVKm)%oo1v#!N?NW5EOG0vx~drOS)6lO6%LQ)GcC3*EC%St;4t-ryKpmj{qMJzqRM zcs!e*!`Q(~k3=`3=X-7~H!HXG&S+NpUsi zqs6CG=Z5z797H5x1+}Mil*Jsfsf9JRD~h!?0N|gT2vc0A9MI8XKW%1aX2EUYf_4lS zWoF04nU)?Rt?#kgrZ{{*az}HN3%1ds2mWnR`ZnikTSlaUlfRCbcy+V|yQR9d^eEQM zHJPVKPNFs@)*5!*Pn}+w9rRgRTH1>Sc}z+0!jGpMnobMRz-!4-?_ajeHKDF5r7_!) z037(wpU-c8f|<97E2AyG`VSw61YL7AQ0X3!Vp2)t3e$QEeGDYkctzD6ta^R`-#+!HUGz^pN#F4vuU0Rqd`&TX zOFbygm2hK%Gy-6R4^%c^^->vNKP@Y_m~8jfd-+mlOo(99r5iMn7ceqC7~!;2tdmIc zaCOMXI?16o1SGh`L~<=W(GYnS{g+-lb4@&{b=2?!i{^LTd|FL7qDgF5^l#rWZ~-bg z-;xNlz?&vJR9aQZ?KGVcJ-k}jwQD0v7wajE%gYJMN6lCJyn24J$3OSqBRcy$Fy?r{ z*YS0qH``3!{dij!=NvTJuefJp$c`U6)=?m@JjprLyBa5!GYNOFvau+7?%UmtmQo{yx|$is{AM7;7y3?wHz znZ$1A?wy#Cvp~D4g*jURUvtK(SB|qlNm_B&*L;l%k-U9*T!V7j#V`J}V-w=pZ<|MBksby!%eT#yo9P~qA3)1>ZUwgJSbgZy@sUV=flshry_`%7k zXCm4sDH=qO;a7T>mgY$lH!b-s0vgBB>)*n%F|=R?Tqm7F zDRLN;tZ=a#fs(Szkc0<(De=!~l_^ZAmMK>hgt|OZ_lHA3EPMfc>mR|fWuo%(=sgcm zDaTo$X=tg9Q7I_`pgA5m<0VFe9mgtYtz`#A>)i-xqh9qKlNmCxww;;E**f5q>hSWj zh7u<$>_rahb%~#HoPqM+xu(kbw8s^rn_LSPbD()+sTT(uMr3pgZZXt4w|eiM5f~;c zIk~G$3-p5=NIzH}zmb_&-{&h_V@a`DI7%^%ep}w@J|3GfCjBm;C3QcDP=dmI_74oc z*MpgxjNL1SLK$lJ?kP}qVB)YWi4V=$<`7O{rnQhMd;1sZoX9NIjhV@}_?e);>rm&%PbL;l*ai)z{ z)|br!8ce%iAA2a(%p9a*c2c{Cu32|Znz3-oReQ!?^r&rl_k7L^iCE{w!qSr2@|wVM z2mxolY6-QG1Zune(>}rSZ66Z^Xc&a0%HEE0v{??C>yOE+u=5V|i6rEq=Bbx=cXbWY zC#G3q#g!?HDUqUSpK0WOZpcZk>C29K_}E0a-@KbX#PP?&WdKH-6RcKwQl?qL0)@E3 zkCu^gajCop?ZCkkW<6nOF?%hI1?9#dg z?Wke2ORl_z2B9ng1Ov4HW3?(DK}Hh8!Z44Hf<_lc(7KTFg-#QYy-dzVoA5CS;fKxX z9xZp4c0|*+#5X7wYt}g1nLHlZQ`UCFo;e#!eCZeksi!$7!J3k@X*drTmdTNU%QgWw z?N;1YV+jXYPRigel9V9wI%I5#shMkbo) z9prSC%$yIVYH?*+HyU6nfDw7i* zwFb)olX@A05wT30JxD{So`VDCsPhJnGS?2NMN1@KWmUcJaX#VpWN*K(@J z&+^Vg&scl5w0Uy_e?#cU#4Vry$KCnl6nvZL;#NEp4Yt&Tf66Sr~^Ye zkOdu5HrNL89=q8IYY)5)3CWnCr-I4!|MA0dnok3b7>uit@x6ldJ0a^C2`a+bbBQ&$==xxcl-%hP?j=QsLkeP=FdGI?=xbe*0`y#N6B*ko?$6EeI@wnCxW_0f+g_x*7W@F=$IRLrFC72wlF!Kz`>y z0I?POuU$uX*VcR!5b#O6u9oQ&AEFzujON|}^hOSAt%s)vYpUCE5B1VjWA$L`0snvP z02vQYB}mCtrtcE(1Ih;!x^cj@#K1*I7udel2}izff#CV}KTpJ%*BKkhhcbRep zC)=Pgo;y-S;-`l)snEmGUAlD0R8pw@?VBZEq5ceo45HGh{gY)*1mdLWtclWC>FmRs zLbm@Y3c|Y7CLc|ng&P32j%coM!;nYN-AexG9_H_o@KA17PWHrCPBx_(8OeBrt@G&V% zD^3Q)mp2Bqj+JsgKfYq4G8{pm`7l1SxtHIXZ9^tOz)wzNif84#In*u} z2l4|`vPf20Vz872T660OI-&^0a_d*1CZD4iewqT^pj*H^KkVh7R1e>^CJ|NXFOtK6j8;CY^^9+3YJ)g9MBI_vawh8F^H)Tbd#!+j(~L zir^By0wyTY096$W6^L+~_Ro;XG_y;eQ@eCB4a7 zZf59P({5sO@2>k=I3%5=A3l7rlloRBs-%STVx07Ao4u86;!`0L^bFjKbs1^4#D4Cm z{^TgF_qM~KmctbDOhjup>W%+(R=!AlkxF3Wme zoWYaw{QEtutgJ9S15E#fg0pKB>G0Si)}8oWYRjJ}^a@MqAY^^W7 zfX>~`CFey>^XRa5@kT=Qcsv4Sn7REJC?xZE`yfE< zZ)R>pj`545nK{&H(3`!4YrbayKjqU+Eg~w)U8OEvraCI1{JMk}16W+o&6yd9rzY@R z^c%y2au0lHkQpm4HJ0BGnv?n5#M2^SHo+~IJ-7a@mvDAQXv=W{Zcni>EQ~T&&Qa<* zSg0<&k%+C#7S4H|pSY+gnT4B&6o=ZIrLkAeSCiB=$C`@~U>y8-E(a|9z*l}g>)-JbDoOVv1 zZSlIrE2U3#2-(X{?K)LqtlnLcv)iOeCt6`Q8wvdI>JXdgHYt6F-RgJREp416L60e( zV#Y~-J3udxv;&yVUSD6LRIi~kmaYG!k|mL;{hbHCX4rkE$<&AlSGBFu2y+)U;KV#r zR8-joxKW#CU{}E1N;*s|P_K{2hvn&`628xKN_@fN!hoTw)Qxf`GqQU`gizEt9_8uCOL+?VWf$`^Nd0@+LmF@mT0qQhz>W;_!8~#XeRsd}Q?s^5k;tEvk|$Uws`tl2)s5vpnx@A@Yyg^4nc`$n%q2t_fZYh? zSy5kVkg#bp^{k4H>@%`cQkcIl8%27^tC($%JX5xo<=H!1_85i4#1x;S%$ZdRh13>6 zdijBG!(U&NdLG(uF5Sb@SnYn2zSF~vy_JG%WX}18=cbKu_xs@ZS<5JL_O?3Y71@Nh z8&#B|uXyBPV*w7n7YAFYpAJA;1-4D#2C92HW7YTaoImFdY^=T=HC2&n&m|t$x0Ty1 z{O~4lekEWQmDQauPgL!4%bk&G9C+OZZQvh9+$K>Lwu zeL9wWb=2jqXQt>Irr2bivtLzqWl5Xiu@*ZNTEf6UH4eB??9Y8>Q(RojDhYw327COO zr%6uOMORQcPsm32o$+91srV03Ogz$N?%U7WvK!69)V2hbOx0~ADlK_3T#~aYjhm+T z;=zPS+>gm*rV4dMx2Th{e(zhyEB67Kjid;->9uJh6h*lS!uPgK*+1AX6J;gOiqpkh zlHKpbr=)Zbd{Zpu2VDR$)h}|Ch&yzzzk~%huFMj>bHn-dK(YE^+aZxPxa^p%FucE3 zrp$PAQ(!sx`}gN5T3}mowO#wv%l>{!BeH3`?5K0qQQJImoW_3=bF|mmZ{v;H{6>4a zHa8YW>%^47T|~iBSI4gTzR${!`7YPa4m_@AP!5kz1VgFNom5__9SMhqgc$UUmsuy% z>Vdc=p)2BOV|@5COiIBYIFuc1FUZrG$mEhk{kvsM1*Ij?M6&O+d=sR@v{7b}sZkmv z@{Q87Sj&AExMwpxn2f=p&g5D8c7p}b8k)QWy{P8oR8)Rh1sVG4m1)yy!anJ$z{3Q8 zoiL@N*R74GWhHRy0sm6cMPk3kQccezTK0vzlxInP$!YudGP05nlB|d9hpZ2_=o1Et zH(2zVZy)8%h5&XMxdQFFl&q=@{VK75y9_5FxBdWxTj0+SVW14jhXh&j3J-vt?50UU zW?82MDCr+PHt#6(1Be~HG1u;*zkP%g>yfVKkXd09sk?T<@r{-1+oLI!XG zMJfa!n8QU- zAq8;%UmJZhu1sS92zT!UiMMJfUF<^QZFVSKrg=#cXyC9D3=zZqOCmO^Rt~M&dQT^W zm&;J13~!dOpy5Hso(qk}h;J9vUB2vr0YEx)Z~gqTBw!;{9DV)wuL8q%o184;ocOo0 zmORkapqC<-gHkTqo7(7sRO6d#-vG*))5y@^ulMB1=Fgi@X@#5TSxQCl9Nd()JM;d| zY%jl2>d`#y-c1b@xGqlDH?JeJHr6Y+GeRa<@?L(xQI*?24%aPiQR zxd3ngkCApxoBhe|f@aArqi=;&2?oD3n7q!IK!EAS-E|uU*M#D8S(dek469f7fOEc? zDF3MLeHIqc!4h>Z#olttm-m9y#_}DzNnF<6T7st_O_4MSR9R=KW;A`AJz#Y)9QD6e z&YmDSqJd?C`S9hS^(&?&8ic2mVWq@a{cLp@zzLv#k-fkCAyo0RwliP>C|m%yDEyFJ zga4^0uBS8VzM2~UJx=F&qp-;0H-oI@Y}b}=;zL^R*9y2&lvA_l<#`j>vJSYHLC4Wt z`yt>329zpT1_Sodvjwm)6|84HNGuhwScenXdbo`!7EgYBN?~FL&>uN0-nnW+rvO7} zm6-wD5b$S(Ffc?ft8uUP3|p;CwQ#?yY!_L&xJ&hs-_MUB02vP5x%aLyeqUf7*x;RW za7Dz4+25b4r7Qf-v%iB?jwdy1<84}TUZvJ1VCW0>18l%Sf_aF!rOek;veFr=ttdW8 zEksX6{BR%ra*LR?klZQj)=!BKaEuDhmlA(YVX17-Fq{fq}h)s|??%<<{n!9AmS#UL5$bS45*TcDk0;#Qv`RLL4h4@PC zg&u-g{c-I9L)*2XkejgVefpp$duSI=@Vfyk2GvSmwgT_Y{4}%9Cvo0J+ z?0wn9JNNHr6Jq>zz_~%wHb(UhI~lf$3Yy4bokoh53U=w(^S;T_A9Hh#5y+I|W3uXj zf}o@-lA}GkzlsWb&8(*h{VTOf-^T&U=>=cTVkkd7(@y(-t}d}^HulJ{(@OJ`DE3o* zUd(~+?rtUH1NuZQH`rH_5`wgH;XUcZZx$4s_C_VqC;@Jp_C7h!@>VxDtohSd^a6Yk zz6&-3uC$Roz;NtXiA*-`6$h@Hc>CweuSJ{gYPhcXp`|(p87FXA;!ENbI0*-n0__9= ziEK^g1W2LIt{4c99!jGBrOz*rdD-?}3$vTb2uQ0DsNj>RKX5adt+mkJx?n1tHmk&N zmX*EjGF53uuOJcB!O=|&n>EZwa{3yBk$15CqJc?9O@eB^SL8#`o>T+#e!H_Y=d;S> z{>iA#jD1MP8LgZh)9{;?G!Jm1{$bt2v0f! zE`;g2IK{$+`kiIP#Ka0$4%RBxC%ucoB@V)Xedd`0|H4-Drzh{e0(RVaHJBBcY-oUk zRKX7%NJ z;;_6+;o_qjb{{dsdm@cswb7qiL9XUBXAr=Vo?MlygTfIgy8L@?`y3sU_aYD{OFy+1 z!)m2nOD4lP${^f1J2vg%0b8>EvZ;$*U1*NROH?$@_*x$`kSOy34~vj7LDP znJdbz$S^u&KDJeNB@hW6EWM9u8;bzJL;Dq3ypfE)at>F*j}ej#3G{UxTWl68mO^pj zcq3GtH(GjKgaRnIU*QxZxka?4l*;$ir8VOe^CT&;aOe-&v;GVjcdC6R6bNME*N)=c zkyyA2s7$_hs{`ZrC$xH4baia%X zSvu1S2@>vQ7v?iklSpm=(VbKy9*oy82SO7^Z*Y5qij}eP$2)DPsHkq#!{%ew*4AkE z*|tY*=bBJrpbPEM{~q}b0im}WmdWwdc$+HE6!nx_FFuLuHk?t*L%rt0V~|VVt$PBp ztKUkzV}%yg@vVn9i(NH6Xe&vf!ky&`*<@RX_CFz+1Hz6oo&TksINxq!~ zG}#_V&H#l69V>n6h+S9gw2y630Pt^cg!qs`dKRcl+7>hUXcJAU>(^1uN=yeewfk2c zwC7X3KFiC-IH}>`4XH4vJAVc68pfQ~S%X>Q5EC;E^XSw=z-UnbP5Y>85)NYjO+FRq zSO=Z4Lm}pYGJIim;cmGuHeNb9={-p}AR$+}Hz1@qH!>N~t1|7T>Sm(#rVl%0mznvC zfzwkn*Y!#e4MSg(@3cGq(QBG<072})8Um0+qQ(?}@&j+p_Ah!4deW>?Uu?`JH%v3} zbpq5CEp6MIP6*`Poy|SuYZFLnd!jaIq&8f-%0EgKw}U8{3ntH?$R3cYHK-8iZ>CHeelRZ? zgoBLW5f)r;kQeE^mIyKV{2h^1%w0UPP+k)AN*=O>gI3F&r)8t75QzEhx60Qcyin5n z{Rr7-q{?q232gg{)||nzBcVV0`G4z))AUPKUMD%?I2Xj$1{WSHi=oRyp(`Ze<{XdS z)h(9VvnyT=<;>tZI}zDwa9TggP=7GF>N+J`kn6w(2k{IaS>Hy5Siue8Ad75L&%-TM zklP_Ud50PLvfVx}?qq?Qj%a#83XwsxHZlf=a!n;Kq}~Up^?a2gZ?$#t+c*vD2#I)@ zab;YDY5SBJOOr9@y8|xW$u-}a7_->3qQQ)J%xY9RqilKDeVVs2UZHavE|J*D%1#@V zcfkjef%1u7mG#dHC_3|R9wWBkn<=WOZ~>B14jyo`23r-*Mw0biod{3^UQ?8P2o4&9 z`up*imp>E_x|*u%?>3ay=uH?w%T$FP`ffBzNl6(h!$s9wH_sKqMbwVsgd51i%k~!= z$Y=)A`UCAbuPCig(84(y`c}Gon2bnvoEI?@%^YC>VZCt(Nl)KeI+kg&NKc|9r&kix zVF3*vLL11l_-H-{m6?WCH9~h@f{^};TET2(>A1tsaX!O~@?1uo+|)f+h9%&82-dLx zUtcDe!*kdi7_@6OCLX?&@GLAW6k=siFO!`ZvHKe&H>%XwAZ zS)W)g)yBF*tP4~bf-4JTb46P}8Hn~!)?B3P5h$ZxD6bunypkQ<+$m~^hSwn_BO}|{ z*@3;NaCCOqUEk0!kXPL6%GnyIMg~w@eXB)!v0(|m-^u5v3A+1ga`ld5v8U8*+Glt`X{79mgx9`vozC+X2k-gVT@G>3;mHmX zsinq!YO!74y)*N1Q7Pl|Oq8M{Ff9(qyq@mkNYynq)WyCv#(_Nl`QiuKu1q zU=uGn`mEW&qM8b#6$x?i1WiA2`00kiAXCIlM(V^BZSDSGE@{piDX5tn!L)rps3RUe zO6tGovj$J<$np>w1?6GYt+cmY=yhfKpks5c7Nk-EQUNCztHW87-zYtJPgtwguQzzb zE8W-R(Pi5HyFNk8ey^Jzgh~+>C%*j@h`7j}v&a{W8bx0XUu&z|>@PpHevOg|1*QIQ zuEWSpx7Zt8oFJ8d-!2FG+PXTVz!rhlpN)ujH@5LhBT^v}h%`A|B*qDD$2>rG z9(YlX(Nt)J!hNy#K>AUs0pt?w?3j z-dc2^^AzN{O@+-tSmK(R#1d;hY@i{^+ym&^F%UhKYE@*5-@x&7X+&HDK9QmFEQx@edV)&CA+PnB1h>bp5&2&-5s!5m?zytVRgqs@&4so> zS4Oc7hu>q2Sbl^5L4^q}_E}R9ywJDDzMLH|y~3^gqL4v1Pc4!{%d1c3;2(*2&)7(3 ztX_Yh`{Kv<#e!hT+|kllhWJ&1pyWEP-j`pQeM`e?M^t-r;3qk}6umr%5@TZgFO(Qq zwBR3tV+YV1ahiS}8s$CbidZ&9@`UJzhy{%!BO29zfkP9SljN42LsB zUcP*(qJjqpiIW5Ti|+2VqpxpFhnbP+Pg>dz-RCg|Sw!~>#I|T%ac8(sCx(xAb=F^% zr=GEU+c-HF0sS7tZ{w3Mm=&Wr!5ZEV?bq1!XHMju-T~KNaLf&!s}(Ta8EDM8Tlr%^ zd)ndN!I^stmBd_1osZm=(T+GpJ3@>f+UdZ6Lu8_}WNJ#m^y7SUVucm0gOp)_;M1|O zu>tiLa&q$EoL8Vmtmp^$c|o4M)|#0T>8i!oAyR|IL%+9wRngN_?MT&}$!ADL0&oOO z7>%mC&wlmmKeGn6eTvsrB5I7M&vn$e^KDG#yZb>y^PGh$d6=Bu^$)WK(bg2Q13gXr8wb#C(CoG(HlBE-p z9bLN24X#y&@j90VNj-eep<|%I+vzN=u5cDPaei0bCbd%w+3eYeg_+_ibVs zCC#+Y%}hE2J|bj+wO%GZq;ZGuLIjKFr<2oEqXZu68)gtBR3w0E^U-Qf!Tp{0_lMRf zGqOS++(hB? zkTeDySoEx3dbM(GtLM6`h4jeXu2MJn&}Okz^UUxlcfM0iyWD2OZ^Fg*ss=$!!lKts z|CqpS_4C?%g4`>!)yb-I;pwxJ&sq|()$Y_Q8(*g{Uzu#UtUuj%X*wDF&~w3d4ytD8 z%pCLd4mzs&n=G%57~MXY=H)D&or`|(m|00oOg!7`5tI-U1D7lM+o57$3~PDv$!<;R zSybWB2X7YZ>yN#nHMhlB~1NrtmYFSBr<8A1Zm3~ z-%14oHEoVQ&(j<+)O_3U158vypUsphw7!F+&0$?o|75pzZPDmOlpz`1vP$SHkzpv4&4*i%SQ~v*w^)9!@9Niu24>h$ zx<}YXf5fOT!dnSXhIXc4&2G0jQS&S-HrVm0hn%_v4zGvx%utTE8?MPg8%6~+Zlm6q zlha!ldMP8{(_p8Qh1ifY{>+@)|C$jY7jQdmP6*gdVMIg9XfZr5#y1dlxQD;mWf{je zXh#xg>GWiSi|!1b)XAcJAqJA|ZSrgh_nX{%cdF!VSJs z05CT;Gm+hl!*2EYkM?ccVUC^JWA-Jtg`KA#@xiO#njn$Ham>(ktiw+{omv0@WeqrR zA-4%|D@2Gz>t0~}fmuK&B=^u#a&>hL!eLBb0d6el#>Q9nue(tzw|za{Huo~X{3nC@ zSO6A{ES)rJ?hK&oA694t7}O_G4uB6jvjQI6eI;PrOIp#oy}b?Y4qMhpBKWf+4fp=M z;61qOZ(y)pAT$N^)wpT%haGsEBqGZae8D&k#((sNQRh_n{Lu!^W(n$H>P6P46}-tZ zb_n5vt)kA-MD{A@$IcuLh705wRpYSX#R$LMqReBu`7!gC27%8?#Hvs_y5a;~J>_6h zr?t+cYuLve5BGImTFy^VoNp14@(3VZ%(q3ZEA0Mg@o{r25z@K2HD2Dsz7}h81%3V$ zavZWtJPzQFz|MAH$H&EeOfm(0Ew|vjNdJHe5Rx+Nfzuy_(4AuE`t;RIJ=|o6rwJ-3 zGaMVF|3Bj1GOViT>lfXEfYOMBq!Lon4HA;l-38+YtU;j2;bGpd<1{)jg!As6SwXv|F z@PB8rA;H~ct6Y?od$saCAOJZU|F4hD=e#`4dJMx!_=>dbrcG7J1#Fy;eD za;>@#KssXbG#w`=-aoAon8AfbYhAY!G;w_Gcy&75bDohk)BAGl1(g>*l*ID3ypa#B z?Cp;|d3LMK8Ozn>-#(x3oWFhr%&8pfZXLa#KPC1`c1r&{DP{@^80@ZN&O2kz`4wd> zfJ-1x?FGGNjsEM}2bW;3*A<|YcS1}+s#IbfYaYc1oY!^>G4YYTugnC$qS>SQ&><}I zymfAjp^|IT#QUVa-1I644hWF4AJXyvk84_z#JS|5bjYA^C=JLz0PSE5Xptnq2XrxQ zMNR~u?=~-rv>RFesq^o*eY6A%KXCfR`j{eA)9@W}q=<74rM~f!snH@(BLu;y_bdDQ z`pTw&#J>PPzd{o_YHEEQAQbOdd_?enQg*TdcT9A&a;G{JD6#w`1Dx}=OQyipRa^V{ z{_Ob1Q}PXf=MLW%bQ%VK-HG|)#g|CRe$1B$qF-WL75rcQg}GzQ`W4|k!3m)R{-usr z$umYKlj_HuHI9g{(xN7ws2ZU5d_2;Td@U2B9o2uGctd)ppC+(X2mK$HhM0xNR@FeT~AiK*W&@9 zq*@sba07PpiN9@I3{l+bAp49(phU?P<*L2fP&2N_>T-@)Mq|&JP7TT(qr&1dPH4Q7 zBnkzrR}$M2NW*FkUk6|i1%Kx=7j?bd#Ygv2{0(FBz4%4#*Rwu+5t z;h@zI3v5Pt`*N8!Nt#3!B(FRi&qRkq#Z%>Re*6Zo)9>%^f%G;tfH%7-02zDclSP!L z&kc{uwHpnuKjeG?vhpo&2XF}8#(tbo#Maj=sJlvSITowF$XiTq-b=l)KTD9xzWMdd z5`~nMl;!s23yu#I2adyLDD^g*J*;dVXEkr{6S%0z%oB_k)#Cc?zV z#>T_LBPC4-IG6{k0Z+fS(FQ$as+1N%{b1^vL6;$HbAZ3Hva*g}^sRikE?@B=0H*Pl zn7Gw?k;mKn2~gC)J7eAU8w@~!E2p&xdJTY!$7QvGev+zK4IPjGzbOkVUd`E=kh{8e^5Y7faqX5_lM8%Av1A`W{JbY4z}IIO(O@t zC9T$nitZNEMk9{RpGm{cB3m9~Y~r)Owrg5_q{RVB$w|frTEy}Lk`&NJpW6&}gWH@* ziwk*(ML#8o|33sh1ayy_06Iyo3BYzIi|c{N!2G;BQ0{49P?+j7U=>rhd_5~QMh{G5 z^Us6Vv{V0F{I=Dt%RWMiH@YDeCF|Eg?@R`iLcI zTtEV89q@~W{|nHd?74#IFtr9A4o;iPNe2M?*FFkAs}kj(6&P)sH5S~V#x zjuOGS!r~r9rq_*^Qtea29DCJjUB^<339ZEv;&IUGoa1nzi>2 z4?t>$X@hdWpB$@0230RxmJ<7&NFNm7?iYO@&7z%zJNypR4!f9+095n!3 zvR(rKb;YQ@G4=ro&ke|)c#St8yZ4{PMsDJj=}0uEH7bBKJ82Wpxf@2%+FvXIU@e*# zKM4Qu`pEug07;XIk}aOK=BqGkjO5K+`!CDksZJj#5ytM*DH0Va&7MoKOWiuqRv=%1 zNxk^g*`H1lS}a6V8GRE}j1r|EClx@XvF(4>{u;>BQmCY`<^0d$Km!%0`AGg5W6Z3O z#K~ChKO5Z*bs$@QaonbrdmBXB_?L%>18UDhQh@7DW4sfuSV_O}cM)}Ygx-8Qb1k{w z`Ay3CCOBeN@H|L;AvZAInF0DGhUkdn!|ya7#ftu1;qXuAGcz-QZW%%XI6v}rMVFob zvvknCWkMczSs8ljI>{LZ5(x$46|8X^x`69p6Y?h?h=7m+-H?HJJ)he-)A>lA3?XlS z$MX)gja1I%xT<&Y0G#%{2aACgWXD|~-LoO;2#c95&Ha4fhDwY6^$w=(YdUW?^7!i) zn{y7bn6>OLH~kKD+p9arYc>F_&>xNPawULN^D)N+X=|9h0XLdM-A}Xxpg&N&!G@eo zXhK8af|EzR#@BX$h+dv%T=jT8fnsIOkQ0QT5s)BY4AaSZvGOo&`rJvsTF_a=$ z0`=MY?^GYpH~lERtVT(FV6{d9K;1|R70{a#$hV0L@nJ)jAS{v8?Q*@P|JZ-((8+0o z6mHCaG*{F?3smT@$VHrb@V%dmY4N;%5ZjuDXP{_Z)X}Q(65Pr0mAM&sz;C#YCWP1$ zcD&^nH%mJql2yb$%zRcp*@i7f#I#?7dX=DJ7;ZxQ1^n8D*;>v^0W za_s~zs0H3@;)E`p@txtQ;UUPrxvKA-!HC9o9*Fq(P<@zJYynq+0L(_WNJ8uJsc-fH z`^3n+9ylC*>5^UE^y6NB_aJi|@>8pm+tP!E4!b!jn`{=P+NZENl7n4M(EiUs$7Iv0 zz_l*&VmBObako2jO{mdBOC(ZRA?hap#D>YFrRc6CDWdh|jz}2{C8Dtj5hT70p&fK@ z3(TG-NuA3Gta0j>sr`t)-Wmrfq!}h#Zx4nD7ir>2?`FrxR_M#F=}UmSUg6BHN=7IDz{A4)x9#f?EqK zGSJfmpQh#AgpoI#j2KX$!XH$!0?KeWJpPzxh{lja40b`Iw>>-UAOfEB5TJv2w$N=1 zfkVjy=BuvR$=cNP5(K(y>;z!50YV10)t2J-Bo2^-)IrQK{$KBbK<7jMSAR*G2Sx(T z2?j^YR>8VDvvlTb(@?6nHQYCU)+z~y7!Ti8o8b$x|COEwWN+{#N#>dDCH!uNl)^t4jB{Nuwwlkeo zzsF>rVcL2cp;xuwf=fqgwcE747`A=DH0Bryuwfujt3$w`V-fq;=7^BD%vZ61%OV&t z4=F}H5i)aJZMg3}EIZhAAYWm&_SOn6!k3^oK}ma{om^=MBD_xSEyZA0riI-90y%mT4Kurx&_W%M**R=l)9j>FdWW5;g26l zYfiBg%nAn~5Xq0o`an0D=e4jtv}Q`-C$M=x-UD2hmd2|m^d0b-95e4G!QCArWlW&` zCKTna29HtKWbPxwA&0}S24=S9P?vQqhry^v4ZHJK-=U5wHTPV=Xg><3s{fveZK|1lg}Zly z-vm~|`>?RjmHoC?a!Vib!j6tntm>eo2H($)Dw%G?#%Sczx(;KaFX{KN5h?%$75Kkg zvfTyxjl~O-?L)$jqwSrUH0dGn2W?M_$JZ@GH-9iFh>sxoJtNbhbB;+Bmm0%IbzWf% z^oP{`;I6>v#hLfhHOLHK_(1p;XTh+V*N zpb@x#s{#GM{YNDrPz@k(o*!f&{5y0Ii2nV*%rVe^%>^Wg^8eEi!kV=W?vF^lUUp76 zhws^Hk;-|Sk+v^-M`C%9`;#i&(Vj?f;oxN0s46akz zuYHtZ4S{WMv+IVR#F`5MET9egtm}|@;v-rV1^z5D5z(OF0pZtK(IUA){ryrWMp?+G ziykfsh#*@5pb%a4o$4H>T{iR;mReak@%nCX>H%8T3ya~F3N_A?$d#v;o06>$FzIIR zX~Ug_vumk)ck4m*@k+kU!_iy5(p%0w!uG;H6zkMF0R>nJN?9f%gF$U%=299wA4$5_pnfgYFx4~;J0Dc??Pi*&Z)bw`1uz5 zCc#Ij1Uyh5WM9md$w;lm+dm$5dXY2juJ8y`&cMLg75BSS`rQ`WOlSPPtlwAH*1>9T z-`}Yb))KM{#sGoLzl`~_Uye_03BO=g9r~)wK0VQen?rx`+2Q^TY6#_ z%gRP2re2B$;$>=a+@2Wirf3BllUN9+9hk>ySGj2aD$8{lDB7rvT3^DMde1^+RY^@P z6!$ubJ7(D-s7QLV@s77OI$po>=L@jPArU}~fTC)KGpbd_@cRO>j8{roZpgS1wp|^& z;S)r7Lt@5sw!HbIt&I5%mMvs0dJp3O!+%n0Bw&1<%|N zq0McH*=D6FhB0^IE0JQha z^!~#I&)=uX$!d)r41OseU%qE!A`O~f#cE9hUVQKQ%r-`kE1}NA@_!r9yifReKDhmb2{Li;4 zi&1{mtnDU0La*2$B4XT9-pzYrMMh7-MxC!g?4`g7?E|c5r|b1nx$33&-k#w^c_d*8 z6wBgMgc?;lA8gP=y{*6Rb<9cwo22=&^Evy`YU>GIR;PgX5SXKI%mDePg%@_rwZ}Rm z?wkjQVbgbVO>;nZ264ej5NaQFIks^{bl!7&oS^u)te?X1Z{1P+?L7&?+^|na@lY@Q z5_fNMz(cj${&p;yXKO$WS2E=2RxwGgUP*yGmI7zHXP#6oebXd8bA10sb60IwP-Bn*ZgV4<19oD;lxFs~NT*?l5C zONOFoZ)Du;M?WZgP`9!t2BUiL2R5(%&eAJ>1ef+_O)Rzu7^e~6ClWjv7Bo^QROm^y zwX4Jp;k13H^>PN*15lpNKu|56@KfA7q>?Otx`Jtz-9|RE?MmM!hOD}_i5Y*-xtx>w zn>FnC5ogxh z)on}f`X_$NJ0EGsx_l=)b49un0ccLs+H)LljDJHXr_nXl`&dMspsnaMZylrXrycD^@_7ozTwYVa;iWU?AC8a)K$Fgz@kXewP42IKXgjtoFMD)(mvWEXUw1p!rw*d(hB){sRbtBK)e=7|+`s)kivH@~?*?z86C(m8v z=2#Aj$CkWswqx>Lw%j=r&a=SQ+s#OD4C{{#dX}MH2vj0hd_JKDEq03&FK2MOHh+_C zFJ)d{|I4jqQEzz43i^3|zN_3JtL5(|uiXBRo;9~s*NJH1=dP0cL3+3$lO|F56q<0L zAI)FJ5EI7P%m}RuuC3Z=_a)})wmADW&3Z$%@!4Y)Z>>>84T36MvE(edRvvlRas`*K*&sSIGlp{w`ox zCO8O8C5wwF*lA?(Eqas>?l0d~ z4U&^J3b!i}(1E%i*RsRkwcPF>zc<%1I89@UYm&V*@9gu3;nfa%U)Gm*VeU^?nTF>` zw2dO+1-=B?0=|W1d*x24;xzVa4m}*?$BcwJ)+-?X!BfaA$!Xu_Zp=9SnYUUT@ZwrvnhqyRsFrVO<)EbHDv z$r6fPpz@rx|NT0EN5y0wzKqS7)cCtvd==xK;xC_%G~8cx_0D9K=N-Tc^f>M{)QQ~7 zJQz209>y=z9i~KHfI6O|1RUrjPqh+P`^u1FiJ#3^X^Z@y!>m~vD>tP<=qPJ10ttD@W= z!|{VzMXfWyJ^@D@sfXwdSVYX2-;!%eEts}T&WfvF?d1i(#Sl`lW;*eujM;}_Ws;pw z-k#q1h)Owx6gpHV9X0W)>1C2$TCnEh9xP*L54DvgQaaL9<+YLZWJ65O%SUz5&(vZ; zi005ehuG>EN0!cL@@y=saQ=M=KvdYo;Ag?w%xa4b&U13;oOy0#@3pYu> zdx&yAb*~69HlxB%l8~~N>tmJ8UwjYU1u0ZdbqhafZw7bL6V=m1#dn?=kt@ZKZTr=} zDI$0Sax@A}P)Q2?#j^0U_y+WzSdrOmY3TtH>_?McW&!kS8%ue@s>YMX%brL~4HOu< zdog>hn{HAz*Snz3Y%X-vAMY+t*)GH5=)Rfcdy_CD5Q-OY7HuKn)6lxiGK?;p>akt7 z>Z-V|lI3uw#Y$?QgY7xkoYErx%>5duaANAdkMB?-Ut09NZf2t$M*CpKo5|Tr1zzrm zs}EddRq_FE+bw9eHUB)aSx53d=^VUwp`*GVlmqheFNikF z|0wIewS|PBUqiOhm{E?f==V;=Xw^7szUrNoUAV_acj`~@kpKpla*pAc$<02f+J{tW zO%K)PjxtDSvvw6}e&XM9i^sIK!x1)nf)zMq8B%ZZS~xEl&OC2KaOF_R+fE@H({(vU z-L$J8CFcERKMgTmBsAmajq&0^lIDe0E-V1*(QFrY(siqPB2DnOX=OHduQ_4jVG=`4 zYhL?EESKtzARYk%HPAT4)Y;wLdYMuy1*{)fu4V>7w}?Ltqcw_;dAXPwpDO$oU;q6Z zy;Q!wGm6zaVfdpRTWwS??86(h*eg}-$Ag7lk+`5DOclza59y6rKRr&74P49y)o1~} zo(q<9FzTir?U2MM${92nFWk!tg;zBOLLk%IgRbqfy4T+B!E!Jak6(-%gT+o9td4CF zjXlupSOuP>%Xtyj6ce>;5%K)P5?3lW(Ks(6z*PlWPOFic^Cxsq+8g2%O_~o9Kb>v+ zVEbehkc3RyxAn+=pGDW5S#)yhB$dWb_(pn|#Bxu&oMhUcy4;cO^t(|_5j(H*;1YGN_v90pRBr2o#1Nlpt&~`0g7a*EX%0Uhv1w-Lc_GQ-{^cx z(FL8z14{cszThT_YoSp%9>F<{pm6XeX6JIfF7pCrL{4Hdarq_9O_mSJrYG-#e%E0@ za(a%!1^UCVK;)IM6wBXERuwhPYbyiu5?!xRZt5$wtXo~~TnD>_xzEz5t743u8(Q|> z#uq#0TlJj=1I?&1O&?tqXxUH4&5$@?iYvdaa6juwlnpI0RY0qKwWfEmoj8uRyw@zG za*Y0zGsao_GRhMCxxe`@-BG+$LgU$c034XioB_(z1)U0q8OojU2{R$lCq{%A&&Juu ziv#1#2Okt~4;qzI+ELz9g>@UO4K-DQYaqu)!FQ3&B;& z;2dEDCC5V!KEqrks{FJcd-ognF%zDZ_+4S3ZZ#}5%67`yVwIx+cr`=fWQ-Id^rUHX z+sAbltx)vP#_t1X7wkudWPqys#>bIzM0cQIYb+2F0R4a3eecirqsrkl@$0ovRuic_y9Mh}%h%eKoH%y#F6 z0&7-${yL44=x`)ngyod!Soqem9@tG~KT$)Ws%p1JZ*LMl1kF3;7H{fznSjcv)^OYh z<%D)(F?{%S)JJ`bH>V2aRgbJEM( zV%NA>vfA+^HvN#Fv;Cq=F856Nvr+(zaMNX4ggeIFv4yj=P<>rxbMz;(vY{UhcnVERz7}gy$4X>zR}9vNdajQ$mnSw=eVXJ7<^u zY2B(9v~6`Z5+}>Q>TcLd0q6LBhT+)9qJu_W*~MaBt+d0by@(vo#w0DhNQ|xAJh!$b>@UhPsH^^s$N|=Ea~>;pyZq@*gW2fS zg*)qS(fqA~GUfy%kSL~;*bKFDc9bobob@c}L{m4%3OTk1R9AOiXNEg{_vB@zmOuMI*Y02~1L`A8J7hR(ChCownPJBHpmk@Q83Z>A@qL%9TmL-)g75trzY3`~R zD7n7BIYV%wQYf}Ivydri7v8pj)ymGrhi5Wpno$D44oHB|-kIG^bEQo`IxO?3s21MH zwKb5~Dy4HfP+xpn8LGs9;~Od`b@6l}MLEdLw>88RfDjTe_@_str&JszMzf#~U$5S; z%8u?K^&Fipv>06XI0+wGlS0h>KA_Btm+ZJ(oK^7tBryz}Wjj~w2-#BM=arf}BO>l# zrn}js-7(es5PmrR*aDiozPu@yDRzB4(eUDXAY%b=#EICK?z-SphMUrG3(t@RZUSx^ z?spT;|KC;r;5q=EImM`n}by!)CfuB0L721doXOST8snw2Th z49`WutkY{O2<-pNeK`^?DH5#Uj~zspl-0Jm$SpCy>+>H`)ty7%KJG1jUiewr6*t|yzg~bjHMHyZX$eR5 z0u127vE*0x49CAvu=qZ^N%1Po57w2F6f3nZ~ zoS2oZzuM`9Tz{kDUuu1#kGsmkXva7ZMG>HT(nv!DPVFZ{%oSN0(#D$p#z3tb5`R6M(I+m(1JcFD~1*AM;9*)V~IOx zERX1pPbCUN{+NUqZF+(^UM?dnuL%LVuT_2Fx6873brp1&{-m}L9??dh26O8-c~&Bt zt(vZ1u2!z)5jEyUm;kEYq55%0>@9(eEP3W5G5OSaYh|6@1S!1rBlq>xra^r@D&AA@ zeAM1;h(gxS4Uz)?1P95s$1d{K5SJ8DHrq-5PG9JG1~4UaTlE17N#|O+by^`FizZNlD0{o z=k~t8sX;R2>Xdt8x7NSro6&)_chnmpy7?4nFh^W`tTviQxp>$rpLp1BZI`3csk8s` zytPF4* zgFrgtu4A%Ivf!5vc|T(cj;`hFYEqi&T9|LG_wnQ&FPhpt&%UeL>9WxCjMUX3;;b{a{&w>gO1Ipn6gT(a)Y)kF_^*`HkrrXcQy^-25s zdnhX3x(KrJ7IRR%!OP-5!@=Lj*#Hm(PP z0ASeUvx1so(Ih(uA2PzZfh==hlOuP5sld{Y4^2FH|o_|0&X6MF$)KJ=k)zc$hS=E=gHp+eg z#-W#}?#E#2@1ZvOJQvlCbPSWeu}lYCWZjAG6LfkxsYP_-C=aNqM81%O__-uiz;}&N z_oG{Nek&=)+;w=oBCH@Ub3(M*b)@bB#W|!mPLH@*9QX%tPl8Pg=JvK>(l>m8jVz(x zVk6RUtKJv`sYOkSc3NUvalB9K0T9B&V3y?I`R3lqx=fVCq2_;1PDh!=9AeU@{hNpF z_AYXTpAx1q=sJ6aZezGcKx8_rcr45qOM>%L4e8m7AU6I+)yCJ8{Vtx`9_#K1w{I2d zs@YD8#2fd=UKgWW;+&L^OBvE~DwRf7)yBx0>wJ5ULrex?Zk8A5pX3%bWrku$XlKNe z(_sbayV^|Ga}3sU`EjZ$l(G<;e5DI3J6Bo_X@5xN>UKw>@F~d+(@lQ$n3MXtaK=sH z1zkm;$*OQa&1MYLG>NeWTU)^kaG=*;c)GZ=_jsY122CuO4YRio)H(X&XV< z;dI*~`DbOX|J@5EX0wQ796@_W56o?>btT@2^Bw@6c9Q`9RPjiAj5wlEi|Etvg1Uoo zN?kf(zk`s=+=)wiMl<$hcle^Sip0Bzr(u}u4^g`syZc&fDa)YNtMB^=*~~oz5BtdR zGbkBkzkX#I9%UJZ5Tnorc4Vr5`HkBR_lp<{Mcq?*Uv*x2T40-+!?IkbsTo8I8bqJXL@?J(yjC&djiv0vF2^t!;xDf;w zB?s@+{yKE%uvBLLaZc##AJJczPUAW=lX4*Z&KxivDwHWttw;Pi&Ka4b*c!RuRS`+<=~$1*UKtg6mX)Wd;9n)Y{p0cBMoW*o@>Um&EnKdcdTil9o`sb1)ig(!On1`&KA)Gl$leY& z{pr^)wYeFCE8{KYjWP_N*TrCO5J@eu%ljVhT8%^Y65~{pJE3tPQGyKFPbl*{{OdT5 zf!S|tu(P`LTp?o&(Klk&F|wuN$cSete{Pq& zZ}Qbr4qBxS_Q$;(>TyG~f)B=FYYrf0qomHhlDVry30{~U=2|4S)p^o<*EOuS`w+aq+=5*@FZ24D}mh&*xns_5qEBcP0A`~VO0*r`l7=2!F$ z(I9W`NK%oPSIE|c0BA@d*t6OlPj1kf+_k3Pqh2!QzUG3`{mJ2S86x*hUjE~(+`40? zo>c;cCP|CbX-a!jaaA!MnHvlBTV%g1CknN>lYA2m<^HpQLJe-018(8yqepO5 zzrQ?|_p0Ybj|0K)XjS%vx-#P{I##p3g&qN!;+}dP_R@GbR5G5+a@zP_WzlQjg&WqLkY;V7c#II{v zPW))ZytAT2S?y>Sum4UprVZ++&LQ%OkL_I^Hr6It-sye-Xe?f~aF8fD3di8-{V z{n1oh3GsZa-i+JC7;(z4-j1WF_O)QCK>lMV{DRPZ{wD$G_cIlhx$(RUf9Ui&t zP5L~Kb5QRnqR_+gvqhy>K*$)Lp2jK)Q7CF=Wy>22nG9b4m|O^dC)-_=gkbgWWiS-y zcLGdh<;YkTc~q77+u&geDRN2iL$7bfG?l1Z#Z7M&%~A-WZAJp(Job-;07NR zxpPer<$9wDUlTR286&cg*PkkTUVMOXd|)B^Sft9yXV3Nd%5x=3=KbCcR_IS?A6XUd zf36ZuoU=9)M={H+gaP$O{;nXdy}{PA8@zSmH2bo9A$C%P&^^^cdlj6T#>gi+O=J>| zOGZd_yMqhm{mu!GuqdjGa`$m7jn$2wU~ptmgcMvXoQVn0x(25zW4EI6KJqpsEsblV zWSHC)e#cPl9Xzti74q@oBKd^-*AKF`^XXg!nNYfK=1rLA8|K^mYh>%b9?RHb5pla{ zE<>%Ir1#pfw45FyKiPb*Kj9SWSxsNo56f*r?{$c|wD?ah==D-(OowDIZ9Cw^J+`Ul zW76TDSLGo?p*%;IkB@ust%w%S)Brwe#csoEB5h3U_g>#oHHL)R{9r2k6wc>~Dz4aS zJ?~2eW3{PyH7qIv38+Z>ic2r+apJGA5v}S%vn@_4(=JFN2|cU(fdRoG%SzOmEi*cI zl&;xyrLS9c#-ru;&v?m<-xM_&{7q0Yg6P>QaEod-Fd+5A6PJ-e9!4E6tq0X)m(u1# zr>lLG)M=UEkKQ3i(Wl5-D-?C@bN&L@9O|6j0*{KyVXoD9Y`~k>hM#su+lV1lcD)O2 zrw^!le<`QaoGp~7-v%Fk$Q10y1dtZD=XLUhi7+W{?E}RjRdk_=2oWIhLwc+n~3avl6rJkqLYN%ed7ri;f&D2x7 zj{2Z}khn8OIET-(aNniF5EA)7p1mM*e1-=z{G8w8oM(L83gH1h)(kkGmIGho&B|oR}ky(2KWQlN|doZ*`viu}(qa|D= z8~)jj3(M5%^EO>idyxQ^_B1ze!t#!AW^r|2;WI^Hug1t19BUf0{2_M@zwp)CV?zfDJx97()m#MTuggC-py5i6`v=Nq{ygJ|f z;TN>~^A;_(u84S$=(Jox3MN8xaG9NIVjA%`j5lncj61p73*4Y`B-tKbHN~d*;@dKc zeGy98!|*&?Ks-QjSc&wuy4oHm{!iZDdQfN?P&!k@Qf;xdH9sk4UTHJe+T&Ro3t zt@$c+saZWR_fCo*JW$wOer=`*@iq5#1?4bPkzhFwQ^reHzoj3$BjS@ms}f^3t|~yE z^LN%qD#}!UQ|y@t?yt;Lv*TNWf}TY5+3Ez?nu7PbG!aF-S_X##noU5}l4&s^w+B=wab-#(Kmt-sHshkf2ZU z_v=H(hEmF9*VCKXkd!SEnA}$lJ`49xhOoAVbiNFkHyNq|_8aRAuh$!FF=bsT1gzFm z=rSe-WB?uNt&X5j?!oE%rTSNKijyq(@y3sSvrfZ?aIlzi-Ian9-bz0)fL;T7S8#T(c^|h_R|IPl7vwM zfB4^PWWsavcxxSCHI-uQ{AI_7O+J1+d(uT8(UQ{@Wi9t?Y`y(EW6o!fvx&KFATWIt zCjP1YB0g5)m_Q-f#hh6{ofQM_RiNWLQx*2&yF_ARPaZ5|d%SzZIR?r@Dmc4|;@*0w zY~cIBf;fvP`!aTkQxp{exA1=o;tN3aGE%jsu1i%!6uNpxm6j5>&PRBZ7*ARMGu_`I zVGCJ~rruiNy9#;}=-r~iPYojBR!`Trt}jI!1-nVEne+#2sYgZS@mJ$6Z#$l*(ytsn z!vFfhD))|j%Nua>i+IxR!R+97vFqLmbc7xOl6S>1%kAOBCBJfsQ-r4A+2t?TiUh;E zKCzmnKeSFTRj%ad=}d)P({UfpuvQLDU>@D*Z@sU!x7=6BxDuNWvC(^d4rnjKsB?j7 zyjkB(&!-@+8>}Z>)hon+MwZUYg1Gv_+{pGEH?E^IhvaU0;=s<(njuqpScS~u`7DDu zB2~@u-z%G~zL3wlbW|HO*;Ld!a$x!L1<_`4kN6_#Q#$iGNu$h{0EFSO$;5$x6Njmx z?UIy_u_S}}V#bO>5z|nHDsPdsMd7_n&V<+^>^Zqd@wPhx-gSj+=Q!sxKV4U}UddsEydXJ#BEMTaNj;G&>3xec&~Qu+GjgF>EsldU zD6B4g^IU1ZRCi`w6&QqUE6v9}EzpoxA>XSNCHEPCoHN{Se!HKgVt)VZ5X`IdKKhw& zzBP;E2ysUx*geOGYNN=3N%u}pg_6Im*d^P|_J;0j{#v~Aa4?>r{muPL>wXl8om1JxK$s(ZN6$UgSP zY!ecBAT7a?{E3T($vXNFc3?YO71xCQg>lud&u3{64eo}T2KuCFofC1)Ns8NY!|9X9 zzT2#xel<|cVwzySF!@fW;EMSf+dH0cN5{dkyM#e zXJ*fo|Ir8@-%J?{>x0ePtjV>cpUz0g``Txk%wkv-obCwr`c-ley%92$)!3Ag5D9!j z?dK7R`bjt;pf@D&l-geZ)z^}-jj}4{UF3W$w8Y*)SZ?T7YxSLSJ&&|V1V`o%m3M0U z(Viu1t^lNAQ+!y}R&0o2E3s=h`qn~XFBud)RTWdwmqG786661Z;D&d-XA{Q79y znAd&RXo1?4OgV0S6s&;?x{KtXA6QO&fYT;o;2lR-x`>tj@z9oE?<7{!& z-|HyKW=2^L@$o}U;R0;5Xrml z-+Z0@I3_k`{vk5P1_TR+lhe)KmNNBb?WxI&n+pr*usVNJ%QIq%&LGG`xLlmPR;vR&U;v5T^loD5N>x1f#G%6skKTj?0 zE?<7shtj=5c<+dp=`K01^D%^(`W3)S6P0NrYEsmrr}rXh8y;?FMCN(qKM%}eq#{fq zmnWT)(E+b44pWaMRypyyp68~rsF z-l6$RM+Yv${Q)7L(JS)PXM`rpiW;WuAh5k#&zw=lq!PA-Il zzPF?^z*~)R?E5vMm%-bJ^FAH^yX1$A1uzA|(oQsA)*&Pm!vG_V$(%yrx6rg-A)VJB^B*2a$`P)E^|Dg%BvptO-IzhEB8#( z3KISZ?|}MDpe-~0cyV2xPBBfWGWTrzOn)t`Fs{MQb}p}p1!ElCF<9byJbJFi zQJDKY$dvPNVjtm)F8tj*Grq_bjK8DhRNKuMw=?+ED7=3Vz7S$v`7^<`|L58kTXA?J z$YR*HNmYIT&OC9;`WlmJs_qlW?#=F2utg!yM&zGi9IRI=Y5Ts_FxVEpguSOueQs%z zL0{;1`p-qz&^4+1r893r`83q0jVDxUnuJJyLv8t{bg?0>A7sLre?$tn;dcjri8(y$ z?OLEgJfVT^5koAtnxDZjT##+Gd80nMRLReLl&p;D4vJlAWKOwDF}5<$tG0*CFNS6mVd!%fQ2*+^eARNW)5K>lZ}=3K#o}uR6R^f^mGv z#qr3z_FC5mEw-eYl^1NdvQ(~^)Jj>Qc`BjBwiBUFCu&Vrua8S z^f$BzX(?rJOg8uBcbz|^k8?E`W1EhZH;Rz8+ye`lB~AEqr5CN`vAtol3u<8wg(G$W z$gnwTC-_~+SdU6U5-X*TI4WQLqA$rMi2bMZ3xR4DUt;_(ZK`m%nx3ofZ}H08munoH zmu?NS5utqt^*E3A9Z$LraVBs)W~23W-a@3F!dLH7p1P1NO~ajV_*`xM zIrdxx|u3qv?5KNl(7aqaL}K4ryu!V0#`-o#yU#X zY->5?*Y1qli+kjC_WSh^6gDj{5%E|KM-9|gRpE9tC@oI*WrwdY!F1pO`3AaPA!J5` z)^TCQAO2fWr#qp--#Qw=qB3XjGe$dInrVK;zu-Qi_GboJ(yTaXj!eu4{|{DGBPLRN zIcPf$EbrUIw4QFy=*F^EGIaYnniG=%5w9?2*T;>`mY}TDDqxcXl#BSNBCxiCTP4l# zEL^A?ze|`^i;%M&bfFZmVf}sklCJrc^PkL`0F6rClp{W4aFdPQ)O%Sp%5{yyY- zy}gG*cJb-l=q_%d+JWw#liMbprteA;SKi$D&&4zr_^QCk1mpY52zl=DLK&49C zJR-O0OD5;sw8On*K^gJ2qHnorUk?rcZ7cdn(b&+6pt@U7W(vD)R$HL4u=-fh=4w1U zZf!xhz=_GY{3$;V&d)gF#9DG?vwC80ix`EWl|om~j0X`>!bQ9u&23y0l76v64uN+c z(i;N!b*QW4(Vc|llk z)$xe`wxyKxwg7ODEv>fDoXj;a5m^U$!pqCehd28CB|Bxx`S8~1wi#EWP`tC7`PprB zY9-GknX+NX0tUy!rDluQ0eu4S&kZ^uSJw__tr408_{&^PO(7D(av_^krnUT5i!#W{5oWWu#b|`m#UrmWo-8Pz7lOb9BF6~YYOq?r&b&ib)sUgbC`L;f zEFp@#q00Og5vLif@S?Mkq@ih9iQzP8zV4tqh>ufe;}dKWhLJ%xkDPGA&gR~YKNn%2 z4B-QV7tHp}2NlZqp|-`aI4@#j`UxuWFhy;Aw>f9md#v5Ic@)W-Kf@}`{`Uo~!T-E{ zYj1PLra-c}^nZ1Y=E~1^>TcZ9Ay1d{4z*7(!l!xS3HLiI8H<*v@WTdJBzGzoHOgm+ zJf&BP6(gPo+8xtAh?C6io1OYU%x8@hkB9Wvd@vfMBzu!nY{HS&T_fG%`|sO2t6e?{ z@d~jlduz=g>JQ>J4Xev@GS1g}xkyhM#h5<{rmjmX_mR;0Qjrugpz@hFi_%xz;ix+4 z>pm?klwJ$8N*?ast+oBcxxy%9YbyS!sK@iqyk#M(WoAQ5T&v0$yGz7M~sk}zxO&`8y3#A01{O>=GK1ZG}MW0N{abrkd zAe8*Bg2>H!@!FU&4y)Ux*&KdF{4o_1LCe1?@rG8ijjB|b*oJpK6H^dNA2+PmzKuZ2d(!{=KKI^y`F+XroPGA5J+o%5IWyg=I`MbAQZCzod8Yc+9RrHkY7 z*K8-jNOqWP8|SozkMk*vFi6=4V(C|C=MJb{H%LD6uEMgCl5n3Ft#Fi(h zpzVvEt?ng7L4T@!d4o|~r;gx(;`x36`REx)g2iL{FXLNBbT6yD5bgL zDq##c_nMnYa4!b6Y)yIAsOc#?fZE!_kh;{8!~Z-}V>^CdPywt`KZMY8@C4ljxjB5I z?c@|xh)ATY6SbE&8Y93;~V=C{f-|h4VPc)fK6x;jD7(|KYXy=az zyJ+18yXt{KiWHdI!t^lw^G8_1s9WT_lNcksruT=L;L&PzhRU@m*jVVN5xIzY`%x)^xUANB)O7j82i2&$ASp5(Zg;`=X&^J8MPCNe&Kh3p zLd>?B)BxaQq*?X+a-K^+pY-KDA7G6Ob+luEG#Xb-0p;UWZeneO%`BEN=FARGQ__28 zCP+Z|&Gr&!VbPY@7AEo!uj-vFKPxl*Q4;Bv`|+cA7%^u;my#QiI!&m~41k0+vkW1r z%-K<70Fdc(2s)bj^jr9a?+^`%f(m6P>9v1n{>5K@=}0l~FY?bw4U^E3p@|;vC>x%4 z298bqUEXGw%}W9c z-pDI6Gh%hWW>v3q?Hnp76FUMub?Zmv+#be!CgEN0w4M_YsE2Pzd zvy0-mZU_PBo!0oMRo|gV$5J`mJnqM_IAWeso`O{AnW3Qm3Rt|*3!>ws_WoH(sQPm4sk%Ak%atw zqxO4u8k!O$LcR-^x*hwGzHFpt?d$-0{lXFU9s+7#&PUP7_mo&KxKB+G?7bQ-k0t9@qHA5{OMU^Cm~|2 zfGDfBHozoW>5oEK;nZA3{c-p0H(-tE8KMgLuQ}dxtED6!=>*>7`%v>39s^2vF<)7D z-mr0SHmiYN2|y1`dD|exg_wxQNJw|D`YI26UvF+9`+bNx%5HScqu-BNRyp8~YMhIw zp+fa%aNA&*NTEUzPJ)j=qjS&%2z}ok%t>%trm?cI1YDRxwBfwV8msImn-*w!@eIYp z73mYOcE$XER3fWbQEk=e8XQqmKMo3qQ6C${-N6yJ^cjaxcqNku&|IBIYqt1Dc=Uqx z_p=-3LYGi}BNSTZa1O4^K|lFaQPsWsbxoUr0R?*$L(FE7_@jObCB}nxVHiK_VGISP zYl1P(XU%2CR^(Gb)Yp3ZFuh3`Y6^!wfK{ovP`W%%E#F44hrD|kKFbg}bQ>S+ih?sswYSMe>P< z=;@w7%_f>7JNQF&oJj^Ui8*B zoXonycGMvf7yZD4lizC#Yq4sZJoY_T0a|9-;Ne}-ckG$#U1}kRs{uiM0@-d<#BTZ=Fw9`!GAL8cnPtqfT#xc zapAg+FtxD#Kzy0LSh*^fYKf5omhbV`9V+R-$6nEO0 zQ180ed{XTXd~_8_Ts7tWF7Sq2d_Tx31;blzl^+>dq18g3#bm!Dmfi>qB?X2Y7DUrf z53Q`=4Xlt{88^lQN+=*Y?C{;LZGO@TwEluFGUwzO-&Qruvt|UfV5o_Lp2i~z8Wu;k z3O7t9fx{DxU7Zx)pB3cVm#t&2i1UKZxpGKUW6#yuzB${zqAfk;nqy*Rl6e9)FpDci zr*ikX56MM#u=_U>N;8%8e|snlsAJ!pHGo;mZ|(9 zU&1qq*fKdkp2dG^fk~{K*>^(*<6BVg!RBR_Bzi#c5aasI<>rV|3Tp53hbn(gT;F@P}UHXHqx5JBuJga~>n419drHMqHK)_GiX5p|n#ZP({= zW*z-(v(mfn;=_0_?|6TCM(+BbG5W4v-Jpk%dV-|A11G%t08Duq>>~BkwO8#$<8K2O zfi2hMbp8Hr-*5HbxruP)UR(7AKp+@u2&$q;tQzSR`{=+?)(|*;u@~zdBg> zZnt%PB0zL<2Pm{b+x@~k&8%(Z7q64vn1E<^%eJoRgta=}kj~pzF69q!mB0!!0&gG+T-ypH%7+(;3s{>!%7n=7WbkpVSl@N3aACATU*0sT3K1OI31I-@Cc%Ki)J;S%Vj>c7%g;MHy)`ZIdL%6e_kVO+VKs1Tdvs2 zY!V`!a7b_uaO1-xakVP}WC(iwGf>57zfd?SJLCGn@5wN9eT0UIG_ULKXAHk%N+F}Y z>sFWNVs6>odYG!oe-Nvc?&GA4GC~vi+`RddV*GOjgpbDY9}Pq>B7n)=(TXdps~DP1 z&CUK(pV>JeJ&-?VW_7|qSnK4O2ymHs;YbaW8M)!^Pv`R`=t z5wGbve8|~n@fn?bK&Px#3K9cKJs9*=HV=Ukg0c7%hq=z7@WU{62gvC>DVvwS!VLE8 zSp=^C5OayAq=X01U2uVqmR6c*wWp_NU;x?#0hjHh8?sl&o|%csb`F>c9@JML7^pK0 z*l|2E1_>l=ZM3{6ygCe1mxYL#f=l>g*OZ5eYvVjNzmdrB$OX7)w_3?xhnkE1WkpOo zih}KZxb(LfGnPU$UaSAf&49<^Dgr}0fN_`5_CeF!PDi9H3^EP+05wpGL3^|N?V{d0 zPEy##R~rN~VdL;^6Pg$K$ar)#aP*$<3`Y35XVULy>FI{#-t;Bs(%r@Ml1Av%rz|W_ z@4m+G5zcVze5hPAhnIk#xCnN+AmaY+=tpyUQ!?C)lA~t7!YCN004MybAMe%DLn`>) zzKpZ*ZWn>EO>{%Z@qiBc z^+>4w1wCp)GO#k_;6$7Vj0`B3B9&Y6niEG6%Y84_-dTAw^t$Ay!h;RCKIDE^0F1d& zS7A7-Za-5O4GeLFi0UEs-Q-2Kn?&ap@gJH&Ajz4+Bo2Pat;z*Dco}kw0+Ui)fc9>9 zDz1cum1+xnG~ZNX_?%X9p3fdZcG0eeC3KI9HyWoCJJ@$OVS6uxzocO0eie}S2FDgr z_@XtpavpX!#0RdyCL@4^FV|TdLE}8gHwReD_u-LlE%KFhUMWZHqX{XqYu}dBwwmSk zSv_L+TB^d+OYOa>danfWe*KJVsZr@-tMtGLjX>`cGn2Jz3UTV(kE7%x&YAia-H-=l z=0^-Q?HUnqVf=kxkMCrHQF&4j2-_DZn0RtDTb!*%k{M4tNxnisLFIjqR!;U1fmbq< z+!{TUi73`gCoAGQut4hL)?EQLhT8knFQJ-A$v$3qR4ut%I5OA-|NE!96}%LNUGeoc zr$MC^*zj_9F=FKs@|9h?gosF8~FdT>bbr$>F%`n#4{HqGb~?rs^S*TKbTDr8gz|!;OuW){D`un9&Y!Chf#(?dPXJdsd=?C8mj1UoaiY1ba zxV)7B;M(HPVW|@CvPT)-baTwCp_H|a_R`BLH-r-MRgOyAluUMIisbyLPb&@-`|-^+ z%s!Q$e=l!`jWa~if4SIy8g|swvGH}KcZj!(9m&1|CRXKq@o-k^3GFn!C8!(~4m^uP z*^eHybx22*`If~`UV?NK42F^9|gCBT;KS_bVQLj3&DhLzrnL_ zm^)|}zyIN=FK1TX-+8iT3qn05E&a!aJq0<(I}7|C<|rw3TQV0G#uW}pD@2ngcM*)b z_$!c5KgL!2*k4Dq&A`zyqMIIs5a{vh>SZKr51E*9aOrb522K~YeY!1MiQ5v28mg7c zn5c@(XrpiAW6(wSO-W9^DsKVK{Hto-HvvoRD)|$9Ih0UnWr4~dV#;0-B{{P(Zf-bN z&BbNs{+#RAk^Pm7tC1QHn)~I&MHBtsoRp}gRJinoCH zhL}I=Qa%zd!7pPC7KUo}zZDu~bVpB3J?*TYq-WBmJ!y696HoWHMN*m{yVjt(K2PPr z*P99>8N2io?9NvI^9PS#3a4Z)5nK*!RDxcqn1cy$_n=y1I1u8gPsh7-xfo3$klP#> zhT4Qk&C%C7fvFVwTNE-FVt1eALu+5mendn>9mUFiGpm7Cf7VPih`!_gS;DGmJH$*! zZ!);?ZKrDq^Pmak9oNw`9J#O -O~6Xxtwsmcx7$o}9g+y~GLBVnRGZPiJQ(#k0B zAHrx)1fKji+eC_7fcp-XiI^(Oxlt_UkAuVLVq*Pq2C{pAoVqvmsaT*j5qiW_co(*T z-H#;~_B;yi$!RZ2JAMhizkvi2{Gm+aIi=SJr)K0J^0nd0xYc+b(Kek!gTjAi*lwzD7F1yPnHjL{fO%=eXfp9F)(l=b6@=wTv;0HC%znes*`m;<*Z zv`lyKA`{vwjFHQKO~H;w!QOl7{Y|37m0ry7?AVk-At7_w)xxm(CE_}ek$_mlR7dS|^8TKZLCsE0#sL6U43u@Da}bEX&U=NZ(F29IlKwo%6XeePpH= zh5gV2*Gdfch~op~Fq;B)ewNC7xCvRj#RO+itTp1A+pde=3&QNyLML$adZ-)<)YUk! zA4^b{3@I?MIk7HTIm)2nkP@6`K`w<)SMDuxZI@j>-758@lw!1#-)1xtx4UC@7k<3^ zV~kCZi5*EhbI0ZOWu+^_sL!_*Y3Y8` z(iyaxwk2@(U4)KSd!*oeM0d8l?b?0}Vq|uoF=gu(%#7Ng@eKx{1zCzInN27CTBQNb zLLutN`cbLz=ou?-hBeajRh+zE`Ad!AWwe|$lY#O|p7|vIisxSV5cAu&gnIZn^O(!o zM3ItYl%}aD%UZIO^-b89vR?dZaXz-kTepy#aEG<0aW5Y;zBHy6JUeo_ZVW?5ZM-M> z-%Sl{+26V4M$TH%&$~q8-sW-JxcYwY2uuAb#Rg`rVBW!V*Vz2N%&Br3`t^Q7e-*x< zwT=r@?RXQvQn^z^GejiXtQ8#hVKKpx=@QS9Gz=;51vNQ`o~G^4>U4g}!`Y+u3>t%; zTO+Axq|FpXdf&c5g-~fqB-Hz1OKqle|Dw8BRexR46Bpsj5gBC@TPQSx?bq&67P~u#8E*=xD?&ck6)+lF?&j6ANuVqT7Fxt-&STF za`>(X>5Lkfmq>}?lRtHw<9@M8jQWbp@~04;SiBypsMmT^ zN>gcO>?2#rrvxnMcuT&HL$KO@R{|K0S60B~c(AdzN8ZiXpmvB2a1yK8ttwGOlvY|V zI>`IM*`9}`XV!t*8FR6A!n?eWe9K%QnDD z-5KjjZYA?#8^93^jdO*ftx@uxQle>MVcjm1PV#0Zr=?Y>Rtc3*d3Zbv20(>Yj$0{n zLD5ARu{RFzcX8g__AT2qeD_*-ggBK2M#@es-kV+~8o3n%9Z{&-4|U8mN-xjbX?Ila zxnEsEkQUJV;&#^t{0Qzt@LgGkxW|?#v`dhXc)w92gkq7VhlblimRWCTYisEg#;4rw z#GH+RJUgi+`PnADL5HTNq5@m{R!PhE?T$)dX))#Dx_9u;U_bdazjNE>E*oL?k))F- zeF~a_RpGGatNVu=$U^Z9t`EZ_3rS#`IXgnId)-pz_wmWNz}*kvI7|u`gbF1NJBG;N07Girc)9 zbWD^sfcm?O)^mXoH5Tv8GsMwLy2n{;d3I@&5R?7#S9w-RUqAQe`j1Jz7FIS@yft_N z?Zz>pTse*ai8q>up_5#{{_XCi9>&jfbH?}ji@B_bDFQdrzM~8NfcG$h1G{y58&YoS zD3`LRzZ=Av-{lvFeyIKaygXzFq4}F+$MMxlFl3uX@OK)S1b=@`Q}5^Z%w^%r%c!fU z8qP6@;~L(}l_iSy4N(>02Pn9W>mNt`XdliDp3yUiq-9FxCW9%*K8Mh`HJsVVE*eSI zpu{Sl>KbTfts#y4I~Uy#><((K1{T6o{d%3aYx+MCVcNKU{6p1~DYQqX$4 z*}o;_$t0nn9|XpeX=t#yw0ui06LQ00gze#qpCd!(0vcT91A7Pm{^aC)^%U)SVmgW< z@Fa>}bvxObg$K{ca4|AphwY z*tC7Kq3&+5d90-%sU{p+kYugK!a1wyhk!Oa0H2HJ=FvlHG_;m!_JE1YlHA(p2< zI41v0WmuP)O)?L1G@O&|ZD9nVdWkB!&K`@;qY(_`{(eJ$@|a5qsu<_~jo09_ zXMp8lYxxk>C3F%LgCR_e3`+rHBl7F--iqMJ3GOP>A50FmU@}@gQ4LiiL(6mQs|BIkG!d=SLwqrZ{-T<3q{IlIeyh<)692B>mdB?JlirAEYl0qsP} z{a#jiTr!O^Disx#*@0!DmbfX+B56uSQw@?@#tp}C7*5UP(?6xHKa(h*Y=C1pvR;>9fnh z(gnTMjc=sJ1msrdHmXe)9VRNUamk*R_D7*q6=S3N^P&$G)YzH|2w5ljHqJ4n1Wg|<(Lw2eSKnCMVIrn1Xl?sqUEqvBa&EFA~Ow=>Q%zL z4B41v;ac9Kp2UmNQJ3}Y+QYGyTlQ&{*Vn8s>)C6LMf~7LG6vdc4|AE~uHffeQkuU# z^1WE7=HF?X??8iMV{a(_mQWaq@j~QCo=;ZoAgy4QQ|xSz^MY3LiKv0PfnTM(9V9v+ ziP{?`4$6Io?GxRuk%DXJfH?R5*aB$JSHe z@~*CU7(u|AeQFzeW)@@+kxHU-ip?S0$P2%`#BP3nu@GQ@)<&^`?w|{wMb(eTMj}%I z$&l$z%}0qP%^5l?H0nX2BI&3A;jT>O-~4PC&qmB%J|bpzK04z2(r`E7CLNc*56)zG zFT-X&dNb7?^Gg+Clz(#F=z1;c7laQJq%S&cV;r8D8BJshnuAB%CU2Q`f3hOzBSOFVJ8i6fsw`(cf;9Yk$2a#D zjNUMXc>uD`Z|_}nG=11s7{|xnmDHkK zJ1@iKR~*iRrbF&5hIn^MqiK&eK3&9s zz6m@c@D1|f@G^s5ExQX{&+lb#BVMa4_}7@{*5b<8BC z!0o?Brj2zbw3YT(a#x#aZ-^)LukDpj_N|zs{il1%4*2dqdD9G`sn8npA?ZuzYgeum8Ddl_eOyyKQRBC#wDy4n@rSMm_M*4OzhgV1|-YVeQ}-D@&%Z)P|5r$w&~` zaUBeUn(}Q%U(B7EH&vfC7|wL`h!KhBa8N1Ti&V7iIZ|>l4jD_$cK7KN#*w}#p%$9y z!O*t5J{A82W2tW36J&$Mv0YC)`bKqZg z8+l>ku*;(vLI<@I^Fwb79IS_IGCne_A?(I(c~(k!Go5vgpD!ayCh@;kiT2zW0Tu+L zQLNcx#n!_3jCgYMdn4~xm@;#rhHtu$;P9UgWD^@qDJRMI@KemXe5!bRIuMP{t&je= z`f96A?5$tu9WK{YSQOE%D3XPK#V@*p8zQSpiVIYw;drrtcCv#D`SqagUjc7cUQHeV z5C5xX_C^M&knE6Bt&5QeYb!9UaXu?wZ{Dx2+}B?<_85_7ADO&bju= zx1)gmYd8bro1#g}KyV$6!#6{6sFGTYkZeVSBX^GDg{W5v%Xx018>k!>)F01`La`&m z=#<#`aLMYjfZ?DE9w=@$!oN-#jLe5n%<-|cO;UxBQ<$*cWi07-l-WC4SO+M?vz5nX zyM>x*l5SZ-n@_cSc|;8?Xcmt3LWW!EWnMCbU+MG@2@rX>L{JZopx0Y6y#E$S`}&@d zp@?CAyWVBNW_qczlyS3|;W#s4D$!A?`TiD<@ zo{XSlfS&rhb9C@kYC*z=!T4I@S<|geTTZwSH6-cN5L%#rY3kNV@l`WzkUxDb6HK<2 z`jpGRS`|IuT{`I{Q=AlnoBT(0MhIP6gc+Q(CIo3ifouRWR9e7c1I1d=&(ANngX%1J z`B^1d387f|bk?cAO<=Tv$lp@`^{gSABzdf{YhJrbWr_ZFF$Hhqx`*yDlE2Jrt3N}k zn{!*1Ec(tX%;k|5k|1byFK`G_-%9nkPG!5UPCi#`%jRb3vlN&v^V0O@2|wf`2(1;; z+LnQyvcX-9EtH6;8QKKBtt7YcUx-g(eO++O4T>^KB4&uRl?&ln( zb3{9d&H)9T-&;d?zC@Gd;;f_SN48>13@iV6dcTy1&&eM zaDszXHw=~C{N>{|DwZwjYB~rR88f!b@8jEcChTNBp6}FW(tk z2d3(i;D<927R-`Jd)zf`iOE>O{^d6?Zhy{vslEp_TvBUi;zW+G^eWnuvXzT-&F_cL z<+xC1kz}f9F;}{Yd9*bW7SLl1=UXn5LS2 z0fowW5te2ZJ>>uX+NJFs36i_=DJSCk>S@T&F&{w=E}QC&9zhrM!Uy<}su`_!RXkn} z-rL>wX7o10t{YeCpo!SOaQV?f_s4T1l=3On$!Ap`8|;C8|MTl~IGc%GDM!{2alxj8 zrBBAHJP^1PNC7hM6sKYz5LF-KK+&w?Db41D9x(tlflX2Xvzqg*^lHU{V=>d!JLO$H zcxh$390wJZcxmSGdcXJ2cU~GRO~>fcen0ly0Txs~M0B`(d>_MKPANj{rg_6ct@ET* zY<^n(t$`R8G4EV^sKBnr4MLz`5MdWrL?R{%>nbcw`eV@mEtYj6HC1Fg}Wp%NQwWTp)q{T zv%7JCnh?HitZ5CTH>_5_CUN#v>QVdmpfziVa7dw(Rq-o=ebuDd0i*008{=a@1rPtM zKOMtzRP8+Q_KBMyrwNok*q!fh3kMPw%%vZeIZGR?dgOsV!dLuXo8R|h)`=Nah_4kn z7Rf3U4YjDuu|8O=I8nf!UVgGtSZF54p5B3!)ukrLo5>bKI;3@8v2DDdS)M7GYusOz zx6zy)DE7Y27cYA#t1g4?c~U$iX-&YyN6FnoxKV~n&(i;l2CwF(su6UCpEjn?QPt!bzoYVP|Q zWOmW%yK7X`3a3&l3MBfwFOUI=^5wtleOwt>LI@bq&{n8kArl+T?44a5yk{v$z#EL;n*z?HB}@m>5vG*YLHVpX7 z^}7akV+d7rLTA^8He3T^@_eY<1x>-~To510_n#yJ1IzlgH52L)=Q^iw|9dIE$A|>y zvGo#n7Z&eA{A$-7s)ee$$r)dL{gFR zQ18d5pfbS&s;uACHo8@v1FoX-I~-Zh_}1bSn1$^D1y zD6jLVd`pbT%5Kv%Ml;riRsY3JLnq`|QGe}Uw+U;pZiXq3RN-#@af=h}6R1$d@PFqQ z8pB3DtD2dZYz4AkWhyG+lQxXo$MTk!q5QWf*_%JL=Ou$4uI^Qsp~MYS-dNQISdW}9 z<}ZxeJ=Xp!|NoMxAwn_P=7qjh_sr#dDj#{`mc7sU`+ATo5%8F!jp=&GiaBFz~u{K-rWIgUHn}tfV#% z16JYB)JMX-nj;JJPT-Q@sI8YArIy1#GKW9Rp35f9$KPg**HX-jldgXH?C&%8XX;H8 zUfF040#7Ftr^nOD8dC>n|CV4MAAY# zV4tCL-QdiDa=VU?XmGz7$leMmsyn!=-Y=nj@u~}BFX6K8Dep3nnbS3(1Gjl_^iucH|6b#6>?RUvf6kZsW7RdLOGd6Z1m{&)NOTqZ5D$HT*gUE1QOmMfLo7Ff3`%!W!zm&AbJY93XtpO28fHsLK z3>MKJ8%YTaQ;|&$I@HtMyyikSk>CBEc2?H>-yy8w4j9M ztYT#2%ClgCSPe>W(GCc2KY`2!HTE^E=LS(*;c#a8m3nOr|5Jz>znItAp5w!T6ywW? zK>C4epmf#n?5uWovV2`@sCba(OM1NCxeZd2)qE#=NVq>YaIm621J2UCsN~hA`U1;lkzd7+VeE~hjS+C5k zpi3TOTX%g``aCo{cd}F3r;?bms`@Os&@98Ts=59+7fknnW)s5Y0?g-g8OjOeTfrJ^ z`_vN5@&5O#M$mM8MT)qfp_9Ga#v=lOXIOjPHH7Y%w}G!0N#tZpxc#G?Z!eF|qLso2 z{-AJI<{)Z1NUHM&$(VOymgHgu&(VN3(?$`=5TugOz{0N1HOP_B;6gbQMs4jY#A}f4 z;Gnp^@?Xg&JFuZ}^x~A^RKe=tVy8Kai8NgYrjgpp*m_14z;Y4wvajTKXkh>|_Tc`E zBe)Woj=^3Cl%Rxs+n7mBNo%Vz7%W>IYPu;tSZbNN%ftY#f20uufbT^JbIE_v(Xu}s z7|{H}ZU+&ATc?%8@^9~YUx-udOLa2P6#Z$7-^naR{2>RzrxVL_JS6p!y`=V(A=g4#B*fbqbwrDMf`Qy)EgQ&37te47Rg+bn+$#VOtbz(p0{4K&Hi63h1QND$<58&Gmf$z4&#(oMi?V5UO4ZpNX7q#6-?;T{z1LwsB>734{r!|-Oe-w~>Qw*8T zW2DhN0u)2QoDx;&FV7oHKMp4R1ub1z$ENjlj_mX4QwU02zjls8is_(oVe4_hnW0{L zehAH(zj%fJDmzlt(PnNnFDe3lx>U|?7o+jP+BX#DLZuA!dmYrQpSDakBw?)*p$__k z8i}s#3ZJn0ieWJ2>Ksy=iH$9rrs$W`OCK6(&vj7qpFpWlnAVJn8vDx0~*m zTN2s~<-?E}Q>4vJjTQ`*;0DWSF%)k>4lIvD7jm{U4lJta+GkJd{*+^)eSA+`A^3x! z5s@Nl9((&XIy*Q3(~@8Uxg}&*yYChuuM*~bn|(1?h`*q#z4iH%hNd`VI>6^#c*3J zIPVz}_k}4S%Gb%Z$~ExMMK3#bw~N17{LzBI*<>IPv^F{WrONmolaB2P8mFbTGWG$X z@ zt(s4|M?=|d(lTif!t21lgARG9vws=>!@p;(;F(bW7q>D5DTN$T%Mv zf2;*5c}7Y_5T%0AySYhC3sH!sg$qBtu%N!7E2 zX9Q2W^DzYvq><`F{Rn4x_?p-{+AAzTf9Ul+mk;(Rrf@@a@jK(iFF2aNiy@2lq20BCy} zctH(N24T&V^jOM_K^?hOZ@aztiBf94mjd4__v${C*R8(?p)FisUu(Z=G%#FJN#ZU1 z4m&b@?zH_&Thd+0Ywet#%+z~XGVXOkL;5c4&FJQmRb}8 zZs!zyb=EQP?SgxcIao6hs%o($o#NHud#loe4_o*t=?vlJudX(VLOal z#YC(g25}scmy^u6pZ+M~MhJJN88>CS-cV%e+DDN+J`&NzI)k0^n`*0EjQFmC&U|mR z*zMVc5prEa)B{f#P2rwGF7gl4qEr2^GFXvfUS~JDYn4p4`NqwQ4`kjpzZqlYZ}kH^ z0{-Ud5D0tpBIK-J;bRRyw**raw3LJAW6y-OLiv4SaxVNt4cd?V^-8W8cVbYS z>ic0wb`RC#ys>Qe>z#U?cU+SG-WeQ9Lf`q|~LM< zG_wc4gQw4iH#4c>mQ&lCt6*~2i$z!TkT@lpKJ#4*R*dJ}C&}#@-2@+Vx+)hrjE&v` z)j}Jxtz}9-2~N9GaJo9)aVu5p$|h`5IC}!>yE@AlHjwtvY_M*_ZTwZeUU%nyTHg1Y z?xXG8AzMX1Rg&>oOlP>@S{s$ZdDM`TTIJ|4YD^*(M;Ow3K39N<+6W&c$iW$boLN3& zHUaU^C&?q#$Fxxyor`=mYn!{>bfr z+3}k6aRC}(=6(XnTFy7i+p=`uuZt^+Kur2EyzUGS<%(Y^av00oDVv_iZAv$_P&rtE zyQJ1+P|>`EzjYV+NJO{?+vCEJ6=YFz$T8ul`{4XQ>`9@o|P;TS8+TqU^Xl zL>rMJw>j=oRNsI6ha!lq1zJ2tX9uT}VNYn!Z*$)>8$q#?n_HxNwi`-$iOXmA+G7AY zu(RELL6L`#H5eqMYct9GB(hggk0ur>^kXgZ^dyQ90xB2KA4W#J1X zRX_$#)R6&BK^RRnQ@?f5G&+=b(0+9XJlLf-W))eIjfK)H`nzVx_=?2t)VN+Y}_(O zbuj0Swy9w!jL5m4wUO2LHFc_=7$e-!ZN51MV)6>sS^Qq_X2=F272eGAyzyR6(E5(OS%+xjXyISml(NYvfQ+ z^HIQgJDY>?J3_=k>B5%niJCyO7MX>~vN_z7E(!}AF-Txk>Gnjop~ zqgae6_?OnNIKe)@0NN%0;Yy9l3c%mCb)4Q*>;o3>md#NU>(G$9#P1CwHICtw(-&KYfBAK5f1 z_P{-26*^zbyFa9SO~Er*N^}1q2B-C!9$J{~U8-2pHAv1-13F?p;5k-=G=0oyCSg^{ z40SwYzVx};^`|W%s>{G$O7yD3dZ_q!iYltz#@AtHW}s}5nVz1KDIdS#IM6F@&y77p ziv!oJQedNEHsR>u!F4lz;{i_^13m)j5iS_0*f_5!JNag*gm;WjQsVI$g;nYwcgg6f zEP*?}h)sOm?5X~&g>~2WIv6sm-C{ec5VTJBmovwZ1U$z+AR%c=?kg>SpiPewsw#-< z$aLmxqM#^M*~E!O z3m9-6ePLo%C@Ato{*WT*xX_rt7bq31R4MiK-WGYgwykZJPcdxQOf*djTdzmYs_xHL zMu4vX>*B_l!16#Dbg^$3!AyHah;QgrvO+LsHd6Sz4d%t686W7jue-sv@$^Qtv76$q zw3#fqcl!)4l+8zt8xoE*ipU|#^mZQ9L}zShjzHM&*H8MS+iNu;-K}{pr6!jND0-5T z1L@S*Ev`TBk~-TxyIJ;Ygfvc>kT`OqD0P@77!4~X7#j?&=Bf*a42|Q#1_h!w7$of zLMUox+V|&tHfGt)8rEhU8cdI#y7RK5co4RPmS}!r96R6DdMr)4(L_LUkpuxRQJfrd z>eUjLkh4U3J!^r9lMs#{CKU`6(wJGSWI%FU*;s$h!VSQ)LE^NvDRO{7?9l}JU`672 z?QH(tzKr8Rt2OczyLpRM{&6+?%7FX6zqQgfSnHnISw5swqR2biNZ|w9aWl;Cg|(d@ zx*z&f!HI+@laTOYv?Jr`esu?jSAi67aEg;qbaQDTYy0bg)|{RsrG7C=`LF^-Lg)ac zO^z`lH6qSV+aHnHkJ1VZ_$vc`S-S=w$K}i`@LZx3D0iX5mdLVZ-?J<*vMquC<@Y`B zKT#;6%+hGrweG-I85YJ#pT$zaYg6PKZWa{p@k`68AIwi60r^~mJ%`bZ_{*_uoy z3zmr;}lqF<-knAkKu35sh+~KhcNHJuzDOVnt85 zspwXocatNd-Y0at(lReX&^-jCnI(Aqd<08AC?*Vae1BW-4*WsGrJXN8@U6zIc^Upc zN1ug>n<+4=6A8XiQ@5C3<3%&z7r0PSB`j^z_%5jD*#M8AzJ9T>-c>aP4I;vneAck9 zkhe*5wOc%1woJTWh_&i_6?DrZ<3V09*5}Va7M3Z}>Uu~87i~6?8gtoj>1PZK%{F>5 zyU1K?I?G*7yS8b-TO#sv&OFGgv>~piB~aT*3vX-_;bTE|Nuf;El_=hH3C>wxv>H53 zqKMvdWi{+;dO}jf2*PQ>q!evMHY-9V*H))fNk<5P%1r)DIx&NJ&p{hl@zGjIGjxYK zdw06?sXHGngTfl^1GYTW@%suQY))j!;fT3p_yZpy0&>3*>r5rW5`L42!T2o@vph|} z9XD}ctLf3w7J(l|51|>&j*s znX715+-H6{5NGNZ%rq(2Bplde1-DP9&RTy5d=s?C#wbuqplIE^_jyrJIeZfvxA4iA zXl$%gpE1q!ja?r!SxE>ER?b4MJ{Dvh8OP~ZEOUWuX4U0<5#XLBEpjDQqpDzPCWOJdiOT91B_=d z`Iz_KG|q7KqJ%B?++E{qH{EH`L@%K=U3-v`kdQo^-^kP0MZ;gAxadGK!{pNlvGHt6 znR@c8BKk*KXO0>O75vPz7IIh*P1v#D6e}=s5Y6|CHJ>@KO_uOTW%c7cYpIwt^lLt? zqQ^j%vvr&*Y@B@;u&{%cDQx1#<${gVUc7Iy|7mVq^o8~PKI1Da&K2C-(@8-;`;zZO zQeKN1xnh}FMo^6ob`0J?lRtG9bt0v2^;$eg0Y{Jw@;&#EiW%O z<;Hu z0_NWXv7@UBsJtdB(1d}-=Qvod`7MM^X+h%C3Y`|TF#jg`2u00#N*of|O~{xQqM{s2 z<_Vh2zS;jLw-r>jG>sGFc)>+ph}>p8|6KBEZW5Y$Pe2Cw6NN6%Z0~J|k$PI-J_k5J zDv|o)L=e02x3al?4jlZcy1~NAPw^oZ?2K5%;T@{|}B1ihmg z+Gl(3DxecBPx>6xezyxT)r)^qz2pIZ>>rpM@NRgM&-$d%_O29MYbWvE2PlZI=~lKs z++NSSA`GY780P;%WUae6D)djbDS1nu5;D7fmN7U;M2SMA5nX8Ahi`p=a_> z3d1+BM2-#lc`|Rut8XiHJVF)Ipba(~E5(OWZ|`(hxD)(IB@V8~%zOTssoO1=^S#u; zhjs<7IkCYD5l_W$2y{N1G7F%qz$}WZgS#dBlWF zZ$T8BA}$RKqH7Pw)WNH>4&B6HsUyqt^eKnPl05RS024S&i@#vcR!&Mtw1VcEHk;j1 zk$22*72<=TEtG=ag{5s3e1z@O^((MXgwLQD?H6f&tc{5!*HkisFMNC>h(T7YjT%J# z9OgOQ| zF%j4ze{O8TnyX>EyM1u6Nm*k)sQ5`k4P!RsuYP){TO&6w} z`PKc~m)C}O&S4&;c9nm^LrOj8~UMg3na+KlvRZCQly8Eaz7%o!WWENh` z8G#xmQr5JpdjGC~3Y1?AYj0hdw^chG`KIO@KO&LY=@C%LYZ@W^NYb=kPQ9LsT`=7N z)(g?CRmhUnX91dYb-n{~{yg#??VDT4~_Q8ER`2mhi?f5lrgNuC{U5$hUJ)mH|>58VJkw#3ub;x6y zJ%`a*ZP(ZgYWlD)dHu*X$bd+)mT%ss_WBx(j?pU1j0rLhlJ27HCFrhJDKl&!z5RGC z41Rx>@Ds-mJ0g@Mxnqim0e6Srkx%L-Usupz=CB{7rhYTZXeF={L$&ay*Sua#48 z7<_Gv&HRXzFFzg1CQL-)BbCJ2unBJDro3nESC08Hr#I*_YDz;S_+RMXsub6-&fNe0 zGP1Gk6`)Qnr~#%uezhSmzblq6z*{ee3)j_<3cbk9)hjeyuw6u_J}(d1F-{)=5+7VW zpRdj!GyHGvr0#cyaHtx5T3ba}i&%+>x=-C1m`sA? zv$zEJD>1ehXT#!Bfthdg%K`;uA&O9vzm;>;)pDUo^LNrIZZ$1Y`Lb{dyH5GhJjlw zUI>S~^>A5?j$Hk(QVjYbx;(l|F2N2ioy=szHwABBPPAtgv?aJ>f8y$fP?o=N>8e4e z2qkm;v(QNWx6$2qTMF4Wjp*e272mnMpK}Yuk^A4@W9ELb7k1~rwBQ^LhuJ2=iMXi=!m=vf!_rW zj+hbxvhGw~Z>WZFIxL^&0RAF>^K|79M-9S9cp&YFp9y1`_(!%El>Y1r6Z$%frW>YZ zIyY3ZvqKISK=&XoI*Lka%@bfPf;)@G(B(ZOGZAnMHCi0S-7U9x-?EnkWiQyGW|585 zDZK@_JWNpLI+~n18&BUqQbbRw9qiKU`BN>C1uJxmbrw1~t`NpN4&`?v&&`wruicFf zO!b*L*o^GBEm64i%LHrYGb$N{ndV~i9P*#(hA9S{WP8N+ujYhnOLc{nuPLoo=c|NS zQUx5$IH+>Z{Oa#V*!E(dR#g}JET;mo^dB_B;Q)bh2~>b=B#9z&mQ~-$3sAL!Hf{eL z1WCTFr61nfVibJ(h(UZ#uT~4$0ARzUuy2C;m$;5IPAd3SsM~5egiTi38d0gCpI(Ej zft5pELw5^W$@>3llCX|3^Ke@?pc@cJU?Sv9{I&BklpJDaPx)mRxhRAVLYA-BcdrJwVY#stUKO-v&zCZj z{nT&cIsdKmeQ~dTVV+fJfNEvUp91MacAVlzQ8F2In3tQ>KNcM50PUUJqL(onqGP2x zEb}l(!A6Yr6VLnSDAK8H&jQ55w5r6J`l9U$+liW9?MUn;Vb0c0X8{bwL!d_gqv%1S zr~PI&_OwK}ab`fJ!Jj(?-MPdHy6WXqutgzA$vWKS;z~}j-!~orz|rz|5QG!q2dsOd z1iO6^8=iX5z0G_);mpw$xO>UcKOI`rt&~gXwxcK+qEGJwwb1+WAI}cL_$`?_qd=rR zo_h$iS^p&xzHavYYmIk?Z!?2sKou>`PDgcfsjRUh2XYDO1|wB~*_vQ?gJjQC!=|9< z-A(0$Gg@R&lMHW)lUtqr9S8D@nC4eGu?11$P?rdV?Tc41AO8~t?L#499}Lbsp%Ds# zHNDtq>AY@aIw$hprQkPNlGx{En7GmFWX^vcu!kVpQ++?$rdSFY0m_aZ>;EMFJm2QoKW^J5mj$4cw!`9&LLdzUz|3HOy z9iJ(`m7>PRZSJ5&>@#Rnj0aV&5*qxH8i2L@R@2?)=sllNcVHx{aG&f8!i*& zWHh#Vx9=ry-lz_b`bgc*Ozut`l7bwMed?70!_wq#^A+E3&F1{368%A`WJQ77H{A>* zGtfwzXBC2*sJ`sznnuTOGXs!Z(ywYkj=vzg?sg)VLZ{M(88$@Dj{gvT2jI-PX^#C| z9+S?6{nM>lU-2Biht9YAV`uH9>mL-dBtD;Asov4W1Xj;7dhR8+d9!g8e5n)Nmry1Y;UDv)xaai0J)7IOu_&z&{!rUXUomb8^Xx=OTi}D1&TI}Ty zM>Po0mpHutcI-n{T}4QhS@*3&^?^Ph4h5xB*iL4%xSGU{2Uw5i+hz&q$^xTlN-O=Z z(LQuXIYOaN*}b&rCHj`}_ynn*LuHDn3H1)hXLMNtSc=37=2 zB~;P_^fQgd;Cr2upW`>hWluQlqwASfmCgR>J8F|r(N?dEeT|2CqN<$$IM^pwKOD(J;SyZkxsyhJZK; ztYy)HrhMmRSpD<9N;7%S=KN1(N3l0Gtf(v|9_75ZC+bT@VM(da1d~sKP-3S~hkP zku1qF`3<4cP5%S*41ykwm1$)kg7KvkIOk$?S%5Ux`C6H@o6h9} z{__;l2w}u-_;f`Rwcl!opz{dk8-|)D;O!gmo`L6+3HNsOJluM?!^rJV7u3i$t0mbf zcVCFmHphfl8!F>czEH4&oBQ><%60RQ$x2{l`$1Ciz-(mZLbYUk<)v^O2<{PGWBtb& znVrfyLTO2+iwOSs&@3Xe{Ik9M(47k!vDnrn3vqLVM^{Ef9b5x~#d0Ryk8gCXU~yN;-}isY5Hk3d2NJhO*C$?l zA}$s54noAWeW@|vFowtCzrS6=;T}5q0d*xwb@BAXs!UbvcVj0I4{p51U7yi|N0}yH z#Tr^Dmw_y|-e$5hz{DZT4_qx@%`mw8IkNa_zIvN+BXI>P{*M7rSg0CCRw#e0m9B1R zdU#1XotP^#ATBy_kKHC!`o^!oSRgAvNwcYhzb z%~V*VeJl2~!t0_6HlT`9HmmzXJd3`AZ+yo# zp3XyWgBW$J8B?I$-b*>CHsiO6K-6#h0*h@|td7VEcVr#8*(FrJ%>JkALiv=ycV}o~ zKvbVcXWlf##bT#1a9;UUZu86a0>{7f-1Web?_{vT;`m(G`ou(t1Cu*ppe;6@slZaI z2FMfPau!lyYmGtGWa%%QnJ4c7ryWpAyaX)-9gPO+5P8NdMrcfdE#s#uei_KWrQ(+RAqF4 z3-drvggf9INwBzCDMLOdj#!8#1fw;q79QicO)T8ioWVop{{?&op{BkNoH^ipmcSNZ&M;&5bVMq^>kW;9XHitty5H&|T%xOMtwqL-$#!J*;P)xjUR|gA}H;WCBrIB34}HhZ8VD*oSFXQ^P($I#xp% z3;n(^;io-$C!6V|%gJS;qaRF>>0WAV+j*mgMkJk&Y&aS$tcc@c4`NJhg5U|CTI18v zj4OU25=Wri1^+KCgQT1w6?>xg-Umwu+9(;T^$QFKfqD0H)3|v%%v$O3q`mhAXK;_T$4d6(EY{Dy*PQqj z37Q~42Hkomay3pI70&;!SOOC2GpsAXmry3g9%^`F1m7Hj5nYXN0^bhr#%r@myJIpq z6eOk122-JA`nj_VOiWAVUO;VPF09scAfM|0z53&e1RJo$vZrAi$ZPaGhj@|vCg<9a zD;%z7`U4^Y=p?6~4o@h-?(JjS-)m8fKOXLL5>{=#T!-|j=grzxJ`b283reb;^wqml zdwHLZbIjZgh-XaJ%9?{(R8ydX9Cs^es>M;@MCQ@O=Vwfl_^1EOPEFq$-tR1^xVTcl zX-5rkj_B#~n@Skw>L?S9GK%fd_JZFR#^Joph&%layaEudeV3;=Dz$3!Ct+*TEq+ZY z<;hEOM=rPA133H6N1fO91l z6kQMzZb^bRaOUJ10LJKx8uu=CfGm}#4z0zGSat|J40{lv{3t`_Rxe&RXbtNoBaRXw zASS6rT%FpJm{#Eb`#!ZbB}DityJdKXVSh@#VSq`e{Ni8$*I9cO?3MO{mCAoC5q-wU zN1P^c_fXpTusz370mr|(tVb&$C@6&;FE~Y*gt(`@q8DwisWia8;#&5Q`!krNBg*ua zd)|B|%jnkrWj;j|kQul|Dxg)(Ns(McENeHHUGPUU z&xr=39_5O5@Ch}Z>7^z2)baHo8v_?GBgnG|CZf6cp~%2qO#J`pKR*}O5=j1)o+=<{ z2tD{)1?V=t-+x32h)T@#94fK}BO1kU4ATYi^8`XyRKKX&Xn!O4#N1s+Mesh{b(dfd z+Ulxz*TAH-BA;htHpv{S+nJLK;EyfxXAapUV0iPv^JZWl^esd zeTE3=-nO<3X?x=&`%!a0m-jtp8#Sqgi|-ap3u5^^^H3sq4&*z7!-%4q&gs^UzgS<-GDjQi(o@cPt?XzQ%6fKelc;N z;pllrx`>*3cAe>!N06AR)qPq32preb5u3Vi^h}^T0vlmzjTj=qW9MWn3N1zS1027r zg97UIGM;?*CYtYbzUPDc;lrgeNE6GNK2X~+iMSzXOz>%+zZ zDyQP$I+PdM%-8F?!lU#&Rh5&0a=}P^?i*%}iuI*k9G-_BxM92-p_S$!F(7zB1>BPj zygoflD=jypCZiv3Klb~NcWM%V`R_e{vS*j|dadjd#67EO7^MKb`42NncILM;dfM&q z0`7Na+(<-IDz1!FuN(djX~AzMCkn$0ro(P06|<;l8(<7ulB=w8GbVs7fJ)In4LS}M zK7v9C{Wg-{YILE4rTT5~m1{HD1UM+x7v-lAaP-~lVe+GBnX!4*Ufi_GPoFND{fpRe zH(oN+*5GbE*B4x8eMUV!{^xRS!Z+A;g%l4oxIlp|Z27Jm*kPSNNJbSIIuZN z2kJ3Cp41|vHF2?RTMBHu%_+N*QSwwDtc}u7q=Hqpc zfqV}rd%rR?0PyWjblCiBn%vfXJw}N@rs40-6Cl6ZmWv`o*vvxr==Fr;@8D2BVP)AZ zt?=*3t1=IEqAK93)*1%gqU&uQj;g<63e$em1S-~25p><%{U)2UVIVf;g3Y1&IOeXJ z(OxSR{&gY3;R@e;V!OL_P zD6g=MhNuT8o<_sTabNWHIvLA7*1Z&qkl7QYL90;ssd8%pH%%GkUF6a5Ckfu`Puwct*>Iv7Sf&VcoHb1;d%&oX zX&aR{*fBN!EKLSyu4qva@7@eG*fbrUQP)EyT=@WI9Gw6@WrSq{>Xmxha^ONLF%-Rm zpRJ7lH;DR*UipH|_?n1yl1`#%O@Bpn8O;PxwAB|#tfhWnD%DcBU2b)u`FUu<1^+_x z26L6Qv1A3X;5spz+j!~@L}ZP130sAf7Is;$Og6YbmM=#_8Q<)5O^S#JHCBV0{;x_v zO|vY5q&K`JdS6Cg90~4G)lE)k(gJ7`tz|+TO!)PI-OF5_nx&8>cTU#t`XD@?vWeKh2LYlL! zm9BbkmpIZu*m0KUvA@3hn2iyRZ4D!6<6PthgI?X8o0lX~;3E!R{JWs2YrT@!4++HT$GDLBg>z{5nwoNUpOSkUR+-aMpiP)8i1jlTf=xP zcu2XngM&0}yz^L}>)sHZQ|0vZ(c|LhJj5cjFPzn!<3$p5{a1=p(T_K>2vt;e*)?f4W9+^{V=I`A%T3%aQ~8L~RNQq1xS z%l`?n0B*=}V$1sF!TzESPg`@26`3 zx6RAT#Ps?RnR$qbn615Hx%Upb{MWU^l5=6LBftq%y?aI?{HiAY9p)vO$wdZ#@Ko)) zQ2z%cFrn%a?ULF6S}AAtorIWR$qJ`)eNypddIG#JUZLjw4kJauHyF3#rMEV#Eu{lE z>WK~j;xht&h59;t9)BxdW$gRR?*?pU7II){DRE2mXFiVnXSRVd3X(h-?o?Dn?D)6G zv;pxjQIY8se#><(9`PTwsD|+_&mP;piQz2Z0wzvZT_K5G`>hP-LFV9%Zf=YU0X(TT zmTJtTF@uUdo?@WKH39`B)Jaj6mnsl*AYB0hApr0Hh4d1 z( zfCWcD?{$PjSagc&G@tdQl+70DChRb~UuNwLPspDP5iG3vA2eqfYkU@wC3N+DIm)+Y z0*g{Tk>7MLU6L%aI5RPz#KvB=%6;m$tEYj_stO^&&;8&fhkxD{Sf^vx#FD>tIroqD z@;ZiI!RtX1Q{}ny+_>{00pp6moo&K@X_DS=sQkYl4@zHtJORhPAc+dBz)QeS5ki`dco9?s%(30NNR%hTWk$cCY7ES){n-{`7%w^4p9BjxS><1v;~ zkF^{?tK3%C1bI2I)GWct;gWCx?PBAM?A_JVbLR(-=hVpe#PZA|1^~;?qz^@(XLFEu z6Al9*LQ&kb;7=xkR3fA^wwjWMAAM9~cT`SaLXV5z`L3D$uzTrYg|{0pKmiLAB!T;n zZ_jZI7J-wg2@*y96C}fBdo1CS9G66DJN4_#kGJ;Wp!YPye#wy+k?d}PjN23FJ;E0G zGwHG>Hq_VO-=d5$OC_#kIS#*u&#THSIrJZpO%UeF75)?=UY)4e*}mt6kpO3yf;ERA z50r5wROZrMFeZBjn|(%PiEP><&H@NyvUBqX$B*~%_tSz>uj<~MF07~38ezRJa-~e@ zL~Kf1nT&sw0#{R4vzr;@7sF!UNekh8pZy1ogRpQnUjR)-xiNzBo5a&y`6W`7G`L)y zspn?u3YRvm;nWx3kzW)d$We90zXEt4dONv+wPkx`rqCXbo-e}?{-1ohKbV-CS+)N9 zzqt0dkkZ@00n5NnIqFIo=pB%p&%#^dnFO|!6nJwZp)TQDkui%KXnuFRBSbZIBbOs; z0mDW<$QAy3T;~zO|B4R+wH})jpnzdqm$o~isv%M7;}vHa>fCV&Uu|th3nW~;)V-o6 z{zpcr^6Z)96b1dSi8r@9rxJr7D2)~fKC6{-H}NuLvf#F=h1O|8T(Vin^#dfpuax>< z{6I2jW0;IC<;Bn)leht`Ea-n9vjGXNEu)j+AO4=o3Y4gCl;CP; z^1xv9Dc!|etZMny;K<$$>9n7zujfork(kva$rg=kG6$5R1;V<+&kv5k8^-i=uu{p$ z>3eGSx1Z8cX7ok13!B(ezdU?6lMR9`#Kd}d`0uV>J+K#zrikfg8Z`S#fGlkN8qxxD zToBQ`I1lfG-)AF}0cp9JVPfv{I$UDy8-jS~|JY-Hv`eQK5XJ#RTzdT?M)@;}{wC;h zStiu(B?-4#90^6_E*PM#Vkr5znYjJi;I+w$S`hNaw4MI8n2CFYJ;H^n>Yzs`zw{l5 zB@Dy0+}MH#cH^dvvgs>NI;TSFxSnV<*nRUI4z>YN3KjBWpwu?>Z|ibzL~}U|Fw%8p zV{deHZV0qwY4I^CvH1jk4;djO&k2(_RkRH=>S3S%%;4wVGx`@;#Jw&WKSZvy;SXDsfs?? z%wIsQg_dLBnY#FIG!P1y-G>Snl8|T(UaO#f8i`3Kqm{E|6?xzebEg5P+Vw^^USDy? z6xXGJf7RHtu|6RO*!g_M%t>~Bpa|vCJ!}M@QXLs#^cCNBK4^zZpzgAY{nUqv7i;VhC^99 zW=1mH(HZkOO`{Fb(sAxbjDCX4A$XeSgAO!sE~?yOYpBx8J4d`D#9(7XukiOF@Vj6t zmg56A24w{nyM6rP@qC&5G3D3y%CG84dpk0-3$Jv6jHwNyq{%=d9o<&Tk-P>5xKpu&*Xy2{F zhoZR+#N*J7R`{sIS*`BtoZEG;-Mye9dtOK0idX~3egCWgXZCgCXxw%iY zAGmz1ZWXdNJfs+uiAvPKTXEXP7U0y%_XBpzlZ#Y|sN|=S2~Asx-^G*AUY&P*07hCP2LCoO1cC4Zi-2G zQ8^yR2H81-8kQ#oJjK2_a~min6fW*&j>Hz@980|sPm?opLD*u{S5dSP;ldj5R7Fjg z@X!uPPteY?EZnLnax!Z$#xNy5IdB(Rcu;(#pc`374cY}*P|O!}TUWP1FAwh5vnR2F`%WFH0Y{23S}}7P*$eT*u>TnO zmu3$&0JmFU3){ze6Wy7q`%tB+eL3~qDe zML?!bS@L1%|C!RVyA}CW%njlM`Y!R+D0AE|qJHRSzBqxhGMxXRlP5-gtgbUcmnb7q zNTg8t<^^RM@pgEHt2OQ0SqC$zgVBT*QWMkG;Jr}H2#BquL_@9YIj2vTFZ@$^CKNHw zZadq(V+WNaqZu}1x2REcTG`slHsev-?eD$qdhv14w^^>3ConuZx~KSwkXmA-0hz9! z;5|c+%r=qmz4^NwJrWt*bw@#zh0qo;vI@5;v;}A~-rxd+e5jR~k%79F7JBL;X+M}P ze!OBAUoYOa{Hw7N0d$qj$tWn*!D(`012c0Dg0y7mV!0@?slI=VzB9?tqd_bI!qRbU zOhsofrOOV}>!y^e|HAtv`#0SDb+LRhDPepbRlmh`W|7d8G($U>kESzF0Cp782w9xP z?B9#EWHzcb^^df!U79{X6EBd;6-yrY@w;upEE~jf7BDi?*pUT)raWfSY33C6T>3<= z@1cCU#E{m%WEahs)-hGpuu}8l`ljWFZ+w(tt8Oa1P2c%d=mw)%^8L6vS2&?#QZT7m zB9f5|iL>~{sAChJf&tYCAZZ@*Df1AN&d6kO)bGE z#9?V3pr6J~Q(9u)+g=wrsdy*7I$djB$9uYfUK zx@8gicm+wB-Wa$MhxG$fJL`VC|H>9!v+r8$dnEo~ZsUKJkYEP61><_6d%PJy<9QGf zqKgh71uvLIipy2)Kp~95RdQSMD4_${L=fcH%6!D%4?1Og5|A_YAR!M|;=I-bP`)o3 z2_n9Nu5T^H&5+I?G@-)2A<3}GeqY0IiGAB)#`g}&GcEKHjUvX=m)NmVJjs3I?1+R9 z=g0t`{`>3(_|<)MStn5AhRKEnMHG(bbX;H}0N%svvNCWpLqp~A3Ht-F1t$I~;Oj8D zS+OmXLsgTe_0=vDr2T%!3^gP97nDJ-Zw#AewN8l+2@OpJa9yQ%B(o*?oRj3-Dikd4 zcWjqNVOMqhlywAej))&~Q$ySdv{lM_k}8x?OVDU>s65@pWx2S?F99^=34>;KwU!49E4ZCn?C;32}bobh%*k^6*mTFvoW{kvTKL9RYWl zl&al)q`>Wk@k&Sv3IobSJ0KU1^)w+n8>^5maM{!%!XzEFs|_!pwZ%>$^R8zp(JxGp zzZE{_l0Y6t2;ox7(ZEI1@`OH(qRuwP-YAbBp)l(0y!UL~U*3c6+u`s#evDSl)EwAc&A5*4;X{6cThOzcn1R(A{Stz+yK?blq@+YiE2l1{QfJ;RZ4yA zgr`mI)OGzT%9x&}I)pJ{SE4@SCp76B{94aqgtoMD|fGk#eYJjP}H zr=);{s*QG)hPYkSi^j$jii|lRov+Lb){;-mVdNOm+G4m=K5J@vS{rVAX>n2Q5$u~? zyg#X%O&Y2C!W~%)dmrK9&ZCL#^DOPfgil(fwt>%>gk3ftZY7dVTvL!R6=$vvxW%Sc z%*bhL5LQ?I6|7@yGCri7j4pY!A2T+H~q03NC`)Lpg*1m5PTz-ag zQh^EvYz8sR-1)(x^BqEWsZ1vq2@VHND)#JRxk0&mc+G z^9y;u@v@6rLl7ksfxJ&Ur+rZ!KYkYAeAyVPYx){sqP*gn&!i0Yu58lvmf+5D#43lt z@+<@Py^zJ68NYS*jyuZ+hcQ$H4vKJhbtkCx_0ZzD8#f;<^^E-Q8l9mqLI%p2V}H$3 zw3AYn!rMsRpUHuj6b45Ne=ozqfD$WSs6$smW%sX@T_SWDq70SCq4P=$tVIqQJ9eC2 z=1+795dWcV`cIB&9;ekF>JA19_^;kB~kkszwt3Uq8wiO`e-~E#O+p z#boNhBiAEL^ydF`Q~%nE3)XZr-&auahb|?I>Kll@!BG$-8t)b~bSBQQn3EZ)pj)&J zkBl7PuAGrjgMU`iygNr=^S+8|GJc)+`i6`0upN3ct9vM=kd1&>Mc8DwJ3XgB9b^cc zT*B50HRKsfb_rLMv&T0&T_vr6-zCin)#b0*%n~k0dSKcX0$h!twRK z#!PFOrV6*4Tx4y@`2(#enC-VEEF`R`uVuT*Ogr{B+}|^l*X=kJU(HWQF;5xyJL~HX z*jNR0lZiF-&d#`b4ESpy;d{%`Px`AeJ7jgZ_iKNx)*fbJg`bnenkU&&NNV5GE2(cF zkYy1;QcH#D@Q<6|I(UU@WA-U_NoSZBV(x}g-%V;RJO_Mp=JEIKYf;fA`p5I)lfC!( zn!|U$0NuB{emG&@C|a(U>~!>#JU*EmNbW;QNrvaBU8!RhiV*~@5hBCU<4ce+a6pGC zfv{p|15}}ezTjSsniHIMHlXtExk&`q)^Rd)p4yuX&LG9f@#d}3*?=f-LU5&!d3{xc z{Kkgqeg>O@kODp;OgRubQZPLCLNZQoL4@PMj-P)C{+)J1TiXE34@WRwL0PBKx%(qZ`}E7qhMkeS|cUcAle} zrVz~CU7xzib31KzJ+7DHFFs!INie!_)A_3=jll)Z@7@jhFo%^)lO@~okAmH*(zP43Rmzi?u_N&2+SA>A+Z!G}%K2z!CxlEgmfAO*)4|1Y+v8Jg41(b^&x7^n z?y`BfNo35_D*en={Cjmea7V205t^>x`zvGRCAqU~TZ#g0hK{%%}0|`YI;(U!o z>h~?)N`g-@vK zDkr&>IGNTejs|ClYjuOYZPuW_j2Cd|7*oQ~!Jv^J*(yzB+q}SiU~$mR505KVG_Uy^ zgG$kBuPmVmLLxNiUehO2b-7>`jWI2pI9{QJl@D_F!ePeWg(cMq&^1Gz(FoehNK`h$hxZ3rdt>xCKn8z1xa5i@pzWY+cln#3W=!>XLXO@q+D``%-)^(sbF#ts;D`vR7> zP&G4M1CA12g0u!I`y#8<}_9Q zvNo7zgSXDhHH5D{l>7=f!(NcyqORv-Y^sw^CisQsmAZ@2 z>S!A<%?!sQ<1XSU=SNA`Zm4P@GfOW$Qg>g$j3tTnlU2AUJP*4C*XzazcqtEdUIrk? z48_j}v!L*Se_KW(UW0bif0VFY%im2`wA)f_<{b>86C1rjcmJhxe#dII4B;*H0O5Bz zu$oGbOfLKYfWDFC6wSZ;Yl0(-?WkvSN=!~mL7yW=9G$M8TzMxMq&8_w1adzD(l?{7 zuB3=`w->$8U4F!V8U@SfvZUg7J7`6Z9&61yW}CJj14w#n^~-d6ZbZ;+vS271-G zTm}q8tjWHh#}S1g&%D01%pQ9Ig+6#Ek8vSu@bB|T4v{|+^!9SRWe)5j$UY4?b~KbxG6x!COaGk+b!B9 zpA%v^_RgSyXsqLBpSE+7{k62%!?NC#z!L8f!qz%l9F5A%iR5^y&ku%(#*R1E=%a_6ygmB&JMCM0>Z@7dr<3Mk2}DJ>NWUm?M$}H z!&=Rg=cE5?-v4*?oE~OHlOs#TCBG+l=fYpTPbQj+_t_6WK0KtRGo<*aKYR-0(DW|E zI;rbPZ|7Q0G}@}t$K=s>m6@Ka1;~9@yD%jhfEdZVrb`J)nuVXli)K=oW6K68J9cHh z$WL)fIcXtRU=CjyFQ4ZG{M2?~{1z-bY`rCTx|8cxHDv|g*dxFV`& zLjy+N@;C5qv1zD29Q&Hsk8+0c_#44IcW1QxlZNcQ{}3>YlwUw9JrF)TK6;IcrxJ3< z5=oTp8r7?uS?(zD=FOOg`ZbYqM=P!1fCtU}yT&o4x7Q>KiDyyZ52w~|4@WoF{F%(Z zky*kVm?!>7OO!CI^MDT)H#P4(7g2%4)OZjMPToYM_eN-np_O@L_ks01PHcc~HSzc_ z7m$t;`9eIOjm#&xzidEH&0YSO!e$AcbB+Y|etqB!i-a*`tPj8+c)t<~PhvLb+hdn& zL7$3dsaq@DJZEdt8__e3{V%S*fxXgo+cK!wNyWBp+qP8|+h)bKxnkS4Q!y&G&5C>N zeNI1pZ~uYytZ%+kV`5AZ1)*GmBHE9KOsk{*%XR6;kWgv8w0BL^%0tq8mtOzG@xCi_ z9==PQp!4cRvoQ1mV>oWfB-Sr5ZZ&~FewgeY*E+#bwy;0bD+{nz_w>- zCBEbvh!~tSanM|&Y~wlTRGFn=uu%0r@5NNwAVun^_*_jNPoj%a}>Xs*(OSHpa)l3jogo0ftA4!GhU z_QWER+>vxFIe3X50gfznBT0)%2z%H&t!AB&>LOHN&xdhxHo>hroV9sABUUo<0esWL ztFzl9g)Bm2M|RsabdpH!g;1SR=|$oAC(9%DoEPFPA{6P#EgVXWC!3>3LE*sZi(_ZL z>HT2&9p|ukq~CKUquq*gHBhK*-|~MTS}1|&fVGFUj48Y~sbh$?U5E^H#3S*N&ee>q zqG%K{i+=X64`W4Kj>E~z3Z-Qq7@N%O%}NjCDGCC)U#OaDHyepD>3$65z;0o_+$pRF~^n()pKZxhK^~Fm&N)1|IwJB=9|4 z!MSaKI{Ei;pZ#7{#W?wZ-(g#VUEg30NQ;~K0^UqIp)@rn`MQfJg^2ZG`~`s3dc!o` zNZE(udk#M9Z)4AW{>~$7lwGn}<(0|01gTW9u|C&39>4~DK` zzqQ*GOEDw};7mQL<<{;4>#yk=Xk)(gTUG?9-3h;VgLyLwkxJX2lfh~@b9ZGV4}LW+ zyE^c+)?Jlthhy9IcSP44wzSS_TlRL=K34Y~KobIeIQ+Fo_BNP34@Wh39?nX8qEaZ8 z4Sz?kt6pK12R9Q>H)ltWGe_6iMa3g^I;!SRRUu~g!%F`W1jCQCMDywZxEm`}Okk5> z$S4~glCy7!NN|wzVdSlFyX$~egK8I9R>@o1hDoQ6t=gVLU*`jk`jYbGib8Jv`}VI+ z+f3&xh7C&f=XV5c!c|(kk_mh%ZB*bEApwqc){?UJ zu?MeRL4#uzSwx-hkc@-Ek+T`#E<<*YOe=9m;(K2}qbel1&9&g~*Y+J#o>+I+5%;2mzhl`)v48KpcY?#Oov;|dHlN@K^1OypKg z-1Vc?SqfeQ4R64dN8dbK8Lz6&zb2udolVf4Y1@%VHpEBp7vNcolj&<>Elcn2&DA^g~GcP6Q z*P+@9gn+M8@+ItWLyHz&I5OtRH39RDP%^MhLfVzLx0E1I?_u1wf(BF!^5vnea5D^-)1~N0 z0tuu_p~*g#nf~{GL5CeZh_s7_Tv-SL*l(3NzcOxs)pni7Be! zqzmqc)aMY)VRv@|q%E_sqLcn(QzjmM*vD#h0*=fO`>gMvzFx-7t5D;#1)g)U zx)pzUu93nGG_iPMt}xU$Fjv{@|FpfYSY{vM82TL9%t=t(+&Azh>l)1S_(RO42Tv^S z(H0f5-O%Fhjs#!@un1F8(i7Hd34B80NB*Si8}I9?FfWd&pL9k)x>kiB3R9UfG0)Dr zUOanMTZ5PC4kmHqb0G8^Z5QuXDqeKCk~y& zcW_aj-ne?;6e?8Byiyk1x5wrZ2YYs8C@zpUHgp((<8k#|HBwolfZR9vx10BZ#q&L+ ze-iswFH*y!jbU&*gT8I}_G&c~c74W0LjJ<17f_syi?Y9d#yW=WdK;bCVeQdH6lC2$ z1F6#tZF@e@uTKa{9e&WY5Z_lCd#c)6kG|^i9E{|7cpA$i5>Etbayp4VWlnxfZ4Oj2 z@@Anm^mO=2MJIqv(+H)O@q?f0!bL;);S}Q^a|gl7y|4V z?)GBNS1)B`fFrOPvqbN#LjLDP1Qs@btMoh}k_+#SiIkkl?5mEjqor_=?<)}O5HQ2aeYC0^kV@u&E5#Z(-a;#8 zQE6YP57T6SjJ~D4EUJ8hP0+8JF)LV?Y_beb`r3Q-i#h{l)($+@`o}=D12vK%tvrJiFKXaN;Ybprn$oTm z^{qU3Eeh}o)}Uj&42(^f*%8K!`Sf7>j!=s0V-raUteabRKZbfvTZJjXKg!;l=;qDG7ebVJzAJC|Ozymglw#6q zC~ZuJhM%TO0h+ceFK|hR=lr6cd3egz!7bpcFGujl*%0Z}EL%4{q)pL+;4Ca>ptiAH z(HlH=wmPk{o&;aPPOl$sAxtOW@GmgG^`y>9ffF-4c5K3JQ`agg_`7>Og*iJr%J8tm zJ;$Ywkg=ZaZWfm4Lc ztp)W$Q6OaZ$dhj4ERu^Dr9+2d+!vSw4%9uGMWe`op#=f3;Ozn;gf&7Lbi?0JeB!jU zlE{Xq3+|6(qdV_gLGKVk=2gc@rLrexf)iEq$GE7tP@NFIoiJ1&H1roW{Xr37BY;UO zKoB{Hpvr+bdk3{`096YxC@u?PWDdrvtnDT@G<+Wzz(Wt*|4^ftJ##ZLIxtH=h($z9$bV=`ZuxGz6hrGKu&(w>vx)_2UW3*&QwhOTiNoH<`{>MB{sQ&i_ z;|YQT52LZGAOy(=Qo^}eWy+D=ZbfNqO?q^9}yG_c3lR zTBfNB?ae?P-p(qm{lw{F+$RF75ZZvq9YEC}B;Iax(UxG1XxJFqLdou&rnQ?Aw400i5HTI&?_V=eVp z7zQqV8KDJfZ?VMpA{pM<>Cm%3L^>cCE*A`GP3EWCp;tTOQ&_i4Z<*%23|- zb<~Kjp5v=S)5(5tl-?1-Sz{P#HQroAG6B-rA{mygWrV5d0rO^0a$f#! zzgORo9L`y6jXf+NPAhW%Qp#g`0nG zHNd(W@r|edeInS|%l7*p*o>P=+DoPF6cEoPk-)#*qlfpojs|@ywU2)&t%J5~Vc!}q zpMv@qLVtW_AK`LO?++z6fSB!zpq%y0x(nsXbT_2@{$rMbH4v?T^`)iAN33Eg%ISS~ zjpfgjb?A4(6TJ0Y8NsF!$O(NZ(4p?!6)U(4aD05MZ9@MW9)H=aIB`32+bi0Z#Qo}^ z19nz>EP)Ovdc=g16&gj@0abvMote+ms{oIf1)GXLW&_4ev>-XNrd6LB93iJ6h_39rBhpiuiZ)o3`=~BBt&Mxm#zeHIO8Io;sh6X_=Sd53(Uq*N za^L|^vvYur_n#H(FDM4Bw!h#s$U{_Xi;laW7qaFxSPVtWE@PPjgki_e{{~Mo;kc+Fo0Jyn- z8L4b@r*J${9Bd?jnDR_&k#p8Sj^U4h)hy-E~|9 ziek9Z-wroZKy_eckU$QE4-1g= zS5mAkfh2WH+O+xuyViTUJQO1{GLKB!f!)RQ$-Qj}#BjpnhhpC)l=ht}*PP(OqshKVcz74^>7F9uFjA123K>*vB3DyTZ@!eM;;c@Qk=rS6h?a~Z{)Hx{)J8e-~ z@vlX4esAg9HW&%^xGxS6i`y?v!vjo8V#b|2(gH>{a%YNvF>lBL!Pmfl13a*tLIb=B z^z(FTNGv5;h!q0)tf)yfqot^!H7Aq^?7UrH@b8Sjr_b5K;Lky~r$e?lG|H9efOmYv zVL%l1*gf~aNTAwV|X(_ zt#=8CR#KSUXGU25)Gdk7$F;E62aNABHz&_gdZO-u4Ue=@Zx$GH>{&y2sTsOGMWx>T zqC1F_n{u@4QLQ{LY7#UwTu8ZkKK3w-?G3;~u5DuOu!E7_lM&5ed=*&-)uR**ks z?_JMJzz;Z=C+<}}`exVr2F+O8_Mie!EaVvwYMSpZexeH7fgX?w(maG6@r8oqP)mcd zDd;*=yS{NMqHF8<(2@UopuRTqM3AM@EYX2Vr(+$`yA}n4=h5Y!FOt`SUw8-*a1O_V zA&!_I2^SfoM6vD8YM_7b1ZG8-&kGJ~K5v!(_VNf`FU6_&kzXRxx=@oM)+NLTCV>s? zKonpvn~)VA;+?mH}?nnZg5Asve>`2-d@+YUn|IB`wK2G>EY-R;$ zes+vI;-t+!w~E+8IgaGxNnoE50P zk@S6h3KprDKxgN1KZZ}VUV-6?{7RH~Lk|0?phwC#NR7b%88EQl6M?e;S(b^JnJIh> zJKM>}Hdb&Ow?p=jX>hwS&~co`F>pH2FoJ2DrKdCZoiQ&{^L}n}(ky>n59OHP7`^&5G-o^XucSre;3-;kTsYRzj1ij?~vq_~1*D|NS0>>v&j|Lg1~Dr9~WzJ zI^Pu>9v%{MddFL74K2K1C*&ue$;U86wRnHTI7M7M1DZ}_Y^Pay>+6yD`i)ROdZqHqLnyx|d z*U}jIfE6MTa4}|G=T^`RliPHjo7AafPf1a*4~xf06U$llR0g&ZNJxC+*$z-hNbn%D zeC=X=n!jx!HZs{WG}&Vj@s&?mhH-QXO*Q!1M;@xBBDlN*uTLh!Byg%4gyt}p)}#AL zJn`Zme%gH&1h__k6Pz$N0cpoLIREFXsZ_z}Z>WfdJP?4=CURf#FwwBpyiMX@C70ry z+k;+oGmw(*3axkoO_Tssm*&3>5B81$ z164@cVn6?RRea{YzWf>Z>w_d7n;Q&^pv-*f;!6)o*yF4BN|C0?1FRM5&R6xJEUpAJ zV$DlQodn0L-!BqD`|}f{K|<>eJ<6JPN(2wmd{aMc)*4hTP82_Er^b+x z$XJ5BFY95tLc(zuP3J0$Z-gEd3a`EIHRmH!`ZDsJ$ABZm;2>1mBwLVXeb7rHP9~a6 z>o&yG?$D0if3{}Gri>NSYWf!rs}uOvPy~hZ>LxeMhN^SU$X{r~=Zq8pXM6x@!8YVU zy!A|=*FE49%)$g>IxXq@d+Y5F3nS^+wfrUo_%)+{)rH>_dX2v+Ol~)BD{7o&Il>$Q zCttuq#qy`#N3>j;K>+N&gGJrN9y5ktbug{%5zqH)t)Ai6j}=yQFX)3Ae_Q!dW$`F5 zcdXr% zK@*+UC!M5Lg0HR#VRvI=?gXhm<#kZ$1|*o=`zh*O-1>hgKM>O}1|fGSiW1#wCV9e< z)8-Nq57`7lFlB;>b)?fy>1-hiY_1P1XSN>09&SNa6RqQNwj(%T=%P}L5hnD-Hnxpm zVfE-jR^u8O%}R!b9lIx#n(TWf$|FtYK9p-?etCcW4Hm*({DwelyBmz7x>jA8thaq@ z-bq03{$$hq(i%yt)0e#lTh?~Bf5&c(A+OL=RRE%?Dz3R!+q;nuzHT^slkHXUfcfKK z%Rjo385U!3gIk_t}Q0}+`{5`t)>L?dBbq3=@V1vI6@q_zo$!RbKAUP0XS>xMRMF+ zX+j&-;hX*5kV9z|k?s=w%kR3Zb%X50s;&T)TpBbXaxTKGv$G+f!yF{IB&eo4m(S;g z)19}_W*xVUSMc?#*ymuPvIP&aW3ku-0S^*j*^%(y@NaLlyfIjC^DrZ3+k7#wMG%7(+6Jg0dqsw;JVCU#-nx$L0zTcZxo!KGBxhq!2T-<%=clQbHLPlfNyw4&)haAvuEC(f-0cZme=85K;I}8~iC@cY@fU=Hkz?{3!@}2L<)SYXCjt zx@YM$bZ%%vsFmZS#NH9@tc)GzQ(E5uYtJ7Y!gQbSB?L2wsbrFAR#wR{q066z|u}4>+Y9L zXKkX+GGN>?BntV!uJ6^)tQPpw#gSf*wOqflo@2r(taeqf+8W}?X0@erV)SJzVj=i0 z@N<1EJA`@^R?)q`R}5$4#;{9Tjp6rMZ%iQQMAuEK7Z_RWZReC8<@Dnk2Sj96`Lxd` zvaDrN@1rCec|yETyLOdyHuyHxWb7j!3dp10^5&iwcIH!EmbP6xdo-C4 zRl+=M*nG*Q$O1op$VPbr>Y~)KO5uBbsjCh5j`)R-NtB42g5A&H{S^a~IL+2e^>6l9 zn==>QV)p^4wl!DjC3GvJzwvgU%uu}oRiuWR>PhOS4Qk-1`%pVdnh==!K)}EM+GH(K zn`jJTdqux)I3BXZi6aJYiE37_-_Pn3ZS%PlFO8EhW4!RDgWZ)k;@yf9)FQ~F3eHC; zmPhIOnAsCN(wl%yOz5VD-!d{FY8qgypEY{>eRE!JSsh-Wbg+|p4nu|^$0xUS44$0s zy=GB@n+C4@n|lpLf~QZ=d)yH3_?@3z5YPZ^uaL@41OB+KhvEe!pYT`S*$Cq$rabt0 zzr7|Y<*Ik>nFE2Pm?%K(|8982Ce*O$R}ai4w3PDNHuSc0xpp^JnHBq}E2oW&MH3W< z6QbhkUDGg{3mHmGQT+c@@c$m*hn7G+g@7e?L?dZ2#E(A;?VvYvhG2KY9lI}kJDwWH z0v~jr{5QjT9jhC@L}Y09Hu`hbbQ)0@VQTR%Q&vHKc!zM4`lITj`AV?-8C&Zn#m!93 zH~V?vFSa=enQWh;AU>jCEb-yOp!y>2KF?v}%7EB5`fml*=x8z?MPYQlWR4kd-9t_+f0>;H=I;6+5I7U&*6Tq!#+Vlef?#bhKCu! z%8b#={U64fDX$s*+Gnb3w#$0Ib%lb-89i}-8vV7yZn6sq6jsF6Fo+8^OP%!rs7kdM z>NGB;Z4{^@2r5n2UbP|s&s){zZS+`-zTBOLtW6v68!U0DV3|QJAg0ydl-2$9@vL+n zK5pwf;g3FI2HqTb`d$6;W3z-pKReB1m}Gc?<#u3Wost5UO@$o`29upQ68gMAz1mot zRcix_4!3WE$H)L-Boz|?HDt1d1WMqYlHj~Fl9gR!6pB@g?!+AdfEwKe(i*px(*e*U zDrcid7ds6>MBK}QaQ$&$@+0Dwo!3M^s~;`)KU?4J+1VrGQ#g@<+wa*z=}J)cu5ham+3sT zc!t<4PpV^t7XO395{Q}uf%4fN0WX%}1b?k-Qi6L60XUkOw&Bq;VYuWnXW=tD@n`bD zgl`D)(*?{(oHQgt5mVZ@acu-6`z4YpdOMnsAlbr`M|k(uqWCpLNM2r!8>bRd{~DIl zI)C<8AJ1t&aq0_Uw;g&zGSU=s$v!PO9}K@&;rJg|zU_dHw4e{~dhEzo(t0m_1gykE?VVjImC^_()R`|oW+i_p1#T&%v@ zLD`yJA)#Mgzl0+|;h2^y^o!}SCNyGA;f{~z!RPE)M-KHpVC*H@ zX*|61HBLmFZH#77{tX{gM(`E8PMkQJ%$)>9VQ(^M-g999bFA~F1W)0l#M6hh1f%53 z#5G$#PC6sUT}n(2Cz1m|lVmFh?(zF|eqwGL3ytj(dqs^VHgKRG`J6+Yqbv0mZ6GNA zSJU6;a>i}m)0Z64yPlyLJW8zOmhWgBc~BNIuFA3jp@~C5=2z~U7o>LLnIYFv;DhEL zWXc9h`7+ikz=k1^2JZXzskhB6WFsX>eo_`qy2`R8H?$a7-LU$R-IIT zTVf_hOP-$xyDKu=tbt&153Arjvr<_rYR9dQi=M`6!4178cI+osm&2Io4z9F#3k8-o0wpZSon%kI^7`O>eo7!#1^#v91F-V)&YH`3^`Wf4!X57^HL%a4wM%NWKj&|>cOc)2a z$@Arlg(T7?>#oaEVtT&G2?7rCs=z})7N1lv5eV@ub%m@bu?iW#ME83>mk=`uDFd){ zhzp5$ibLuF{cV{4;gAo;gxe8O%g8-?3Krg7BOcSS4z$nc{1Ud|n^E=lTQ!o(b1?C! z<`^{}=gxHZ%v?*D<+&YeuW}72)8V!F&%A)>#=!axtatG_Ti;@N$gjrCcy**S7-Z1X$Tx%<1;6a<9 zx2g{9neQIJ?L!^qHE&J@J|{7jY5~=18SiW9-qigsQB*^d98HlBM4de$aGfYSE+Hvs_FONMqPr&H`Gt0N3|e*@_4WmmZ> z@j8Qeiz|Igx31&6yR3O(41NUTNecbAeQJ`ek~iXFmZHdD$gB&sv}FrCj*3Rw7 zjNBqdbtr1hAykM)a8T{OmcExLGVbSb2&2HkH^35 zKz>LqiY`M$_%s-gh)ghty0QxeQY?vm_wRUrNUlBrgBsClcw3 zDl(>5Shq{Xo*@=OHS0LQr;^we#-KntY{7>sVx#JAFAGtCf^&ukKU<3!07SOh?kjU_ z8g1RC$ovn4fTJmqBT7ITeQdlui2r)8b|T+z2Z~ZsSIl@)9Vl_kR=IoEUe=`mL?jmh}=&kld%eteL6fZUT1c3PkM{H zwj-b0G^~(|U$u^`kO8Y)T4V}CZd6fFZ@H_4@ zd^BQgRi=|I^&sM{@ak_Gv>ehqie8DbGcj|bLVs=#0lu{0DtodRH{Tc_*`ho<07b|< zzz(Dv82dIgY|nF$UzSVJH-qQ zdpPnEyKXRt?jE94Q7itC@chILn&)7$2>FkFBnXQ$FTry?3k86+&T}bm&IsXaa^3xd z&FT76I4*xfiutUe3KEYhGN3AqLA&C$9mPz+JLdbc&p~qw>)BSp$?%$d2__Cl^ms77 zy8Wc?uoZnD5nRPO>K)nW;>>D&u%>9Hy*vMI`VSQ`^12lY$PJnh_?b`%z7S?G2!x<+F{Aln}SCuUAPJ*-_7OLC9ln}Zvv z#3iB(Jv@&rkN-0`flft8ZfMR}*9n^UoTE_0vIR)!#c_hxXUJVzaTgCBXX*?X)scXt zVgs3o38YcY&*Z*s4-<{SHu)=m$!%mM40#jfg6lEs*PkjNcqpsr>*=iE`=dLo;F-_r z*ZXuh9%1L&of%RFn zn*7Gqf9gnMBp7N}(6JyXFXj4Ulnce&u{jlujXI+O zG`hK$B8z3>_uDgJd-FAt59Gu zM8;+{wEU4PDA5m@>U$97!|rA*b89Vzqc7xHMPwy1+f!w&c?1@5os_{5RF%>UICi z!{o9&Y`CFe)_~QuF~guLs{7lR{{_L3pb-3}t}6B146y##97XAhxP5OFTF1_p9xq~w zvi7FevwRCJ1;ZkK$Tjs;IPygbKHE7cY9dED9)nLe0e=uLLl#j;p^-%?Yr@rTKcrVm zk8vo*0bHs2en@nQ@WGA9*hGJYOF#L9F&|2YN`L+gpgom z+djpL|0wDD_ORJ8z(^j82~!u~p!!%aIE?Z&AIWM77N8*7}cnf4OtSUD4E;!b=lkD)SAkWbW#C^|#+?q|q{V>i9lZ z^L!apHo9@DRZ->6f9i8Z)CgbWxPS+}V*LXl0RhZ)L!`loT@t?&!U5zMNI2?iz3{CU zdK~V&kB0*chxyn+S=NCvx*_pQ3**lU9g1a$3d_NH4${x%E(Dk^HVqT!V(=<||45n? zZxVm)sY-itVh=agfaVcK$nxWjw23PSV8*GI7jz)l3;~slB?K(r)}FJ0f|7 z`kxTy6-bHQQ84L}bdM3!mDcj0ztHdRTM7i{hWg8}rP=8ks!O@$n&p~HN@vj=7yu98 zV%-FO;7DaC1^L1P*|%XRQ;861j^|avFcncOO#zIurZf);ySAhzZYI<9LAK5v`_5dm zy@35Ju*BjhLD<0m*ilkf)e}6;N`OVW)y?tK@QOg$=T2e$R(-C>_lT@erAO+p!?!y2 z5(4A)ra}!M6!@F5?z71r5Llu#>LqX30qc%#!Bf;XE9e| zXnlcMuMdH5@H-IYv0E>Z$5?|R1_)i)gJm~% zd_@cNDJZjZ6ZR}K{A{Iae9`hG)mkUq1b1*Rb$b7IcQ!~kc+N|bxV1S@S zMbpEKu4Mm(69H%ngut4f?ZU;lW;__SW75cch#GSaHaMUY3i|qC?W3Ldwvw2U;%dGG zxyso_lcPOu2`vpT5)nRiB42DMR!p2iK`{?ju;bi)0hf4LOv(S=^?>8rpGK|fOlqjM zg{~;*#Td)QvE{3w59Bkq-xFhi@3J*gZ`QFLgLaG`5!~K(bM{TcJqKzO8pJ9jd8cz5 z21I5cxXW#-LPz*~?Hs_<9T`@LDx3l8J$|T{#sE)mQ%3i77A~f9s*r?uHnnCUeO{xV zaiadbhlv48hL@1VM^n}Oaq}Y27d<2%#?ghmk5LWUw^&6Rb-tY8RSd2!#FJfX6*-kN zY{{&y2LJty*5*R$v&LRgC6ztM({*V}9=WC|%}dh0>v^2xWYV8_>=qsS<>(egUq*8B z(x!pXoMJ(oLr2r`5RRmh8Xafrn+!g-YTEBApZ>NqY9Z@@She#{2DFY@v20VCdH#zA zb7rBC{kisgHr@I2#xsSA#~88zoTp032o)4us?ORg9{H~XEcH-1x{$R8M#N%TAl*3! zP)JJ3kNA?#3X=Wnp}0?IMbyG!M^b)*AP3^g7dbiaHsKGwp5~(<`YpnK?lmg~`78(E zE3)Nqt!xJ&Cg?_m+43m1T<EZf5VR7!iZgxBxCsU5yroF z)#+nT(_F4ZIvL<5w_G_m5&0Y|5Rx1M5oQSuBY928G>Bg@O&P8bQh))7@5y#9h<-VP z)krbVSRBcNy)sX_@KTVJ7|8dTv^|`p(it#)jk|n=P5$i6uLZ6&&G#5p${%{AWWeI1 z*V5U!>v?q6sy!G7^e8we|02F?u#fGL>dBTm>Oi6WY13#IG$Jq937xc)wTcL&PN*M9XD=V)}nBkmgF>=oR2`o_<^Y0=3liR z$&dl{em3Gh?=)!ry-zp%;YYuv+OT194wR}E+(~+c! zVA6+AZr0aWFCh6TO}DZ$$~a9-<}Nu`17-&(=OoJ*^!eE zpCS^}-)4>B8L9ob@TYE)5shX8?mVe^e(s$bqxwrvV?aeHFc2JcaKrZHirp2Ie0RlC zPmnpjtCHSQl75k&Qv$%RVch8UssNfBvh3_kR#Oa3LL0!WAmSu6z-6*brKeZ>S}Z5C z2-+IARl@)?NU^YjJPcu$-iH9kx#ZoGWRoH*^MU=CSpItRGJ zcA;#V`yMC}R|gi<9s%xZ!4Gjc9#1)n7uw5Vnj13Gj(Lq7k~*Hb=3uh~zrhmP5B4-1 z=U<+S!ES-oc!#LO`VHpuf4H()4t)l+o~gPb(6p&9Q3vzS)XI2~aI{27X)PMQWio^u zO#%*OEb75<7=kB~*c|BS60XBj5t*0vK1vDzUoPg2KCPrLR~QIXw&LpMeh=G4+{OA; zw&9g2WCrcmg4&91#|PiQlFf!e$U*AT%SANsh+=YJgz#~0%v?8{gm;}&7MiKz;UCyE zigIx)CbLJ@@ED2_H|EC$mi0;rD-5qRip(2ee7ToX{mMUMAXx)CtZH6QHqRL8MBHI1}xmK-(Ial#z;Fi3geACi@?#W{J$_pa*3c5BYY{F4( zD|C0E|Id^e?AZ>4yHZE8QELE3D82!3LR^6m)c(XW zdWJg*#wC5t`6UOP`DB2e-nQY7qM+Jy5S8!?3j>WrK_uAbit7(*TWqrY+5&{2l}@y> ztnBDu|EqSvwa3Tcg?cWjE&fmE_d}xNQm&8IX;=yt+++^bn8OpeBrg!b1?7JFQ>pZt zMHq9Abgsnr<)j^w-ySf*N37^nCey(iIF zC|QhDru}R3b;w2V*T>_=uhhBpoB2G<4pKl5$Oi}{$U6cPywSHlL6=6fEMlteuMpxVrZpG}f>2A!$eCNJ)|15g z7{!+ej6H(4Ni`=WcF;5P#?NeP@JlLw^9#;!Cf)Z_(CfQPvwnF=LZ()HH9YqXFFbS^g$Uv(WVD-RRBDHMM51tO zk3jR`M^n1n0Hw7>S5)oLA@yxZ`s53E*Wk57HY+pe6Z*seMIx zGhVQK=n}@XYWlQ#mwgR7$BiB5Wh{C}jrNs!V{fHyjhgoDeZPT8y$)Dy=4HQ-x^jEx z&PF|M7H&X4>Jf8@T3|JF6u)3eI2rFXA2N_R2E~QHeta%n+nls%pZx~00xzU zalB2nH5W1~(GD<8D4wZWTp{~_UXTtrjPX{=#ez3ZL#L>@OCD>zm+<^e*n(!>8ZSCh zeOfu*lUfhGfR4V;DL#*zd_C?BcoBhm`VO)>+~jQ}Bu&U&jteX;IUiiqJiuSp4x6C& zE4|tf9;_B5stSF`^3a2vXK zNAFF-2ToE$=dH3n~*U66=AXenukZySTaWxL> z-{l-aCBv(5+tyRpXva#Ey8E?(xVo5jrQ`TZ_gma1_N|1r#2vb;S}F3yjGP``Mir0W zyT=who$Zcr879Yu*CRwXG#@+=$qXz+BPm}Izfi%aB>*vC;yx$veyzgCCVyRpp=n2@$n{ZXWEH*7q zM14`*jd#Pf^5+fqup@9krUR+h2}+EO>&`7H5Z4Kde=^ryR6xttG3WLbozwP0*oYvt zbjaDmkD&dgIKd#EmQR+JD&67?arPVgGf~ipj5Trw{gPoVWcl;F-y>0uvHBt*yei*5 z9FdSp-|v6`<$RuPPXRHx4b;lU({MlKynOz56qI945=N$!UG$!ki(1yS-(6u8Hu5z| zH*6rDJ38W=VuP0J@DP=USX7OZGewk>1)^9hu^1Ehs{=u?fjBm&v%t>Y+sSUZ_vlDE zNRCly6vtTJh*BVAct3LAt#0B8eD=1_II{yk%pLpy+>omM7A)X`73Vx}i8k5uV>ocv zImVwzrssK?dE8uOmM@AuugbAO!4oF8WjT^^o*B>yT%6X-|`<>H)!eJ7Ge%`S9A&wzzy$>24MIcQzr8eBbQPK?50Lz!E^Xr z=e3xRBxO`@4SaxY{jJxG97Wl4&8ditKkgO&bc8UAtA>?Lav^x&Ifye^B3j2*y5r+* zryM6jvKY~@ohTp6GzLhXhFC!OYbBt1xf)4UaUY>N@*3lI;lkT@nH6Wc7yQiVdV9;T zdnIOHb{$HB%Mk!4iwlYURPO6vw3md)Fk||hlRZBC$W&JEFxPRzryZx?99x>=&f(>T z=8^$S2B9L9WZ?5hwk z2go-A%t4~f^=GB-}5a(WAj8h z+};&ejPL9++7JspgW;~=$9GxA@^6BB*EAc<#*H{Hml`I^)pPM}oy87t`<-m1biMK2 zhYdv%xVjUkkjaZ+uhkBt{CA=*p_z{@1&-910fb#HB2FVf`jOb)sn~lqyywHE@a@Lp zF&xzzMlAWr0!soAw&8Hz=_{tqL`WjDeK-%&z3%HdQg$0D-qn9_vovQ2I6CRq)7 zBz!I#T9JB`+S2dDZl*-y$5KMcHSJA`heAXBEoB^@J==8%i1;JP%^(HaVocI#rpl-Kdg^d^8Fr)He3qeTN-2bJ`h;TcuyM4^N#M1_epU4!M)ZFJ zR#RKr&&H=oPbjW=;QLg5jact#S>4qTnmqbi9FI`oHfGEJIxBhC?JQV_6RO6E2ngT) z1TW|7RujDCRpb>3S#=T{Ac{V0!Hs*j$$XNlF+Dv+_M^9BOX%@5e5=-SVK-a6R|5R% zPU62msOq#MauX1M4BCd9JYe#B+aeeigXQrSoi$dT&Fj3SkE5Qz?gCU<9P9Bl;2m5k zR{OdpdE44|T72iD4VA`H2PZrS!_#)!CZg`#kuIVG+oA|o1|%PG1YKk-!g_jYnujc< zhhd8Pr)rewBmc3omN5zED2V@k!}Ck#^>_5iIyBi;a00X(d$!e}i;TB6-Dg zvCO0t1NCmz2j_A3O+51{T@shEJH1B>qiSmN8`gUpDywQ7dZ9%U1b=1U^`SO5chKRs zbJrT3G_Xtepo%Jed1Z3O+JSTkk7-KZp~YA~#%e!q@NVAoo{D zFRN#2K5dgIS}!p-A7wXq=M^l>DnhZ|?3l+usokC|uO%%~R}mE;bNQq|_)Sk(0v@CE zFFjQxhJ)FLv)wxH%%g#Cu)NS*h!gA?-xpKg0-6|2fy-_j1sYiL%6VQshSNrY?G{=^(6{n0MIqrAx=fBCSnPuzQ2Pp_b{xLfL;nUyUKOdi(iNmlK;|3@)q$o_MJh*G93GGk2b|ry- z=jzSad?rFRhJfcG8ba`*f<9<^9MR~zwxe*JsGSfe`raJvXw!a;3WCxe4vRiJQK;9E z$DPw*^f}W-5q)lJtleAMV3gb3*@NkW^HyAinnzb|oS*$3aplZ$74zqr`n!nj;T%4; zT5vy`j#_zGY~6o|^_JRf8qU8KT&QWLfn`)sWvx^NN3n4L(kcR;3sC0-e4$D4^Z14M zk!s7;yR1X{ z319K9teEa z2{$+iA(JISd{32>f97ubykqStP8?-1d8Eu~toJZZ=pJGKBYeM{n~BHuY6-V`v`z^# z9u*=J-aMPC9)X0XI1Va2;dbCAfj)(@Y+85bFwoFhd##=cDC^m2a}o;?-B*3hK=DXFPWEhwWPOGKqxF z2G8ux*oCsAIubFHzl((8*t|v`yoW)JHE7f|=uh_qOn2-C zKyka#x3^iyoHu&`Iy9PgXfG}kI8MToy*jINMm7}&MtLe9sXs7q-rRD8 z#~~afhynT5mEuk|<^q3C>tm54;s?QyAN%En0yvLD)=8@J)EYkv$Zgwe`g)k(J|}Ay zb0iO-TVFghL#Jix6|g=C)0`2ZwgDfQW>dK_zl` z0_q-pr0NIEzwK??(w77c-Kgx^F#Jbyf9{f=eK_b6WHeXh98+va+VtJ!! z1oC~pnl_;O5MEW-$ocfjh!t2_5;2-g_GLx){x#fQpG*e!ZXXX&8nuvgw7N+sUsb8b zHukW3{)n`*$w%C5V?2IiBb}x>ZNq<4Ddu6Hj4-)V>ni3QJtcJ7kF54u zjzfIJjJJ+0=VQpB72_>_;FprRwHVwS7K=Hz%ul5Pz%;z$1;YZ}<_>NI1kj+n9RMPe zd^KBXj?MWF7H|}-;1|6IP3n|&=bXUCRnWQ<*M*=tvEf`LA5NAkUcW{SWnm`m2Pna2 z@50JC-&bITDdVVt*;%8Fs8LdbZXtWMdIQO#x5Q2!)NL=ABaXu@D8-{Au0iykF)#>) z93g2#8;Sd`@YXHl#&xMocNAy|2{vUR9$M}DjqEt15&Mk}+7XGp-aQP+chp7tpH-i` z=1jPNDG|WxuCkgo$Q;5c4+K>&Ie+bbEjNK_7BI{kfvQv#ay(*3!?;4_&5icgC5dJ? z=?{zZ#DoWNRttj%CcCN#o1G;WArnQ`Sd_dUEgbm|*;JIRKW#s#?mDt04WLSv=eX6Eb zH401AtKR{3lq}NYoBBGefFBDYJ-i&>T>fO&z3U{WuCX7>j@U`l8DgWJ_n=0(8nWb{ zP?h5Pf&(uxYqp(;D2Y^fqkV-VaSY8R_{}*;){a--gvOZL*w|r1t8*PQixVxCS1msf zuf?u2)i2&mh(nlL>loR2%>tj6i1Au$s0|CKq z<#rFo;BbWMpu$kw#L!In8>Z0NC-D*2)cxs&-Y+6d6a0t58GQw4!YtL)nD-{K3;aO+NnTHhFu>jG2; z5RcEsS)_Z&;&#(ObRK^ff`0Vbd|Q)Y!=<(7_HMx1d_Q){sKO)=r?*4%Xw_3zv5KK* z{$_Rly5XFxCxdkapi|`fQ!ho3Qs64)%I6oPQp6>%5 z#&qMCl&n=85o?y|!Ct7R#-)$2CLT9eD6>g<&9?qmv~C9pFR z=gceQgt#p4uL{{~68%$}i?}S8$KY@lCsH}2Xz7Q0cc?_yNdhW(-@(9=V)Gzmzq%UY zL1!|RP(Y&rUnC1gA&mNt@h0Y>(WFM&)VCA-Kw6|d1|3#6FQcLv_2a6zKwmNM=hp|= zdk|APOYzU;c@EseFB}IEn4)=>LXRweBXKne)0!!)^qdyOARN$Tvd~=}=1IzqxIo}b zuZ1-KXo+x%$!D3)k~a9m&-D5%)V74bkim<>wX|pTgSE)Jw{pI0&=3513ngk@LCC=h zbHa`rc77)YCs*dI(=ELV15iW>2f0o8p^T09WceCWS6@w_p>0EJ)?Rf@VIJ|)URSo+ zaCm1>j|SuE%4<mWQv5^ldv1gVy%lGBUaGt$~^&yh%y*1(%^3OVEt9l?j^l^Cjz!E_j(yCJN{Im-v zc)UUtPFvemXwYw6ZLrelRlebh%g1*O%P81dlyHVf5z9BSYV53o*&9G|uE-0L6=KU> zlE=xU1zQAV%0vFnVWO!k8yb;s$eW?{l?kw8ZhDnwuNAv53PdF(s2&qulzh60mO>aj zH#4s-o_@-oJHY{H023{g<&*jghqPeuk#YBMJqW4>&-aNq?1g4o{Egq@=>8DQ@_poN z2jBb!io%lxRQIIA1NmI$JGrMZCDN)n_YO9wdfBoiCGRrZN;Q!ROsEA7bXajU|Fjq$ z`jZQew!!!QTqGp!hv+xh>vBhAYOyd)^<8+1rM;Xy>!v5%l55yGr}Sxf4%|dJb4Vb9 zO3FYzxoJQ=h@9PK*4!pR1|YG}g)hS%o_6WDbzn8eFJw%?pP}`naq5jp3a#43 zfbKD97(OI9Sth2g098DrzLH)DAsO|>_|l1&_BZXl#dfUc^Z9{}&2;?;ZD+i6qSq&s zsGs946@~Hlhc&hYpoZHq%%y*!0A{;je3l62*P2_W?>F}2QAv|%u3EPv%|7-?XOTaM z(t{5ofA9x6`0Ht6@$zL9*$-2->wJwK!ux?QurXjo!x1|-En=9j2ByK~V-L#-*GQPB z+>$q*r}AWb5hv#SCi(j-)qQXZSV~SvSSyUO$UHa(U;4stHras=-@%dt+TfxF_fX%45`u%at_+j?I86h66x@n z+ztJsS}+=WX779ALOp7 z_i*TAOXcn&>hf*AWQdpilI)kl#3u=OdVdvjH7K?HXJ~dbe;$M8xgVJ1&5XTH+J~PP zblnp~WTRmUjdB!EDEEG%=Jb8f7XML;lACU$$i&FG#h22;4gbdb5Ic=;Bv(-UG65markfPJeEre!I8nfPL8V{caxoV$0s_OkFdJWNJRK-u zuvBv6w?bS%)Eit{R}uiXR{*;9f&Z&`?ctBaK{(_?Dst~oE3+) zMgAYO!aXg>e$@6$ted@5_h<`T%Tw9c$YOQ7xJ;#Iuwm?w;}3UVYaHvXfFNa(oSe{u z@!y{lhbrhwNHn!!$eg_;tWK#topD2z4{aJAqjCQ@qCgDT&gEnUynYfn93l)?IjpLs z@{L$H33NM(uZx^I`mf`P;PS&*N>W*>@h_xd9v)2{$V-r>qKYrvnn&^mnsq}Q0eZJD zWDZl4Zs+`t=EsZPcugqL{s5qTU?!+Za`C5CV6y5MGHvf;VMZrLD_cSUqnnz$IUNL@ zcK6?Dg$8zrxWRRH`^9cqL>13D4EBTjlTUYRzL||Gp?wm|?L&3ar6`xrJ>YFakH_;8 zCIqW~Tl}CW;$qPAq%4_DW(U)dN<-SO)-U&JPm;ZYxwKRWC&vV1nij{W5`?g-&cAv> zExM23o(&>kK53b>fX~DM>qOu z=MYjHmR*mof-Z^tyor_EToPw7(~9PSAiVS58k4i&eVS&KE;0lD=2y)sc?^z|#VRbN zfj*owj`WAjs^|L{ z!u>`_r9pN@MKws_<>EFP_qn$yqkjK7t*&Bah@b_lJjZ#V4|)G94hJk+f4i0vK#NeQ zT#`#EROWpjB0n-6aqu$yu|F=RKRS;D#!P^-yFQ>+Ver#MjXC+SWh0Mcg(m`wlFp`* z5-#pc>j%R=p^T)prGDu-3rzZWsy>L=l$S0xuT(j%E|43Nj$W{twxB5h%u=Md#smB* z?BkDkZmLIth->x9Pbx)nP9qV09+9*feMNS6+AV^hU+~L`_w~kP01KO5)~PRF0X!~< zu*-^gLg{lF6U>C)*pwBxGL{fFLvgiWQ`OdjZCU!~EzMcTpi6y6E!0ekgnCg9!P7jN zjp(AU2H$-D8}(pGyfRxsBUiR<)#(Pv7L#!%-c0vsV9HYw)Uo=p#WK*-~(wD)e(SJGF zidRPJe)G%S(w6?N8>6-JB3FzaP{mLCb`G|Q*)Ah)(FlkehuaN@Wod9~ro&WSizezI z9xjTEpzQ`K1RFanz?ffKn`44mp8Aum0qp+Q3RFIlI{ajgxXyJ>Ny>M zBOO@Lk?B`er>JU#9&&{H{^GM!mNwLQrl4q_7HzubX49CaKHbc)FH_i$&Vh9vk_?oiZ{3kaHnh&Ko!= zm4g}4q?(ldnrHZgq39XJ3xy7sIsH6wy_SKL@b?Gjl906^p_VuPx@yG%+W+TFo_Ydiq=ii}D+K%*p25OGSN-rA#oL z7m4oEwfvi$rsx>bV00me3rFR8Irp9Ux9(0=S7Qa<(&TDBDY zD@G<;z2W_73*&71iM8B33pZPxuD~eHPP=g*M7pohD#DBK7{s12FSZFME$nBG{5~Ro zLW@$lKGtoAhKiIGnUvOi&&=v}^yI_InWvq^;W0$h!#>TYPkT-g%fK&kbeECFlLzn| zl!1P@a?qbE6#*Rjd6pQQpo#o~s)EEMPc53b=be{d@5jBrPv?%A!uyCQ*6fWW3E9DC z+RqWTtO*qIO4W6%obkACXMj|SG^80os`dcTg727rZ(-z5*YCmzJG3K}v>+z>Qm7uU z;YZj(2oi=B&;51|cCUn+`CvI%P6KajI;GwwoF`6{l!cZxM<;Pg@1=9Td{xJ~WVkNi z6ynQ25ms~PA7-t-v-cKpi!^u7h%M~98-9fgmgua6Wo*sLuDF=EkX|p~cVH(J)8=`g zCcG?+St^06SW|FtMz|jwlO*ta7mptTh`SAqC>8d%RFaV+N^{-v1O~sN5u&>F6J?%! z2mH2b0&rL?Lm%4_0jj2P)3-n(=$f-fWn{UNbWhL}I(uAtG`=by^^F6i0Yu2Ojsx{4 za$lwJO{WkY73~CRZcio5@tj^!Bs0 zx#6WCJ2I0(+LQdHY(q02J2}p@2@9`ryiB7U?kK;yfy@~#U#mafrfBuHTqrqPe|TF* z&^wm-Q^Urs@MCzZ1egs*B%<wbw!cd#~77}SmyJ5@Vbpt8L7lO7+hE*Bw&I$*K!Z^58|?9 zdDd|JgRf$Kf#C~cr27$}_7^0KeqdOb=HW?Kqa@R0qi4KWlT*03%U53EB&}oi?_!}GCl3!U! zkjf*h8(@ps3;*ud;=lJR5za~!ePqk?oHuL`#;c$M8pXZ3E4mX!%QlH9flNngzSVWo z;(?jQEt&`$Qz1RHdsf|m9#pF#fflz>NljNyU)AbDoK0lt>>$FRA>O9_`1N-9gFcvr zU?`Jls9PyMV$z-BZ%~;4IE{POZ{d+M*~FxeuctN+0Xp89x`MZDc8-~zq4*3`7+ilU zVQrMG=o8bSCu(H71R${7kSd0&ez_TjnN2dH*nEUlNdA(MI91|MmZuIhJcX&wXZIez zx2Rl$uFyQNJ4zt&d}e9IS!xC^Z7s1?MM?927Ni zHzp3e^85iHRPj_%ynPPKEw_S7&2i0#qPi31WO=l6Tr_8*Q+I&54?wbxJ&%aj-4}@ z=S;wqqSSHv3a8E31I7cr5a!Dlp(bW57Ygfc5NJ=|>x~h+mT7X4m-Fz^HheV{(9`%g zgtcQVRHReJB>tx&dg-Bo9h+MIm)|)%Bia;YeT3!v@)}Ov{F=($H}kc=FxW-h8dXCH zX5?7^Y5MT)ra+XJlZd6G1=^F#%L*=w)lG}A+q`w|^)J(JU11YNO(Zwf@&I{#E3vJQ zpFes^Ar?MVmGgr4MQq#+DBG27aS6+@B7YEGx8GW zTsc{H5TqCIy`n9`ZljBz^jJzKM|OB`HjLC-PDrD+tC}<~r*=Mk0fTpQhZ89q1LyAA z_ML1=7~g96>0r{|8B!pgBQep{JpPqL;)nw*eb{u6PZ6}W=3NY~KRn=hFqzL{$FVtZ z#(A0A6(Bmi4ODb(jRr!c(5%!KT4n(MS-ivlSUj|j{9(+KR3Mt1y34zuq%AkSU95C% zp{GsR4fzRR_|-MigyvYx0K4RukmJ%T&m`8ShZJjv^cW5wuSJsls5O!P(uWkX|a zsl>3yM!K-{fCLAj$ys|RjiM2|N;~drpij;kc2@0#iBOI8J`AUlWpp3x%m!esQBacXy&hB1J0EcMh>E4(*5_kYYR$n4f zN{N)*m^ymm>5e)e0jE4+Z{D?04C6PJel3T?+_BG zIG`ycJ%81i#!l!FuQdaNaiMWT_xzU2MC!^FH`%j-L!ZFygnROln`_PlP*ev+}&8DiRo(amcLYv^x;FzcF9OA#P9wGdnU-_wp za?iq4DFfj-)K!j8G==FZnjVLLf;zJ@73uz~qGrXFXi=zNOYM>9 zGV+lB_vG^+n7IW%+@Vrom)I4mx7a*idsa~Zc*W1Tw6K2`Av|HcjYI8J^UNcok->sg z`2JaRBqzq3O4Q{djdS)urt;Nd9%_WQT5#KKe=#plGaHdW9#g)%TLrdpU+IcwuF7!s zVbHs0Ove?mLe*fnK){@I~2SOG85>$gl~C|bBgCBpxnbDAbC zP`Q}$HE^P>sXr9B1?8`c98ewdVNY>yfY8IRtIDUDgc8KQ9&-3|B1i8HHY}PI>M}ww zk2`ZM|2gglvB??#DLir@KN2JOhPTs*FDqSQ<9ol&XRD5z8qQDGE+~<8Vy960@9vXU zSNT5uf;qPeJX#BxuHiV@P7Rq5Lx`B5r}6Wl$-l%#JMwWbG*ePfALa~O6C_M%$3Lx`e?Rz@XfK( zSlf=BlRUAGl*3Uxo(unq3F$t4Kw!i7y`IxDl6RlnEu1kgk+$wqaqWy?Y+?XIe*m3r zZZF0mSBW1@ga%>yCn>%#OglowbobZE@~Sg)y;Y{;ZK31M>z-iZ%^15&?YVY4VzZQ) zjE0}oE(YXU8#%6SHP-nyc4b$~pDJLw!8jk*;Hr$ztBLMsW7Bmuox4B+!gggV% zxgZOT>Ri~e&ZWv1pemcgoD~Y{C=U`vN6P{SZ;OJmQK4Qw$;)|(Hluke(uX=Z&I-2T zU}(gJTD0eOPFw4Nhy_UZ1K-juge-g$|6UBA74blG=sMj1ebCG^Kv4oJAz9r+l%76nYcN)aMMKc8ce&^pi#&x?UIPb{s3iG6^3;D3q>(vl*>W#f7U!ie9dgbV1H*6cCs zjrHOgsmTtVMxM_xFK=)T%2eJ08% zt^rb6K042snqQt6`S{doRNp=QF>WP{wCT0BhWmfJ#Zw9;Erw|v$bru=f9WGZYcc=> z#&J2G&4%Kffh`n~qr|`iST}VLw$t|vuK!GLeuaCczW>Wr6jbt^H#9iyd^8KCRx5@r^zN3F``UBF zC?OI}XiIHL*Qk1x2)N}YQ0{h?+U;}xTMAQ*y|I2xAKtD-HC0b5RmT^N>i5&C*P^cv zjAbeO7tyx=8_}W>{f<2MVcedw3{{yY|8;yY+0qO>kw_;ml?(I}S{{DCOr#CyHh)U} z_r^OMMDH=Cg+LQK3_#;is;n4t^N znU-QpCCpRF;7n)m+ZF(C6@h5wu*u0d*$QmS?vy2LdgH`Lc|1a-Al)zNpJmHmEX%X* z*cUt$AVJw4`wo-hmK4r2l{h(;Y2NWDftKvUC*41}RVIW0@672Z)=Ea`s9$?Cl_V7C zNdMP8iV(woZDu&Kul6-)A_Y>prX0)^L~DoRtf^epql@2Y-&#sM#1G@! z5%*QBTzFv4Zi`X)P!*8S0bL~HUHX~ub%00=lz^gyxF~lA7eII`d0F_Z@K35dS6>&$ zw?=_taoit7s>{y{gAd{k^9_vN1X~LhNBG)wdk}&xad)q0Lf=F1%zaIEJ`rR~NvIsk zBlN=OPufR>>OM~V4WU9>0^?eS0NelDEErGP2K^40v1GfNiD~iN=@0$hm9{F6uB)axscWMF_HcDoBDV!E0P*vJ;q9qARv+)VA zoNfXrX{ze%Cf2+^kLh z14K1RWKC@n?-+cMz z0kQd;j@RU4YHMrZF={3<{iB)HXJqh_VB%-%yZcpw;s@?YinDb%`moT$S$h6i^#i$U zEX>EGB57?B_vxFq>fp24Qc>zde)nw11OH_Qc|*(crh)F&PAJaHpV^_hLr|Kvk5`!MlrCjw0r_G+y_v1az6M}a!tOm-_~VmYLlUEy(-bGJ`c`4xJ&H8>cuFcQ1x&pnsJn8JBphEYaq&UuP--PocKxjh{ zG-r$avzO5R|LdOuw@G_AQ-~lI%Mv3TkYLLB!Rc0#2$31pV&gAs5P%uTq_#t$1IjzO zHvf{*zFS2!_OfdqtD7Qq@mEZ6cg5|AnIK+E^Jwq|A!0n{Fy*)^kwzU4$2~$U4vUD zS6%5^7@Scbto6g_K0{1X!CgU|RIJzxDCWQ*ux{rHxyblL_yYI@fg1}gp2jcS9idY8qZBWRm!}tS${z1^lCFQi`o?%ZRa52+ z*1(nb;IzvTBcM1>G@wn`Gd98KLrU1C=Ym)>LqaJFC$c}MBF~YDN;DYZG)nA9U6*|H z>7`t!yYXP}0~HmI1CJ4=N2d5<9PC^Z`uLjc$%Q0<`C?`GE{fG{nbE3l$NNvqB5B?w zHO4_T{QQL5CW8DltbCHk3Y_ zhqj}{u)d|td{j4vD_B3E=r-@H{bin z9I#1Y6472yZ8LK=Z09oEP+>intZoo`a~xFGHWC<_)oFznv?&A)&q1Z6)i)gF z<^v#d>0})3Uct^lxDMs+nnpUi5;Xjf9}-q_yryX1)nTV_vfYyk*b4l|>JBciuVgMO zEC=aK_|>}yDFxg~%FdXIF@ln=oTh}p28o&^NWNGOX0M;T)4DE}AaIV4z;+L^+MzDz zjxEikY~r~=H1LWM%60M#1t6%h{Krr!*)~@B69wC|pvnql&S#Dw0h}F4+mq0Msy@>C zu?Q$!AO3eIOM}~-l{nb{6bcMcFIHcx^B~7li+3Tf;sby zf&$Mc`AX-xioDP2@F%omzGA_xqdB;dcBwzsUP{%Sep+xcp_xpp^S=a}IpZptRGAnq z1YLs&{6VzGWbC?5yN5-h?yN<@GXGzxYBYw++NsTR zuyK83qFT12=6aJp6X5qszYQj@y5+}Yb>$M*%HKJMYV*EkJ!mJFKPEl8X5`9Mz*5eO zeF3*y=pH(vwwyONeRqX5L<1 z+!Bi4_9^ZITqY7n_&wcvEgZ}8yA9q(PB(VT=2%gi9#-&`o=->O8kvT>Bw&CyARti@ z3_y2wi9j?+X!!6LIU73sMw{1LEei>&{UA zQJx!npH*65XNI5YS8kYlh*$ipB#I-L1V-0VAtYeS_z}Z*CgQ4|tArS0B0iv;5KiWR z0z^a54P*k_JlVdgD85}1HVBSkA9W7jevkz@Hs);W$u6)jx3^cpbm& zI~Y<9wX#Je1KAzrzX%`>-EHBHtSZz+Df-+VuWzMmJPULpSjdT;K%9Yje9F3f{Gq=X`kQeg(|<&L2}c_*?e-UoK4E)1cH%L)7h4<Hz_gQet``_~kE zAfk+OSU+XkMR-lW6esCm0E;>)uwT7YL_w#R{TqLGSRNfGiH3R4SVz z@;+iEHqz%ZE>KOT^4kneC)GU-^3`gPQYkYE-md&5qPR;JlpMZ7FmUNN*;v4eW z)mg#!(zcr(h!%Y|t)(2o6h#I_)+0Vx@otvB&43i$Lx$g_nYrCRh-l8;J8l$(7*6v? zkdNeU`>$t##gkF3bSK~7EA1BU&dneQ9wGZG7f4a^Yt8-M8RINYXUXmPdn%X5bHi+X zSgqB#jK`v}CnLV6leIz8e|uy^mT7AzAG)F5~fkeA?yES3EZNl``3cqI?x)(SUXy=9)!*)cKWRVZbqy+xU|RZ?f(g2~^1+QVYBJlyhh6&9pa;x*t|3Tft}Q zk-fUbwn;iwZ_uxURWwxfjP@{b?=w0+XkZk2As|IfdedJ9$D|dFi`CAIQw}t zx>|_GCZ&@tX*#bEt=iF0ouxDtij!h3*LAA8ywIUA92tdStWHNeWik-F)QV zV>hPii9>JKf&F6_Tv}g4R*~x1w7x&B63C#M78stubI~n=K$5xEeZ1c7p z#nT!Tqg{4>ytnzplC``su82ntR~|<*(i%(9+!0ay)|rc*N*ul!z7-Mzy{whoujTT@ zE}lGRmW2y0WeU1pXatl0@nmJ)kAi5pF--T>%BEGgU$T61qQ_Q{mUdSpk1B$q>|gN< zAwxYw!hX&|uS1?MZ|$h6eaH13Ue+Zk)sq=M{v#FmHWY5l)eW~M%@Q}KvAyU4;N2$w zW!IDf1d1^w3nW)a2U%hE}7#PhAFf9Zc&K*mZ``>m#x+8m_ZP}E3z z!XF2Bf*yB+CemdXZq_=}smO0y3V9-82{l|JeYgKoCFIcQZ(t|AQ}1Q_%u*!dK2LA= z9$uX8a6>s{UnBmw6mKgVOa;j=Pco->1F^bUC*e^5(x0*B^)_Ql)TfSe%_!m{|MHx@ ze@wmnmQe*T?dJxg?>O)y@n7NKinUCIaef>J3_`V12grfB;*?LIhs9m|?>{bTtqdDs z06)dW`Ert`Zgt+fjv+o;lBcNT`Kn*qa;70cjetAcBt*_2Z_62{8;7`a32CavORUKxXNJwa(*RK+;}Q} z%7`lap07fTn)@)8)BEl!1ia}f;iDwvo8p^zXro(%nxy^hkFMJp>dQYg4dnLb7BHBd zf9D!3snKcrJu>Q(K1WIRKmA?uDQsu>wlX^XpFE8{MXGMK?RHMk?Q_!TSDfb)=U*Q% z86ZW~CBXV;bL`X!#*X|EIo-Af6Z9a{Yp3x1Op7HlXeOs=hF_rAe>~~{I_h?}rHD=kX1O(XbO5UrBaR#}d_7vY&6`_7 ziUKb4eoMGXrQvWL>|a?b$IYr^m_IKG0;o6Oukw}Qs5^r^BuWb+*9*FB)YoLWgfm3? z-wSpej5WeP;|mT{o8nrfv!kk-f?5@uow^CRq}A6rGSuMOr7P*wT@?Tl7QtN0l}&C- zdBPF2d-V+kE|$M!*M+ZDFZ%+ZCr`+qP}nwry5eam98jNyWCgW1AJ* zxci*`yKnbPp7OHix7Ju=jxpg0ivEqJg4Hm5RQ5RJ^Ig1H2-8TZP#`5h5N6#efeyz= zi`OUwzTn&Nv$0JJ(q6qrCE`Da*BE9<1>=dDbmZw-&PG9Zd1pDPG7WBZ-OcnHT#hd3 zk8k!m^9xxeC>Qd>g3@lWvM}M-xy(6b$_I^Sl2#H_52Z5t8=1bCYxf&&@|M;o?Fc>} zh@l(eT6OYt>ogA7RsRe2BlzQ#Sk zh6bFC5F%*K=;42#I3M9tuwU(fvv$il^KIW@k2n^!=x@Z`SggzNkC>E*m0}h5lz_SA zJei_c0Oh(=qFnPmkNGfd4fC|!cae`|VFvN*xhBIrlXl|@$5Z~%%Q?0*5u3Cl=LIKZ zn_rJW)HAZ_)s*C|h20n&7u@eJD1Hk8Kgxmtw-71y`jMaW!hCx&&cGqg`ZX@Lg!F4i z!>0BW&4Bwi2#$| z6QC@Yw9UI1>nVP42u(yo+C1tO+n6ZS+D<870R7m^&USpanxt!G83`tLSYPzMBgLn8 z1p(MlnLm-n`{}Ca#3x!mcll!ZI_wxI{jxFAE57|r*JeHM=TVkwAP`r$nxO<+g5eze zZQiD>nwkRjgOX@NS>nE^v}dUy68`pYal(|$5et5VIS=&JJb>;c$V*>0+1iQ*kS)Tw z>93@`>*0R<(d+0;s*OQQX?`_|IW5V(_(LVi6$(u2*~BBf!_OG($MTauDnWO2>zQ-T zN60%jVV;;z$a?pVnr~QjcrH0xVDk!uq=P)Sq3AVzOD2ggrpPrtO60d>x3!b~#&6z3 zFv~312j{3>Hom`hXU;~{{w=qp4yt#n>2Gm!D?}5*$zH*BQH@BtCp~15>MrS1#KDoX z4+V3CBKrvVsb4h@uN;;;JZH09Nb**)04`w`byqm=49DTY%?Nl(wF!NE6SL@CS?5Kr zDZ7>;48`TG0Mf9WUXj@?!PadD!?OA1M)1;q;sKRGp#8HW*8?`E4AnDKHen+d^|WM$ z{?&&-;=KCOFZ*ev>fo#prX=SAi;4?dCO!i0TR|qiMnvnJqOk0Jam-C|)dh&nYWS#4 z84D`yYqeqlB=$8z!Sem08{9=ici9cr+{qQ>mwc%*Z3AxD)kR7}&1R!3;l=Fzz`)2g zg1%w=+YT01%P_2CzHJQ*Y(&eP5q9emp_SrTH_wVXsj$w?HpRWir~M-XX2cmUMLPMA zEI{}nvk@zT-3GLiuyZjjd>6F~&tML34-udE7!!I3CdM5xf@vH@^r}mXr|U1dJY(30 zN9HD!`RM>?;H!T(VdZHzAw=#m+7gnLnLs{afP!SX3Cpw@9e)dQMo+jJb|mrN_Yj4H z!9~}(T`TEm#DVT$X^g%<6qD8<@LBzHX*+Y7V!FA@Fr{i}rcRaV0;LCmuZ}54k!VN- zT*&Bch?|B_#4D*KHeJT*YkPI{>6RW{EDXPGtDhN0Dj(g`o2!qM26%kMaIddSL|!^Q z7P~XF%TioF>Nk51ol$Gw-;^Y%gsp9NC~6wx!D~FFvuaP^oIQ&5v{o_%x^DjwJ*1Az zrPYdld+YKXL*(@lg0w3?P4xUT93DO=oJ3Y!p=oZth@9TY*){2!!{L|HT^Q#PCxwnk zTC^6Co1^daUwSf;>EC!YiACMMi~b~W1iC3u`oE>WZ9SK=35_3&(gM~-!ed*hJs4d3Ta)J0yYU*#6h<$ibM z3|oY%7b~CP!9GPYeLTvp6MRbHZyV+ng&L$Ut}B?(?+KYSs?c$yGTi;8Y0V4GXXs6D zEz7mLjW543o}rJf{r!8qV1_5hF#9vUK(ehvDH86D-~|hiF6*<;nI5`ap4+aY$4W<-3&1ww-hy_-TOH%rOiBSekyj(*=jjEs)F^jxN8dh8gu8n_q zw%z7E*MeVt){Q<aQ( zk}N-GV;r5&%kmOcwYECZvZ;SH(cWJ(@_<@wDB+dgo>vYpz5lJ~%^mn_ndA{EN2>-! zQ(Zg~oJ1U4C90~A*Uf&;{WOQ;SGzS=PBcA88BtADpQ-7P`Wkt%y>VW-9F;loAA5w>PE+TEQ~&~LKAi_rJDpr)EBSqCD=PS1O#- zwc6OXAm@2XnjI^itt(mUZ5U;$$-L zL3<|6;CNfGz)cU&I!&^4C@;R3sgEs1yc%~c|AN2$-(J-dgPBr1j%Jqvl4TDmY4baN z=X!H|0&JTTOp2eGu~HE=N~VIcJf>uHQk%D6UaCeu=s$}1Bsy0FDK7JWZ^`JYl*n{5 zNJM>5QaW|~ti9Tn^CyfZVcV79h;(a`wxoy=J%Q}bT@k1bdm0=cIk(Mos|2c;-88%U z**}nX!FZ&De8fiVCG&ESooowbys#|yMCTlP&Su{vRy21MbQwmu>;B#fA!qnqjeYL3 zKopL%4qQxSC3`LVJK3W*9EX|02Z8C3vtHnqyF5I<;u602Bf>^5{F0gfi@@sJgk#}R zDzK|FO+Em_^{_4U+58J!X{~~^r^WTcG1z%#UbsqYWS8ERb{@){#(rQulhg7Tr{Cxq zv0@U8r*Bk=s>naXbN3d{n!Q-AwqlD(-0}H0Aa)Izqfza^o`S z{lwlHWgiPH$Zxg zcWEj;dbcT&zvKwS(+fBVT*8flVeWbr+|M8UV#z6dN8?w%h75kjGH=NX#4K{Y4Dqpz z#Y8|A>#t2ig1-C`9nlW}T-98&Ncbe&a>VCU2Dj?bS?iA9Ms(GWv&$kx#id9Dt6xY_@y^T<1@Jx5Zt;!vd+g{!D@uJEb!b^m2G;y#I z)Y@>of(-D55;&5?^rm9C$yncG|FjsM(_nqM-^cXh&3qi6P8rGIrBpN;zVQHlIAuz8 z4d6NsIsK0RW9l_FSXG5+%;X#Fzc2kxMa2}=N%*&g;1(HTw7b;Tx~ob_l1d~-R6r=9 z2h>K9DwlHMH>V7bG(Xo5?UOf=rYFoRf_#CenJ$i3)@yj>HCW=R$5;m5KVUmyoM9V1 zcZ*w6ftA^y?A{6`OPd%6}K}xeuu266I4X##fqV4!= zxsOfNAFW#5$#^f6g{)uIVAiy#eez)m5}p^EOHP980+XH#0W)2v9Y80qb#9Bl61NSi z?e!<5TTcx+9>_!orfCKKHo4?B+HDA=q&$_u*^yvR0rD}YiHr{R$en z1P0ALv?Gpll6kr#Ea5@MlU)}>X#lC`F;sRZ%zTO= zmddfUi90#J*_$Dv7Ar`*_&X!C+B&!s1aje0Qvx2}Hz2EL{v@^wyK0U@$=dKvJBl&f zSBrxA2&f;-_?mMfnb(i~7r&d6qL&m8No+PP(Z`c_yOxa6O-0bxfYy zV64E##(de>Xl>ood<}hu8eg@*I|J3%NCMd=llrcw^O8jnMxnH3Dw_=3HT^I~-&jYT z@$GUBSEFg>#j>n+*=@3^k(|3Sx%l!5X}&$RFpiUbp(_ z>y6%-;!~Ln?Koyl23mNdc=TCn0Mt87kz;#WZd!hSSbLdKF`_qZU^CSvrm7_+3Y&83 z4m)&yUt$5aAw!7wh#j~b(x<0E4Q-?NFBbFxoGwx0z<{*tZe~b8x$d8k&Wvu;1W)+Z z*Wrj(RatKJt+PiUk0G8AC&*wKGdY4L-a>s=V;Xq1o%-BHL|Vymxg?12<`r$u9@Oe$ zyC7ova>K|&efKzx0MMde1fSjB5`V)`VVpcuCOEw}oK$Jr8oia#O^8p$Jc+5BwZ|1Q zwQ4YGl`K7E#VkCTgl!}ErDTJULI7_*EIcG__Ow2x6ae$q}x=73n{K# zU>TvrzE4jY+2Em9HKNA-f&~~|e2rIz+xSn`G$PKP=I+x#aB&W2XllA4c-SY*FKNrS zZJ%v1vt##!ufhX1*}6llhtGPW_eI2Lg!bV!D(Lu?)Tz-4sAeO7nEAoVWF=-`G32-; z_7R;3>`&Snr2mr9bb|vyr;7scud(+COE_=m?NXZx1+IdndYBx#70idMEBd>LmH4k2 zPt2R)&7gz93gEpm!fl5XZp=2|pS&obci|0PiZk*{_j5lj|6ry2KD$x6NL5e-d>@Dw zXG+b7`11>Mpp%i|hvcRq)?LW% z=L#)$)+p9bkqkdRM7JIUF*n|O3bTAM2?3Y35>t$@9Yp}3+UL`{d4B2f&G8%+LDNAj z%CCYp@MGM55I-xT@M*Sufo~H;KB9#Z5ZSOG{H%_fu!pPOrYTiR{(>HZ2w3nC%^RmO z!)fpKThG_=UMrjN$BiQV;MQSbjtU7c_<3&&+`5`l-H3|wDyC$jMHyuJ*p$G#Pyw#w z*sJ0Z5ouN=pbt_G7t>$7NNmaI00CRME}Cj>CI?&Q(yU}gWwxAh=@Te#idDY2Z2i&N zyf*ZSzg}uV`1uziR;}eUR>4$YjTV_%^I9 zZS7m*+23Ua?6rL|7hNNnL6!j$NrL=yL3k+Kbtq^1UXnYf;Ie*J=o?~w2H_$>i^ZY5 z_9nQlwa70HG8xM#HMB9Q2Yi*-fZd3O=X8E}5YI^5j_k<1Ws(23e3=9J?#8Bti@=Xd z8<6f)pAOm?gULlsWH0iz6+c#y*lG;E&gOUOA<`ZDWI} zke1Cfud}(>KA4Dd+(}HK8~5h;P_gAB_6CosC&<-m$7om0Px9=gotZH?21lCRka8`B zFTme%S;BaMUzzZsM+uBS4;Be7jy#or`ehEyU5>;IeIpZB5WtQ&Zz0(Dmm}+Q0av!1CtCP zJYwd|e(Dvk=_?ok1HE_f-;~>g1PO6E$sF~!YgkJS2A4s2spx$n^*H`e^X$~ z6tfUW#_!A`s`Z^8rdlvujdovqrdp(~(Pt(7?}oYs-~C@WpL|2RNN$K3aP_zKk$vrZ zX3AZ-#bm8*hves8+|b6#yh=DsgBK$vy{wr+sqKI)Vpvel{yKgMmf7mS&A=TKWa7dl z9IE;_M`F^pxcJ!uPHheQ6BcGXcKlw5k(Y-^`iq9E6Ai{It@4agFPvw~5grg+>fzP_ zseYOoyK!XUUGhQof1>6M?Q{* z`dG9AF;MhDv|2ejJpADbMk)x0!GuB3!_U3gR&$#1oa|+73LHmB*~X#kj7p<8B`~d; zIU-aM^?34v@ln`x-k8I2)>{UT6A$c7lGqm$r;BU>d5yD}G5koTT$o zk;lO3L8#>7?7GKNh|yc!UU!-P4m4&+Qt~CmeW))y^~mo3s)M#DaLHGJRka_*KDic; zix5Usq#~L#H6um{p2QCPOmbVo*#==fL&1q4zwIxrYYMz+%omrN&p^3FTGT5{O4>Tx z!8+GL7}F}TyVP|vAhnV%$zMYpJCKk-#!lF!Ai45v7EgL9Vp&BI*|d(Fz4_tP-c1xc zy)=8X6HciA!}4mEw?6J+lsSOV!Gvr`n-xOaz}hrhT3E?guQXo$_0J7>M%P@?8Qm0Y2=L#)EHY9A86L@s;b|fl;}+z)eogi-wVLT z$UnXRr^huO3vXZ-#E|pB35UTAov>)IyI1yys553nKM2q@Ut;(XxO2ik)4_@er3cTP ze<7m6&H<7Y{7rjSFXAR*0sNM=7{W|y52k%mFru`KEiy0(zX*{C4!kEk@tT~<9?27R z?K6dJELg$X(d}|5T^_UAIw-3@+5%l1^GBlW_f|x-9O{erb5%ZBS@+!G%y@&-j?>FU zikA&h9i>}$;%4#BeKl!7XbESX zm6eaQ)&>WX%j z8aaewXIhsfDLE-M)ZREe%i7!?Ag?<04Db*V!W^6tWELXXMzXGEiO{8grh}3$$h!K_ z9GtUM$_wNmh(OhC|MU?8Nq7{c#*uq}wG#onzGMv)5~y7m*~`(Pb+^h5gP zJ{yBUUv%~*PLq}oi)2n}5?kys7<(hpZKS^WeznrN zB^jgV@QIqN3VrLp9{WBm9E*_1y8j&owy8|yG512B^#virPbkuK5hj7Tm7Q_cpI9i> z*I}S_67LME{!FxD$>v}`K5#b}@5F4T5@eI1o0PF(_bKl1qI2I{&=CTUE>Sr6RVh1J z-NWE@qN%a0C1!^RpsfosgUKVXSpNy^}X_wvE z&r^6LiduaqnxJ*VEJ5Ft*$?S~e7|th{JB!iCS0MoShXAh1X{q$vbWpPwD?g_y}VA1 zzzKo4q`CN1g9u(9;d9Gk!9VJ5SP9A<&D>j3VcIFmCOxjrAYkJ!_N6nS&QulLgD0|e zv_s8;;~_go!!v+>ZLRg-+CDbsMTdcZ@i33J$DxCFh1O-T!0LT9G1Bz z!f@SF!v9Mf5j-0&FK3y~Nx5obq8nak4fATRs9tRlEXPuU4vm0tAWY^Ujh590dP@N9 z29yPp2eoM*qrczC3*7|?IB(aTb@h5G4U|2qO#XH*wcTa6Q5J--C?l-Boyp{ zc#4|rZvcu9F4wF0Zrt&wt2?e;F`f!q?3mL>+Xz#~t@z30$JPTTq*a(zx=rX-sexb} z4AJ&rG9G3Q zIjfzdWBMz934bvJ_YqjfbJkwnsNx&)50oN&Qf_eS1z7ZfbxH3O4u%GLv5u=;BtNN| ztC~%Wxd=K8W?WK6aG@LZWkFB*1j>AgiD`B%mto13t8l1+PiRL_9orlB^7^d^YU(BrU0&rnhRYWX@ZiC8M7o7C*ybNm&gJeKK!H&;_u#Xm-6aAA`D$=eKwa^uWTTTyN$mqzEj{1w~V!b=h8u znmj6r@$X@gH~_Q-2{+ z8eI=+Rq8Bv2JLZD9Zj95V^%8bB z(4=83a@DE79Xb?RX>&{cn_o!rSvkz^u%5Lxlg9RNh>^vNiyfXys~U>=LH?C*trOzr*!-{~p0Re5!;->89-9^VDN-D;=e%s*CfJ<~$&?(f8X%uK#7y=tXuAM*fsa2yZu!q*tZWb=I` zPnU}Kg_1dLZM!JUY?H^t;R3YdWx@D@e+Zs)qyFXB-SAF8#})D;+(5-wE}-U&VQkb9 z5*l;y=4rDLSf8n88|U2L!8N~^@_1hTx06i>&FhPFS0I7`bPA*WPu6Qmhu>? z8nU=6>Js<_pV0i6rIh_vQ&O;g8l1Z;DaWP$1N<%h_VMw^PF`+u!N(@ghKe6^JT~<9 zn^gnS;D>ApwUS3?A}*u>p4!DzWYmNgr*M8T%D@ADa>aHAnp>BUex?mLIwQQ(l)s9G zInW($WEq_f3WSdlP1^aN+53a>($&h$E9}iHvUEM$oCB(AX^o8CRnha#J7n*d1=NM(9lqy)XS4p_?#LGX>U8Z|}7HIzn zFeHUB#YJ`ei7Mv19sUTaG(t=ooJTxRrJbsWrIS?RrR7GVatg!YPv3 zt4+?+G+@fWas#pQGmXZ2Sy%5)@P4iBlu;we+fu7kb$kEXO}Y%LoedC7%e`!c@JI<9 zCy-Xk-oKd`ZpZXs%XHMDg?6QHRIYZ2sjXf+H;qp;3)O42?_j~rKbg@Xaj2ysZ2}PT zr((Gt5-M|ADveJJ9IpJqLtrdG)C>Yp9fmTOOT{MIQXFEz^T(4}_h=QUm{E{5YQ&G- zg2cz%Z(5BzY+#*o{9708BZx!Du%|6dE0%Z^nl+cUf`L^OC!_m_*@Q^ZskM*7Pz_Kr;H|PO;!ZJ@$QC1Wdv|v8&NElpZ8GWLw-m@0PaaG=Lgh3{*HNrT!!_Fxkk*_AGgR!5S^plai+jgFh6E=D#3BV~K5~KoX%xC_!A< zN0m`W1Socv5K(fbc$LJpyh+>CF?POA4lR6Z&`BRM={14sVnaO$6?9(fHK>7U14e_I zOHrLA-qTr@%W)QsvQtROS}R&43`|5cbfCtQ#x^~}s6 z-6LPh<%1Ucj<~h$?dA}qW_LaT@1;agb%&qAWpcQG{9kX%yo%Ta;mL!9V-|8o^SKe=u+-`lE9meyRWf)WjPkK5p9-5| zlt4@5KTD`jTyTVt^VR9Gi;+WZdmS{nROTyH* zy&ba{>}wO^n5TPX=Dc!gkxRo?3swfG4k5<5BqJ`(-hPH*31o|pT~duxQ(Dm?mmJ8S zDReP$4tVaCRmlQ=r&1Zw!>wTl_%>Di6=H8>f$Z~j-MHz2pL5aimL*89p^-*$9rUz* zOT94G#+$?Y>FpoBfiS+FuZ|FcN5o=9Ixt=ZCm}h292Vy}ZxM=$G=s$xkWGu zUUypTAMNZi*plDKpN1Ek_osV>KtVZJKRRA0wBr`RR+3SU;H;r=B{#+CnA!`t zp2)WVjd(XZMz{lR&r0rxS)GxM6IACp&Be;yG#J|&qsS+O(Ii_QdaDP#b*2ey^94<50&DR<9r!NJG>bPS*N zn}OaYZr(d4&Fi7uTK{3iDd1axWK}?8FWbCbn4aOToqE@kZp8?cy&1{|NTM(vj@JpB zlmK%P&$Mn^@`L=RuPa$WG3|w&&J)J(x0Avh`jb@FfR7g^_tg+gFVi?mmXNHYzUg9L z&Ia(8Dz7%FNydL;g|zsb`)YOaFtYWg8_LqW@x|md!(aZQNa|;#5hfBA0{Zz&(@a)k zcX5?cRNVnw_oKWFY`}lhNmw_O>>d3^qVud0{)_No4z-fa<5(b4Bp6i@YVecoitEaJ zEQn&euoC=gin$kriXDt5KC)6(XeNb^?0#yjKViPuqvzTY+-peM%ef2tPTZcuiZpZw zDhgq3w60IkeWXb7aVF6e!kM_AtkVuFt${j7ebIbFYcrjXe2q>cYadl@F12|**YsMn z=grIUYYOB=0h2}uFJ`^sHtqALZyU)0q%Hg9uQf=XFIB#uG+WQxDe-V9a8VB*?n-Oi z#`XY|lFc5D_a+IO<)^_WN~ja-OLsLin{M%*4Q*4-&K;Ed8LGe7<7ubgMa6fzxTll4 zHFIRLOcfSa^W*-#Y$;4ot5JM5x0LQBJP%gFid@=mzv|eQL*MhwXfHHZsqXzR>mOcG zL1Fa2lFEJ^(&#rg&8Y@#V;Wrj9S{9EUie1I)m_9PqMIS~2&y zr_UA2GpW?G6Ih=$DLP2$5SFgN;#Z z-5cIr@5HaXof}iZS~lAshnmJ-oxJ`mRqIT*C-hBiE4}VeH|jNaz2-1*M}~ z>zp}(UAHoBz{SJu0xN$;j zQL}CePT{=S71B#! zrC09GF{^?Z8VT!%)2VS%EK;8tCHXP2z#8Xkt9NKyTyHf}$Er<-IP^)MVHFZ4wT|Pn zpT+71cU=K)ARW1iwV-#TRW0@g_oW^aatiBDOn>Q^u((rf2u|Rq3y6T89f`GAHXnxi zT6LPWCbw5Y6)TyF)`aOQ{b8ts3fZR<=W4Hr{0e*Art5X5--&vq5~L(5q+=QOdX5Bz zJtB1pz8~m!Ou1?Z+VdO*P#k6$@^yQV*E@(&{^i3lJ#Y|WPMdvs^$cF?BG~v~2>*qz zrn_d)32*sx0#l>>ei-CA(RYLkL1jJ?!A?$?QX_y;VTb;hk)Pq0Fn`*$N}6570OJblg&Te_ol6hdI@E+~GfZsCvE98ETvmXyWZYw;9@8yO1mkjqL^f zVVOZ89wuWS>jaGPJaLR(=0u_iCo*?4dtq3eW>MgNw7lPFvV|E!wF>6ict+}`~_PLHnlnBqlmdMzjv1)0gskq=)arO_m8^VF38_-54n;u#a zNs%Ki145ko;Q^NXTO6GN%c>_$=Ln*vI;oRRePe7hvPxHnE^Y~~5Q^>-p-=`Z-#^b_ zY&&{0r|a6kX5PU&)$CtFMuSMzF#-1dRf*>VcjgGy?Qwdbt+O z+Y3#kWi_Dy0R3z^(-bl^wIc1~W$_)MymrrWhqJuVQNj!?MWWn_4)4b=6^zLTS_Uf} zd*=xqjo+2~%`>fOMw`Oq*e+_Ona3p!cg`YL3cDBtFp!XcScm^E#9FjfV%U7vuPwin zka5y>k}MeOWk*V{4SAFCJzb~+|7^0KER9#wYFu?;4(74>Is>PuhxmD|F!mie_!1S| zc}#q;b)JnI*Ypi?Zp%Vq>$MvCMTuD)_D#eE=Yea%vR3|*w&gum2;1IJwG`#7vl-gO zLxiSsq@gaq3k$t_J+2|k9%?+(zZ1L`pTtqRONYJlrjANxUYpO4L8!F&_to^p$t7yM z+_HRj#S*I0W_KUJAI?W$TveTgzuo3_FWjlDgcKdqTyvZ$NJv+nmVb2?04aEb;lD?4 zVJ|9=r^e?|1WNJ>G$mSUj3sr<(AZ*E0tD+z^P)`%CmuweJPis93CZ5TV3@{ zJ$@#g6iNP_rt;OH{$AeX2lEVJO1d}KKqjk-zD3O~LM81C`KZK+1V_JM;{|eq1Li4{ zh36hImRB3I7wQ<7B8*5M3?#{c;+wg{e7I2i4Qk+1ou$$DQkPtHOGR=Nq`P&Xv%Moj?l@{k>w?xsjXXOt45PskL&)oGZ%e++QV z%uFz3OOY+@hhbgQY+(m}6@!I=kqr6n?s2@d?3O>`)p%1h5Dqc6JKp12VKI$!PXb8S zp19DhB@(iKBy6e z_VGG``zc6IuRqxL@qckc7O0WV{nNmKcygHt(5+ zc>$E!u2;%O^9I#r;(=7l;?n!t_Dc*R-l@&0p7&5+3sX;X_CRVJ;kSj637pH`jO5od znGjPc=X1f`fw{nP>&4(~RZ2nIz6X3Td4Vf=1=t>@WxLAZpOD-ff`}siXqYCVYP|fa zf3w-nM2lZMI1|Z(HqRdk#1xqG3#z~ys*)8=X|^zsG?>=Uk-?iy`2F05rR-(;Jps}w z6qEggB(xIeUv!syLcGDD#IHQ>le!}hlT?-=B`H)yADnfZ*FN%!|F? zJ-$fCv~)xi=2(sF)(N9c0(pPr*tvH zd0mqj)8b5(HS{BorgCq|A~cf-z8b-g`r>JqvgATOkY=}#3b`*3D--ZN1_8JK-von$ zrIUw){_p+dlL0)-2c~~}`@vmP3D@r70=%nf0$u|lBZN%%TzprfsT5>aKfSem5WXnQ z@t{-m$kWPhZ4>`#&EG9M@#6^0-!@qbi-&3qJKTG^7u@?b*&uHJ#qWz+gU=wu;bXU3-om*k$I2Vh>Bs3%?Fv$-fz+a?ojS% zeIJ9noEmtHRuR%#!qxG+Ut4uWKfLTND;j<)YHwBT{Yao?t~tg9FB>663OgwKht;eJ zp7hN3tV0=Upc#nW`sr%2XwmNFhxsQ?3xdZQ)aRs%8NhsY01Bb>&6L>x!>5TVJd4qv z0?>qkN|pzIXVEJ*eBK}sZJPN<2gfL$_rb2h;JWBqpCz=owQ9$W_RzryyI%}mfmekb z^61+bRk|U1XNe4W3i*IvObBU0rMx;qb%eLl7wlaoXf)#JHdk!!b83{_zrU4L!0k)0 z7)loviwd^eP!!VwsP~x+6kuh52zFdJ)3nYGKavUI%`9hs$B^-{!1NCo_f@H9u0wKT zcUMaa!%BRQ&lU)P=^KB%Hv2j$o@f20m=U>};F8&U-@5EeyxXmT8S=%@ST2l(qc-)Q z54>ZCd=hq#5|%r+h{YyFJ5q5Hl0QgmkMronoXVb%nc`7_s$|ac&IX=28EF_rXnOl( z32()Rj5`$$^_2z`H;nOrfdS51XOe3r-5c9U#N({aGtkVQRV*={{ys(Rbl$@hFyZPj z%is?OwzYMV7FqBPl9>J3hGxWPul(v8Vc9T7FkqO^d0_n>&|J`>rGxo6kRGfAW=X3s zZ24x9e`Xl9PBA=%zdyKdVBl_b1TIr36<{K$W02@4_)WMa6>WayYMjB8bDsY0C%OC$+ktn!P{cXYKsw4nhCndj8$jHoWY~ zN|jPeoZdvo_qfZNR$C>@fjoTQkFHS|TPx<;n&j)&@MSO~ZXXy~Qm~==5K(X%6NP0{ zn~#d3`ouBGY63R5#yTN;+aT%p_~dTC8ITjd@F-aP&ht<`4}gZL$B#>hcFy~p^j5jf zP1GA#r;f%NpG63Ap<#-IcKRa-uu#%O@`UjeDG=&bQsW5I8CDvJ^(*U@-u`|%O}0&u z$q;0bX2V2)#SEMv+DHG4Z&6@)3(Tj}x}~Z0u)7Io)ViI`h5B?4{*I;1D9BR`l6ZG( z@?nkv1vc$xvi6>%#4N+X!1jmj3DLnk)pLbA?M!&By`AmL(Qguj9)-qe>?K z#j+)!aMlz}*ZmP4N29-uS2b=Esae+Wstr~O;y~1D}e?gUV z4XJ=Onj^q=m@3gr6)`TsH?X3IE|AKse_@d`u3HQ2%Z#ORXnx<@h;d#DzRGB%-q}3G zla65!rx7Y2QwqI-ZkyCJ3)Mxav^;`Siqyjm5>37bND>}e!-sqwOPAe1AKf3Os}3Zh z9IWd42udjG4b(bTOkw{o_yy9s!Z{7_f=(G;!XIkxWq?8a8RU-~uW`P4N97|RzLj{< zN70;1NOYul5L_D6o!=%f+%18s()71M<|sA4>a6b>H4$2Jy~@OMg2jCdIS1>+A{R0m z%>2zxDs%JW3NZdr`j`B{&NYiv8Sc)yx|6tQqx1_T;RzQwaOpva;W~}oO%|1@V2n}| zq;|-DPcf6(p)mv9cjLKa;vmvjl3JKiE=qkByEdq z-C~}6Kt8kr-OVlEu^1B!orC5RxtYbJ7c%Q;*=XSkPx0rtlEA(H(Il4f|leU_Y9)MC#gqlsH;4UOF)QN zswRH8qV+ECl1qCTD{`&jru=za!V9ilX=0X8@M4^ctESqM$4ZB(5u zs-|u1nIbF@I{i&@AouevC8GBboO8di>LQzaEYql!{E zHJ3Zd!@oa!+jAS}R|p^;B6u(ZUh>!nP79IKPt;}07-g&%#`HqOwpnoBV&+lMYYD>* z_2JFqUAXMGI^8e*wfW|hl-<^MH~|bs2YpJwWY5}i?}quA(IEGL-~}k4XR|%<>^)tW z`9PP>TU9;hurF&)jPiG!kFcXIwUYF@Ki`L?Hg@e2#E6&Bv%vfpD;Uiu0Lt3*MYYx6 zpjD6cqQd()HuGnO+Q0!5{^I?+|2%nO-oIv{x=<9z3XR`FIk%Hd$!MFGWM9Pq$xr*? z?aJB{aqnSwjgUNb?|~rvAnoAqZ~&zIAH}BZk64j6+yLD0E8#?F0Ig+`36j6O7kfWy z_zG~k_g3m-+v@LI2oL&af6{L5!+ZCUF&cuOuF0>Feln3ol5^Bxu^Je>iwE>OKlBsAUk05(=1h&X|0{@S6 z{Jss}mTgeRo$6>VDw1i{zCO@YyHP>GvJx?+VX=bw4=K1dYUyJ=madJLkKuQil>5`C z$ol>+_tXqn@h~hkX57-NZ|!U!N&M>$OiRbGu!zuWA&8MNf$;ddvxxJ#%!J$K+xslX z!Cei&F1uN9vi@HdJ#k-Rv;jw1NEw5)0*2x4G0?NG!qC%O5(@#-h$F`9p1N1?RkX@d zj;A+1ylmR}bv^QOTieAo)5s(eVUiK|7&Zl(HnDjHI}UncFyyoIiX{PzdnX<*u;JhcEuaJ7M(35R16_?TH}7GZeS?S;ynQf_ zT3h35`Hgd13!Go88XXg9>sVY!MoGb1iW*fZ(0^L`dd!G?K30_+F`Z50zZn>DaAXgZ zh$$ow_CRwW z|1aM1?FktAL^1@5Eej++_j9_skTM9)?RXeQ7<*|Z4zp$t{IIH=izi+teq@q92ysFj zc;tCgzT040}5 z-PUD|AQ?YwTF%xDO@wi9KV&7u9m&_0?386K;FDtwlcKp+ywy=t2h59K#)nX0FH_0% zWz+=~(MR)1%C9#>J*BtHCMjXW^TiR{NAqp|Wg%iv4?@krS-7UV_Bd;0ji!tj*eb%v za0MK_@x-aFCJZjr`h-wl2t@%PR!F9}KOOAd?@J~)D)K%|saO-a)Bg&Bz-c7_SQ$n% z9OO+*Bf@gi8}A6V%r8!cYMZ_T)wDB*o+iW>KO(fY;i7# z4j|Z!s;pr|-b1#w)(rp^e#>J{LDpJ!oNhp$oUw=jU5cjl@&IpT!k=sDjZdbS7YpFk zat`(s2{*uW=l-SB$tAC}HrX#97O?#WGyXGwh5Z0$rx+Q5Mq&tLr);jE*7__D4<^Y2AZ z`Zjz1-1KLAme0?w%H{&Ek4CKnWv);p&Qh~`BiGaLHpiKdEZ{W9J?gvXWD8(Xz^r6h z#7cs(;Okx7A$~?jy(oeR=9~X@(z@WoTo*m?5bPYXYsr(Jlb@3j`HLG;WeDMAk5qQC-8nkq6Tdl*@F&n-|e7`);#DO0V9VlBBrNX&q* zF;dM|F+c2_*9mnDo^wfb$-F1YHY4zrh<-A&J zry&Z#^ypgzIn71t z0;~t_L!S;x<`Pn2vBsc*e;iThXo!P8hmlQnBM@)I<>VW(90)|o3gzL)wff5alKZm5 z^U;)I>?Lf6YmJdAAns!-4w3(H=G_Sv0GIvYmIhe!URgxg_6`|MeeO7|zkmXACVDgX z+0WlD7Yj0H2#}&wilyj4%BXFmh|ONLvFr9R^dvjl#I=A&HWJq*Ug+iAu{Op2DPEpKJupjh z@iHsg$_MgUW`U6Erk9I9IGjeNv$I&}RUgDO4udZFogFupL-i0cj4jM742hcoAM>3A zOg&-9W|mT@f`w0OryWRV$(Ahtqp*eXe!$~`gQCj2FWVgpb1B%FUr3|wh%k7YSaWqS zy?&!%)}3*{>;&G@auAx2I(6tz^)jXIMo$J@DVP2=*#cZ}m7Q|h)+kh*F30~&6Z8I1 zT`=+_oy)O2=fz_A*_Fno0$;r=XT>4IAE>T+N=}P2Bqs7Xj*;tMv9zS>t-iNhcCdKl z^<^m+PQ;9A(hHQtmDgDJ5U%-t3-3&Y-Uj)sNSS6_!TC-2%5#&%I>^lWNp7_IC_H@t+9b3Q56yT5-0)FUpg;^L#Q)itst8W7ey=vn9Zt%hvI~n6_oFz)|8iFbKlS>GuMcVIY;~ zzlnftEvW_I<&t;WT>#lU1gtUBSNBr`<+2z=7`W-5cu-9C^7DLTQzR((_9j<{rJ*cQ zxbTmsPoW;0#J>(yRD+R$&85UC!$bAF00qp0v&8SeTtI1nmFF=ky|B062R%KN3*OT+ zlTS*XRAPq4qM`Qx<+>;h0X*sA(x4Xo6=rjfluEF|t2XSQ7BW04xN{-o_@pUHfOg`O z>Q`%ELf&N_F6Et*K&8I*)M(YSlW!3|_o-lUK?ZP>xT&HHg5FyCnT1r2Ge}Hga8D5e z6Cyg$TPu^6!Y{7GTLL4H>-Up>4Y_{)8Ql4mT665iSFHt?)(7na_1B#%55tBq>2s<* z6gN&zr3osvP*e9UKI9hp^_jmIi0*5%PoN#>fwU0oA6y3Bj{n=ah%n7Er%B+cK;VQH zzS0U)>TMol)Fr!-yZYRu6g{~hgq0;X*?5~^(baN-7Fy1&cowO`yFSTQfy`dg5=@zj zXeYS=s}`YG!pt4({%mkM5}~Q{sE0Y#bh6i3c_4WKBi%ljL1o-H?-m@_?R1@h>MH;~ zCfy6RbSCB5BpPhLZG@m2+o5UYu z3nq`|+9Szg37!@1hg!7bYtjBF=VZ$mf8u+`?9S}w;=%ih>C({;)w>iqrmud_`ac7w zhWNZY+|tkClvgLjuS&BKjQsESg8n~|AZ+l$m8PBW1t_cQR3qoq`o_0v*27(S2#-R? z-+kZM=nrm@-W;RQ&vE})vO9tstDnw?QeL6oeY?_Hz%10=e#lqRLwLMhAZgjJ5|~t5 zMLd3A-vsFfy$3rMS6>5b$hV`o2c?F$B`Jo(@3>Wi{8v8jrs@{JhC)bkYlLQ+ z&|Ug|>7DgjAE}lf*%&szKb-fm1GDnGiooM7zTlmH;JquiUbl1!zmn5WWR01DOrcvB z$k>}AC}+>f!MPRIc`39%sE_JI?YMcErn(2@Nw9)A?!@SA?G_Tz&jcT8z=>dwwMxB% z0Q+~36o`GW`)DJ~As>0s0l2}{E%xcMh1OVq#o_PA7a$gS?T=q2=#Qvxvt>IQFVfn> zt5q7fUTP;l0Wdw^! zcUz6CK{{@T_ggu*&3BCx<$cYEWg^gD$cpQu36l!%*9@~q2W^;S$$6Cf9VpbTg$E5g zIaZAI^zj3cT0L`%JuNAHvIurAhpb6VV1eI3LUS_Jz0ZkTG?F_Mo zQ~)&oX+edJ8gihX@So4}c;N)=3!|8-}qRv*kk>8PYy)9%}*AK!|GU+cWK?yW(?mC( zsVPeb>ddBrrOeSoGF zXXF_JKgjXOb)+&Fb_AiG`joYz5>ABRCVXCmww4~A!HhVzF?3?K!7FMu&gFqwdlH*= z##Rrd8Mt>|#_FXrM}_?fJ7u7u><{f%TP-n zpve|~!+50NLEncT1);tQFx!S<2+XhZ_XhA(bdv_IA!la$h#?Nx-4G9^CMn`PFuu4vxj5XL4xe=d&>OSd;(#;=0Z9s5-L zoIA2Wp}CNe@}ZL5Ff8-!ofNgz(7qPJ6L!-Szi+P=_=^U4!3NGY2nmWmk{+E`|0as+ zR+w^cJX%OH4^zt=H+Db+xC;J++*0c`Yw`)%ueP%8Kf$u$H@kjbvRQ-Gt=hLh&Ahx9 zQJoKVKh@f(qT){ec(<3!_b~jiG^1oP(dIQd8wIS=0duk;&ot8P-|23SdTq^v-qn!* zy2@|obGAK4zOJxYo#RRyU6H#P+ti9j<9c6piVy0AM;ocz1Q_(fMbP~KqZSu~tOfb` zO$^lrqc(qvg9ZM`vALZCNHA~Kx%^t&+PFMF_urhDy6i~L_C&sNs5*7rMxR!68l{E( zVv}uW_T5|1^1@x3K;e$?qDB3yagOXFnzT`$aFg2(vR5@H!^*(2hl1tcx#oha^s{0O z(&O6@tNn@qq|ki#D6~*XhGRLzb?5mdd|nVZjwQ~%cu{clN~&?4d!QzT^zv_dPNPu3 zQ;K*=#22vb-!nDaJf~KzPUPF$dWK$eIm7rv#@4-mfL-PA2XgS|g*qbi85fz1a-Ww- zip%G3KhAKHGyBcA*2l`Ea<1=I9(!wu-HXob31r0Nh8Sg*cUB2MKOv)tgHZg;5{X%u zEwBm}yba?KehfaN-$gUl0PyI+Uv!>d&UK&ol>z(UB~TaY(_EPjtbtET#8BUtdGhJ? zhmv7Xdja!BRpfNbq4!dt+)JAFeZt1>U>Hs(nlK^D_5Ng7)KWuei!jqEerXeK$_`nJ z<>9sQZ0frpZJ;i=tyj3xZMR^j59#Yg;WH~#wvoFqGQ0?J@>Z}j>GVB>r(xy`hq!{N zf4xvLP|f+8jBciGWFukT1IQSTPmJuH@>uigK~keE(ke$4qNPEoDdwg;KerBJzA9_} zU~DNDd|aKJC#tk1QnDtI3;YaC&f8u3eOE2IH~*Z!msnOzvpkra{LyRvQ`vCg25V{K zX9YaiFVzPLeO5yGRvnH_&mc)46@AqwXGr(Wvh5n%&t2w;YWx~n*$v8q5jAz{=0tUV zLd7eluY0&iwe;uS2k$Z~^TeZX%YC2p@b(gou##Fxm#*^%-=>G5C{AynP6 z-;^@$lc1i@UvmlH&<{!xf^J}plIbtx@%&3e&AxJk?UNWp=E+?TJL7K}llS8t{f_E+ zojeb@tK!bJuk(A^Qkrs}JWlyiG~5!)^6!2|tyE3YuVs(@Kk=1ZZt!5UE_T_n0T#i< z{2e2WOPe;)PR2Z6Zg|x$&d7wvFAmFweO&J&^Fn&DQ260P#DGWCm(LGND|m|F*M)Ye z?)t5~99_FvhtXg&(ac@OHO-l`8M7S5yi%7U2$3yl;f43h0mz&oV!JT88Wxk_QWq;a z2%b+leLzU4=S?#aSPTBioUsy9vflc}(ASGE)ly<4AO=AR-mxn({A`FBJ+Cm^*x@Gz z^Yb`&o@(j5m%1@{AC*WJT-pc3S5jl{wmd;uJojUO{M`@hid>afHMG+yY9`a} z8Rj35hd+Sktxxa7@B{5j1VO1+uh0{cF%;pzi~#BwALzgjr8FD||p4?Ty2l?IRY_ua~;hHu{cAe-!p7p2skuM+4j zloxZcg>0*}dh4;`$|%&NIv1&MWj*6cINm*u1YRBs>+e5Vsh86jz5}>5p$B{r zP2lHzra(dfYtwfc`3%0nIe-4wEciI);~UMInQXNe8EzHa?d)YBOYW2jvM)Ug%2WE` zG#;|q(xoW&@3|8;c~`l3Rxf&f7zPwfLl~^^XFx4;I82WR1MTlX)QHMCMHo7GsStX( zXEL5zi%ZI--wVxbU)=8EI1UZGo$S2w0`HDfOsDDjWQ!Mx4@n!xGx3eFM>7|6R^sI@ z{u-w94Fb8>joRL-(9c!dY2OoI3awUK5QE8^8f0nV4k$_vxkXxM)puRYB{rC$uQIfi zsl{l7(PH)es|e7f)zeO+W&T)B-}#>d4~y#Y8eQ{j40gj8mlL;Vz`QFQqRV2?Ow>%A zt$`oQdI;muZ{#PW$Oqi-?iS~fBeIi3dhy43F|}5`)U^iwnsyyF6*;xM_YnYa zjxgUE!%TeYP%6yJ@wShgGZQ6c?F)E|9Ie-vCH%^Gw#?9kTy!53LwviA6}DpB2jyff zO>t|$?N66&=JFigVFnO6NFR2Uijw{ zML@;N7?|l$4A1*^h6^lknep3PXpgZPLWtQ$8CA!ViL^5LBN24MiO?-qai3lMm_F>b ztdvRp-AB)HLtsj57?=slf?R#e1DTJ5=|el*KbDFJJIUIPf=$cn{j9}>V!XHqIcrSW z1$%wvyVUIDjKALI-aL;IK)SXXM8i6LeNp^#?fvB1^&bTpe)2I16X1~U)h<07;%wN& zysBlGl1eeYIp>9eRLe0i#tG>_@xhp;8eZBjJUi}*&1^xwauwS~V}d1R&A;+sLRH<0 zdQHtmECO#Sow20eYp9VQI0)5oaE9A)$*b;TQUW589Q3=tHdk15N`_NokYkZz5~-Uk zi4xd+PTwh*BfbNknm37&7O+?Kdtv-J=s@C%*C+bh3uQ)=#O8<0>rGDDtPMPaH5$A> z23gR`z*s>oMEKfVA&=#-%s)YA;#WhgW$4(Bg)*twE{^o}y836kD~~)rvuT6Lp;?cc zCmjct`lIsY?35w=E^TdaaMxa%R~$+s&!P!l}A#LbH|KNzS5+uLPYRxobHdWE$C6d-5N$` zM{H65xc=7UqU&bVhI8Q%T!ogXM%5-;G;mIMvwJ+S)HSbKAzg#Cg9&|SbUN3;v2WByqht?+QM(5sfBnys%kP1pj z5ViNq?Xl26YZc*cdMLif90$Rt6E$V=oY?|8W)p8LGY+Kz$3|{_@*E)%=@*x?iD8^p z)G9(^r#r#ySdo)4C7jBvT|7JX-rl|J9z3X;YSS9=4F*N&{M zR!Llx9sz%I2iJ|ew%MQU2eXitqRLMD@S+Xh@j+=`5g~3h)X_rAy)7sj2F&1u+Ze3$ zqJw<1dFnZ2ugN){5HXNd@OMCE-?sA46sZA=J9f9{yW#trYltUMRa1_#A!^t)nILEX z<{z5XY}yvwxuU{%24TaRKlGMylRRMT^~HQ~nH$kYxAJJjGnk2?e4&^CF)GoD7>e~E zA~AR@zCH_*3*(U!Fm2bzi3$+?d1x&}jd|IT&U1;V=cGSo=m0*HF={r?kH=BH$f(r( zSrvw!e!31T6`MfQCMNCK1~XVfb8wd8l_*&IkvdX!5V39A;{%R^ZE9c>?#euI8`;ZK z`xz-}L@fbjygnAJ%yQBIkoAE%`YNfEfr`@YkL+%33+3P^2_l7ITpt3^ww*;?+7zO% zi9$8A;*8Y43pGPyLgCEC2}iB*26S}(f&&>?18e@ftJmV`y;q-*z(O`aP^B|Dn|#nx zg6?Aj0w>n`*xr)LCgSCvNIg+nQ%M$@ujAmO|^{}UbYj)jB46~kmG-Fk2 zmPO0XBvGrG)~E3u^RY*tXBLlAVRbQ2qF z^=Gi$X#sK_5_gOAGVKMXuKojm%+Mewm-du7e>QY5fp~F_PEpB%5NB7uV~@;5pFLrK zaq<=-0Y1Z?&`2o1{gnQ~W|QeR?co!sbNafGJMCdjvRhJ|Ksws|269`=^yfRbj%Uad zvRs9fS~tJT*4!QRNUwhU1UmwK3@;_CEXLS?}36VoMrVLm?q?}H1QG5(|)XAoq|m^eOIKmssr|p zSP=|3uvU#lErxE4Xz_xIX77DxUuE_I_{AXefBU>yREvcI0%3AC?RsX zO(+?W&L(A^hAEVF zo?g2y5Ql6@xQg0didb9B5<{m|eC(9OhGd2f>zj0t+_(rz?gi~)tt$FTbobxN;xZx) zu(*QfWh3*a=C}rXIe|QNiJ`Vx+sJxb5ZBm4eyujnaAn!?;vj8!B3{E={9y2#w(Ufj z?926go|cAyd@W8?!K_QQbHmYyP%IO0&(KLO)E%=3{$h2NS8PfVhD9jrSskC+5Bb6L zdv751J2lEJi|uWqye!fO^b}urN`OsHJCu*9gDdytni&oeqv4{1U%L|qS2Omu3Ouzz~cZ2=&xN+hpq#WjF3Hn!dHaQ;S7qzff=kIIFPD72MfQ7RHRV;^WHMq*Ml-l4 z#G=;$FxC;-aaCj}H=OOT+PFp@j;zn@V~4gI6TyaQXKKh5eL1I=gedg`CrP9*oVN=w4KPwl_H!5?s#;MT@$y0Y@o8v zmX5|<$Nn)|Fq`3gC;wnnd=&}opY{=cP}c#m2-H8xY{QhhpVwibnKA%H8O0UsOt|*# zu5fVGmAF47-9vy>?CZEM@ch?Do3svB&=$B2C+5=D&|^mYJp-;Jy~Cl_bI`4u_}f0; zuUe2xtN@@<5F)^*e6154MU{e*bWD}C3`hgD?FfZXgeni{yH(ol})#Cnw@p_=X6= z3RHyqmM{kpE@?kw0}cn@?neX7EBtpk3UuIumHBA8e*fq|b%}33G5jwF%5fIy7hS2u z_!ga7hN~!wSr+m)cU1}$=CJL~#%ug-(&ypBmF+H&Z{CJfX1jDUg{*+`H8A*aZSTgg z?)OgLEOBLCelRnM)`ikYFbb0(i3(+>gJeg7S6rlh9gO05p^MMH4AmyReAA81qV8-} z{0{K?0Nien!;)9vxnptUvn1!GjCVHVBDw9)PaF~)$dU!s8b~rRcYRbS3{O__aeA*# zBgM*dvF**bzO)P|fgh3OWQBqgo)b73kLT(9*gh&Io8i4XAH+E>XHkzmK#Z&Z^b6Y& zHou|57viST7Y54+kc8M1ifxcHtAH9>M0UKpUm7iLK~5M?qYi}Xf4$^FFwt0|^Dash zYR5Luj{1CCXGu0cO;+F9M!Z_q1!Or#xXNU%_2RN)5UeL~lL;6qceVw93!#P%>$|gnxyJ|2BBPadS;7ln{y+sR$MSd|U7%=t_uBKYE zY#TKoMm2Y5{khGbYJI_^7AL?tjsEBIF>Jzw&o^Gx6h#fqAOo1Jb4HUg(-QV>WUGnbiM@R^S#9@vMNc*zWzXi-GRl}77L9fs@jG6h zc8gH@Eet5dg*ZgiWVMmQ5xh!r6NPw1YnyYKCv_*uK!?#r)&Cj<$B^gZMt%_6P6>=h zwGO~buPJ2o&4WP{^G6sr%VT%_Ucx{_8Yp%fZ{XEbbO$JDRcbYIyURIqrPZ?8B1yrx zx^`JH1HUf}Z@@TIxd3x=hz2N@{m`(;Wx29(%|@tH&n|SX032Mk|5|dS#}h#hNJtBG zzZT4eeT!$H7cgOv(os|JCt#aZ!5)iIMjR74km5jFHuVUvs_fZO9VxF<_=U>zI2_R` zpkk9~$hln4-f1+5E6BStB9?~Py~ZsS{3R=!IqyR)^SH~WZ9XzIp(o}#CeXnsLk&Mq zgVt z`wI&Q(e;+Bwn+RPWyz=}EyG_J8k|8)c7^V|(_=zXtJ&%mW-d2NaqK}y=#x+5c(ba* z9S20E;P!vQc42jy@(6=`X{LJAh%LHoUQ3_Xgi}uvdYOBEvn`dlOEYw25$5*KRm1EQ z$9@fZH>7iSNm zIjLp9sClgAM4Oau@weeYa4g*uig_fzTNTtow6m(YfZTL-*3_$eHctNpe|OAjYnXk| zu&F*b`*f1uunNwtu~S9k zW4Y8ZO#euTLTFF#dwBG8O<*^^(6q+)Ag3gfnWas(%voveb>gh)Hk=g{BE(42_R z7*;i%%zb%ta{Jmam}c@qTsnt5kXm$e@B>p^#oENCqo%8_2|tX>zB;nU$63o zwD)OaDRg(bmcJ3fsKTqE+eM8~%}`U%psuIoQRx^a&_Chy?iS<7Z=E@m-$uGI72?K7J0RfTm7w zXJr-6!+WuWht;fuohFom=?1AG=Ni7M<EV~?tNlrO8my_v6zV3@F< z*wN3@St3X3`jTPZ{q*o~i4fYl|!UPiD*NAk7eVS-!!{$o4;Dv<-ue9kl)sXwn zJ&h^e>fO+DAa~kNOI480?*2Xp*I`obq*uDmM~TzLN;JW|1Q6miiaJL8_x4zYOeaH{ zSWuteBU1beCNQzupec)|GrL`@-<*2B3^uN~iSk=xWGQ` z`uYMQYav>z7{6bXh;GfbFy3K-!?+z0F3uY)cPcK=-(Y=uIs)t(5h1)6wFF)7Rhn~* z9A$afHYoqZ^;INZ3zS)6;SKRI7{h_J)dKY1nuUaBO&zp-8qy+v<2JT3mi+YoM{ydWE(9pp(A zL338sYM4+y$C>=LmZ}y`ULgoUpY-P(KdS*@s1!&O2p1_Yd_|p*Ris-=Zvn;|4D~D% z6`=QYzd@;p%geDZYL#e3>)lcpXFN`Gt}EZfs+~yd_E$1HiYqdjR6K_Aoc5j+@qYbD z{Iw=~FXuiShT1*Bw|?X8tmbrRB(vSh9$7T0L=|i%_t!x0M(N4!N_INt4H0{g10u|$U`igi}QlY4b&cy;Ci}&TXw&^G7DX4E( z^T!kvAz_pFl_^aul;4hcQY|Z_t^Syl(@2%Y#1?vLlc=DGP6`B_u_kK{rWw%(Ori1{ zl1~c@-}yqkt}BHGxx%)!*C+KoHBo0*I!G>H%Ak@uwIGpc#T-6&M*+Ey z{>l@IXe!ltHPsCcvjt$nJsegcOT-W0C%Wgl$q!QbONq_|)A6cu*ht_TeSJ>JBXV2# zO^e{000kr$AfC9hIBP!=*&U%QX7}lL)?b(>B~5IVXG!1RnD{l{h}3Zc1`=7)*=&QT z|Ii{NM8v1QK&p{QT=eavUhs7A)aQbEGMJszk)=I3p{~$^{Lswwd%xuU8g2@_84p6k zuOi)>yj033z=ZXX*JQH=SMCThN3tHKP8(aCu#WX#N?<+x`pnw-*XEG+?HoHTw>xan%XEF5WUC#B~(q z$BIHA$ZtYrWd+Buu0H_l!D17@9*vtv7TF7;b`To!&V{w20Ae~|;|RYk-*}c|m-XUS zIlOTVm~3UNUs1#%UTuruhs#sR&#S>;lvqwLyIx{Fn`uGbVh&#Q6Tm+n%Zz(uutTYd z)fg=%b>%Z1df)mJ)`CD?7@arXiju8nak-8vL*_2!`OBhu}cMBE76=GkK<_*%KP2N#kT|J_u&1l;BHs=6U zI!M`PbJa+8aiQ0h-?E_;>9gk;$7h|N;imT2?*h`2W^89EBmt%}qe(mhbh@uaYr@Ue zd}IR)4l(2hNw~CEM>4hut%rjjum#~x_Af)Qj5_peh4gebKcwf|MW4$*g zTIfsOn>v{5X+H~^kB^i5az?|>+s(rVm`>Wi+wf;G^$8C$1g5>bCkzEPA&#gAA@pryVIrX+4g3fw099-KB97ATCCs`1JX1`AAe6oUYJ;-=;EVuWP(E;z?VHeEz zANX;d$vhuQ3%r^F6bYb2X)A{N1*+*4?(~a-n1PY?IbzbRiHFR3-|&@Kb;og*KNCeM zW>4<^KWS$IXVRgHxWkG$doVK<5{C4-0zS^WUA_(b-F{%Z+`{Xp8y3ZKx^G6B-fkM+ z9|g3sQKTAdwig&*={h93z4TC(=g9o%HEtQ6M5TJIl?31lO7E*O_Y=Zhf?NVjR)?qk)%si2(pmI*$Bg%R8&q>Tz1+>+@LqK&UcAe9GpNm*q zj`p^YoytsakEaXNPWP=H{PpqFrzh3EJB3}1WOOh z?FBOV(WsByTM!__SM0{dN{ZY>VK=&ld1OG)-VZX2=G07QW>gfy(+jq+aH6@B7MQrt z!1j!5n@DrAq30EI@3Dx-!Ic+f$Bf6*^O1j3?(F$&xbdeSrR_-QRj76t^Bst9 zKIf5n%JG=C0AIm77AnC%e~N_Q-h1b;+vMs|+k)An@IC_;4B}r@|CXxjw)cb$$*Y1F z`R9y)&4<&eZ+2!K8I`duDL2Shb^s=-vsW4cDZv`Kg2{rg2pZo*`Z^sb+&3m;t?hj@ z2vbfmZg9GH?i#XGQ)KBzqY&UaP}QJXv2!PlHpLzYQOa1Ja^p=DXhrMXwr|2({g}ka z&!H^lAc%&XvpjsVgX<)pw-y?{Yn8L+qeZLf-}+j^Xs)mIKrXSn+-B=qE9&;(ZNln) zy=B(lseVw+i0 z(wP}Vl(Eyn`*+?rH_(7;NX(p2q!LGA%}m>5b)efT(zkRT9dXoW0U^9U@Oc5?j`J=!T4I?=PK-&J$E8LD z&gV0Lb(4od$C1cHc`dGi!^{?B)cd>99i~y!d8*9Px`*|oO%#_kqEa|)PGgg>Ho_Gr zk0_Xa4)IE7w}KDjv(lFeo=V(Qpx)6Xd4|WzKG}T|kY7s145ne%dynW%lVFkOLm~1H zO|Wa-7mC4b()n^R@zYSsvGFKIKpb7Uwbx9rXwV^Ot^{V-F9-_$!m0tr^JN2G(d3y& zl<$$q<=Y+t$W1Cxkee_xB1L8jw13Pd??xp&VO{&mEX#L{cSqCRqVvA>9D{8y7E7KE z4lewnps8ti3ZB-JZ^$xIxn3~4Hb=d8m<(IKgH=xg*~TpzcP75)OcHV=T81$suxCigK+;ECJ;wvs zge_U#B`rQS@ytaN$bU~Lsd`6)?ZRc<4w<)S6&EOl{$>Ry7Hx;arb{MJf8X`DNKfl@ z=DXeAV>$Rc$aVIOY0s;nX22N8Ul1!rL)`gQ6*1Mu0i56oA&Pevu>`K;jafoaTqi2C z9UY!OdBpr=5_&8KM>R&9i8NU?rG8d&wqKsE@(j;hGfllAg|hT(UtXhCIQ#?VD=SK+ z%8&j6iFAPcQ{E=hi3(v%z_wkCFS>&Tz|YY??Cg2@Q`ARXa`HPv+q9l8(pKd3(hKvo zy(b91(7)3Rq= ziG+1u={ahVWo86gJ-*%ELZ>B3(@AJD)?qcM5XLlJ5SpM6CQ8h)B?m#l0!%m#CYi)b z&DcuLJpY%_2`~Wz95}DG<7>)+ysIDX6MLJ@76Xfy|G-{8&d{|!c6Zl{hwuDs{gycs z`Sn6C4?qPul_uokP>j3?^$pKngjrb&BrQ4G&TFU1ho`Ei*(wT)FX#R2;7`vmpUo~; zp00Y28{VRYhR(h2EWcQK5)3&WeoozYyuca6wh3mLMXy~i8rbbHzRdLna1PrK=-ghZ zz+a%^KY{i|6C{j1K_8IZ+lP$-e_+k2CW}`f>zHS-K z?b{&%$5MmF!IB709`6djuFhi0VqizmQ>4s(DpZk87kuuPxt*fv9qe}te>sj0bdmmO zTLg;TzwwTDG=%~XTlb}P*MH)b_ru&AN44d5QQE2xaxW93U~7b6Cu@_1h!M=NfC|`# z2oWK-Z209xlc{5PzJoBr3#)^*qWc&(>30kP%|S(Xa3je7F$CI`-ADIvx#S5emZ~wp zM1pMelpcG44#>;NYTx^bbCc;eK**i@#+wZ77@0O2cLk0E(JN=HuG@U?az>Ld(At*A za#5h_;eiV6j8KEZFSs$P^NVt(27Y{arf3&8cJVMfYfzHOvY3?J1YIZGT(kVK7e$FFxNbkpV zATlZ|$dKiJY|bm|?Il*%<>Xn=aFCKED?D|Muz3yiZvWf<|A!ID$sdF`DvFLXNKfWZ?h`5X1N4@omea!ydXJc%upsJlZ!-o?!{H5ajcc&qzvK>t{X7(UzD`gfWeKHSgH`V4$Dw5m-srRT#o5Y!=d@pjVVmNL>%8v#|fg~JYJJi0*MQ@ z72-dl8pbp&8(cLHdy{$$!91m@%81xuxf(Wj-B5vm*>B(m3j7($)u7bx2Q`z`-gLn+ z%y4nPL?VS1s}QM<&E4x(&?Xt^Z6r$+ofHB; zLkVK!S-cbsb>xi4*Hw<89b%e_+AdwVKyC&TLB9W##tn9!-daCMTN1Rxh3f72fhp?* zQAO)#SL(1W_713T({YrK_mM&sdD7`lFmh*gCy3T-3-&Mu>CQ(g0jmN55_b+{d6BIt zBYe;bBv5f2JIE-{2XVN}vY6t&^D#WVyqss#zWq_Z)aH?x3gqRw5q(U#O+2!HA5#PE z-&6)}uuA&Y_gZFZw{BM=JQlMC z-%~mFUbW2V-k+#IW?}$=llMQKxkHEN&Gsy1q@!l8c=y+viaoy8o|&WLij)I-@#Pi7 zlqysl__6A+Iuc0YwcO@1ZANB+VEYrZM@_PGPK>9OFFNk85E)^Zf()*yK|XH09W>$M zEp+c$8POZ)*TZ758J89Cy$BhUlSPh2>wh@l=IgW!VpbUaYW}wAafZmk;GpqPgRu{O z(I?2Ab$s3%*~2KaNLW$L?NWuc7O=}Y^>EuFmpbcsU2c;d+Q=fi;Cv{`$(|02kBu$~ z@xNVAApP%MU}G#4wScLp?f14|PNUK7M``TxC045A@@8{~>HGSC2k|1FF1Gq0@@6ti zdHZ>QAY3w7bOzGdx_SwWKAZw_3FXN|VwnXD=L5zc{*gaS_~Mb8w+b(Hs?dyAsk|7< zF));F}MOSc=H3lVH406o&D;90&P2NaElOa6L z*)}b>Wiv}cj}}N6 zh2yt>GGcsF5F>!+$cjYxxZ>PgId6(tYp-M;vs9`+{KmQuD%@OJoeg6tcJq8!Sa`F! zr$>;Pw11~QR*K^x&CA3F+^s*_`ryp3-{u#uO2ZF4YqF1Y?qdKTe1}#4Dx1Inw27HA zxc3}P1BW(Bs8*pX)c9}1ta`{k9=B2GL;U(ZW3d%Tv|<$aUYTROciWZ!p%-nt_wY06tMA{l=lVL?OwB~s zJKW^aoHP8NFWO9LvZ$%h&h*Qc3_Ov@{IHz~qd2#RH9KMD_PhI41E#?=&EJ|oj*OrB z_o$04mlYa@jK01<$zG9ZLz$#7%MTA8>w^FMuDyMcH!L{iOHE=j+feZSlz_KsBgOIL z@K9NpIs82RIWz5owp#k$W$-VPWj|`76HMr3-&(hH=1B*@{)gbQB7@zYn%PW% zIbMh0v{Td`sz2}FN&#uEUKVyZ4lfwT+t=Y&7)UnULsI6TLyC#p9nP}+B=@1>1}Fu@ zBD7qyMV$BAyJRj+UvojW(#Hj);0-9TJ;Fw$l&6{s!p=6P3NZhV+qf(GVgJZytjJC& znW~-v4fMm9P}v8@8DKtUKUtZDQ=&wSWrL2SaF8j!N6g47RmZL+Epiv&AkDj*i-M?W z7>0ZUV|;oW)d0d}L*x*^Y!`D*2boDJ=)2-Gl#4k{+f_vV#!SHlb zUg95s+c`b_e_T|5>P)=qFXRVMxHnT4!b}l=<@Ekbu`E&`!(@yz>1<$JQevlInBF8h zIJub)7NXoi>-n?N6LC4OfJN?StgG&udQVRqv>2kCj=J9KD4>w;wno|&G(hNQER*0BCZF_ zpF1nrmpJax{`|PHH%EaGibL41c2KZPJpY~7Io825#PBoqd+VmkSoe20n6n)nf?Vpe zX&vZXV!Wv3lm}1D6K#-ZKgH=Wu96ya_zG&_9kWx~kp{Zb(7V%vX#9HpO_ceID(h(~ zuR**SSW)2jS%p90pp%;dSY7$eTB#e(LdQ2Ys-Kp9`mLl}8YM*KrzLSMI__R;Fe87G zIm&!qC9-gP4o_lR;aL_HM30ocx zbVFB{HVKX+tbc6F=Y+Xf@4bz<`QyvtxNWZxbq~9w7LDK0l&~1AwoDZ|jTLG(1h}OX zi1f4H(+Erc6qnc_Gr0l=4To5`i(5ac6ixbV>mCnN2Mz6yf_eW=p+g9vi1Lr<@8r(0 zL+x)NJxI^h_L8Ob*fP?{RB-cM6$*AE0%h*~jF6WtOTY{?J%>IgoBuMH-#*}^jLIvq z<;5zO82f93NKN@Dr{hhcQvFOGV{k$e$8niGtcK?Jufpqya4)~|x4J9qO zn>>t_xre@x&gpYdl;8^UQJG}eT_Guutx`dVB68P6>2cPylHDme@zfVBx{Hv@_7#gs z<4SM&RH5Y!R8@+lAf2GMf84{1;y2F*Yq!eU3r=o45R45^of4R`JPrH(W!uOLO2o5%7zNr0if7)gm1Mo2t~>v8fdN#b z2(VQFk?$vc>x0&81_K92MKiULF&y1|^$A_TS++E~fJ7VMAo+&sBK8Q&&8)clnel6Q zSG_x5g8w@mcEg;SLk>y~mQG9cPGApsqd123v5F&S&4ZAZ{l`kD|8C5?>o*rI@vq;T zwZJZ=!9*}WN8tYgGWo7m$U`dC@(P76;#!`o&~K#__EHxG)sm6RRkY1{mbq1u{LHmRB-ZIqJy4y_<9qRKgfCuKcKfmK)N{%E zLL;a}_1`F2g%1Sou=Jz<7kh6RR9Dcni*6)nAi;wL4G=WJ9Rk52xLbm|ySux)1cx9& zg9ixi4jVQuK{oCiIg7mCSM{A!b?(nwb*t{LwHC=PNIuxz~DJ-)YP^1RaOqy$Q=61;NmLbnT1ltOW||-9a=Ccyu|}=SlhmC9F3=q;bUgJSbGXT|{P5vwAE(xSyd}3#ModpW20C%ezyLS8 z+Nt8uiP+=Iw8(p6QBDb&X~N_=?DKd1IvKsw(HIhxewSa~Jd(Bt`nltqe_Lo$h#w5h z?zchPsi%39JQcGjz<9d$>)Kygh%`4IcO%ZhL2duNL`#u<#?8j>h5lBnOQ`6)!^KP0 zbfP3X3hQDw<}5?QJ2OgL+tubMFZn#?zYX6;UV1H?+?vo|Jk9W_nf!%Je?KRZW&&AaK^Wnc#k%YmmN(Eg9prT@MOAV;CZHK2!~ z@{zMh!0tLw$ouV7W%M!C(t|y_@q)i;;QiZa-3;cKskxti{*+DQV%wL+ty=(~$xqz? z?+ZYQ9Xc56Ka40#-%}6%l+i##Im;12*HYldzs3A9L+`Xm8NA(4rSAHB#2{5BrebW8 zQOhrulnfT}sg5X!$;Qz*-FORJrC0?yvZ-y^HIYMzp1$xiZwNluLWq(xulRx`VZM4b zY;O}sw@Tt#f6VugdU#k2vN|}5zf3?oTtrJJgxg-^81OTzoXPc9PeZ^ zRO3{r=GvI|7X{l!^4~Xz?63>+b-uu$&CZx`t1%SyVDTEsUwI6lZNgw+YKVOXwyM%! z#q+t2dAHqpoWt@>`rcKWV05TjPEo5f>9skq!+f#}k?pI{%DbT@68D%fmTY?HTR9eBbqrR)sq=i(Ug_51`?9@LVOhs0sKkfL zz#D4g`Ix^lw0qv-X^=m^}laDtOvyg*l?OlN*C9C}^UBAU%KI|n$gW|dFi)HC`e zpbL8xJ3H>!IImF5lnG+IsROV7cyTfLh2+C>-l|Vq3et`(pC1Yp7mBDQg)lQ99r@TP zKt*M}1EjT`;o9wa-vc||SQj_PofE3T)vTBL9!4D1aY+BXW5Qscf~{&JxQIcLDce#FI3-v_~ zMDH$uP|dz&-evm%FQ@-~`_EN>;g4*tb}Zz^oYZ-;qJe%x-W%tTHgL}iqGyzGz%roE znvszi70vG2rf4bO3^_M&q+*Q zU`6DO)~&(R)U>-{R?!w)4n%qW<26GOb8sib4~}eK!!6Jq1bgUTOPe!Ds@xaLTH|&y zE>XTdvgpOfT$72a77&moY{`T!m;w{}=QWn{ItUkm4%h}P4^vpwZ^O$&OuDkZAjx$D zRnvia+R*LiMIVepRmF)>)t3lQ=be#=Fr!AxjQ^dU@4aj{J~m~f^WP|GbA9P#FoKH@ zrdZp^g7=V8+Z5hLMwomzz0xiD&8||Ckc?ynM=FJ_Z;Om?nPm&!hNIQrshqDF_~{A}z%UW8(~eS@5jpL|SKWRJ9n81F2NPC9WeC%kjL zqj}A%)4*i{b~)D5UQ8joNiub2aMAy$mQh&5!Cr`uSR*FWHdpbPzZ3_iTv>yw*DSPW z*y`}9at?_wmH%#@QO&h4WGT1dT;XDc%Hp-0Il2MukfHF8YRG|KI9XsxNsa?`{uk7+78Qk(kiZc!Rg0l3m@_zf*dt?E@T7mX7{>^h zqB>yVj{k@=+LEb%MSa41&ybuU|s&k z8bbzyi=vAzVv5N0)_?{Y3O@*)FOV{w!_Q=N%gJ5shcP3?wyh(b>KYzfR@Z*??iY#| zu(xQ52Tl*YaL|}6V68#*bo5}U>=B7)1tmAKBCYc~vu4E|91@TYt|(3>nQ>~$Q+w(g zzs?omTNw6ho({-8s5QVy(>!z_%=pJHoj$}q2SxC+>Y|+=mv3@n&YSTF)pVi?PUT(O zoj;~wwiB&RjfrlmfUgCM1w^bcKa>cLRQ0!KmwZzrwYwptc|fEZac}-0LC-VMMFGI-x7<`$yd6OX#4g`+jTu&YZl;&bMF<{N%T4bN~e^?k#F`#Z@f zV>9=mvG`C;wz{*|CSkkb&F_2jYF*^C-`SO-?3WYV$(-ANE#c)%>wfCYvWAv}@IVpg zAJ1lqpR6XXkp~AqrW51hU}ep>zk5?RMME7Wz#L|>E=b`zox8pIb^L}UVGGCWhw!A{ z1tSIw|NAnm4Kqy=A$meL=6m}E4E32mDJs}=AWr9~3mVHEt{+x6bcIn7n;k6##sW^N zL@E5C1Np+i;bDR|`Xy22lv-SON|C4300X`r&RL3_27bS74)X5ApV{^InFWK5C4Y8b zEMBLOJbsSxx!ZDISX#a(RsnCk?kF4YAr%GqM9y7w*bzU&|MbcIu1>U3%^lN&8qZNz0kXrMHcy__+a>*uG= z6vB?n1JA5lmlgeo12JGEFR_!=gREBLEaob;(rfkQ(4biOmN8V6!CzGfq03>i%UnZ0 zweDUB+CF9Y%G7Cu0O1EDm-Zmk(>CTymM(2iu)b<4r$v$V6i&oLZ{@CrHQG#iZ#Gj) zr669pY|s!+-UfHHAT0j+Pv5m-emKlLFTQ~6Vi)+P9EFmTz6!;q=&RSeG#w5m*&pzR z48LmmGCu>`449inhS+2^?@kJN2h>hY82A2$yu$35OSSd-Kwkv$`lbNb ztnZRjL;nsjfR%uOn*H4)yoELL`QGstYRTyAvIv4r*j98V-_Q%s4$7rw^PNaTNE_@K zpp2ygc+XsN^7}@_gw?0K?eYocs#G6{TX1d{dFuW-{O=J9ahne${%67oCsmq{GW}b89H~(ukI2shpV{S*aV} z(e>_CV_d)9a@vH3Ep}7(pJVEAS4aQiWv%KmIcSp0O|js|*3jPL247bzX{E59-RZjK z*kD+P&BaDQn%;roDJ`4g&h z-e5tB*qKy(EEVuj*qWEttN6K;FS~EsCdNeO!!?y^FFf9~(kk(-UPQcYIcl5!Vt&x| zFY)D4dSiNIZQ0>#aQQp^Ql6KCr)kYMe~c-Rl=z5HTg)=Bl?6C(m}XD?H5r$XU&}o9 z%*$=jA~`}$qGGjOnrlak0j3d&p5?v|;{n>Swo(;s0Oi@;>r)k>LHrX?Btu$yeAz!v zZAC#Le*xtudv*Z<=c2BV0Q@hi`72jl_*-|ZA~#Ix1%CoC-GMaB-HBYa@b&iBx_9FP zy2YFKk+M80BkLMvo$@L=ZfzTFmkLSq3KJuR3!GyW*;6@qxSI~AqqPiVKw|IcI^31< z)K?BZVc>A~i#cy=H=W!+aNP#9M4u4mvpc*fNxw zGS{*h$8(@$AN+ap%u1GGc{U>RzRz#citev(8+t9X@GwJC;~6|0suou#-Mr68XhNXt z>iih|v*t6n>0nj$-Ymp0spIA$)$4K_j0H`oI1&FodkUswfPUt zNqbo!F-2M7cDbX%+I7p^u7lgr6D?_-7X| zLNxa!6%!V|qjEtbU;eTUJ5b*H8cj&M^-!Els+4nJ zrNF0Pe(qmF%*AybdD$St&wT{=r!5?|&a8A%<`F7&dRY2g zA&HwB)$GWN^S$f)@6!5rKtF#Yc(-Q!i(45@Q#I-mb#aHH;9E&2zM&GpRpp*E7YT^j zk#8Q14bSPlM?7Jw=s^5^oza9iW-S8#(TQ}@y0O6YAl-)QGLieFm3X!2^ad@%q;NS5 zUHbijZIPY6LG$E<&15ZuEqe{#@pRJ%$FfIR9WT;Puc@!|H$3(UBSVmyJU>21w< zM}tJrcDVvD2Bte3&a;h0y#1B`(AwhGq7aX%A3>HL`a&opKA}o3%d8Bu#loX|Cv^x- zSJLf^6Kl4mLZRA0MM0HFb4g>I$;;Ndu|vBh@h>2NOf%%Kak^90O?T_&LlnG}YUawx zp8XC7eRW7GO0&GA6-jv3eut9%-eKiZm?T61k;=RHA&RNxF+PsEC{9+A{@XzfnI|4a z1o0h^lf2?zD!$cvIsQJ?WD?%su7EFn%q1%H>2F4@Dj3a)5RD#}bjo&Cotad&J2gJ? zJW+nc%h70x?MV@+U`zt%Y^+Ym7^OZVZI_}whioTi+IFbzXda#4``ijzdTVb5g1i^P zmVTW2FESRe^Qxj$G01=zMS0c0A!jMUBz)uE)A@xPCdSqpNzCa2U%5v{cSu6RE|NP$ zezsT0e!_r`&RCo&Z50upb2mUnM&mAW@Qm|Ty`HJ&hqGV{OrFX{QMW<-<+e8=V6NZM z=^xW!eZ?d`VllpyB}#*u-NA9xwfd0Xk}6=2@)vn;fw1aMR&82=R$vCcRHPvq#pdrT zLuD{8{zNty^n~yi<%B`l6~y4D_apWaohX#+kj#MmS&qMU`^DnybhQ03R zeemstK6G65cC5eS){O7|y2twPiz0d_5Z*g4h$)E-L6t^ic5r!NJYzclO1AW1z}hzh zvG-BQ_A}&r+B^PW z=KC`XQ21BAS`fR26aVMUwR%Gr*u*#Z%NRKff+&zoi*>c72X|5yYPrhO?rmhTLTc;bI|e@2By2 za{d$VrP`m8x84i+D$G35tm73<9+2z!s)kfX=j_CZa zm#raKf2=o~}28$$`i-VYU48?)n90xc`u!=B! znX#0{c@TfM&~KfSDCAVGeD|p-0JCL9cEXz-#l72B-GO}c328TBc!p-&e{T)9v)#`> zUAu)0Cl-=7ewBci!d&6c^XQth!j5_+QON+8#xZ?N3j`92O`?WtFDa~bAG+#&R6*(o zwyFf2Wx>PKXKs|Rt2t$= zaYI6Eb}LzFPea+bin96_<-ZuY@5{d;u3(u8>!|@%Nk_thVc^^L}0ljuc-o=mMdk74VY-^c?#cPDukl!LQ45Y z2TIz~sz(R|d<%D%EdvoV?}PN<`iTnIw%}?j z33k-j$=?~E?Y~rJs7iwACL}A94uR1gO+U7v@iGe$DOm*+f+Y-!vfW01 zN@Z-*diGEJt}lN)m}PtXA~%qUpyYW<1}M3~RA<~uw$MpH5OqA8{v+|An?Ht0+n+{L zRG#vq?Y_dI3PQC7sNM9;W1Nzebo85_GEJ_z^2g(kQdmvbXca~SOvuj= zMeM~3lKFxkTKBmYf)_zCI+8cYP85!I7Aj($_xJbvPNV(K@)D1_ulo4K^Ff`&cGWNf z_Dz!ydVtp-aw)dt!`GY6kCTyMR;LP#!145`D#{_5!|jqU;9iZ)`GB&!YUh(uP&H-Z zK3wVA-7$IU3AMG^-=6HGw$#}^Hf~yO-BK7=N^%K&xHlWhr@|U~@#h*1=+0a-C^6UUjv z4pQ%D#9jSdrzyz5b}VAXQ}$@Pefqk(;GT^hixH61w(OTaiv&^|pQ4_MntN)~AZ^4{iV3g8AY9~Q4h~--l%G#n{<51CHpN!Z@5-pz z`a~VBBMS7xfda6dDSBw^mgD8(!Ty-5`eDq9uTq2O_c)^c<@oSJTO?>p$zr~GYNCei z+1>}oOrMlZ#-I^x{+MDXE;#H4DYCZ}V$bUiYWHm7!}%$>t9?lS=tTHxFbcG5KQXp1 zH7b2`Y0)n>eeYrphYI=ECgFeD{E63LU#e}QS64nJ_bo?ko3O#Bo~5E~E%=q``aE=;VLCokqG{u-N7O&Np2L-4ffBhOwqRLawy2pUkpVXjCH@ z+L?o$q!Hnt_~dQT2cZ}x1dV%i!}5xeqp?!nFpNB6bO_uJkO#sA{0&}SIwRy+i(ldb zT5O)|5~RJVq2JmhL8}aNlG%(u>Wl==-~d)$VE@L>69DMm4k#LqsHVB0%%v3Z1c8k2 zanlFQ#p=!e@>%zH{V^6RXBVHy#4mDR?ya#{OYGN1?vQC_7X;g)I)r2zfq97-eB4#? z%zIJ&YD&Mq7$^)C9i3Mb`6Ym6;fQL<6dC*)_gY#jv;Jq7I5n93JD1O67d7nySRhl} z8Z3DED~zQ%-YnsleA@^yVM#BJ5f-@zhJ$nr1IeiXcC=*I=o!ouYV(?(@*8@f2}cc6 z!lYM6sQ!+6E@{nWS8AjZUn-NmzMS;=xY4%lYnhBx`*4 zF<+agXv$Z zw)FM5&hGe|bWUNQT#7EYEKdbwl?v?b_eZ;NOrw0Y&>XLkS>O^HfUVN;O2G8}WCP z7+S4FzWa^Pi{#43BwxN6hLG(__}JD#p(h-ai9`$>KSd!>ay7M2iB1WLR1ZB=!U(C8 zIoI(@H*4k*(0TG^;(shGLJL>dt-3B*?x-%wOvI)Rg0f;Wpf(98>^@%487F!y3Q(H{ zr7(lu{Y5TATC-LT(b4*x1CxPjaSCS-f`VIJ%M(aCuxT%z9mGZSFEma|r6hMF%w$_sGCLYSZX6Lu0-W(S5N z$%X_81kbMIEl9WSvWgj58zoRq*=$Q;?T_vQ*?=;P=WeOJo8NqpxUfKTPy-X{P!{Gm zqWC$h4(#&e@uO->hNV();EeM<_Vsu=11Y0Ku3)<)Es$RcRR&ApV7!`cKhjGodz@$A3EY zjQu@P`N4pe08n7Atre;u9iv1}i9*-Aj$+&@hvq?ALirk0qG6}dxE}>V7?&! zZ!3b|PAis;z{fFCP|8<1w}O|%>(fsA&EytY$lgHkizAwCk* z6{JJ{c}2b+l_&Y`7KuU;_--&2En=QWeK8~ZB4wR!OahR701C4FC+z0!af7emsoOBH zO$R-OxSrNxumF4Q{+~1vfrK;q^P@MND0(jJUV-F9K!wZ^!*!AREpW2Q z_fE6k=`}Ra7mW2gu+7$(_?*0y%a1*^u*>0Rr~LXOkUp8aW;*;>dV|?on`jA-TSid0 zvsmX5*qeu_F#?8((7x(b14DNiD1j9v5t8d0!D6NA@_AF@7k_dPIi2;EfGZV#WQy{gi5?NPJ_92gUnCZ9!cKf%dRuZskQ762uJ2QLGN-Ta zOL!Hq!&eLEw52yXS`L9{&p18f8AS{WXqX~NJ80i?7`a`?q6NB|}4_9dq+;j$Oeu*pz5J$=gz`wH}Sx9Q!$K~P6 zg*y?pAe+rQT<5Vl%_u-x{}%xYm$v+9C3!T zM2pW!HrIt(X#SPXK5MAxs0~fFeSfk&{_?wk?wC8~2~N3U)}#K?=4V(QHY18d#P=`- zJVde6ax}`YH<(?LpU@46n{j&!_(gv4=iwu&NCzXvM-ZW8gayRIV*IelL)hB9P2+wA z8M(N+)H)tspO4gyjkUR7j~~Td@3NkcwU3I6yH5WOOT031boM1lxbiNMYI3CBel=B+ zVPCoU+o;Sqro`5z>}})B{j0mw9|X*Itv*?ew`nZ558TWpZJnPA8zcuu>&9Q3&zg*2 z6Ub1SYjX*UeOTF*!!Z?5I{$0UJTC1+<9L=Ky6%e&@*B{I+PeyYN&1xAU!z`rW<{Da zf2VZZ^?}y0FQRo5;p73+bax8<6t~gCe$Do6@2B%0Yq62h z@5a6MnG+hF5x5W6LM`<>cXy|Cv|h@OE_Vz;zk@(shIk6{R&$+=R_Sxj4>My7w5zTB z?S@Bb+I8X(v(_F3`H@wMSM5a-O=b-B&R1dH+M;vk!xqAH4v11qE&j-)=@oVwIE419 z@7iD5T8wDB8R^>2w6^i6uaCLQ-(Q|31bJ&i^3hF?>{@9+!giWbdkko!${*$1L=$)= zleNWcu$mROw3bMZ^!a7IFB8BY2GjDsUNbgW7Jt<2%D5=yjdGmA945#gfME-o>l;FT z2gbDC|9ojPuYpuog;Tf}*U#42tcwmJzxhst@#?)Qu4pJ_NoJE}l{KbIv=~u@4p!)S zJwp=LX3#OU+LVos$J^!r!`$MwbLZuVpK_f&!%0J`^h;Tmk8F;EthqZG%1dtNRNR#Z ze?CmpTBpCwl?H*re;cstu%98B^63=KV4f$fO>k0Mmm*VE@lkR|VtO^yd7( zqHIHbpH5g;SEjP4kU?wY72k^Jj%f^M+EgsA6Sq1jIXrbriI3|c`S$7;nYoFrdWlua zPIZMzu7yh~RbfGt=CH*Xx$@)X`^G)}eP9=b_Fia!K~Fpa$S$t8W~y?rY>K>S%Vo>s zKIu+C@2|?LOQKmtJLTQ~+N*|TJ9*tfm549#+aY&FRO@@(>txi{ ztG5=1(1Q|}71s8pIoG#ixswkAwbp8}0X*J5Ba)ELuYRUN0Zi%n=S4G|skw*utPtov z2;^@dK-2+)tQ#Nr@M?OlXMA7eGuXHL+6PwB#!Q_ZX%QusYHibvp@tjpL-hshC+72S zDA8~&Q$J&+kHyYa?@j|PY~;B1QaRp3d^D7+S(g$-&dn&%!?cs-6D*CF-ZQCpF4A4O z-SlcHKi{q^QAWIBN@lXVbFnn8kW>=rwK+d~-S)61SL|rB@qMiePREoCEL?w;*WA6o zN$t@XdZRk#UtNpM<=s}vspL5pQdHYM z)Kv;|*e;jF=c^pJbIye$ zyNf`K!cWqVlO-O%5*hf~7kFfsqXo=OtLSGu>vAm1Tt?OCwS|~{9+BFOANR>B^?9+Q zhGWS8wG&XI>Rx9B@{~j^z`1lViK|L-?&yvdn z@v#5W>>UZ=DJ0Mz<*dZU=p0fhu*4Q!gKXJy4fK20uR|0-&)8)%cU@48U-KBRl!gv1 zWuA(tL&$S30aF-djXPwhSfp4YjmY}7896V~@y$aG-!8$~dRT z=!+lp4=l-D&c6AX0-CK3)#ydj=~qus=dJqjixtQ@GF@`f%trSM`Tddt6UOjzQBTKM z62)GAVC};)UfsCenN#fV=3ET z?q!fz8{1?beCVxI9R-&{f^Op7e{b;xwWRsQCaJsxwbZq+qBpmfayl3>u;g{&NUJ+~LOu)lC zV*2~H@!K3!=AOs^Xqapf9CQvuVpdocb+C^2PaQYAtEHUEtC1Zkvvo#pjj4b@i0Ru> z1f$14sOddRm6k~MPPi@6Snry`Hu2&k3ZbghP|!)gr;lB}l0IEScbna2W`Rsj^N$ZM zgcu-@T}bbmMybj%Po{I=zS4H!B(kOyYaN7j-~UYFUsBJz1qSnCRKAQh5|W{CvY)!jG^GT%$p(iwq7$3m^^q0?;7 zKlU%D0h5Qt3{;Da;gr!RDHoZ#jEvQQ{*2ce{L@Mq$ z#G6pgN9dSVu@jgxI+!QveA+^2-mkt$+BBjg` zQR76VRr=(D8Cr1!5QxNpFv?gq$A13o)H3=~ig@PNZ2Y*YQi!hfrJHNE&51<(6aUI* zs259uWw9hU+^-Ng$jMNZhxexoU6Umu2r<-oO3+6jrBHW@-0^7~$7#27mG8eOg}B#1 zZs;(ydZ>Nx>^HUh3C13;Sc@FTTfR;ktHYCs`nNm(l*R5Hpe#(aT%Wl9u^|wM+)YOc ztbEitaD@n=h6+=fBLFHD&TZbr+v4yYiYY_$w~B+h=fD4vN>I-#b;|`6T`)u^8$Ja2NFK{!?ylcmu2gSxVhf$CIRwvvjyuS z+kTbSwEpwDBf1=bTUf_*;Sx{68SwtKJTLZdwQGT z67_Gq|HNn7o!(YUe)S)+hXjNhn-4*vQ(q8QgfQVipzquNZ#?0+1E2;1y-o%QI&k&V zc$O2$FX9>NKp=Yf=i!1%QS$#?{Q{Sx?|^4vzXvk2(mPZPG-DehM<)m4Z`S{AZ4E3i z(6~OZk$)incgxSuB5rQwWbD8qZuQN{__ML0t&uT{tg(%$lNtHP53Fp0f*5H3{d>2p zb`3dOVy@TiEHzL55YJ#MJWxF70)Wj*#lWJlbC~3j1rrnDf+l@P zQS#u&Rbq#oQ3d_JPg2P~A}7>IHIve#ly4H^dsoT&*XPwY#_fN3zX>HflKf1V?RLYC zIjDh(ol|5$*5?(SNN z>sb_~ICR$|s3)Hb`Bpu>tKHV!rL!xM6QXGwz%1sOnKzaB+LCsyO@)BhAB}{nHv7Q_ z*=9gmCYm2?Xn#gwjNO=GH;3y%R{D+Bx@T1u#6U(kv4c?caf0!Eqp0)&_O#vVNdDtp zP1E_pD9Ks7yZbxCUmB(ASPd^L732jU-6Zw2GZKV0*fxz%mCU(zevB70dvBSCd6X0x zL)6M`#}b)miUX_^vE<}Uq_s|MJ-Ce+@rjlEP)y0?6+wNhGS<8PLVfGHdaHH6^{_%n zN-esmdlG|(zZ&Gw;vc*!OT4)~KaM3sMZKHW|31O-nhp`QMnmIWI}UEqM|m}`6h+wA zWI2z>!mSg$PvH34ipphp^yBuj?kY^^w&M2}_6_E2ajVX86SI29Rvw8ai5t~+->kvE zmWI%C1LK){TaZF%Ffw@1`I3SfFVQUR@`&b7oLarqP6A$Hm^vOfAxqAn$21pS^kUL; z6|IiWE1-#?$I6x^8Vygc>{!?dE@$GiIp{T+YkHHnFbC78o)%@bvSyKS75Q(Ed`PX` zKrBi~jeKyQW$Q2J0=`Ojt@trZ)UuPC+c387_Oj)c?mY^^dv-1EdXJ1R&Ufx1b!H-I zqh%3Ab8jrVKL-1(2TMk|MItfq=U}u!{xp?AXj2-V1uhP^0F7K?k7WMjFYjC5cgG_c?P zQ*5Ujwl&DcTsMly-m0v-YstxiA9iWY{GBi2SFc3bu588&-jpnPsVw&2AyZr0o&%Iv zyeM;bE&V52)i;d#->91rS(}drcqQvr!qJzTE!L3wg=Y=(7RVTj8JzJ(2%=efffOAH zGTqdche{bo{@T0h_G(Czwd>&<>Upk$&H}CYLTRU5UJF~6!05To+CkcM=Xj<$Gf^vD zL*_La8_O1-oPHMO;)9mq?6K31h%A%Q_>l4W>(I;!pZZo`y zB`WTA#w;50 z1{TJKPAtmK22RhkxvPhC2&6J{3LkPSEkLcBj%q2ts|h3{`!1vLDzAt z%vUwHs%rJZaB!GTr{{KIG7RP^! z_y5bnnHY*MIF8ksc&hEsM7ce#jAx1-RiECb2T8rt)6u-ryrG|yBYi#f zSb)k!xTKF}Q+IgVN|j=2e=vu8mH6Tfa!M#;YR}`gYs1KJkz;84>EC4Aht3`R;j4;; zYcPVZZ%x-3?a~`$y>PYVeBUb1DAaS=X8C} zWD^iU72;}w?rshnpP0rh=>BZ)6lr!^zh0@A=^;z+#CTF2&EVKRD=#mP+a}O;nrwzP z-R}gTRAhR(ZVE?wT<(Wk{LoOu?${q@Ze=vsR3|ehFmpWZB^Z83`z0%^mg>8eE~LeI z`|Jxr@Z%84T-$P?RN}88&ZtDuD*lqimFt{v4UNSmLlLBVr$^B)jPCgxNYl1r<8!x? z$kBygZEHR%_b>lEu40Qa46z^hfPcvG$G9^YJ+bE+Ljlr-kgzmw14{{Xx-*I;s*6Q^)9qF zn<%*6SakId&`gutSa4rT$aAx23PxyIIL&q?^_hfn(g*cE|vhHuJ9R_Jv zhxM~p>u%jCbob}0$?OHWtQJ1h+;3014i5M1R7G{Pq%djsInSGc>&@L@^ITRb=(*ko zh3>QW1>Q-YzT0NI=lB*6l*{>VtLwQNe_i0T2LDlQ^sOP&6Sx%L`{M3BYUwDF@vxbk z>~K3g{Dh-%wo}aHFxdL!*4uUmmQmiC6`jmE1Yt@@!y=l~KbvaG)R^ohwoG4Ooylib!H{l1G^S19m;bFu_DM{aZ+$93)tJ?#T#@^KgZ}4G@pPitMTIR=iTGS6G!K9 z1r~SuS#+KfOSB??@_a8_T(50Ku%_&Yq03VpeWOiqf9=at^;COo9<{a$U)A~OabRq_ zxZw#lnEWo*g}$8t7`Li=q4^hm{nBQ~DZWqb%(xq=0(xM6)7I1eSqZV|tJ-qn*LPU*`T zll~$oj`pNkWZ>_lWSDjp(dBr-C+h(H1+x8#ow&-@Kfafd$-d~TXy&IohG%C`xyR79 z zmzw~{&rqTZqdOq!*&R{qs2N+aM^%PQI-j82E=8cN$|&l&)nq;${%U`19c1?Ivn7R> zZ;P$^d1!|^XXO3ZB8~I-tDGkSab3ty!~UqcadeGUv~vy-KdJdPUs!+c!tL7HU;Lr;n9P|EXJ`6aKM+Bng&0OqWI{T^;CQYUY3RTwdZA3R$BNTU3BZT& zyHDxF-)vv*oGF_UJH2HcQ~&LnIa+}r5tFJuEa)lJHXxjR*$R`td8#A#avtYDm(YKg z+>uJ%3-jlneB80kkFM?*u4ZEiwSlaUk5;VPa>aT6xxUNItF&BdwAL{m?rU=SMQL~G zbc@+c{WQ)ZX3^T?HLLP?hLGRM<6-AjLZrQ#MZ)SZhLN&@1gMLWKhW2#QF!h9>Z#kG z{6hL{b01=|bnn|wXAe_4gmxowXrtt8zoZh-FliD`xk6&3o7?hyCC>MUMnkt|^)P@4 z+Oco2K^Zm}%=PMxtsa+t$64aZtabL{J#EJwqV zDx^Ohi4WE$ycT#ZpYf#qw9ls4cvSW&dmQ>0xG_!-&tlD*nr7?XsOQoiY{HmaxH`YGJZy;0N?31B<>xvZu`T! zhf9@9zKrNj=K0$eRR;Z<6f*IosJiZK75==`lKXHHC0_U|{$2ITPCMu?^B;^yRdxb{s{+xHz9-l2rS7M< z;eZ1P?c5%3m3VwK0DCT`qm|^$}=`8fis0CY)^g33+hrH5Tn)S?Qrds?a zOQlX*@3swmmrY5`MtZwtnw-aB+d1cOQ_m&Wnq$3swP%bktIK&_r|IG{_Ts-s1tRA=aLu0b6G!amSjYI|)~>IG$% z{o-(eBlMX0RumM1nIOVKJn*C6+Dx{MZB9hHjlAS9+ny7w^q+v=ixNVss;a81_ob=j zBe+Wf`9#53hOgkHCX;RP(=6e>LPrAYA(t*beKOR!UJIPZW>~HJzcTm@;m3 z1#<|O#`gqS`h*l|d~j5NyV%k;H^UJIK4e3qtcAsFmFYnP-ts)W5qI-tL^{){!z~h$ zDbCK&+cHu%S9hs{O>GCkg@(t&&AzSJG9oINu#h42_edAkcI9G^ktrFZ++Zkb=+x(y z|LZ&&QJHT0t_cVq>i=yT+=+>aJlWvlZkdR5R@47&A|={D=M0cP2g}RbbHBVikUqxn zvE5qm9j)2p{wdsOQ5Uc-DFsEv$BxB^8?u0!Z7vI%OwsscvC4`_oR>i^oV;sBakxQI z@%=r@yoch|gRX-04!ob7y>YN%71DPr@dbP0)W$e_>0fIE?5P0ywEh;pecgu3+HhJ zVwP5}J)0H_!41}|TI!fvLTx%&<^ulfqlc1EUA5E$e#wtRi=ZUk2ixrqp+k)t&$ zLKHeqG8ChjqExp%1l+I26r(6Z!l5hq{kCdD3||xFnJ4^jnF6qJ#c2^B>~GDf!2@J` zM9y223FC^NqVb`!s^zYwCEEe?A_FDt-29KeR`di#*la93{Na|@QGN09^}iTNQpgPcV7axCuLZL!5ii@xX5DC!pY4t#GD8qOjt7W>v}2z)_J4_a~k^Hb5? ztyNHIi^*NmdQxa}^tI(OAqkzy$lG^Ovw?60tOY;aHESH(uzhLZiPB8J zWDtJcX-z^s>Rl{E0!fEI)tNE@Ce)`r`#pCF!_e}qDJAM9Ua8}1P;XRtxbg3Cf{GVw zUoq#MxNJ5cRK@SB-oLlIt($j&gTt>t=&~f#8^Zu$I6jOywQ|GMsU`>9dQ}Unf6M*; z0vA`Fe$FdqDfTjXUS8gh_adoE@7hq;?m*vM6cJu|Eh~I6<1{|x86p6WnEHx!9!ph` z&Gfl^)n76IgP=4^ROYHL>Q;C2s%mOR(WJ%3ehz6(t*x*3-AtqD>FGJu%i{*Q+(?0E zHc--2a+?$)N6U8-zua!9o+AS{poz%U))kZIsF=p?EZs5Fna-3@&bTmHlQeZuSPlki zX=!O}d@*pYh1>b+GND|>PGdzz*;ai?eYhu7VjXB}End|F+3WgX^TPSf=1gv}zk=V< zgX%l3&pjF8Xm5N!-WaCa@JNXai8L@YtTA~V986vov5EKL`*V6%w)sb3oA@%0m&p)e z;M7-40-yU)Xxe`g*j8em7t(uuFp`OLsP88HY+iXYXOVtGNENEApg=$es9y}GB(5{* zL5+|=&ZE}Pla`R5KeAhd5fQOFKS8bJV4y$Ew#7wy%)fnhjR(4z2Mb@o^ z7YEnDFi(>OF?i5MVhJ*#izR%wYGLQFnA@s`P^*_qCL-=v0JcK05FH&;1|}>HA6cY5Q!h0kco5CcphV;qh#ddo+v#q zsSlSBCcp{#?L^ zJ5VxdNo04S)x^-Jr+Cp9ndXP$qynNYJr3Hrz)cuJa+X&jFUL4un%2MizvobwNjl)Q ziN~gGhV0HYR!&h$8>V8) zeRi;j?zeD2Ax9aOPKb=x!0~HC3~VX+4DJLOOHG+yx+$RtwL6(e07TrMjS0@6RLRnj zv_IHZ4KxEYv$%hzUR@AAxSA`ZzN~zsiaY26B&o8M^3*GM-_;l@J!o)AAiTc5z7~dI zHmQRdkS3<4-m!lMmijGO(NAFL9?i>Br_dFVc*$Z&xm)WfZIwM=_rNp9fZm8Mp({?n z^2i=Ew1m9ZX8v0aqmd{%B_$-5C0G)=aEll5HkyKLx43E~EkP=P&h{mN+TRn8U#W%t z(4r?DFxXrgW0bj%CWA!lVJp%#iuE$?hF|GX3D`{jyueNW?CIa40vZA3(BePW`)m}B zn+z{n)ONDJIKQ9#toZYjV{`NDeKbcK^M(MeJyhZD;NZ~OTT6gNFPz3b^BK)BT;tZm zy5n;LVk(X_pTHOaG`sy2C8whDHZqdd3@1nMD;%POLGOx53X6N4sH?4&ZXJS{i2eP5 zx;l+jsidT2u5s5%&Cff~J4{tDW1sxwwzf7hlXO{0Nw^fGV(bQ1G&w+?>!H(i(_T?O z0KSY)c5%%7zF^;o%qqcC$a#cMWas&B#ywd5q_XGrixgbyZ`%|h(l@1%(lK$tnx=wh z84z!4VeIkj2 zQ^kBHKVM&8ow61p%+p%f9E}_?IIKwxu*-8=Yy@1SyL;?&k>|I{Mz^~rBrRIhEhbQ{ zDRO-)Ii=GtH{E|=n+8gqDrMk8&7SC?sgw-d0a{&K^fyy+iarG){G)~UL*XVgS3X8W zM7-7F4|((((sXhqYXIZwWV1JkZg<2_&iRH3l2&^@J*{!u*w9Rpwi z;6vVk@W3MR{x*Er#R=SV^yj(9ui-kvsSVHfzNM)Vrt`YRBq<2p|DXWVx0@f>4N)`o zvEvvv5;16`91uKFfCl@tda<6>B%|gD^`ln|2sXWW(>pU3A`VJXb6QzCp3*A;CooPw zFWa0EJa;Eb=;}bzi+mSg7In+&fPhOj1MebvUI8UkME+FK9?86j-Ym+(VtLKzR00iF z-WB=iyH`FZNpR#F+W=Pn&Llg8r81nP=Ky5m$@HPCqsjVA-faw{9CdF+zP{0X!2e_7 zxc+w1gM^PUOwp_|AwP4e+o|*6OiSaxkiAY2U1733n?Fdvl~Bf3;p%v||5>)EyuAEW zo`S!S{lX9#vA_M~<@om}erwERME}O59%$34Ub|Y|OyRbXubXaG_bsg2&G(+`C1L;8 zj-V7SE-wEb1CSqZZ%E=ko*4}$61Gkn|1-%wm_vCgm!zGJpOA?OQD7x{87Q-7UzZM6 z_?-?KjrR2PZ0*f4>NIMOxH_&sPI4)}tK;rD#GNo+R&r{N8Wfw{me)CngswMUz_J`7 zU;I}Tk9OI-R(ZLv(}YhM_vYTJgM1&Ka^F>%6?QWI>rY%RRV^(o5uBhTExl`MI>*-{ zq^oJLCn^Q(SLg%fJ?kRUlpuh88P{y4x>aPuuRJN3FDA|>I+|aPla|%O%?s@8zMD3> zfaW~uN{@61+r*4{C&sjg4VP@JNKTWiFCjUkRj{-B=vSdqGhA=u-6i)4%0* zYZ^sbQdH$fQKP<{^SB)swjZGQDrst(9#QmZFlN^BmH~8RhX3ga>MN+a+jK4~@>iR` z=NiAJA9?Jl}!P(xAcf+4a z6)ZOkjuC3`Nb@5vm9VWy}iY>mL$lNo7=|Y; zg`+`H*PY%G6OhoA7v^?idQJ`@2$bPRP&|InJAw4$0B4UY*)gE~C5dh*HZ#-M+=OqZ<=yq4pOM zndvW>Z?EKt%6xK3%`?>$tg+N-J+IApW8nut6QjNYi?x%9kCD>dUc}VE!3GRG!Pq^( zX`Dda^EYadS@D|+j~Xv823^d1VUQ8n8Ag9aCyRNRP?cyu&JK3}QYvS#fDTBFF-!Ts zSxwa}{XVH$5qFj8j2=|^WTF=iQ}p?{`dqf8h7G+IlQ`pg*qm)F>wv?7S_=kPZ9CWJ z01%E$f$+mK0EZUWOV0Jkyp*DIkodCyNg^{6F+tFW`7@akYFXDy0~_lSBg>DgL-}4j z$$l|w^`d|IMFs`sAXk)D#NeGgp{%wouqPSmKxZPa*K_hSuUV^<*k_e|EwC5?o>Xza1ww7wl_P?q$Sa_)( zR^8LWO2@0`-R^1chqS_*`a=b9%ktCnr+r{|`n0AqIOjKCP@dYDWeru2O?~?;7!X-2 zl4X=>{hJH-LhR_gVL)2-7iFfl)7{_OB_Cw_krIB@YTTwv!%$P$X6lWJ`DA=(@{99zZ?D-T zhY{J%ejl^uG|vdEsmYZR4{czbyDDT93jeUQWVyU643v?as);!954Zw%m`f$IViCn- zH`hl%Ooh*#q*Y#KvQ|xP#+J%)0q6F@MSv51j{+8&Jh#{y84!NW0GbpVdjqMSqQsei zSi~L~Qu*4E5udbW@epO_l@h0u0q?-bSnU08LKedk35Z*6-@#1(FjuS{zdzOG`s!94 zUlnH?HER%IKE9t%@@SDZPG4WY$@)9VPjHzW%^2H4nJBim^v`s z8ALGvXY0x9O5y(fVQpgb z@W8XK-+8unW*<2G@T!|0EicrHGEYpe&1r@yYq?xTi$ZB92*?Kql)6-U;;P*~m zrnhc^ahEK3v@3oP`5%VPd(sOWMdbEE^|WNnW8H&L!%W5ljI zuE;&WG!iY1YTbmK#n+<=e9tgS^g1$Z}dmMHM=j&h%s(0^7EPKN2Lv^~weSR{QM? z4-Xi>8JPkTf=wJLCtgzsh5unYMz$j>lkN0wJBy8tjYA?Y)_=C@g0l}K1xS|XbAU(9K(s8JvJto>D^YyuYUib;BOKcm=*8#C`nNG{EAB&HVuL+>f=e=iB zMaVr^;;_8%qY?ybxZDW7b;iq3I1Oq=@GScUrImaVyP{TL{@x>K$a19mWwxEDAEA2z zo#yMAF+g$tmDFg^abQXn6%<(7#Xywc#$I4Ipz7471wYdA2kL8UH+JXU=y#~L1BXdy zuYve^uFbSkVM#%O{b=p0Pa}P<+p~NIjhTz&w{3w`15joljno=m7jwycNc1x6rzXIf z7!VAS|7^}^^KlY7p^cgB7Tcd+f9x!`0QdT-_bBs5Yn;@W0Eq7l=9@*&MlV0k0RBz` z4O!{S#@pb08BMu|zkBUCK7DAiw9j$*MNo_zHSq}Z$cjr6`Dpf)R6 zES!jXpniuJgfw&pNCoZYI<~4kI2*ac14Saaz9dhb98v|bqJt$2_x-k|QT4FcO}5qr zd5a#oR~F@o*FpC18)VUMFLu0ict`Nr&pB<+Ic1GS1QPXVu&ngEYLNbz*H_%Y2gKX` zfOv!4+v_6?1VgqKN9PqsdFy|0WlcKGwij+z29ACc&>Mi`7c#h1a}q&J!9N7akAi7v% z*ga+36BPeE%GUsSht^UjyQ{>bvI&SRcFt^3T1Kklt?J$;jOnLh(@2-R+w0wOJ%!jF z7sNNWS(t(--LLRm5VetXsCMJZY5NoUAy(M&~mB~o+; z!)-X3pNg0}%#|rkQ09$J|0kx0gI3MU`!6jlCkFWD!%89=U-zgg-dRt~&u80sBOUj%n>q$U8v*~U z8TXc`gwFKLinmEU>_Q&fqt=}rX9sey>1K&tFirbSv~lY)X7Xup*e;Q89Pg zP?^h@v+R6G=Z|IG@V)f#Q^FMtuEi6gUB0v*VYKwFsKR;z&IF!z=0f?q=#CF z5Ah>Ga05}K^tnmHmycK9;O&|FxpU}2qwtd5QGq7Uc^+BteHR69X~duc!CTDh@Td4V z*Dh3cwS1(WplRFhsU#5Qmd$EmD4RMqH9q-RQtnpG00bTg?wCv@z=~pNKYxvv3C+xa zm3+0RgYhvSSVneNVRC2wV?xr`D3j__3f^PgvZa8 zKI<2n(|R5ERyqn^M$S|vbt%MCG4Q?h46z(05Uv7V2kI53PV2{aLmM-7Yy3g3K>#>q z=xfqm!)6e*)j$xP0CtHiV*~RJw_r4KgIJy~HrxCA>0QP>x~~*xb}#H*yg2Lg&RC%R z(IjpQv5*#MXC^CxW-wq%UD>9gdLvv;!N_Tl`bjm{@w7{FMj!_4vbA!nV0jQY=aPOW zcf9#Du$nHbo&L0f=T3A378x5Gn>h~gy1lxfNXnkU`u*`%;L0kD!vAB#$FJl8U$qRs zzO?eE-1*Esr($kDzemnz`mszQ?cnYID$q3EPTUUF8m-fozTGjTj{c7(+QbNwx&`*UgqIv7t2CPQ&}gIyI4_0 zpftb?q-hjiv4omyizDsL)F(E|v)9_c{W$Y{_iGlvDD*&g9^OJ0Xy1RhtYz!MGz)zH@0b-%|JH2xP;+ z9@)KS(iQz>550S3qEI6_nK!_{6ndNiu>gX)GFZ&WTGf7hNM(fJWAUlh?=_CYKH`ly zZyWe~L_5c>KGbu^=Y{2%_7@rT*iT-3*3^fRQ{~xlp3vG~A-Ij(y)U_6`j=u~Ly%2y7o{myJt*qEQu4&LV6_LAeOl5?uLt8-upkr^)zzH`UDkiZ z*T|i@X#gb(Iobl_?25#8lB?!!(>cAST04XDpShZq;BfdV2pVkF?7tU(esfRA!3)g* z)pR@|3@V^ikB7`brF$w>3k&?~%`(`E?`Pd6)l!BvJANo@^4V4LvvXw2-n!*e65k@cJ(GyANKi&5 zCIb&^OD3S$c2-qRj@0Hc>2***vp{$tO_d<{$v(p=A%`!;_ITIGM_={>E0~xfeR~ik zrwF3S_wL>645eG2>Xh;FOq}*mVABikIz4;IMLHb5hN9MTa9uCft;`^*U^<3on7Qoh zQ!)MHidaCcKgxXSD?B4OCAG?EcYED%fJd`lSJ)GO&~1MgkQb-*InIXW`ufie$Gexh zmG|a4sl(u!C8eAGo_5|raJ{iXPH4x%?mS1F{`1~)bv@{FR%ooL<+1lSveyLMQ4FIujj58RF?24;i3es z4^{oGmx2=$(ZYL%S>x^-`0GjBrz;d{VM2ro2Z#PzP~sK&F+YOjWP2$_;_=$S>5TH` z{g4iey87A}v8Q^wU|-}ZU_QWd+}fpiPrGPoP`cd6dZ>ZiR_?J1Sb3TPHg z(gEyyT}Lx~tTH#U6pXay>!cP%@9Jt%4@kT;jch`=8M31ky+1UeKyydMb)PD)Kp z1#y|18gP~y0F@n(8(%iHKDz`bT=9{iLyNt%e4{|9EpUh`ib!dfRHW6Y8E~mM)$(2x z`ChVC$2tc-um?&LmkvAW0gMzDWzHf$sCsE)>gM7tPTMO}0%DNnU-k%7LtDYT*;@h} zRC(oBUO;LjSFc`;7;LJ$R|~6SX@KpkYw!+va=#03j|Kkpn@^&rkVTSx2d`*)4V~!HFumM|00I*=Mve`H}Ultvg`?7*E zHwj+O>iP`o;F~R-O<6=Cr?H~Xf)k&ihB8qI6PbLx4!|)vYGFzj1rg5bV>=TMmGQLC z{>EO)t5)eHm)7e)ric1Zcd2VS_V4oD;Amp1g{8i|h*+qd0Ubg=#17UGqUX+;B@1E)-=A^)th zQbG?{!9`u<&?zkBL%gmT26m`mQK%t%TfaxnEgaSZwcSo~9fkf|cgx3eKX5Za=zl%( z>MmMa$~&I&?jPph^#l$1?1ifEJ(~>)n5lzxbqS8m8hUxD1|%IpBEXc)-y>W{5BcN@ z>RR>Xb9Ds0`0(fbcxZ@+=dW=9ZV&Fryx}AhL3+E$cy0s$vb6Y~H060;?|K^ni>pd{ zkzCUeJc=SQ+Kh-yooZACGjq0R5Wlm)kOZ+I6(^3A{Z;}}lpa*6@h#k5PY+pO^J$_I zY1XW<)%4b`jDB5n(PcjLg;rks)t6f>`F3S_VlGLl?SCuvqKesEm!-CqU~5c4`4Qu?s%4XK|Xt9 zTO@)`WLYqFkd!u~OaZd2P%cF_MO7s+v}zt6Bc*4C6p-I@O>)E`6A2na&SwCnI~xWs zL+aQCiLV`B)JVJxRbggCNT~RLlYi1U8?!@<9f{GMKGR8D!h-lq$DES}qIU}P#Gnw~ zL$aRQ1Um-C(Z$58oH!c!-nnZ}6Yo}?m)v{xQ&u9hN(8k8i$zj3lVKPS5kL#MVLA^! zuiQePxiH7Kx2@yf%`4-L{mPMj44{TVBTR^w7a^B$(R%agibz0Pr!4KYp~5(?68o7* z?yf1mnBkt9gcNS_3ZcU@oQ%r6;HEuc#I0L6O8WiU{<+KK-@!irUa0zvQ`|bYZp!*C zya{JoQ+Y_<;pte@a}R!yA!I&Z-u_IaWy|{eHOBJ#<>tK3_N@!aZPvfR$P2Zw=R#4_ zH3L{=y!|OCM33N*@dUx^MXctQMe>9f!F_%e;Y7i1NF#?3(StLCk@7Nhf6`*Rd&?wk z?@6;&v4SF8``B*)eCy~mBYW#qjd2T+LTwkhlI_dbS&!M_Px)-f(p92?w>>9qi-69YNqprGzm5Cf>mA*9x# zM9FVj3+Y&HtLpZCT|ioQJ+^MRa4A!GcU555;CKb;fiEG7TqwY^e|7{&rOQ97uS)IB zYAspYH>*2DrH9?x{n$<(Ah-VfXKdD#44jALw-XXU=~ODZ_%2kPJGfW(){sPrCIjR@ z_4bpK?+s#{_bGYSU#iM)skt{OM7^C^E4%zgIhp^!8Lfp0pX^wq;5cv? zNQ-j@^evw^xZT!S)nhDsX|}Bt6{@B)r4|2CdgM^AamV@2_b$YvMZ=p%zU4z%WH-B= zfKBFdaonj^_+kcO#5Mb9Ec@N(hm$&n73IBvt>}>bvhP~rwp8DLMwqSG%^_*$@BsVs zy+oQ`GzY*A99m!={ELs5CHT=ZOi5ZB%ovAWu$0+|N8=x8=)=>13f`ILcAt|#*T=0NTXe}>?LKxiP$0acf|DTqkmj(Whsa_> zBqmO2NUxlarITAqP8MAVv0&m7NhGfU1L~3o;{~L4O_juy^neaMt0*?R{~L zk6-J=e+Ch@l zC`&L#znSk=H$STEplRy!q|5wc>*qh4rij*_-U+|wGdMBNKgk(;ZE;uRrPh6a6e-zn zLvDmz*yH@*sdhr0@xa$q!&VIB&poyo%EAl|ZpHR3bjJoS?NpVsEdD6?M5`M>mQ(&1 zTcq0bn`Q*U894iNhK~PsG}xogbgaEp`Uv`IH4W4)6!y zWl>jix5kt0udG!r(Sr=-A)cyXcYnbaj#nK`3Rv?-?)8+^F9yE=2(YuZqgCSkhIP*k zX>XfF3Pi@sXyG$DPf680yXp3~3Q0Fm+W^82i46xU)owy@$ab#B{ z&u(`0yWW?CC3dby5DvH{sSI^ixgQ#nw*Ugd^w2|Ez3XRo?=?T#d|H}fqIoq((itjJ z6pB6G6!V8rR>q<-*PB|RXO{C*>!qNMd35{VJWeZCq4ADTB1j)PNzFK=DJXu=XlGOE zSXS1~%ZItT#v6HoS^lp6+@N9f*_?G-+}eytwpoT zV1eBM2LAwrJ~Y9cLj1imhO-Fm3@0={r1DfA1S+u*hrq)JQ%?=&Ne+IkBB^z`$Lu(s zK`hbwVS~Aal;?=L-3o&XH#G@g+DqB+u(_cgxgRgIUeisp_@3wNFKX*hwm#G1c)c`z z`$a(U^{k@l5y>T%mRelAr_I8H4yXk?XCEi_e5nkXQ=6P_Y{GUYMasG3i@6?4DED7B zDOBWor^HyUgy3EJAbt0?vDZ{J2_ZK955~x;qX(HLy6>2(uS(MgOHzsAT!zzgtq&FvI}dKTO8RyuO?YJndXzV-~2(<&>hLC3QD!& zx7IfLpWhq_b&1Y&c}<$j75?Fu^5|PU!hwxP2bQ?WqX(D+S9FOS{Pxd-ih>0ycR!DzwirUzrwZej?HeV`U)+Wh?1l$jDF>UUZsxHd^a9Y`7WKUvA6>dv7h@Yf zW=sl?j70HuX<>jMC7n(noF7^6L02O7k zv*-&i}XP~$m>_r_un)D;;Y1kBp%rf}@!(ARS zY9N%9RktZ26?8sPFia?BI5@KO{pp$XP3_SAca_?Jq{OA4EdFgt(y~RdEwot4hagjh4J@?EmwsS(?#EG{| zoOC5Hc$VU}dhSmJ7i)d_a(zyo-#RAG>BX6RsLh_YO7^A}Z88Q1xZQW~C{N6-#zQZH=p(9j;R;N|hA^LO8o*^rO_9Rvt8L2NudV!fbLFcNgr zbtt}-J&K0JqhrJ<3au8^$Xo7mqR9ea<-{qx;eQPcGWRw9wAB9$4G7j-t%3mu25r~< zn@iSrc6LVmM2K^RWN?so6aWKxgwHI$t@;bfi@4Obyz)cgUu^%cK$Q|G&Y4(Yiq%qF z8RwQQ7_VD6o?S0aa=jy2F$KgHWf432K8?avdM+_Y;Ae9M5tnR`1e5a2`C4 zZ#@Ms0nVBwDEobf8d7mvaQWV|JLSjO!j{+s5nII|OIcQu_%7pq{?98+8ep+Z2%$2x z++bMq=3Y(fc;VA$DipS!s^ZA6uW&If97$Xu_(lA_89*m?*cq=~U-QZyqqx?1@kTA| z8t<=I+R;LnPz+^}%TsBb98niLxV{XpfDRt!|9UqF88{7=FmH?E3wUw8+o(v!(tl2u zwfY}N#G*1~O`K!vWu9{zT{W#MX1~n`vgH1_cou-v$M5+o$bWmEGh7?m^;H^f_fNr^ zX#8Xe&K~$%g9?08fQM-GccSp&CfA5)7j@B_IVBw z(R<0R)lE@Jmj8B_g#n) zfpPdseMHG)#slF-rc)hB%^JHP^ACE;F7=plD5p2p)mf~)dEPNfWmA~za03Zh21@)( z1T2Wgrp?)DyUW}q>#6%Mu1!Q zA?HvMSf2%DQzi7l;o)kP5-|;FO=qx>w}hq8KRzU;L>`@H3sy-jU>-x023k(`PG&e? z`Xt4K69+gIjW{j*9j)gvSsY(%u)U{Q==A;C;)>GZ{Y`i4h3|BWZCqK}p&+e^KvzVk zrlPKP)CY4I3*6IZs{Lt$|3Aq<-R|B`XU=g-5Hg|%l@RA^E^u>aY|5RSVDy@qnQ3dg z&%HfuX}k>dU|j6?u{`kV6rQ63FHAIfz)rwUhQaiZfy@CkS9xcfaWC>1=<4TmjfXC=8m_Ko?K!w6fvj+@ z{428G`yD}3^pNc5>#;-}^2g8>4<8~o-kaO6I{Tsml@{D+wKKfvI|Gg)dmAHQS7W)paDXj@Xc3_?W1v??1Fc<^nxfM(_|b~sd|yw>ri)18 zm;I2H`w#CLyo!v}D0g)kd~W!~0Rx&e8dmS`i6HW#-1Zy{(QmIi*>>dGgJxC;fOA~> z(Ft4eamPLPz%xLm+Y~^8q-NOiJqY6rru9X?R}DO6W5fh-DrY=6}_o31#NhP zKZM_egq(BAXTlBqEfqbIN&z}>KEudqar{g+_!1$}i^aU$ktH zCzmBc?>MN)&gK#Cn;yH20Ht1uz)bAO75@7onqDw6a$GmR?nwg*H~IJqht*f ztSfS!3%cDH)BgbUyg(}Ge}~mJHdovE6H!&B;HeT+CTI?Ol%S=l$%POA1)`B=oBJpM zK_;##b`ZV%BP$uKsH$D$&HO8E+Wx9TgPed)i#;XKH#FQ9;1Rt?BQTrO{_La(qM6Iv zugLcV188^$b`_+i@X#?!D!}m^>_~dBIT-XqAL^h0lq3KTuM4!MTH6p`r>3wWKMUX; z;`Y1RU@Qy7nagqI5o$||HS_^RfeJV3VW3GPwdm)E*Y|W318jwXa)4`cfMxi5l6vm- zy18%Tkl=o#nw@@S@X+d1C-tRAff>G^c`b&&B+7gx@Dy*#Wl`5yorXiC-A^|Eox%Z} zN713bt7R&mb)R4&$7b&3QjW_WCP>+=XaAeXmnokUY6`}nN9c#MlVcKR`&MKCd7#!V z5#r*6fZ_V z7q#jBm<~W?vZcxg0N1COa9K!@Qr6t+W_Os{vTxOI?^3^LxT`f`Mt5Hh#5W268r6p9 zWM=YA-B5p)rB}B*_GVWJpXNWYv4ke2ie@z^JiK99iP*W$Qg}I^fE)_qoPWKw78YD% zbWv)y<0aTLiBDW;famsd71ICXj|9vvz6%ehwqYbZW?NV9pMsXG0JilA0|~Gd?z$w! z>QOKh0sGbYqLwL7JSZ0$lu|R5xCFirgjWdtvE4v0PQ&Mk>MGpv#$*$6axP8XI7wt) z7gP*xnJKL%7h7SYF-m9sF_o;vjllyT`*R3Iy7nhh53uaEUX`Rq6;xBTszDggkDu3` zK3=bs1nClB9zd+?SBPE@D(BVhJS@t9jwumZQ_A5ziLXGG$?R4(U$T6!Ls1X%H&+4t zzg|E#En3jdw8InsHGHZDu5ikn#c8NjivHz+O8-SZ?$pC7qL)8Vojo4Q88?pB2KQZA zJ_mL6L%uNE(SQO_z6t0MsG*QX{5BDQ8?jaY&@D823y&})YzpV+u6>80_sX!A0T*{1 zF(xpJDapNS!&C-P2YDbnSQ?SQKL!k>v!rYNr$F80v#vQO`Y_l+%O?Vmk+#8B1c>ZW z+Hh+ALty|xISqyQjyo?Za2d~mt}PWJ_=~g>GOz^#cJw9>^fp%AlDW<+}9PP z)v1+Rj>@#2Y)d>&uuA8;@$ftX*E}|*T7tvl-=`HK0WK_)e^rte7=X$&>P#l0uf<3| z%AaHW3j)CPwNT1a_8FurK7C9+VIZG0(J`xYwf#8nBeDYz9J6o2&fgn|C)3P6gyw z(gDc-4gmO|lMJ*LsVb~kwAcK^nhZ#i3Ii2XV%E$XD3L6Hy~n= zKmf3(e<2=3#X{H`cR$5@O_?cIIwAYazK2^YlkdMlc5qT*{e^Q7^AYC{%83>Xk|JFx z%CcgVP);0f{`qH~O8_k7<^zk@mO*D#L>JMU;9yVd(ijR*0FjCRD-i*SG6k(iJvK5S zsAIYdQ@cWzqtf>`Zr+UX48)2ieomo*oCx`c$_2tPDG?DgJ@!DmjysPg@0Nh_-U$aW z0I%*^ryk7?*_aiR4kF~4zBF$nDR^5l+8&cXCy_;}g;>;Auu%dRV&D#$?~X86%;iI| zUIB%_Jx-wP^@MGAy=xTDLl2>7(EBm7q3xACt@$AKn}lJFtYKXg+bNHzDZu~nt@U|&dzwHz(1iQVq2x68885w)TVwV+g^R9*&(d9e_m)^R1pR)J zWD%d=_el?vbc?zjSuLS5<<9g9&OrMIOKZW=JVWT=cv2RP4totd2Yj_!jCOMJ^gy5t zwKe6^olcqcIJldG{%?IIqBtQQ*<3CEPA%FU&Xinm zBg{YN+;MWCw0Nc(l)>6N7qx1UmCnqb1>iBg-16kZ*BO=RZyxI09SjY;2T($G*T*XhIuCUe@{I z4K6W|&|rRqdf4B~B2n2p-rkb_AzDkaX65?i8inQ_S8`k5e*g~zqW%~M1AoCLI3)ke zUwUTEDY^-(Wden5!~mlFPeBf@WTE2j(b4{+fq|{q%CuczO>mc)0xJHGi2<+r$0&lc z1p%JgU(NuIbO3MiA7%`0NIm{HSqEqc!TAn<8#-_oTgtD~^}kH^rR_A`FYk7A-2$2h z(l0oGFsR;fmtjC)2>-H#;BU()_`OoVbvplGFvP?Q1yTBovqKn4HP|b&@~ctH;3Xe1N8kz zks#r$DASCRCEq@*^X5{$-xZT?h8En_8%ZRi|7k2CS5nHp)d>WezdA#DpS~7cp70;j z579}J5zxASKWJF=4e|riwHtJ?Th-45Z8^9y$0@vB4UO;pyhWgMsik5iA+5|a=e3z<@&@EDNT`{kq_4B*Ud3Sfo#FMxt*gFCP? zkN>lSXR#0!_+M-R(*43nbg|QcyH4wgHXe95_G$LN77(J+xISEMt|;+ekPPWQfrg}d z{B}4GX7}IPB#wln{BevABm?HI`0w2Nkog+1CO-zo)*ITR^hYEor*F(G+G>CK?+u;u zQ`;x7?9H;iGuS<8sC=51ckc5@2@U1d|6zxR&*i74EIs*Tx(*Ngkqj=u`VTEEG=MVN zD)q>L8*8dXkGxiJ0<7v_QtL)iBH`ifC^xzGy2t>LP^0$AE{Cf;mj87q!lsAUy1#2Y znRgnAu<*;SK}D>|Pb#$#-UJse{eyQnT`mYNyWBSDP8V=o@vadb^&j7>hRjui>`?i({m%>C(17TdQhVOIP9xcPozFA&<{}O8}`^|>9i@& z`-ciT^O|{w;?#etAMB3GzYt@ZL0jgX$U!^s)PG7mHzP*8NIoq}^W=)1O_H5BsF3;- zBl>+pTvwdHD#2-x{#PM_P^PSk&7u01+FL1zUy|AjDCKhxh?U+pPfPcmVB!eH_n`UV z#X>U-g(28dJZh5Zi@XV?@#Vz>1=&50vp3wpSLTAh5%fJjo z*j~)nrI~N{g`-*5E9qX4&#H5sp|lx-F|bflS8${H*5M|^>Y{jD;9AzRgRjF?_$ESw zmg1um{EE|Gm#0t7<4Z535>Y@HKXpS~-4S2!JR&v+*WlG}*gyk3`CNPPRnKbt9?Ts2 z?pPZ1U?dT)h0qj)Qoxo=k7%{gy0QJ_*K=+UIky||Z_*Y(h>legEFjb|1~-f`!0ISe zuaB-(e&S25d!5>LJ^c1YrA(d{-;ZS%OLuufF31g!Ea(o5A|~uB)ex{_!N;~oZ~l*S zs(@qeYnwpIE8vgL6%tRkNLWRMRF`vz=Ach$^Y^ z28ah%x)yd&!@(p~j{P;s!wF2VhldE;oS=Bwb2iuL2*jZ2E2 zuV@Ux*KW9eNkx?QgmYO-diU^I?|N;BX^yy@s_K+5GAzPuWGCT4Pxb(%s>K`Ajw_Gn zY3&KT@&z{yiq>>8uRt(Zo&reSvu_ncuzJ!Z23&g~Ff)wHxE8+;?|`FN8}j(^;~?e< zFKW}kXy($*@o>g)eFKC0)Hzb>62-@dUS7G^*4`d|+1S>$GvIZIP~Wvz8qMshPedI0 zYv68|gdJDdz?KaO{h6l+{TE~PXLqpRio91+cb3HSIwZ8gtzV34wo@IqTsypX+^~#7<_wUg{4ndWGW}Sp_iSoU_TmkR+7AUR?<(B6)r9|uk?V+%DCQH?&j9I1Uh>e)xhdBISwu^Qu8&yae(a~pl@U6 zO1O{%_EFMRnc7mdI&gOxkJWhNBV}lbMR5hV9x@}%VV|hnQK$NDZnU)9sA<`lV)On+ zOHg!lz&e;jGv1#`EDv1^2gTW{-?TO-_JO=Wf>QSj2?r0+@@5%iqW9`x-DZnlnM_7| zTyOA;yYn_adAM%GYZDPxLU@(kk#IcT67Xfg`p&HLf&J!t}CKqYd?NofO7$}7tVa8KIz@M@LBO}u| z#DNDj+8c0|?`BtRPl-eJBN5jf`^`WQe3J2hI6`?xdO?;6<3#ffIGlcCpw2mBSiP@a zo&U+^hZHfesXpcEpMi{ii0zCTobDJu)(Yc_Iax-y4w?ziG=TQ`ptImM66WuqV@}#N zp{}lu=>xbW3A?*K=*Im$fa>yXR|>$%SDa4!&BR={ouPcaP4G-BCm@E%*Uyhxg`CHK zLZH>v&K{7$vZmqT;gXZ|pR$<_7W4}kVoth}%z<+Ds!DKw(Cu@r<2hMbSxeirAY4Xt z0fl;FQf|;h)vDQ8KWZnRp?Q69K+^WB>GzylEM)6HJPq~q9&u#?U?5V0zZkiEZ@_8( z`mD6isSR*FB)Gamxwu&o+}0o)A#jQ%5M~Y~J^g}sh@t?}^z0jiLhiXJpkJf&zll z-Q8US3?YhicZd=K(%s!D-QC>{caJ{j`99xszvtXP;PS(~fSJ#p9c!;%@AX+*Zww)I*YNH<~Y`UoX@okyohR=c1Jmgg{OCCW<#YNZc1;J13e z{QH-oZ&BKBj`p7zeo-oAB1#uej z*aE0`Kks?|O{`NX5rQ&^H0D27jc$d3P~Ia1>rOVh2CzyC?U{Aj$qtje-}sYT#0AX#q>Wxe;I`h1sJ+EYaps2VK=Wy1Klf!EX_YgdHCG z`?zP04)J&l?Kqh~~o*xdw$l$|~kVnGueT`-^X6PB0*OOj0lQ zyf40ogZli)B-dl51AEaeyI>IgQs(-Fx+(Wl&ybMW_1rdhMYK#147zlHH|W7`GW_`% zAeyXzC6YpYJVsx^U{xiPDX*ZbzwbX6p}rRO5VzqZNUc!FfKX zBg*wAXAWeBKEmicdGg$@ocph9Z?2eBA<`|LT9)UBM$1+|nGpjKN!=L*5F?5Y_+e#Z zypNA~3|pe8#q6XXd{m=sJ>>a(2Nt>sAwOM92Vq_fAccgoNzl^YCE)Yo6DIR{JFr z%os?~fDf||sAWuvGk5w#W`Cq77U++BS4T%Ua^DA)1!=zfKprm2N5nO-pN)~Yq0YXr zeQwSNOAAPF&V3!rW|0T%o@3^>F--0I?$ z3~?rp=e9}95SqfZ zL8XpcjPtf(7TF*0UUZ{bVv$<;Y{mN2pfpK4%06Na(8T>6xq={38jcrbdoev!&8C|j z6d$wrP(d(5BO~R(sRNG|;kv`ES!7#$Wv_Y0JokmD`8kELW{>+^xBGK@1#aRuxMS@H zk-&wLC{nGP63nxl6Fx8oEht{`qI^$F^D)&|N21Zg2?9wDuQ(op>7>L%n+~7MIM2Im z&H2ACb>?u}DX(m5bYtpeuNE=spT@(o2sPy;2P$`m$OtMIKjkg>_>1$^Z(I*=wQhzn z3q@-)?rtlLX`8gZ-8|%!%1u(-MkPnTlA0J?xh!ndOp>!xZtVN|vifzIVq05VaIYp4 zImUCJfN#rBkwpk)K6yB`qv%DBecRGDQ^?CvjA0MGNS#rl%I~M+GR+nU<3fwGmu4rz zYr=AzXuKJBdChVh^s1J7Wqtw#2#2y198}=;0`Au^vu>bQEy|3E{bqzXE~vD;7p(Xu zm7aFZ4XD{cAEAoDF&G?M$)1dqr)BV&Qr(j_rl7kn*_Q=_gDKfGyu1_7URcze(Kzru zgAy?g4wJobl%8}4=$QMr+t&pFGSA9e1dnP|uW#?E@oyT>(NxQ~MB31qLnhRv@s#Av zNP|A{(|?Qh~O?-xwE&vlPF0rWgAQtW zHU9muSe@G8;ij5XwE%-!e9p>Buu($EzP8C;aUmgd5OT41?}pD)r%ZPI+dg$dh#)Oi zqx4*3V^JZB6Zf*|2^lqA!7g}qiR2lf57~N9nwV}O10|E78JCQ!Z)cd&otcPg7K|b( zIfSF3rS+Ah^$sJ%|3B|y11i}9ax4be3{+#hCe$(+Tg~ms^6@(_V5iKg5WJ@UxG~;P zu13_NAT-oFNDn$t%cyMu<`znqU9+rtL-I!`WPxk*Ui{`}r&#tD=I}izQ64{-x{yBA zXc6GH=w)yYt>beoD-GcaNNFvO;k)n85KNlv81tR|vEHL$d(@3Dfcz-HbChg%Ovi=F z;8SXX7t1@Kd{DR~JpR#y+JC26O4Yhy#$>fSw!5?StN}~3?IpvA>;0c;5ratcCbnhU z?U@)#fg#my6M>Xi$q4(KlHA`C{y$G_NOkr+s&?}^_voU{63g|q5P|WR=dl={*NR}D zr{5Gv+Ccyj>&z(Z3UV?1Ue-}1npF6Y-&eIu+}wEVE;vXfIJbN9QAwTpkdF{CRULg| zB#0-q3kcW`dI;)_a-k9MxG5r&fJrcN$K)&g-ckC(*VeU%yyA~IluJ@6bC^#uNSK80TtUv>k(^hWE%g zmLGE#n__~KW~hr7=yO)Vs~YDpxFMZlp7(1c<+=&5v0{o;s&>=fs+L?kmfeZ^SiSqA z3;>_pJppigA^wlEP*_-4)HhH95Vnh9?u|&@qyNvfgL61IBw2dUH+#%l>v81l8$ddv zqN#4Cr6YZ-thZiLObibSip@jk?EC*aA!Niti|nf(=b0zn{G3^iXq}$$R5d83xa)Gc z*BbC>kFN}1WoVaYWQeh%D3N~-2(ZiTcOC(aRQ@9e2;@P>Arq65A|jtGGLk7W=?^ew zoc-XT>3Vyt&iVPB;G_rW4G5lMxF_9}@i+``5NVDz#T8(87k$z`OS-vC|8H0LTMq!* zEAnjxppFDv%C;y(eG_%sMdhVdcV<0s%_SuKr|go8ie!r{CQ6>2aCriy4DVC5XIO$O ziEpu5eTDWM@^EVdDnlEYU6IsN4B#U8rsiiZYv)k~nUD&x_5enZm@fnuW?4>c3$Hwv z`|%-_a_TvTDulT8|6~j@f^mWXYxi{}-c-gi@|AibeM#Ch`HI6v*|cQS@O5q%+t25& z00N2Dvlq7e#u`A(#ee;+W&fX(lJF2`svi8!gOBAUh)Nmmua{qUC6ZGs8>;khYr1_5 zU0hyPUPhi2;+ZYRdx}sg{%;|M3=H2=BXl_%r249aSN`lr#x|?j)V5dh$6qew0YIEl zD%4VR74jbifegZwP^+-@wZAu)oD9XVx0!aEY|V=Nd8B$an_@;Ye3x(_O)?7rseCVZ z^DcBN=fAB9IBtNs%rGwJ?G?+2=RK(xwCP-Fv1rU90$N%ik`yAk4`zX0%OxhRvhiQ$ zGz&mB{fL+X!JHsOy8)>KV*p`@hKA-fUEDBJJI=VmZw`6vii+!f@JFuIn(T_i+&Afd z=T&s8|MBAcKprf{SpxC&LI6zmMojFpEXPk1gd2&x=m*ZN`;9Fu(ZM}s$nTp5wcsph z!k$9N!rIf6^}FA0tJZNwzBBj;ZE9^5rHfk~0U0&ri7TyvznOvu6uyf1EHaTa_L6Gy zu8f;L_6jN2Op{aE#xeCu9QRH05sL1s9($x*R1(wl-&^(D$a+Ni$P#Htx*OFKmb{_e zVvKiT8Rf*tY|uk?Cg`$;*a@Hzq(ISbW8791WFNHgNZg!v8JnTd9-`14opkZ8mWFjO5hoV2{pNeVM-?JMgoTfXUj{b@Wctwk zjej^4;|`FS0kRyuUKbMXorV zf`c_npcpl0%cSV5bpV>anbF$D=BJfMntyf8QnZ4X)|vpQH^D&gQa1#GsnF$RfyFqPKLUW|{X1iAyE zq8n8wx{X0T9R21L0zLHRIFpRQCGC1Hj}UE9vlO>)ge~1 z$eCXgp@-U0M^A68JjR3aE2P42vIVOb_g(T;4J^+ruw}P9d#W2djJ^6m9sodddqo#_ zT!c}Mad^q~5V|pRd_mhC+PWwsMh^O#rJoZ5JT2nfOv_dN z2V<2YUTM9OBru-?SdW8fiLD^QWB&W_0I_d=Tou#T*S}SGyAb2LIr6*yG#JzZaS~JT1lIRvP@Lp5m0OFrT4!=X*-r4D=$x#o0F+72)FoM*nD!AJc z_{%&ur_$>DWGekRp}lIUO}QWU86Jpo5+12RPbjW z;kF(!zx9OrK*y6%MWzyE+0}Pqcu`{Qcpyiu z{8=UGpi^{~bY|sshN9rzzc-@~6|HoT<~rfEYs8J$hDjVLH^te~ofdOElVe) zUho^osf;B)ZQWX^bk~^y?dkgb{>41TT?|vehyG>*CnZmksM0JZcO0_7+P`q5MWo@r zmynm2zg6h;tWA@bypKU}0O`z|^^#kQaRr@QpySM{2TGrVrTi|}NJgVJOFhFf`N`kp z7z_2jw8g_Ud02qGW7bexXb>t&rlNLo}9WxS>Rz;VV9Gz@x zodh-Ll9a;Bo$3J+1^Hd9c5%LRL93zy^t0qby> znx(_p&am1wBx|4Y;^O7zmrqK?*GmFA3dIzahco_1nD{v1h;YeY1m{dTN|fsr7aL1Q zxH#iLnS}y8J_o8g0Z{Kn|9s0?*}v(FooMy)^j5yzQT&`+sT;pb^ys+Ju3uUNp(G4< zJNV(tCTn0B>Y~N+P^u;a7u5DA5mn0hxjK@6%^Y0qyz{7rLiaO)%bb&v#vS805mK2> zXw;09K{p5f&dDs!{pVsYUf6F&>*6Cuq2%C@SMdR+_CH3TTxHb_;aJf)6*Q}HVihP8 zne@{$(+j$t^(;%q^V?=NNgmy~Z^s~_p($W+yBtHs`wFXrsMe%F4Bt+zLt0*#K0Sq zGFqD~FWfm`)2xFS^H7Qi77_7=&b(4pRn^u8es6>n`!npIEz)+y^GlnJFS&6Qa}A3p zxl$8c=C18pP`a?>5EV%@i3edJweyb6dK=i(9{>z%1gfLEM>39Ks2zcTZR@jwX*I2Q03(&v;3yAdHgkAGjdZq$;V3SNgX z>QDT{u=zqQ+S>cB=W*$0r8;L7R+kqmXXktKbNLZ3-P8_)BZi#cH=Vuf`Q+-xtkp2$ zf902t79`K@zFlqi&XqH9M@9cpVb`OWWE|MZl!M1~Cx|-!W2v$>NJ%>wr>%gS+C(3) zknpabY#3BAx4&T(BJZo=r5vqsb!lt)x5n3~FDlFMT>? z@*&tb_u>{JH=HcXxAzCYUqs(y41*&h^;<4@Es-?I{ zuR;sS!5r?*Fs9?zJcT2|mKf{sim8+9Yu5;VJST~znN0QiN)mVD0!`PLsDmb5>xH2@Khf%LQ zbDpqK@t#ghHLiI%L32xo0c8dXInU>XHefYPnf$Lc$3hpa8EFbq)t^1+!L@Yzd_bKO8dzaWaN=|s9@4d4it-|fBA<+afI7(=+;To; zfW3S1bwv0(@FOn#gSly%pfwXG_+eH!>};Q1f+SHMLG&KJ6V-k<5Cb4%gczdyOY&JF zk!ryh$A8Gs&b$D=Kka~Mx6X8`3>46>IK=PuY0rw{3b zsBsE+>Ev;Yz?Xk8Xf##$eO!4hFKtC@K8~V?E_8v|7G1A74SH$vtjwaF80!*JQMUEY z$luTeX>(bk{e7N^(D;&^z`Q55O=}wFIuYAiL^GX?6A-h|ARjF<3LCBj0iDkufp7lo@*!Zi;4t8?7Tww$x@Ng8!e{Jz=YS`YDfi%+ zkshhK{grNa5?A2@wt5vCogT6$Lg!*9>T6r7y4jymLJgABgAH`%P>_8StlPjK5gCax z-pWEroVttgG`N=nFcO4$qWJQF$4(JaqfxmnB^d;JDBt4>P!;4b+d$+On_aDLO2Sg8 zHr1b<5bB^>SD-)Be1@wZMMSp6Bm5M5v@LCOO@2uM5C7y_Y((yxOrvH4?@16Zdr`>^ zT%cC&=eD{v%phjg0#;)3+0T#OT`ewu`}%NZ+wCH1VtUm2z+ZAoUCm)iTw{^YrD&ou z`|Cz3!fzj_{1Z<6+vG6p9y8E&0CWOW>!d+ifC2Z*J zYVR@e@lB<4K%9VrjznZB(ADd-P*uyC8!^0KNB*!^8|&I`;$Rk3z$meH*z?Tt`hcnm zybvACzt&?2TF30}S<#uFpp(c^ER%lhc$Iq5?p zyD=jle4kbf4*>wsw`k+y=$C%A`P+(?jQ zjQ=c(K?y&C2T2HFB*j8ssLx!DgshusD3LCipq(uo-Bf~>)|nHT+iG)V!0L?6Fg z{NcGKuXj5AbqRlf4jr9*iAg~G`suNtM0E)&p-S5LAUwF} z2vRUU8I}X!)k1M(d!*kgJG>K_=TUA~XGsAiAM-J8i@Daym7f}nDQhwcu#2lLH~YC8 zmSGuF*2pp7-h1=L3Xap%(sF*>v%}msjZFbk-C@?8f1M!O*2;*Uvc8_4ZzKRQX0Cjs zN%UuB-_3X=7KxgX-*=tG)W!MZ0ZovWmRAt{K8I<>k$9y5h;Alp1&4$@&&Y>+71j+w4smj_U+rEkF)Jd7g<10`+eQ| z+)OqT!cXl8cwl?B8&a=JE>C}?btW0+?S?3;OeZXkSp!E>$`WvqeUqb021-0I_15t& z8`*mLJOJ1|2)Kf*m)S-^6U3yF0I&B4yna}^cCJcq{8A!(c;X38G_QuLri`j8kv!8~OQ-PKHuO(^Gu5574ELL3AoxScEsdQ7* zv}2iB7&xs)sp{LuL{j>Fg`__PGuCb8qA2r?7j!ZA+1Bms5M2N}tHt*Vl#I{W7PJ#s z-Xel%@&Y_jiq&FVaFTSDf@3~W&E1>YhXoI}hRq6h#;RvMfY)m=6&H^)e|KX3lS9u= zq299EYgX~!zOxEdLCd8G1=f*X#x?^ST$d|aWEw3G=;F#sFUTYZB_4no+qyH{s-=TM zENwur`lS6Us2l@Y5FRb|-UpZ6Ss@%EY1{}9FwoGnXK}W=db>D7lGUgfpH)ZW&#s%z zuah4V>*v#@V?y4yfm|hwzR30oy}lkTyVaBkw05upU2BnH@5mq!&KRAK;T<==^sHJoO(RP7r$yIMKE39co}OZepnzXwDV{z zjR!9gYPgjy5knQm{o*q&hbFds1?X_=jr5x!^oewCv8g75+Ma!<%?uQ+NA<~XCY++-JH3&s*T_wk$NZ;~x5h@N#LCW0jc9(iiXz!3@V2gl#?xd5tLnNueb zbK_$EW3>}#Q%#Z#O#;kQVjQKYpD%#<(T)z-V}rd1=u0@`yzx`${vVF=mo}wGl!sca zZ>sC={#ni`?>Yk)J8l0(+15m<#jo$aud^LFl!8h!GeKO@K2pN!)v4-RI?d@ z<|+tPP0-r9V5K8Z4dGuy%#auj0@16#9ZX}1WNaGaG}3eC>Zb8a>f&d<>kfZ~`&nJ} z&Z8}*arcev$^hMCzA}(K#BMwo+$$)L{^7%iNt2@KXNq|s4uj#T1yTeF;~_>Vf9WEY zt{Js~8&SpE%*Q>e#hbJd^9)SfTU-$IQgM! zvauZbhz!uZOop@XY|*}pZ@am?XLQDA9R+>#=+P}BM5wQx8Dx<{-@%`Q(0P&XysNt& za4Rjv>V-9W?rffJr({CUWN+DNg5vxXR{)b80CV61krFW!1TXbLf(R;EB7gTaX8gaE znvaj@fOhp2MWw`a@6s@M+F*Z3LBkBNn?I+4g#xk+XK#rS45`O%T`ndlVi2`8%=ws zhnW?7Mun{65~1YD2s$-4XHlj^W7T7C-+wi)^|&Q%TD84vT{v$(ChN?@ zq3hJXaPiUDHVONeqF6qtXfj4Q>+mz-F3jC%4Na^(YU`O9aV*t>ju@ ziu;B!G=>jn8#FucEGjC-@!moPC21fYS@`#4J)o9umPfmwfzn$+iLLLbHieyCIm}!s z@YhngcL6x%=!SzV@17jp3U5HV-aUo!a3?pAl0;Kp2eJU^O1`+LabFRl$)Yuar1y#& z>{I5{z05n$n#`K>^eM2-_T8)}3xw=e_!NIWaZoABLNWFLbTW&4Z_;kYGn=fCe!weZ z?cl@od8!5RhICxMf<^UZ8*L*N&0X7#Vaffo{2w^ci^$s^DfH5p9n7hVCy(@aswlL-w71_;tJ@@#|G>Av#tQd~J zN0+en0IWyG^xjE@_4zZb3@jg{yy%vIPNu*;xrZ}7<Js57z)zRf-a*G58UCbpCy;NV77Z&&w- zh?vtnew1$8ZppR~l=R(kn=mT{4M16|u!~OOo7*1*5WM+N9^gbur9ezP6$KbD&UTbfpT6 zaX4Y8uk!0&IFMIn!+IQ9D(yFeqQ(wpF6t4R zbaQhH{^?BM{Bgor*Yh)+1;RkX!V+?}5bC!sTKPPgj0@@4Ek;4?8}|tN{*{6@)fsga zF5A^$MPdQBDwq$VO&!SWthqYq6=1U81JRN-x&n|-xxXbNBjehdX^He;+rS&NTqn`Z zn)X(oD|rw@Nu`Me@#~)^ioRa({djSjZJJn;y19his&RVUHZf5(Ba2#R3g`#~ie3{Z z`8huW2ljc1NCWns{|$(6fu1N&XWaG|+qH>QO3jDl479aVU>9$~Xv$p<#|)B4E^7pX zf3B^qfhGL{O_o5|gR~t&2AAo52WLRII8c13z?C}0I2AXzV~b>>RGv>ic4@!G^pVPKNO=0PLccv$^Aoys*?9L{4UkgnAZD8a(@-I9Fsc{hUZpV zvP#88?JW^9C{kMYAMWC#4d14ans0ntW(cqnV6}D*ns)6KOWDsuEnt1OBzF=7{nm$n znoO=stniifj+(WYvqp9(28Kp>Tx+6dyfcqMeIAfOeQ!-j>g4OZ>kkokx;4q0v)~Tg zw6`xGcOgH0`c(JGrGN(9I|7PZJhrQvg-X`etQO@Uy(#Y5N^cUAbw7~LOl5|}dLE!3 zE=xOflJq!rR=e1kr03mKdxv~uDk=B+!;+TI3C1z zpikFSS~C9t*vdSM)4gdf0z7>@HLf`z@CxoEu%hgjMEik=_yGDkfZEAyjoQRrw4ZOm zgjt1do>f|{`l-Ag9_3hG(r6iCx!UF4fYH4vz<^n7Ziq7`{DW03u1cx{CmQrmCt6 zC?7h`t+Ew75QqV&2A?XD0(c-3O}+#QIjT-q=XO4vhQ-2!(xg;wnzKT(UI6lX>XQHy zf!ssqcPX#R4hq+%gK&y^ejUd|L>#qJxR;dy;njY1JYfMcsy|MDG=Aqu`Hup@4RZcw$|57 zAbT`%!~8S8WvD|95@@-jKmmc@8%4?_D1eVgyH71^h&21Yy~Fpoxz!hR{$wx056Cnw z>nNP*e4fwfsx)Kyb>()kk%Zm6L%Y(`)ex83a|k56?)Pa7E_d?XE)3M~;d65tb1I?n zHLGZGo6LWYfqb*4zTVZ=h8#IAQ>(W~E{3AeM{9!3iH<&y%zijSd7}P48N*Z-lC1J) z9vxEw@$x;Ej^pP2BnASxo(!7RuJv)-)hR%g1!c;E8_LlZN;UK1oQe0GRKDy5qh^;f zISy1S+(o=!0H>S!5smKPV!M0T6F)q!j|l;5 zwYb???wro8A0=tg_Cl}ja0#$D?Q&5ntW0Pa7?6D%b`GYQ%|`&8?~gNYfB z-&3dXh(uUDcz9>iH9F+BgYW%l`HR&fS{fhP`wI34Q~4h?o`Ec{&O-W8r;MhZ7 z^jkDGBR!0|u&6qmUMF@d97y?Qwk+~I_B@M?ni{GY+B2HMhKsB{8foHW^MUv!P#PhS zQUI|-(T4mHGif}2YLe0572=OHcB2*hc%;HisGCw7evIQso-Z>)7eh{A4-( zgqZiSff3@9>!1tRYu~Tc2mICQzfNCXE^jSB@J8`_n@-T0Y4mu1?Y;yi4u1@N}O$?V`HZ-Fi`B@*yK zcn8=PY>lDvkKMIfhlOwk%9MqxGWO}0N%yP;(=n+z+oUNGA47B>3OLJrpsLsK{R8kc+?f)( z`pLLJ(4I2|WkbI0c||p@%}~*`$jzCp%`_3Jv+Uee$NO465xr7L3QCy}#Ker@fG*bC zkd?00=ys9iv!%reXS?km3phH`d(BM37~`b2YJIv9qfe3*z}=oCp)%&2&T`KUUfspV zry39jb;oIId6J}E7TSgA;8Q0)V7#18p!1XlX7YaJxH^5e=iWej``|-QJhKlR$93hp z>xSt5eY$~$gmz>CXW6IyZo7>tPe(U_)4#6zAy|M(LETW>nN#gKQO@KmTgybwq#FmA zd^)Q8t}p4@+Ik0az`6NF6`Rh8;=c1sEtSB%m{RKWDw&w|nwtQLSBP!Q0N77%Wi(J? z+?ynvN_{S=zK3oHKlEFg`6|9xfOLsWe(Jj<4sol+9m3{6`g#YW-kyj@{SX09LhJ+y z`*4YLJ^WhTSG=S&3a6g0)J34949B;zo7kM~>rLweBZzfqwyv?NK3c=^{tZj_SdvLO zJ9rdc`PZ|OCL{axOf-)ajcl$jou>60eXv=Fk}nm$gC#sRq%ecNn{pa7x=T>;7Vuzz^vZcOd{@G&(#2z!Y zA-gA5HlWWucknjqN%hK7CQ#$ruQYlmA%SH9I+Pg47+cQ15I(HJmAn5o;?w(x5T7_X zQIa%zta^RK{oKYz%;RWk?u*Y}P`55arWqit+ z`9IIL9eHAY8$W`U?OVe&hlS;);@zZj-FE93Ohnxz2z=j0jC-6qe&AnSIe*u+s-ni- zQS9xt%fNPFWZ^OS+bZgTUjT~-{D=sHy-tYNLHHN;PUuuZQ%>mlGKv&WvsEKvU5GKtg3B(*UUh0)$K(^7+GMO758zGuaK$(>8J& zQ5gHz%5ANKv%@~!27k^1G{~#IcAm%d`0uZKeuSU(~S+SC43V-bF^lRv~!lVPk~Ud@OrC%*xj{ zO0A^H6Aa02g?k@X8I&1Fl_`*^-EJea!$$bj!3?qZ-+U_vhVSsef7y?>z@_<{(pq{+ zfcuhpgH_a!=FUR5_GYDk#rx87ks;U4Uq71p6vy?Q-lBju zcyljQsn6O_y?b1qjTREbX|H!fuaz`C$-dp=!9;O+e00>--Wi46;N*>%D=uQL%~JF5 zj~8e7^mklW-b@9w4mD550?s7ju91w@?K*6RmemmH8xfq1! zyKexho3F3$Z73AfMfg!Y=C%MOWL4LLgTgttDXqVe%Ouno3tmeaI zM7~Zdqe{spz+vl3Gzn0u_|ZRv;K-EtfFmn9+g+HK|w2 zW*?qk`)8Lb0j5CStvEjL=`v8a4iW$JZx`_Igp09UBykM=&3E;c9FLbPY7k--5+wxQ z`4)wC(0##|LM>pcyP5ZE3Gqf5P60%)uY6Kj!)%50tkyTOM&(+*NwM2p zjax8k++Mu^gIAzxE5uN%)WBjhG1>M#;&E26v3SuIi-j*ytXvV2$I=BX9(m!l+s*zs zQjOk6vcB?$Hte@V2wsd>7^H=C_OM0=@w-6ndtL?F|C6G7%q<2HnS2Cr9re z>bb@qVoGL;Z6QrYP(W#YR6E+zO zw#Ix)YwL)?5QoY0$&3{IY6~z7Y;h(yz^|)>h*^{%I78nva75gu6W~__r%twM6qb6b zhM++>D*}84{2T%-Ld1_?(*OVdF*yVz77>FYvpY~t^iR7H6-$(qnjm=D8O5ZLuigCi zn;axrAxk0m0gtVrqhr}G-e-sdgupL+zkH$k24I*t%qLGlyF!X-212_tzWHzmy9D&+5tABZQ1q#p8Z0|vm-&_VW0SDe1-Q5-i zVSr?$cYm_8Q(UeUGmYx1P6+N zk)fEM0+#=jmGuLcYOVFsmqo9c3OjLP81RpT;%*Zo76qDa<9qUPK)4uqc$8Nzf}8z_ zpr1BKIZT6Ly8<_tGQ^h&4$~np%T~bKAY}v^8Z!Xg@TnRq#4tLOzkA!cq4<7d13;jx zq&b@fxiBzYIoD7E#FP_cvlYP!3nDhR@$|ihh=@0s%bV>5bvbec>feLaRK61vldiyo z^f60G^@4AvSNj+WjN&f9@`mQ0LMlxlmcIZ(Wh{qTrtQ7AtTQ3!rBsnsA^E(l0lA=| z6|gSv;Niii*dbhNpcaQ`CM;S2HkVaHarbNOvV)dHY*yY6<0AxTFT;)}CWFKiQBdR_ zpa>j%x^Xwk?(qI8B6-?nERuDupedRz&Q&vyxR#S>jKr9b(7rRyAj}6R)4H2kZ4%C_ zVWGChR=D<{Ny-BKZ&EYcb+rSLT*QeiuU-1^dD zw(QD7#H>Go#*Zb2{lZ`m>&O`ZzExx6OF&B0G|;@$rLQkdxMNUxx^Dtjv9*FDt~v?@ z3|J#qrP~7m(}Y;!g7{JlpGyg1hP-0p;sXcyNyQ9!wXn`U<>G@H&Rx~1AC(I6r5Co} z&RR4ad7^l(DE$=!Ru6xvGq|LCrA|n}03UDHQ(=NcQ?siLK7bSj?tEZnV*^)&<-FPoqE$vaf_X4Rwi3-d!ah0AyOZs+&!zyWuHo4koujXL78^+|Xjp8wH zhx+pFI~NFA%s0YFcvEff1&T{Z01ULq2sFndB)In}<6|nShPNm+GN7HWwGv;BZVfNk z#Zx(I8MM{2^+S}nf$wCsvt2X9CY4WOzZ@>xBu2Qm1~O1S!zM54e4g!-$k0bxV--os z!%@oCIsVo5nZ1~p*zWFb@a2vJ1o9#O0ZGy4eGQZByTwUsMBBD#PfTIrY+>)1?#k!N zLhmVI0Tdb0v=8imMv5ybZ15e&tQ?-jr7sM-k*T1X5Ptd6sd##Nx+79+&F)#&z zc`+w!YtOgB&IXu=v1tyQqjT@jA>GHLV%eCJw~v^*@O(>a zoPkYmzlv^QiYO%%m!8-uxn2-V#ixX}UPdnag)~L?M&25D&6-V?pE2e?6`m;u-Q+LN zB4Y@5PMDf(F0d!PuD*s=;vbJDknk$@sN1_}Oyef?bh&>}YAsm|dXbbia&_7?w);#r z8#`i~Gso`D%BSLYfhw^!S6f<{0w{3cvG=Ju5 z5PxFHWtd(Dtl}Xvj)%-a)};*%lMcjHLL%*8Lcl^DxPl+B%us^pCwu*GsUF zk53kfW~ulgFvcf5$t!!!)z`|SS8Y`}A%!#eGF>}1JbUUaLat0XO7yDdnEMc$;uw$O{o($E=9*Oeu%;}5x0;}OUJFnCJcg!H9?;Xf7KDQecoJn{WE~zBc zSjnEeGqyHB(yAEPC#JjBa4RJ4zszZlXDCSatc6faWO^SlqNAYQk^5Tl?MJ8SI#6br-6c*Y2oS9f(RC&<1@#f8<>EyiV@9UFE4@l~nLtl)-6nQ)Sc%Y2sJ85ZA z+(+#B#mGy`c>vx97aSFGok$j&{tDw0sNF5vz`?d#=^?*PTeBpI+%_I70Ew>jAJ^`) z8w2BMjLZzQ%msvMmyN&i+~IIZ;vZBTpzpz=(*h)Bi$2>yCjmeT$=D?p%w(wZZ?zDK zFgb`L@{~A9#7TCFDJWNVLi*NE2;~>mT>n2Uc`|(h~{djkMPxjmJYPSGyB+_@y zrK5(O3dg+^qw{sS3EFV6w{Jt&P&3NEF&Ug2=V+$>_3|9*=;+9xRv{}Pu8t*zlx7q+ z!)4EMyAaZfOa8-Fihm`2x_3Kvlrm3BiGRwV18qe1F~M1(;P~`yN%dZriKC3mwTcL$ zZ62lX5onR?4w@2sa$`NnE65Z{4l8?TH$7bUbSq+^5h ztG#rX#AS6KVyjaeca|;l3Rp}TuTr@Uq|5*CK_MDn{ZOH|2k9!=iM)L zh2y6S#ZH8HjPumib?UEw-8Fb^^Karwn-Bv71FseX=$&5)h8CKqk&{481mSuQ_~ z*lTsWQn&MjktaBzaiJ&eBR6hqKY^17)^Yg@noA z*K+qxh$qfude08$-qw6hX>9z0HDy?n?b(_7jtz^Je0~cZy+3Y;MB#mR>;1bs;`e-{ zi~D6wUJMb7KS+B2X6MpNtmrY&_A|buj&uT0m{XNZW^!WU)wQ*!)^(?+j=D&+7ysZ2 zp!3DvOJQLV4jc>&OH=nHB$A1@&7Gf*<(=w=Ey6Ko)ZTNTwL6-^#anF5KPe{`y-G+LEsELxl)N0 zgLZk3%m~Z&HZm?k!p10M&T1WSU{5b6r#V?%f|Aw-c&+LwxRt&~N2XLZ^%$$fWE|Y2 zY*jMs$J7OFwIkE&7rA+?pFQhzr=swp8k|*{e`WjQt;LHI=DKB*g;9>eGgY4V3!m-c zPHpESTwu-vF{C}XCJC7w7LbU-LuaG$qBSXjt49c;&<2L?d}}ta4i}R~hW?F)*rQNW zzJ1%eKXZ8@Ig+cPFr-xnz)L~6rQsDp+2o{Z45rMGawrEhnCl&(QE}?pBtO%*aa`bld`Jl7Mz1b_^$NOh(M4<4lX`GxSgXRiR$ z`tc2E^}h@Dat1s+Jn4MxS9@EazD@EdW6N6S>({SUi2$N>WI8aAJa?SE2Ie&(9>~B< z_2OV)YviVCq0}w1*aZf7kh{JA8ys?Noi2W)!}f7n7$-@M$>pB0vpb7ZH_+IY zbxqt~KT$NM)8X#2{E~v+V&cCS^|r>(QaX&uH<5Ip^iCyNo8p`oZ%Oy!zVQH-s~_Rf z^>^KfmoqN;o7MkAW&5u!+`i_63>RMt8ONQ%EI&!zn2W#c0fc2Bm#O(_ub!HkdTUF& zf|(=vnVPTIn1?Cue-T zIo9xe=Ngm`bV$c~we^Hy+y<^MPLs$CjXM+Kr*_z&&XK0|6vr}-LDQ(=ZB*!>=gnPO zsf88$_0OkY{vWp9Dyq(9X&XgCNHPf|xDyEOEU5Kfnjf0>T?5v2zh{q1!u2XyE_mtaH8tLJggJ!Zs3AJOE%F_+jRp}q)JeJ&IP9Ybt(?>SV^zjP7NCn^Dh5)MEqILE0RR=BK%&ftQm(!y~$8r#@X2!pkeTF0|Q+*P*70jD^;ha zA&3>XjR@X#b#=kPPp~>NWWYQd4F;g6ye6^1XG%FOAziO0rD?JDf-4pgi!oxg;pXyP zXUKqB66J&lbYq2Qv!2p{Aq8lQ8(+SKSs4E}gMD!S@@w~VU;izZQb4jyzkoF!BlH?zK@8?_TP;8FTWm5j!vk! zH>p($bjgY`MG;=0@S%og3(P!C#$+}TNwO<4e-`<857b7^`9&`PobBr7y73Z5RNd|iuC*RB00umSBeAr6HzJY1HMQd~>peHWn}&Km&~Hf8~N zvlR&APK|GX(cRhoP%$;JxR|(#_4Vs|!9-EHTyETK50E4dE%W)R6l!OJ*V6as#=3=d|_a(ojRkb zd`^xX%RIzjH+l-tJ|cAiLT41}oVcJ`Cr&eNQW^i>Q9S>Ht-O{F>ldlF^59$kuJ;hB z_KIatrt)KY2OjiYt82pOSGF8lE6FRJr*u)L40`z30j8v;VG$xfRZ3A%{iHB8N1(_5w@_lU(%40kEOv#rQEHK>ub>0E*IBs!TddUIL!vw7nk$VXvxW z3VIDF#3pG%l+^nEIKZQ~lxcnt@nEn|DBlcz*2)Jr9%*b-P4#;qvfQJ$a5WXxUUq{0 z(QrH+ftiQSNx;Y>AW;`lg#1Q#q;D3%0s1gIP{2k$^mGWNh+arHVVROdaN#F4XYLCy!B%{`ktK-DLJdj~&YE zpuf5Or~IEE?r#P&m7Vk4xWcWroe5)=Jh~AxApBVL(9TW!I1fVhr6qUR=1` z`Q0G4Zw*+}pp1J|xuIJsG9P!?|4c4LC_9ok-f_*@P%eVHY3~TS|0#g-a%5y(a)z1? zm1HrwbE8SuN;^L&IQgqik>&KC!Qkw`W$YK7acJjRLgz4xAZgrgaGNSmh;lrDX(MdE zhf4C7Nd6&Tq%=OR4v|LpM1T0VMwr^C2K=q`PJ(@9=ugV|26D4gbQ4=FX@nCY54!l( z1_5eoT=(-xCvUI-v-kH5DV4eWPq#rb59Y(|cvcYJ|v7t~BrdhS8F1Mi`PIfldE1Ibg zja_bqTmBQo#gS0yRaOTMky*+RGE@-Sv&BMs)|o9u&A2L0XyLq*E4iVZc*bl3ZnSj1 zJP!zBZR<^_zbmj9Ax4G*kVx*Nmot2e1lQP5Z^xhz@caT~{kmy^z~@pMVF{Yv47}=~ zq(hbVDE^~K-hSdS`q?-N@1|$4MemdTs7|qRy4vc8KVp~-^Yq=~&O(LZ6+01aP(NAhBCKf*&74Crv7+;?l{52t zJ<7862qO&!!jh6z!!y!ydjMtmvor8+7kUE&F?@D@?uGi7UxkX+N`Q2f?Ih8dSk{R{ za@$GnM|?6UcvIP@W+o$|dSjnm68xnc&AD7ftjZaj>%PpRear68}lo_{O*#kbFz(oFJB{ zz(heGFRr(`9y(d;!QaiD9)ZM?icHl+uP+p=o1Fkb1!0Yxxtgo8=uaQBZYY%Ue{E#Z z$jfv|uNUgaj`T#LO2vn5BVmEo^jMd_LKfuHLF!MTDJC>}mu#pEsom6Bymt2oDFGT^ zrehAp1g|EqDNN*zAx8q=p(ZgI(6eim$YA~Rv4*fHjos``n}kHXlc6_j_Me^Mg4fPd z;(W~p^q5cwBrTrOCWOU5aJdEf(EY+X=7=ShlODg7l4(ft9wsTG5VvLHMbCS+?_fGn z>D^zPt|NCHXJtuM19u?Ce2OIQea9Ji#WDa*1wbWvBk>f`TfFknxkU;QpQnpCbk;-d zJ_0s{+>!_C7Fwv9ka-$v|M7XJmii@YkSDTqM=)ioqyGr5;FmkofMm(zL)LA;>-IVx zg)qBoG@YJo0o=T`{rpLhJ_ktWBnUcTz>bMMst^f?NbG4dg=lM2QzaDqJF zsTpQ;)Vy10WLIPV;H)38PlMTkR=Pws8~xjpWjp&Qw%`A)JBx;1cX|7%b_2PMd@YLi zu~dcBg$I=+%YuIl)hSi5Y2Mvw;MVMxc&OQ7;d?cz;1N@9dx#VBf*{hU8U|&(PTKk9 z9nN9J#`d<$!tZLv z-kv3Q0xCIBsy3qjA&Qzd%{3gqH1_G93LzSt=I}d%sd03&^ujXLj|P4FO@u1JxN8>O z&nYyeD3aGt61}t%GHa`1j_SRrBn7weyQR?r;(9-OQx>ecorX zo#1_+aX}wMs=xLSr=_wf=u0Gicbns(Yupy@0_^UFz*?IT5)84Wl)`bNvC34XUrhP+ z%y@pqgPQHNZ@dI@jTT^&{8w7$-w8*y84OJjr?Ccu9KQ@3NpGE`XmlypGuqIzN5bPy z!dxxC)?}+I%4W(Nro-T(^AiI8Mbjk3Y;AJqkXvU1`xp@d9v02=pR3GoLzQOWq?$8Z z_L+a$asH-$Pl^Z0{`=Luzq+r4zCjvVh+}1xJBIpoG+xtD?IG5C(;0u1Cn+jH%0t!A zxn%KRf%2$fjhO71@2|A~XgYJ2`8-2|bT#EislWu-5$;{XSO`nZx7GWvOCR4tmJb%9 zM>6@jj)ikCJn`s#D*Q!&v zG`BoFvdmr;_PmztDJ!=W5}#u?#pDV6ED)HQFqwP!$J?hjoG$k|!sIWI+=OY|g|6fe4RWES z&iI}y4l6u#50V&6PxkL5pc^egFe=0UoEU&lm|@+5l92Fw_JGus9Xgi9ZA~qIUm5nL zdiwam*GvOBh0q3f3l;n=xg0(SVe;^q%z~nTe(f-OyvD?0vU26})SX?Z6`Z-Kx+>UR zMeA-a(pkYT4jr1MD_J>yY5}UO`wIo(8MN^iR97LQwpa53I|zm<4Z%iQ%3>!6o8tX4 zA80R}fxd3QtY3YJRxtehHDc!4BS8J&LNT~L<(S%bd@z0&K-$$ojzQJ=*5g@MK{Qs8 zW=ZN9LT1XNO+(q25uZ_cjcCy6yS{d#DL$}g%*)OfsfGpoBZMce zA>>lW5`rjrZ6d?Yja;$c7)PPvAnrJ5bh~R^Fde2Sd%KUj!8v@+dFe8sS;E3d&BdQE>4qD_Aw>{NN}4meTOd%)R7}O`#;?tKKI`8=&zTEn?m1d zSo4M!=|JoijVhJ9R_Q^w)L8%)DWlF8wJ!QAXR;bti=Jw83;TEVBJYS#^g%M@NU$`Y zdB)Nf=RvPoKfG=Kd>yJk1uu-VKGB+EdM)TqYd;^C5am zBYQoC9NLOInRq0cbDL2+S9F&?_?twu%4mioH&FLK9C-$&A0$-8q@=6>vpaAB+AN$x z%74>?79c&yG!jFpb2ivLgE8ZHN0TUqQ*r4WoX-K+3}8T2NN05$03H{A_=17azX?1h zm{mljghRV{EYw7DbynY1K4gJ)YTui`Dry*S^x?Jc&B>=ST!Xz~D%-NdmvYZx5k}24 ze9Ds+ZFpR${yI^n8Lb44?nurvZCo6r7-@ZHvXUWQUte$11iU#>@p7w&#w{B?QFj-I zh9C#)kIP+$KTViI<#6JvM8;=5duE9zN+Uot0^Il-R#nj189-Yhu8+lLowWse0y3)W zqj}lXb>N}hFCSfZ1xyXf**S-vJ)PS>BPoy}hGsI5roG$X@?@CiIyy)@ZaJ@U~=v zM_Mt%eEuoMUZS`0)VqHlX#N@q4eEP|>eNXRb?c9CKvYdb&3g#8fQtf3ec?VORSf|@ zShBVjA3v7={pl4Mldo910^r8sbZj>f_Zq)rfU!6n zX*I+}MCc1f43Frs>d9L_{9`j}fpjzt@y$IP$qJhmz?2C3o=Hq2ovNzI>_RCg(??Jx{$0<4fyd=AjH=fg zi~(pvYD|Y07Z(k$qzxf+D}ZK)Hnq1Dt{ez_SyS8;#$;3AcfOanUnpwlIFp1#9QlbT zPOtImTfI4%z1wShtI!ZGd|(sEk(}EX&RD}e8mBz!r-=i z(>JpS0ilvsj5>EUiS-)n!adO%ZXWP(&DXNm@l_TRH(^P0bPtU6zPV%qkbAB9@4iZ^B6s4}hfh4kQdI?DZW2^0K$Tx=-dsFsuLvf0HTD zbPrrgy^#gr)3)ju5_D=6F5*5YbUAigY>mh9cl+EClSFO~n19}Eycy8>i{RN$&Hw)rgKNH1vE z0t;ka9}s8NV&8wC+=o$3*y@X+hXow%VXhvv|LgxWDFe$VgOl|r{mL1%*En?0FaUez zRnAZ6DwZ8d?uA;G|DT1Un)zI95f4bp{mCPh{+O}B4|BYt(Ka)4q_gy2lE5_aaxKo+ zWdVwG(e7C+e$v(9a-Cr-sr=WyQpr2cAwbd}%>$a7`p*i1OgBYJ38%i_s-T(Ky zG2pei>A$#qgWS>(_pSNtoZ6E(G5HJs`)8U_`#!L@W_7krJd{G189%&MvI&Ti$U{+S z%Kk@^HQ=-mbx7p9ht4z|EevL>iTtAZ-a1hH|0r4&t`KRM#3#bucShUkPyc@+W9^^& zn>?F8Z=3Qeo)Nw3T(LQc-dIu`DWUg&OII_qrIQ_(_S6VAz(Ti%}1v)m+s z)d*#GBssFm9{5)%4415=qvAYC|9>>D>^d$37Q>|Cj1}dii%RbM0{af1y+Nc1Nih`# zEFKQFn_rMl&pl(k*d+YV0slN~>8z02&vGqMt&eCny@|tOF*m$^cgTxy z%uEk@3vGbtmmIKmnBt4GuH)ySh19n2^pufEwCxnHCm6n!P zfC)nu7fcUY%EJhrm9H4>e*lxwC@4wvKhYfwk#`EV{v!g(@^zpc}i#( zd*a&jX_ zzg=6jKH66Bax|BL*2f)xazKqT6qB z+ud@ULh|`{dRQZ(FtD(LwF&@@9GBYzY2L3;tHcC1f|`_3Nt&&k4t}RG2PKLaTe5gm zrr~k*IX@SdN&&L)0}0-Zh8FFxcvHgS@p~npTco2JYf}120VPMj7VD67DlIXMH`~A;|&Z7oFw~wB^gGYRsQ55(J zWcepF z&MJj>a_ICCT`PnlBM_tx1Pm24^y7o8S5WP~?N0uzT-Cb-nR~7Pi%tlN$P8@4Wl5V=~!r}LIf2_$7H=&WP z>Yf@o9T=6oYGQ@Abjq0hQ-IjaC+D9BDNW>-DYEDUsAk*DmJ-!BCy=atn5wzTQ0p1nIBy;uGQRObe=H;zt)Y~9X}_G237c`0;hTeUFEp}wNn=M1c@b zSTTTnE2kC|=^$N@bE;iDaTI)NEPd=gn^JTEG5ugK$g+PUF$T3GjGB6yGM`lL!g4y1Zp*g`SjlOZi+?ejREBQ$f;_ImYm;idRwx9Tdp zdS_<@Dfc*tpC%y0mE+IA7pK5V_t@EDu*Jps%X!1^LaAN(-KvYXJO#SH9GnJ3&FkGp zjkDr8p~q+M|9L1PgGE3H0}QH3aXglv99Kli$kRqWu0Z~;@UX+-;JN6M5ar1HF(~7- z(Htp%rzsnl)ubjjzPwv<{H0CF2lMzZt3#{f@CDj)fzQza@S}$It`e6Hf4DHKBJwVf z()rj&v)LAY5~1Tdw)SRSOe6CD9TWLNzC@(>&Q6OLI2Yg!klVX8xbGZkV;SJc*PR=S%TmngUaKVoBi}oAI!KqpMv{(3K|X-fLU5jvQy_3pn^X{cLC)m)TU&_v}H!_?0G* zsG(GTn(=K>mEk(o5C#HI->t-g4K7xPCq9JSa?mP{d?Fzd78R{r`qHN=1DNUoJY|V< zLh2vzDd{`hO`=sIEHKhx2m>6dpliM- zl((L;E_^&za+~KjxR>imvPGhyG?$lZBAq$a&Jo`J{`dp1Bs`+l6{u@Vnn#0afA-EU z{leW?NIeQF>^n0vGoy{X03K2m6E8dp6g-tl6L6++tE-Y)r*L~q!r*pWEI-VjJ0w9+ zDvS{0xdP-#I?RoP`H>--Y2Qn}IL@*6&C{g`(xY6Ji7Vf=;1yNHdv{gcbw!$yhpe&E zYn!lADltadCi3Ss0Zw&$|H}_RuPG&)Du`pfVeD${!rJN+rM!~LBQTMUlJPp+)TLCP z6kk}81m}t7%=Tg8UClv48D)JJRA^Qnvx{t!71JeYqx;5wlE_4HOFGQZI=wMnsvBbf9Syjq%399 zpE1~T0wN>sfWLKNPWr;Cx<*;_YsX76LX;n>GUKednh*%L=58)+<0 zLN8)>%zqo>?@Z58AK(kqc0W0s^v;`Xe-&y3MwBj+iid{iGF*CaATmAK^-vd-zV%ga zTKb^=(mG1dcZ>|zHv=4}ObyzQ3BN5dd6&C|<{Y z0&j4}c)CE(9D;!D*Da1YTV#t$OzG-{tDE3Kb%aPI_vx>*FtKE}gY%3a)BbE&HCTtu zdr)n1_KFHjo&UcXl2q_>b=&MH=veeIHnTn2$Ph(q-3zZNPmwHNNEWCkQJ=5hRO8K{ zhoVJwDP=?Ab8y9GX!I;SaW+0+%?xil8^Liqo`(Bzcpp>Q~LTTTZnI<9Ly6R z84_^8Y1EfqFG^f4B`r8rdSv^JojtaD1nK1fRqf6;?XPhPcY{mOz9RmW|Lk3bISZ^3A(?-R*R%_#29Z7FL>te*Aa=LEAq|@+NK@G#ur`on0-Pp5|AM%A}QX1FJ>_ z0`ONEax9eVMcFc_iDPgVZ#CxVRFv%uAWu=A3MscC z*|D?wi&|$){9J%jeo-YjELA=WFo2 zU(Z)Jk&J5?GZBs_12`SDB6{uPXbiw=m}q%WeY5{rxbWbh1@S6=9%ifKh=}rdy~zwAqrcPaoZT2|#{A$zZAv8G5_A^2&kIhUZMN%3$d@`DP96V-0IP4^oEy z#_DKSZK*{eZh;&I^*P-4{Vd{Ro+%w=&=A+P<4%B(EW+w_nAkKG$B>R=Qx>WtDf4_i z74ydZsjzH{OGZJ}Fs^GC^-$u1oP*j!#go*25X5NhE?ps#rDq-+f``f<0*}WiqC~e? z^Vg6K=H^@+!VCQK%a!qYjfzDqJ^ROhvbNiv7w}sB3grMOR35+xq$pgb2Wqxkb?HlDVO*63zToJ!1PEk*!Phqk^|+O zvpsPFt(tOj{=ycDS`SyXms$~pMo;fUWKu{Iz{B2GJfN8asdu17SLg)Pd?(-(|02f( zVbwsN6M*M#x&dF0%mQEQn<`NPV{V3rxg}fWT?WH-DzVTw9`PgAcGJ2eklM{Q^IXOp z)ekr9asRI~lJI-|wt8M1RBWH$@^^gn{XVc{#{ znzeM>FX=Vnm2vKyo_3S@pNV?91 zfo306J-0$R@hZRMmLqOc;WC(4DDUbBa?PgHA!;5PbC5Mdt`!Cx1hwow59phhC?A1LAQ8l1eiK z)$oDvuST}AUR@#Tm+}&vKhBhLS8wrPLfOLYyfn+^hQjs6Iiv|C6FeMyY|kZJp1Gs3N_P^#8@$U6p%mb~7k5PRd`aex#ZffJhOW%QYIpJe7X!K92 zcBbo=naN$X`NAkkG>VZdHAM@oWnaQ;?>>r-p5^xozvawzsB8)#^p%N=NB}1PR_lxH z&SsUy6&RHsgNhlx(QpyLo8dm^FJvx+n;nCyfQ2DaWB+UOQadBisbFlfK5K8orr6*YSRK(t5!-y=PtVi79M&wutJYE79r)YNBoS7! zfMo0n%Z8GK8B}V$YC)ht;MA*HAz<1f{R4rFg(+pj;jD$8B&1K1x3J9f`|VxDXoFGb zn@6BwQvxyO5+v_Mr^waN$fN&~_nTOJ&HRCs=f#auSkTHcNSB>v@2^xDW`#z7s0;qK z4m|7^w$ilblq&wxD2-D4-ql{R6$4;y18Hsd5P`Z8hr9KZQnwu_~h3ID&UzR zSqQ|@P*))_^VKwSLUN876s67)v{{S;CY@Xf zab@tnoa+?ju>N*r${5)AnIlX!QkD%Y4_U{9>TKsv^@C;7&nHO_>dJu}sw$qVs-1>J z$LV&g6N(Cmf7ZE;vC|H7A{>6>HAt-w^dgqCUQPiqChm8~I9!AJD$6DXyr<^}Ruz`_ zm`(Rjd1YB-U&&f=+-PYO@*G~+n4jnPUi+r#TuR{=jb|j6*`_l|vyY#Ycb*iS0Um}j z7DH2UptR!t%tLd2Q2cy#Fn{dPGtxN5*<108VoVgmGvlLl7H$YqcXfP_vHM1XzxFW{eat4 z)vQ7aw)I)Rp*2N-TY+?A;WMBp2Y=1R{p8D=t_w=2h1vS*)ZLJ*b>oh9x5riYrLYpt z%9%I|sv11|%Pb+E16IC}=*Q53m3Z2|W4-YE@3EV5CmXT8j_t^hF9tN|>1+n85LeLj zf%|811AC#Lc`fd%W#03IIkwY5(Gt_tgiNlQ&Aube6-1awq*aBJLL97V7BWIMqM-{H z03MG{@jly%h<~guL-}VtU*}Chu9mAyoOl@PnyS_XK_Yg62B4=p?fGyeNZ;ND!2%@( zOk>binsGJ1f4g>*6m1P&F9_-4=4L%$oy#~N8xGQYoxrRH7CLT?=d(SG&mBWoel9N; z+0AC8_ZXTykrWRyuee!hMaO_a^7F&iCDRLlfML+5trh`>~hjVxQtd(ETt-#Y7gBi7`saF_@ zKMFo3Ph_;D9BIy9?5oPS-%&S|1q!0nsI}-FK8y(tMY8in$H}xRo}cq7prC3&*mNYn zbhJtcri>4%2$03d-{UX3WFmaZHO;enHO!OESX#Fx=Iu*!r$7e8(@)JwQI94; zy)bq)WfLvP8e|6QQm;Mec==0pwacXHg}}CFKnF{9tSna?qe@B8!wwU0>i8j^U!6pB zQg;ctwjO6XDg@fj6tY8&i{jf90iVGFlr2FFpQL9?Fo<>!7~rNqZ&3GrywCBO+Wh?8 zDmUg~6sdz_kR4isY3uwVepc$_^UDar8MEeUr`IFiXEtQ!2mYzJNSgl<53t71^H}ff z3>->x9*+kOhD;Fh6><}5c!0J9@bZu7fF*+qU58Zm#2+wbh7R2o^VukZyQnN@Notp4 zU7w!1W%KRKzWvV*p3^U9C5^~fKK8z;osLLb)WwjGY~=y15bVzEI~zA8bBH3HrI6*p zKST!BWmKP*ebtYI@YJL?$aap1QnWi*iEYs$3*f9fWXBm`8>zbkYzGv1JXdOHJ0OX1 zz4uFChB#j09SXWOCcAgc`vX}f5UZQr+)S5(txZ8rC_AUE0-AgY2gp2D^bQ@Uy*F}t#86Uez}IaqSS2+sRg<)5T7GE|mH2oVq#8%f;lM#B?5|ev z`D$qAo_}?h^la*D^N+S7`m?3@k3y`pDs`MS!7{weuT9;rLk9A>$ZlqcXjD$2q<~ft z1RqT=dCN$dnBaLbtCdkRwzi1a6&Qg`>u;aOu(n=coOrN=B=-LlaY2yz*=r$2aYeV*(4#G^Glh2R zBEm^#i7PXbZV1=k3NCclQ3-0Mx-@Dgvw-Rd3WllxB}hCVbJO^M4<8~@aOP!&&iINy zL4)k)j8Kn)aIMk9Oy!l`X1ZYEGcmp>d-s}Fj)T4yXVbHVyV_}eb@R^DMUonlPzvKT z+mGyu$vv5jstnH2ufSPJy-WL?ut?$~h7FzmgdTk$H;LLuU_CaP!L0glRIE@)t?+?2 za=Alm%$}4H?vy0?gG=}_L4RAbSXqZ0@FG#AWv7XF8?0R4rA}LmC&wF>ul;x2&vSC1 z6|Z1ujd8e{(zcp2I}iy^^*z>4cD&aW>nS^XojMbjHSUjm_E#Pk&ysx` zwa0KbNyNz%)&WJiv#&C`Gg*lK8L6z8Foxag&zAaq;xUxjo)j9c`<*U7VSH=iwv$CH zKDbBJZ_h2ZaT*KgI)!w5QAgo!8;}SgvO=kwXr#qB%|s!R0KM5TL&iSYu5)3T88q(g(=hsI=E1IJ@W0TjvJE zu&Hp?PQkaV!8(>4)i6Fk%^=ObVdIb9l2JUbSO?2VqnyBoZ0hoIv!fsT9Q$%GNNlBU zs~d(ojKi$F#?2QP7h}7Iq}?)mHZTk#f3m^!Zh0WZs_6pVIl#rVI~Y;KN423QZ3I}q z>PqJ-@rO(8zzE}3j24ctBfVJ&Lj*QO8>DVjDU#nAG6ksjgMIZ5Q8ffNJ~HVaYG8DK z36A2h+~)mCDRcj^v!d$cp&_ux#T)*iS#Xb&7mq8ABq+JIJZzUXyhJm;T%wM-Qn0S3 ziU@YqWmGG1uc}`|nr!_xOz=l`j88m8y<}JSqK;2WM4Z6~-|$XFQl|(VeK`-`Qm~j5B2ArjRFb*yq^NfV~j-3T!O1#?w9m7@2j<@8W}O3hGi*jW}U8 zC@V0xX*l`7$abe&^jLVFVv&>dLfc8AKjX_<8FbDt>VW~AOk0aTxjpYkDOzzXyw+KP zXzQDEdE)jnW159w5ImAg44sEe3BD~-I#0zi0SKN$kW{t)Z$OLglhYU8_Webi3Iy#9 ztAby@G{O}IS!IZ%d(A@WcwjwGAnvS=5oo_?@-)y)jzA3aH+ZK)6@mV$l%2TJ@Q<+X ziFNsxN{(z%vj!@siD~pMEi$v7&zbn#GNHOaPGP8ri_#9;icscJ@c`G*gQb23)$;OF z51YykjFWjyYRw3MEFMvBH~vIM!}l|B1Z9JUD*DlUY_tK|!73CETbSk8d1aA-bV*Nc zYWy4sZrqL-gEIrz*&n$r(Vxf8xtrE``br?jYa1}kK)KDU53y%@6m zo!`s9pL}TRW}T97`f>2;S4?UdUT?wysp&@3CZZ=n1qi9BQya~2V^gyvFYWygB7*<- zxK&L+nj+T=eNUgDu^&ohhW6)EUky+l%vZw8zH32J6}vemc38iHJ;}QHpk3r`xVdnw`#S{M=i@Xl9n`&?9WLj ziP*068%kN$Dru+s==&cw5!$-=hM4zhH;+9Aq=|z!A5AC3XzHXo&ERj%z73#sMQc`b z3#&(%SyJ*Cg?dxBk6TAB{mx|5o#e^o=U=Iuk7tK|^G?=Z>gQ40;8q+`U6W!nT)&&V zu4;ei4(V>76xsc=ztiV(MS)jQCM}sXWH}nfrkxVwibRO(#*?T#HL+X9SolcnC)IdU-w}n-BshWezl0^9_N{NEm?h!2>HNMS< zy?FaZNg6|p%pf29G)OeU4UZr@HlyD0BG8Sxz|n8f^YW4r$YwysObVaU+RSc}x{{P> z{~6e|ncmqX!tG3SdL>9kQ9}P{(K-w*e+)a##x!bZz|HBIQAneY*(hKJ2``h%YI>+f zDm(S4v$rfwze4`_c8}gq?ZozywA?0rb+JE*Ww7CLPTV+y9gSx_Yd6uadaUZ>({UW= z;g;8_fhe!Cg*=1KhL+-Vo5ZJUC}6Qwq-=i+bTT-lRCrXQYRsYjvXlyg8cigTbwAv_ zz$ixGrA-D-FJb&BRqbpvUEtYkEc%;?9ID$RW?Q#_O|Ggwjlbk%3d&>cKTps#PSjHu zPanb5!4b_o5It0klP~@wXO+JDx-aX4s(v@tdtS1ik5;jO-)ihZ_D3@2u!Y!v4oWX- z>km9JxyEJiA9?Y~m0AbgA9u_q+nc_YwQQJDiF#Zhk<2p9mYx@CRO?7;JSCX>5LWP( zM;?4#LFxyha3fZ_4{pSdtcaJg*?ux7i}u5j8uT7Mlle||-pmesd%u+?WPmLasif6F zJh)+WC-GaQ451#j`*33ml_F$VK5l8MF!@F$u5Sdetv*ql6UD3}O`dSRMNdRAH(>{GIH6{3O^XALR;d#@u|mYVjdPb5<+O ziO(GWH-akHg4xQ@$)z{~3^s{`5n=s4(nD(qNwVp3a&hPpxXvZHz<`IE2m{O@>qO%bQN(z>LL1#OTkTj!mWc(a5n^A*E^m|yx7u42T4pqVh)ThL*)Wvue; zpe;)qO5@`NvP{g#qB#(4Jf*7eFJj2XzZVIOAm^H66Zfr)2~P8=A|Q!hf3SF$4HhMi zKWcojX~cWV_nde?k7{+W(O8l|oUdR-XiqhjIA^B%i+Wt)(6 zki-g=8@?RCW zZR_cZOEV7dn~Aj=tkyRfr6~26{UzXfsUrQ=*1zFbm@j@ep59i%Tnnp#UsgYkC^H5$X6UF0&aZiKn@Tad$_;F9G$jf>Jc9weW2>BI&+FZpypnCZr?HU$c>#!FLNBizli%(^;x2-;l?QcRPte z-Re6XPAPAehX?K`Tfh0uxLs8+r}naUbv2YGP9!F8Y4P3D7a0_kasJ#7TTA4K-=lY% zy(-xrL@0Bf7(Vq5E!CuQHXvqF{hPD+gqxT_J`%ZEjvVk&b|Z#490ENUyZ-N=ar;FN z>o*0hNME;?2z)vkHyT8OFAgW?nxTod1NJ!)>rdSQI&$S&)wC{f6w8+;1(Pl;vV86f#J3An|*$SjC$5B0e za3*Kq60&>ear&3Qt>pjE^^Vbzc)_=5$C=p1WHPaB+qP}n$%KZ&iEZ1qUeE9U z-dk_Id+*2Y552n1soJ$`*Ex0G=)4j6>Ij#!^Ys_q!Xf^MvX5Jnv5n3&@W>vhNE}AB zv@-=xS9MFPCItL*84lRO?m*4pgndPj*3fl)wdX@wa!D<-wan>;CLO3imLbl&dr3)? zmKQGMkusW zDmI!aB^r@`J4cq-KWBVdJFFDse(%!N5}c{`VG*E| z8Nim@vk71U3tzjoy9M5iRAT#Mc+O9dRv+07mrWW!-%pvJ-<{6}cfME~$F$fgk$Cxr z!X2%0l&C?b;_YpuaC@(M8jsgJhCF(j@7O#3{JCw#dB~I_QM{!lRFqoI*8Z=yAk(-v zp>83?XSy}n z8B)Luy{F`syVS#fsW5p{QL(UmdFM%2F+?q zkdN=!9~YE)bcES1H)a1H=TA{W3bSV)A3K#+!2AxDPg!vG6*BGP1SCk6PkteBA`OkX zN@9BNKkk|ej}36~#_AytK}mRHxPv4&m=I9=xEt>ND}!LZ zv?~WAnNF6@a^koAtnO&-$Hq=+?MFcka!~Y2kFZ`o+prs1q9LZhBVrsw#872|aU%$x zra=HP%l1InSZof^rHfXlmrY_XrJ2(+FjINFsH-|nPV~ZRqFycShmNtFtwr)z1td!S zS-+#n&ze+~niO+HSBkwg9S++gWM{4-^=v>V>KeO&Z(cSF<9e`%^{mS`(S01X;>m@6 zSM&cyv+SZt!f?6zK*r*{fl9irQVIt=!KTim((P75{nUL}Jd#PnS68Iu*K&`l0riD* zbc1uHg6?uXO9OU)&GQ2Bb<@`6fR;2nL6ssC-rdlE(__u0ONad*1IpZY)%s2(PkrOw zqzl_~^R=dH|USuC1CH zfRq}OuIFEp*H6=w1WggKP$_(D1(n0Lr{`|WhvajsoWc_2ad-6;fgSm!p>M?prt0>C zEI)9LDL{o>@cIb>!!?rIycvTJM_p@^twZv((q({AschBEpje&JHn#%+F(RD@`m^G+ z@&GqF=S9-AbT_G-KwSM|knHNtt9TO=`QM@#|Eo9k9aZtiKEIy=b(~dxvO01_F2>C~ z27Z|ZuiiNf@v$U(0oJbvy&LvAdj2I9tAoWH^I!B(LMek$p*VKVemUH9o?ecY9aDAL z$xA<>D#Y6wC|7-eOd1V)I9nX9*x2I(-Sq@B!?*2y_iO=#(Asuz4U){Z^+~74M91sn z4%pprK-WbEHF4(CzkqtJE0M3~i9O>23t@R}iTyz)`b}bPm@rG0Kq2!X|^6e0&EMyslKt z!&KQP5-IjbkXQ1htP7&s|0EKU(3o@^kcz*ob&pV)9L5)O7{*oz(`a7_V9ejM2fkF4 z#5IOiGH{fq!zNTQ+N#>)Mx_uXTWjkiD5e?kPj73icmoNaOnfW4^(IrkuM?d9gj~Cc zwG1!!3+oOZ^Am*<#r|xo6}7+&AwVEJn;aK6dAfBpD7*xVe<8GJ4l>m7>`hL5mO`Ed z55|Q69$+*MLPO6ueg$8x=*5-@$kFp@eylRbH`HN3SKw^4~drSIBB-pIr z2ngpQQ#|^td3;nmZidJyve1Bb!TZDORjSAdEpnd4P{aK6ZN|I!ADjp(2-`M6u!|Gv zf=@X-T zddbXv4->)Uo8Yn%w)a_E!{q{Ba~<%)8)9H5 zC^l{Ow|MsPa>C3dGtLtyPhGqPFhpo<2^@Qt-J;idchxO|*N;H3*54HF7&V$;;fRbj z(`(FMEyeo~=WP@ZW~M*&`&pbms2ne@+BH{16EM=K$C#nckQ*Dd+)Y~)E}tjn*30O? zX8R!X)zvCl1YHW+Auv!Ck+|pE70*1?A1`?%oJaV+(mN^Ywq2L@SLx}t-lV@BBFo7B z7hr_*7~)4f9s*Aa9-^`dO;JqqmF+FEnIbHfc}#>viw^Uo*GXy{*ylt$RUfQ;VbNz{xC<@ zWcK&WUPPNpa7U_Xo2zgf=MVKZJ_8DUf-bkOO5j9^rZKl!U?ifnOw^N}FosYl(5OPX z`PfX@-IVnZv)-t^4MO`hiigT1W?GaXB~6g}b@3~ZSNf0gF6s~*g|6I^u3`G1Uc|_Y z2|zH;7-r+_XP4{=)s2;4cP0__b)Zo&t-*H9gA|kEF(-DQ>suDJJU@h%{%I{z z;_iRdX#L1U6aV@|Wbm9X3Y9?Q%Cr+~DwjO=NLd$O7J-UxFe4F!@v+$y`+Kc5qF73S?!RdP)(thb>+(%T$~GDvdi^Z^(}OVqeBkhqmnI2BZP^*Xod3_ zJ)w$$Vp;Z@NT=GWqud0xRdJ!3wy@WH30m)Df)c_kW;&unG4?1o;Uu<%*+j0tXoP6| zKZyS#XLEQ8GJ=Kpt zryA>-zBwTHIGAy_Q|b%JYkw?Yj!^HMdH{-&Lx40eXB+;w9Pvoe2rlh3;paG`<)zYD z{a6W$_StabADsUbHTZYsU?_$o%wSH$VXxay=?Y5~A!Ds=E8#RrreU4idR_#?RZp;y z1aXkfzCflrf+QJhA%Rv)aG~4_)3SQ_@~Jio<6l-5CfvYw6$k;|y}j$?$o8 zxvhczQVI?@gA*5_X$A*@>)VkS{BG=Jci*d{(JGv=Vx&p)!3@@MC7&FsJ!$hTw@b`^ z@$cRGEV1F7-q2F-7w-mNp{*G-P$I4Xg;CJt#@Vt<=4DZLLe9a}0)Lt*tL*!gcB0HF zn52*oY)?yG3Y^ew_zWWt{>U|ty9W2oT* zHKD-@(hA#IlSqf91IU^@gEx%@#)E9dR1L;$!yl-Z=4WQ$vsRJcj0X7pG_h3@mR=-U zY8pU~;kd5FNe?Dh^R;pG5ECK6^Kp20jZ#Po5r&Ienj|tgj_3v|!z2zg_IjtB$1e z;S<^1@PbhYYi+A%cysJVD;`|E^8~vy*JOhWYm^2;LHWYVWuox0PDwR!RsCal{oPb| zeS3OP^90e>wJB~!3lX{P%ivOY1nH@0Z|3sSCK~GYWb0U+PR(Ix*>W@`)xgT)UIV* z=eF=81OR-ZA`Wkb>*86*WF@dd^Dx-chv2VNKj>0SV0A8%d_@s&=wqKxvd?ePuI4S#>Wp7z-h7(oz18KHbkul ziJSSGo-r(WnqXGipxo}-((urPIM?27=R{6}Sta=Ws-=rmt`$Ck4a5BKFnT+cB)TX% zKuV5CXsaD;z2V|locBSIV?|j}fNX6^>;D}fVC&sn_+vdV&031FKw%nvo&cTBzoG_W zel({9Z3@nsUus@KVmT_BWp-`8>J!H(6QWU4s!)>P?`e*s*F4O3eI3Fm(e7t0;~*ot z>NqO&=4EPyf%FgK=IcK@t6xeL@fM?_$*lo*js<@yHr-qL$6X!c@nqHlV{fb7%lg9Z z*KY=XW*VM%=9#fhk-c{9zB6?aLhBYuKAyNbJt-zoN}^JtRTzotV)?tAy&rFqe(H`e zPg6+D>xoAG(+T_-DBr6L)59a;Vp``~k?@!EDXeaka`PfN|zVYY_HL*zV`_;)DO=i??olTNv_a81j|$15FTEZV?Pmod;xo2;cdx+JN01GNCsW>z+Qo zG>-E+)dkYJG^InHoJVau^>t9I_>B?hcfKBerpw)MYmk9IG;*}?*RT81D{xn6L9OKn zh@r}UAfZ`4P{%N{&h_w7=(UNx77IziRZZ_u@5G0|Ay~GuXQ10$W#38*7&KOKhv)aZ zU>-v09(2c}vVY@STn}^0-Ro`E!@x0b5w~!m>o5l_8C$|Thm%-; zjhH`K-0T?- z@h!sN2DV8s}He1^o?D6gB%A)0H$Eu-FK>2q4(yGVhaq z#*{Aa?76-%|kj?Vi0i- zQ4hSLn6fJMgFgp2_XcPpNod}Ea@FE36a6P3e=?HKAMwgp@GaZ%t@uc#5+K|Rfr3QI zX-yW-M=5?m=`J|R3? zauNR)E=aIHXGENw6DIr%s&H87g+kuqRnHoh8DI`F9njKr1wWm9-X5ERVf;Nx9lp2Q z$ln2RO_G$PCK!e;l@HoizaFiE$f(g<53OFfdQ8gH$v4V1xM+D@R$epdL~vfWd9;mW zR*!g`?<>lPu@Q2|bzOJ^=0Bs#eZ!oBXnGuPAEM`oX5tv0W$s0e4s<0l6 zec;q5km5;wCEYCO)Nzfx$&{^ML}1iC5=L(RueC2&FUp@3ZR&o-6T0BXWpjI^(7Tt! zs0!8`RA1KX2&TRSYQ1*Yn=WHT+R=nvVL9&ol&T?;u<5hg;TDHZ_96bQj+e8_@)asb zAhP0vhmXQejyTiM9Bw*^9sNRD{!2~LeA7SYys&%b_DdX0Vfv&k^T-bIj1^G4iEky6R`XIj;|nykbqa}%6ZaKqr?hM(%wlA7 zo@Uc@HiuVT*Uz?{j!uHV`g9tjFlLkk|)t?*tQaR|3s z(ixdlT|!Y~XECdvaYkr}Qr#+qWFdKZhK!kh6pLk#i_sSPM&FNc*b(P4J&`&2L!mUb zwi@0*7Nr8vbFZSL{_-VRHYlCIg8&ag&P7SB{ho{J-zU~|{8IYo!(IxHLU6!t1fCn4u|tOyO!J>XbL@ab8p0M$C{kraOvj zR%f>V=L}}y;0s|m1e)|*;P91;LfXq&CXLO?dRsjT(kb`wH(V~lPMIwa>FPk@^r$29 zH=JB|#xeEqt)E#&68fL~)sf>C+3w_1e^4RJyfAd^+X`M%QSO?Hx-Zn+L33Ba3zNIa z2?c)!LUInq(L6!^xA7Q~HXwxtAPX>otDtGSmH84fKIZ;xp}n5Q;A4c7LwUISm9&>9 zO1gIt1rmbCZIpBh9;#!J+FR6`j_wNx&uv@g(+tFjV$~e@rM4qUgU{Lb7pQx zUMb;5l(Ld@^-^D$H;HEL-^eFXN!*#de)5AHP1)V|Sx8m?74G_XT#aJOE4P4Y zJjoqq&@(5x>KI5x4f(?D#lNkL=T}x-p#2Yq<|Sxb!;)h!#sC{3bFzh8Rh)Nm?6|!k z-sE&kG}~Nm?5Jd>&OaCZ|C(<{{iSt`p}D5$X#;rBo=!dq4hX;>OM^pLiN41Y+3{UH z&D!-&4Ke`Vq>huNqn}jYfg5R|zzdva6UdYoruOW(IA*tozyzgd&~{tl5|sdvhcvU0 zaSmSv{ZHeNtZIZPQVD`+Ou_cX2pLb{k;-R2if64fFl+t+B|~|rsl~tY;_d1y(Y`tu z8uzSLwhBtT$WC)rcDoBIS&kl(q+O9=2-_mNL^dUS$77Isete7ixTmg!9}Hjm(&fd> z#MUfOd0@sG>h0tedfjzcHwSnS`^`Me`uMB$<7j(`MwnU1kXZJ$DNW~6Rn}G+}(h0DjU{R+hDGLDt?Gl#m0^dqO4#XZ~w@%CsAlwtA5On zNkNAn@NG%^z;|pRs!p*Bukx@z+jiOpJr!=vjab98 zLgBRfRv;M_H_>y0XWa{+YyT_CWmc~U1Z1RK?#54+?`VYQUX^eq)gInsA{N)uUwk-B zm)I_~&Pa>nRy^!g?FNGAE4k!z_q+cl3vd#!MO576^?c-4kz!IVZlP{rloW>}HXLMN zEYHj%ySsp1f=}T`*Zh5^qZ{UH82Yc4CP4OST``TnxOae7tLS(zvXk0YS9Jo*Bmd6^ zhe{Zv9Ud$asL~{sY3ywZR@uW%@{2uLinbVctyr;)`&bKe0v|bE{-?#*gGbAt%Nv@n z3+hHgp;98R^r+o#;1rmx9N;7K5rs!{Jj8nVHPJkrC;fh?Tkl*5#W%lD?GQKzz?XSe z8H6js(RRLe6w$o1emonI@ITZJ-UZoQ2Jc2Y1QARRV{A_g42Vv^d-le9NsswCKnC{e z8^g9_bEyER0XT|BYcv)?X^Z!Po;q`c!~?}`=N7QiW!4w#ITLwbE}F9y2i#PQ<$@1b z>*JzhPkRshINXP@1=@nKy7N_N2-&FV@AuTa6&Xf7rBnN32)g!pA7!xaW`C&pQ>2ZM zG3l#p8G;QgMF6gA3ptU~zd{^rw~(bocqqg3_VhOA+@NoqJ*jnfj}N&>#8k=>VI~ix z43SvU`pnhYD#q`yoXt)T6K_Qyc28$9U+pO_WZoirNS^h(XJnAt!ff^@KFS0>Mf>J4 z?wUGkL3x&ieSH+PX6kK@2WfXtjxTiU2F`^Md4$Wu{sjmr?2}XROde{bn$GFINB&dP zH4%th=s8dP<2)UMGGT3>ev`igJ922%4`*1S;S(KuC(eql5>L2`M`q9x&?HU6$U*Sx z35Z~TrE(x6x%0`i_si?SNPydE8G1JA+AY~Jk6ZJpZwIQ`w|EP@W9eB<^kPEi_`w%m zA@`571~9 zhJXw6=lmCKbf6j4Dh3Goy44wt!r<-TbrXNl;eX=#A8k&pi(Y)(B_DkbB1sBp*N$3?BLemktFb!hJ9I*u(5mL!6EjRpttI_lMM z>ub3g&zJe#!O?0Z{1D%ZY!+LaZEK`WN!Hrs-h&>&k^_d=N|sg)$8@4F{AGh^mzn6a zmvuts*N{p+G7gE^;;0#IZ|#`i-qM!bAnDqrn)wzK^TL9JE%ZCHun5S1alrTNf*!{Q zF-b1DsV%$nlE6r!^?i1&Uaht@$@qMZn+iHZgK)6KqnTP-LTUeGv(^K^DbqMy9P&q> zOz&G)Anvh`DVZRmh4;KYry@QDevXCG9LZiF_8RE-Q)$Ki70~vJzxb(&XXAKh5wL!+ zt`-=(tAdA%jLsTV9bFA2CkDf5kh3-R-4D+x0(?D{4?4Q%xPeQ7<}qL$diqj7`Bhm? z+q^I>p&C>i;l}=Lm372pRbPFkj?-R#cocLPic-ZTRu6`F#*+L^pvT6a`M9mSu)G2a zPk$x$1k0Od21?bY#ohTF5PS=+aP$7j-!_N!QS5s zu79Wpb=H?7J)67DcomfvzJch$slx+7iC!A+66z-4+rtfr$mt@ShXX+_7H9J%tp|FB zy&^C^kv1@}$o!y%BCrLngpglFb!xYnGs)mlse_pXer!njxZl$3RyUbA>>8X~G>hO9 zcL$Yoo$iEf`3C4+fT`??Q)C7Vk8S~0F@sIzjc%oaEGM`?7Mc2;qbvU&4ZK3|^@obQ zuFm4JRQh)OcH5;kV2t!HT?U=9n6DYX2}F(hVk6!_GdBT;C$!)jaGzFP0+hhZN67vz zku;s=C$VHm1ScO+irT?Vbf0WZeD~WhmB zNT=n=lxPuH#frYHUg7D`#3u(#7k}>N_^YMy*p=nXA-b+z$3c-Q^Kgva&gK;{HYY75 zHFvev;p^ISnpes6v`7l3e+N~+vzy;>#1kYe5i4k(znPQb^t}u?{3U9md{6sw1b{96 z8bJH!pKwkAZvx&7iSCrqIbg#MQ&+qXn!dqpX)|IK|AYj{>1a@p+_GN2Z|;1PgTu$A z1r%|cLBdm=Ua^u9MT?|IHf=_4E%9@@mxOOUW;r3d7HGKj@D&*VNw`TcwnbODwhn*d zXH3M(2B%Nl(_NPcX(jxw%Cn?3OlHK0q>;f@M}AS}Z+G(0I|3dx*jG;+&N_rUu(Zbq ze_5Ceo^M~^O623+S57qSW>N#Mg2YExj7y}RB!9AtI3mgN2NdB!fq8t%hj-akgXznN zku-vq6rJ__6#Cxcn$L2&C86pVkX7uB>?zZIAw2yZjfngN@6}zpA{+}y5DePPy)M^t zTzi~etIi-~gcZcriC%yIV+j6>W>qzzb;51Qul(uX*E*aBetXq6aHa7n*(iYPn2V&>>b0GgW$AuLE3XIs@@yefzO-a|m^JcvpAZ$vg` z)|>=Uu!^@sJ*VS(30o8Xk?LG#J6r&HTY|#B{)Os}3XEGr3Jvnk;uC_#`LqPjNhF`cfy#9Q@1*wB%um)ogN4bJ56F#46lDI?5>-AXFcgVe<7yE zy?fy3SE|6P78rc|L*chvdpN3*bd-UsqdAXOEjQ8B=jFPVh)OzV{%7S2I&ECWk3$=G zwao@j4Zphx(nW_m@cyZzuUk3&gOLA8Gsf;H=I?P~Ht%dkwRe^qhrm%Z#y2sjcX1pQ z7eW7~=y-3C5h!`k9B!T$M<`GXXAFM$*Hc}#BV|E){`~!x(Oqt0aX9GgV)HxV{Tn13 zPyQsACq;V>ID_Jv@Lx1eYWc< z{LL@LyeWm|9Z4wr>;S=Q%nRqlc@+{~vLw-=OBe_!{@=0klL6Y@dS4-oMx#YRKH-#c z;Ugs-&b!meJvqVMPM8kgqI7)Ta4%0h_#`5}Nc0*)0I1_P;K~Pfe*S-5MVvx`B(KZz z=4Xt%i^Zvn8w%j*H=Ac8?%<_Zc63g)m_nby{UjmJW?%K{J}m6&G@%J>bZF@+;t_n; zTK7jr&HWopIp@+0I@t1e&T*nqS zmmuy3J?*V0?Ii;v_ay)~WyVB8bxHS<={j{46OjU^^O z@<+)*)5P%v$?nyL5X1DDG_$5fq3w(fN_Ag4Pb)Y6eslMso&o#(l1tK7*vpWFn#EP| zne`21IbHi=%-%_cA{*J`B}_FmD&hP`#0?aUwY0YH1D_jwN7_IBdR#q@)n7AsQd;;< zLz=3CQM9dsmI7aGSE#=JG1~-zG6Ig5ItJuF=iz_tIAyt_WJ!1 z!pZz>mJ2^x)BEM4Zu(gUaU>bmdw&v((b8nhXdgTGfwF_=Lyn2E>mh5&kR=!vXc~fa ziR2-sbhVeY=f<8a#yc6#wrHk=l`?K1tL0S42_V>qpl~Al3j93vRlXWXMKT#Tqutb(?N>;u#aV>v*>g~Zq~@R<8Ud$sNct1|U>k!U z6@Y1M82BJXSL1z^Mhf|{Z`7tj9~{OyCX8rm1T;k&Q3!m?rYDu`r(6g+X-~ktZ4Mn8 z245C=LFhhOP-`lxzkWQfBv>eiy98(}sZ5ltMGGHzP%cnXY-Kv60++$2Ty`PQ))m z>>JJk`{=n^FTQU{=do@$<)&H9(Sz7Qf@kdH6aAxUb%>CjcquW!lY6FL?kb!Ynl=GY z&PDccK5ic&kfuH|T<*Wl&_ydijB!BMyEB16_-7qt8dXas-Sgeu*1>7B5dI?JaIAsz z7ST-Pxc&7{A&ig0SANs(62@l{sbwp8b>Gmnv3WDxYTJ%guS1HymjCrKl-|0u*XAXO zY*I|p2@$7&i?~-r{nnIVvEF^~4Vcyqy_VXYq8ijgQd!^jC7b)mKkCQ5DVPq!qdTW+6Bi>uz2- zO-=yTMJP0K`UB(O-X2=9ZyAqSB-SQHWb_??{Jvce?wbICl%8#OG2Q7_L1_Jg^gWQc zo}lfmuMx-RNKQ2d(wP6QA=#h=MPvQb_3j}XIUn6X_$fp36rYBIw$s#8es=6%`@MLl7rbkP2;90P#SgnQU3Wec3Aa%357B49~7*BTxNwFtoL`f zL%66D&ThQX^42Xq(q|m+dk9&53BNW0u7%`)a1cDFi+WQBk-+VP@>gnaK=R74Q;@=} zztc0C0+MBPLk%*=hhrFEQ^?4D(Qvcp8Cgl!@{8)1XDRFLmZC@~t}_!OM8x0t8`L$N zAGR`*$_9NXO?+DWwoSWAC!wnXct^pH90qNHqjhYrt!NNN%do~M;q*dq*^S@B zykZD`coVmIZNb0hMT=5c(#Yr#9ob%E)WNO8eeme}lSynBZjl~$;jGdelBakuk%?6) zF4iYpr7N{7PoH#`SK&nxI~=3UJeU3p1*{ds&8f^aQ&ZTI#Ois`-_9B&gF)f+Yk4o) zW2$!*nn1aPQnL$IeViA1f5JGw58!oSg(~(MQV+37D4;i$GamsBLHskHsLpPh1e^r& zTY`l<<7SN9(-uKO#T|gqALf40Rq2(to#CNbiEM*H#sXPv8=6<=tLtw{$2CUhJ;|ik z*2~!(5q!p)yGDD)9#zk=o^Z>rzAq!@)Z7*i8WJVjiIY!mtATV_xAR#&LYA1VJ{?YY z5*#9HZC+91AT@TD@#_2nr#zK`e@reuc**H#)D<_;w+`CS1<2qO0c_WTFl{N}v_y4> z45DF35ix4dm^CTCobti&;G7Kwg0*2RfQ1skSqowsnMw&!-{Vd@b`3J8z5LkSTi4tX zBSA24RFC5W+&V8y?L{ zIucCVh zP31>*_0^DqEA(ZPP$nf4A{}hxQ-r`Xqe`X=_!YLg>=}vp?ua{G36Z3608Px;?OtZ( z?R{G*K02Cv-DnrK`XhQ}UUyO~kz7-|V>Ijt*A76}7pt4D_(ONMq^uk2{e)CiLDX_@A)+W~*;as%c?p`9w@&4far_FLhLnLPiY6EhI5l{|8DfxW?yoO?J%w$r!Dh+X>6ba4#5e$e|8ZuVJa40-7fxb8JDBsz(sF7|2_ zZAMu8Q;Uvu=YYDLAl8Dxt-$nq132dG@=yMoj?2_>?jl0A&ADap8)sip?#!N> z*i|=8@4_J%n6_djI)n!4Hx1=NHcZ`kF8IN7Ho=2`ei_5Nj<_r1wwJRxFDbu}Z#I*# zEn@ilUzy-QMEE39dyF)xvK#d(2%hxI8@k4vAL%K`SRH$wmV;MP7({2X4gc&*K za$q)?(Dou?8iL0J2gI=){w_d)tJl`;(gDPs>M96~J$9^@aOixdtYF3(WExTq{$UFJ zsc(kj;^*Vy`%sLh3j=(@L`2=ZmJ*NU-_cI?kLXof_)@)M9L1LQE|DGA4|e^%%i{(_ zHusA+xE-E-JW}3C$&G(Bfe8MYTP1(C4P-+Mz3Qjd3U=p8bPUE+=xj5Dc?7lEhNS$u z2l|!W8RWsR0Pa#ETd;Ing7_07?5LpDcQEe*j8NZ@Nh$zkGz~&Cn{y#sr7k0UXjZ02 z{tD>bWS2GuPWK6jgK9Su%fY8+MPc3v;A|J#iX2F&C)SM3)o5xTnXbs)1R8gU zSPz+QU|E0qn0>(A5D@!d@DCfoceb2{&U)xdnwYQytiQ@f-;gPJX1+6M7EXhVXabi$ zY?=4@;IsA{S+Nfn7u7*uhTGBC%`H~%&7$TH%rRx_fbpMsVUZyDR$rhH08dS7?#>T~ z@FJmRych!(#Vfl!R9HrF5d#AEuf@PzyoGx`573gQnG^t5$GW!IA?&?g&WuYpul}f+ zlDyFq6+kE8)gi9(JWThta!lP!7pew9VQb!O=R-$<!@G9jJ>{#?Q zI3N*~ADc3tT7AkHeg~KEJ5KIiw!A*Kq66F@zLo$R+T_BWS(ni8Cp8;{jVIMmH6WC4DLod~^N%XAS z+4L$GGzr_DUk5Wig^}WyHRg0WquvX=dF|Li$8S|J^_Z&yysx22248xBu6Q}SwLGn( zMpT^P=VSwBG}d#y`-2NjFqXiVm!&V`=aV1Mc=0ft54m zh(IN86m7nrQhMV+lf=Yk{9xICjg=zUCotHY!>K*jOnKB-(M~SsEW_a36NU04Vs&je z<#y!BV(c*BJ3XxYPTmDJ$nH;DXh99*(6J>n)3d3>YQVKom{+|YNUumliEhrRf#0?C z+9$TGx#wnuX*&$5ndp??rQm^pX@QwGC4y&`2mhX()u`03{M}9`3lC!GyZNnCD16X2ml_#yl}|$@WX^kmPn!}smXV8v zVz|pgBD7MV%*walwrq+GHF0@chSdk0$R^_y7%Nk(m`L7snYzD)4>~C&$6l|F@~LQVR-YjQmUt zA{8?=-|tm!>ItJdl1(~8njx_>n<-%UfR3Dg*=PjTgjms-gx!4`$B5~W{vDP`=uP@f z!NLYCqTb!BNZ%T`x9| zj}K+!HFr0s?4!?oV0V$0*WS`_dVD^@iBCJD-Q}(XkE7ue_M@+|TO9`mjJinroA-_M zPm4)4-l_JC>tF4n<1v-=?yzvzLB8oDK=E;E1m76>ElAkN>+U=>s929Nl;=OV>$@UvrmIu z>4zaYLKjZWnyiwX3T5{z7PiVXrBP_Si00L+TZMrovzx`GYS^-9Z?#egI@ntseJu*R zYH;boC}St-cp2;@yuN{uMMtQ|d3~2vuC!MTk*gn%pf;)C?n2>DjJrS?WA?@J4RTk$ zZ^Q2oa!VO8X^aZUoOpDq<)}ms`x`_nuy>8Zge9=S!aRel>7Yd*wsO8N;3~J{0erU zcUB%vbgUV;TKgZ@(VPv#|HxsU3BbJQ2eC@D5o>i@F|eK=VkPoWTrQ+g)4B0B7)~yqfZ^MrjVs0n>)Ytb%$K~v)qB|!fF2K;*&Xo4qe3S3rOez)lFi!{zNAPO#o{=Ot;eMKs4pVnb zLFyl6U?MUcjg3N50v1{C;vB4NMX3AL5PaJ#vPxp4tBLzy{wB!&QdN~R?SX0Q-(V~g zFfxNP$=emT)f-ckD&yuX0lItlDYHK^z7+WSTW%qS)X2&8_6C~@CJkZXp5SIuu#4NI zfIA|>qL?qCc6}X8-q>oXL4fop+M~mZIdg-!d%ztZy)jfe!G6na0f{prY%zHskZ8_+ z5)MG0O%8XKBN2MPTUx-_i^)G3p;cOHBNH+#3Tx<@p8 z#5l*#IpxEI`XdRCbim$m{GXKt!~#PRP-JNMXockrp+#NK&@4O7Th%u?HKIS;_ z)kl;<6qUGg$3GXN?@hl1`DjRH<@okpI>sOl+I5iE!-Y?4z9;#vdrB?UI?LsOe7?Ze z4DLTiHSGHh?~?Lw@?C>iaUOJ^Zhp3Y9mk;KFS<~O(`l-7^`^M&7V0gn3ws{ic(rD5 zrXtLGT&Dj6H+rIwc%y&NL5xpS1nt&8oDd?BXrKw7qL5Bqe|p$6HqJ@kD*5u*9S zdhmNmJ7RnF0ODzrPwEZquOPUO?Q~v!R(8+;&zEL;#gU1MmiCYCS01&jxX)rEnHTBM zq5N6SYe%{3qaS>C$h+vDg&9Twbq;|~-)VhF+44+U8s6yU;VfsEwbie~A%Zjn3aIZ- zC0k*hGj^iMUo(XiE;Um`x@T%&J85RnGfNolP1nuZWqew;8#M|_rn9=IzXKj;h!FWB zZj~N+kQX6occ&(;B+AYAYyc-3!OmH4rli8u|34^yP+;kUF~{S5>9Xbt zWoOBwb7%%@yqWvHsCl)zwfF0@N#-m7o!N!p&`Zs)mQn=eryVS}+A=bcc*T%^rD78V zJ+V*qjITo~A(Zv_@oPU})!o#vaiJzRpakK>oV#d3@VM@m`wU@irh6;Y$iX(EYkRM2 zvZ~vwXbr@D&0rp)bctL()&3?yD!7Vg6~1+Vola0+l5;ttb`n^q%te?Qs!Iro% z*?K+d!c?C{W1BV2&R*f4|E*PhUkc?EH2f9xb+Z^X4O%y9FU)dR5`WG#qL*j98}4~wRBG$Ep#1m z(PIoj-2V8N;o60hklfZZ$mR$P37HfcQ)0FNO3wA1^jM0pu1z^3s}ZNDx*;N=RFBGA zYP&2e1>zJX!VJq;#P&xMeRG9x8((cdGLO3cB{PJ7>G;2moJBSa2TngkBt)iMHd}bS zFhjbiM{m4CuATcF+lqMVT-==%@>j2L3fQ!Az2FQzrW$69f24%KKyl4G%#=xwNMity z(0^8b8Zzu5@&zA80b8ONQ)&lUqn>}6mo*&4b`(eYI3`uSQQ@nFRM|(<<*1AwH`9)2YAD`frNg0!%lzCoROAqe@Y>P5vfZGLIAUrm-O0svqvEW#}MH zSc@HZL(=sNwHotNYTqL+P zJ_hsl@1Qq?$ukgY-Gxze+rm}&W^|k!;-44pgIaEuA6#8NGvkZfF+IA+nPH zARwgAC-|wS5yqSSoo)08m7+JobdiiD%O@d6E#AE+ceA^ikypJ#gwAIMmk-4j%Lheu zrYUTyplTl4V6Yx{_GI)wcJ==PP(I~P)@2)6qu@*i-=~h(yq5To#Q`(}plBu;^#ozg z_LFXXWf^ynaE{wtK>UIUEW2%VcX30i*QE1D?7B$wr(x-qNCfClMdp37dRCfi0v;2? z!;Ig(t5x0EZ9A8HAgGW=L=c|UGkVngiQM`+zl4Q?8K-y1%qcES{x7z^fibhL$u_p_ zbjMCQwr$&XcWm42*tTukwrv~tdB3?cb7#K$4^Hh}SXFzSRezgkdswWs%Hv-WGqbkQ zI#=n7M9;7|8)joJO$py(F(CI`LHy5*+#laR5r}?;B02zaSM>F0yMgl1&4qdVjO%v==EVR7IyKBKC4lj&UKCLhVN zQL2x}G(}`Zp{!atGNSHt-P`?a0`nSx*_$Y!NyUz@)S*8ZS#9@`ZRvCaA|!SCTP+a^ zRIBh{kS#pE_vq0kCYK5mNN*GE!E?D;P2CNSPR5$nmM#ndkS{83PRpEoSwy6UcrqD1 zMjtL?C|r9^MYyj3K-J6Z_1v<6kMDJDTybb!VSHB{u+EE8h8PaVr6Ij~ggvK++J;%m zU0^0xNvIEhjNlIZwrc`i$ncAw5-qf>2WlC5#bXow0M65=;mn;Cw2B#iuLnfZ{9SZV z01VMq61`yQ$PhNyfg13g75E4OWM^Hj7`I6eYuvOt7+o+q+cmY+dUrzg^{V_C)8$v z5%_xLgq{LRAJ_7iU*$S0mQ6n0gApR)e>!GHv$sXf=mcKevh)zY2)TiDw+_iYM3F9jMkD16akh`JGmhl$mxERm&tVLJwo6Ybt zNF_IF*!+FvXoIb^o*5s(UmuZYRlu`XY-{5`_+S$mH_zMg!#z0WRtwlLUFX}Z3`Pj1wb%|g`B4V)q+8TOAxQ_dHKWUDUz%mj6@wSy}t$$ zcQV9CvkHL&c%Mj!9@IWAE|5jD?JiJ??jVN2m{_0F5U$-@1Bo&(p0X1>m-AEFCcq)= zTz-l+D^k1iSMfUft%o&MfT`w;+%Hx!#8!!8%@+1?hRWgaN*xx%o$im*t_OS)KHm@P zYi%O-FsJ7OKYyUL?otj#VTqgRXOByob*2Mv&$h^Gl9KTm-%n4;K6$b45Tee>7jt&j zYs4)*@uh09T*Jzb*+v#HdgHwtHCmu+swHi=ey`1S>mHsnq2~_*Yc*) zJR91Nr7r6(>_#7rg%TLLh-LOmdAe@EmWDI|6Ad^Hsw}j_`GU2Mzeot>X`HIBR>@pfn?M~zsQyf(smxi9gO=k=S=H#Tv3-iol zGnk2>p*?~LU_=&&LZzS|EC@kE$RjB$^9#fAcVFrDn7EK%TwK)s_-5O-mYaUyW2r|i zD=II48JN82inFP}fCLO6H6IduJ`iNhua?SbF82HYvQ6H0=9r~eX+Q)9lx841wJq6H00!Q8;X_KTnzk`p`}=|7Ld_ z@h`k~$H|;EsGtFNja?O8Z@E8*m$aSdpcC6(qWSR$BIYMO_o=kL9OJ#eU8&$Rr36C^ zXOb-FL7ou0t9|{n^fv_ZS|%Nd4SLYU!Dd9;<-Y%T+n%AX{{|ihoWP+pTrT<9d3=%y zkf@^C6LJ%+WPT)GfJ)G864(k~1(oEz+1gHVBlwXk&rvHWN5(PahRk{LUmRfgq)#pw3dAflGfx*bBh%)4E}{bb#Vt{0dMbCyM)H|;NcBV4!XIH=vBj3 zD(ecFb@7xxX7S{B ziIF43qDi>1XK$9l+(ms%eiLK9%A*3TM_U2mcKQ5^pej9@CfopywjOQ>8;h&|cAHl( zpM}n%q23fiR?eMm5SE=nMCa3+Ib+4gmf@ z+d6>p5<0JlME8bR;|9IDY06xTkO|WVVjReM0LPf&*Ee!Yz*}i=KJ!qqfMeKu`6NX% z%3&1{Kuo^ zgr3s`wq_m%Ri$WA2-%{3{mom1cDZ%0&w5cQO~ z79}+g_|Qc0H?k6ABfG+vK)oe{9$^x5z#*-KIWc#orBD%ir8skL>*K1kg5e9ok4)n~ zW>(oL38pU1kN6_rk#qUaCG;s23{LP6Cm{0m8|?2F1J*NEQI#%RvX(_$Y102(Rk5$I z1NUpBFb%;LNi!|_Tx&SBgs!k3ZO`vz(yeX2luj%clSWf(KfUTIRv4GQHDR4c3l%(33>w{d1=VD$7Xf&Kddmzs9cS~Pz8~jt>#6xU{E<## zRUOm0HG`#}{*g5jB!4{7g&L5MZxT@D$pT1^FWE);#MZ_F58T=ci1@pSr9gY(nuPxl zp#)UtgblWE$dx(cKVO*zf--sguXOVgJ0Q+De`~HB-w_G|0K88xVnE6(bHztt*fLE| zl|eEA=v!l*EsLw~up1j66e$D9!;o$P#wh*M$JeJ zZ_#4Sqsg=5LI?Xr?)1?oF+mrLvLehjD89|Xsq%U-cEYr_X9M$}xhkJiT`zhsnTIC9 zE_nVd=lnUot&PVXO~&wk(D~?H$4Oo+4)W6~2$~c~-Oce{KPV<67j@*cT`59wgftJv zq)x?4oF58wW^=UY_~?7Z`x*x@nR^1Bw15O%#1qN)y3quSzs=`rJ)ky~o0KwJ&hg2W z|NJUHwJ7v)7D#|)4c=;^rK7X`n$9zIMONEW!MofioPpF{z6d9!E!5);wSs!XDAYK0 z1CokIqe9;BbdU$(myl*GB(d8`RaA8UQ)$=f$|!jocTgb38HCb_6faj&Nu^#@RUe_1 zYp#YoU-%g~gD*q&&p{~h=W%3tiV|VOa7*;@F@K)W243Z}v%PImu)c|hV*E!O4Fn+- zZkofxt&12w?o%(0B?O`<{y=t>C!E??<=k1=P;GAh@Y~A92toCd79HK5eP$+U4DFif zxj&oxf3KBM%Nd;m1lH!j9X5y({|X)CG?h36pQed z55aF<0^3+;PC=Hm{DEku%NOo=SPHp(SZI`t1Z~ylo$pUD-FVnKuQSe?*Yjr6nY&^H za`CY@l&-wJJoXQ_p~zxFPOBK9Infl?^}MKNQh!D9)!2guaD~SU9u~E2R~tOELbnwH zLEY_rCkhi9@Y-T3Ohi+Ec@%Cxxusb!is#%qB@$&;sSsv!B2&WQ(sFgPu#0f+GFF`m z8%vqmri=-W*=zejX=Fu%{1D)+{d>S(Hn)shehPH~auf7#aeW|eO~mj*3F>|btq}2~*QWh(CIZVFD{Cqf`-#(7f<2)8# z4!@$WZpLbJmNkAsQCIao(s^JovScVC(^&O(K8waN zhZKOs9@{6TcOW*M0IKRoU=zRn3@c`RTKzdt)*oPcdmQitYG08_l>5hVde)M*m7Kl| z_sCX(AUxN*!@)@@fqsqxn?8$&qXP9NAdje;?~~g36!p=_=i?*KJB>t7i`{gkB!w!a z#p1!>rBWC3)kWa|WJq@vHai@fmxq)voQ7*}SuOtjR+C@oOS>*1p2KgR&e1^HLo6*> zR${+-bv3B0iy1DlCfF5>lR~yS=3Swu!|G#OsY@|W;qCAL-c^O*{<5HH2ReZb3Kk5T zmAAx8BtSGF!fiR2i-31Q zY5sh76Z^A5FV9L#h}L(|PfK#wuLU-H*4fs<=<%z^7AbUh z0`!xu&)g%$9nF+I;8wg$hgmh76}A*=lc(0DWY5J^#hlQ2Z&{n|+Wjv;5dJX9BstK@ z#{NCyd*poHp&j@m=`^9bPgbPXY}KXLhgOK!Shr!U2|5W6Yp^&Zh%aj<7b8p~Gi`N( z`~PpBL>&t|bWWTo>1f1DskNU+mXCqg%Bw}ROUty_-Qz`Gzaarp;F?o8^o+-Nr*M|w zou3+w)|ygpL;N4l-saZbqpWerKHt$2sbQ?%JcIH|^^5QfYE9O&B@L8{mLqHB znB%^uc2nv!E_cA9SpYUy3pJ^o%*u|0~N$hffPlO8j3l znFZnru1J2M1>})f7(}1lhZ03vpr0=2Tg9mtU8ft9J$4RaF4)i z?MN-DwZNqUcbsO-Hi^`NgF#1n7ymvVT=GhwUmwU${hXV8=>6ISaZTKtcngy+JHEvn z`KGpy5^eEZni96f1#ZA#ky>Fcy)%RL#6;8Ds$0q%`b%qdS~?oGYq6LI)k6qt`r{!| zl-DhEuBue-9qDJaEA!GLCEQABF`EV<6}`E-w2G$hUEQx^xlW-;)puqMyIU}!E{e>~ zb42b@pnaJcqtACAF^;Jy&aT=wTR%TY-7c8P#+=F|xg`;g&--~i zzSY`5`Ne?Vb4}(6R?8jPv9<$P^M^eo3aX1CXC27V+(M;WCU9P)qC>1HVMgL` zbKgfuXhSZ@1j`(Sf3~aaDR`H9KDBq{78n2J1tiS}aL}5hJx2N6Wrflpi&9kR& z-C3#IFBy%!uR$_)y_vp|fc6*; zpC1UXI%@1VVonr2ZEYwZ&FZkx67PT9QDagPx}#!Tt9g4etNa+g1;C&IgNj{t_cj6( zRiCbMkL7L{edMj)-reWz!tLMsFE_heTU*=`zUUvM$UXV$g!bOVo1XkXVO{Rl&0w=S z5jKWNg4N?G?oJTG7zhU6T0Dm9G#83dfvb#BglJGi2z(VAbg)afqon1t=ve>x=S?-8 z1R*Bg;=rskjV}++LFl&O0`awkH%7hhKv=&g&Q26P0$ADqX*@9gKnu{YuU=pAdR$>$ zw=Ej2H*PnB?QqcT@VxW)6zn2!SkA1j^i%X(>2 z?q##@H(7DwQk^CBu^;jpcnh6mVl^=NP}lY!N$Vp4$FkG30#Mc^tRnX_e10>wHe$gj6M6&){IDBQ1+fvk6H&ViN@Ud2hHX z33v@NpIQ(!0!`(K+tdT{o&7e6$J#0vTI}BDrUT2krqfPxq`#p9JCLXYi$Um|5!6f~ z#F;@N0EIrX7|;0Th2P46?~Mm+@)RyB?Z3WAo;BZyL;A*SEfG#l+d#;dB$TRi&G9B? zZK0CO?zmf7ou8bTpF*(NZuA66{-wJ8*&E@vAav`_3G)*C(Un78I&zH4`3)?QBIZQt zrCmOjpI9)xCRJyZtm`)D-Ahf{Ds`^`Hjj4UdW(D+2(Mb0tW6WY&iu~B`}4(m2O86M zZXw`9BJh@CX}LE!aI`m_S@nf{Ow}#k2p@gA5(q^k>(;C6ss4WQs%1!SwCsEROV9I0 zZ0R_LOuQg;w4o^&bn@Q&cX2#TVFsYv3MH9%3>C^ZQ<()d2OCPa_S|2^H*=Dpo}scI z#6Thodu!q!Ag@~*LQ<>-sGt)31ECCsYrGYm-NH22!FMJx7A(=Pm~7c~(4()A(hFuS0YvwE7{ z4*bv^4V%=lg_nwitjpvW@G`La;d2SH9)ucIRYeQu`COCn`e6Ff{t58|MqVdS$)Oa&yjTLA0(sC05Ag#r)Q0@z&xHyyu{o-F|CLB8#gw3&H4vV zlPVlrn(sY!TAandeI^oM!%#%wRq6&D2TZkTZy9cMb}01=TQz=t*)o+^lUAb zB0EkL0x$ZpS6UDQBNg_WO*j2_@=fk0+Kcn`z9S7v79J52-S6(~@J6flb!bTyN&MFH zPQ(?EME6R0IeVSI<}u4X=qESvUU zN(N69p539_(;|K_W7CA``U|`a9AHI0n00@a(_Pv!CR>T}&5SdNgl2`y49ZrLvETaT zC=i9N9!!mmchUe$2^nk{j7N}^lwQ4&GMmA557plrB{e#bE7hy zU8@BzXo*2mHNSnJKQ6MLkh_Tak5NtLu$Xw(OYlg^Ze=eeK{XSjyyl*X+oK#%Wodb` zc9hbI5DQ0K-8@}9?G2|WV>yv>#?GC1sTLgJnw2xpjGErmr1IVZi0{u1^x#0QK6JKL z&PkJB<*d*nHC?FIm%7Gg)qCxckIqk2e&f!W5}(#pbdj*5BOJ%#Co!H+IJm-u-!^sB z)>_7v6Pq`=CJb<})8HkTh2)#RrA_SRA8F)5&38$H355wsC}yc0BzXfAr{YfcEdWDb z9tX7FYHPt8r#em6skODg#vwc{9mg=tnXN^#%lNWtlam`Es!9wwf5TGXR}3c=#qNeG z?<-UgTDoW|bR1%?N*ia9NKNwzSHxP?9SqRsfc6Ktz`wXW_k8w`?GDCKYl9~MQqRp^ z=pmi-WNP$@m{(%{K!<_R9e){uj%sRK2<2vc5J~!QzjXGUGU^bq+?*S!4@!lqTbD@X z(mN)-t)WIM^zDiGJ>Z{sC5I{EvF({IS_a43&zv=1lea=H2^PZVP+M*h z*VayYP~$qCePQ>mWYc3&zxsk;FV~yRR)2Va0gtl+2A~7RKT6E98k;zKes?z4Z&G@a zjEZRGtfr8TzpE;3Sc`O@&6v{2p+pwt+o&8WP#ws>qR3@rT?~j z&!xDNc7P>q9Uq-3Ujy2FzdHB|trTZ`95ABbg~=hy^geG~)B}QkbDZ@tiK#H_-!U!s zVmDHx=Ay+`&u_2$c&5n`fS~T=U@D@x8Hs~K6TSoW-d0G)XOlw5HwW6`uF|a2>s>K$ zGv5XbisfH#-~AxGvz7gYMIbq!V(*D_-pPM_wa2>^#N^6CQ6I z^j-T4k2A8p+skv1p*&jky+%(tDA{?1gk4Y`2SXMG3%NloAgzPrJPbB~vCjGXn0E&W z%E4yZI)o>eUqHeN)9)=piPY6sR9;@Y#$*Y?rwnC2vp5?zlrXiqD8b4;%51gW;& zZxoNHck;d$4@<*uAj6!oOyvwoLtTYYJ?i z8JE3@&?wT*uNo^Alv?Qpp!;2PbY zc6Cy*le8xx67WLN;4bc01dFA}2-Nj_8u=23JfZX@;Z9wM1Z!!pyrxEU;0Cw7CYPzd zd=8wIP>a(`62qxR#}uu*yJ??d!fL}+CfbESowoX**p)Pyl0Xsa9CHn(NFq+%R)1rt z0usfQwZjs%j-M!@J*0&0u732Sjx{sQq=~n+BI)3gJQU9&U<$j>cpWvHeJ=OO(<)ck zX_LBgkV@QfJv}%r&f}b!@pG8VlHqUiz8=nW4L;5=Li}q#Mo~z$0@D8V-gLja49Ius z0r7?N!CYa~pZkCzliBJc7YZADqW5&9Acemp9fRitT2X`0;T=Kk7>Y}&*tjy%M40%( z1Cqth_tL|64lx3}T(!gtOmtE?q`&5)9=lv;HHT#UG44Qs)`GHEOd^8;9^e2^xz!>5uG zQ#@eg1}nHFTy^KW!yeguf3>kII?q;pt_N9EGEmgZx~5W5_HwmJHNQCNQbopp5?|?x zX2g`mL-_`ar>#jEV=5VsRVh%00^4)jlhn!i<;!8&pothvb&UF|vOe@TqBZ75U4k#s zSW3#l?pm~p2N#nS@r+kE-*Gf2$LKUMnO?0fbkN>_>JiTtub;)2&_VOl;%>W6?O0^Q z12Lz&@7aa6^)aXKy`AtmNf;}j@y7(}klw1nqOX92|I^B1K$nSiIOdf?V9AXCY|sUl z1#mi&cckI95<_fRa$Mj~l*3hokZK~%9AtsuvQ*o)@;~$EY^zn$w1r%(fh#PlY_)!S z6$e|b^|wXCt2LHJSS=KPiWI5Xn7{$lvQ`f#QOJwFrNpk@`irlTC5X^6nu+o3cy!0N zGQe^vnG+uvlX1ip%M(+9OvnWXDdjiuEHy)2Bcp)U6zRQ)ac+zFdVcu+1p3a8xMcYz z84dkOes<9)UTC$r3J$IR80bmMcNb4X5Hwv1$ycpsyN!JL)->R7_Wltvo% z=cQMmA)w$eesfGU0z>=>Fu*{<7ucxM;p!M07yz3lm(5TwMtJQz*~{B-NxO$@(eqGE zu)@`80=JI~2|HkU^%UN#=u(r5R}bvqii;TJZ&G;d~-aG0*cB8Vn z(Q+_^i(W*??ciH5B-K(9Pl9*#^5M$B)@|kM*1bbx#Q&JDzZG><8#E z-->7yu_TjyVOj!@TOzI4NdfuzN1VHW=Zo{A-8TCf%Vzfy2%?>f-5EaP$2nt94-Qaz zq*RFjA?>W3tG|S1#GWHP~3x{s?n;OWR)&ZqdCKmL=dK{D4$jKm zi~c+n<}PzQQw-`2xpPH^|2`4qv`fN?&&t$jG_WMS{i@5Jz!(`?ELX$F!@K!dW%~Q3 z#YfE~VZ-m#8-EkHB(A&ltVkeCwU(FiR}A=xPf z2`mHoA8!1c=Z2*|=a6N?yQe&lg@$mgxC+zB!{;|@y@kYe%5)|o}uiAzdK z(4PGwBOB#SeILQx-}~C)##x9ci8~T=qQiK^sn;n_p)zHuwks)ps7+;mQd^{CoXN73 ziJ$np;rkFpZN>P?X@5ix6yT+(5EHnlIx%HlVc^C>8wp*9uO$jQkW4GynpV!RHFcz} zAs>~kFUokWGV4xC2rh2j0jU66%IoE?0X>?}@z<4bNT9hDByUu#F%%O_ERz#twK|Q) z=`5vl)=5Nh?k)T(A&HHd(&z^lo<->%(yQ6p zXqR_;@w+?FqU-oH^Q$_9J`}E60m(4o&G5Gd=6TX#V72as%enKnvr$MSeh*OxK1SsO zOKPrY1oU{1nfmj;AzJh|g>P32881H|Y8GllpBf4@9$())<YqKMP5NL*lEMTIt*?LeAA@6n5wfp#TEjwCZ?G`aZ)i?JHMC+>EU zPgi41Oq>_!tcvEb&CXlE-<#q+`e&fC)Ss~=kL;Vruw$(NCE-~xV_6TKLhe>`SRlv7 z+o)swHhwk7+*PNYX^fg&*b4@#u41`Tug|fa)&(c9Wrv|lv*9wJS)v>A7n&`;iVR-2 z4|uEk-LqEVF8J>}JAuDdmb|vBXQMV8*zxLl0;TZ2bJx}D661Ef&M!9`lUxZ8%obme z!^|L^2-5IEUI=?pxO6;h$DR*I6}U1uar&E+&r)>15TU)VggKE5etn~IOZ@KhKx7HdelvFCZqL*E%W!mokegM~)2FOFh59tr>Qx2Tm6wKrA7p!j>z9{ri`wJi^P>G_< zl0F{3vdn9?W;i|F=snfecJGuRF7WOL>~E*P5-!+ivUCBNGlRLmdUIHo$aTSNloB2Y zZr6p4Xn?rqDkd0da3(eQ+~HGiMPk^NE2jL2Gf1?KsiuO<)aU74sxKA-As?fMik?*u zmaL|OP9uvJB*#)YmK!W{vD7i#c$RNENzbkEX{a6WzQeX9vxfG9j9cc~b>!Yr>5|AA zl$(WfXtH5{_NVR8Ts&Tz1Td1wPrJ9$rei6=<)4ZEdQlPl_`5c}M;}I~V~^7S?jNsV zC}iw!Qxbpmv)RVa9x#FI#d!Jh_gt*t9^G4TIDO=pKgU+i5Kr?oh@foapy>Tc^r;TV zc?;3nMF!l~3_sw zQ2@LagS1za8W`$n?VX#)SH^qu=452RhvW zR20C_T#8M}GhxVWDw2=sz5liKdP=jC!z2vT&ZHpXZYqr%7;v0-oB-dlC)w3#g?MfM zuj86ea{v)66uq4Cv{Oxq%`uP|*qbe){So6*Jd*%QlJM?89-j^|-T&-xSTsv(uIF%G zv&7X-^S)|XiWq|F3s4Q?oR1c1n^5Z8Fko%Z@1sV%AAr5@exsM^?jpKeyGtBreUbSm zzGFFJIi*QJ%tL56ICD&Q0ZlQ*#Ml1cLU`b`sO?-ba*M^~Xm(~<4#6yxqbi2S5(+Yi zXYbcl5cTvm{5zeqGC!M6fD0^dxi)`{m5Y2+)Xq8yz!r)dN?;6efKg_=O%KfP>|#IU zFq&6Sa=H819a*A5#J5-i-G(<*XcJA?k-Ry^t>(mKnH9-9*m2&|m%stG+RMyP6)Gx>y0ZvMcIR)ulaXu|B_}hG0;b1 zBLcE8PZ^+5Y+yiy)>WjHT*{A(Im!L-)Z zT}e)h!R452hm~0tkEg*ykTVB(D+1}tr7k6L89GGE>oZ`2;qwM3kg)xM$=s~Ae7ZfW z(6$gor#!Siyr~?fltX*NL*!Z=A1BGZJtfU`IGT?p7n3@W!JNS9y6@KT{y;89UM81r zRKl!ie^`FM9KtC>T?0MZK`f^AUH1K=rOA z7FPimjea8(JIogAYi7LhcIsBCF!xH>C~<5DU-j%Wb`-kLp6ef>Av1abQGERAKDD;9 zw|CU#({!sfdo^~~^i5-VWT`8aDAe9%0mb9bt8YJ|U&!`KpM$B3P=h-#XSr21{|LQ|kUQJ>F8+_T*b9pFOjWFMJLe&6*WL zs5Ob)#!KXfhe}aIQEeh~2w!T+@+xMgaYW~ws{!bLt_977i5BcI@V^jW5xlCCkV|lm zzT5*Z4?{?(uPIh*^5_HI3!DbI{Z?tC`j}(w&5hvzFkt|+si^;^tEtAzsk_VulDNH5 zQo#buuPeVP|13D*mXla$20uGP1*axGl#O0%z*VnJsqc@Nu3|BUg(}${@cZ_5HRs}q zR@>lT(TmY~Tsw<_T?Z&)uq6S{%YBsd(q@0&trW$cWUPtp6ag#8F>~Gsk1RvT5F~2y zWc`Aog%_u=c0)Ovrez#A8jLdLb(A~R?=Q&6M_!`4mXT9nlU4FZj4bOpQIVWeG@{vX z=`u5kzQ>lN!AB8u1fZ0s_fjrG@6D&{V;Mif?+25M;4P}wfWjDV1KIuzsc#eRm~eaI zpBT+=8 z5<`i5`_3meL^IQOuLcEI_qW^I9$m>BazA+SpgGCP_+RL>92o?J|Lzp;%6+H)v#6?;gZ zHioK<2i~L_o*5P3caxrdBINkHihe8ePO2q zry$0}eEUUo8`Ocg5>6VneLv051WL;?k&(mdX^yMT3^gVaR#cDRLc zV!v~*FnNkM-a3K_=BLu(w3IulsSugwpX>L=cZRiqW!J9c@JzmMvunw~4z0|QA#6qWt~4|l3U z6iU$B?u5}w6CovTjt;d_(Ap0V9ObBi;$)?wCy$Jw93hpBu@)z?3QkD!7W&ngI($7q z@pGn~_~i3fqEp7j?JwEhQ@Iz&lAv+Qk3>ifaxnVnX!x}G3}?CZO-|KLhMvH`>poSX zK{4~TZ9*bg*Bvr?gPySP9i2-?l!&D9ze1cNq8&@j-`d9=gbO?OZIr)~qbDu@csdJp zsCl)rGdCt(x11GW$a5yIJXw8*o&aJgjRW?Yk+e65f%s=e=@MKT zvv+-W*1#{GU^8JSn3-$&75CEYGBFRR8?P@^tUUZxF>&uq2go9_w*-XyXc<*BTKpe- z=Dw0ZPA4#-+4f5U3qNBJ_OSEdsGrkoTXM58K+u*Ma>J7e&!#?q3bsRbcs-qtvq0Ct zVMCc5NyjKhBucQ6ic?_!Z!b;w_S?u2>j}?Y8~j7Ga6Ki7Qd!%a2PBe$IDid21c-OK z!8f0JUlsI?^ZM;vxWq0U`)uNwh32YfzyFGFR_|voe**BMQoMdno zLih32uW?wUE(-(|dU~jv_lO&N(bAp!Ywu^lReMx039-)$9XWV1Lw(}vx9SoH`?U-x zs@$*QPjfB()6U<1v2TCx;j;IH^_=ZOyz-f1isQr+*pS@=XB+}QrmPEpw}I$r3kvH6 zoa%c{!kghok970)d~38BXNvW~(nU1~DyPKx+lc0c7Y+u+6z30(PXjSm!_-tcjK1_( zK-j0jj5UIDazfdJn%On<$bnH(*wMRpA`ZSY=%7T+Y{FC6b7^SBc>)!_=Cg&2{OiuV zT>`q?8{OBhvzPn`$W|6d@xdh#vKDI@9W%QW648M47zkzR)<9HIl>XbCH4^;gto|@` zU+80n`#oTg0e| zPYEBEBqEFnimTb1mGCDldrSiBhmym(TJ>7ry!W(PfQvo&3SS!h^N54$HAz{;k05OX z+W|9{{r1%+VeQAP-6dT8Jnaly-3R}Fznhje<8i{M=VXq`rYb*pUo0%+ZWnOrfDq2Q z!b3Ub+2h!H0<5IQM!$R)%h-M?AWrye1e;?;J?n+}u!7FxB!s*!-$3r(2s`MWKsyG? zvr?cYI5{{Ax;e6ULU5jYZh3M5hMy!D;kGgPR2@#A*K|qaQ%qgDHES+n9zH3}=c~E# z(nP1BPqgzBKmIv|2sHzxbSHt=2L9`mpd8#Ro`(u~9zv)iYR9i#fJaDY+y z0=Et)4{wR6@l>^XBn_$lEAI^ll0XB`OCrhSUv}>XN9f~V={dY-e>O?7v&~6m#^co? z7dvKM(SY>~7NWpX(O$pw>H3Cw`WffrlO z^lg&?rcg3T44Ibdyn05@gOwmiR#n6FwiM(j+#M;x1{&10EHpB~g<(VZlWOaVx)DEa zr=thVoD-Mk17t3m&(WNxCDq2?mK*61$a^@#LZ8xpm!l4dAyt%D*MCZv9B+Ks;t1qP zP?W)KbIk!)o!$(T>2i<+tF}VVfRw#~gwh^ze%7aEC5=~E2#Nv9WaZ5@H4ALRWp|r? zGP)rL=?aKrn2Ut(og;*XiEr7%{ns%-RGsP;<_B2OQlQL)g{Ec*>wz4sJOU^CYIdm4 zl>a=`P1x8?jF^~`{0uJI2S%p282NT?y#~q3fQo=aAdGod^nGV0TQW6s7R3Z7M(u^+ zUieHRyi5H?7EyKb2ph1B4zG|2R3br3kcv**$LO6KAa5*Ix7Ao~nN)2wsdZp|`oMA*Jpwk4@5wUj;cn zS}xejgCh|S%^CkGWdH5&s^Ga33>0ks7gC5pibCQa)rMZV@-DvF8WMQ~Fj@;&`7AaO zWn{fm6*7IG@bu+S;6gv73tLw(LQ2H(i|Fm@mk&6){C z}jj#lEEFFrD2Sdvf&XkTEcG3uP~r|^QO99<&Y^OO>&%nIK)h=W2LX_5bgYi<0< z@V}~AAfGtL(S1Y{IB!$HSbpvhS_ubw**Cb#uwanFz|~6$B0sr;a#0X8b92MKBhRo( zU=^`rz*TizOM7auh@E5&cq9;$V$GzuvR}h5i(V+eenMcUtH)S^4Y3o1rQ|p|`p+Z- z*c1pmk9JH_Q{PK4LO)yIf?mL!Yt}<7!_hPdoDHa@m6)^#_R8X(wuKy(s_aKj96BC%C zfD?0&vKJ$ii}9~F*hoypyH;yD2Eu=SWh^GR!xe**_5kMnfECH7eTg&EEsf!{c}ADJPYF;o$=)@y z1>Y*MH$A^-J$TONwsDm6_9TO~*p+dCf-b`bXs|U?#Je8h|3*W@mateL`&PER3fN*w zbwW%#hAt<~e)7QaShlc0I;F*jjR6H>+K&6SIzJ}Fds&GUz~`o*-LJ6XJKjX!jzY|} zz*1+r)_jV`kX|NaL+YQuFRFDpiFn#)Q^K8!-XqE|eYOmqn%z9!->CLdpl-nk*4ya8 z*pi)=MTDfp4qmp%GOozP6^@6Sm7I;56oESZq#v8#`5SM*B%hYg@hBlJyc2NMmKZny zcu|1jZ+w`vO>7 zqEi|g>v=s<>|*&n126RX?2N+4vr?s^#i^wXpB^877rEAT+Bq9^x>eAfJf(L4#!JrN zdMI#;c+?!eSVOXh_fT>Qr)N$D&wdIig6&ErY?0%yN%1DR5UA=_>cHH)UI1JG!oNkd zdsic~KM4^2sNsR3*KR$qyyuTqNjM^pj62}*6&3sbz%(u69>e{0kX>WF%L*ekXb@OG zduD@#KU6`iOUa@b6kD#Z*wL#Orj@XzaoBDC14EtHg4`ebot8@Y+nfCM*aAEcIyBb@ z)GQAw8EhrU%s3^^X@qq#(yFhEKT}+<=@*SgYpz|>37Xysm@@9yn#9Po=EX@m!>3k1wD~uAd0)U)cnenVi-RUn@Jq3|7K`KbK>KE7} z{$y1;aS=?|;n)M8zSwo8hDYeN2VbybO`qe>w)NC9`Bn%2hqphZtS!Oy`IMRE;cvU; zevMc8lx}TDYogeYx_M90`&az2XV=Wx+smFeo_%Clb!55(DJ5RC;T_*Wg8w@UB?|Rr zj6pGU9VOxIeV}?#zmn(Fb<2F0BM-dGz3k)q#%P7@C0fJ&IMYDmt+#@yap{=+3S+SZ zSvc!VX?OBtXe6^Xl%1dBy~SBA1!`>!c6T!;>CVq$acXf=Sde3R9HTnUhFvV8qx=_$ z{)v98qMzw`SqTTI{pvbuHZNGkJC4{@3N71 zHHJ3ZTvz9WlQ07-+UXo{;-p@kj(80d@ij{%5$|i&7Cz}Q07~sz|D)^SAektQ1Oh}l zd$}-Q^1b6veHdPwMzkD=4~A=Gy&mA;CSMqv3|aYW_d~w3TC(V3yIclh@bySxbn$Wm z=+?R#x2_-TV#BExAWwmE0^IdbSkWU zlq=ornr&kw;&(ang3mB#`!6AQo#5d5hwIPd|Jst+UCB00Vx#_V+lGZn+yl@l{tsL4 z6j*7uZHvaXjmk_V6(<$jsMtoute6$swr$(CZQC}_{MSDF-nGu&k9o{D$LPH`^fttL zQ2wc8VUxl~lBmb#(>9vjd$x9+@yxFg-lYFsygu7^?6NYDp69Eqc(f9qJ~%rab#zWF^l>X$3=Z4OOGzUgc*o(@Yzm8!pI6x|w;6ZRQjflA?<07@a|dN zMlKm4)v1-~)WK1+VYWwy)d$tK-(gokV6mdRYv`MLb>$^4bex z3XdhI=Rhl??Wde4z+Gk$2H~|57}2tS30;W-O4NKf<+2?*XIr_MS_3VA%6JcS4FDez zwTIEpt--dI)nTt5JMx}gVgEH?%5>%J1XSs~U#O7bD1HwZkjF#~{R6F%{UNf!F^+$2 zk`S-;P<#aAx5oO7l;zK6!O1f~^aA_saS)}<_eOrD;g_?t<{A0wWhqN?4(uzf;#lpThA$v7=jh}f-xJa@yGj4hb0;l(7zr9?KFPB#PU}hPzZhj-8 zY*V%Dx=EVL>K6SN*;tL|c0p*5mKTPZE6|$`sD@6Qiv-PsCl*Qi{%e-fn|#_u)yN<# zpiSo#qJdpC5+uxw9fMAJ&1?uuxC85WX8&w1#i;3 ztpph%ZM~pjDTE#n97+Ij@S$V&*;;JA^-xH5JDy3;J_?)cr)f(*wmE5VYiTlncv{zM zyu+eJKP=-0n(zLNd?G+QR1)>Ru>y^EOdO!m-h3$jKbv0nFWE}E-~R}#Q*_L8UZ``!!mPw)Kk{A{#DlV($liR zG-A@Fl(2qe0k%F!sXZ{CgOKAV9*WS#M^Da zO+9j%A^|ZEc1vb8Z4QysEWbJPClx(TR$T{O>84)8K(9 z*~G+@p<@p_L4Mt{&+K5^fg4*uyB{N06V#^*V-rkLmM5pqx&H$M(y`oi6)Z$Jwn_uc zzw#5ZfQYm!FdoExJ@$T7HKY(rHbTt0I(;Ec;SINskwGd!X3COdzdcG0Ab8^de9`;l zk@M;QyNzVV_1--Pr7$Q8wv@ezSDg9^mg6hEq?K-t66lIm&U&bW2PW_nsfIBAg z{TYlKrXF&)%6dj-DCAcoBnpeFB=_@NBJEdA4tO z^O4o>!3#)*nmCt{v+d|QWpH(gxY&y+c+VwgyLC@;<#3#DPqkay+L}*OM1KrM??$y&IZSn;q@>QBiGE!E2Z$yJPG(u&56ca4h&UDv(Rd?ZTbK zY7V@f2kjz62wHKPS?upZT+Y1rggb=U))5PC=3Ar{+%75ktphh^sUmja8rsPuufQ-p@1Q=d!}3VyemyNjqlFT5hRY(mu$#GvIc7 zzX8?eo-~?JvJNtKsx0d3W|U~Iv&EhX!?IhOjrtbM)r&cO2Pc-`KCypU!;|AAZu87m zW3cWH&7Te8dlTe5j^+Z6y?^wmED5vPTmh4#VQ#Mw*&3Ke55}hLUoz}Ms-K7PDr(It zUsJWDYfXP=`<%awH2LwDR2>UAjoW}Tk_-G_t7Is-@e6^*&7@C%UL9Uy1fizAFTah0 zXPsz&w5Qzs4?}uABXCDbb4iM{5?)H?TuFTM*(zA0mZZ_y5OdvWS!733+J*h9RtFDZ z7Vp_W-SKvTi0m1D$KkMOkIm*SPe+(d7i57FBFR9HBHc#IaWnbp8g(BL>0yG>A1r$B z*bJp$2+vYE&PjNo9bW<6?g`KV|5@K|msY`9LF9S!4q3im`JT@h@Ik|0gN**CuQvO2d%OTL@FK4A(!Qyv_`5uoy3$;BxuMt$VP#NGD)+spvMt)=_4jBd{6%ds2*r97{9h9!&%QDxf?B(I`Z9)5V@HUQkC7pCx zriV+(hiomjM#GT2gFj#j?B-iOELDv+7Jj ztuR;2*aZTl-P-4z{x@BQ|1rxd0YuuW3K>hOA|E?4r1gKU@?OV8H#&4~%u3zZum;dx zdr$U8gU(%Os^AXGthmOuPJWd-Gxz>YOYsD0c(tP=7<3Hu z0F!NeLVttK72m)1&)bqi0lh%dYVT9jr9+?YvSY!Uf0lf;6>jj5P%IsB(j1h(qh9i? zMONB`gYIFlI1m{5_qu}~yG>!nKG*mO-^efW+WQvj#$7I11pf{)t<@e2pSi+M_ z$fP;_ABs#NML}r)%48LX3&9?%QNejiHUd!qKf>x=x=BMJhz!jaTX|%mZ_j}=LIUS@aw;J20`iO9+V0G zp>#AM<_+dAf9nGGQkV;mZn^_s#XnH-*_o5A#qf5XoLjp~2{Y^f59W3`Ds2$h$WnEK4^j+!u4&;s-7gA))U@#pLJRBwlOR^@5 zfmfiOU~0=a>#y+>?bXR6AY{5{E5|zG4q12qTpNAl&;ty?wYutfO&Rf_N4t)4J1_Gm zP~mgj34Cb{(#Gyi*au}|Ev-nm=_n&qW~D1ff4bazbjlqRXGb^8mA#9<&h$&|#nt>K zfj(sPffk!M`Y2zUfd^o5^sG0 z7otb*`K3c+-CO-Z5wU;T$|BihzLfU_|1S@Kvnl+E#qkz;VTD`&jJ+y9k+U@T4_ne< zetfV$D}$iP$QysR+4PTK_}>h2l$|)luGbu^QqP3Qk!?;2T5ByD|Iv@L@b7_egH4bC zRmOF!sdL+p@6VnKEjq)P1n+rREh zqeZ)p+T=n_@+2>{$vNOQfGZ6X;e;t@0ig+E@*;dD&WBr6uDQxy-=0q9tP|s>f+svv z3J2XGv@qlfKHrVEdE3ZYO5Km8W^;M~)MOl+elBgfcAdUzx}W33p4*%}#M;~1`{fl9 zp;beiK)(^Rippf{-cXFX~t{y+~){(rjJk39!Z%g?k)lJ!jnr;eRTB!WaEaPcW=UMi~sU+kE>E4T+I_tS1~D+o@#wC+TXQYgOrm@BMK^ z@S55FW!lmh7ZMu=n}utH7zZ^4vWVWY&sD!b;FQUGB6x2)=l; zdGk(s6D=Z2dt_bay?DOg@BRTB^nH+s;0cJ$fnEBtW#yy{#(i3q@fq|a6xVTQqb1;( z)_YpHeTuycrbsW{Wf&7n7^A$=JE33c|G#l1;&zLMEPhe1(Gkzs0efMbaHRwtRnD7D zqOvsI_UPlA%$%kMaq(e=Mo<(RQWjCxD z!EPeG1QQl}8oK(<^!@COBek!j^^VM~JO=!5vG5sgQ zRLY_bl=KzbM507YVcdhzXo3ZnPR!@1ZM)}{*?J*YcxMY*I>zz&Tq0X&LRLF zXTANpP14o`4ZrQP(L!%nuCjAOVtcjK%z{-YJ97YJM0Y2aRILvmbx-#$LggP3)7?U7 z(=+t?1JqXvgo?I|7U11IDl?N&Rk(?B?fKCt8_dlf?|+yjOL&YP`4kklau?;ix!4JI znTu1Aj+4$id7}F$jR*g~U^)+rT7Q~h4fbY6cP?SBjz3!~@K7vh@o=C*s+)LoH9?Id7N9f`Zwr^pCM z283_3{927t_yAft>Yzf-pjo9s>B>!m(2!!AcVMBXxEif~m6x3g8gNsh{V!jj5_!m7 zskyrjf$&6jD}|qfG2k%qk<1mnp*rPc$<_cSLUVOjOo3M#qXRi^69FV~^Jk@o!(xww?ggQBoxP#a(kHpP z1SMi~7%!AKcP^L8s$67EMls52&sGWgA%OA+CB!u0v#(7`NNVj!H#(IXS2 z%-n`A=OWiVzK(J$-+AfyLG(YqR%9axH6udM4Q4M(U#FjSQ2Ua2&p*xUUw7Vt5;}ub zM}biGuBA<1W{KVZ9Zfz(pwg?6W^$vIALe|@;La<1b1ZCc$&S(6f{v3}xu$-cV{Y;* z1Gg1yW5b)sN&pD*J(9}HCavk|envmq|-;+DtgCx%=JK9i&lDR}+}RjAoG+L%V*a`@Sdh>J^j z*-%w80zWNgG<0W97eG2eiht4G%z}tOGJj%eJHy#ughU`&N@r7#q3XAEH`+x#xO`oC zYpj;C4>{7}%tE9hsK`dctsF(bJL}kv9`O1ybtFbU`{d1ZCP-v0Pu@CrrXJp*?eGA> zg;RoI3q=)a{kgt=S%OKTj(9Vb7`SNA|6mn{u_y_g6^96NmhpAiCHXZugTlXk!8d{& zzXH=Oeyj$@>cQK@Gau4+0NE06*+lK|ju4d1M4ezzN?X?{cOlb*?fg;}mF3QnnTJya z+DZ&vTB6kyu|7hfShh_exej8wbGxUOxrF(ao>uTxxFQjU#ZgIr1(s2hnPY>idnMIy z+UUZqwe}oArgqWw4*FpNu6*2P^A+F7`%P1znB7e(^d0d$LzoY2VD{y#>KK?@iKm}} zukNg^VYKDb$bk>5l<4}GENpD_G8a?_+1m|k_=qy(%@wV323xVso$flu&TtOFJE~!c zbo(-(Hv(MC!7Ha)@P9Di257MbX-FP2rCQ@@?w}OAG?%T~g`ANVni^b$z`x}6aghGY zNo5FMT*P6arbCa6bC)=1^qy5u0zBfXdQMB=X! zF&FeX7~qU#L6TqXVv+GxDHlx3&eN!5Mkv?kgv`a2+D8nuh zB|&dkMR2bW#Fs`@P$Spx-zs%-v%*vXE%@liJRwoGa5)j}fDCm>?krPxE#fTEbKHuI zF?o59R+pfn?$Arp)(Bl?6hoibucY7qFSk(m%|`)zxY)R9cWKGFv#}DLm*i?d0o;Xp zQXy0Nl2kjQ)gQ2DmXJwrJ6!Y%=;O@(IKf06Iz?nIvtuoXE z`A0B<6LCYGY8`m(rTEwes_5o0@x-tRVs~&ayyGlK2ElZy}cP^Ogg&Bz!kU6mxiC;)ys6k9c6- zZE75FK;Y7HwjB7f1*8Oqxv z`LchYCH>N^?m5%^Q@Zz{$YmbyF4_ytHr=K-1bnL;VYIzFUJjMP`9PrN-!bn&bg+mX z-i>us6W5?M7c>yQFoZsRKx5;mK^)Fgvj=kq(64yKsmYi%f(%BMG}Pv{yQIZIk$$YW zw*D1~(3dCuOaO7K+)mXPl%$3}ikNU}mOAm#oG0tL-W%VNlz0)0sp8*ByknsaovUH5 z@%E{InKmJPnZ;nJnA`zA_$=Vp!|D6`M?cm!R8{mxldh@=#Se(;2IrJ<_%KvAswkQo z0sGXsm@wA1es)-vX{_jwlr+OD$@EWj5B3u){iOh#^fR`Xvk9JGyM9+eRVoF*&Ed$P zlLyq$h+sYEjV%ixmyYQQ`5NO?27W}IOwUe#iq%^4+SB-n+=SeX&)Z!DkS7T7iG@iJ z@RX41%X2~d?nPn?aB~3y0z5mXu%6y_B>pRzZw{Hy3ZgmlNrNn{+M*{EY7(^`rZ3>= z77!C=4kI}Mql!G6Szm8OKr+z&d2tL1b&u-7lu#fktRq*Fhzu2OPQ#moOG+PTN9Y)u zJd^jjDsq8GIYjjbE=_JlbTvPe_)cRAAcpyLe_9^k++UxfFVqBmJ+R8ST}kZ#o06>$ z6TWhShuL0ab{Vwp;N9%|?#ugrFE2CE!zF2EUDK`e8Gz zHHqX7IYrP2N{?WZ11lpf%gNpCyvG(egafUSc0&!$!x|m7eMvgO(d(2zJ_cpOl~K#@ zaW{230KtFMS$krmzdA#;n7aH|){2$V)SNGCm@$Ftu)M_?@9hTZS*7GA%ifhOoQ(6v z*!i2xTkSr$Op7g-Iw}?P>nEK&_7dh)r!2LFWj`|5B$~K>HXzq9S{coXo7?evu(Af~ zmNG~fCQ8bS1QxK$JxV$gSkD(N)eGa(NHq+q61(5LR9Aq6dIH9``>h7mF@r)FoBSCh z8;1N9Vw;Q?*2q_i)^6HZ+fj6CU4ES?em7_qTuzka*PR$+8_?J(pMDBds@Az9lCpjv zVSe)%w86%L|NZgeTj?$J%J+8(6q{vsAS<+^iK{IWbH9Ics!9 zpQ9#6PfR$pBiq-`jkP-61XNy5pvSIQ2{qZ;7z<@tnsr3R34H6*Yvnz5WZX;Vd)>(2 zk@3F{$$i+TqTlgup!l))$1?9+goE97xvWrQAnLt_NL@)@4u6?{!37WyDt~#gAziWU0XvqjpoL4D|aqZ8oEwEgy42wT^70C$TgD~fVlUXR5RA8F9!4iF$4H?gK z|E#K{D(t>VX}GRjy2s0$D{Yf4Ib`bWz04tZp<*Gf&(;)~MuDgKv`eznW7X@tJQ}br zHN|ZaCo>dhaJ+rm@TZsa;%ufqKXz%ql)d_5*XG8h@RoX+O4)wB+r3YWd(@I7ZR(9O zdlGJ6)6cDQLrr0}k!KpF3gPtKvtfmg@BXa|zbB6rfAm55%<4JyKcZ_e08x3RHX@l=kS%BtLYa95+G+MkEu3W<{- zd;s5r#=Z9h+n{~2iP-qXOCR2_dR)phIxAO$mL(#ca}^8+`Nk=OQB8MrS40jQ#%zkX z_}fDKtH}_QA$6(t!n75QKS8=86>1i1qvHtE7J{YJZzsfLHJx=M1?Wa)<4i>8)2#dZ z^~B>Y^!crPEEqGjFWL?wE@Za@*2{>aeqfqLUdPey!CcDe0_9HU6)?NC1Ty<(XU%jj~%bra_0w#4oV6g{PZK&r4;_kG# zpAJO@TPwd5t>)r&l;O=uQ%ZJ!OJFJg;a}s+P9uD8#D(JOE%p$;o_YH{Om}<)!gGWg)A{Dkxq4{^fxa)*QNQ>)%M}sJ7!Lr(9wstWXn}||tC>}RGGWQE*KRWqglYt_ZC0?u=&+CqfQ!pc$FyBjGp4cKhv5l;p-~Z6 zc@deZ-Fq)KI}c4<3jbyig?Ozle8r}bE(Di@C@5SMS}0g_BwGmH#e$Voa(4z(q9C&8 zo2kMgt$4M?15C1(!&fuFIbNJRsSD|xr8I$ckhdCAT=VsDk*Lqgzba*oRVJOmT#uGxBJZ(~DB% zLdQhk`s|D7mlB~YFLi>}k3``BzrT&N|D3{l@vmxr^qiQ8a%$qO==gBW@M6~4!_d6K z26H{kLe@UT4#8C#n8Qx5-3B{)Zl(b&nq-~P!3IvWpw#pZJNN*ii|_b*oZv`%5ZjiY z8eSnaJRb-zLBJ$X@{D5wX#gF{Pt8~zmh?el;^Z?TP!&qEfOuG_I>>M9i;dJUa+dk{ zM?-j?GO&&O8iApwAz&S3<{~n+kf)&U!tPr_^ur(c`xmg&nMgeP%57uAc!zR`XH@9i znV$3N8lUeRz$kPZ=X2Fu(Nepmu9yv7iuz`c1z?802|OoDll1C#Qz3}-ajUHcj^*KQ zNJrK*JrVJ6kmG_NuM1u;4>NtW@^gA;1$+VivUz7zbe6{ZlO;su+3odDurODLXLV5r z-@>jS_mNcf?}+abG`V?QRK|%r6vb>$BPKZjDF7o$RIEg@7O>r(a{Ji+B8`DcNeu{L zI5275;q$Eewg8q9NOGUVj5fnm|EbNc+=43x!Wo(%_kB1Y>1I|mGi%HD9_daU*>PKH z3-j$f(Ge%H`tkixo>$0|*IpQ?giHc2aHQ-^&eAYBjb+5$YdxPM(WiojSsvXo0!vSe zXN}qk^}1E!awJv0>hS!Fqrd;p0ag}=t@`sqL9xKI*~$HV(JP9vU>?Ku`Rois+-7i^ zCV{JnX;*UloBnm#+;^3R3$Y@FqC94PU2kgYH4>}3^9P@8?c)nn3=dxyGw~MxXk_(R zx@`Zt<2-D)mZ|Am323h(II-h*69-1Dm+#jp+_k4=F)-S}q+!m?sDXTu#dgdlD%_(K z5?%Z*J=nop-X?;*1L!{D6sXI}hUBR5>S@3aRK%MLRaUh>#^$b2vMPLlRK4e{(JM#I zkak51SUZs|-ofLe+1a0U^cSZ@zqf{GE|MK@bqwQX=Q+E3;Q)4&-pEL=bMD|FiX2gy zkw))w6ot2CCPSHGD{ZyeXqRug&jxhuT&YXez@Q8c_L1N;*`ZAWLawi%+I_!n`m_rA zjydyAvw{HPqj63OH}`rB&29Tvn7h9m%UH?o@5F;YWjLZSs)=}3Wah_EOm^WAEMi?E znruhoF7_#*9qJ(gNeLCUNPC(#OSpo>8^p&9 z?WTCFoIb5zzp{1MlC@>0p;RzWh;#jArKD)ITknUW)cN>E3w+vnCcXVb0C>?PztfL4 z2*GQ)r4zp(WvWPUNFwSgA@W>B&U}b9$nS==qUB zY-3F7s%WS6A4ceq*#2^T%$Z#E>1Gh?edJ8MQX_t{4=4&#R}iEdDW3{9?O0q5nR$$m zq_dtz^59B54?>Ex<_7mso`a}-cV(9FsaIz(41lJ~wL|h_!;9Rkh{L&hFfrHC9b(dbUuvUl?q_q^+Vcb6Z?pUnoVR{y|QisK_Ji0Wz*p zvR^w}isH%F6BHR}!CQZ`h@a_;S;DvIHV^A)&xuwA&TqmL9e@zbWZ*oi1~pVPe8RE% z=Ig%Q*G6YO-I+AZ0dWDRBJ-65Cf~a^K36EMNdGMk>YI;>bz}ES$5B!f^^ee@ErTB~ zf0t5IIb_kNCk)ylBpCj*(W6p_OJR$zk{je!QYQM7MnSC7xE3mX^L$BU31p$|>9oq^ zY(cos75!@T9#rp@5WlCWIJba* zrn9DJMT-CDEP`_Ga`#bTh27)G<~`Kp4v306p4JtB66(k+h)*TisK0S+1zimat=KkT zXBZ9qfG(YFG=-bS%7(WJrE_TeAzfA4VPpfYlG7P`s=&q`Q6j1?awaWH!?5s3Azq-V z?}2u8=_S%=I~vIkzAj-{3P#ez5BhAhN4;zYiM!2g1C9I4yh(oLP?dWpbJ&<3?KChB zIaUc7J3(+D6o0+5v-AD?_us$OyV}R{eU@r?OSxdtC75%sy(4~aruOMr?Dw>7;tsZn zXjjWyjkDwC=gE+(pqcd+7r_&>_oNGF6m4GR@{x`Rf6JLGjUy4A_(tmIMl`$u9U4VK zr(Y`NcUqVI$jy+3T*xYC1r?7|A}LZ1^8F)w-n5t*(tJFSb5XAo8C; zXeq%Wc^Dg)aEX487FDvqHY`5$*A(tB%| z>5|;*rUt`OI<5MPE>o(#u<5EX`^*}rGm}EV%>)GRP4`4HJnB_S{CO$-i<#_7P<6v9 zLwdq<@e&p!hn>NgLJ?H1RbETwsc}R+ASEM2nfO`_sj+Ol-Vv96Qe1*~RAf)1IltwE zhwRbZs*%1g!3%!komU#C-SCdy-)#zy6TM&d#ffwj`Wm6bI(| zXT`C|s~jm(7V=pI3p|fjj~|WXv&xQQeM_HT0^}AHqbN&%Y^K;L;TXG*s#M#D+kuVJ ziXP)iM{5;2r@Kuv2m}LFs+S*lf=n&fWRonTWGXrH@KQ|JI;hmODyTk=tZ22+{%Ng{ zpqWJDr>9P8^3501Y<^h=9?^ObmS=pqE`|Lf6WezK5-4HDG0_5wnP>CA;0nLL zNa7KHl6$y5;*iQTk>`Ge5KraOCz)wCp}?j)CL!fEi}^OhIPm&6hr2oJo3~HB@0W$m zL>L`E{&FxuywweGt~n)_LCE-B54kMpa#5OoWFVh0@b~61l(og7$Y>09n($UVw#w3I zs9;c^k`c}B3H6&FE5tdWrOIfpO7kt*waWFxh}2g_iR2dTv;mKU+IMB87u5E!@wDJu zVMYr1cii1Q$bSxB&&hMK96(!pGsHaMBH1Egm_O9WxJsA!n5}@a)l>FpIdE9M#3tMs z*;lmDL0q+9~ZyOdfN?3fba3C0HYKa_%rSeD`mC(4V ztpX(NPN{eBZp|yU-W>cTvFJ}{GGmE~6ZlOerUi)Wd~io6Odgi0OLt=ZzL9PDWEI`q zt@}x^?`-Hff}+h2q(u4Ik2LfuJxufaH20rX%B2_A_S81om3yhERl^cV&d8;Z0jc(w z?{;H!2a!B(?T9>%!c$IhDSN-6r_Y2a-$~pqZDSsB7#s4+!t&*7cFO*2R+?6e@Oc_bc+*vDyKXhJ-v}n z6y!zgb zA!1E|OtNKh;&K5^x-?eVBZ4^9JdQQ%qP$P{^+AziD_KQ)T3gf7`Xk-iJSXhm;58%Y z*ONWIfl#K}I*%j-kBj}SE*1Zhi@Yrl*;h=w&p`efY@;w?%dHxG_yPMf6DLMy%7}+d zzSneKbzpJWU-%>1ylEp`sL+M%#o8~~2^J?RwUzzZ`BL_}ilA zgD`!Lj|1v0G7r0@@k=g9;k405dzkgtf&h#e!z!31Y5wDbHWi4wbf*tv}q%X<5phZ{g)%3CP{Eb}Yb57E5|(5_slOCtEEvo5$WTA^lR8@S+WU%)|%Q z;N~~~?hYX^0=J(S4Re9i%rG{}rVUC7zE>I@PFr20Tz%tR!R$$C+Th=ny=jD;9DREBR>ztNl@29>dyZ#yS2edLzbmV0VI$N zb_l-rN;;z%U!HB5ra)8pLln8UcdGCl72%%&DG7avdDmIz8>?tbXs$9R#yW^tG$9E? z^!s@UDv4K{iX@jM6IVWe-_I3Bt>9LmTH<%ncXI0&e z>(?*C4TOIcT=9#&4e)*>xanWO)5#1|Abs=Z;N&!af=f9Qgn98*M9VKWss>V@3ny;j zZ9K1DhTDw{vIUA^NNq(!cu*EO^j8dqqNOc|Z6Tb~+ADWMHlV)7yjMOblFMB9V~tf@ z6K^*Db^im&Nn$o3Xob$!O^z zJ@eYzIT5ZialK&?CnjC1eeO`Di&+B8NHnJFN%FhWF|cM7XBtlDCS4rZ)LiK(i9k;h zNQ+ z7@G$&I>`cFu~!}--gceKUdCy%40grz7hPKHn@1Jxn%sSOv+Q0Ati?F8&c`u&;UNMt zsnG9xn}}mFdt?OX@1?2cmmE5KRzL%93*`2FIO*p?fmC)>YN|ttOrE+*!P%) zLq{0-EcQFP&W8gJCJW2N5(?e!>5cuJNa7pj(()BF>vYOQF(u%))IlBLy*Y*w{F2iZ z{0)=wJ|nU=Ks#*)lfpv*H7Nk=h?Vt|5tp+d{Kt<>cM&Dq#(#b2 z>Y?7@j(wIHh}}4p!M14aO<%#!ALASa0@N3s1wz@TMfW}q0?p!ejDJ6I^2uliG&vR6 zQt7fz9>q@WzYFH&Sp)snK=O9$x}S8vds@@Us;bI7;&TJQy6Vg9|5rwNLwl`i?$zTc zY-<*&w7m^7Dlfn2OM?wJK#BB#lGi_i# zA`uXix{_6lT=?Yh5mE@C0wyv0-CFsS%Tyy!O6+3Rx`P*GN$_S?c^b~d0O9Jl0Mx}s z0j1yZNx~Tv6L&LYp!?~7A#M}X(SZ|IMY0e$-RYk_o5<`ElW;IYA^)%d7VozQ_`EF+gt-fGX)VDgESt8)Bdr#Y@R6w+ji;YE2MT{Gb{(t8 z;lmq2G=nc{v`k17fo!H3$~W^wJ_$9rG|e7l5Wd;!wh55bIY&j#%|49m+QMDnsE@M@ zCeX%J#%yO-S?Hh#@7m>;d5t)*go~_NpMTd$EqgzM$HF}>^1zY3`TjHalmVZ?%k7A1 zK3?$&{To25#pzfdUa$PM!94LEtBf8DW0koTR=2`BvO%`PkOic5mIZe&Ac7h-*TPTW z66DpV*2?GDF~c5HTJj3I`eJFeilu?mP5=OA;8-BFW9Hn}nBm3!*B3G3aAF^ZI_ zbTf}U34na~NMy|eozRDcoh-0KlVCpGJtY8XyYIbw@mlL-b5z;u&(S$^?GGY+Z@)`zNsFl9@ zE(Pi4==+VxY2K3y7ayup0P@$afL8)jSa8ylfO6`Q}~I3ZfG$Czg>jSOROe+_u^Ys@&js8E!a!kY#t8nuU#{!G z{b;No3k4onML^qcKyvFbiI)mZKYjzz?9M%T9-d^}FT!jne0%|!(Am4B+^1Hs<>!&{ zK3#6K9N@J0I~m<|w+ySDXE~-BbHQ*|f}Q@}$3XAG3-rAaMCZ!o8r7Pck6UL?K0=MP zl#v;?;AT3?51Wo~MZ9brOu%USwkM}lKAtDp#x zK3&gf<@Jw|@@TuUL^b)TD_*i8{~9|9Ry`ISx;u9f@JG2$a?X18l?h>Ev#KrPT>G+3 zUo0T7VESR{|My6BN#SJVFmy ztR-+ORCMH9H#Z$mogOAx5tY2suIDAApffy z4P%)$FnFw8D3=nkoe5{t{j1ZftWspzX5Kn*Z#cUwt=y^1*a8F3ZYEe1Ki;@d`Jh}# z^A3NHRV6s=D6u2x-;`AET$*r_f>*9Mq8!#zq7GyU*cQp(!{X74gAiGX&_4oM!s85l zH!lni8(Hn^OCanrE&5}^e+}EDfVzu2S)&``)%fVS$8nQo)#3a=FToYNVshz=yY{$! z2a!#u<*b>gkf3d|9~kYc9~Ii0U;?-9tih?lY$#YNa50gJAF)U#SvBV|@4S*85>?vNXoxOI55i=?S3n)V{1bcpi44QW1$q!mnW+kv#^USAm^5%rnD(hECZ z%Y4oEkii_1TdXfy3*g~UNF}L$)0*^B8}^>*T|57&`pq{h zac){c+s$&%epdkAt|i{H?=hCp1q*pvy<(+RufgXx?Q2l173fvBEXacw#IfWTcK^BC zO+Xj0T;90hAx0M&nV;9qV(+3N3J88yB?+;Axv9HELJm>-3$Nmq#JP8ekOzW2{E&~s z`XjIx0^;zVw8uB)FPtGJs4CAFZ@YAILy>R=gURj3u4_C@3M)heiz3r|cPU>ENVzI2 zeTICo!Nz=c!IMugEbBP7L>_8$A?++DrI*0~?ygmPTn_&lTljMQ#C{cfw3;H|54%f; zpVO1ea!o0&Y5v^?N1cqU!5`FI%#jh?wO&AwjF?;njf?ftF6VKu(|b*||2yK!1^;T` zuW&A+^Pc&KCsen)nvoT8I|vY*t-Mm=Vrvnj_+h_s)udfgZ1-QtF6;KFnHR%3$n3v} z?yli0j;)jd4gNtPb2sv4_1r*OQqsCV;@Tx-X>;Y-qMPlXy{P-G<%7z#B*Re1`ZEG(mSL=l*(E)Zr;FkDN9E6Cy{so-^q1fg!^LAw}S+KLUO(MjcNaTQ?+Yr=Aqztkf~kf)dE$< zb&ms;_}~XVdbO?5niB3io1@UvBAJ7i>;AT__f8tKv2CZZ z)!4S#*tTukXw=45lg74fI}P96bIx<$|9S5Hk`MW`=Woq5F~*!@$&WW$fHW2e)lX;S zJK2JvB{#?#o{S4-2cje9tz&Pyon~=cF5D%0WaW{X02bity^HTJ$Jb(-?khk#Ca7jf zRd*T?OIkBx4b!jq-PBUCo{d9OkJSms0(^89Vhhth*Lgjpbj#Vv^v>_w5NK9VPf{tc z`Aw@>_24}kq|1~Gp;)Kzc(1Xk1|N~kqBH?vG?4TQr2BvsOeXyv-L6&P{^dxYE1^P+ z%c6B9`%vqMaJiY!31G7x(A2F0NCv5IyB+mTnC827lh1J8`xD_5T!F)gNXIj%CbA1y zf0OWw$$kj^vl<*>g5$T`vn2rWCl)q%y;@$IkenwB`BE%Qk2H@o}{ z%t@X<)9*KPN7$l!R`3RvlOXY%K2BZjO}>2M#XeBV^}O-rz4QeJR97u;MhsYep=<4* zTpxj%7rByEB_^Ahkl?}$N0&zg>2w-5KsXDrD?!IJ`QLCMNWVmnk&-e~UuN5nYarje zV^F6ke;}{s(F3%A4Nyn%O$}wJrz=yf)+?oVC*W=0ULe3aIV^aCXMwOMWEr*!X*zR3 z{&2>lOzkGGK9U)4`b7WwQ7GAk*9(}$Vn`szVg3J(BmYnjaq`I1>>KULE!Q9RHKKs0 zEZF>-@K%vZcr3V58 z4EAAnbv3$Q5K7|Kkf42v^KgyAPq}W`NC^roxL@-``gjq%9~l z>OvXTz|gcY3%J+3Bnhu;s*b#)jITIxjtt1Oxp;pSKe3wx)wfl0oBQl@F>O&w$)w=d6JpSWi|F&c<$lfR>CAwM=9r9D_(}YLaHeL!O99G!;|wUhixRQoKI$~kKO&o2Mk|6#Fkp7q%~%ppa7-snx&@;W z(#_sUs?V1LW=*3OZUCuUU^9`lTC43;(GFv#z?1En4My`js;j?D;n)DN6MRyjd=j_N z27zql%1NJ22x(*CC8BRPzG>p;{}2StLrLr^{^MrswTm6-gdv7mK1wX!Pg)7?(j!cY zr^4Pv%-W1@7Md6YS{Eb3HbX=YB}{FISjzP-hi3Nhh2=tjn`WB#iWLSZ>_vEex5Doz zP?eZU>w?l<6#S@WGD3>xNw!MV-uRXqVn#^I#75 zxtmJG_8|wN?N{CGYToUCk!lT{LO4ObW*2^kUN7o83e?d1Qbd3CAzQb0Sn=J8y;zM` zPpZsiL3fcW2;6M@zr8e0NW%Ud2mofalNK)vgsN?s`wvLze+jed+XjXO+DaNvV}Id8 z+{@qhjHf;Urd1QxN`>}>@*yW$H}z*xWjsw!b?fxalY_RmhbqjixjZo8*vXLKCu9li zZ*^;L4>_{C9?|*S8ZNbB`NO$xWKysB1FEy87S=dr`&s2R$qU1s5}+_Qv4Y% z6xZAmTVskCa)r?K{(Y1n!CUd03MXMyXnVJYBOiMdqg`%9Pd-9hu6Sr<@TD1}31~5W zk_-r4{rV#E5~Dtgd6@s{y};!JG_RP@Q=(W3wGeDpw?ghdDH%W2^_; zAS~&t+08=@RH}?nIJ*_jERM7WcmZbOL>g zPA^zX(Cag%<-7FsyX+)V?pV0rg)~~j5J8kd1V5o$=GVM9z7T#wamC!DARf{HX+{J}! z18f>vh*KvQsiKeX>YVr$ajIJ>%%BDZ*xaw4hN8cmZ_Ve>COQcmkL4fup44& z5oc}jhn%c2h7Ve;1{A1ZC%6M1uQFv4njFcG=_YC%T|5`o2JNyF22AsX523y z@`|tjLe&J}ohJX8agGMb?(Y5F+YBXFM1O@VIKZ_9-1W5Mwq;t-&fwo5`70F_?3jFc zo%aT6XdFL1#l!#YvS1Y%oe26@!KfK^#bo60rB|xgqDi?ON1*0ep`uO4oeFrYJ}bpd zjcW5sf7rG9AC@x!EGiONu2OYF^C8=2Eq_7;UrGgm9HM%2(g1uA9u^Nr5nr?ghOT9f zapqmFYF8O0qGun0`vwEwCvV4oV!Zz=b(0_tNs$lc#>Ub;sG=D(U+7!qmCLJxbM(K- zm-*)jfOMkJsC$8@A-rHpb4t7mpGm>K$6UuGQG_^Sfj+cCxM#*KQ445c_~k*c=m9Lb z!o+2W^vh_20!(%tDRO})t+$F>+==rNaa*UB!Sc6UB#+n&xJV-+LQ!7fi_hhtO94nN z1$0HN7S?tJMmhFvH3@Q03>MtJ5#v2L4`0D4G>jGK91LnK;P%VYxZs6x7HUY7mEREjn)L0- zX|f^8_yhJ^6Qi}PJ7A`u?BeLb+}>XyL~#x#dg9({kzebu^C$LZ{^Xrq#2HNxUuZTJ zN%ieTGTlObVRy8pPglnU4T)~Y^$Q(EmTKwc9?fDXY@-U(+CmA%RS1gv>gg{=aCmXH zHNQ`VpE%bD>03|1}Ze!a@!K zM}oe9_NlQz62rrPm7n3oP<$S42+x%^TLf#{q!~X&kv+L@lyXw9ZbaBAly&@VZVOoN zzYGdW`~qvJ>$LYRe=4JO=S<9ryu%MGY)F7-&(H{ zCm?1o&a+jQT*lNx%`94epX1dtE7LL8$WA~1I%6fM!)h}dF8}SB8b(~#e3NhRPtOaA zmw6MqPo_e|KbI4eEWgTYJRhq64O;jP?)x_pB9y5;5f~r(mi@{xuOf0Bh;T-9^5cy_ zm_sqJmK9`E5bt&^VqCV0noH8KjYP&5B3+IN1b;g{QJ~a}XywG%W$Nr!6JYNG95ndz zCqlu6JEKR{i(sxXgb*|F9*O0exF&E@mPZC3r#B#hZK^v9S!zZXtEs2X0bw#yUGD=k zRtaDE|5Z;65|II9LG!Fsnr+KKi$2L(!dYgw}d$dkZwER5Be|4`GspO^;nBP$1^&XIQkQ zj!N{a1jPrQewriPa`o*0`pI*ctL8YvRQQrdmHG=;DR?dw{`6p|L$Ln_fr#*SNBZVQ zLP}Msv%7v%zY62@!h+cZ9lXHztv9VDg>jZ*ueFZzjvNZg-3pS^ykN* z_2~>oav_1NXcGx^_MX?}XCo_QJXDy0s5^pxugs6jn4WYkY8IEU@Nb#j>p+=IBHyNq zz`V+X^8BezG#%m zZX`6|FD81n9^Q$GtcaXk16e{$`1S`GrK@5b2$OKf(*C}pQ1Q)Qm!!8xmY zAalWh(7p(JUQe+;%(zquJ=Y}lbyRTpZ*x`51VJ><)#fyMM`utRYcF?8`j8r4MOmFv7i-9)xn>#u63`Ga!nxDD|28b5uGSUX9BH7upt&_!EgKC^0UU|LjG0;9Ii_eOW6F<`Ad zN(_LR`u2VJ4of+XiC}-%AMDb2sVgsnmz{WGoO9mX@b|vy(Qh2ImTjM@-$|4QDKsU8 zB!?LDqPNT#Z&pT4U>9^Vug_{hrA6r0J!Q00ru#y3WZu^jWPR61*dVjDh?iN5SiD!q zx4*e2bAJB5f@F2CQ1Nlyh{~E)Hbt}ymJMN$K_Y~%w6|$Zdn(A+1d;#ewcA_I(e+7A z?ZB5MuxhxPJI7ixP;oGL%(s?5$=ayzluNE6?2i_k?tbTsZ|I9vu;0JL|9P8~hI-0O z&|_kG$@1dmI*1fsqd*&Ha^}@DY5Dq|qe-GBCiDXwGU#FFfs*V@vp<*c4tFSOIFr*4 zL;Z#!EtRbepKQD2=y@UFG+Q})n2Us3Biz6*lmkwKDX!;ZG4k6-f`%lYb`m6lcc)oU zy4)Lt14p5Jeca2oEU4~DuccUFA*Cgn{4>EilBM0rmccTOjXcs7&$B#SM(M3zb3|`X za8|+MoQz;tJ)`h^uQ2QB=hx5Rk;eXwJSL*;Jd=eeg&Hi5wx*QySj!zR- z_aBz*ghkSuBjnotd?eO`gRR&C_gcu??Nk?q9+8jwj5_A~w4{84^J0-4EBvu9>0dvm(p(aJ~GIOs93 z)$A;fSR$yWwd7|lFTLEMXMNr`=#CyiYe`cDQ5U8oJuBbJ6N4s@7CW+n?{i0K*^aT~=7r zsfcjMCD4{R=a!+3M7ZUTWRFI;MQ3~C6bjlxCGPp4GYn)KbM2E&X6K>jF~Cp?lmdW+ zYd+HCCMSk+OKuGd_mx=!!D=@dJx6#l&F=8P>pp z-?7FK-GE_Z;bVy=M3WQ$kft_sCSeUXYk@_ep6w}zZcg73vdo!ihnY+7*Zf16>4ndg z$4ky*tINOQ#Y!W@4GH)Fo+F2?CTbT1*1YAf5>$CCyv~EcZl18|LGkXO&%#gs^CJJt zY?}mY1UZr}qEZ5{^dzG*g4;k{Z3SNv7}G(aV`j1~a80QKT1rsJjvxd5;Ubtpe;kJP z5rnSnPa5>D{ehJgtNI90Cjl9y36BsGT)@Lt!GN^NLi{zo9L%nowAz7tLRHJ*o|9^J zUp2sa=UaiZ`Jhh}77luYlcU5<%THZgFK_p0ah!3vt9ipSKqnUQm6L#vbUR9%mn^fH9CY`&8cpGX zSFt3U0JTHAQ(rZq>KY&(_@6pXHwJBpzb*3!eJZhS%V>GNk#?#TU*m)WevNMKjl9*c zhEDlx5pi)rbbFXbAmV^X^^WH0l8D#ADTGljrhQFi8R7u-gEvX4?+si;zisk&chZq- z1ZPeG27^7H+Vxzu)uez`;rZ>Cz@Iv_S`YN9DVZ7P>^xp&4n#xH8mnO_clMk0Aj|=Zw>->5I4v3=UxG2lZn3FC@34^KW2&w~m}u zhiOb4ML}e-+}3_vh7j21X^j)5?M6SZsrs}399pBk=+f2FQ62V;)D*q)!viIsF}2s% zlJw3X%@em~fIF5>)@y2~D4M_s|!ZUIB~aHQ+366Sz;3(w%(pw z5PLz1iB*vxAM$>8q~u#C*%cv=kDNG7J94@*fu0b~md6)H>MZvvKOo`5+U+TUNeKdCW%^dCU!Y9+bh^ zo|T~Vz40u`R{EOxqJqu~jNU8M`qarS4ET<)GDB-%LwQLq&^}gOrc$hgk2rZ=z-0AN zRTCW*J6$u|zkTAg*y_QD$mg+R56a2{ar=-vWtx~f_(D1 z$BhXqixT0`8wm*!K^%r)MCw2bJH@|>m4SGvEISZWB(4=VdH)0h_6}QIB2glqNQmI% zeb&MC@rS|XvS&-H$s}(D^&t)*`Uhu!N($*5p9B3&V3Q5d-`2&y>v*67+^XfJ^`LSffh2V!qA-l2-B0T_Sw&oOU$LS~vK-w$;oz?h3%U)NP#M zj^2~jzZ4!}*p+0J`A7fZmQQQMCCLX{jR=+8hI`+d?PSWwmQf>sBGtcy-av;retd?J z#}i4vEEVdq^S3(LYs@%k)UYpv0Qo&H2S)zLAWBlp6mQYP>0OmG1D7*Y4!&od) zc?)1471{imB$G+*#QOdvWVkh#Dc&0R@Dx0C%n{rzlTlGm!v?#;h2?W){x_W9f%PX%+m-?v*g`<((7&EcuO)_%5zv2n*$#`LkUcEv})qR;33`ikfZOsY0#qdZtg0HO8N{oW~ zUkT+LR0qGwBh$4ZBh8-WFIb~QpE;oqQ*Lp$qZldfGF!^(vLDek1Tr8h;fc0+`p}06JPYe%c zzsU^Zrx8C_ybz6jb5z-as;{X>`ffTe_!S41MbFrmvS@<7fX!KxZ~husACICEXd3sETC5?*k|qB) zoss@xj(Fj{%yw9-f=_AR2?<`6xXO2g?nR}tncG=2oAS>JYZu#;7EDHy{i)8CjaRb2 zPWGL0Ckc0!KQ_0kU~?YP#1grPgG^_PR1jJNs?Lm(+zG8>W` zp;6=FeJt&XHbZ($ZQ*=z0~w^9_>~*lQk*Km%XK{W7<_SQO#Tlm$qvVE6`>E>4B3r$ z*P>A;GdjC1-lzSj5uX6!4kQyZTVyTy5-VWxc;@Wi5?C}q|DAqWrGZ#lD>JcC+U0QS z4la`#30LSu<1$#{RU24Z1{*1C1MTVG39=j7o60wFdqXX|yzb&H>**_EKXr5scVglr z%pKj9pai>8+ZP!hjS0ww8vVk?q=GWw_-UrLMG?Ft7l9-;bmmRhgRIku-4ij~s2FZ~ z(SfNqMO#+uoh>t6?eY|web%^D@^Yq;mH}E9U0W829&9pk-|~UxXlCP-^d(SAwf>Ou zhuU~}Wj9C7=sGsracWIITTLq*Z*hTWtK<#O{%6#VBCDxIR)Z5?4vA}u>*@YwL-=}W zVEfus77KQ15?R5JWAGwk~IB$ z$zPYD7n(RU77I3S@(e{Qb73iO^9v2($UNy8q!}jUl-Kii1qLbD%$4EN@ESf+y?#3t zDpzw2{1pW|{ZPdDs1JW|R}K&FF)fx<7PRltg}h_ubAkAKgd?qEJ2pEKLQ7-qNj3?? z1=zG6epR^RI<(dMS`24h4`lj(RxIuk8hwxW8ET$L1q#7^pCj|T=NegWP>*n19MRac z4Hg?>rwlEH2o*RT#*k$% z#>hr`p08~GDo01nNG5^9O&W{#d?q;m+Ir3#Z0AqxF2(zgQH&_iQ4(#RbsY2uGAj)e zonDRVE0mpc0HK$YXYB7U^{llf@#%Crm-|=%{fCDV{9;U!HH#*v`KHs8b90Bca9ObQ=*a=n$a1?)%`ZTUKHZfqfpPHEtb=6q%^831*l<-nN{U-2(B`#&8#STc-baTrO4?5cZ zeAk~!H|^sI&&MBWROPUf7H=~`Bh}=8Fr~XMwD;d|M!+i-1)*V$l~S1du-+n&-7Un1 zuWNa^eea^H2%WW}n{G0d8J`*0@~-(&ul>auU&CxsJq zKGyzX9hqd;{lvp*m7s>Ef6q=qY3yI^_P<(fbd=_nNz6^uL!p8OTdbU0r?47l;{qkb zASvbW%A{wrDR*L4Z7_P70zGqf*H4#Jkxhbug`HBUV5%dp85fK{&ZLxP6T)5=(ydua zO&iw9%!tX$KVem+;#;?6NECu|&@%ikG|r-TNQoP{TrfN-=n0CEfP{;>mihB?C}gFZ zUfB)r0M&-frp)k}&<;kb|Jb3|mNy~-wkB4QG7YWgrUjS7Hno}>iJkXBpS99eKYwan z@bFq0{*OoJW{k}IyoOQEG~?7T)nc5*5Vrc-@2PY3>cY<|xa}Tl>Za)Q-nrH-?`Bq4 z?cm#*;(757yzlV%9^v)_L7!#R7yI6FIXMRGsMoJKF)Iw4`Uwa0U*5Nm$6B;$cfu}c zkgO$VM4BIH7iccsx2fd%K^u$z1yZ0iIxC7oBmT&mI&4n_Y>Eu-XAf+J7Mj7OgPyg| z$H=eB@=&U`1xb<*$i+Jc+vcqZ=xqN|DI=`a66$#fXdUeJ3ZtS`gH}&&@*hZ71d`am zACC|>PM6U{Ywi{^SxpBxbyi-v#-5kszUDd?`VpQ0>j{0FVvBSuQVSc*vTu90(Q!;F zS&CL{5IM7knx_3_`K(OUt7bh0=@}1l{JDV20%`Sh7)Wo5?|lY-3FR)NH0xgU3`}kF z;cLksx!(QM`-2ze%Xqjg13nLO#G_NM0)?a4WS_h#UWh&sJFBxU3UHuJI0;Z}<-N$! z;N`%O4fo&x(Ba=fMKEqwD^T2F$NywaAlDI9j3nV%jq@*#CR-Sqciz#0;o=-EIsXL^ z(`yjbsvii;$ljM5fTp8qA4N-e z=Da4ZaW|Jz#eXjuV1@D3bIsxBjM1|d99H|FU>t&=t}Q{H0_p9;Z=JF@>mF|m-dxf@ z92ve~X54|-6+0Ar`yLS$Zc7>U0|2(?=P*o>q8xC%@&O^nFx1hVMNeh6>j~@Na#oo)#~aUH+8#sf;Q+=Rv7H zB6%%1L87<|Jr)!&s}p(8b+-0@NPP!=dP)?+olTJs8;p0mkSpb00?{duXt=Ep)OWcu zTX4TVs9#mW8nFJ%jwbC#Th-9(Uyov>h{>-YKrpGvm0XgpLI1wldMyKoY7vtS@Ilc- zh6nv2-Kq#_$aerXL9}~{+@Aa$)?>KdXc!D((n=ofpzcsnk$DBS(|JrU_jTu!rgQW! zCms}n<@o!d5HLmEj}zhe!*^}@yLXa|)I5(ITN2r>JYK0QtMI52+6N$QGFfy32+}A5 zg~WfOyQC4^Gl=Sy0+1ixZO!tj55zaYCyz7B(h7c}M>tT5An}Fn451Lq`$xxCZ*@)Ew7MVa5Y24cnRy9pxuu@`I(s?9)W(}m zD@T8AC$BrxGo78A>l7+Wa+6ubQj(JBzBDNT-jRahzN~vagOcJWWU_T0j;D;PxBW0t zE2@W?=?v;hjPYm??SZZ4P|G%0IoP7|aNL*#E~L7|lrg$K0y=-N`vpt11pYmnlPp<6 ziAKz~uj(Ye#X(QN0*$+k#~+3wre1mykr{tc-~S-Kjw3D9og&UsA{Ld}O#IyJU2Ws( zG*9{K4MAfrEXa<2F)P+>I^XB^T2(W}R%8VA84h*7s<~5HHVMvMM>yF2hA}@ZasNm; zsYm|kx@R4rj>G?GakD7ax>*w(8lwLAV)C;h%@dp*|D|VzX~hCS?n5H{=># zlXk<^mieY7N0?@U5D_Q;rle*nK5GA<&qspp3pHMZAkbic0&){2x$Rx6Pf4H6ESwoU zya=|Xvs1ulpjsVyr_~MwFr@gcg`V26Z^|`+_=;Z%JX9F`BVF<^B>~nQuL=iwKlr** zEy@#}#v~9avrW?Z^a_>2Y1EqQ= z2usd%_-lmU%0=$SR_+8-3-jBSAZf*O$D;k&&U9@{<0Hpk0Bh?nJW}0)UHT>ZJ%jxf zRIG;%nSAEjJ+|v#%cp*EA&L?wOO!2;6WwJ_c>9LNXX*(F6p5>?LM;^MuoS;1vYgDT z1$IvucUmC}jy_Z9s~i3*_el+i)Px z^4_4_opV_zubyhIs~-c#@x+;tN;9x(#n7nm$p&cMTSA;HqhCDYoXFXx#v+^o(B*i6 zU-C0c>$Asly|dk12aX9#ZNbsm0GGEYrW0iIp}sk5wK9NiA_GU3`6dixTvO+y zlKgL2_Pdo&3g}&hTI>(1}dj9?`7z83!fnp zkL{U?;u(1-O)@fgVUGr}Diu>S8ALy`fRJ$m*~9|${~}aGP>f@C@P`a_R%xOI)y+Rh`Tb5z!&Lu7~xIQ~Sra!)U%uB&6S_aT1T7EPRHnkq%6 z-@wUQXe2VjLb_^$c(u3KQ4rsmE!qBqbN8k$?p^x2+x7coU-9j&ejao0$K_}7lp0L? zY{k)E4GD*RX8ah{uj(!>Kd%?_={xOPI$NP)b9Jwh>LOR#CM(;~M8}X1T5C7ViHOOC zLQCdKQ_xuc(lP(0VnRNlZDp;I9<-&+45ykP9SvTG-6fr~JmU)SVWNE@(-uSk`mTZ* zBlX^)4je2AeK0$hXWa}j1^_*+;ilj!SnpQb4k%VDj&JPK6;Ia+4}exY5O(K2Zec=4 zU7LMKuLMngQ#t28wRT4%`3c{nJfaCj;>5|RM`w=mV(IWH!r>c=2|b>|3bQ;2nAEI; z?Z5!^$HBJIvCh73xc(Sm*y3yPwW6ugiv94!xi6$$+kMpWkb$+{)e`?KYUs5Ar?9ME- z)^IW|-d74iCxDcrKQO-p0eP}t)LE=@lU*$ z(Bxl~_ha9lXO+e3kc|bn#>}jTx(et#&T>hy8gTQE*Jw&eW1~xxHs*7c$4S#KdFg6u zuaZ1~QI#K=YF#pw>PAr49^w_UL6`l1Ba(_cJK5|6W51W_vIsz~OxdcTppP=VwdtjMMvf!a58&4|#83j$H(37p<_bAA&oLDO z8Cj>BO6BVxY5qe`PfJ?#9ICq}1ZH8%l+EQ0>>U@XLgbb}^vvnY^Rf9(o0H6HcWujn zm8yDbkm;88lc{K|e3>Tz!J-F>EtyMt@^@P0TpsMZo0l9u<{DU1thc(&_sigk6PpM;24}v zGv=Y{Jq@IOQSWj`bRC2+!UzwW#RQy5!qzo@MK>vG@ey=5;gzBKXP|PP#@NzHb3+r- zCYrEA@PSP$<)^d)CiihHL$RZ3LM!0s54%k@b7QH55|HQP3yS=!*m=OmjhTA?A!BW| zYfr>W8;T@n_*tBt3cEpI(y{&%*)-`&o+ z=%1_!`si?9%6Wx-5O>!gG0YF&Zdu)&fOvG^$_tSFjMu(Z%gcKSzHUpi=~h%LxL#|NPqmU;_%u#cxNU0F~A@$r)7|| zw8jXeiCN+)s<%)42hR+O)D)n~r>ByK;7ccM;B&NlkOG!4_+xx4xkE2aa>gfaQsShw z>~0e(MdSWLy%YSoOO54bTwgAT-jj-Rar%0tbkmldp=bj1^vbl!=}5jp^syisRwlQD zK`$>ic)A2i>fVeeL**{o8u+(|jXw5uv}SWZ_x_2y=)B!G*Nu=p0mjm?d&%sRckiX? z57x9-({LUPUn~2>S6=|BD58y!s6y4w`IkXJ~>CPjM&9eKzq6(~Y^6PRw?-`MdTfP82v5*;f4<7zW6px_QMkSw_W(aqbc-x8dTGDnmsVC4Fg3A)JC8 z&_(RS>)^jg<7C}EN2n&ovBI8aoi;r)DYA3Kc|})a52X_^q;Kg?ll%(yu8wlFz@(c1 z9CEfG2S7T4I;1PO0W`bsJfnXIm%Ja+m3@~c3Bc9hM=OtAw%H0GS}u+PzJM2uBtN%Y z42tV;L5TA+S5c}M4Vn5+dd&^Zq30Jk?4xWi6de)thd@DcExt|zx!)7ok?gL%Vd}Ua zJyfbqLw}E1=ZzY6GlDu%F$B2$U_vwC>|yfnojV0O1x`MbzQVDM+wM@MfGIB5M0O10VCjPa?Imb3p23tlZ+a=wn*>PQ_YN_C=o=iTD$jK!)0eL z>aI(RMlc~wy{%8Xf~aE3T@V|d{M=+hD=BovCTLAG)y)F#o<_EK_^XTv;t@F&%!&ch z928f!_Nz%p#U~YqYkvq<>9D2_jN*ZONOtfdbKx_$4E+)OC(4?fm0VnNV*ki%3OiQJ zj1$<5=&82V&rCy~)U(wPZLN<-lhThS-VHxD?Gp05U$1$H{TuBuxzyh9%q(^P81Q!U zq2Qp23VR=VFBQL7VZo0AbqRmUyp|!%hmCJ*{jRP#oOBHqhK1cnSPr@h;D~09_k=R+ zre$8K@~53c)h)js!aL0inl!n~&?h%^5IC~eoX8V~t~Wzcq6fl*356Hfahwy$X}+_# zXEgJ6w?H5+6m@6mL^`L|F^a>I1Md%U&}ID6WAmRI@WxBz&lVHUy-C@$cyuQ02c0%k z;31Z-!o$9j$EDi<5!0lE5?fYZXiW7f1%@DYtZ~eLk|{!WK}wJZW05|l=`(bRbCg$p zPzC3bI3GkdR%JmF!td##nBq_yrx@N$)8=&%JT4LR$ih!h1vUvf048z&m?wJKu>|he zXu|#|&X^IxjPR`RGnoC^GNvrbB4u9)Vi2(DjyP=(^E$i5_KUeW+i@H4$8~(nT%IaF zS6M)ua`$ZN8dyCV@pz@_EY!D*U=mr5R=~oe-->c%+pDBj)-i}A<1$I^1UbRhZ@eE4 zPkjaV&)a8rIg<5jpFUy)R41$J{CKqX@qbiTD>RW0ww;xwup@b$dv-)G(HD-04A}<$ zi(@05UmBAgShSiJA%d8^TXRbHgtr_E%=}F@mp*TF9wNY(S0UQ>?k8mW+&D(J*nz9V zL4j}7uGO(M+b9i zFu8Y`1HJ@y9^>h0nlK?J?2^Pek3l!(Tp+q});?3O{BPg8uC-_B?rlr#W0)$Zf65o> z04n=(Y?;@usGW`u0k@>wr1w(TCUExA4}^PQT~*`MYjeddZO~52N{t!45*e3D{g& zm$zgxInsS=n55+a)6g2&hlH|aJ;k;Vifv!`8ivB7lA=Uk9T}-4^)q=vW)&syWUs|v z%{ZA$WQ#d+W#Lded)1_?U5auwx`}wH%ehS(Zb-pl`Zo&K-2K4=PPpk2{@HK>f zT35T3xE(EE6N~G+>!||~Q7O>hWA=L;1wgAkn35TeI)L#;Lye9 zlo#QRB-c1D;UkI2G^-{XP<)v{`LH>RWEgUga|;}A9V4;n;>7A8(sDQD7Mgo{zYKz4 zt!Wm87^{uL{xUpb|8}qD?9*^_a~<(;OV`3+;rzsm&dKu1Ty$LG)7|vk*ai z98lUHR_-K@k*oC?xr}8?oF=ruMsr8hAeP8v`YqhlmUooa8bZ>BDUOF`5n@oql6isG z`))ioBF%V}r1kJ4)`+e*CI^Tc-1)6(0bQmKML3fK;rtms z=NJPo%!!fC%2*)6JN1<9@&}!6lZR3dsi|JF<2S;?{yzJ=+qbtmi5UdxE-@w`$D9qm z4}EIXNTb;jv#*RA)%Th5)T;$Q^!p4X$O)L0)DU&5(+$D2`8{Xc@p0`IJ~=N3D@K-x z(btXQ3pc->y&{u17?jvVD*yU#@{ME}+bA%kkHVlyr{pjF+hN^0WjV0M^1|N*&dpXvkrhsBN3e{>Qmtb}lv!_uM!Sa^B>`MH4 zH`~BIw~Q$x3{?0_VtePcdY!3xmMH|LtjP@n43u_0K)6hW*e#!U71rw~fX1lFpEo!6 z%MM7Wp;}r2la2?21_cQoDl{dH-f949PrtIz2+GI^y9&!{$NHm_@_8?a|D`Za?`U1~ zZXu;*^Diw;IMw7`1qed-KAspb%A=fnldlZ*YxAx)ayO8UkMyx0tl?gPM4I*EQGD89 z*c@uO(=SU2lDv~X>3gH$Fj5BBKnvq!oqzi?tWKrsa?K0c#CX9?xXmVQXDUzmL zeW^Vmf=g3(>+kN8*7izg-y!P)yBlVwrucf?3hKf{S7o0cx1hUl zXuO$@esww0S|u^iCE%yOp_V9YPGGL1-@&2-oZT3u8tSF5FP2G#=1cG0O8QDjE#9(+xkc+%7|A7#X z)b#pf0e^*h{}6$Mv;Q?~T7N?fFCQo<-d5U*sk;yzx2o__D0}3+CFRYg@?=nfG%CKl z1a`t+#&r7J1ca6TA{b$PW2K?QwFJgF&_TX;Pb!LHz6fzgoY=`k^-_55Yxd6Qd=PGl zcBb7$rl^i};73J(4vA=LYfU&*>cWm-NV@Qd-xe?C>!YM~_Goy4fG#uhEA9~+wj_dsj$~~wla5&55v;4%09(pw zt{N0qX~aC1;qMgEha~nVzcO|?NUtvDK~I-Mz%*f(tcj-N;a~c25RpS?4h<9ILM2Cy z2{=~c1K!=9G+o>>G*NLK56Zw={-{a?I#}2u4fHzio5H%nRAx=ogYc^Q`_l*g^xD@m%+x>I8pfIeRw) zgplMPF^15h(*^etb4mQaUNgQYTOOtzayz-yTpqvfS#zNN3^C zqm#xp2%H&AJy*U#ON%K!UdrJ#HPro*fLgh^L*Yn5a4qJ+Zk}OIwuk#k0W#kOlXi0K zPRy*#1GFXD%vtAq+^EI;R(TailmVKd+&(9;g(MoDwY#t)|hwh?zL@d(wqthbJArD7>WM)*(@e$E!v*Q&THsZwNY zJt0Hdj%N>08%li#|`zXsqu*Gv5_R>72i-AD!D>-58zJXMYpol6Tq$pZJ?yJ zQr**J%qH(W-0^ocCiZNCMkcPw62wrVr`Cl-rcJf|ci^xc^TYSD0wqouF;H6+N*cr| zlB19s7UI9g^6p5yvLh8dw(9kFvg-|5$Xqqz6dQ_a-XakNC5&LB3T&_)3a^JKOrp^= zZaD>ww;+5-@doeTjsFR2gg}e`DlmOJ@Dc?#D%ALW7EeWPWOzOfBu}4*>Sf#cS#1KZ zu)8wHeb*h0L3<~09mOGAt0&F??rKvN=JC@(ljO#<8q|`xMkMz~GVoFkiC)I?JKt)C zu|GmkD(yrh?uKO6*ukEFtd_R_wOZS5x7|W-9>=C+|LOT-l3SP*^DCWrFTFQd_oPH( zHZX+@2?+@Ln}(w0*#GV*rM@F(fK1)a4Y zh@bmR3IEu-?{V~3{GFXIMSa9p#^c`b^3T4@mT5z$e(*3i}NZjroPESKq6e^mcy`)xgc+hkI0%xealb-oe%CEDEYXN(*e zIAgfp7zADSksfe;A2nuQH||R>8vIHc|K81}S)T8_Cgv+JnbPcV+9>oA9K94B`5x_G zzHRw>$pUaDTc5nuC2&*-jErpf&Mc^IJ!qezL)gg`WtItVn2j@$0!B&IFjzbvn1iANu3yv)0Q*vN#R;qjF?me3* zaDoLVO`-g{W*ks2hU{XQDTo7eRkREyHqhIGm#!A7IX^TO`=?QIs2k!AePH`~z7^sJ z{FB8klglOQ&ep)ewt8g`(rD+LjMxiIMbC>Ga>2Kwr$(CZTp>jXV$F2`vGUI^JPXx?AVdHH&W*59pSm+5}`O;hGDmE zq%eMV+_SnPU8<*EN0$G`kJlGh^*J_teEp@-hPL&-;H>eq_saJGvr5Y~J;r%{?&hj| z$-_@WZ&Mn|Qo7i;MbpEIZ$@VU&7TH%j=cn?$Jjp|J$P}Mg#Pjgp704Z&$1Id%SFVYG3k%3n;Cs@sMkt1cC1TEAN)ZgqUzy;&lr$61k z^prFj&#!L+5}?sBnu4=p+5DmMV}Fc~S*K~t{{dL~972r*5qJ*?PpGQqts)khKaCDZ zS544X8q+|QJQRLSOh_1^o@iWOJE#4ux>+;U@vyP{w48a~FjKZKCPqE$JhPmjx($wx zqN!_lZ|e}4%*|9X%K1bU;S8rrZK|3CgC=x8mBEZUf?8rl%rhY`|M6TILtwI`_)Tm< z*$eILtN=nxAj<>vG7^ zsP<@trmADVxUT)dql!|hFq(W&rEZTnFCdp2?;h|5H)YjB`41|a_0?wE?C$`)TJEbW;=$~U+!qj#d^QV^7YTq*P zuk86?bFXWe74PvA)tYnZo(>t$jwt0nTrnpmJhhK9gnX8>a`W|LC`fjFV8z<)U=pfvPrX~U{1soIcgDDvpf#;2 zTM7AMEhMvu#yX_VBoXWK)YnE@pBEc#$H#!1OVwHD8C>+tmRV_fmnQ??w1}N#EQZXvJM7dO# ztQ5OGDhg~!ZdD1bRt4pbxw?aVJmA>0g!6JV*dacL(n2oG!^Przx-kH`7P{9hd=lT^ zJd$i%UxBt>9Jd3O2RF#8{PNudHl6Q-eJQLB5r8C(^Q2cHrDS6~=>$tr)oh3dTQ-U^ z&y3Z$xmTXjt?%V4Ofo*`@!7AxL#U3CC6*!cVh#(1>^t6=G0|v=Sjv9l_~hZ(Ds9?q zO5Y0wjx6p*>fE8$dp{sXqT>A&W+~szZGChD`4@venM=S&y0iph|I3zL-QL5C-ry&P~o+>$eBAggf(mfC+ z$vI*GH2wK8r{FMt{%XpxW*W(Q?Ean;KJcLe)OFiJXLZumQVT55>=w;JPYTQ?4KB%j zHo)8{s~}A*j&DbLaE(}F`sa2B?-QU(HzG-841iVPP~0rkORER-g|GUZlXITxgx{=J z(af-@J5Chp6Mz1Ut9WLk(*+;B%v$-WV;q$^CMRJR@m)l#Z0Zg7KJ0pGsi?b3CDCv+ z#`NHU;$Pr=9h4|eP#F#d{HW(~Nkew}J?8^i=pZ$3hOb6+D_MV*7lLn7OypoZ?6~Y< zksXOm@)}d2`&M{Etpfq z_smaXyA|C{*#3{L28>)vhj!MxZ(7x(KmGZr$B{FQB`xQ8ZsHNZ8$KizH3>MgzObN% z0NDaIcG~PZW3QS%yt`xPGia4Trzmek7*{{Ss1BU6Z$=o$)5q02`SC;dJ>epk93dw!ZoU2VizA``YgUL+pRz&0v-2~?sEKlY0VlAwW^lh8ps zd7&Iq1{}CFpd{ci;1BX&y7E7mR(ARwW3_-F#S$eS^=XK@nRHB+I(`@V7|O0|pL6ek z+q%-(+PRr4HwVGr2JzYGDBe@cUFg9nT-?k*04t0leHFMZlJ^`KzAV4rz%=>4m?iqZ zwHOGX?c~-_5gvifbZnvkhYY(T`r>5V+NiDk>y1+>Phz=T z^es*advo_hpZlBU`p(v);(Ka)o~+j;Kne>s5vV7`wdq9EH!3LfRh&XRKr>SMmG|uJ6iG-P$z5!Dh2`m zfltE(>A)J$!j{6N~ErWlw(kl1vxX4ie;;LX#$aiDV1p0M{5^gd2A1izCircJo^_bTY z4|7SB{}5Ow$gbcrvnTI6iAZN((6-SM%jcW_^}(3Y{~`*izMBxdX4A`cZ_vS8O9^Iu zE<9`)0lNGQ&g6(*HYaYRJS2^u*|ZT_v=Dy=;EHbEwOc@!$Wt4p7y?Tp(4U4^qwO&c zRu;h~d{$dHNL@cjrs5)|{8b62Ok>~vAV#qTOC)6D`u@gS4X=qK-QFw>qw`hfn&QD0 z(A^@P;beX3J`GWLKMe^?{b`4Fou*{Fx7h$`L@e27Z7E24S%lXi**L(_=9xz=wQddx z_9%}>gfyJb?Ow!$IIn6m-<%NU_$sr-oX0PN2+P%6u2s&VSZ@jXHpF!#o(iUZCT|>C zY|1LATdYcs1kYKeIO5k0fJA_91fcJEy`dHLq2_hZI7~H5K`~AZ?UTr~CcTZepnDdF zkB9Vtq4{zoFyKcpgC0tJjy+~q5;XP^^hU4{D+1X&j6TEn5~>2dtv)$jHc1|;44ZS@ z4lpvqQNrLAc-#u!ThOIrk*bAxKQ0+`$B})Tkl?NIf029&=S!cfQ_Gavd>*+4BN{3= z)oRMO0-yiwe{=PUgCqo7wz&xA2Q}#&0gMUs0N>cDD9S}ARDx)w3%0J=hWmsh(9PHr z8~GhCf1ut(Of9(w%0}~Sn)_C!P@6P=yq+-}mXgeJ`;+}8DRjQT=(85D#2}ak*DXGD zeviexy@GVl)o}y;hhjbx^w8~~7a9shCLDO@mohhWD1BusX&%vhrVeoTTrPJI^K)Um zk%{Ww=6D`}v4BjzoKI=2?CpTI)0qz0#qr8GG|tZ@{EfYrqa zy5(xC)vm2%dRaCn+Qv3;X!#yM@QPeDf;%c$AA!;a?`;YwZpzM%iczWD@~r*ZXsDA( zc?~LuV_@q|HFotU-P1KSW#Cg4@H@)J$Nk;p!f;g{%N!nv$o7)1AH}o=5}x5>g$PEt zom~$6(QNwT%~de4+<6mtSkpaH!9!a9q`P%PCWM)xD`w9=RAM4oIqZNF#VT)lZeGEw z=QiwZq4;_o*2Jr|&CkM%RY-N~kKmhye!8~f4_E7x4*K|TCEM0_$K^kM@g*4rKd2O< zmZ6dDc(`m~q0XtPB{#wld0oBeBJ>@T9E^;TL|6`5#8UQ0Pcq*hf`7T&AyWxZCVpbA;gmYWT3q`m>Q^)XXWBCpM%yy1VJ9*jfgT@!2Ak|C=P)y>0sx4MurVPdPX z;j{c|XH%RY3Sqn&6^7q{UV}B$OH~QMd@P z2JwZbFGrD$UVEJX7-lp+ie&M$2@^+)7hC)FWd=HJmuK$XsHO*Bb2$2+F_-;()?=IBFHNj7PI(#a}t-OVD)Yz)*pZE(9!B-7%I|z1#iUsCK zBkp!yF!}>PQj!h|Jnz<&M=wAkFFs z6UnIS#*Pa3HtH*FbFbgC8BkQCHcP9SM(b@u-;}LmRpY&9Y>dI$Q>LwWcB$Hgh^s{H z9nnf%@rPiqsK-cC+yCL5*lz#CyF%xBIQLru-{6suh5^#SIIFwE8=#d>h<_bTX~b8H z;N8AUjiymSHj(PXs4fH`!oT3Q!}@wRcMlE4Plm1IP0bG%o!oy;;$j(#iHEqvu{cGe z`LOs7ZuX^<&-tTxfbWC6ZkX&#!52lU!{5{V!Ko9dt z8ZngvrAZ=N4#ul!eo|WL^!&Ra6+EXO+pt)iNu`i%B$sCc?GP+mHvSk&_e}(XdS~!6 zKW+JajFiD&=OoEu_#&8-;q%MKEq6^6ZkW?eVwfOs4!+S~e-;l}kf-h>HU19j46rTX z?eD^TCawu2f7}MjhR2D*%tq{@{xbjkJtZQjR~jUhx0)}Dq|bpW4S=3b5(rvNU(>hU zvFI^KOzltD5Z5oDYaH_C!C#vq!#Q@)sU!+lf&OiWgB%CB9{A#}WAb{Dkqsofep0f8 zpieh#0~(Z(P9xrVoQDt%n8xfK=uX86H6EVKw5lmWg?&#r!54T^N60Zu>dF}{^W{Rk zv#j7|z%$`h>2s(VdO}Q`=?uCTuWWLzy#Pgf=bF2#rc3fB4Ubi@kJfYNY+gaor%gQj zm)+3)nAU0`p&4|OF2J=7zif+5lk53n5-`0M8M3Npt!RwR467A_WTV}8H9JE4&^F?j zm`FD_cdfle(TR3K69c`B^$d1X(*dsZKq-t>L1rFt#3m#XiqBJ)oLg)}eK|u?b%B{| zb}p{B6n*brOPl!ldJA2hg_FCvBK3)fT2?P>W10>C{@exhK?&wglBB!xbDg-Dk&Q|d zGj74wKOTQFH=bXAkV(R7grwJWS-(|?b@-(ZUBYOjin~aN+?;gL|$7b<>jnhYV~OryJ05Z9%i{e!FTGULY85ka($=q z%MPe;RBrV)X0bEPt^bQ{H=+*`Vloc539^&V4=_l{)=}Irf7nW;z0Qy@$`}zoxl*%h z*iiR7ZTob7Wo{oZw|JF2)w;Yk#3%cMnuNDu8|awp@>+48cstr{k8`el#p&Kw5R@|? z!Hk20LxZ7cmrcuyG$u#xr$Q}D(XMJ551-Memnp-ACO6u!(j^K(X<|^W$oaXDL0^Do zOy2v_zn#K#KoE#{i#lX(qYl7y%XvK24@b_(rLHRy^gd#Am7Wo(Sp4}`jU@TwhT8G{ zt4&ba0)G=V8JnitHCVJ7+R*ZpBdkF&px->5Lnhv|%>1(3CO22(XvlN{5ffEcHW;$g-yIu0n5uR%}6 z_bDDOC0IU>u!LO#MC>iVwp*jk#v0nVvwRZR)72u7Oyjo%V7(jLO zO|nxQ7^7)9qobPnFJUCPG5w-N^79!1!nZOn2LG@)W^%9+44TXE%G1TRKw2X!6d;Ov0D+ zs_!JF{X z5Lp9vGO+W~5C~buOH~lggz8<`2AG%)pTeuMUYm~R!k!f#HT4{#fu<5e;CMa-5>?#n zIGOaUg65w|($%@&#OW(C&;coceNUdGRlc_UsjVXh$gz{zZf>{V5U^Frn|8b8PCQB%OlPbE)oN`2VRBjDG3sT z`AV0g1Jyv_XwHT4A}FIomVd`(e&U~r%!i|sH_u1`EF_i}XG}@LM7hmy;I`z1=KrBa zdn#uFEO&_ebr9Or1HOWQ%KCj_78nScV>$gJtME$``(P)Y&2s1?>U-(vGtab1QykDZ zd&Iw3*|)4-+0D0=?}E`S%MhlsZF!77&#PPe&?VYhN}O1OxK+V@>mA_D66sh8TPfE1 zQA0fE<QrwSIDMhi?gjAFE-a;WXi%DVt4>qW zDUS!j{1;sRX`vF1leRYG0FlKOuZEt&rLBK$j54$QH0A5%0w}vbA0$R%`w`!-KQW4> z$-;u0d~qB0da8$5-XKoNbR8zekR)i};#WZ8p?cIBD;n>I-9uX&OQ@i!TPrEO=V%2X=Hcq$w{G(u}f`k+du&kAxV+!(#YMuD0zqo4yefMT9FuE!j z^ymSM17U9aTt^s{0sP#ZBI(zpOHAB|GX^STdiugI*HXbQ#UXP)q8m-Q%i%Q!JtNaK zlLn5o=YS@#d*^~{YUn4*MUkV%4_{PwvH)#Y;+mjSsa({u(@9_q7Yr5d^Xqm=qk4k- zM~`j;mcaORy+>iY(Lra(E2FfGI*R-Xr=sr&fvjfmEYG2^sJ~wd zZQn-|R^X7ffPyh#1}Qi2&1#j}Hc=5aIi2|#5t)-AJP~tyV9w=S{12m`n5FLSz#XQ- z!zpCXnPn}fm$qgQ-8zac(Q??@_E}*u6FcNEZ258Vq_K!VwlN>7hU&cr@&W90B!J$q z%bd&GS;1v3qU97s@v`tTI#27kU6Xp~%Om`Lt#O+2lE&N}twYOYR#Q?}vhoz{Pq$%> z;efH+Mt8Glik1lhmHEtjs0zeY>ARJDAiW{MEe0M0Ej~h8O2nPBO@7j*WdR)oyiT=3 z-Fp<~hN7{AntYz{Pn>e#5g5~iuE)vPK4+hVR{d+#^GEz6G8fdb?u%@nAxl5w*7lNC zbv_aNXk}7&KzV~7Y? z%+*P%((M5wAh+8G8VTaP6fP|mV>rW`UUvGh(S&U zdW}>I4%|&jkzMZbH2sx)0nbvoZPvHV%@BSjXUXVD(G3J9X&8(i{rs)DGW&)$u0fAQsNBw#Q3JAJaQ>hYaplY z7wwi~r*bqQ4zPci$l+lksZ^})NK;1g8KKAt?iKD#V@YDvbxx1;y7OA@m1^VEMD&d; zBLKgALNb?Kb{CA|)F@3?&Ry9LAoB@6k=G)4m+^ZP7 zHtS!_VgDp#c$Cw`7mzI2EL3Z{zyGv1HNm@lRc4&G0|dz(=08Wr7`6s)?_TYA`f>nG z030aa->6jL`>4}p1C=y@bmx{MLP5$qt=!sA>62}d#I26QK5zDIVazk;t=v-#Emz~L zl_B6DKyr-%Dk_x07(X($X?_jEXs-nJ`%av(HS@ z7fTv}%G1JKze|IMr^w)pJEG)-16iF{Tz1%a(vEVx*fOh)Rr#VNw z>15R#&=vyvT?V|Fi2Z{g&jCTyx`-fQHh9wHw?AgaT~w64$2iaif#2YR;>gE&rL$jm zlEi4!@&IY)f0w7t1omctE^vfB)}{T}aoMse@*J)0_? z7F+u+&x*9N=o6tEOXh0faaH_2fcg;fxt!q@5f}PHOjt*L2co#eZ#Yf6(gjcPC!a(M`COMnCx2 z#qPIOkM*e9o{bgwVY*OnO_=4|Pm$*@Rbwve@JSZzy-EU9j`2z2!YNYLK)67zf(M9L z*6AR)=mWoHi4F6lB7=Uh0kD3_XX2RTJDH)8x7LuqSvdBxKk^y~CYkv9?|cZ}HSz9) zf{ymutQfi;-Nv=G!=d_$80L^$RfURt1?2svQ$5%BB>!qY8l*lhJxrnH{pvI)Y5_zQ zJcf{)4Zv!eS8Sy@F1R@OE-iF>L$(dU=sNv#`$TY=P~sYJgR}_)py$6aXxjEiwu}4Y zB*0`&(w{PLOKCa}45s*#SvF=*o-~9GsBTH*vjoeQN@Tb`Q( zs-1YV z96)hRS;aYL`hxZAzh||odhp2cxUiw|$6vZQD;uZFmz%KH7p2XOmV%xUS?bd3W6;^b z9JJ=~lsA)TkaYXHKNy(HV*l#9PF~o*oGXk1x*y-$6l+3(UFU^$w%}JPRsvJUZFB*w zrXIgzsK|@dOY_2=)^WJ#ob_<1`<0joxcZik$8KAdYBixwH+yzLvX_h%mj#~)sS>!Z z^nDB(l!@YRM(~}js%}94Ie0$%Iyy@K#C|>!s1tbRNNj_1w(%vu7WP%JZf)l7M5Hc= zpe+Ed)cHj(d{ANI?(ebHX{ZA886OMZ$qqhvTYZTlgx*Xb*p1b40LI(WTAOYH7;Vi5 z8w8ffVvcxk%{L79e3@`;sSKXmtOuy#xsI_SOQG2jFRn2p%1cjEb&>G z0*c5}<&kC^eh2N|x1sG<<5;@amurET-R1)5k#^hXb1SdT{US@itSiiec&qxb{t~7E z9D?#{OR!}1%@Tk;ooe0~pIS%I4Vtcr7A(6l5|rYz4L&2A1kHs_aI)i`)@}NGbQNro z^kAm#cxiXws|I#leg~XbEm3l%@1s4AJ_o-YMdm2$4BA;h4_qrhN2zc_+?nU6A5KBG zvO=k|{ebipgX|fo-Km&&zn}VS+5!2;e^F=-(gsZ+h(YuBkin_QBRf1&OJ^-ZCz$Rf z{(X3%|8Ax~8(6WNW7OcerA0>^(%(dis$(^lfJf_jeaO`Z>b5+OU!&O|pii!4(}~=- z!Yd%vs=8h3`25y>|29MaoK!$R?ke;Oyl=G_)76uD@~CO4R1i>1=ezuP+>Su4fG&!^ z7_0W+C4;O@2+9bxNuk`o#W@?tO5ldtJ7GM}K7=Q=O_{j)s1RMAqUjjsLP1Ywm6faS zxHn3eEiEkDvu;LakQaqfP_J`ef3D8yU8}Me_eRT4|D|VFgCsDrmFsE_lw&W@=-R=< z8S9*q#w=e7pCk68DP)4~^B_E)fhcg1&9?4ebKCuVTA&m0kEx_gI8~F_Wxh|+fAFX0Y=?!FNS_*7JhZju#(%wlLo&t79BZMsjcp>PZ6YQZA zK75kBxpO8)H}^_I33&@?6S4nAFVxdsqwlmE+?7AKentj2dx^0MLxi^?8_X8f-$c3- zI^ojo2+ayW(dWz?cCKp_V0B#s>5mSMcJ#D3K;>LYg^R*JMvh_^%XBRoZ|W&MUEIK6 zACg*|Y`pwrcZ93C2>eeFi;~r(w007d5HrlE(J>NC(95>06P|h(y7n1-0 zP$5PWB~UpD?$oyG$X$EJrC6}w;LJO|DUHjgD50$)Y5T#O>BQv7W>T76Th%W<^6Z^_ zR9R=z-k!uEA)?ggAr;ld@wbq9=UrH9!l}uhMOqlUCn9wo-f=Udhy~RSZI5H~3s!7p z>uX^(v1?UMHKf%ue2q`&<;sf#2G2*(`4-!DA2nktUsr#I_x!wk-JpGXIS=m0om68Ng-`sa*(+(7V9B8Ua=l9zBr$HodWzwN zuL_0=?D5kuDWIhOXLx2w8kyY*zX^UrKQ`P2a%s0l#3EL&zjj7tM);cSa+3kO^aBza za|2?(+kXqX-lF zdY^Gi(R(S%J*PT_-D*Pkf+KT(GRS_9884)cjGC}g#E$6+dKXJ^{Ht{OP@#o^!Fs2& zv|(%gzK+AaPbRRy4$gLqsqwI|KARt^8scfGb09ujXdr&Ts#)&$OO7fW8t_7PAQb$aZEulVwR;dTcw^|#EB9x{d+a7{4%qi&Utr6w;gtMeCsyXkc&J8fuZ7R<_2IE=njOHH~}eCCD8^ZGXf?I`JeHhY5PogUMD)bOz*% zs4=M`eE29Nxr2s3;w#i8w_{CJk$m%jwm}ZibI6Sk>4c8hj)JXba*>sK^ogt1maYnI ztuBL=Kx>g@!je;yk6%G1pt|*7r(jT! zXV;cbc1T)tD5XQ`%DCdU ztZZSCNLI$sCQR<2ksRxIFTt;PO!wc2)u~1!scgMsYlNiJnL?730ttmvA||0B82Z;& z0sX&!k=nU%+jT4F%gN_%R*ZOND9-nCek}#)8ax4^i0oO-Ey%a#N z5UH&0hT-KGZkU9zs2J_C|5CTQ-j0KO`gv@v@gHV51W8f4qN{7TLhBrk52kE=iBH0J zfV7;^H)mK^eNAcr{&han?+poSKYedRg;iAz>|*?!{Jx^CZ}m^NP|Iq1Aj-OOALG3; zwj_;*>rq>3_v`D8X^=a1RC=Q6A~vjo<3)c{aRfLz=3GpammJofuHM4geSRmT;B5}~ z5yoJ|;&~q9M~I7z1)+WV<59ZYF99v^)Bm$p`9Sd_#o}UX$#i2f&z9}ZbI^XwekhKV zaoAvLJRn5Ne`AbI+7c+Mg4V(pmBGu};c0`2MOwfLe#4%CoRjH?-0dy> z3H!>a>cLXz^u#gPel8EWgG&T;J>wJ=H!-XQj~;e-bM~Q5QbycSTyd5)2ZwKP{i{+r zm{KeQWtbg-$a=MiM8BK`^fSS#Qj*ZrxpwNpd{~IJsTKmuvBf}t#IcqjTQ5Z=4;0R2 zT;qnz_jqBAr_~VvDd*4p9T;sH*EiB)kvsm%%(g}QY=_YPGED>#7<_Wrmk*azcm0x=)X)Abz|h(7Hj`rlyWzCS-tw`aG?T<3Zf}u_lP#`9 zN9T&yKx)a$>X`Y1=rK4T|IFmXD3k4hAJfp~ik11aJpd*BsJ$ee{$S`gY!2e_Oo}v= zt}rE>_K6X~ly%*P$Vr`u+^Zcu8)tD}@`L)?AsTGOU+1n5jd9vPBkP2(&cVyQc+R@2 z)Q?8b3rmjMpL@<3J5J4g9Vj>JY$wfl-^tk?o*CkB--G$iK}Scc<5528B~ColrZ@Wh zrW5;^$+0AO#XAo^pB&u?KnJRu8Ap${Pn{V^yrC<%xZK(Em&x=l@jy&?a6Y2-heUR$ z(zE=Lnr;d#&~*6BVV*qXVnPuB*Am_p9E*gjr{n#Wt5Y2)N8P~sy<@8O)+QIS;Iajc z4-_Zo7zrj_qP9m&-3SCakBQZolBIC|+qVOlykVi6V#|SgOYK@}CCfog%a915#_`8g z2wgT{Lv#Vpz$GGJI@P6hC?q9*SM;;#C-G%SPM245bY!g3)GXz2)WzqOh1e$9jSzz^>^z>ro)L5j!u%GCk84LbBJtzJ*-` zlD$x6C#m!4tZlfT5xco7Jw_dpP97AnA7fIrk+KW%0nxay)7`HU9Tr+oKA_!0V6X;x zuEPE_t;X9{*{@>VPt$m+KO{qsayj58XWO&-YVr5k{H^?&Cx{6hsqUu0@jn-ee~JTU zrphBOe3m{y5|iA{n2sN*wCxnNX8?N>_erQc!sG0R>a7dbF#m#kfr0i8bNJQ~(}`(b zlUXXG_#nDLL;ghS*lwwrMrUL|?VPGw`ot~nd7pF&bi})Q|Dg?!oACbD<1oq179b|f z(IE4m`hSpNSHgneS9d12@DUrEvaG#B;YEw5e{)S(EQ&%}LV=XyY6HdfK6_jj$#Oo{ zj8ENg0yD^&OpZvx&!)LlZ43L_06EbX;f+fHlu7Wa<{kTeW@|x3+59BJRu-Ds#$@!@q)vW3cb3zoy|H8|6Imz5cWPR`NFULE!b1$nms<4aOe2^*mRjQ`>r1DSG1u4ld0w|MW+fo+H>1u6e9B#@h35s3sTq& zzZdz#$!JH<@|~BahiKpOt|2BGx|FK+Mf>;HaWk=Kk`?S9YwBWlDM}T~%0Z~2Y^bI^ z!rWi;^t2443+uGqZRAcBfp~YFsi6jNU@6P(m)izPNcMp-%wCuzhpxKZy;CV$gK&Cj)ac)*Hj(X7(5pMurW4lQy^}3Lrn6CLCTo{w*Nh z53G;9soP+a0izU_hJ*f*CtQ}F3!whZyfCz$jWpBfnjbyz=~Pupn*`$2iOP}O!)DH# zmotE*YEFAC+o*C$BL6avi2b`^S9NXuitR|8RM=w|9b)8gqT`sSC#{l;D6~d_mm;2C95?t{4J!mWT1JK zT6&uz!ODL8=HtP+TSy@6px;Sx7VOz)RY$??k6#uqujCGA+?aNTOPww5sV5Epa)fD zgSmzhMCd@XiL^jtR^r7aYV=FlN(O6RZSR zn8&9z5xiCWa5J^$RK?m!Jq|1!AlR0@(=gOeB4~RMuz)8OB6kW6Nj~Rf?o}c#g^RVEgh94@h>fJsmh_4RwF@qA(#HUFCIPy@KC14SC)(1gpb~kw^5L9$1VX zi=>FSg~=TrcvtR_823jHOmw}TS*a3fniWSVBP~W=lVC?;oTt+-;Cz@vsClZM}evVj(Y`P(IG6gd(vL!T|0A2lgfwx1Mo04)w&^E zLDbq;AK)x%P~M2Ac_SqqA1d1d!y>;bY(M%XJ|)MLdiR+17l%Ls%$0zN#@uD}L2i9U=hXNe+~d zi`W77NK)B3AcNMaWIKXuI*pdP{YF-|riM4wd)CMt<2ZDRyKkj%eCiM8$jiY7R~5AS z&>3l&KImO*9=>J;lmCaHDo~}vQwUQPxP{h=2X`c~)1eseV># z!ej<#p4U~1$j?a*dje)ig74^+@jzzGBN*YQp9t;lR$%F>4KQ!1o{W9)TcJ9zlcS=& zS_G4l4Wj>mH5IO=e^B~=RoU-;E?X!4=85jXno6ECK3ONZ=|B9%Rfzk} z;J(6r+}w-ce&(ayFoPFnt}iyTc<0!js9<_^RDxcD24=+}y;qM!^orb-oh9&D1Lys5 z+L*6~+aJ%QhAY%-(mxG}Xd2PudbdHzf6kH^oe(pEZE%FSI>VjyxbF6P+Ppe_d#-LL zuB}_M(>80LOi8c2PZ=tOWYIcYHrW@gP%%t}#P5oDY!m_t^L7TQKc7VJQNf>Pxn9E@ zJ4VYsrPo*<9N*deX+V*GyH~jFd{PhIRvF?<;|BA%ZH=g)@%hPm??s*ch-I2vIS{g< zJ28{LJUkOYLU|=muEnmGbzz$PPOneQu0To5{jhYKGp|LC4A#Utgg?PL%6rXHE!0E- zBjfaQ1m|bl&)e-Z?CZlP`9p9%Jf9!M&Br?xGFxhkqyz)!2@LymU=29B+Cbk+`Z#xZiA6YjlfI_g zR6Iyh(XL)J+8Y!#dOJjHKw{3AadbTal~l?m3`+QK6$s84)&QQu667U;lW&-xpxueL-X1{_)+7c*wp~!D$z^6kCxp`Rs@XSzmqUhCTXtsOn}Lpitx=JOdr$eEb&>|5qtk2Wj)wUyI}R9|x_l(x}1indxUV z5+fI@Me}29n~@q98bk*=8RhWpdvT-Qhd3LCR&eJ@#03q41a(BAsaGR<447DsjfKqt z5>WJwH|nK%-K8mr^T_NTH{oY2#n$U0Ij=fya0EL0LHr$s*J=0!@8;KT01&gc~IZ%$o8_0dd*@9y7fS?US`5TV(zMDOZ{4h*Q(4q zy8kOLq-*8J^XUIXKidLZtZdg;@ofWi)7b@MF{alsn|lt{<^>Qm_}6Dui^l{ojFX8B zM2}fTp8;Phh}!(&R{gy76EKg)afT7X;A7smaLoU#V04;PrMvnp1xyl$6Va%XB``zK=`T@U@`%O}Su) zBUGZS0h>R6tgx!h(J4&Tj4^E2&x((i;4}=JZ0rI65NQ{+D)M$>;h1G_{T}c3DYazJ z`mu4Tazat?;x0E>9C} zb;4)?;bo^^O$i+VDXe$R)e%>1cL#IUcU)uJrYKdLwm{2EF0SrYxNM$paaX!6-)fto z%!I9%=?^WTXv;yFDnn5jMB~!wdqPXNN5H)JI^;+32x{bt~g=#^F@AxDq{@QhK z2gtAaPak5D!z!FGbBO=DxB15kTf6P<1pZ^rrag70@0Mqw9+YzHZ!K(iH=?HhjOtyO z05RRx;v#2W$ap1yVHrICr+6GbA#N?K*`Qhjzvjf&Pp^TnV*S#?)L-+G4 zwu+(I5UH%4E0p(CgJ$z{{kb$HSvgJ?&8HFvc#vzayz#pivj+2gq3LH2BG4u<7wEz3 zx+$Hy7+|-3CQEm@tI@f;eKRfpxZB7AHr{o)x7N4;op*>A=4=}BB}}E3R)gVB%NIeV zyP##5b&#ke8A2S2B;mm_Y0Otb|sZDgsZ`OZ?Q0xr8j=P@a)`kH{~cFw?x^Yz(uz)ymf z#W8|!CUq4$AVt4SSJ~@>VfEyei{6+BQ0j1@L0>C@6{0vW(cRJY2vKk7)9pMALmuL6 zab1O3-oS`{#l4bYxFVvqtD_2lw}!4;T6KFB$4itGe)j+Gznm!C_uGofu&p<|^2Gzy z;py!PLe^5Mh;<$0j%aqeit;`3yGR~PNEkQZ_Uxu@Xo0Aeu;`b5DMhAhZtrTD;ICBx$r^FykA@R)+`2hoghBS-m`MTd73Lz%=;$ zF(XwUpx+K50dsHMZhzuh4QPed}N70I^>c7i<9y zk6|((f{u=!nmC0vvmb$M1?=x~@`ha&818GI_5MHdzaj#(6E&|uMZ+}GokxV61x}z% z6Zk_!Ns_F6ecCoaLb}rn-P|;0K4#L&5URICP@-SV-S$^n&XA1r{Y%DEfh6@_cM3a# z;0sM#R(&qj1<)f5PS~ zOKh5YAhWzwrgm16x0i5^2#H7*aB3XlO$ZdG610Ur{W7p>S8O@9M!TW&*XZWcOnsaZ z{+Q@#+b?}wrq9s~0x3*6Y!68McbbeSY-5XN%zCE3KR>G_X42l|Yt2Jz4oiDq>8FTP zdN7~7VIk~2Wjn)>Z92>YYy_>~{>-hK9 zUWbFFkkrlZ#FKy-r^JWl5LoF;3ub>n8Re8O9^SCV8waxMiv?Zg`UK-WwoW09hG@CY zK)kv9ddK>T=jZR5l29{;i{y{|hP>7n`~RI4I3bXZ8D0EN!Ndkh{gr)!Gof-xT{N(I zx*lb-SKxJ51lLJiWrbm-`1}CkrpJXi5vD+viZjN4xeZnm_A2Ql*i5D?uArPn3kFg5 zs5t=9vxjqZ1`ZDKa~3E9?pN}4FrammN$jahfd2xsYfS)~k-3DPv41?o;A!335xRHj z9kxDI`{^h=%n@_@;$rzML~4s>feU;% z|Jh#5K+^Gq*H;zPj3JSoHX)wW?VoJm!VwMCor+_cdw&s@8E|vEJz}o6>OssNf6_FeZDLA17>*4EzC*TAO83>-QWw^2?IZ{7pqKmV_H@)kp%-_u z`H?L>mF3T^ZFN?F^2}}B%{O^`8&~X~hyMHiqgZnoC=Jw8SO(~0J+Gp27S*k zb}?~L$0G{%e`CyiT;wwqci*NI`?OgFZM?}Q-z?a{ze>ozb>1VQplRxIs2@{d>?cza zk@~FsKt`Ka|BjTtykv^Y#XfRWud?093Y%vPA(Xi^{U*&&lSRfZCkjhh%m5o112Pp$ z|0+x8^rSz&nWj}Dg=s9G_4#^uA`64`evHnP1A!U=m+^un`ZhXJkN#cPEDy8w@Bp{B zVrle$j@KAbu3RDHjWqZ4^Vi({^sz2F52*>Ao20RA+qP|;yU%y{z0dgvd(SmkYt3A<7o=CpiU6WqDEG2>O?(jh z{&*@|DN;iQ(v$oFE46tS+9}-FBc1m!dXpvThoR{X_GZDk7DU;yvr3POYbng~xDCjnbzCE5v zQ(#<`zB9c5ZhtI>H8W3$wsJ-0b3G9y)jjjl1RjT{`DGf1IuE=@*m8Wuv?)WdZc&09 zILB(pf~U=9_>ap$5I^Hx1YcN-*R-Z$mLRTA?fQ(hDz$ATVVRvjqk~!eO2KK7L(o*n zG}ZE#21LCX#l|yLO;Pd#n-9WNLL9w}ose~_-p#i$)xRf@J1-*pq#M`SJzGB3-9EE? z{ls=R8Mu2hxW5dmf{jlJ$1*F5*j}QyyImEK7UU4%Vjh0w8~wG6n{!;Zo-XRs^2c#= z>n1slFxPHtC#CQk*X*M9X zSDQbm7HT9Ywy&3@6GY+V#5=8J}?T@JGa2AzA#k!Ihv|upIqez8hS2H zbL_d3%g^&p;|C23!T43ODJ56oW&KKW0Dl=PacC~>^ zj)$WGPEBmM)B;6E2mL>8_m^hRHle}!TD)@TQL`Bk&ZR=fRZw-Q3_hHhqXJ76cu>x} z%>KzdXKQFQbCka$|976cOM*@}G4!|E5boe$=fxjfJ=U-iL3l2A(t=4PUI29&st4J* z?9Hjc+MSRHFGu&jLPhJ(G5R+1H0ywG%;?+n4n6mT&$1MPFMPxE*D!#eU!KyI@X465 z=ZF!~tG_BPug!;a{deFw*5J>8L}f!JWE3k3R@XJi&&@Xu)bqQy%n1MF0YTH(*gh8J zFYXSO#V-t%#nvYYh(66iqRKUj*x)5IM0)r&2W?z*7%gAjg1(AwMHWO884#TDu{F%N zSwB|ttS?+X%XX9dw$#f~XbIftT7(N8QKwbEef2`lF{$H|`Bg!y>+uA+zJk~4cLZE? zeHEyNb!g2o4M@NW6~87^mW$&+K_J+V3lGg4&#z^YG?Uk4iik#+>PQq%fYN7AMdmF# zw2-{{G=;71`uc_(!n5uQPBTOJ8LYq3j5y_ZMuu%|wk}cMfU>Cn0 z>#g@Ckt8YD)4)%SMqteE{bA~asI-Pc7&kD=XwzE3T$_GgMaPFd964iJ)8H@G)ABJF zX&wXfn%BU0eoJbasc|URjRds;*$i1YUHLj8F_?^!u7K#qG<$j?rOKM4v#R;+RQ1gT zBs1LYSP8{t4gR{r#ko9&XM`BJhjbP?K_GtuSR`E}xCKX$A>4^IP=19-6*JS3NqDhB z3x;i$&02!1Wt?tH(%?Z*`-mk~BItJ9$7D>m)R-`_YW2Xkp;p1tFo`H96@%?+y z4ouFY=v_hHxTC-A-n5#06d*H0dCQ$Vt}W$<$Pvyn1F;c+^<7}N0U&3=HGDpUd9bQM zqHzVYVa+_9R3Y-d3@Y<2y5-0ymEm74Q5>@S49vK%7o9A3OTB*w2i*Ikt~k~mj8btlCd zS;{TK!$V}jc$^&;W~Bxdh(BRicZF6$Df|FT$SSCz^v?>ef8d&FVV_!KWBj8YxMpel z;r6b_Zjd7IUGXHb{8};%Rus}G8A^$CKI%8aMV8@3)SnYhOrsI9Pnb&%Kx)IT;4g*b`*MX<#i6{mQ;72_~MXe%!L)mdMnSAYW1Ia}K8^oum zR_0AhKtSbK4NO>sekJG4VGn#luLK3}-=_jf#sb;h#7GC3rn?WC{;p;4du>TkV~2IJ z4oVq9w9zD#aCNi z)XGxZAYE?L*$-!2zhd#}FdBdzz`W;30*1zx^A6y&NCvcwh0>g3`KgC)fYLGyK9WNETvuZQ(_nHaB< z#D<@&3gH=t7GvFL@|gG&5UVbSGMNejo+5FhRFystrP-n2v^*Jo44`LJ|kh z8+s^rbfdz|UNL>yCL(1TrBJg$?LXL$ZTGjp+tD2a2F5<)JJBbABOy$`>$d3X=rBIOZXvFEQzi;+tWPt$TIzJ~*KGrh# zbu0k8JXe&aU~7&aA(ru!WtG`p;XQ6Yy6U%u!+M@es!>Mx{rS~pf0C@+c)3_buGyZf z_(N4T;hPVpvFn?wHuiOgPR*Ej%{obRi)C9vGE4l;GYl&{mZ zK}Y?_cQ;g?zTqAVYfGg@MatV5Q5ZTBIG$(3ANNHMoT5ms{k{QmxVgJ89swkW%C~`? zHqkAgO31nt3EY=R>f>Nf)USzo;B#rH_o`J1SaIURz#@VqtT-u$7QyvhIZ{!gFhFW` zgiIza?E=8I{zEy+6+3DQ+=5KgpuMMYeBj5r>*kRk6T~6D^PX;wPoozQ4EF9PJFM%Q zB&Z^$^D2}gSuo2z(9YET9;M7_4FWe<{ly)Ce^TmLkfF{Vo35g+2` zG~!GQehN#cF5z0^*{M`cvmz71()cdVLk#Uc`1aC1FVxcytT#2eGYx-WZq-oAJK4ze zIt&sc)r_J7uUz^HYUvWFq%hH8Yv~0rfvjg|&OnT|d`)^+H#5dfEOUi44j$qiMA(tw z4Ky#U!(nM<-IZI%h@lynrkd_8^cN4Cq$gX|K)e!h(N zT8|#oL{i_#I**;Wppq-@(l%>IiZ(u(n;+sgM`(z)JnTohQtxWROPR*}U!<0;WHiJs zbC_ED&iJ>BH=>Zu8Xul*M3P8mwE~cWACAZBsE3rgL#2hgsL573h0O^~4hqgEGYpA8 z|03xyP&5Fv6?M>RS>3P99`F9Y%f^iA zE>1Ya(htV6m*cRLQI_3I(cHTf>ITMKg73G|oF<&^ zFZ)U`l9y{}5^;qMNs_6@==ugW>hn~)&&dtL8P7<&UcIDw=25~0ztD<)M20M5 z==^nw`>3sx8_SD2>Q6!;U{e z${3Qd4qz)WWnJKs5)YymkVL8JF}F+(ed~cGwIEFv$%4#nHk3#|kqacN|0UBS@bLk} zLq9V>_e%srfPYayD5noszHxxSIC@4VKO}V9gqsv6C*A)#32~st(pMfL_J~1`&hQKs zl$xC808%4@tS6R95X~hRH%Mk}!QI8x!it#uC3C?T6!=vYD$M)Zy+xRb9Nh>Sv1<)>(%x&G>1-uCZVc&GtTO-Tg;LIY)DHF?c#f!ce${JbOUifj*fFXA6X zQk`WwM!9k2M`Q;$zHplo7%SPbE2(moSt=8H#7-{N29MpcnZ>bv z0y32ihdVP8S3r7xyG;ES`RZ(y;qAtx8iiE_&N&Nw*>1o#dj^G1cX(?W zPw~w^PzrBHkzA~Gh8dg`zQeIg#z(|9$1a#=30C|ENisDEJnK0$AII974(HN{)$tdk zd2d=oQcc)2Dn%&aaIj{1`@J3UUuh*b^$*~_+&4-9DRR_XpmKeTaI_jIOczMDR4;>-eLym>iW-j5Fr z4+{S5x&b9KM|+!csCbkGAmu%EVZ3(IsP=`mFzh?T@OxI{B<^Lz8xlZ4cU6` zJxAJo(kv$#e2|4V+TngYHPax2tM()P&J@;HkP;)o! z@QbN{u2Mc z5tA82jxfE}E3F-=d<{1dD z(1k!lMZ>%~_GQ7uEm8K*^7@(XM<-H3+8)0hb8VVOTH2#nlI$t^yd$S20j*FZLl=VO z@N#GiyM@eS!`!o^D3@0|)1$R_0CauWnQL4lBO0E-fdK|KL}wIHHk}kl{Op;lB6(o| z5AUKv6?TikPqI$%`+QTGQI2z;vd){7zSzMY?m?R(Vsj-poR)1itj#F8ot91b01y#w`FP#G4&A$JR5? zL5e8)h!YNiJZ)F285)ZKzUwv*!{aa=yr+;8<%l~{JD&HU&+rhz3=tH`KIq=t<%2(3 zA9qhO9|>_eEw}~ppA`gh_?S2N$6Y0qb9`xoIjKE(QJhE?hA7cp3B7Kgh&QqlaKt2;+#}GL9__MAuP5kSzO0+I$Q8P(9E$G+%cE7t zY2|~V-@9ugS?JAA_v30QKROTmDoJ|=Li1s-x*&rY3W5R5Ap=c%a?HwYzY}+iC zj-dH?SsQ7E;@R2mz=ud9$u#jKT?S4-bm-^#*4LqfJcU{|Ehm$CWVm>ALir39%tjMP zlW<-&=pVApb^J;01)mhNa=`Br%)3qz}sLv-F z>G0YEQNYV!JBmtpV&d(>zX^QCsLKuzMiKc{cQCLoZkZmdeKE1|^-uh(Z6t28d5c8s zK6zQLg7HJ^MSKVxoG*5|ISz3YSYG{CR@VFGZnAuGXh|_qNW0ymU@S=#hq{DsWdlUO zHH<#eWLfq%37X5Yxd+S}(-C5mAu`_-5iPbo!#z%!g}vSvvJa@o=6Es76(TO zJr5A%4#)lZV9O&Z|5EECM|f$~BJxJRK3L{*aew2S(VQ_nJx$GK1HeJ}K3gjVqI`BT zqL+HPt&rgpmR!(V!!KO09MpaZO+Gz<8T@kD0?vl2EGia{9=3JG2*eR!qL^_Qrczn4 zFT)l`a`5ro5XCAdFh<`hd0kgMgCd|cr*uohA%v#EIjVOi^EnvN&F~2P=xqD%zq=#C z>o`bkCMcRBokXR?!RNhR%E@x`HabX8C@$67q6qxj=fEhTb zXdAkT){rQINYZj_$&4e`TY|~D7?wbfDLp%BJMndedwA;2j*6#gx0tC9!6uu3K^tHm zpl8VsPsF=%OFi_ge6x7b9(*b+RcBYNIU^MG!IIasalJQI zhg)>w#?qe&xq)Acqhnyard1$&nSs)bAbd zT?IH=HsY5gN?5tfI7M&<8}^d^w75crx5bBEV`nS;)XDt0^Tqlw_%`-vUxP zGxw8PBPUi^K5B2lQ;z~-vI_^eM{cG#4J=d*PG<&hU6{P?Q*02_F&u! zD#BjUc`U>XSAsd6jNL`2^sqG!W0HP3jtH5Pd8RfxIUI6262*=Q8f9;5YA>=H+Wniv zfU2=>HF)lRe%B&JQXfj*-{eVGR^rC1IXwwWUuN2s%R3LYa&(zytWVo~g_4r>LghV9 zSll4<`v}z9Tmee;a`Bzj@VxsJkB?V2ti&t-5yACRHP>0Qz7k6IKqcLykN&Jz)+ajm zp{g})7PLX#fe1o0>}=#K1=C}25a;0e=!W`u zI!O8Dp3?VFJj|wanu51J^_(0%BK%oF%2Y?E297d%L< zGBQW&^hfrTuBW?M{^FNc54i!zu+0JcY*+h#mt35LA5y;E-9!!Ia0S^cxz7CbYAdsZv9EO2(DnsNoe&fXTP170dk4y57IW zUJYCeCZzB!Y+s)xVDy~>=uXIbB+Su)MqM-5v~jTH_{DsX{uBP-EdXxa+{ZyU3S{WN zCKO|c96dhl((lUVzB;AzMIHKLA;L=$0MQ(`)sBcVl-9Yl$qkQ%~$xuEemKH1)COv$c>gBh3qX$g8E z^fig$N}bO|_>1vx*y@l}T}%O#<*pPkpc&f1`@{tgltxJMMI;PyQ2GjH95+p%V#y{S zhP1wjLTq<6bxj`|G@8v3U!GKEsrw*l-DyoD*_*vB_s=hjxRCx*!tCNwn34SHh>`ch z_|+4G9H*ZtL_Spv(_Pr3*p1qXD3*h&1%TTkr!}2d_dDft(a9#VOApng-Zhm7B5xc~ zVV&FzaDoPYR9S_F#jk-DeOahA0H8`}g*?S-5eNt$C^T5&N6ACL{k?T{sD@ax`AlJs zQ488s&%OwQD?7z(s3kgbzb#Fb+pychP$WO|_0JCTbD{Vo3}A=N|Yh_V&45 zgD7dfV#&x^nX}+|;ispsPEjt;MZ*}5cQdccWk5%r>ZY;tIzwUPy2`vp0?O;+FZ zCMNQfIBMxyE$@;JlA$i5c9*={*-PPGBt>ZvOc%jR^%7{7BkGZcz@iClUEqq3)F zhdEN4Ki^3(oAq`Gve4i`uke#c$KIv-{9xxd%ZTm3LEiXH&H56vjwt6bH$n)51Sj`x z?{x$tsQADWLThLHr!Y-bATrFOJIjS3z@(r7H}zpg^B(?sWbFmee5w zhSpYS#Udk!Aq~vbYTiO*|0<=lAGW{Vvqs+`n0gOk+#&}Sz?n2Bc_Y6=9t1Am&6P0DQS)8(L8vRAT4ys zu}c8e3cuVw-t8Yyo!oEraq3|$Uu^~SsH2bDTKP-IMIcbiQ@JvLY#ZcNg_6jO@lPGi zcfujt!7m5+f9{<#D;EL=^DCrD8kRUQ^l7FUrz{=zHN+dm{@`3uO7z<-( z6%*c(AhrCMV!gYM+BP&$Si<<`H#6&-RK&-bq40gPb9z_vZc!woaq=;Ut*600o(Za!j3M2l1TswdBwmc#2&7 zv~KwoAs(A(?bz+Ch5%Um1&Wf<^0~6s^>qi>gZ({wy@&mM?zr{zn-3eYC9G$u-QEYL z_GrwKBo6SG3u&QEHa*`3*3{AP7`BKhsft7q8Uo2|`fh2xR&9<0@lt-=PcOf~Q50m_ zVLyPUa{ys_^IV`h|Dd|w;8A-J;n@-9wuRql`)iVlACAyJQ85$exPjsvKmzFJVAwK# zMRmOM_kJIu`5=T8$`ibrMWQ-^BG1gdGpFK=3^q1>Kd>MJR^@#XV?m`yTkw%YE_J+I zuOJi5k{rpc;~LDlIB4fC`|EEKJZHB~edR$$S-qG(6$OWx5e9pgg8Y8>5~H%LYryRQ z8dT+4Iw~p63|&N#jqC2Dk_i`SyDY4uez7g{`&YnmH_G=COW(g}#d z1La#~X9P(^2@ga95phy-kcbe$#}rA#GJ6A?$FF4PCc#Os%Qws%*>Z@x+5}|s8WCW+ z>^A!XZ(8gEZO#?ds!g>x10x3Nn;XD#Ug z=awp5j&fV%7JoPif?vmRwYp;W&D34Rzn?jMBQ$w#h>?3qb3hX! z2SFqj;7P)SaYT5J-XfEb zds$*xZ`f{RR5~AhFXn?p9jiF+A;8Cr&6!yD3GNo^`#Jl*@~1-5UCZ};_e4vN%cdPX zWM!Kwo5v3WlIn?{GcJ5I-Wx`OhJg0(8lehWbz{}I7*N+#G*-(9i|$l8kWj>9QsC3o=HZ$DExur^B1FA3I)KKkl($$Jk26IF;D zoh$rODzsv=8nS$t8se7@XT=hAUjtLO27FLdCXIV}QA&?M#X`J2nH?#*iMcB4X7xzK zBUfZkV0BW`vcE)({9l&|+Qace?f#lJ@}ir>)1Bquzd0P03yL6b&0&FgO+aXNig3j* zY~J5}*3wnL3x-`!b-ZU>iM^c-ruu`-AQ|0TEcwHELB(utk%=81k&z;3M(_`_2+_C0 z8SxXU+K6oFT~Mt;AM+}Kl4k^(p7wr1qDD%`{|*J>+<)6^ z7-R!+gZfC;gj&Bv7&gOr5nBa`XvHj8qwo)u+^msP4rpuG$Ihkp#n#h^IE5NNTm1l# z`G--ea5Hr$w&1p_7BspfNYCkz-&h!qkToh8 zy0YrbO*I7XU7Th0aK}qq7-Z&lYq@0@lSJ*)2>|@^r#9qe)Y7<`e5|D=qa%R*{W$R{ zAya=kOVtFMB!PM9P0ZkAt?ilTzc5jZgjqoc9UuUfQI5+u$I_moV6!FUbg;gq;28jjoX^ zJb%h0w6pJ$IePru%?IvF8eEwPO-Rh z>?4P{q&SP6o?*#d3Gp7*<+Z~`hvyH0Y4E$xfwcA*uzio{IcRyo|lJk~w!w${Qf8g4=+W%1No0h78 zz2dK@8!B0E{2Lr-D7)9{*uV{#H^hI-M4KKTmM+{t3h>R(!q>3J-H`wzg`*5L_0BB% z$n#Xh5^U#y20s?a@(}lWF-y&2WsonZZVfVO~g_&j1KwBli4zg-0d;ZY4ANb z&WA?=TZ+W_-FF2cKuvqqiaivmotc}~(Q3lus@*W#3K1uo z769S@?{Ev1V4WX6`OAM;eyQnM*B&TVs9>fyfiGsb{p?Mt)72cE2WKYQ-g@ruJd7J} z>MF&NL2Q4n+iKi)o_50$QVQAteCy5QNaj!SRQp8cq}PMZ87Sto>})Y*ie{wrA-Iz? zLC!P{gM0PLMZ|klJ^yMjT`k5{fPrA6-f!Esz2gI|6ZpGI;E^p3y*{=fmonQpz-CPD z5AQ~#?aA@HUBr{JVIbjLnv{0vvRv;VM<0?$Zmm17P@>c{I2sDeH5J*w?*C3mOo{`) zlrf*ebs=?i7dH5$k^LX~2Rt8_eRW7ogOHq_iOv;O9G-Bpt#Q^_c2dE@ zGsF*b41^-;CbYWV$s&voVS#Eaw7)m9?`*1(H&GamAvW8Z@adi~stn9Q_ifYPDAxwLY$o_CpdiSTt|KZsCbt9%SeMHFwQE;c+`5m32_t53uR|s8Wgf`+$E0}hNbEyV zvgIGZH@vOeA^C_9;c0O9p54Aq4!t19MEKr${>27+u>=mg%5YFy;wq>Qc zf$fCwLma$Aj0X@}3N3;>n`v`5yp>mGlkxa=jXzk@m`rI8H5XIxV-4-!6{-S?pzQXM z$-S)Qi*_(EXjO!Y!+_EGPdz67O7MS0qG?O^ULE$!XO?Pt$RTE*MK}WyLeuLtm~s1` z8^)jRXvC!HjHoc}?~sD{Q6_4M_MH;kZ>aUKb__s@7TRHny$fJA0_L0vu1~?LAE-4FVvp=k2)|xf^pgsJ3!0Qx2`yq9up`R`^FKPC zTrJ#piY4-W1X?@@Vx&*x<7 za+|BJ&$8IW%Ki!f19wQ*#gqqm{LS+rJHl;DD}WwNMLPvfMEVExSx6JGWd>Ym5DC~r zDb|nxis<^nCMWhK3_5cPgyEda6wdumc7O%|x^bMikL#0@=n8hkB6C{@fD3$zOntk+l*Jz$47Evki171PkF${i|Vp+GhxH_6k zUoI!dERfDL8B;qPfg0U4u8QjaEVKVqP$5H}|H5>9WXVuKBLmKfTk(O9+KGk=Qkzk6 zYtNsf^;vl8Z0$p1MkAej2$_~i(2Fzn9A|HEiJH*?(;$5&=8Z9Fk&-(Tbvg`c?ul|K zC+f*~(7=zpesA-+5HkZw1mHEnsH1$fh8N6O?pz_g5FPy+bu)5DEt80~SpvrqK2hy% zR`sxl_HCg?zdKI5!NM&kjW6?G7WRgi;eF;!mfl&a_u60kx^93?!8o1UQ^nd=<-=a| z?=t$o3Hg$GK`gRu;6_O)glIzima>6TE?hAnKS^xK7hUuNqKSXKCQg&vOh}!YNv7OU z#;Crl4nUyMMtHVxB49Q?!onw(9VFes4m6d@JK7+ECx(Zw!%ZcLW@>_ot^2Rwq%Okn z?dGZlbWS{RnHi=(WUe;8P6TUG6Ua_q(C{1?Tv$rqfrY79_e0GZrf(b zpDDIh_c~rKz6EqEnn86fU20swKfr~qq1uaHADz!9F=5L%t^YL|rV_8!UZ*M7D0FiV zPO4_!MStQ2>k=}Bv%w>-Ywm##ty&H0Am;=9Zp+BIR~x--zlm3-#BkC-;pjo?ZUpyo zHY#i@=9MHKANV_A#}zJDkxUCjT)W<`N?y}qp^-&RJh1FqSO3&5T;6WXf@t;>)=8O3 z1ass0p!iAVEa|0*tkt~9u8OaXl>Ei-7l4ZY57OH59C$l|ai?m%;x5>^o)z8Tyl!h= zPi@!NdULTq)_zamBQ83#i=n@#BGl)K=mhvI91V}8;5CH#&O82MhJrY(*nh|m5YUke z*%{@<$izjT)$tia7xl{?9;eCPZvQBk19rNUfj;T2KQQNI+X*I*_Dx~TcagVSo4OmZ z@t)xEM`Yjc?A7O9Q=Q=Qzc;wk*4~^7S#+6f=QbgCsmZL375v>+cXhd-3vA_H#>9N$ zodq(CiRHm22w|RWZ2zRkweLJlO~Sbc!I@#j89zn|Rdu!!Q?svLSC8GM-*ryP-uMhW zYRUZOE@gJ2^tb*w{YRSe)0UEF{z^M*CCt&mOf>e0A#~W0=kqdfz-g{@Vf~clYe=w% z`SYt-Y&^Fp<`k?p-R*BOb{#L)#V0U#e*U{!C@U)0ayiKuK6>^Ixa5t(e5}7p6&)`o z&)#>qwDrJ+A=T^_*=HOYf1K3%8;_&pAT3NH2ZU@Ub4a*#*|V&j4Dn|ZoNf3RU5?<_ zfljv2@~}05A>Ye_?AA-Y1ZK0bS`%CW$@-&o(j3>#zjRYUB5Kg+Z^9i4>qF`0-klbR z9?qTn0WrfoZY2q5G!4OEpWq*48=Na=Dkp=ZqOz_OoCc5jav~qK<`^hypW4|9T*&l6vk2%vUCmH>^D!@-iS$jLXKO{d zFS`7-8W{g{!Gez2m}*F(7~o?>^Yr+jrJ2x0D~)`)-Gvd^kJ|<77zB`8YNYuxQy`op zr|q1R6-c>`OWU01nV&#vXqbLc6l$l(@)1yuj1K~T$YQiF6D6=15?=qUO9y&m@%Za6 z>Ims`|38%Gg_)qe#mK>1Hf4M<_4c3^VP_W6U=+T<0_-WX5fY~U`LNX>mNLeswPWw-idApp2gs{YSn^0>7-2Og z214L7(Lg!3SeaPoW!XjF*N2xCwdFVQt$pZ4C~@nMpq<-M2LiCEj4-`*#1qOI>iR++rHs807=Cl~_^46}d;*LM3t*|B6#KcHO8J2v&pLsX)&HDyvP^Fh6~PzJp!MQ8)CmZy^#iFU0ru+ zBQ@M0-mNXJIXWyah`dN`kCgKlp^M=<03_=@vlD3!S_~Z(P*H zZ{L=|fHbb~51pVmi&=Kie?`AQ-3dW+tzqG;R)Vy8@T@(^ca%OHnEvKalbCe2Aj=YV z{~^`LC(Fy&K_?8_X2}UCFuXaWQH=a@B#|albSFQu8GJ%#H%;yPZlV(KHZN?glO7U( zA?OP~_MK28u&2Qd{AHH^fnC424YBkU)(g>eHO#(nz`90NVr0%xq9E8}02i;aIzaa)U0D7^7>sTuto3{;&P?D$-Vz!! z$qlOBs4;fIs4XkzFXC%8gu#vi`&TrGb`?(4%A2hzL=KcQLlhHOx zhC!o}C&D=JZA^m{8{vSYkCAHeQ{4T-&i;6k^aV}FpME;w+@a<3Wh_4UJYq4I9a5YU zQufu=7DI#4-h!cpnJ|P=WO04!mx=LtSIBbJLu>*W{Rh)PP<^tVkK;(ub1S}7VdpT{ zqBatmzA0)o_7o!_9Ia5Lzg#7hQbnM&OR6e7g&Kuv$iPqHV!?4*-FX6340x+T7R&9a zP?fU*SVLUhKQktm8f%Lp-d!$LJF&pc8v`j}4*TKpkPuiR45v<+s0l#g(u(ap2y8C9(zn5Q{HrM~dq^5!;v_9!Lo8nef-FT675nxT|OFc3pk(zD6gfir^5?Qq<1SK2wcDY7j8xO zgeaS=nq21mfVZNO*C)FBhM~~{l;q_{uxVriEtPUL`LlHdeQe};EnlnY01p23{g;l} z-CB1zEx;6k@Yb;vtla)+Iy&)I{~Y?hA^!fJ4|)Cr-{b6jDYsAZ5T!V?)so*MDj#+T zUZ2}$_F@|M)5|1n@i+Z(#2@3cgDC1GN;I zGWx`*0nc+AKotwY3jRw)qpw0|3a))Mue924Kblo8XEK*%;Ph$=U4VY(ny}5Y(SiA# zYZ+T+GmKX0r8%5qd5@}m!Kcwv2Q+@JKtPC)UMpURE!{1?<~#z5-uqcvOOS2!t?9w+-b}RN^NLfAB`Fre-g+(E z6}FlNtdzGuvLhxUAdY&132Q;Eao^Tud+S-)EfmQw`lOR${ssY6wZ47hD7ZyNe2He! z7Gn?JICYDEB~j(~C)dyR@%1b})q8TUIX%zqD=(vwLEvO&7sMKVKksA`djh*C$t2~_ zDfmsScw(}Q2~3r~o|_YFDYRg0`(+HSJ~#Z4``$u!2yj%Yk7{03tFb>dE?0x-p zB&dX=F1&f@;{a@ghO{7**W()m@QLhectWHQpgDTOtZg(aCfJ33Vh~i892e4qo zrlt$q?Lm}474*d>gfAm+5W+(3ro03z>*n2AaZvF@MPbG=%~ULW)Bg8ACVXNH{N8$t zkQbuHFL*c)K+l5d)p`7VE3URA%Om3PBz_mrX#J3lid}gBZShyZ<=}R7t&?y0rVxk2_kv zyGal;Og46H9*c_Z_+uB$M$i%zraeksKGoFOXKpuKVS?5(RkdF9e|&Ln%hrvg@jl{~ zFx%a5W|4(uBQvYH-v?=upbFa4Ha7~^J1l#$Qz+@Dd9Z1=7ot97U1LM-CgahIVoby= z1rX#N^&SF2&y1sO&2wI}$uN{TE8>Ty+?m;mS6tNvSVKH7-vBX3W{#{vbkB;beSva$ zW8FMJa}4AN5JyNvnS-X36S6xSbeQivfI}0dGH?H1@s4KCjsfrpUw5Q}ln3vE$i>JK z(t^$nC$8K18?yFvQfq9ojR6|<;i7%ZE<jj88)B zst~H(f0fXMoTwT#4KP^Pz?3YwXH|}1w`a~)B?7sva56f=!=Vfwk`<$*F z-g)FkH?JUY@S>f){$6d@pX2Jrtx}%+m#SO!ZJUc8iRG*sSZB3*<`IxZG(Hl0da{&6 z%eWJyH^zlYQY4H2Q>ork3<_Q4r@>{QKbwA{MjVZ)IrAmC^YpBW27hdB_yE2+ygL9s zn=Q|08dh2wT|R#mtf*Ej*yz~Iw|w|j{4%GFvCPu>-JmMJMzRHX1YlkSw2}lCaCCvw zadWGv+dcwk`x)93)TbOqmn*%%a>F)_OY&-BbPkh#&=D(M#a>}@ zAyp+-8*>?l|1kpd+D!-`!?Z_*>-pKJ?XEws5YwbkL=N=;>ImWcPot_m*){ zerx|IAs7g#l!Sn!bax6!cMmNvAT8Y>D&5_nbjKhdE#2KYl0!EPIs9+*x1YWDIiKge zI%&UQW)>>D7uUKnlmFmBZ4Vu=m-xjpHvT#g)>K%F4oe|==MivYeSfhMNV`vdR3mFY4@C?32^a;8TWncl(NGnRU<=Z(ItDO&Vx*-rgx~ zj(A+;K$}Vfvs9}4HD-r0ib60E4i|0{aT5y-QSeE&<+e}sg`)MZ{Suz6?9vip!VHp2 zy0gQzwJ$g?;I}VpuLC#tB2mp3r=nG&!;XS3!VgIKJ;!S}5F_*YFBAB}2=@&y^6v`$x`R-BSzvMRQl**Awov z{M$WqS}+HDP*4+840*Pz)a&;TUWc=@e$16W<}LonJ4*rnlI#O+e1}tJeh=c7&hksnOmXq4IfQWEv@&P- zPLM%~v!m9X^MQgp@=8FjxX{9<)b8J3jS$*n?6(|-Cu?!|!MOGw+-PVkV|&Z>Q&()-kmuR-^-TCPek*H?LAzOOW;EdIhSzH3wyKJ}fRSqx0m`Y# zL|X$1VRRgp;_eOb{HU<1L`uYpUJ}1N%d#yGO7wE}rc8YYkvqBcIAgz)sGm%=-!x6h zxc1VCX-=tUn|`;d?^^3KKb6Ujgw!J!g*FjS01>_E{G9a*8;1VF9)l*Pd3$%${?nCv zh=E=~@Q>2KA{#|QQ)GQe3qs^d#;g!CrhHlcP5{3E)t4*rRaJ69-AlE|4(Ct@lcsjl z1Lxi01TZ_L=%pDm!jp_{F>P`c8wp7i-xRrBkdLlK5ZlyG#!wm)A%gmXlV{pcaf8R? zZni5wlTB0n^yfZ*bF5CP+9SX@pX)OBGM?2FnHPqbpdLhSZgI2GpyN33Q933|XZBt4H@Ut` zG)DovLKexhTkO%#%q&&UT$|u8%BzW1Vz?kkEpfE;;-?Aps~9i$C@_X?TvA@{@V!0t zOc9{dGhB$@$x8a2?gm0Z`b53XOSO;(E_Sa8*0U=rz#Xj^ygLlG$&e$)a*Vq<0o?;9T`p_vO3-Y?YdBlRL2dMBPh{j0J0V z`YH3ryUPod?+JxXSk=KP!EZC?LkuM5gO}c>h9-vxS#J3_hi5eCq?MAyn)Heb`^I5- z-~&L^&!*qx6P>r1|@A;k&L$KHD~?cBJ8bk3OSZeM|K04PDrzP~kK z=ZZ``FQ&nM{`N$mYRy~KRW|bccuRAPOmompZXXp0Hl95w=$gNuo6sev2F=<~f<#Y; z+}?S~d0bwOw8JtDhuH9YW}u9h-`XIW7y8up7oGm@dtV5gc9q2}zcKQrxbuFcd300B zF{9Uzg$nr;omB#To9k7}=T$i1y|>B7z8SuWZ20}sDQiVX#53UyhiQE7aPLE;PhQv{ z(K=)dteV_f{xJc?Yq5@iK6#P2CJcK9pcGF$M!7C)F1d6TEts6(1}3rHV9 z1^wc!sfavwW(61WueelAw5uAJAya5(n(UTHkK}{8WX><5+Kj{8C_}*3Hn`Uw{pn}$ zr0=xk0ILNkpkL&~6znw;=8A?xHfTGheu*Axy>^9-lo~|T`t*?r%qbRwtQ~e(S#3g? z^s%+_Q?@QHDR**xp(kg~wYJPXC|#BQ-an2QzsUyqoDUnX+euiwt(qNNXY`cQca*~OZ38%EeGd7AjQ0Wl@Jvr4>x=i*fy0$C za`ml#bBqg9mA-0;5?$7{fKP=77%=XBf&YvPU(F|_555Z)9Lo(1sXX@Vo@*11Z~#p< za|S1PabsWHL_0Q~CPewTZ`}-sOi@~jswej3nk=tXoeZdGm~CFm6m;8#=eEQ!i`c=Y zpL=pZpNVLsV|Z*hbaG6v?RHw0_Sv8a#cdvI6Ptp&Valxe9L+j$6YGJa zimJeLl)2$j)G9Ia=$V?A=So9z8`m8(!BqTtgx21L6YjpuCf$Tn(1E8o#buYPKP zv&#&vy;Evrorgq9IzMfiBW?;0?2cGx18$^u69tpZGEeREGNEqJf=OE z+@Cpj@83Zc4;@S(x0Q2Di6fWAN-H+o!_(?w?)$;TT^%WydgILBe71nYal1N1*AOc2 zo3om*Yk6CyqQ^Ic}1kfGyl4R0rWPOx$8Xlt;n4nDE~->*lW77}iO8A}iOZG0#0lp;!^|z_?()WfTnTn4lwRMs5j3GAw21t!Wc-Fi z*>}v=c^ea;0T*yPQuaJ=#sdyET4G%b59@NCYFWdrz1iD_FrTYpWtexl)yK8;0J z1vZ_0WwJDXX;P}cYH7vnHRozQSeji_>iUL3jR%pqVxP{`(yO@`ZF{_f_kN6|wm9Sp z*E_wS0P}$jkXsB#p*<}^x{DjW8i9@T)q>ZDk33VG-DEgvwiS8p9Nw#&kTmfa`0ErR zgG9TkDliAxb)(mVbe_k<)W}YnLY40(bTB-Z$Sj63e;e~BdkZclK{w4Eab_xOS)zTy-IlAu3f*`?x^5~o=Lg)d;Fu-w9?Zm z;+4KKPw9s<&|}lurks1D`ubB=&UyS)YA)@~uUu5Q1*Y_hyAO6FH$y9F^K&wm>X8I- zecJ3MN5+oW9n>igt-AJugi%cQ3vSG?=omjFJg!DZLQ;MR`ScvGqK@&|#^g0#G%68K zL#8(f-HtKDOfhF=1?45idqzD@f7?(5d3i9O*2@N}D_o)2DpYDmar_bR+;n(B?>9Yy z$sIU{q2=<`THL$}D>}&wN@t_V567WW<8g0zoD>>8Ce_v>0vewS*&tRTp!34@XwNh2 ztn_eJ=>fPlA^|dhI3ukthacLQEaOF>Zz*Lqpj`LlNwFd^&Zq)x73?fUiM=45P;^Pk ztnCm6$t;44#Gog@!O5kl^VIDqG^b_H=Vd03c!i<(=h4%xToU}~X0w=EB{)8@h9?%n zfx}wb?W|o10T3Tz+r#TFv%w}JTtP zhMperm6*^0Ikh|Cd0i|5o&?WPTE{luBxF!~4^(s3jZWG!*W>t*Pd9mk_0EKzv{NUU zvlJtB)f)~jimAREu8#~xjvD0}zRhMH^LcG$Ja)!Q03wOuO&QJct66qP^F^@2NvHyP z=>eTtq;}||i8$1}${+7%g?HCGz9osFg<=j5{y6^hh zW0rjq08Jy0RB>!?PzafcF9$yE@~U=HxxQHsGFmuBF6ei_d_XH+vC7*lmt4sbjskg8 z=18uPvJ`8neL@QQMVbz_?%7ZV(k#5&5JTSvI;w>^jXp7T0Rqai=eM=sV_bK$qjLqRv`xZD?pN=F?LuA3&h-eGv2UYIdw3#g0VviiRZ!*H2CxH zPLQ>o*VZU2(ZhqmXNJas2oZ~(A*6W}v;Dalc^UjR2? zXV5d4W$81Y`SOZ#1s)bWf7^a*(|$R4c=m;b>ADZ_swgzlE6CcH-7S^D$GBnoJ+Yuq zA}qrZx5uZO!;$Bao|^*4OH&62wwDox7ZRr~QizTt3xro;9qJQujG6MOA)lM?ww+{q zGeUDGlwKrwjqGF`E}`kkTa$N--#fXA6LVaKaIl1CytIf^bl(kI#bkIm^+^x1g{g-S zDXKbC&Jm*^Ayo*YWy%t0tDY|jOW9#?*K21DovPY-I6jL{kerE@tf1%m8iLKqX{arX z@$BgQ?K?JGtv#_*9~BF#a=|1tX+kbnQP6#rIiKxi`k2E3D~!@o{nH!Ug9mJ@SDAyM zK_YjrJ&o7*XTFqYxwm?AFK4^^UJDKGO`|zWFS5A{sFx;Rq8yA_t&3<4R#97ixQ#FX zl0nY3RPks8h9P^=GOc4`KEGy_Eb!A>zBi|zhw;k1f@_U(4&LPYrMsaryp9CwEnvsr zcR@6B-g`>_y6r?Y60h~L~GVK7~1xPB|r+lVQIFDV^ahDsB#Ikq3-!R6o8DGw` zC8GAJ;K#<<26s+htVv6dt%wGRuISH(*}eW14R*?3urpuyyk$)zK#`FZK$6!M@vWYg zff^@s#j&xY{vbVwJh|Mk(eE%3FR%xlu>S3I6Ki^r*O z?b?YNvq${{tu!=QeW$yW%8`On%HSo|0(nZvrw<~yi9-+Lums=8sT+9pYx`~LXR&)& zT?c6PQaf9B(kqlRxsG4FGec|MO`Pp#?u{I&2 zT=dYwD^I~^Xy-6@b{6ilJEd=$q!^T^s!zJ4;l)d%p=Jwd}f4F@L z^mDu<)!n@mM{cZ%S2Z3g`USUl&Jn8Oqlz#4QG@5XCTB#lUZp+ zalM!Xx&j(Njcxw06K7KW*qB&7%orYK&bUM}i6QjE&n~O&C)2QbhoJ9Tj^m?!bere~ z4nW8099e~j@+4;Enw5<*d-nR4nLA(){0b!94<5-u^j=+XisiAg1%mE$+`*ipgi>4} zy#&hWOVjhw8Vp5eZITiD-ekoxzw|_OXI+=?KppKpZCLB?O;xl>@-lIUBBQLZ6`LK7 zX=?rTfQiB)sEtUX7(LF+JbgkaBY&}B!u4vSBsF!4Wo3Sjkl{9E%4{y^to z$mTq;g6+<$;k}zk-C<%Ybji!7p-_&EQNN>`;}KWW4zq_}`XumKV+ZF^E)6&tMC*jE zr-hjrsr}aHQJC}??yap&IN(;mJyB?`-OK)t4n&IEb2BpXX|pc&UXC~p+_(C!^R2K zJ}H9&B;Y_5K1o{pr2sY9$jD>Rq1n&+d^XPt$Ua0#Deq*oEG8@`Lls6Vf&Qq%)-tKY z1YLI}%x)^9-f*$i08b2`6>D^Ustg5wLAlkbR=aI#2>V4fnA=CSlu^DY@9~6`j&7xs zb|)>;)2@0bV1#+?W+?n-W4!}bi!%fZBmkNzYvyKGIcnOBkWWl<=GG=u#8o^+#od?8 zq%c^T0{3wc8y7joCT>G$?nPx-Y*@M(qx31clcxNk-0%3Lrl~TrleD?W5bwB?XTHLL z28fS~S@yC|o-1jhH!N?AojX5A2~iG(zG~EXN%&JSvv;1>=~=A(?e=XdOAOZCLLrH_ z@aW{J0^klaK!NML9=(yf2c(YF-F7^)poY(?n0FEK!_K3#6=&co;rnu#qa+=Tk-zy9+KkvZgOV6fRov_o zt3@n0^T+ZYh!tIPS>y|rRbAc*+GEM5*srv%-PV=>XBoaE^)L$wS7{^4!tvY z*NsECtBPJMa7&H}+VNqcw4(8qrW}ND0VER}OFQ;_dR~l+Z?$}c#z=0*$zlWSgNSke zRLhdByJP+Z)i|a0|2#2eAt`E^H6RNNF=9kx3QQ$fxE``rXzw3|gSURgnR!JJb(+;j z9-)aMnPI^>GT@*J&+-cAFRaZ-Z-5Q9D9rshB_rJO$uungvCsJCgYr%8y_JyD4G5p;e(EP| z39^p8cLZ5C^{-T{wr+z`o*(gK=>VD5ga?sxy0~H8*su7t3dN%FTB{Gg*thF~gdI2J z6rg}6J`Kj$@$qu{#;5RFV#C_=3y%&9QP-RMVp$RjFjcTUY(AOEBNuT#S;e^D#CkHj zp%^~n;;&U=ac2nG=#bO6HOjj~y%dnOdoDgraN(o&TIzs;vi4Bd>vMojRLahm#=|0j zS=Q*K@heq_0WWK}Op&>aEp1E?lIgd}{Qhwc#oZM#{F={;&|fcVWCY?X6jC-EnVI7f z<^ovPS3@kK?{>P10fhM_(dB&f9@wm@P61c_(ogWvt}n1}tX$T8_~rX#KE@WX@5qk7 z(nq0LwHdzKN<){kpgX)@7R#(+HEYA-0uCO~j|SS$NCUEl_-=F~juJJ=uIG2M>N9c1 z{&8ri+d7mOU&|c}RABBL!AggzjF&%}=ji zbi(H)Y-kB=cE)K&{hb)`W%%~sWAkU|X=K$#$z3x`X4P8K5e&IQ*zxYzF zD(03k25p5{P9f6FX5((piN;=2v@QQcQS}Ichnt33`i$s>*=Nnn`$TeHgD$n?kxB8s zG>^MF$S1bW&_qr={)RJo(tZ}~!*Of8A^PhmKTX{O-7dI2kyK`t=c+IQh*$JdfvZ~lV3)f%Pu<&|7 zdCx&cOTTF*E_TvPs1B1oh66Mo4a#u<9uM9ruzcua`O#WO?Jk*Vh`0KQlkMRBc40g0 zBzLOpn+$Xhel?p-YPj4+;sRcl^1rA@3)10leEY>PdWn;UanZ!%j6MhvbdfqDB~jXO zfO~T#j);&@k1f#4aEk_r-OS42i~H`1DIP*ie*lCdXU8n4_|=@_*K)+Dio!68*J$a2 zq#TBCkxmusKaR|tb=F5*btbW+adSwm-@>vn0rB_dq{hfO#-sOw-xy^%1ZGM@C)5PY z>A7+mbieUW?y$xRXR@5p@f#8Pvc%NW68((1@>DMGoEY?<8&C!_0Cd#IAii9r)>^1j zrzpqzlxh9Wujj0b;6y~2xD(wZ0O||`VpMYFGkdnYqAoBpB|c#Xeu{zn3!tj?Vj24p za5gws=)^{m$h4ci)qO)IAFudXTD8sqnE4y*%g&yM&4G$(r)56Q_B(XdgX(5y*-`4dDMrj%(W59-tk12&3*Q0QY10RC#n7n$p`O!J)S3QU+h3xG<$ z6hXomn>snQUK$Xj=|!ffB}700`lzQ@R-k9p%@um3f1XyxSH^Fld**(gGz-)C+dw-# zDsbzvKw+VqU6I|zuhPnRP`PUeV&1L2%bXor?SaqAz^OlQzxz&7e)pMOuGF}{rwG{3 zo8_roLiFD8oyv)~7!n^@2{*YKHvwJ8>#za}M?>8Lk^4xWw`;WcS%M`rxa|q{cziz! z8ZnxC_yD&fG|jH?BhHsTP6`Y`3@0E-5o9>cy5mdPMpq%%| zaS#<;>p7|ec?wW}i3BY&Q;%NbSSgprd&^Z;DO%$73z^;TRttuw-?*x;3IKFnU82lc zQDDsQnj&9=$7Tno7iQBn#@1`JHr5kpF?3&t3w#92=!{r9s=vJNc`1*P)W^F!DGYz_ zECzZmL!{P|SFO+s<7$bFi(+T*&`g0aMk6Vp7D$w7!PqoOAOf0GYs61P49;nRj(ca!#X{)-VI((c^;|qsoCoj|Hdd)4axpPdHOUYoo0kkC2_r zxL2Olql~uzPillQXk)XJ)C^ZzxX>;x_R<-t$AL6T1-faQ3YNSU>(#U}0a}x%jLPu* zQpp85QX*P2Aj@xYxbbhMsZxh}+Z3R_2NWqNIvTj;&*xRmulnGPzExi{*D_%+4Q_*@ zYU=RCiq=l#A!dW6m<9lIbDH|0JSzr#-|dZQ00D>cw|p#8srlY5e6a;+WO^ImArK7JHG`+p7>v;)Ud)OxjQ7qrCcE|(b0il=_ zuEZRBiE*S;cs!Sms-uMf{Cxs#UHc*0`Ny&JlpC~d-9PlD8Zvn#0-chnxJA%#5~6%P z8ts?^P?bjJCTdpRN`3E1s2NsfL7>T3V0eiXqMh^g9+im}AqGJrahU%5-Orq&+S~8d zLIxcYDif-(UQ}C4{W$Bc|F^ieHrw7BoL19fYD?ZO6qctt4dy@ekmdNpT8Y%bL$=X= z|IO;Q(|R+0t8WH4A%iZBta7F$2Yux996jII0dnx}El1f(q5_*Ve^SyTDdr{s(D3dz~HOPQ5 zJy|y3l zt+W2ieX&EkYwJHavyXT@;0=-|&$v$r7$BPXJvuPaC&e5#T$wJwy_?BBD3?!w9?|xn za~e0_&xb45oVp?V`Q-9cw=}VymwtomVz7W^{!QuOZz=a9_ z_t@((&o?aw6MJ|^T82VXbCZC<_Y4D#hHBbNQ-#dw6cNiEuv$p`jX;8Z@|+sHys0zh z>A!fNCS})j88FM*knn%^;P*6BU-%hTl}jhE_Aws^SDqts@NcYX%0&AgF(Wu}@qJK@djEu7h`CoM!EGrg!+F!*%UlG;#48RMS;#~MJj z{U784M|Q+c#sK%apHJQVl2O?ztCk*2fw9K$Z!str%bWUnq5Z?*e-8mNd*=UjzW>)A zy0ZfZP=J>xX*ShA@0J1>|NJXTc}LNa zVuSevY-{T14e*TbkK@ z&lYdhptpy=Xy!=<#`Bxi-kblD_<=Rsp>8f5I%HZClz+g5Z*!xlz9)ZjM{l2j>n+MegOnJS4cHJTuyNECBObJr81hsbhPEND-_ zSgoa7S_rv)1YET8wQ8Rl{UF(JFSUD2ZoU;pebN24`kd7of*3e{wZ6K(Izi;T&`m*f z$`B!55yZgxQ<5;{yN8LbmSj7L&Ed4474K&@P|6qG{VU){z)dZtGF)rD#4Bo4X1|o& z@(pyKEypNud<-nyNB`)&`H@EZPWKfPWYDqy;z=t}cKAtUi2V#wL@y)^0&k7Ge zJ1Zy01+UTye@Hw!fr(^@e1>o2w+!lb!Z!??(6IX|LlrYHj}?H<%TNtFG3RyPMzFrbb^K?Q}Wj> zklgg=*C4?&b~IwIm}?tU(Rs9ACP>!PBhP)DK6V>(JSl^b!VRS(Z9-TKt6v9s z&LDjYpz<_NmXB(DX-rAr0SYeF!Dri9#ZyFK?5E~PDj%jrJ=ll|EYx}UaZGM_YoH!h zNIY0tz|o4vfSkvSPF-34UWI5%r=3~TX)ca;B2R*CrFI)6_*(GCv4pXHU>n6`sKdqS z_Pdj!ZeEdXd#dHvA@S!dL45gg)ROp?rP}5b>V!HdKl4EbPRTt}bZ!L$(aPY4Y}F0L znca7X(%+NeY0v9XjWMNw#Mw0?6}!crKVj;!_%3u`8qusK~OLva?J1j4eo z6)u7{*ngB3S@;+6zsoaqw+v=T!>#_6TkJUEgt~Ut3L)j=Y&9;$tS->d2tIv~Z-{@n%$ z`~SS8Iqo6c2a~o~`vtILCOQ^f0{s113<5;rM|~Hjc&l7MZ|hUeVMd9Vv?K6n>+PRM zPkNsK8TK102H@ehsQ(!A|3CQum`KJxK$lv~4%GJ~JC}sb4_e0E*UR8{i?);2*Iyb8 zZZc|C@C^STb-GwSoP^EeDY>HyZL64kuR4S2KL z;V(a_yOj%uY1!(HV8=U;-_ds`Gp%(S@nnlcS=A@&lyK0jR7+J_?VgLB1gIrm}&F=+NEkv0ht7G9_YhB$y@In7(rp{&W+nwgxsTRue7Cl5&U3+GK zf~6vSZ#$^UM)d29+&mS-8&QaC{L~N`Y2CqZO2d|$WuF7F#m)^W$H2()Qm^hym_YS` z?v(d%da-$FT~n9HspPA~59yZ__73Y)pKTxg!yOdfK~3Nk+}U+~@;o%BCJTHQM{Y?|W7XA=e@v|nr%XB-ii$92;%Ml0WgF8$CSHZ2H)1F`KuLl)uV znPAxNZIhnM{&4g8wOz)Lp&OzWj@a^TSU?RBPDm|8&v3c;7Vj0j9FTJ@d z_Lzn2-{$vmyhyu>o$T-!(xhkCK44rRu1>i*NemCz+s}qG$6*gIHk`XA+FAFKU@y2D z_0p@C_#eYI_U#(Gyfs(DptWx9Rz=I*2PI;!gqMs6xG1D}nI$nVob+p@yRDU23`aG@+aP zUw%-f6i@dB9O`!lr$qhJTT7I{rPN!(W=x<=VedwVT&^s@#^I6{`}1`y<=)H!wbK_M zUr36!Qf_LA$6bkYtVX*Ks5<5Dbj9SM$ocQ_^1j_Ny}RGz`79ak=1Sh&9%#gI<%A^+KxF+!o>~ zzWCE>ky1__Mfir4E4rhMrU}MA*}8c+yma@V`E)(7o{K3kaw&x+TPX~=@iJH#!`%^p-<}JHuspaXn z*CpUZw;ft`<^5=!e*cFy*Dhrr^hE^Cnaa~LctJ3-GV`>6a6;>gQb6XB6kJ03b-d<- zGJ{J8M&(U))Z=!IXI1+x=Z1(Akqm*GO~h|yGQGLj>}{zj5B;9U<=}XW=c$p|eya%cE5jaAcgu1Xem$F-l3lTNT_u^jzGR)LdU!gA z+_l#5uA%!@I4n|Uiq-(JB@jz8i9m3t$kD+TpK>C96F$ zubc@!+9?5ZuEGp6D-q8%2H&IgJBm#B?cCj)0qFJQab#MpaQ)#8;tazDR;OX^=r~2@ zeO3v#Q<7M^+R{A$0r!q{Z9x;?*`Ku4CXn6Cz%qmdCN0@9d+Ae_Wi-o#IIwoJlW>ct zcaCR6JB=6o3jn*5H0><{&*$>gz({?ze0ExZsP#F%mYr5a;3iT~cJ-QUw`qRP_v3o^L##Bnj=uHM1-*?mg5euEmdUV`T_syzyP*`%V^MgDzAt=l z%n-|`td3SroCUa@34(}a{K|%gt+cnGD{w5kTq$y5Fx+p4XmEyd9J`Bv*Rg$$2I4nL zBTs_sXzw<5BXjdlCu$k3ww9WMdvqb38HnWsMg|Zrwzd?u&sO=)V5D`}e>+YmH#hfM z73}2lCx%M6DIV{%?lp>x=Da>mcRc5*=Dg2V-@{y;lhf1#E!Vk&`SX_QbB6G#z4@iZxcI=+}`eLNV^sdLE|Hf}M0@I3R0{#5MQdgbf7LM<~ z{R;P74ed!|epiwbuAimXoCGgj9S${`o-!n|Jwn$K-Pl)zky`(&8!g7I6ZAMhE~&w`YnrM!zp^eL3+yy9ffpO zA;sp8Xy-XE;_bsDd=6G-Ihr!#vB9bgX;GLQ-I!mF-41wF3BRyFkPSHSp6j&BEu6a(jW!Q5>;Zv9;3n8 z9$TX4X+|<5#kOU3Yz_}H#lwuj`@bTsJYpA6LDpNy=idKDvDDtoMM_{6X-(;-Py&_+ zkI;Wpkx`SxhWYvxub{$TrnYJ-E8in;#%%ol5&9D&IVG`c-<~xVL{%&;-*BouC;f~s zVqkc<<**H7Soqp{q55U`ZGh0a*dwptS0X+)yR%heBp%DMb;gyvdvC1GaQ@IEro&fh zX=SyegjiFexFh5KHdLsVpTkE*t+#&SUg%P!!ic35tNlMqd^IT1(bw14(^~-Upl_ha zu7n1qb0S+j8Y78$QD&MtyReYv68F(k2Kz5dWXAE_yF8rI%0G*ycOZl6^znsV#vG}C zWuzLQo%`$>lNuPA#*$G|QXbA2kZqO;e-!^NB(StttLa z8(w8T?6ZQCNv=uP;UgZ8_~?9h3(pjAW!?`##|wQ2E4%)mWw`Zo0<6;B7h0b4A z%Ho|9&q2TZSaiRbv z|1)Dd^u4i(i3u3oc}byqR0G`SAR=!7cSqjvh8uaa2K5l1H>o+xd&&!$Um42{yMJ+mK8ld+O&AA)oDC>m(NaojhXJD zV3vSSU}^I{(wOLvkVm?liBDou1Zfz0rN4Q@(wcd% z@BPdF@Qu9Yi{dWmdoFvYUNaMPq!(XJ!GEcE6xn84{qrX;!rY)c(qM~-11XC9f282% zMauxC8JgHeSXL!o)?-!G)%Ef`h$d>H;gAc(sIIAyVhjHleqbI@Ea{lZYu@4zc3#qv z_^u<*xmmE=|Bve0vROfZ>6D?PV8z5+{8h2wli11a?d=QQ5#ZNpj?mr6-~JcLRf4gW z3FhySpZt;fSa2?8Bz=5{HIs^gz==cBN1r*f8?;uHwW^QT{9}I@idST`aie-IQIqh7 zOlSXy^)+&@lm?*WW=g_;=}49~fm;ypu=rByKD#k@5qW7y%yFZVyQ`~~BuH2H#4v64 z??)A0#7@q1`)sb{Zm49k6?F!ij=OV>ET?@sep!~L-N}MJ^cgUirBwM-FZJA$F9pWb zte~@roioA~Q7W~Wg@vaDY4(!dpQX=y#IadDSJI8v1w`S=*5R5?b3(t<9#e8lq&Vv}<_{dII_ zvXf{=du3gwIeY@8UCu@qzEM2HomQ0+I+t1=3(!H-;7?aJ=Hyw##*3@Qa?W@O+c_W9 zCT@)EB+`s{8eMN}7xa)W=|5i zCys&d%ysk@88%r>xN~3fww&p3^^-%!^p@dCS@T{#2sSePvYM!Ul+Z#dot=(-gjp8 z$>HK-)3-t!3-Ky-8is~z-%N|lATaM=|BhWSrS{Z^$p|+OgL;EF6yMXUd1ETij|G@D z11^|Il{G7o9;o-cEb7C5xWVAXE+;}C)2y5xGE6P?a5{Ao27?8*pyxVTi03!dCe+v% z*6LO((h8;f2Cz5uaI3W8#W1hXaNxygyp-0A_AXN~M0FBM{PXeVQgA*tJ?4;GP z@yn`EADr-A0-R4qe?f28G5m_?+YUB#Q*Umhu(n!S>13Z^-bLWhCfF2B-v1Av#AWt7 z8>FVDw!)cX5x6srv?n7+`m)^DYPN1s&1&|{<&jbq z1&9uC5ZXT?X|h>@BuF~HRi9(q9*G);J@jc%z85Os7FOuZ=KP`GH*i+A*1sCJd{=|2 zbou@p|LU6twTMbp^qA8|Mc`1wbTPXuN(#ny!zPO38Hv@0<`-aq~)r1Z6ag$Md?10rvSPkyzKI^~^x z^prDp=}d$!dm0BU^rZ5^zkLKqZQeuf#j0&+NOsW*x)*I7!g5TaY(6P*;~6-1i)Wj= z)0UdY+A~tK{l$pIeT)$;D2_M93;01*Tx`Ba#7dWcN zqCGAPP9^kWfPCXV@Y1~MEmG}?*b-ED{d0bzn;1d#ZBaoJRm3YBVE*-NnyD3`kK&vJ zhe6CpY)Mgg>X5hQ7>>QnTm^KbaN5+&!v6ad{`s3c!p!upmg3fQrW$3!N%v$iQ#~?# ze!YO9Tugs%9v-mOEKq@3N65x#6l-ofdoC(^P%z671CH7`Y1&8*@_)_MTl9WDo1aB3 zXy9-f$rHT0zkhzm(Uuiu^n%;eD){($3NRL!d;gztfGx+Sm~yS+woRq>7cdZ^{;||I zKOhhaKwqwk;#pK6uHE8x{SIo2_J7&NbK2tNa%7-9J~X$tSE)(xyFL}mr2=U@k-Dn) z-&cqa{P*NQcEAgg@$xwCr44+jt*g5uVhQ+*vUJUx{^?h{&2%!FK;fe4idb>j$^pOX zjUSTww?wIXEd#C@C=@jbSPX8e4X>-0k%2nsie>ZO>t~xa3rYId9r9(X zi3fu)#sdGQEjg5+y2U)7s(JTxu^IFP)4RcKEO)SvPcs~o<=zYkQ%X``VCb6zi;-F}oHmx;YR0d|_9@Jl#$Hptwabd9lFGK_(9f zwa!KKE_`r98(Z6{9cOo*UH)hZ#9SU1DwHF=v%t`F|KMJF>7Ev+DFcj5%oB5Mq@A3a z{4pBY>&AvuUz5m=?Q zug)bQULaZbrAYm{%XF;N(3!wvzL>(2eGtth>@aeA#+9Y1x|)m7!QS3}1?EuQ)U=49 zKa}(J{aoO(0|ow=$@Bxm24@q=#5WY6 z9{2+IbSYjU|HWl~BQMG1RbqzYrzq3)E2HA&<)&C=S`|wZ6Y)G29M|J{A@~Airpk(D zoXDroZ<3Qs=FT8ZYI_LQ&9h(;Y|BRg3sHa_N0_dsI<|E~eAJc)Iu7UNr@ZufXbnGVYip@BW%^?HBtNPVzIeUWyS=Pxb14n#D_~~ng$_lc z@2gY()dDcFi5tX$x!{u2`yws=K~gZRWc#ch2Ub&4L$wDK5fUzmaRWLVn``wSHXc>S zWR%!W^`8-I&}HkjF#BS=e$fOxC)<$)6F}9cN}4aen(+m$E|^n~esFYDqmL$KfrD)9 z?BL9AW!pf2ZIISH1I61Z2xGrJO9-v)FJjGkjVBVGh7&LNoxw!=-bH=)~S}#{WFV1i|X%pdJ0__ zWExvH|ck?gVNhxmb^IrB>pC&h*J-Un2!L-dA zd7)P^0@1}ncO(LW2y~dxBpCmi_fqoNU7TtI__NINxRE~KrzN_qK9kf-&0t-B(&b4^ z9}cM;iDCZxq1cvH(DSPrXCpeE--6foZ1K*`zO_NF`TT*wK`NMJ3yEEAh0SmPdHYvo z0_q(7lO6pNjfNfCv~z?@Kzprk1=@ssE2p2QGQp!^n3sfxnPvAcf*W3%KXQKjl`S*c zHQY+L*NLMBu;WXZ0dQ=5tK`cV7U&8W2Cj%pArgUF%%TRDEq!NQmE{5IFk z@I=?2$$lZ^0;lWs_A|j99zw+yUX(*z}E~u zP{H^Pf2O{GQeEq^gYA&1V>_k+WlNGf$qX#xi!uRkS>$7U5N%CInZY@5wQqb-2}9y0 zdxyb&UKQ#6j(S8Sk+F+*X&*T*eT1jqGK2l;1?#)olbq#U;m#p>sxdz`rPI(EMpBFb zd)#Ck+*zgKc#r5yVOsj-LVCg@xKOgtj@)TQ$U`y`)~f9kmXB~BcYk@P+XP-!Z%l9r z0v%m~HFAhsyzE~dg%KW7@xkz1IlC6ndTkhq4k{@*Vb8SfZy)mqic>OdOFJX8+4FE6 z0J8DSU}*Ov>?6W^D@o2@)rvR_7rnw2Nq>J~iHP3EG~JRG+&Yn3v>3=$oy}N3#@HoN6{VG-6bL3$B28wVy4=}jwWO8tfz z#8HeYIe47MH*#B}SX!6%Mr}Gp@cFjEuao0>fp>cG4}};>QS|DE3zoz{-2W@6*JPk~ z7C43oa%p8opF7j0qm>_ zgEZQTU(os`j8a&VLbrm+oPQ?E%wzjg#Ym;RpUF85SJn-KoC#1x$Md_XDGoFXoNkP# z!4Lf%2lyT)QNkoI3@PT;>XKugGZj_er`t-p%=1nl%B7TjW@Duoc^q;2`pcExpOVnr zkYeVUQ+s&ef{1nkIhi z^PEDD>5qm!^!ffY8BNh_Fuc$YJKMeT(ruOV2`-d+R+p-t0sA$&DLpSwxEyah?-%Wm z-5-tad5;?TnPYN>N^Jh>Jm6`nQk@)Kh2LB{2NKDPlfGN(;&Gm6MaNKT> zy!V3xF#h7{<-#qSHV5Fx{RSJdZ13Pe1vN7@_2x@ejWv6hmQ{P0Q%g@2Si5nrmcr&k zf^#+5S64Qvp702QAIA@EJmk^7*)6pD0dg42aV(=^tf`LS5P^9U-!PhTVut5*63|rV zpvJ$KP(sBl>skgrAntc?bhWp)tIk~ygB%uI{+&Ro{FWDJBn=hTf$%53GgSXa?S3xyuc8}0?O7w$`6nssCaJw zey#o37W8--TX++^|NfW}Ncn=rh59cGr<8TlfA*O?E-WfjFu`#l=nd+Wo1W-@S(dFv z$C(|NUgv*)wNOMKSeRCh3Psd~*1-Ewdp-ds#L_+>(W?{3JFeCHFlsTZ9e}0K|p{-YSmI#7)cFwyXMbHzC zh}6ky;5;W!EdFg@-9G?<7-@W7$$7*bd=91|zs#MGh?MX-Y7vnAzz&B{sMFoA85`>- z|35(8rpj3rA7g{i>i?f^!5=1Fh8J6IkOW>mOnh5h(RpVUsnGZgEFT9 zd=i4bmF#n*6gf2DJfHe6vSfNw=CBErkKAX&9!=+i%{Jj?T}HFi#~^qFKe+F$_lTzB znbj}(tH>DH4|VXJpXA?n6MD6?$0Ub`6qzcJ8A5*$ITIwaJAyYKxm!CVVLUDL?}%BI7rNP@i(!!R znkZNvvw=J`3V%&met1Q?T1oXc1s}k&8h<6D7v!P0{qF8(?%}-6kkm%r0-olWQLB24 zk9D~X_R`jh^N@KhshblpJO3v9+$y}^{$3g%JQ8KFcz@LxcW|sW?pTr!fAKi zrSg`~A}@&Mev4SU-?jSBincwY@YRMinPgZ#F8%`+wE?dq+60ydvDDS5?0ba{**yK> z`OE^Lt@n$GTHZtF0GFf1^Pgv(!3#QyXEich+~#|7wTI2L!#r97TW7PEXvfjJ1fz`Q zOR%mfZw^?`SyJ0rC%a~8OypE1%qe@K>4?YPs989<{vvv~b87v?$2s|#{HMj_%~FHZ zoY|}s&b_8ZD{Y@!yzI)VLKjYF%%RRRiJLmrL-wSNo36i6TJ2g?71|C@_&M6FRjoB< zWD=}gdXAa`f-|hqa~_X5&CV+}duFIF%`vYmIk)xFqz~P2Er}YFyO{HLB&i zr*iW`IG5s|Q|8>6zfS&7f#7alw%w6d)(gM3@$-ARp%(on)GMGc<1)L?%3PoT^>4H^ zDfgTA4F{_<2Th$^Ofdp{!yT1!dxuKlzY-RdwHTo`4PSj#>1NhOONPc-1d1M}eUa*y z5FAu^>=Jz>%)AAJ;IBOEVE^kpGi|1RW@UM1T<@GoXKF1$_TY#n_^g!#6+O~!icvdgzzndHo+r4j|6|69L9^^0sS($OH?}&(1m)bZaQ!k z_3Yq=%Gx{MKe#(lDRB}07V}Ef-;WsYS8k`)sC4Q-eQ_8D zDXs}e#nZFEP0}^0U6oJm$t)sxc8uLzB5T3xfP%`SK{HF@NWrg0?-arZldD*~vuKh^$pVeh^J zM;EML`G4wF!QX2BPac+lzLL;IlDLk-v@`z)CD9*hBT073o-F8OSptyJmhitCvj5ET ziBoB_=P_J??@u^aP(X+~Md*_y632V^Q-rDKJz2rC~3j=V`J3-}|;((s^h>tsGNO`8Id`BOLQ5dMEnu2(vZZ>x1T@2(S1k%1{0Mkh=~bf94#gT3k{> zeHNFP7^PBDT3Y{+=MeOJwu7J(=+hPgxqb#3Gnf3e;_BhR)=lRRK6nyJ$lV*jCB2e%>%x!GW=ed|nk~t%o1!P}tD{=Qi{( zEP}S*Toq^5r{fWEB#?kshWAnSQMQx}G-$uoF|o|%wB^}Xj_FZ08KV3x?co=JoVb7o zJ%!Kt3z$un^qkUs;3&t*9mW&uep)Lbpv(d2a^j&H{jx7(EIuVl1qVJjXbm=X6AYNZize z1xdg3{l8c|=)A0o=%dOJo;A+@&F3lKubX+&_@m}k){X#EQ&WjDG^{!w209KoU z6b19co(+VWA1EF~kA3>4&7kx)^$Q9#U8Fpl|G@*pbfmNV0}SA6Oa;6V_?u@zr&mGLH}bMz@^4QznV>^nRJ11%fw=b zT-L7i!HAn!mZ99(zDY@hlwOk`(*sjV2Cmt8b*igbQD0Pp*(K`PXGFz*YQN<=Ed=`v8nN3CeUE0W%YSor4gLH;< zl(Rgv=_?#+2ALWoHNYafcPSos5ML7e zz##XpkU;`^2&#pbHy>=X0pG$PAyb8_12DM_M<^WgV?;{C`Qaqt5EI)d38BUWHWYng z=k1)ZK%VcDFaHQGz)+RZ$HhEYSxn)Ymd%i0^I+zm9v^JHx%)?a)?0SJi&s><&>lpHwnY6*05< z{lt*#`WN+S-o`(Dw%(Na@sdT|wuZNVetEJ@W67J&!JK>Amd@OSQ{&@9;I#t_3^{hS z*#>F@edH0ROWmn!Smn6`69$V}^H~9MZiBAb?LB~m-dbB&Hy}iBRLuOchrR~~Ej7g)UtOT@9IQ^~Bt8jlU3{y`^BY%b-1=ukv##syTi02v=);W1s>brsWNQgp9ZkO83( z$h3Y*R&4Nw_p^u5Fyt0mG^0eXh5ja`%v`7eLOzG z;0yxx7)GYNE+k>KRPVPagvL_9yjqRN%n{ki;x=C)Pg0^5VIxD|#)ehp*c_)^Vobi_ zg;+71E}i|0NeyJhUavTfzqvT**k8r-le!uHP4)^Z`|2hY!`Sd(M2IfqUVO-eL!Dni z+Dyf2Q`42|>&Lz-yLUXOugj+0yq_6HW`UWJ9Zi1^f6?*fB*osC{}2T`8hsBr?^5PG z1khA%Skc!%Q@r!!L%+CRL6zHtKyI4%tmFPV1=XjO6|)3{;pNxa&m0-Lf{3G$8F%Db z`IBBhztaoZ3Nf}f`sVgO;L2HNY~{O4TGwNtdYCS9q!xpDi5AZS(>Fu)=;ZW3lw^U+ zZ*6?2?c}T6Ll2ohL5WAWo_$;5sdV6uwHJDoqeq0B#7@35GXBc3VxF|*!|})5Z{)ZC zKtULF&h6T@F2-in#~-M~N^MOhtf^{$V3P!vUQ91uu-)!1_8C7l{K>Oza+CAk%>&(( zNkGC|<){ZRLZKS?YyA`Zmub~1_IBHfr_!h?8D0TqD=I*VvUtKF zJeyQGH{_2uFTwr(5f}^P=9N4q_k#;a5#+_|5f7;;b28qu!}#vla^jg8@kV(F6|m_4 z`%_jJo_*yUvWd9};SjCAtZw4onUN@C1bHFoSw{i809f*N7zEAMGO~KZbFn9fUN>9JO$V>V z8`@)S_bv_165}UbrYe;^zYe8!xDb-w%N1qJYJiFioyGcg{am*HCWfB&tt1fgdy1sL zm7Jk|1BYBb2A2s(C?IHmF6DpkA_ZxWc@zD=>|*Cvh{e{5el1l7Nny&?^AA#5aTCR`)4=Tfe26Y5sg^r< zF37Q5p}E_*e1inw{7(#IJVRk84a`;dKZ+H!U5b9jDQ7Hwl|68-m0~Ms7(9KW4Lx~yct8a^O;D`YsiDGo zXHm2at+UQGA*ezm5!Kzg;wkKN@5k=$Zc|fJ!**IT;&J3F9L^t8%o%FhrT@)A%=j6W_MkvVCX5x7h?=}is@bb9^d;q)9=fy>u1mqRtBG3+R zdq01>j0UV>0YmIG8skRjH`(WLA!5{M%oO}^=d>YEzC})YQPA}N=XvWjj9${qIM;oW zMKIpd89s)oX(a10I7fgi8o1EIu>LB4E2!xCO^Key{KO1J7-OLi2EDfwf8hE*f9i95 zQJN4~_Zt(x6#OnjG#~krISi8f2lZITFz{DQ?4_Ud+wp(TmFAlt>CRz{3xq>V0OL}? zI6w!lAd?KIpbuO9Go*_MKVoRjP>x1+X%V~vc;AAiA@bpGPwUQJSBaq$oREzHA zC67Csb2_~gNeRCbSgi9qhasOiNy~HHS_`|Ch+&-%QD1NSx8bsa<)nuJ%c0$ug~BW@VvCit$Q z@vDjp*^7aaDyYwtKW||CR_edlDdXOc_z_Z%0`lX<^2oKFl>c=}QeCte;)(-Se{uU* zJ8)T^0lvH__}e6+8KSOjZfJP)?gXhVL0-ir`LCM97!8kpDWY>8GELy?98~9Qo?QG! zzWf+a;TMqq<}>32`jb&|$HUl_JFOY^l24X@La6650FE(kT#c29BvLUP3eZXi-2}g` zQ?l%G?BC{>sX4uS&$rhOiv~@!do&zC!%`yu(&%Uw1mxWi)%R2r1akcwS3`;4crE@T z!>pN3{9I>D8@FTLBWB*5>*!xM3z~~r@o$rWZVIKpy@tyE>wJCJhg-*M6iojo4-BD? zz50)iMW1~m^Af>7s`5XQ^Owb(vSoY>H2Cnz(O!6m^Zxp)2E_FAbWEWkcf0Hh;ZHuJ zJYV{l)MnoK`-08sbi=>ep_N`lDkCv1QErhibpNoGsnO(KwbWvZjs!FXa0*yNSEOdM zrus!3*+N(?I;ksluJ_`&4n=Ew9uMYg*8<(QO+g)NeXu#}RA_i8%~H+I7YIg#rHmaY zHGg%yi=A5;92`9L^!f!#230h30YfN+Y`Ix2N?;nmPy2y@B~1IfgZmJdeB=%Z=b$K) zLa}1T?B^KJh4H`%uhVS(s7-#YQ9fbE6jUVL=-2b(hk$L z&5p#In`?JYDDYfd#_W$Kw5ltJ+;s_}5M^)oD3mW&7a4vM(Na@OaYpxfKX{3p@^GM8 z`Lgj;{VIxHTqr2UiHuc2zf39~0kmY?-1FA1CeVNqZcZMCO>bpk@vgSgdH1lPz-mW& zyD3_W%K44tScTovLS|ydMbTRPWY?eRK6RBk#?vU9sDBMT);8pVnNwd)AcnA!KB zm=^MrDn*{}RQTGhJVlzE4T_sClR8DXOy$MJ5w=I6$_oAr3=HpdY+A|i^9u)Bm&2Z} zJ|JAAf0LxHfZPb4q&+k9g!x#_E_XdR1j1 zj8LFid_=p9l1W6mC(|iqMFhL*mgZnOyfJh8I8|$6$bmc3N>T|LW4Hdhf9SQs)|@vFizIuhJWhZP>Unjt?$wG|^&t z#MjdtX;>JRztLMF)jc3=Hrzq``aj2R#W6Edzz&j2_Z(`EG_Q0yg1*luJZ98zWXkHp zDItm{glc5ZSSfRk3l6Z}W@D?Ey4vaVRN#b&Ha@%jn)CUy{UsTWTUGD(_b%YC*v;?Q z)vH2)``_JfMNZmBPX#-ew^+N!Y3@4DGa*?j`wf#MHE~_++XFZKS{CDW0o`G)<=a=2&9v0@fsKO}UGP%Q$r z1Vk9$_nUZxbhD!R_%OWL&@g5(e5d(9o~P}xE+9bGne>mwKhxv{Q6nUmrVAd-wi$h9yuPg64!6H{tX zz$8KXIx3jBnl}tWbq~K&3`rkrID0cx6xFhI-E2_JZF}UU!*`C8Zc>8kFdQNRd2dS} zI29gcj>c21Z2)qFDK9VZ01Z!CJG(v%u8{YBOB&TFd{#P(px4;=Xfi36Y%Pdv(VG@y zc?XA}n(A%dBLb+^oGw!;AvaG>4cnHw9ZMa!$pqjsbeYQCU>=?&#}=#FeW2m9T#&Jm zhLdTn^N?r_Y;Cny)B}wSN|0A`W|Rx`cU2hQ0xaG5I6+U`k=xQ1#@cSV5+bl{Z$Tns z6imHinwe_SltV?}KT+~ttSMTm4r2TMVS~0<9H;N7{_YBnm<6kyBr^ZjNmi6$rau~! zf3i>}txQ`mLA8$1Z`5#B^2wI#XJygdJlZeh56am zsG0>{>}RnUNy*%#3skQeu9HCos$^u>wT(#hKpy9*UUuhPUZCL@ zyc13Zb2{zfKh|tLO`pAfWg081`|Z*OO*Wwq76pB0Q`7T(>kXfUPeqoEzyl0{=&2K^b>CW*3 zLKqB|9Cy2>gI2* zUb6|iG0U&}@oYcM6A z6H+@i$vy-!C-Sdnia>JbEtZGpJ9vbK=x~f2w7c~P(=P|@ONa$rLPskQ==A~~; zUcLl&T{Oj}qw0>*D-a5KIfWtuRLM};VZna$4`GCOnHPz}Xkl*Kq=Nm+?(CWzTf-K% zb(5GM9m*XcK$5ZN=$^r3rHd?kX1C~Nn0;7sS#K{*MbH^cKbe0j-mo;FDiaCU+uL`` zk#jpU{7Jr_I_d4cHfPI|Jqc;l=qCBqF* zcGO}z`YUV;%*y&;u0RYK-F_XNhChgvo^;j@V%y^Ma&Uug0E1sy}| z&+AqG{_J8Xg=NvtE%+ek5G8lBj_{om*cdrn9IUxB))Y%Y`(nx{`h2T~mi z$%$CBx79RkB*GEA6P%U!(dL*+PaRy?8}E&Mrw_J886R427}pbq5w^Yg3j$f>)MyvS zvTL7t*21o^6SWvJ)~Vdl=NF;>KA91wr@_@4a16dF!%Un{|4U;2Ldzy)&MSvGh2f9S z9CdzF5i+1n0~*nukHPeNKTt_lE{+k2vCvm*w9|a*&b-xz*@Ca491Uba24ySHTZ38{SfZ_@KRde}Ar1R@zJRe2Qx*w5DCvsj+PWp}4 zZ{dhJ*QAdQ@w|JtbR%Ah-K>M`!Z}DbuGbgxt`(%WIqEXnO%MbTc zw0pc{HPF5hHa1Xmbh)ybsYhOWoZ>dRstWo!MgwXB+1ruEQs@N>gy&qi;ggyGzsXfP_>CB+YyX8zAT@kU2TdhI~EQGR(m)V+sGHhF>0{{5lpkE*EyLLUbD zwt)dCGm5@zi5mppz5nC!m|UEu_ObO1I+9E}cD_btMH+_F?JrI^zz6!iUy^=6onyYH z-21e8Jbr;Hwey~r!e9IXY&H95&K){Ar5?hMiY?>gS^-{|oq7qSGBK0%j(u_&a6*kz zB9e;LB~sbRN&3wyinlob2r9=Z_waO;R!rmdjw_1$Hz_srYCEzUi()S6s)_gZn%x)m z{D&TjY9I5)HcrC3io#cA-((nkkC;Dtv*Cbyn;^(TL?P5Nf)Cd2^57A9&%Yn5nBL_m zSyszQFgf7+c#fEBobp4<-^lHaaNII`Iii>}-)R^8u(lGagM>`rwbf8w#rz9GpxfSs z`;16LN9nG5)ZE_1P&Z@0`p;_rrOCvxByB z-v5f|hSJ5c-aI$UD17#WDcF|}&3Du}v^7R1N)O!(Y>(;3NgE8bn6-q<4*N3s?T&Vr zd89=rbQnMv=XO`;;JBYfr=#lP5Y{`EEr(OsXf0l{0$O{?B4c+N1gRPeJvBQ^M{n0Z zQ+s+}_dxG3-yolc{=4W#z;zXY1C%`f>Bc*cHJ6D%?KG}XM4F#_&8n9B;WZ^U>y!zX zqh^cCIB|IH8;j&_yFZ8>jWchcl_;>g2W4LdC#upkb(X!5`ZS}*{%hp>Zu75AhB%=d zvbv@mFTQ33s@RqdkB+9r^B*l2_NvoPxkIy}(BhiUll?Y*AW`1d&EjM;vFVAQL9;+{ zf>Uhdg8h4hx97R72~}F_Dj8&^Z7JqBI;r$*9sWAjf8slyPwT9UyE03wiQj7vhw_0XpArl-x$Ym<{|3ltU!SdC_C>jg!qM>V zM{2m@gG?f@QgyXsFU(i^a~Hw3BPB+I-kRwD%$;pzV;V3+-gWB4%Cx%46vTl8nC|lYrhv=K(_5b-knVc{L_0Ujs9C zP@yY-ybx}x>E^m$bKqPcxWn1rg64O#xe*s}W{d>K(K`P>>W zUe#A#>1o|TJF}4x$9p0-$M=8KF9ed0leunJ{|qne*1IKMUxP&hA)p(yhmt|Mc1kVhG?nHgy?VZGyZp<{U0vsc>^eeu=+|doMFBCR(i1cB zuRFIEkCgIzL3h%jk*+*T7!nO^F5e5>W(A&*&wUQucdx&a9kpNc1Z`HIUOov_ zkt|E8jsAYXR1!ue|5kf!s*3Hwd&U#qYQhEiO;C^ojVOmdl1jDYw z0ivJmnh#XGRoWaRZ%EaEW1iH@LoXUK8ee_FV@#Pd7fJ`iv_Wf+iG_iWhg9uam4HX| z9ll@<%dwKxzC32_u0s0@LdcZ%p3(h8H?#}b-FsIa#^36o_HtTLbP#Xy9pr z@h9A!rWRha$B|ZR+Lb>bN8d#k$^ETpCxK5_Lb1Sq3UVjU%cZxy^1=&ri6o4SUXDcm zNd}Tdj#qHKx%AYff7Z)2DQh6a71d&%1$#QK*e-ra_p3yTmn?C9oh2bid2B%Tie>>5 zIWaU*bfCSoM#(qX$em;9+xRz*XRnbF(_TX(g9T*F4IK6jlU6Q2+Q510R?ejYDGQK; zevJf`4E?-2eq3lzjgST}TdJ2mhZ&Oq6JR71@vwB7YWPQ0YhyW>mrw^xqlL8?HTA>u z>%Bla*Kj!D)x#>VGQBLjlug7zyG?)#tS_bd=bP1xc{TQ122Sn*IqIe6Vg&iefGIGy zlBUvxAmt5xM7?$OZzhi2{<&awl-<_5)#5Iz@xeY~+Z{|2|3Z>l4c@ZbM^%kd=Nb!O zM>(Rkv-$?_{0ICu6nTYVmt&1rK+W$l_G+cOVg5*duy4KN-pf4^HtIv)D|)^R!`z!m)e2TU zN!hOEu$5RfM=ncug}(?7#wy7_@6>^wtB5T8S9%8qavFWgPGyH*@!fCCb2ALujjZf8 zh@yjvskDuT!+QuldE7Y@3l&Y~Z&=`>AjFWE*o&_bw;>!OA+M{L0;PqB~ z$akc)3oJM2@j>1)!fd1nTb7rfKSY(S3g=V2W#bF6-jSDc-O@KEu%<0mWpLkQOJhpD z@Q}l^baDAeGQF)*mHZqo1^ucqxW%;RP_dKYE?GFpOgUVZf#7aRx1yAG?K7GCft|pv zu?kP|os=6thfHkU+6Ps;?gw{)E&fxNU`r5$kIoPmx=d?Q@+~&95G4MJnCUfJw1dwFKl6yoO-LV| z2&%2UwP)jBEJkFJ4!?}+uXDhTFBLqPL~ofRGZFBLM8M(>&70ST4MyD%DK|64 zE(u;f*Hqtkv*!2!MUShXZo5YVXGPF0xDjh8J%dq8;Tb6_&4D5XO)9WUUomqs+ zhR4T4_=TRm*euxhOMV#A4dzg@y!Q>Bj^@s{q!i5Nvj_xXHp0%TVZT+CX^O- zEvHDBNQnu|=-dI@5WO<3UVI4t5afFRlf7f#?mx+KO@H37503nvx8y-nOyT2VbAqEJh=QA8oVjc+>NOB`aunZ8=wpaTbShb|+ol zct?@-v%)mju`1cSF1}f9-L^20Vfp*eB(`9-l}3iv`9acP-{_?QW>_)eOy4*s!(I$l zs6LmM5$jv6ZGHiuJb9wn2m@5*RnJQbtXyTm}&7jMuCsMyd;fK*7v#1>l<)(@;^MK;i!0jjjx8CDI>1nS1H6Buu;|r@5;N(!Uu>Q+y-ND3H zj`eY<#^0QXoYgxVC#>v|o*mC&8IX_K)z~dOkw+Bhg!Rjc401adHrSo!3?J51=#N}k zB>-b(!48m+$CM0f=T{-v6V)x_ZIA5J0;7Q;PsJ_@+xC&9&fU8ZOD zD~5(w;>nyp=>Zm&2}M|c;D*I-pYCdZlGivMn5eScBTsZ%sqQT3Jl)JyXFpl(ULQ1Y z&mUx9gwfTUd0z3+ymP|u=(lkbKrev_>S$Tv{VU`(b;>I$G^x`dX>pdSa<&?*&3ko@ zCHK zdOwbzJkFTMQ(8vPd*`Cext}f%Wl{I2s+u`Edw0g|c$6m-AD(`Noq6yc&2}CiMV;BOmVR48M1x+etMC5x02yv) z%`vgV=}tKDa%9CuqrAYzZ1CC8ksE92;1LsMqPYXh-ox(g$^DkRb%}s#a1w?ey6n1F zxQN%8;5MzmQCU%ZR)|kl`F-K5{~!{7G% zvDw)2un$YBa3t`s2F*9_b%aN{DYQrfBt6LdxlF)EOfAzKz5YJO`<}G@zOf0TYmu?m zd+J^EF#l(uNb|xr$bV`1XT5{=!q8Ic{G-Gwb<6j@h2S;C7!ub2C7)5|6i$qBsMI#F z?=IlYqIOggoeoXcJpvgN*EV=ylkQNk@-8SA(YhcP?JRPZ{Vh(~S=LTZmy5OIS$ASe zPY-Dt3H>MPr8p)OwX2d1Np!Jwb71!1)hAtO%Cwx`I$4j9g&alE%*&TJ_46GxJ{XI_ zB!9BUol^ti-!(NAt?qn{9wxN+9ll(NHXw|E_n9#9WwIXbUvc#$ z4P-nv#9H|*`B?mL(MOn`A5m>OrlzHMJUQ{s<7nM%Nq)j@MSw_!6}0W)&Uf~KCct;h z(Q7<49v|Esz;%Ls03DZEy46?NT&D2%v<`G@4feo|MU`S%7| zvor2u+XSWm3ohT9Gh%`2vzhSD;^r>)@S4 zp8?OapWGU&_3*H;VCjBNt5Ll%k~~DJx{AWOrWC@dV76RM2h1>u@nP_ zfUupb>wV3Fg+G|q+s*&i!0Hxs(fO5jN;zur_@ig`kQ=*RF+?#2fC>j)q42TE)c0Fw zM@)ppz%rs$QX#1f?k^R?2>MFf%$el>_-pw(^_9NIK&=x=K-=wSjzy%&$ zpvgB;ttPY#hM(3cBEv zxr3UeVB^S#k$DpZ+tPl!#eaVkJpZEI+09^2PP~_0Y(ZI*3}`(P5u|ZZO|JuY5S{Pv z3%q8_khq#sN3<^xeF>F~!qtOyYj@{5#GM*>*go2Q_0xVCd=z>o3=&>Ea<-T$pe9f> z=#regC=3Lv5B={K@!9$gI-e>O6z-ijSHLj}zNyq6_8;x79ZhSMKFeNBp|1}`kOVEV zb78)J_4|DvK$3Ri}JRC zBYL49*iF02@$;f$Lyvjs!DO-R(o{YZIVyWdwKcEes)7no9)Q&O6$BW?LW#-6TnQrA zECH{LRe(N&z9%zkacZE!4XM?S>3Nu<>cdR%phoR}XY#Um;!a8Y<~wsW&Q_GD5oml7 z#8%1IB0ST*D0qLnSz@t4k;J%f8;XUX<@w0BFfbVUllHU<<$XY(zMHk)Pj0{ z_r7G|3)7LgrGY7Hq%i2<5mfB+nKH)qOlvs1Gus@$-+r5MPxOgHOb;8u+#SFex81HX zsP2KHV%uY!t6;8r80b4r(kenj($>-vu&+YnE3kxBv$kE`ZACk#*n$5lxZ_sH^;cr~ z_xA3md;rY+APWpw%&3{h6V&aWk`IfK{IcWP%GaIimNC&a6sF54mVZR+860B8#N|gz z1!lHO%9|snU|~<*6^5-_M3nn+`=f8MFC6m$ z@8`pZE(r8Blkih}m|8ZLr&-UgZX@}E_^KF zfa3vHT*9*gylamsr+j^jDCmUagfy^4=2@j_F6CAa>*3coj==4uJgUE$%vY|!Xk`=O}bUn*NDZ?l@o&vr7UbYRAO`oe@ciQ@I zIWw}$V@(a6o|N3+w_bOP5C%`99;- zQo>ioIa>Jk-4QX&t=ZXObZXk#ouiknr1lwYUwItNMlBehtxwih&BA$o&a{x^aeMpL zjWTp(I+02;TE_-=)lO$<1gz+FH-jnfi!jKBz?!PxS8L>;a-$DNP);bfyHX-^3swcI z4qYiGD#BQ~m~Xy<(`g*fzP|jih0S;AO69lfcYTFA0`l76d0wq{kp zPao%Mlv~dl2pkQ~Y@EV28RUn;su%`0P{cV>@BHq(m3(0Cb89TbiE?; z?T1S)BNGld^}$RBLw?mQqc&_Ak1Gb4Y|>QJA>NWPwR_cTcgZ4r^?-NA9og=0Va)XP zbK+$z)s5N9BTC{onV+Kf%Y3{_ za*p1b_-;0EprE9Y6#0cIdLz8AjzfkutPmxfuKF)O?%kEnDpV_x%4Yk4z=*cG%n~M} zl zuQ6u69Sy6(DK&i?^N8&|r9kfGj_iub*V~zLcKm6tudgq)-pPkN> z*TI`?%39PNpEGM#d{}nBKqiU?=#SASJ`b@4?j>E zp`2;ifw!l8v$GtZZoQ=x;P@8ylZqh0zf*IjcUDoIS;$Mk z2aKGjfL2vO%s~SCU-5s=64&lGY9CJ#Un^gAoZ%MRjGWOd50S5yhC1*z2+B~7neW*o z9%*c{Y46t&yRU3)wz7Dvf5jSF@-8eaEsa`q{WeI*zmuz8%F5b>)($WVak_6w*iJrxu^}B|rwsc(1b7GJP+Vw5T1;_z6s>*qJux~xY z>e)GFIh|suIi6)PD1tP0L=;dt5t|R)cp|QYEpi<_qc=TD3-qhg^OfxTW;fVO^1Jh+ zQR}^b>3yDN<($fJZ)02>;oa<+8i)_7U-8CRUg*|7vf;x+G94mynVIqO2a`;1jDDe# zyfaaNhJ5xNMxD0H=dZN;<-TNI07g})h5ccn3V|;nO)xrdcV9Dt0TV|bawO%14vNVo7&xr)6FRHL6RSi z@vE)|KHP2D=$!^W^Mv%~=Q$o16W?>K{bJzZF|lTVX#nB)r6U3?`xQv!X%IldejMuOw_Ut6hs0{}TW%UrnJK3ymCQjCHx$_@+6H=gBU(>puhNUmF5Yg?&?EzDeR#eGwF5yP`F zy+YdIb(l2qn|n&kUhkyY4y#7>HKeChcTuE*&jD({wt#XMCf~J^bS!_l>~jzLsyx@* zoRBCQ8{7ax_2mzQpx}(=_{X7S7Fts3Q6g2%@I~Sjv-h6p?J=)(U|((+oi%HIR^*~~ z-T+R#)8CQ+wqV&vS_AF*A(N=tM zSGFmltLH~`^uq4?T5Zj>GvTYn#Qi_g-YP22CRiIK5J>O@cY?dSySux)yIb(!!QI{6 z3GPmC5AH6(2F}Zuf3Lmo&s@Q*S>0XQRZmxUec=`ykJ$9_b`wH<15LeMQ_)pS9(MZQ z%~ZYKZxZ8#00d3)(msdTkhgV+YOya|_I6&Uza3c*MZA(-*t~{LbrFv__8PP>>=8b+%bcAn@ z`p;K(m445ee}x}IJL|)_-iiK*kB*Guhoq>isPf?-Zw~%cL`O%PXC}oDJ+y-lu~39W z#@qdpo`t)>WuGLY!&%wmDsSntHyRbWbij;PQEoa~T6bEgF9A#itQ$NYuiqQyMd|e7 zVza}8Nfx+!ywDel2*F_FwYP|RckY*udeg1X;%j}8S|85wz5rrx?I*9c1x=@n_?x+Z zqkxg~+bb=tu1c}$J9n!mf!+DN9apn;ZojG`feU?@4=G6swh%gua#cNbl67p%0^YwlAIr+?JCRDpIk zJ-R9&F^$)Y_)wXAgH&i5#S_ru|eZdenC%27{3guP5Yf!kx!?=`icE&*>v6z@U; zlLuojZ-u~Ld;}a7klKR*;|qiT`;&u+S$ROj4-PD{@4t0}fk}eDs|xTl>i_q}=>MND z966}y#WH3QKfe!2utYttF%f{DqT6Sf@czYj@NSL7Dgy?|_kZ|5jJl{c<+8gDIXulq zw33_l%#RDccfSOL(mE-r4=+-JDcN^q5$z`Q?4{zG}J zJx;2D!yCtR2bwUWH02A6N^KSOu;K@!mnz28>FK}LG1J>4s=NtRYy6U(( zw(9t2XygP4?*<33FZLm0<_BjSo}p6_+iWa~~(^P-EuNA1k}`C83F zstO6|-BW;p(FTQk*39;VoT(Z>ONK%>L#i$oc+xVMbsTnqGSxb7pM-WmYsW!}%I zD@dt2R?q=Cb0+J+oYyg>4EuCMEDi3_w_|O4#SEoA_YBLJd?jZ4cG%Uv^A4|#3Vx5X zop+W(?)RtcYS7T{Mgj(gF6c5jdSdKi{?2!?KoUb8FGC%d(t>nUhymb3-&aWkcj#PO6yIDBW@0ezE<2(_=g8qd)mZrNm$6 z8#j#&k)VLds=6kEa7r4BU;NMx|HDc^D{ZPiS4?(DQVtwqyLQ&VIux6amU)5D_-w{DKLO!#Bji$_Z~NOb$&Ddw zn4xf%2{$yUK{qFe{Xg^j4x6hDNZN0}~eFvU2Ay&rK0$l4=fa9lODT!g9^ zT1oqH(J1TLG)&#sx%SPUaf547J6-rPbd>Q!m4I=fjWTv{yvm0*JkOD~rLuU;_L`>f z^csE`Mn)ofOa>Bq=Ux2~L#&Gz%KUGl`o;5|}&3wubeEmIR(selzU zj*;7Ds{`Xqpw0qc(nWc-MD?Rg_VT7k%Ke);^tD#>tXezSzXcIrIcn`!x8%baifT`O zDWhI+PJw<~+x)=tWcN|)@N7DrvfSH4rY_RiikOj?l7Y^lbom3A zel+>IM(O@H@vY=p4yxwnR#UvNV zSFNaTdkfGZJB3HmO_jtH5d(_*fD9rlG#1}{E5W-e`mWT5yr|7%doYxbNz4HLlP=rc zx8Qo%(fqTh)eu5>vRqj|^!RDq)h-z+?q7|Ep7n;~bCsKR^du6m;qETMM%KDzJ{VdK zr)0Kt0?&YE%`Z2$6B%%t;?R+%&nn{`U8`J#O5Qh36qS(1S$VVDEV?%{#!bEYFA?|I z7$2|?UQ7$gNbUh}>NvL3Rz-mCJ_XN`74_%VpI9zE@>*8gRV8@SaSKE&(;M~9e{I>l z)Yj)VmJ^5-^jSpIN3Ln}*oloqn>n(qg3?jY$2I1SGm#{&)dHMn+87DWPs=CTN^Pg( z?AFn(jG?jOG|0HcXiW_kwsbrCsp^YI+Q!CW`)m``r#n2aI~JH3GH#*bmTd2 z)fLo%lZA0=6RvX9Ay4P0Ue8@#y7pgYG@7O!(z5odMq@2~YqF<(32;0XZXK{(G>>q0 z@jzm0LSY2KVxjU!vtvy)(zivzp(i7ek2aw~xidDe-rs`j98M-rQc4q0zFU(C1s174 z`ZkLwxU*88M1zp(X~;vCC)Ze0s%z1@>&PjTVBL9&RrN?FDqq!Je(W{$rc5KH-KMN< zbLe_8?W7Ud`_#{@d^pJO>R<}f}nsNTB7bJO|ElIqyd9; zDfNyS5N5s=b00tLei-+FZTv!i$@?-rcCHm3n&wYkpYLbUqfMz#OQuzc9dC3m1_uqm;2hPl$BwE15eK@| z(d4Lu=YKd%{BeT$03NP)vVwF9FfeI-JRfw@$U&Tkt;lcE^b%1r$K?oB( zGgMGRr~ag+?dk`ef6G3CY528q`$@NMzbOoev*O5CH8PzOin>)(a_ALYlw{Di7#5N>aODqR= zlktRl@a{fbjFPbq$_4Tnm|q#mHKqW7pXr#HSXz#Q45)6OD;FqjBa$i3b=ZOMY?Oo) zj;=JezFXRO(>{ZbaSAdvUy2t!3`JN4r$`)rzh9ES7bkdGM<%Mat>V)dI7$BpGf30O zEH?TY0l%qPa-6(Tr^WRe)BD{`;1F^@1(=7rO1%ASgwi)PBen%8mf+Rd0PZ9kavy=5}zz)tW`txr2wB3^KGp`ZS;`AOBCJ@iEjZ6}= zYF2#!gB2T@3{RHkAtWTXDbQ){4^m*$b&FTONsb-D(F|mH#rAW5Y0lPrzS+dS(Cd6D z9s(7VgA(pUu0!SavO(etd`<`CFP9d;zCRM$*ZNt;g^7FD(lk@W__6eWQgtj=hu@VX zR;-A#ymtC3aI`b0Lk=G^rdphj!&uJTHLtGXz=EFF-uf&oGGo{r8o zM|*kPtV2d;GQttbzm-ArRgw+2v{Gu`cih?u858L!SU6bb=g41dJhUdjfd8A;?BEdcvcxU;#h#Q4`F3?OhpxD zyX0-1KK=T&qd4{u0CPNbH^PN_Y<8~KUfg)m?4EV-k>t@`LG@xRkFD9|+h9?0L#(R| z1>n9-!{dgaRuC4I)7QfBM@C~TMd&PyjNi(GW<}2S;$t39^SivPZnS``nQsLCB!3;H zbb(07qHLR#=2MS$=<Ji49uro5k%v&UI_1Bn{+2#|`5*l(w6N?{z< z(N@oRib}Lva>Q*FHmgWnQucKG5$B1zHBJ>6A#3*?{5U4x=i8nBQAvC_F1YvU#`hZa zkW6eY*G?&3wQ%JwtsvHh_4n)g`6=9*OJnP{?}cs#vSw~_1H;%Ua2!c5_U;kspuCiP zwg>CWMr@H(JeOVQIwU|Nv$9*PhQm(`G@wq2_>Mz-zk|BVC%VeNn?F0tT|0dq%Nt0x zw8L8nDPxqK_@zYNO&;N9+SBEX3TO&C&)2o__G_l)Wwd^$-i-~mv&7PDz6iUp0BC_n zv$}j9>0!kYcXgl;*AhaxKrsUY+O6BnuRlq4T}9GEeD+7v%a5ARr%N>7(~QX@^37 z50j8amfAv59Hui5GTk&ssdXK*zpa#Xy;y8|HWF}qxn_9Nj(PnoHrZ&F;I#t{zImTe zExw(K#cX)(Yz$`V{TjZctz&{E>30&`EV3cb#tQc>xMTeIod!_saK4y0J|37#V@i7F zN@Kg66Cbx!oVT9aER^9-;Z-C{f4IeB3&ER=L63=Q1$+-rFbM*7saYm3SFjDgd1%1v zSAozooHAqnOEW&?dH_op-pEO$lpQFHE4YLgp?KJ+q6gL&RE^hlSJ}w-Tzj>VIfiZb zS5Fv^og01AQHWnj6ht$)DLzjhvaB+Xc%fPJbfq-UD8?B+9za(%u5s6P>=LGh+=4~| zh`Lk>9kpstobYoNUlP()J`Wr+tSS-{ytSGB62$zeQXcAa1NKAfFO z^no5gR0Mvr5A|&7~HC*5^p7ULCSA1>a6En^v~Bo6K&TC?%bibp#}U4pS8DP zNsdg*TlZ>#vO?Y&gnK2HNCvnKUpML?uX`kfctqtyaoDjd3*!Cmdy zImiC3#ct`MU2R{Ru0>Cy-B(;@Ys|iq*-L!VRCsEvyw^{2Q_6xbBU99%VGmG z$zgSCfAN^>wB0Dx^l166{MZD@X9+P2(_6A4BaxzrnQSo+k*5ey!;3}=5i$Uy0E8`S z013H6rA@9bHMMa^{q>HAEqmV*?5tAq-8TTA;Womp?uku$F;4=>N#vmFVg1{ub!hVR zu_K% zuozIuZ2gZgb%3y8OLLx8{0L$PtG13LDr#eE#QS!)qv#4eZhNaVJadh3NfTz`yX7d- z9hVJwoU>2X&Jf&Hk>_phddf5Fl8Ozu4kS3iVYY;*Or( zHxb@=XV%^-Al(L9JGxYrTJA&Bm4>Sq3sXUB2*&rmbTs*-??`YfWQ%%#_)p+n>QZ@_ z+3&e1tf-3u`r7$K9BEAm;arG{I!Awy1OCCuwZ$ki9wkKX2aP&UG%X4%#QRL2N|(e) zJQ@q04l6Wo1r?qp8ZT-XA-cAz?^zhsMCg#l5Pu|6oy%9}v`p#fm}xJAOiqp(3hd-%k5% z(9Gc$YrxZ%P_+#UJ$a7D9U&%16ST(;7d%T4why&gj62Y(; zzU%;mGLDkSNrm4PMn7ic*io-}Wr~A6&G@`#Khh6>KK8)+>j7~)a8~^b5xiFYpNKL? z)!;mF88k+dkHo$3*Hc)ly=A$~AvBXm0I&Ed7m6QSL<^$vP@vu2GpZqu zNyXsTwNa|y`2F6)8W5OVtkc2;@?hPD4hWNFDJk^ZlbtIahGprNN`JKeN^z*I{ppOf zn{7|WvFQ@}JCw*E@jh{Qn>=~=oI63l`_w}=ws_&PvOC7FeF>s2POOR}wYTk<{rNR5yyFR$yjtAv$Oz&q1qxtu$+NKt>4;; z`(?&s)pUVrEB2Pwt2}>cv~MV9M@pnY0y>z?;(|hvtiPXc@tIK9bq;>db@t@LWKe?} zO$1fk3UrwowVfu9hPK40QCU;zy|l_n2N6ZJ6Sth1LXZXU*xoT+UI!KkatI13f9C@O z>ld(^5@6;sLc4b&_snVhjZV+G*knnunoN7pRqjj@*S%Wl2f+0i-Rg$F4x^I!sTwsp zm0JgT9A(_0%j?Fz8hS_^FS+wa!hZy#rj$CV*oOLRLS1~HrW}6(`L(u4fpLU7;me3{ z*Pco>pA!eqrlSHiSkbD)6ss8PvZ>?LFU7K>qJN4Pv4{ICkaZIbV5pPvg)XWNfzOOd z^{qwRKiTBq25{$jS7P1Y)qT>kVD{RdxEVuhXKyYgJ1|8#!|(&WhlIt&kMqy%N*_Ys zQFjSCFYs-{cOEPI>AsuSSRXihd9hqJR)~Oyg~SP_(*U4OB|V5sB=kiRR!O{!Bv;fA zdEs5utFqG=IZsU~G**w+O0yrzM<{2=iHf8~xnG{B)g>lMT;4ukQtA_-KvQ&R)Dgutkqs_JS~i*L9U-)22K&;9r8>B zb?a2(hl0Ye*OC<=nn$JnZp_B4-uM4$dEdo2%1IAc$!+v1pX*mYQg9^OG@<75YHt>2 z|Hx&od%;5@pP?r{NTV=Ilou}~!=j>RM$gTv#a$TiWyGpEnZDWG6V`tD?TQ^l9ag zJCm@sKXR5#v3O($D~Un+w|mhoy%Jbt=Nrib773BBjBFuP7B1`x1Vq>D7MaKEX6~Lj+BwQ0|ELn9c-fC9eD^Sj78sV6UI~ z4EI7Wnb(y+7Go2psD&_a!hNLjbX)7m_K69=Cda?-ah6{)T$Eh|?VRmS;6b+*)hJ!3 zzr4I2CoEpf@-BQ9A0lgjMw_PRrgoK#1|)QFRG~P9io?$bE#Wbw)mW^&M28~%&9S%L znB?hOUi1Aop~Wl5|Gb3n^Un&M?aFVdW6>+Xf?)YaD*f|LCv3g--PVt~Usg+O z$jgX-I6jd-&naoQyV97S0_An9D=XpS*sS`-wZrCxS)A=5BoqX3hBk`VK0^Cys0P6f zi|};vfx|53JIs=TGPO;laY%#|3#5Cp_!YR}$NuO6BO(k>BvB1~ z4Y#Tp&fuAH^iRUmc9@IA`6@e#%Pco013KhINn(bwy#F-Qnx+qpP8AjxcC^A->Nt3 zc@Cpl78u!oz002Ksev~CGtR2M^-kI1JXuEFels2SZAAqhm6aWgsC*9zP5-4(E~rU9!*5LSx4f$7t-5zJ*ddDZ9g1*zZ?j_`5If>4pM` zFKT;2;y+3Ab-ufu_}`f-VasG!C;7d|;Bi)YG`mIQbTpt#)gE0waG~SV@m6MlYb4t$ zIrV###3Kik2MR((ZV%LeC}3K= zFMF}c&WZcNMF+T2Z(cX@Acz(F0qhS>3Z2;=Tz(+bR+;y(0E69VdQDi~`^)RvsH&JO z)I!i#E$g`>P%W}K#jSKqKPb@l7(e)^ZTGW*?(U=2cQnBlna_(qBL95E8ftvuXd!7N za)g&`i2GR>E`bPpNSD(=2!c4Ur=OOC+pGQUE~T!srga@Moy_feR(WNOD+5fMmsHVOTOfaO&PH69Ob`oCbArIdCtrCaAOJZhjp++YwT7`2n z7&ULkQ=mdE-?`0yoAa|wO)}zAU1d!;b=t0hA?2aY0ZcLcmT5shGQPPQ2dF%8!ztEl zAqOfY#3@}>v2*X1!tNb23A^bdfm^wo0;Og6*XvPz{8~WswZ0q114A@z;GOF)VZ)gd zulx1o>7^_pk!#7=sc-h|8*kHdw$pFI4B<7FlOY;LzB#+c z(pGt6EpjuSMYy1p?=iL=Uc&v`)0LfD*)cSm^8YcS>^8m3ri@2vp3`_<_rP6OU;1my zZ6r{Yf&(OX(oW2$xv50qMuSSqvCV6afa6Iqgj`)iw7c5(k;2RsJ(oJm`Ybtwe<4Q| zK9kKTSYWW6QJ`QUa~IYX94-^JFM}_&@l8@i+VgN12ufS!j-H0V?JGU~Th;Hy4S`7x zfk}i_BP67$8?#kfJ;rFv7$koPzh{L5*hubwin5;LSc{Br^3;|g)^FoF)nkwdZ!a`3tAtsV7-k|>8mIoXVa`IOBFR#zT|QyIlBp!wEtjFu{Ge6! zN;q0RgU`X{oYZ*>ES4BpYzR;@WP}}_%Zy^6GK_XbdO$Hcu4T&+p&*E(vs!t3naT7% zAPvqGrFyojwSMmOw#`itba;xHZ0bnhjZD5$+$%VcDfzMDJIA)>0v`AZ5VQzp+38oi zKNY6MLE_=_YQnGKMat}vlNWKP>MgidYe_jitckOc>$*si<~9(U+Uyxg7Kpn30ffL?Zh-nA>efI1p&mW1+q zjc+{_JKg_QDYyf&0kzQhy}|6b8H3o{Q|itKk{qf>pgY!*8iXW)$lAilkygo13j+#= ze${TYv5jzU))5t&ORw!!aFl8W@x7d51Q_4CdZIUmg#2^(wnjzP!gW@H)VUc8>(sUS zhITzY`a(@N39+O35f`Ih@XPnC1)p`~zU)fGb|e`Nf@*AOXFvM;s?96_A0SPg zHGo)~kzjU!P2NxKQ_fuL@=CMW%+PvHia*N7apD%&Zf ziQKF|3uAwk^kSj41YyW_uu=<{mpR8wvb*aoOd-Ivz0XIN{^P=U<>(>};W8?x^lc6i z+^*0^b)a{$IPQPaZmsQaFLp9njDT3cs1bl&PNgD>M5N-XTwAm}ehd+K(LS`VGW3Sm z?-gg#aukX1sBAI)zpZo~N9ds(qj(DAU@4!c(`I%(B`)I8X12^zOTlOP*Y1?1qg_(X zP3i7D;3Ua+4n2xys}ZTE7NQFLl|+94n78_8AX;!R8mW)`G36fK5;&x*3lvQq9+G=m z3@}sML&w_dHk_4ngkCN#iIUgqn_!W26g0T?Uup*dxn0pAx*N665GzX9f$~I@(1t=< z0|S9nZOu*L$$$Gn+qhU!FC;ivcYs1wJ>##M-wqVXDaLSpEv{#pf*Zi~3d4BDsetlS z0HLE`4dU}AhK5xwQpm&Pv2~^u{973No8h^0W~Vi&8wfj&)#~g69Qhd3dTMS={teHf zfmrA&vtk-)^p^dr`Z;#B?YhISyYrMN`@{tA*koo{3V7~fILBXIJ^yQq8UHXOc4bbc z%@_nzNw?!iF-M|45sq-49ZaJz3k#c}TIa7O4WcW*_AL`psTb42OvqPYKqNA)$>BceA?p8wl})KjT#rZ%$Uus8>lP>dU|?pdUEypaQ&pB zt)U|B;837rVK%o1qiK~|W~yVOHDiHZF4ycnU7wGr|FW@C<7dz@O>NEGD;_Hp*L_J* zq%GN8|A;7;UcgH!37v$mRoB_MWhL@l7~K2EiQf?J-up{}nQCuJSBTDxrF4EPK|(V^ z`;XM;`&GNHhwX@ja+7$1GZ=Mk{N@nspeA-d%yTYzg*25S&7fuHSGb0o;IZt-MM;ip zv(O% zz?j`8@kfnDQ3$`hRId+2Xb=z(eIPMZ)@ef)D)c6`oxx{t{F`7!kZe&`#t@#DHD#ow zM)~#nS;=|-pcf)rsbi13;?MEwbMF}8=AMW`e#xa}|Uq=k~(Fq?mRS~m&)!g25 zeW`$BF{zuGM5p!Jx8w~Ti@|n5An-6UA^UX~>F@8KYyD23W__JZW#Q+H@9uPvaGc@t zOkC;Aqo|<0{)pok<3F%87r8<5XyZB|j6dD0xU(vVm!g*!c7=dn=7bNu7wo*N55@|Tzx0jnxJWp~5+os#@ zYdjdWcm)~V(vj_!3B8bx%RYZ_nk#LSvw{1G&Xt5@7E;^(>a zk71RO7!%%1X%_4dSSOh8yu*;Sl^X|znwO>-)u3R9w>r|BwmP^pz4Ks93=9kyen5J8P7n&_ zf@~6?$$oU)@a6vQ=~nx30MM{ND~LA;cjE-XG|i}`mo*;IWwW?B5-~71*|a?M3kD?6)|=u=P=%{%DCYZRrqUsB-BVp5J(VdZ z%iwG!VubXYNW#+ENM%`99((tuR40nz9=9B@o7{Zi334ykaohgS6nQLEJ#%J$2&?qs zO7el%j;6>ELI)eIP6t|>PiPYX%#6b;X4kB~lAbUQG7p(q29S8UlS?_DaCx5$%P$AT z9jXq$Ga$}yC5_7?lQ9L0Bi)OyoVLgjE*L7A15CvnvqK~AdRyR(nUWmUXqLKb4+E6b z0HLH8O0&Y0gaRlUKToQ~w>Gh)!6=|85%A#1mGH}TVk-C~I+7z9A!CtW>N?+vtEWV4 z3AuP$!{ljjL7ccb5gg~*CU05*ymvGlOW8w{T1hI4N#DQ(&UT%Hd6vAp5@047sJD853`F*6)Kc+tsI;7jdc_e>Vkfy|b zxqgP8E$gRAEhUw``o4)(*>teJip)V=%A?SVh98?H<7lI_-Jjgy+fK63Qq;|Idv;o- z?xB_ZC?ZlqWIORJAF;*=XQ~UV$Um3=-OSRa!XH|(9*Ul=R_;5nYpmqa=5iD_2=j^s z4?;wZP2vqcRhOGBl1QM)!#5?uQFXHl*X^GK*?r(-C^LOm{Lep$VwE01?g@P~7NQNi z8u#oRGew!GL5aSSpZ}bD#B5G)2zKmBpgqqqN=_2Zv1;Rvv$FS>`e+^93M7wFw;uWC ziLBkJaEaj8fU^M#i7N1)K2ix<96HwgohNPRw zG}aXFC4Bt0m^^TbQmfP8m0AR%dQZ;U8N3w_qbo0RBM+3Km8Rk7Cv(QVU#M0DRo~sc z74=Ry_`57xOif%_br9}N5Goys5L_qs^ySE;QS#KwfTPV~Md5?dANZJ3@JX;(`Jey?V>;=XqSSMF%MLSbAQ$ka4SX zebFCG79qjJQjEa%g%W_oCVz~dIA94BsD|MTvDD-tdLy{XDvDGg_>KB$QXOcQ44SD!>VWYK^pkW zlezv>;vn>>tFy4@{)?o-#_wGc9gTg3^$2&hen^aQY7dK%xOZEJ%;|uitIj4Q+;u}z z8?M$c(AX_xQc_UyxxR~b5WqQAIP#sr^fJAd^Vw zUqIbHxhUTy0i3K5i~I)E@uL1+U!(;}%u5>Tr(!0-PE&)LF9`QsZs!H_IlqfOB_(4& zfGHbKnpS@vY$NL=pb$uz{SnbBrTF@lk!4$3YF}C^yRf9h?px=P4k=yp)*gsqW_P&y zw7pYJFL`u=Xn`!wUJ6`-$y)G}?tZR=KJX#`LSmQWwNZrZXIz`6GS0wJ?DZp8B_<7a zs8|w_nvU)AL&?oC1kbP;>_xTK6&zoU>t>MUjrq#beML7>XY`ruI@T>_18YVXI^#I`-mN3&hf7u)-ay3ne)k}pZ481hwUMe!({U37o zEL45zW0u;eZ>Xjp_FcDO9$Ba)T5Bkva~5UT@lVs~Kl@2$WVwgW7R!m1N+>wd>2-Qv z?~e2<&5r%_fMmm;9)rK-Y9-8$`)kBap%Mq$=HW4CBy<~b^k?A`>wOCpTE{e_ zK#$1Rrs7>%a@wvsDas@DWhZPi{0yFCZDlCngOyOM!E#B)#R}a=Z!I+=V;+Kw7pG8R zT8QcOL#r>UZOC}9Ry#!F z0-jvh%nJz%`?TNr(hvDuW7oSXjkW+u(u17>pCtf?1q7)frIY@pT`w&;r`h?P`ikIWovc{%6Y zRb@yYq*z|`?r?MHVL2{7NWq=y?znVbFnG=c6@m9KV*k4$3za0&fFVn{hLA=XcMq>YH{ka|# zq>x$??hUUpa#o3r%nIkoXMQP#p@Tcnay3L0s+zY3f^JOY>jJ1jJtOV!+W)v zseIR(j!pTl4?G=czbEr5Mtd$|?-587_LztCD}IqF17D@fwgcN1|Gw%~lpe zl(hu3c6Is-5`R&&1)0NA+Skf2xBS=%6q55lrTN>DhhdoE&G_T74>t~u5^vUC8WvdJ zY4gbAp0FIf+GU5h%GQ(B&i%n&4tAQ3TiDa?Vw5PGJ!sU;Tz*_3|LqIiQ46$Y|B~@< zrnwz@^@lK|lf%d6J#Jnq3bS=vL79x+orJ+}h0)3qU$9b&lx0l$ND0ap9ezR%ur}Qk zTo*Pry{9?j$bg<2eVXX592m&@RK60K^_o?Q7a*p~ym1O+(RB~`#R*HW)D z7p+m5`h=x*nPA6Dn&}1(!^UazNBW5}PO2b6^9Bj4?%E*+LC7OzjS90%vpA_A?Yuo$ z-u&AKD0s!Y1~@-jLZd#gN;e}9nI-nb9QC=55PH|mX8h%=Y<%DO4YJ7XAVe;a6ZMYB zsR}kQw{A~FY|H_FaG7`_Kme&-q_sbmN>-LLuWS%3|1pXc63d?PTlyWfkgnF2gXD7! zmrtX`9~B)9(hW{7+5w_Kd?pk$iR^Ke9J2p2{=7~vCQt?!K^4= zUk)d+XIiMvYYV;57dvY_$&qqleZG%Ajf6_yfhf)bc4kIgv%WJK!wmG@Ed8! zM?Ic<)7zE)eGz%`+fbYu2@^`S|3wxekLKja^I!Wg!v0cT$?j_t;2EAc#_I){QQk!O zPHLij6j1oMXeo&^+aCNmM-tW%9(paUSiaPU>uf>RFJdi54)H=e5Gqp;MVS3rSp*}K zmXL?W^sv}iIsOsH1+h^k3|a5`=`2ZoCk=dYy4k73@;$s6$nAhvu9^wq$q{6s%0m|R zfH*2r3fD1F7NDa%kwuzCrf4u*>JL(kXL(?o`JUhYDRAYR!&sVk$rofa!LiQ{*Mqt1 zGnPlAZyXf1v1diSGy#sE;W;lEyFl>4HO2R1?v?^fIyELxG4iYSXQoX(1PIQWg|q0b zpSI%1y1OsIfaofL_cYgjf|4JZXC}qerAGC0Fk!_PxoLJX!ueM?!YHFRUCh)P;QIJu z3yI2~v>GgN7S$!gufrxp%|8gMC+3>5-m59(5po4S>Gta5ZDso0&oNj-=o0cS^5h04 z#tUuuSy>z~(EVa$83qz%dp`vrX$9u%%T~ly@=-pkMf*H$_Tz7q5TJO5F26A%v|~M8 z^=$0eJhEb7Uh;$eZ6N-_SGZ0}j#5M)Ku)iHr1e##Pllh_YaB7u>BZIi%CMf|iZM>| zlEO33z;~r?V*4c{T%^jCJr*6m83RsVR%#!B%05p2ej~961$FaDyfD zKN|rIwmEbf(4IIb-0Of&t{&#Vu&fuG2*$_FLEz|;*wa!(O?8ci7mxK&pC0y>p|nZW zi6}o0{EeI;@w$t>3Ji~rin)|#qZ`&xZrKg4{rl=kZLy`1isbyWuem2VvxmfXQjkLh ziZik7A$e58LGY)8J!R7b?DLKe$lk+I#+c(WsxCs>ZvB18|8i4fI!(aUm1xZ|5;37=uHypeq&c_lt zQF(d@4zWtx9+$g{4K_bAIGdz~Sj<9%U2pR{CTEXY&$ciEr6$e&`WzPS(19E#$yVZ3 zSM@Zz5#u)%c^8YhX6@vDAD=L-D_HUzEtiZ2${o z?y@wJ&26Vm34MLNV+yr2P5wxU2nBMh&cp@1Hu2)6WqXMgVd2At-3e`Y$|;J^e340K z#li?7z+1gMCFp_R-|;-WMD%e}oWd$Ne5pL+Xh;G`AeC7#*o)MPTcgOI`s1SQI!w05s>%wMGhOex(Z z(66#Mt>S5x}a98UG?`R~;Kz{+!f1Hbj&8*~dd-l&M%H-SB(9?U<1#QuQe&yEN zu}Cu6`s*5Nrqvc(tdHs3oX?eRSw3vgJOxyza~sl3?7x!|NYPlo?_#Iya0t`x##J?dC;$wT+dU1qdjTIUq+P!hK5olAw|Kyb zF5-}wys8twRMC05&g*c$$HQiOyuRu;&4;Z3QHX^fr@jW%EpKV}Ja3lDQ{T}N*dzoA&DeaJX`2z*CYk z=^9WFNZTa&AVvB}EMO~np>CN17mHL5=gs*m|EV6%bQZp@$H%z6|6D8;J0%}4Dt_Yw zR-kob&3_q0;3v6y#Wl|QOKgsW5S~POWDJC-E)UzCOM=JIBn|}2Hm;gQ!tGMUNUguG zIXE7>TTI2-JWo$yLm+xe{GHvNuGw9?K13%v_l;nlQ$0_&9R`Jsh4ELQIYxloZU2o+ z)@qEX>|6!XA`R7K_(72clLJ{SYdstkvBl1mWI3CgM>jkC^`{+ub{A7K`i99ex@`6A?I zt@BsazP=8|SDq`Hr6YdaLhUVHOI7m_*4YAfHNZ^mb=9MgQKm4D_b5pRpNub!6ALtK z#t6x50|W(AvB@2V%peK15eyJmfy&yDuNjN;D6<1un{|F zJe-arR@?AA^Cm@Z48)#LNoCB>lsepG-HpR3sebu8UJY6G_;CDpv-RFHTOC^Tle;s# zuGbBS%I}FW!W8le>{KRA!j)5M)$MP8HNQ|!=8b?b-5AGyL2KXX^t5SG_xF!!simu= ztgg8NLq$LGLspUOP0yMiDC$8k&B;O~@uJPb{WTtIthCQWITDiI*O^m)z+~cx?f&uf z`sdqo>k?}Cbj5KG-5YnWbgyFnMTO3xCdV} zj{X6Q5*yT9dXM~^dIF0z!md@NDv)nr2hQ>7q`#P6#BA3h7x~T{``pIZb$IAJXU6ja zSGh7U^|Fyi6IdLM9X>uAcx9rN;w|CD_Qgz#uS4x|RGFRB z705D!zojchlo-k$lsl#Wk6{shWr5cg8Y9&FqF_^2h(!7$%R*w)2ZJik^(bm~3h&nj zu83-aV{bTXXJBvAJKegu} z5;u}iidTzDDI;B+IY;oyTT9q8Ppi(W>VTV-xk3_(iEtyAIoekOkO z+zek9S0AIxf?r zYc{6cGVBmUmHo#^^>eQj6;Yjq9dt+k6-~48m$r_f_Hk?F24bYb7|w+|3}q3Fy87 z-o}6&u2rw`0{HtMN1quQt)?GB9_#2nJ1~8%bD5Vnl#rH<<*~7p4sBfD7%^jS? zUVLy`y7l+s(*ecmX>DT#99Ca*Dcm%GGD$17gR^3HszfSYq^9oJ4KJwAlBdM{)wGGH z_j}sQZ`pfzl;N;?Y2jXnV9~_Ks<%4tGZowOiO;ly`ZiOacP>apUqu~_I7`WmsnYN$ zb69xa$6-%ZUz4+Nul=%Vm&c7z{N^}h8%>5Q4kl%dhX`6v(eIy8-SCRODD3+d0DNU_ ziHLfKPbWgE6S-A~X6_=}W1T%M{$IFZ@+S~WPno8`qSzS?``%aXU zR*ymI$)DM|+RXpyY_4ufv6^00aGe7mpfWK4yKUBY_e~C zl-J@?My~LDtg?A8XLaJ)cv(<=yqLt{BUlJ&=Dh5}3oi*JHP*ea+k9>w?}L1vfqb60 zr1faHl2`run%m&aKcFhS4yHUu+w})b$#b?FY32Po9fh<{^IN*=#GqWv^s2?!>dBvs zt8CCp5v8j0Wg-~OeNffba6z(Jei=yP$~AblS*Nvma)T4b%m$cm?xg;o_$u|~?Smgw zOL1e*5kxs;6;sI4Wo2lirms@6K&mMn$S?dpy_M4S-R0I+ z!&i#EOJB{L@OF7TDHKx|JVKL+GHbx8fj z2`jWhedb6G;pqmQ<(CRep8|Bx^39xsTPmcc>nZW`#%3PQ$GZ|+u00r0sk~+v@Edez zlDi1K2MU-DxPU8Kl|?tDxqbQglpFw0QbYDb?bHx_O2ZuYV0A1Eb9~a`ZKMCdhIidD zokRtXR6s5(to*I|Y$8|=eBor%04*q`1nV;fj0iS-`EfRh>xQqQ@qQ8Pv4jrYkE_c$ zp&2DDAY}AXLGtg3fsL8$yncL5&;6K{a&wjVd`XfvIiIfM zhMB$Il;5UKOqZ&C9*S6T`tdkCQM~WbF05KzaLR8QuOGigNGe)qxB7Y0p6Q-k`R7AW zw$&lx@koov`%lW(ONes`Lkslgt^H*AK9g%W9FN~3^;+DtWUi~4n3D25C_KRIjT4Mk z2;4!TnNzf5)r)_MS4!AO4Z&LZsA|V|-KM%lM#3%!AlZK9D2C-7THupvEPQYoQT4=u zdw31bV|<$}ib;{xetA!E&GCIKW@gDA4D^}Q8I1pJ&Z6Adnnwv}>N+8M?}_P#=SP~c zGR8_rap=2OS!>%+Tt)r)EF7jOldagGmvA|;U{5;QkM{E#<&!PEy8Wmxb)Qd+NbndS z%~nQ01yv;gmP6iXJD0dDjyWS-i)*_{Z}=WId1TYKMKt+ z`@NVc1qwhhJ1HO)D%BH|gk_lqq}geZ%Hikm(3bZ^%ZNSDNC~@gsoPXiS}gj4mNsr< zlVSV<5(w@Ry`(leH%schJj5_(lBk})KK27U#9YgvVUi_M9_7U*NpAUE!xQKM_*U!9 z*RQs*CV!sR;d1ReMV+Y2*#LM{hMKZB5yjDZIbpaoXEF~!L0ZHt4GGyGt&lMTloGC= zlcV;94z|udRCe{HEq-0dEG%VjKCoc?D8PqWl}#$S=Z24 zDxPyfCtsk`l(C6UCBt;Jl;domENB&q1r~&afE_XOzA;WWi2k2 zv!2OvII@2&sZXfNbd#VN3n$Llbmi%WXzZU^o$y%gw~o)RJ~p|nYrbyhIn!PoY&UP8 z9{b11^vhcXrqzAb#K4j);rB*JOI>`?hIsYG@4Dteq~>`znf<9uO%`(aFZuVV;@x@*8k`L0Z4+g1`I}dL#*Y-W zc~!FoCV)ChpDnmj{Wqqq6?Wn`(*wD)T1ISLVus0T9pA&SP5|%P`{8QVsKJcBs(yPS zGC;`|> zqbx@{U^m&U=~|tW(Qf@#d7nfAKRGShPh)*ZAVAA|_f}&1;bL|H=&D!MKUGUoQ8q`< zE~p9(BaYm=1REbiH@fLpH!j~F(=Zc$(0Pkb|whHG#} zz(=4i(!kGvA+}Tjk9Y3HTK+EqCd=k(*asez=W%uGy}g^`BX9Fo)U;Y)mtC&kXp$%f zvB`mEhhgtBfNGI={dzv5OS1`HM4>Vkz2*;DrIi)6UrN{!g@qiqpiX70RBD)H1Lbk!MjX=ui}s)*3heLteGSvh4Jw7I1jX) z?boSfww5NoY$j0Ha8mc+@Sm@QpZBAcF}`z`nzha#2;~W{dagfqwdTee2$&qb*1dmq ze_!BaJn2P&a8=J&0<9o^e=Sg-oGJS4j`ljBFhC8dg@oa>ZCrymN5ut=Puon~2oB4U zC(_p-c5d_sklS-Sc7v>Lwi{Ngl*=uR(?VUppWy5w5@oIe#KqvCVLk94oZ@NttU3N< zvar0iuT-9|01nYS`bzJLVIBl$EX9+m-1xy=Jmgg3K2J4wm&h;#ZFXAHyx%8uzj&e{ zGpp0Joj(4>^_ma$$ZTM#c*QN@$XF~&kmYVHI`ob%3(<)1#Q@^ZsWL{6^SUS z@U^vsg{VOH2Ik#c5C|j0qyf5!%V84!|uP`FGGWpDu8?tYQ>0*uf7gexPs6I zRtbXEKWHY?K^iSn?mJmv8N_$NqshmXP?XW8dzcx_~9*Z9gaDhuqyf*=uZLMf4E}!E?ieNO4yxIrL#SIQ=EKd z)L%b(bMrG0PhJy=J@6i~5;QQzbxKAZ0-FPz4CPNoQ;ecgR7NuGw3zqy5Qq46;^&Ofy&M*$A4>em0RiFAX! z{PV2BGEU6DD}?@$D3Kbj$F}^wvqSoEe;PF`eDccsH0(Ef{W4+HuKdRa!CFvOa zx_0?T8CjE6j`4v_0am?d_Zu)>M-y)pF!`mhQ%~0`seDQKf@eD-PZB8ds?{2Qg_F@q z?VB!^ZrwJPK) zN=&%TVwGBT6x5hW3a@1A1{8h$^==s6SZ!B@5my;7)?KC0c-=)8Q_cUZ$Uo;Z?KXA$l3(2BatN~^w$6yK# z2K!nRHAma$Ktt?nenbkMfILd`}=p_Z@T-qyXQI0ZBj25VSZ|9g|Ex_xmHG@5N#-#AOs zG3mJt?utM(;?h!dW?72RqjS0c6${0?$LP&PIbs+6&xd!@z8=g?HhMpTJqSp_+q(hr zTX=gia1CHQW=5n{ZV#k(6$*I z(T|cQf~DBBF}G84F_K!^Lhfg;`vbkJ^Av3VLTb>oK<06(_3(eDJ?J?zjB0WnmBGVa z6m}1lnI}&>Os-00*!;OjC{q@obo#cR3t6qP`WnYp}->RpY;JMIS)EUO2XU|}#U zRGx$tBP4I(wede{!Yx(!ZMF5?U;J)Bjmnl4z^+HOCntP8h0$%t^QUXgPf>}xNUWP2 zX)+)pIvyQb8QcRgo=gnR$Ls8CSQ??G{t?Jd{r>-qg%DkO27AP52KVMqDPzl-sl2r~ z(orz<&-vSGM{6dq$smX&v|w}u@~6Ypf!y;|Ze2E2ueTX!q<<{DUbp(aesCf<5*#M< z8J02w7E1SkMTR`wZ$Tk|kD#AVa1kQWsFDaN0#WbW-m+7tv)Te!kzrzvNh?v-tUV)q z2$z6!M9qw=Dey}4|F#SQHD?vceksZnPwuW-igABw-ETEi@CWhdr|G4q4v}ab)A`nn zdNZ*NKkllm3nGa9amxTP-0Bc{Xpov18JQn${G=drEuI}&G2V(17(Ez>_>o3}9Fr1w zXu3Hf;^ogZMxvmC4Fi@imwA}l6~F3ZI4`WIOGd>OfvOx?l7tYhIbIl1nk7chmz_FO zlS^+~S4M{F0ZPsX$uRDL-PcgBn9CVhSlbUH#W|kZb3*8`dtTlOvV&=YBOFD>O;Mc? zqfNZa(e*Ur`x>a=qqlt;mmMcVRVGVutsaw0wI7E_zN(VqMkeNQA|>C=t6yuT7ULe2 zfme>#nC5z)SD1rMTxaBoFp^gS>NQ;bHcsa~$QB&$9~3quH+npKic88@PvOVzwl*Rs zo{2D`Jp8cR^7wynHJ)E`P3yyiA1SM=_{W~-9aV_ItLg|AF;rUe2}Iu}Xl&7qi;3>H z5)w|^tU^TFkBzFiWwAb?qlHaS)tLitbB;Cmwg*tL>uQtM{ILygIRx*eaz-$c5lDm?Wf41<*J)goP_KlaRvndi!tTHQ zx%%LEnNz)D8B=@7ed$ZgdobPSI?>9BXwsv-^A)|cvoZ#*>!uMsPBeU38uP0T1ea^J zh?eA2)rzTaH_(D`70uSQ`=CK&?n;7|_Vf*%c=;{?2)sDyz}P6f9O%h`pnu zB6pT%)y>@+aF!G-CCxNxUnskmD?d6tFG`dou3t=NX=_0f@nX_=Be>9foJCH0p>-45 zfBB_dTQO!7x6A1yc==v2g+}Y_qe7|w;)Z{u*F0H0wu?}1DfSCL{(LEkN^3)fKU9c~ z(RyE$b!va@E4JEdm=H1IzRY<7jt2`hRippgln7(3JEeU}n)y(ZoMRF$a_lyfV)Xw( zWUMIu*}@eE{b7JUI;i`gvb^afK;gaMkm&Ik_V1Vdg)-n3^>sVs`G2}%OG^r&|~c;eCE*rI=bB?_bWtGMiX=jSyND9 z!y%a$=^tu9R>Enkhi92gkwBbK%$f0{}3$+3jVdP$tm{4)fP6qYXRVbx{k4~Km8so2gCd9^h!#t5Ij|Ki^QT7hn&cbecM z8aB`sDv=>S<3nAgIgj;wJ(S3t5F^NA8pK8$B#G|rb2G`<9q#9z?nSiqtbFJuH_IkU8zA%(c9eYY!Xq%#he-MDOhUmD2wY2x$drL-|tp(1(z4+&;h9h zY?%-)`-E>VBrRcj@ffS_R&ooP!Q{Hv&%bXko{kFk`!+RI&I^BDQ_`oD=0`LkM>r9p zh`YZsB~jc-YnLbXfz5K|EA2S+ThE5~+c*!nC!Tz^y6kFzY@#n{_jIYNWP1yRi5#Pe z%(2{(vH!vW4TmgVLbz*Dvz({h0b23#wXtrE~>adM;h6Agq zwSJ$WhZFp4XGw3lebyQS!TL~ibVaUV++yGPnlbx&+J8GBEpeOy!HzFfPaAEE;CriV z`!=Mi<@iz)b;xwa2WzbDb&lbx165C@Goyk$fi`^sTP>7bnol-ZUfx6`V==A(SipK= zdx950AgungERd&^XKS&_-Pnno4SrZ#$g-lEeY(mwaEY4vH03;io_GRJXy2cP5jcP# z+c!Yr^Z7VgM=+54Fn~1i%s=qFoWRwD=5wOmok!o+RPknZ^0X6oJH_E~p#hl_V1O-w zJg2h5X(JPS>4uaf^9z!y+^>w91+`d&4Zh}fiskHxjuP+aD-Iaa@C-A@B2@}1he>}w zo3AeV&A(OdezG0wnGp`7^>{jP$5VWdyT??`h67$rE5!~qokjn!Vw!{Cm-GI|^a_Pg zIde&&ngPZ_7^;ZGP_baz&BZob@*T0uU<$e(loo$sTfvF&pgxX2nrS2W8zuoW-(*p2 zGQlCVoAicfX*}VFvK3`w-)#?9a$<92hw(9WaRM&Z(K94AOsJheY4WPD(lKtBF7_vF z@NFl3u9ez4?EPdNMr-|D1wToQHKDN!sDWb%u?>Z1k;We!4MLP78FvFzpnZp@S+-O zUzq4!zj-S&V9&CGQ9&(p>0R6<9e5SVQ-~-Ov6SCoWZpO}k4ZDL8%@1e`@WxS$`Y5-l3EeuMqx)?)2bN0g21))_bSxHr^R+MJ zNpCqfsZ&;xJSA#72gg;U$rV~RK-%A!SV0UYbvWLio-LAFAIr3&lVd#dhf60R%@Xd1 z7pu?!x3;>d5-kfArDg0aFj6hV7n?2FnnhMoQ`(4GEu-jUv*s31o`fLa+Gj+*vPmCt zjreO1k8)GuCGHH{p(;eK|d7ede7Y>ganKzQ4TffJ{(eTqWPV!L=HA+m@ zj}1z~2|WlZ;Lws=t1mowNaw99B?ulOe_ULC{5_#I@`jwDd8L@9G&pq%606G7OqzXz z!Yh0UP3jp(s~>5sOdZsXoF$G;q@J8x0>b!JwK5W!7~JPdMd}Zg>Q3OUe;IKhg#TaI zGxhb4@igbOHSS2jMf(l+d=q|LVRml zUVF`QyQ-zh(lHSO1!94rkB!&*V+CHYRvv{`{Ktl6rQW>^QK*HYv_{n3QqIR+2`-P< z$R|?<@Swl#N3m47f$9BM2UVWznoU19r%ibWTNtxd zv;8RwnY>Emm^$9Otb|`#D@xP4?!pRWe}Cz2fII11PU%kz*(1YB{=K>GVRHB(87Hz{uc0aGbBK>@IlTbR-NQ^V#+I8SCtcpUnz3T6FV&9L0A{;OsK>{@}qtWHR=t54mSs*uo2dGT*3~AbjQf&1{AY2d* zrRc2MYPoY*9TcY{GIs&bn+^ad-jV)D9oF3_JaRfhP6F2YLXjl~6}xKLtdM0M7CQs) z`}&Crp=gDz!)1dM@~%+Bn>XB%4_??Zm3{^(pqFgDC8^>C9s0Rbm6=Vn*E6~HDQv667E1eg=DT57TJJQzhg{sgO>AklgMm?Aq%T~NX)VY&(2Zi|*rfr# zg@Cd$(*tNNO!M^iQmK)cmv19$cpTR3_=$vi+j9W+^QX6eLzlXALHR~@dsOT8tEyP; zK_ZQ?Wb6IJs)g`o;Tm}TE%_QnDH~D=gsQUP9^~g9F7T4foVR_}?q}&2QaXSh>6;P> z3L$3RaMm%)g@aBxUTL*VKiaHD#~*qMH^`DdM?_8pdtdPIl}mO0t#?J@kN#n6yk}UF zxHa25fsMVNlCfqcBct`qE)dHUCR4sR0m<3ymINn8oX6j>ZB~2u{19-^332Tx+4pz z=zj}&wjfl}1RGGKW`>cFV7}s_gAB&NUDVE`IIREe&~>*CO3_V%?s_favzNd08Zqic zRx`_h-Q=Js4QD(JpQ_OPJZZbx;hs=iR$j zg0gEigp#WaMi;6**Dlo**LimFrwzST%&~lW0n7(UpUQwanVg%WvUw#8vZuqy3|Q!STg@&G^I_vbyu8e_Ylb}#kP5e&H7GjSVzD>*Ex z{@a$+Im$b)^^L)qw^7}_yE{0(N&2Wyz}P{uAhXR$n(Si40vS>fwD(f%(qkI??K>FD zHegQ$lPkh(sQ+QGXwQ9)ZmFhBP?dVW&Vf?2TIHA{sk{+tf0ZIzbc{X;VWT+7>F&3+ zw~@j%%X$*N=OxaBvboSMxY4wtWaBIQ4>VHxSEUB*vhj z**Rq+xE)ZcwmcU;QPn^+yJ9(uC4JRN>^2KO+-H~YjZ+s-GG#IaF)CeY(XBWSPST_b zLlOTP?5^D~=B5IC9#-_KO7@OG{rMHL?qwIb+M$$+R4*wXl@7wtlGGQm&7kv;R6t5w^u*wbdoYOM#SWpemvVaYpuGQm=+eF^F`=AMmI7 zb68RA4!AvWInkg)AfDjT}uifneiqJj4&j`LC`tU62wnRaT03LMFwGeU$EJ zE7MselG8rCwWwy7*PxNC*r+dy$#*0{E?ZrVx^=YO?QK10zecHl%gc%uGGzQhH*94k zaJ&mM#YL6TXI>I2gqI9j=vi7_pr;pa+`+6V&m>2LMbEIGfqn{C4+hNTD1nO@<8k+r z9?U2QO}Yxu6F>c|(ah3-{~s9yx{S<&*I6{7jZR-mbM13{&#$K9*rVVVS!4cpc5Gl- zLBTbR$&66_@gw_=OEMx*mEjsQhPBY?$?kg0k0v{s1!8yKsmHVCDDF}HbgE9^f{KeO z8kas2^-)ocx@RuLoKTZjJ!B!XM|I#-&2a0>nS;S>|9~;~l@F{g{kYu%9yw~ejHp)> zcH8N%JkYIgE>((W8A{U&Ym#Lt0wIulRDgg`#sZri>_s%=7t-po0o0;MUejhOfO6|m zs}R!eHWep#SMY>~p`XkxmwBZt}J9Z$Wz99Sq)micRJGrBfX>yX62~yr~X(m@v zRYD1Jr)z5_$U#u#REyd)Sj?-&MZMT7*igri=S^<`tiu;->I5_eR(~}5Y3<24v$5R^ZH@G2=MHZ4431`t;PX*j zq8S8OVZ}cxC5wV(*?{I01sdWedEoXnCF?ZY`D?|=DX@>-4HGF;SMke)R8a$trsm+S z=TPhinqn&xo)q)H|8hMllAOax20Zt<^0Z8EJCbK;ob7hQ71$wGU344_5s6hAD>vci z2`VBRv$R(J6elS|HsMErJ}}q4Stv}duI*iF!yw`nO-iJF#$B9|R0!c?9eEFclpnb`5q6UbBgLLdvM z0Iuu?1q*hsWZ*GsOMiGtOKxC9sZ451#2z;l!q+adxn z@v&^>!)0%>8A>G#H=AeY!nnHa;mS@y97zU{Y*b?lEXpjFmFAAwxWfu(O{3lAsEKzl zXMhq8>@#HxBTjSYWvU_lL;B@zEj;s4`|0+stB~NVR+RStFXH}l0_oK@TrEY8rp~p8 zJZ_1rQFRsK#61V;nOPLZ95F_m0nuV!%mqBEHct8#=9H!jw~EZmUu-BiW+NE!HID10S_zNLaNrL6bdn|<`nNoK zYZ~xXW!%@Nb;CADIqAmABJ7(DVQ%bu9)0XAJ3yS-6X}A4D{3bkl8ctzN5MDo&U!z# zOZk84fU9DrYe3*ij`n4h9h_HP>gnuD#w5OEU5+zOswjtV6ujMCp|ThNu|x7O%)p_1 z#=Jq=Pc$Qc2#d#3GZ*R|pod#pv)wfw5D-ZL?enr0p$VVsM)w7q4zh056X>U7+*C|X zssJ6M@eyT0maetT(zyJm(@C@<^Pqt<3mpWUfp|X=XS|~gmxtxFV#uy?%TRLMydM2gk;7E#bt)Mq(|&ZU zTPnt+?Zk2n^wnvT`bpq3_jIs?Ee64iQMz!!Ex#Ha+3eH}G?vJ&Am|VCv;Y1AY+@Yi zG2OVwHqo0KXZ!2dU;hV`u_6c#H1IhUESzjwAgd1#8>>^hF|-tsD)gba{%D*PADJU3 zTpn1eL2NKroJBBwQK>L?erM6%cfup7=jl#mGliy;IaK2XcwP+>D!9D;qyi+RR@ZcD zN?1}+Mr9&6xKON<%oS512@6X}X4qMm$lD zF;Ba15V}9NwLZnf{I`keEn|Ao9W>-IF)<@`>HRay^xvC&zULTcPCO<;qxw#lAh5R1 zAxLxSmBK?qvRpHV5=OnQ2d(oCi=B%u*iDx?KS@!Iikle0skj0Q_WM)J06401eVoRs z?>Nyr(J}-25duxkQ&fO&8TpI0rre`VdcD}t0=2!54YP!)UflE3&Kpg4j=e$_dG#kCPxi|N91x~dl^;*sq` z`@Ta~7BMEC;8Jm3TZFVlRDc4CkJ|;Hc$W7s#GGf&OI+EGLzWA>CZlYwD2EvbX+`C~ zpwH6Mz}1(%&`B5S%TK0Ff$+F9hggSUsEGf{bMeyi$(DHwsn8dK6*vY!&(*uf&EXgS ztX=nIckwZW#WsR9ha%_IKrx9r8L7J|EVjs{ojOo6rG7P-eB&TN`l;wbxW#^-l|WRl zgH8l7$|=FMs)VGl?;YMc{sj6c7JDfFMLYKsTYCCmrfP9ixC-&tJY}CiO?xBYY5MW8 zQ2&sLks56=Pz!E$$1R@&>f+3Xn$j2%o!Ca-S&B=8!0y89--YfTFYMeJ#dZ03mNi># zCj=#eR!RJ6%ZV#yy4Al>5~c6=^Vn7DFboMD>6VCUBOG!*<3g zlB`tC5qaqS^d_UO9gX-C#+E33kZl~U(q?of9cI>tI|$S z4e7f~NivFq8(^TWj)v6mmhJ}oco$d(>&t%7;XoS8VhLun%U*U4+m|HxnfPG0OtT3V zzfv(u`*jy`VQBLtm^9&ER^n$kw1Eh;Op-W8?`|s+Rd&mpU-?EfD&N^v}Ka**P=4zsw4l z;C*Fo*-9n^Sr*7z`t}pWVFeL$M@}Uvt#H6*z+M(-|78Bd#l2QJ-g(&vxthuJc zBEky_vlvio4-fmQ&BY!dy9_7MHz=?JBY4tN9AIHgK?nIW_`qM>P;E=bH+^Eaq_x4W06ndHyq3FuNRV5+psIIcPN0WozwQX)W>$olFV^H$U>gy4-0Fe%II%T z633-l`8WEgxFSsZ|OSB26$P=cDIr2Sro`^xb z{5^??^1rn4ZE>Xkrzw{$c`%o~7_HD8d_hHN;mwGiu}i7%SIQz*D2CvElRB^MLzk8CF6(Q%m)EC6*;c2EM#X_%zljLQ@S zH~AxR#%~K%K&Q*2X*u(IS=HC7Y(uQuUsM-&I(F!oCpBPN9$y!xRCm02kT35opVHyn zWBDwK{->p=&?k>{OR29O7hIMQHv_Tme$)Cs-}$Z|tw$Z|I96C+rV^?9y9el_`D{?R zWOzl2ca;Sz?Ei4J%3j9eZ!w!?d;kWG{k`vHWN~`Cz7I?|%-9&wfS?qD`dqHw$Jlep zXPyFq=njs~h*u-#j@TkJrlFJW*-E3I;~b9_j}gHU_Hgn~v&Y1>ardC->?^|2QJ~@W zRNLY&+P=fxW6ztw;n2XP&SsV(E#GXXvEOP{Hp!B1_is}c zai>{PJ)OI~9KS`P>Aw)FuMe0L(<8HFSg62cZFj*o;Udj{Mi(FbBgsY(1$LeGhf(sx zT+VTdDTc!-c2+{*42+33-We0+Njz`l?*d95X{S{Z1R}mUk%vJ$A6`R3TXka|%!R9X z@6mdJh}Pm}TViT}-!Lz91GXTXZXB6^l_i^Xd_%6D{F(}go1{D z18inZyHYo7da;ZMfkyAsZu;#&Teb-|Ys1%6buXMcQ0u1(0{y7r&oQ?6hRz(d?`yuB zBRHOaX3;G^e+6~8j91>SqI@gp)`E?hYLkOldqsT>_qOrYIjo4N0B@5&mJ%gg!j5WT z^t#1RIU|pFEhGKy#!NQ~zCl9i^t;uRZT$zc9qImvE6D@>0yK@utEeRYKEX{n{|IC% z>%-u+^}E$H2o#|LAdM)!L`DREQsb?Ut1tir0Ygn9UP@uMYqaT3+s5(Q6A_7MX;;VM z752P{N1`nIY853PxP$K(8&GH8rU>f36P_9D>!eK5>CukFBSlT6;COx>9zR2;Vf3WB zKO<7?kbgc^DE4Q1@75TfD$0a}j{$tL+cO8kurT^oimwbF#_9+r32!WRo?PkY1Z?=F z>Hlt6zB!L#eE5TOll>CiL<$RPHz_^8_nDcC%s=JBgGQLh{oLm1DY3iMl8bdUS8*Kb z8BxVR9bZ)y_Gn{p1$wJ?jD!Erx@!3Kwm|wdUKJ4y$0^e-Y$a(|lZ86}QI(+XV&-D1Jk61FQN6D;e7E2C ztND_0mF6NQve6S*@c4un=NdE5Vc;*-s;7H_-ZPL z_@rKX8AUCN$Ao8vXClo!TkOeys$ZwlbWyrVa|j1xcW~Cd;)Zi?gx;!fAd`;jo;@q}8sNHCSU#c$G*q?2**8Z3d!4 zU%)mJenKgt%bAJufAk$rQe{=s=q{4XrjlxMa{z$m>33UB$o0lj+jAq25tl#P5DfeDg%`2rZA?l%;{_9iWcy@gb5%;nfzI2%0{pz6PSwGcOvT-HBoo= z16pGs#)j=|q<+tC()!cU9t_4*!ky!n;ubrKqMD}gCue%LE1ed*~)_-ak^!Y@+P>;CT0+Iwm>^>lU`3qYy>)SS>^ zOKD*_pJph}vr&xCE_~q0Dr5GmS>HU%SpJ8|2^Hc}>$(6@HxVb60-rg%)zvGH zSIjOm3ehywl(1A-WEcoI20NSr;o5`9YASiM%sWGencx3R)W?*$_$Vi$Oc{N)v^^rW z(rCr-X{roP9X(-CP>L?l-TR{3QaPd{wlC({*-N*=Nyu++1ddK9{M|4LxpSxapoC=# z=YSdd@eBww4x!qaOsm$mk=ycHBE@9=6T%G#Y~M9#fA4cM7m?KOqoSW{?&RbHGL7#$QB$WlSv1k6 zIFIdNOf`~GqSIsz6uBraXL?rrzp0@vNl1Y&QPHiW zAjllS)hOBdSG%dTj*^`IDJ;m#zpBG*n>3u3{9g1ywc!)pnkF4={o~b}ACi?Dvb|Gl z>x~aG^$_QjeqsYF3Vx?f7oJCkqekWoq7iE=S@4TF1w(;5AhVNrk^yJhtWT}dU1>#7 zS+cQ!)(yLZ$M4d*{ShJYD)@nk%Bx(+9K+Z#99fnnb+9+n9&G}A+57K|+>rt#evekF zXbpd*Ed`hGJD^rX6gZ<`NKaOiClBo+QK}jt)4olXPJ>&@DOvABrUom7D+g@^i{hy3 zoqB%K_Fa(lNhpP znZ9E2BpUj#bLM!Q2WhRcBM%Khiv$ChBW#lF+(AXVU(E|gSa#L_lTwBmGQ3aa3($Qk z&a3k-Xrpqmz)Kxv;Z|Ohab~&hA=W7dB`aHZt3-TgGIN*VAF9=_V@|s5pn=rtRjP$V z=hAf8NWyE1LWfE}w?H)xX7-T`4hS{VK+HlUq zxP&de+oT31`J`lf?k@Wtu`H zG((NgGuyj-0t#_DM~t#C88r%$(V~&9dxvJR2eR(lav)424w{QUz`~*$b0<-SY1l3it5w zZA~>a1%KsnBLBpddrh($gax6xz3fYTCK2%zYEqvK)QxjE2! z7`{K=wG44wqA#UI1)bdsig_SXe}M}R2zMyL8ysQ5Fg|vH$Qe^}SAVh=i%XoD#2Dyw z)I|HaW#mBE8UXzsca48fa6PSzY4}q`FvcT9I~%uDh^atal^k5qwCr(I@1YY6;X_pj zZ1%dHhdMR!tctZD)Y_QdNz^SBAEH8O(LPPlzKzOf(HMRfW? z4uwQ~RNY?lsN0-2Dpofa{iT91z>mJllKcWN>kBA`XQui=Zwxe5;ml0FuH}IYL*lKy zay(2Je(nO4u)KdNIep~XUfA*`9D;jMamth!HK`0MXd0e4a5bSJh8tVEh_GE*owE^^ z+=?=Q@C>t-X5Gq%iZ)2TT9LdpW5KtqE<%nh$UW9#t(;GTg1PU#(&t)WkNo5dm?43b z=E*0|4pGn}rt$Eerftkco8B9;pTKx{n#TyNv-{W!(3`zW`B_&4*}aEMe>7x;!bZNo zU!Zs*!-|h#W3zky6jFEn)}NMqeX)x_Je<^1AF?uVO{~TkCfsX*UU4xX^0m_%1UX*) zK43chY9uSl6G=$EAO)?iay1yaDG6P$(|9^p7SVQ9MIq?aT2TTB1QjU9j2nei@G^mZ z4J)&jHg%fLpv$-IOfJ^%0gWN1uT6Be&R%Edv)ZT~*#xOeG6-Z17299>J2GoY35@e# zUThcc@`4MiGbdBI3s++g<{cS0daTOT@4Kf=aYpDQSIm(SBT;s}2_XVL~l4Rwf z!_tSWna?YBQ8hHxLc34EX{uSLi|B7I!BUsXu;x<=jbta@aBjNEb&uPY9w#bU#>#WC zeN8No9BzL2UpHVXlVT~9Y%>%bSOLunscVTTeOzKF7>fkd2O1$)yvz-^tFdV`RFr>> zwnoM3%;6BU=TnONqa0^Exbl!3-~(T&UIgNIa8VO>@;4z*C@@C7RP77 z0zMQ;bRMhtr7{S?xO(}|AnNof&hjX$cO&b|tez#Ot7r<#k|zBBkE?fJtb=RXh1;lM zV<(M`#ujyz z4!*S11lh|$+F9=fksW|IK1LI)KO_zU@4K$eWiN~m(peGtD!j(nDHu)^#XhXMwr)s3 zg;Om)1DvIb%qA4Ta+6i{PL;Ibp6%R!KqX--d~mfIpy9~qP;9F$&5|6+iPm*@4~~fx z%vgt#e&T&iPSBQP0uIz^y_6rvY(6cF4)3Ux_7<;G{ceh8TM2O@#OS-X3&ErajCvYf zmB-Z-TUz$XO>)ElAO5_RHpY?V$%D{^I z?ZwZDgkfH$WuWy6uG8}H@_Ig;fV`=vwf=&xQ#KxXlVNPb_1v~7G>y3^gS2aFcKU$O z`?(yYw?U0@p`~)wx+6RqukmD-+*^PoYX z1Q=-!e)mk$<@7qzpd$-Y%!i5gSE#0^n^a{oCT&T_ey_@{y`K|6lfr);;X(+FYz*A> z+7xqkcVgcydI&8PBeJ^}W6FGq+VQbRq}IW0IA))}v=aA^rtoh-wE^<i0d zxy!=msTOo%nEAgialug0Ksrf?S!$IKTvawpy6ZZ4aTMBUk?DgUwv7SQUj-9|gAf3_ zzZXoAoJ~Hd0>75ZSWQBpW-QQ+(cX6BTfuY`?A#%MuL`>J^)5;PjG+DrG2h4CKWXJ1 zzcQ=In62Vg1jtvqv+z^0{@%$L*12dx*3+yO&fvvxh{x5T5&7JDRhoFk$4kElUbwQ= zCZiABNw+=?r>Hy_Q*{CoX-HCl!M8(7IBWe4=h`aW7lCTqayJH?t<>h^ot@+~tFF-% zDbW2kpv+A!-(xHi+C2nlnd4;8Yip>yISrB>gC}w;TCcnAy6cdYjrIP#G(dV!y5@wW zVs3H9?jqXALrhnuiL~FVX`Tf<9pdM)x#=;QGclsZAXj#ij=bvnJpS!B4vKJ-lI-*< z6lcQa)!$CH0%T1B`k>||wBOOIWX7TvnD03LcY58S)wbE|MclFrA}JfQ5;9Z+#lKBe zSx4#VCNP{kmPkudK3~S;@=h%eg#aG7S5x(NO|{4V&V?#mz6S51RVDN1v2 zX|H#JZ7WQS_bL<)RT?~De9HX0rV-4~BGs)H$uBG8$X#gU%AK<{5F_L%T6?{Xv52-9 zSrj2NIUp2#kA*#q_B4e3bQFSLsz8;0$#EiW ztgpD8%nibhAcf$8y9BmO$+AWhQiSLtw%VouG}{@5I(M0Br(GaZRFh}f>Rj1kjgkMm z2A?I<;mk%+x(CP_Qdj9Z<=clcQ%Gi;uRg3a$G;&FM+@EHL;-+&3RAv<%3Ps`%Ww%; z&b+Z8bj&&>0Joe}B$W+ahxVEW-F<{A9GDWZMfh3O?Fd(DwCZT1Dk{2}*PVITp`2g6j+JZC;x5gzkjyu! z(S_JY*`(d5*rlLSLaSU9B=%n#7#3`O;sbRxt4L@XuzT=|{ z4Jcspx^<)C*25I-vLn0oa*D~zeTY)hqRQaLAOein<*DI{-Yrw zzlm@P_!r!tqXBIj9^@VNb_|)77|E{mUd>d|9P24EnD(uMC%UE-0LRdOC)#0oBe(S@ zVoD});=A|)FoO*~aMJltW@X+?X`oA%RH_bJK%ZhNeUly@dixXRuQ4_5qC7=Wf)Z>^+V)kxz2NQW?m8(egoiYNg2^MNTyhSeQ9x# z-bOtuUUfS?Kb_PQS(z7FU<7$jEl$|G2vYsbX%^$E%+A$iR?IsxGot&xNGFBKQ7um+ zarUfn7=@~pB)Ce{?d<<99N4KM4*w_hVhgAH1Ewl2ZPP|t^Dg*XNJ`Q1;GAG3GQi&K zZ;t+MVq!|9dhN^2??zF0lS8|fih+N2!L-8r$l3lC+7sd?JS~ei*p%|uUaQ@ag`n&g zU|26kCPcD%fo7W-`zS`Ho`GV2{<{b_Bdfg`b1YlLOnWQZtcpU*Pyh81OGt~Sc^&Sr zaxXC&3d_{zr@_{%aH*#CKHz}}O=C!+Dm{RX5}YnNpj}xTTap+_K2NtNiM3#~La-K( zvXgRC2!#-&q6m17?e%iC8O8okMQs(A#}VR5XsGq6!|!}X9y;htw`AHy3aykj8Q68S zY95pl3A_oZ#_cfb8>x8;in#1~2)lUm98{L34$lnhS~=Zc{Lg=5@b$8)!Y0yqLSy?} z^g9ue18LaAJDOUxl9wwM3p6P>Y8^gzbeS@DmDS6+I)H>=Uv`2Skij3l!#z9;veH-#cXH!Z@H z)$~s49=Vg>1B5$-rx_+r{dC$IDU)Q^NcVB_je{Rh61b_!H4pyes_A83d{WNN;ccn> zwcJu{XGJ#QVyj=VT|2SxWKP?c!-l1On#&{I5zC$*g>Ru$pP+^*oOi@Z7B2vAWi=zZ56WA?4!@^Qd2`N$}7V)lwa`+2!&!*CPYpJdCi8*acoz>qn7B&0VC=6i-0z z)+)EEo#Ve=&U`{>)zIiGb)A<7+G@30UQorjR|HHU_C~~e?<_{IIk|D zT<9Pw7$;LsOXlM^1*J@ZcyeUDnI|*nDgJIv$GoPh0~&{-aVE6-X9LeXo5nnQ#Pc)a595Jc_VXq7en(W__yq-gp(V=9!y*%r zF&Zh%Gc*PnxEWbjP0;C&NUdnc#b0JA+G{+Vmmw7A1)9vU)4^9)sh*u>9x6>`R^L3} zRNbKuOpN$Rv_3nUmavu2t2&)#uT_VR2NIsVSmXzq0Udr)uOwDFQtmdH+|XYRHAukb zkShQJBWrpCJvR4e8GkWP*zTpXr_YApW~w;@#7G;m162)gR+G^R(oXACT_-@DIOY@b zy=OR{_|iWD>&!yJV#O!<&Y%*l(T0ySdrcjPwI;e`VRi91KNFsf-*iqpm8oQ=bR3xM zF^q6+0g<*@rRb=QofvxYNg~w;l_Qk3JG6f;#SmR**BeED<6qz7A`kC19`0jvQEsm%hf7bUY zTB1{1t?i@@hN~m~JhgU!2r@hSe;Inz*fQ#0S11?wJBj#U5Lkp;v>aTBHE}9H@QoLX zJXxxVjr1E4#6ir=wNPO6!>Ubduq&6@1~K+1YDQ8Jj#zGj`4r+&+qqKche45CiGyZl znW_l(^t(>>FQ?m1kJI1o(&0nlZ&_B{zv-ig5zEfKOtl!2YkQ_Lcj=maf__tqS`_O1 z!fWH0A;?z!owX$Est=m|0XpBP_<6`i*I@Rph*B+MiDETVfx@3gLl3%{{n;RcDwJb)pfc5mX?EC0j{tJ8R3X`X6TSK2i{BUz~r zn7EL-pYPu=ru#tW!OAKvRtzN>l*Z6stj|l3xl6Di=+V6*M$n@0K;-QESrv-$42}yT zrK0F7UO0;kCFd###s$%Z==b_8OrgnQZg@>EqZt==EHW>V!6pjo5 zjzy}uGuv*9?Y}^-Gm3QS_fC*+BP^-RQ<(CVVRUl>-U57|+kEYq8FPb6Mn$-aULi=PtzkuMscY=?c zC@SzFwo0Du3)RBk!#ev;tleXs2w*YjNE_t>R%}RA=w+iWo{Ql;iYRUSx zy!^>}^i;VQ?u7#ffBLa18vZ=nDySjDyCJ47w#i&vSlm(<{G_?i8tq44px008Pe9TiCv%ZleHsvPAIf)I{129bq9w^S|pr2BUKhw#QikZ=4OD;Q(@z3 zLpwx2e@~f(YO*D%pux-)rvf3RH46{&EY)gJM*Aq#_2TKOUnq@4&)#1_38CoG@} z_0C$|^$V%$KR5mVRoKv&z;kc8Sw4_(DNM2W9z}q@y^D!lT{M9UZ@qprfjli{KdeBL zTNLX!;Md(h_ahbRQH ziDr$xpN2W%y}7k|j0{CXtQFYi!a0FIS+0=Vi!?W+B%4X{ZmhRN8|#Om&ImiStyx+d z7(`-Gc_b*ha3=oC3=hC{hZCq7o@AeWXXDXAtU{x^Ow5utzD3pLty9QdL^{dlm0BoI zcV#{N-Lnj5=J`<3qZdsbknWW~v=80w;$_eL2d-LcR;GlwHE;lTA2ta)+V2$-A!eTA z;1P?UU#clOSi?-QbFQ;BXOdLZ{Y10N*Xj(CFb*xNpG80VaJo0*u%?J-vqKMb12Ei= zF(in;m8GSxU#hSwD+d@mwP+8jv}}*g!#M|UNx}iPbEUo+23L%+dOk1q*@tXCgS{lk ziPIH+9CsfFnUM1b%3N)Xu~fWeCkcKwW|P0QXWo6Ej-gRefZ5aetc(4r(E~u}fie^F ze^0@ahH+pRQ;f_UUlTTF`BDYff^9%$!c=^CW$g?ac`uD{qMd4~=EZF2J!(ZkU&E!F z^ygZf^@#80cnZVzEXQgjJOeuUYp^+br;v}Ll}KN$VU=}TaX}uXu6M`EWWmUwfCPS| zejSGxmIho*+2S|b)cEf)AGR0UssR&eO_wi!<;P<<=Plq8x07ph2FIp5Z|J4sX`*x{ z?1K=!JrOu%zirIGbD*kF^?Km>$IdAIWQg2of!`C35yEYXWb00AKmW}}X}LR{zh~a$ z=TdFdh|svTDch*8{QZs?2ufWyQ?1ae-&Ji6`7pDH{hJIVFKpBgBoT?)N;vs8 z^C=LfL(L)x5y2W9=KryPmSZRg>nraubo>-inRimDtxxyKbeVRxLyl^y4^p^ZHEsL$1Pa=kGdN7 zYKh8o6>jvxXs;XtXTy|#Y1OTRYNbDEOF^!&PtfLV$s#cG0EdxPKc@r`N9xPMsl~ z7`?wVVC!kKwIbtf?EUI#C82DQ#V^LTdES5H8VU<7>b|dO5=CN&9oEH_zWn@`=i@7U zn4OOJ`&V($um2~;5-?S-1Kco1+ukAnAN89<=3^LZSpZj{wN5DMD+Ne>MS7=%@#s(i zz2ETFtDz2uI$SX0mq$#-A_CY5)nl1z(3QX72IgVBp;tprHn+{wo`0sNFKIkYb9hHs zWc%vOead0Ma>p^WxL`eN<^8}}+!=USosaq3PAuZmFgfQ%X(^%OopJg_5S&GjVN ztTAnSEacNdA2S;|y1YO1$6qnty92~!%`{4ZJ|K)!Wp91xT7x%5xCW=6&tB+K@tNTb zF!swYvzZ%SwW97fI>h~OG>m<*?z7JotV`8F*kcs=}_9>m>%EH zb9VbNV~G2i12A9OpPnOU|5P4|)CTiYN>@i4vv3(z1q>#WvHa@h&lFl(DgMK?Rn>wO zG?Cvs_!!YD9tP0Yy-_dHaUudsa;Nl4bxy^Fd9bk1`WL4w*2Yk?$$`twE?BjBju zuU#=_s#1`RzaOdsopQUG(QCoX<(j>h z03({9%n~Kmi28_Ek_px3o<(CIMaj-^_(em0lPGtd$GV}#Ydf2Rz z8LV5IFKkZc+LTtEW(%@}!Kw>CK@UNdCxsw_lchO=z(|v zmm6c}NgW0e_5161PG61*YKx}%NZL3e5ZKGVRR%bZ*?h$QKv#Oyy$N20ok3c-xFPg| zV}N8ne8Sx5c!oiB9&B2@pY032Ce7m_U8%>naL%->oCtA1B;#&F(}M%qEGA)Gts-1c z7OB-nA^9*UwL=dA*5$|W&gx1?ICSNkFYlZ;LYuYSOD4C}nx(!k$O0cb#*?+3czQdS zXxJ3PH+~;^$quIteKfh9`}Uo}2EWByP$rMd_%~7_RH}<)8N}qbrR;Uo<(Ob0Jk0g! ziYzF-jK6SPc6tl9Z}QaAiguO#t-1(``(Xk3$qq_M>sJa1!&t8%Hrt1Kvf<@Jj8}J?Ri1Ps;lr zk7^uRN@QnPAUXef8GY>5hXkk%R(CuIgNk!;i49Yz8wqrM8MTj{EW)5h&zIm(m1oP~ z#uoJvlhF7T{}W!=lF@BiPAk;1-FPp&6>5|G_2vpoK$S6G>36Gi6J)-*o1k7rBu`1S z1zH=~mVi9gOniRW`ApdAS>oS=bM|t*Q{o~FFe!LRIq{IG#^9=EHEs;kO{C+@G*7*i zd9ju9H_W|)ii{I6$3P(JGJ^5#MQ)Ay9LrB%Q>@>E&7~^H!<0t_GEXE>&e)cIXjQcJ zIzNkO@Yi(aBO3`q2x7-U>s9!t4NO}OvT)?Apbb)-B*)Y-h$L*cq5guZwp1JNsKY0K zIA<{@n>qQ4wK`#vl`-oL3XsDUQl9#U8u}V^r`cfHALgJfuQ_cOFAQYoB&KhgTjTJi;p_&XG+~>TVJ6Ez;O{@H94>LO`%BOxD96#b#N@H zeRd zga5{}QL(~rl!5!B0hyHn3>w(<5?vitm8qWNfS=n9^)4D%;yK(`k0_H#^i(&u|Wi zE((LL+%ZF=RpS#+dN_dBrOGlM-Bw%U`(pR&8ut6=vR}skH2hSSIc20Oe;TNEyU-o` zTemq+F}ai>vA7hcQg8I!U8{gkxJKh^5V!pR=@W$*RxAf*>KF=;f)vsyN%)~^y*F$_OF$-Z) zA3!S{CF@~%P9x%g9SO z()S6!t{-w3<;ME4rjf(Em*;}x>jszxcn&^oik5N{kxpXKx#9X+RhRd8Z@F^a!JRB9 zsQq_%Ae4EInq#B;^gfSmECdSIBa2;}?#X|GvpfSqlGVmXJY}Z`d_H00d|nXSz6$Ki zjs$~EL%XtOsVEbk*-X*;VEr&5_cWaQ@&^g$iZb^uIG))eE5ta@M|UKJWofGsXMA_Y zO5M6}!WKPE;RF(itm|PxYhxW|v$`_oi(ILuDNB@yY`(&si91_46tjwC&|T43^J8Gh zMQUd=nDzaJr-+n`3JfDmUkw@B9ZY-rZldfhC>lWKR2L~|f4h_4pL$eT@aQhC0y;G9 zQLr~BrLj_AZB*RShMHCF%a(|d_k~c5wZqQ@A`x;%Cox%Fk?6}%niPQve~kt(y`Gbq za_o1}l|e(a;+)sni-=GEMpH|v*NQKTo~dTH_DZv%Ai0}<4eybiiCHm2U2j_FK=yqU zxrrEtmLq`BA7QUEe3c=Zy0gB9~in<=NdE0jR>?`h>ym-B-0w*nlBpjv;bsSulzUMw=muDqJDzkEs2F@oH;b3YP6SD{yl4aJ>P#id zrYd>RR{fLY-gETj~}vcp%HXgs6{#ghm^CfZ7!gZJrGr zgJ^x1?2UY04T91>9@%t7_((_d><(LKvrpjzWxO3g&GlR~l-Na9jTo!k!ovTmg4ImC z+gEJ%>u9V!U`nzH6Su!l=Wx2D&HfjBR$JzV_p@`UtXYJ%#ov5IKKag_(ilg2YqiO; zaxs}s#;;Z>XzSHRG-m09)p_vB+bYY?PWJ%e1ycXr~m@YX&|o zEYH3zwl!3+KM3sJ%rW2aSX68^UIYVh!suyi_Ju{ddFw5$`{nuUJnhvF975YY7NWnR z3~<)mUhQRZJ(T=WRrG6^GO~L;UQ`n6QF!z)6ng=Q+14rp(0;jfHCR7>YWS&1#V{8N)b} zZ*U5_qxvHc5`FDPT!MJ9Q?qd+RBB^7LGLQ`mnzSh?)O_z6wc1_xPVca)RRE4$r)-Tc^3bWbNz0rgjE6Kwc=OmN|C@LmnzL@fKlBq+uE5(Z}>HEuT z?m+;iy=5|X8l!e7E6@HMvcb(S&#zG3oKvy5U7j4xd-r8>i9)a@$Lr6NbIt4ud9vo6 z*hwKb4LL=B&TfMTx>b~)YQSfvT=`)Vp?fEEhz_(xO11c{o7)eg4ol_yQ8S@2NuZye z_F~TB9-+MxVE+jQ>`aat);hWDHj5ZIIj0vtVY;zfjr7*n$(1;=_K_L>MG zH7MK}!ZjlHs5(S8GZ>mIbP<5G8FS=6A}71yf%E5^NpvSaPc)sUcvy3L5HVZ6L%Gf< z9xH|mhP7rR{B}0f1!nmzoB`ftgzL4cL^uvjgc#PL@Qf+K!UskJ{4K`HW$()2J4xh; z$yfzU&e6L6eF}1Zpwe<_%l(|;7CADv@y(R)i^RC%VyDAezfbWFK~ zJtV4%@<&GiNcM>?WM{@*f~RZP&uAAtf2edDC7>m&sqdb175^HFg=Meb$+i8TX}0ec zd0q2gAo=>nu^P_3+E{XYr4~!*Cvu2oChX1z_vR}?S7(Mhna`0IYa7K?7KMF(k-Xkq z>(3ztAK7>NlV@VmKN*~NvM7dg%wF)@xL}}xI{c@WDhhm7wI7C-RJ?!a)`PI7!;p7o z6nUv%;ZhKd-O6N((c#Ykwch5~bJNfV(I%cW?h{v^zzT#SN*@2Cf|5)n<3Tk>sAM4Z zi*KSLNA}J=>dbj4h*ymGPkv?1Tg-hZUqJNu)&P6lNgISdIXvbo^yAktN2c1lJ=b?# zG=@@=(E~8xNQ%NX)0Fw{QXL!K)Q)ZghHB(&BLp}6%-?jO5!^EPQ)BP;ZO_+W4bk(g zM5&(e{|u)31}Ra9Domo%G*B!{K1`yQSjy>5=WHJLM}8}d;=jg#c!q_?AbbO-cy1jZ$~%DBdLeq!s&YA*GRDDb3^uy^9sUT?4TsQf=cl`v8*VJi{yqUl-AChAXRa&Dz;BP^mfpbhSX%kKag#(z}4VbQIlESbJQX=|NmDC3+ z5Dgyx$0`$6>a$>I#o3{mAz+Z_5Qg-B*b$C5G*Ad<&!5h0V47_$gLQ$Ntq}1r%J*it zAkaHu)v1|!!$pls6(8;)#UJ9xnf-z%jsi8sK=&glV2ZQ*-~saHp2z&e+3YM69C7SW zs=Y{TYsa4#h}?Jc+swo>yBHUwJ);6IQ*Vdq;EoGp?`Ed3O(g{{etAT4y5gM9AsCEv z{sY0!` zi-L+N1FrIeU)!>r9A+9*~8U(r2ZMf2sA8^}i zq5i&rlY|=ms!$WGG2BlDR10N1Zidb25$!U)S_Hd1WvD#ArizbD=8ctQ8O(Q0G_oF> ze}crADok&7h>~Ss)GAf{!(r074naM+qHH7Pw7H~`;>cD`+CO-!Q;B9uQY4i`_)^=K zBbPAGpY<#`RQ$F4PAE{`H|cX>IuF_R#^4Z*<6^(?vluQC=XN2G$4iws4fr6j(OHbU zSW$I#(j89VZRN$?08>4~!s%;!iZL#rJjl74rI+6&yhU?`B+P8qo&Mr8EeWdrIg^A8 z5ld3zYn0z`>;hh7bWKVkmq8;-)Uz;+RxJ@D=OybRmP`H6(Are=BUlhw@D2|z@UP2# z*PeP#F@NLrc-y%cJ8w?(PxXP;RSIL;KTAR31kc`4E!@Sk=Qm++cSBaQ-R+&~n}z-zwkuQDp_N;XeG%cXY^4KAc=RX>#fm*xsk=*6DhnZDMdH39C=u z9s(l}f{f{xi~?2z#8$sX)cvy3Ec!58pSwymMFISV6G+UI`$6?Gm z`Z&acFyaVOr5Lb=Wl3@x1E+5mvSD!c+()8MG`+0ElQsY@Zh7_id*_Lg#G%j7}`JapZ7Dk+nyKi z?Kd3u2)r))U9CS&z&Df;KMv?Ys!hiiUEDmposf)Wu!5Mtu$}tl0$wg3Yli6?QjWFKxSYAwwu&eQx@3$zA>*&# zq5=ItH7tMexXn%7wX2d!Uk(JV4GN0j>s+* z!q_m{tZ3`|t2%;zuCfE<3SC~xl%i|Dzr5uA)y+_M1faY`e@yKm&qpJJs@UJ-d1EFv z3^x>~TCc_E|Mc7cRX_eI?fBze_NwIRu=&+5-mvn*PqE1!stX^>hNy7VOu15Nslv%T zoc9}<+rV!5WFe__;&YY6dAtbDe?)J2Q0gb^fB)2$9W9x!r7Kd_S7o6h6OIQ2Yzf(3 zjJ2-1SSFXzIo40ujhO&V?2>$R<)t!kEMa%d(~7m{{=_ zJSBKy>;0ayYrcagZ$TzPp78c&Kose)(V{UtO;1SILni387zt4n8)~b&b5U@d*h1TBibz|`^vMCtgKBMW>%8UmDw`4A zUz7b^;mcS?xnJNa?AUznp}*?1?LV4J%)x&&U4@wQBZ)hT6H&EY8O(>j*7y30Xu)g| z@LvxlgWHdz*EO9oMdO^bsD{Sz5P-(Kd3!Dh=Lu3alI{fw%A5S<*evW_-Vc9nZ*Mia zpE31m$A-R-m;)F4dS0~j+cUoWPaOc+2ZKK70qGoQm2kZWypSx$H@K;c&0Be%>5K%b zYFOdbrpS;GB73>J7(#%nSp%yPkId*&bP1~M0vBTN-z{F=r=MQxPQS52l?1HcHOj#; zkbT%(<;ACh>83!1eg|L?kGW`h=^vqd_SkYFBgdyS1=A@PyZ?(!IxVjlE! zCWKO1KO}+k{$j3^(@&E8T|O220_Ust5MnztL8)2F4>j&dx;1ID2HUJoh_Zhc0GUpK z$jcT?xrpd0(=;;xe}B3E?w_du{g~DIjV1d%-FOD(LapRBfM29k(^jh!D}BNRM8zpj zK$Ynkfg(}F_pWR#C0|`1v8D08kiJcF_ng2vZ}X|Iw6_ruF`7u&k#`+xk)n*b1hk4k`$NQMrKgv%NzpE^z z5TWtS&TxO@iJ**heUZWWtvQ3NOssZaxSG6M2ri?<03G8~6M-SghciLT{IWFigOxzH zIfrCt`So{i3M=1iXcOxT&8E(&n+(x1+2fmm?h~cw?pg`lMV2&-rn+bb+I_$vtqcEI zbbRf>#Dgs`d0Bpg0)hHH)>_hQ_Wzd+9cXzchl1V-EhptID6os4y`;W-_wCKy%n5fD zu4Lql&e@)$?=lp)Z1%JBoLPlhZOmv(5@6>|{qYcw!XzfTxfAMO8`4#Jsbos6UAAudMpLd>C186=A#KI&Gk>?E_YcYq9Q&=bs|P8iJiG#(wB zVu3BP7}%-!nD4i5RSIq=sP^xhfk+73XB}bhdk`$tcvav}o7dV!e(tww=f6^%wL z2i;E!&3_2Y$9Og%WZp-l7X; zf+0(+F}?^D8_mhd`JeDy&rD&BqDyAPO21Eh83qNV7DrofvP@!TSw-R4m*q?Jf4?H| zkdB6-CxtO%mvW^abiZVk**r32pD>2-kxdhP(e`i<2YP0IsfWK;hDf_LBdbAoy!4=@ zYQ7|_X4~AjL^mCmLF&jWNONHD$PB7xR9b{k$o!XS=F<;`b4Z0_!QM1V1phLj=}4-Sa$ht{dmp)_F0cIw&V*~o;wkc zl@2dqeaYa)9aq=eS74|YkPF#NO)bN~zAiDBq-YnCO3YF46GMijF~^h_#(Ri$i%MB& zENVj1@NX==T(N#UW;Ig&tvUD5yIB2i2ih9Qphl&?^BhCbSkKNRYp$_F==lY8uY(&x zO%W1GR=74~w9wdNB)JR33yNhwT&9Uq+BwrRv>=@_(6)@HmOZNT^=KwzHPxK^>D3P( zqIdmZI~P<3q3k|=^ffL`-?UOVn5IV-qgkr$^RLoEd~;&Bt#A*zMj{SoA2JCHF)dAg zuQ4rVemFO4hOK`WF8PelHsWf7P!1JCB{Jbdi8u~&2PBqrN=Y*|t_aw2Os+NxXeV`- zVq?E>dAC9YJS^-{Ub&rUv0THk{bV&(4}7if;S;45a1cqp7p>9d&60e6oYDLaak8iR z*M*PhXX1IIR;$Y@V5oG+P@sjfwMO+%VJ}T&D3!wv`KZtr2>gb1eYLYMcY)yc&~T~x z2&Gosd#ZaAB+)pCi`yeO*7L8#YhrV}G$RZ6MdWF9G0YXoYCd#0Ez7N(HTSw6{CSq} zxu744-i@4rU};Dorr@ot5_LL-Wt?b&DQj|BE~|0}jm~=K{QvxwwAeNb4v5f< zLae@*aF7@ujT?Q4^|mivGst+DnQ-gWo9#Ya_rv$eyiF5sz`-?@d7C#j$GJ#yOI^s9eD?9T@ zH#whW@%tz6PE&Sm(igtPk@mV}-#NXnfMJOUUJs3!l?H&_{+(hR-uivl&px$d)I}|+ zg39zW2$$KQQ+Fl6y7uBvN=^nuyKVYu%;or3j>y38u^H{GI?={`ioJEEO*TP7u8vi< zNI28PGGA!#a#>|Jk8}llLSxuKbKiFe7ql8o#EA?1)7Xow>HIi9gJ@oN^jR*KRn*if za|qjME-el8^vG+^r6luPh{nHY^rz+CxVO0FVP<@>rryOF=0g?RkRZ42qUuOw8k7fusrBcCNMwgYza))SyS8oJJm98>-<0o} zkDWBN=eU{*$xGnw(uOr4NHgTAI=}xy?GrR36SI~R7Gvn%7Z7*JGo})+?o9DLU_giV z=7ehDs`Sf$1JH-^S~jwZ!m}nDwSJW$82eo&r^|VKEO6a~o_j3O%uYw@x;^-lsmNUN z_^jJp$Yp(hnQJ~$lPbR@kKYfW@<-Q)OoCW*3SZV3vG+3u#i{wkdIwyHtvu^h%%SE( zd@>Z)y2ub2w_U|P@F*a{|2~9|at-`wy0X^>Bm8^kSdPB4cvv0yBwDcj-M6v2O8iTQ z|A(z_@UOE8+CGih7)=`6P8z$hZQFLz*mh%_IE~ZTw(Z8Y@tr=;%is6@0rw7euGyK{ zxn~I$R-S<-l6Kv`LM9Oz_xqb)B8o~<@~2Cb%eIw~zsk~4=dOt^#$&V?VrEGd-66;p zyw+WeNjm~(8E9XcW$|u)7B^D~7DeY~_7PDMdm5f*1 z!yAxw&`-Qvt}yXW$~@660ZmkKzSlJ$K(*dR8k8#cmUcD3jbF;fc_QiiWddW%ID%hE z<3Btz5SZ6AY(W!B@foNwxaP*LD4(Gb3~vz47x6d| zJ^r-x>ifDPKc(J1+qNGgqPv?v^C)UVsf5ul)7!eYe7=jl4NF+zanEtN`Z!VXGUo+Q zX*GLyrsb4^F@@6|lS3HIwjeo29Rd&fwItQF?BD2s>~#M1c^YDE?Uy$5s9V?TQp0<+ zaASDUf1V94Lx{HbSc( zpie^EV7jgZj%x=fb1afy4C%ogkifo#ijn=d;~56-3@XNNU;QpZU)G;Y(C~;kIij}O`J!C?7 zE=YKASpxAtY+rf5W{%1K8mI4xv3yA|@a8d5ka1IaIHa{O@h7zW89PKjJqHaCJFNv8YAv7H4>P4DWmv01$I zErNiaf&*4-Fn(G!kO5wbAPp3e_y`BiX@H93lni>&SgwkVwaZbkAGJz#r!4RiX)3_^ z+XABjke5XaP{E~rs8S86T)L3182`#2HW(G0YCqe!!Yf0+!03uycr(sgi1BVu0UfcI z6rOr+kWk)4_3afJ9X?FeUtD^-%Og8uQFZCac*f1VwB|V$q$R$dUECnX zx(%~%c_*~ud&vI*461bSy}iS!{@oKZ%1=yJaOQbA~A&h{$nc7%7*;w zjpCqDh0>}PTA=0%Hk`I|u2XrOP5x0<((W;$VghK4040MQVv}7n!#y<&)+lY>_jd~1 zxovS%V2ya*BINX9f#(l@dDnpo9L zuguf`Jp{xha(Xv~aJknal73fxDolgae}SuXL&8B&QLb78ow2;?%E=ujt8Lw@G!4UB zppH+$N74FEboFN^2qBVK9Q&-rwRjk9nsYDl)RU=WTzUu!4EcdZgmw2s?_Rf6K539P zqsSLm`hNOdK*{ZELncVix_vj7{3q`5ru0 zcyJQPko6PpUS9|yvTWp^7uArjNdD<*P;G_1&bObh{t0?olluIxuWt{ooNP;6 zzhE|z&swW-YouXZs677YbSNU&NQbC%7v!wQ9Idf9xlJ_qz|}qgxhQ3ba3O7r7S(^}LXntH}j6l9mSF zU{eRXlD=F4c2%A$F6}OH z;vCbrGXI23!$8CCt3vps)Z!0jefDkghOws}XYZzaM^jP|jF!qTKRrIg=pf@A@U?80 zzE98XpI-eU%f3Xs{*+75mr24C+}~jn_0$~uXbhnq4<9vUZmWAvtZ`MM8siZjZ)vuy z#}=00duhHk&FJ0_yyAKdn72H{JcWRhbL#|Kokah@z=3j>+98aB1f#35RylxE+j?jHQ>4vN? zVyp(RFywj6ayx8cfVzjsT6thHP(Yl0lmCT_-C{S zQL4&q7;YN*i+DcYwZSD1T5)@{1+)*fitwNMtLQu-?2+Gr9SYOm+ItfRyarha*7WUi zF;?C}*n9E5nqxc!ibH@)e0Tr)La?JY|18)}*y!K@h8MOylg6*J)h#6w!E?$gVa@z- zwTTMUmhS*fo|fgEhOo9krgfF$X(Y(zIpu2zsbx)KP?-sBPp0n9Q+^V#{8bsXl$}nV zdN5MaLpXVPUT5TM)e)d#HeUjNmDRFi)ABtHqeP4i74-1u3Zzt926zzq`vv01@5sk$z7`*?ppl1l8`7kS3xiqNJqYZ>ifFfOsiR| zmDyN>e!#mAr*>6ZH5vHZB$70SB!ziaN+#bM$N{gph?FFa3EAdVO|2)T6Gxp)R3pCU zb+xv_NAG0zm%`|wei+-B2BR>a77sy)7VC+>hcN^(zVc>1V?`etR=v{ij-t?jw$YHK<ZdjI6$`=U@S2z;*qw1h(3OUJPIPphHjoIf1nLRX)E{@$(hgHK{P5uAH^w`+7 z*xorJ`P8?OH8W%*RXqZ}>3xqC`aRO2*-fqGje7|ue$Up1V|E6B5}%$T_(idg8g#XJ zFwn{Zu^}D9@5JK+j(q$e04c*L5o3$ubrTePiC#jQIt{i3aWTaLOEn`U&ySA|YqLau z((#gi4i$OLS470?Wv5r+K@_&jBF%DukVpV52-pZaGw9<(u*IN|4O*mQtX&~dFf+j5 z)YynikqsP+JtK~Vg(pd~6*7a`RLbg+UdYIX%p^9R;`hWYkCN) zL+p?@yL(Fvn9Pgc(SZmd(=HNvS!0PzF-8c}f%+&~1y8TyH4op|>TqhpX-)9~31~JEC_G4$fG@5Ol8U`p@Hw{mdN@H{VdzyXUR38cQS* zySxOo{V{%h$JmG_cEGh{p=r_XZTZHN-h&f-LcEHR^7TGsaUtK1P_goD%VT-+kC;q+ zfX+0`M3lzx4M&*a7leY7oesx6m;sd+=)G=*!IzVq+*OUZ-ziEFZa-$3X~v&LF6WfV zUl{q&+%2X=Yn0m?nR!)FWoiCTo@;o_VKUs*CQUwFiGiY+(-bXOVMoxC(7^u>M4~@hm&_dI?n*4f#n-Aym=~`(wvJ6W)wOE9bd%Q+) zGBo~Ki_|R7f}SirW;rO)k!@QHrY6bUBm5O#e;ngaOx6_UzMw5^I=`WVo&;)Cc_Yzt zd*1h#c@~>K;z_HA{%!>?e~=u0t^O*w6@J32X^dae^~hbKLQcoH_(AQJbE9g-Ec;b& z#Dt17svXLEjgl|bc8dABL=IH({&UJ&d4W7UpSJu>@%p~f+tT3Hn8_pK9f~twgq3W! z@;{%4w#_^0BC0na@SwmhuEEq@gYu{my4AX#-*5)ah1;?lp=>7~p53n7&_y?y;J+6LIt zl1aOpEOGM#_qTmrwz?w}gY!Bm;bT_dmA`E3nUxhS#pq9R%&5XZ5@Gs>F3%X#YO)l* zLPn{aJBP@ah_H32eQ_5h2D34dnztu(8-~ON1eM@y)`Ch^&kxl`X1T5Zp^iuF;(JA-56G77O)Tu)Yw6wtc`^RVT2$r~8dj7v1Qt4_DtUK)>gb`oN7O?=*& zgQ+u&2|YzZCLg0nsa(pz+NE7MG};j=3@>AZVJBdYlGq|QDkB~m`St}9lQ~}oRJyrc z?RfR}d7yLVTS#Q7ASLmJeup-vY?1YIeZ7MfrtZ%XpT0!9sy2G_*wNRfO{`6&1{zj( z=%3W;8+@S#@>fUbM~6?|up%#9pOT(1svKS&JX(}WXQYUvwGO1s^U=_}p72a2TSXsl zPus_%8_Jp?bPdD$xi#=Em5-@bRa9C-DVA5B^3lF3N*|{tmBMl-QH5V3H>%tv)g>q& zg~At##3fL3`Hm+&=X|_kwY-Y7yIADY=gqR3K^cMnSuJfBF>a}LrtDWpNrG;{iL~UE5D;sS9^x$T|d_&wmf$y{-DWok4!b zM9I@sNV?Z7m!`$Be3s!z9!imk<{lcRe5>_juT+?tli>@GhZ5?8N`$_4LpxweF+f}W z7-oBw(JViNB0Vz3m&hw(k@D50hoQfRj;qeT6NqTqf56PoUm8>BKv{kJ!UEdH;9+B^aOXZJQ^?8%Z$gBy$X4vyIt4EA#TV zg4|YL!7JJJ^y!Qf`iEuS<|iH~m%TmB=(uT8#RSvG+=8%t`fEOc@yFq75XK zcUSC;s7cby(*E76IE(spv){`kVNp4ozAtC7ey@KzUtc=a63SKuQf=={ywCby~wNO4@3Ot0Q5ZfAi?`3G@)8@Vc!azVb&y1U5a3wy{m0mrJ`Mvr{c18 zu`pH4$d#y!8Adj5aH#G6tDn|+f!+qD_ZBQ)n-xtm3%we(`suPliLT)9RPQDMOFuel zNaoARWw(#lg2~R$ckjInb!nQ*r_(4!Q;@pU<~}+<^gkGrLKM&9ia)%s-1=X28~8YV zk@4Z_9eb$v=i~Of&X~*bbU>B9W1zWxqs*W(&j8K1x4v1VvQn zP$WdeNYO-(LP?V8oocO+ixh1hRJaw(ejfndbvJ3?$H#M?BrZGWr_Tz+{E5ZJc@>=I zL%9facRZ7=*S_6l~1^p{xuP}L|@U{OfjywXq4pk)cQcUi0CyqIsBwI=FFMiH@ z5fV#}cvi~+aPTQo2%u8yL}h-o6R!hRU`EgPi`q=VG9aFBMa^s%^WXj9G_zTkn2%%_ zkrioDR!;jypI&?{k^DXa3ew570XR@2rSU6Qo)tq(I_`w)t@D1r zx{j@)nFkcQnHgZTQdVwfrl~;qwJw~e(OAWP7L0fyvbiDQtczIn5;M--@VKh^Nton1 zFzl3ps1O(>5qv8SLyC)kXK!d4+>qsjd9$X#!oNTpNpl%ePNBkx;zp)I(LqU@xXdm^ zJ7X8AXwrGz-Ov?hE?stdVl4S|TKZB^%0j5zouHUiG8;PfTIu7*2Xkx}GyXwtpOV@{ zkgn#UZ#IvvX}*(A!QK-##)va7JGjR5FX+nn5j|d~^7)}r(E5;K8K3RK9+0o6VukTbg;)%3bPtCCYY!)~$4S z?~}F(+h#3McB>X66^;;IR4Cag+x_79s;7LWIY=`8T9=wABUE-&0M&P^$C;rrf66}n zb}K4~c+g+bBe0h$Py%DN&xpgM=q31Tyf4?=rcG|J0 z`ZS$=r84sA9ncn(QM_=Xo|&Qnxu&I55PS9GK3rk?e;|bVw72X^)CV7&9UZ}B+eAtc z*y*S(X)Ml4rt<9K*s)0O5n9G#WgzU+A6=0>TKEi&@}~15W^1b%t@U|~8bLXx8vje? zi+6H8h1y;9`G8S-6M;TYNt#b^mlG|9s(0$fYpW#Nkbh#I{-D-}y$KdAd~K*5@Mw4? zG~pM4epbkdA*KB%hV`#{fAF{K$I7IrP{gWn6qgYSg-aC?6vrj6IIkkoZcM7-^Wp#2 zij{1J19{&5$W2V%XNA=Du4Bz1+faNbr}wZ}nMl?^>5~Oi_EYl(LwGr=RLM@(piT7C zfo|ROdAc3~A|Gz62HrC9vWgKB_C996Ng%iywgE$MHoIzysn=+c;|nSNs2T)d8lv=6 z`x=Z$m4ZFv1^UWZ4vM3E`pZ-Lu?v+-gkYHlm5?c;MjuxFCFKu-U!{$^g4yp8-~T|0 zqo8bwI*6=>Pd6HV$2nC-Jm{-QvIE?S z)cu6>70N|5EnQy>KjMlzQ&p(v<>f-13{_!dXpleFIr#^p4lE5V$y(>n!F$fq4+Djp5k?Gz&mI25wvMCbQ)_orx@)aE9jOU-dc-nbtOgg z7hyUX!=z~-B`0F$o5&qg5+nL+l6G;sP~r3J5_k1i^io#ZAZ?~GjZVnzqLVstf`N*2 za8sSvGmM>3FuL+(uH78-p9!cA5amS4rMjt#K6hocSxROtiX{ zb3Dpi*+NbC&fxy`<7|;Wv~V`58*BEk9pB4nrR9x2{ia*9BB~K zaB*7N;vl{MP)5d!b$x}tTxE(6_fKJ2Mk4%Mz-CC4M zgE~$KzJ_<9J7FcH?3mS{bH!?ie_EWLtl2YA`J(Wz$VHj}cW|i{ zIp`kA=9h+5KrZX5NUOACUIabfaBr3j^@%@voy>`0B5;jN8n{$O_jE2{n0BEQR{INH zi3KKG4D{+{OuVgcoi;uW;{DhEDwNN2Q+ddj`I*~zISJn+R3MI(A&g;~n8?`}S?hP9 zt3E40NuvOejG9v%iOU*-Sd3M>u^utBt!kzA@x9|ImQ4Rv8+x@>=%m{9bq1vmbNV5f z(xx=Zvy-&h|Cd1ix$j+7*9cxvrpb|8@U%C0H>k&bVVsNQ3q87!Ds-`eYtGrQ z=`~@NIySmlxOLY$WwA`@HPYwuLkPM(?aFpNS_*ZNJ#7jIps1ce>}o1oM#8 zwk0r~i>@$jU8^Kap}KZD5~xEsOK>z1%8oPEF;%I+V*Bxz^}rK%Wxv)^xKjteqDAli z(+zVcD~UhE=$}nfCsYP$<=r81*OR68I+E^RP%UK;gqfDe=Szsy$HefouM!z&pw9{u zB@dcl8J1N%#mEAlyOsCK2eD8!x)pqZl?BkAA2N*7pQpxfIFB9*w&$Bg$K)2`&$Ba9 ziu22l*ZzA!0J?n{2LD1n1uCfWfRWxr1VFYLf#DJ3x0j;tPb(u!5d_G)qhJO+;VC9r zoVyP(GU7m}kx!pld9b3-?vnAq?JgKDrg%=bXi7i`90;haHZdk?1!usggmA83kCAX= z^>p>Zuv|R$9|v_r%Lu-Qe|P++!N!w<49Pf6fQG63v;FR3IML;)oimS+dxUZ%2Ijs) zUoQ__JWaoI?hnar(R|ioGpN6s1w#ouh>CF+05ELtQ@KRs?Jn+4FZ#0++dFh1&9dGMa2^QV& zifJN~UFxD?ZN4_mvG>PnReu0qy+ksURkX~S!n zC(ZzjSIyMLobAm8=K*Y56}f{AcAu2m)f3xWL!C=7FiV9EV(psl_Mz&m*n`j+D6^3y~G^h^+&YHaqT>DD7BN|cYe{C+@Sagesc20c= zD=Fr%S|B-ZJOu5F16COtVE$ZxhTH z5>_fKOLWQs@IRt}SQ>TtzRIRgjWR8^uj7W}JVPq3V zI;3$Ta-wMWqgIOxuU=+1eZ2))fCj&*#y532$p1t6cNac`G7 zGTSwwTd9P@X@JQ2pP}IzG@s5Mr;RfAREy~hKQn40DZCfki$DqlY({;7x_`|R!?b>w zMrZ^OIKr%I1{++A1giY3*F%682+j=!uBDJ)EkRDZ-YnVwLG3bZ38f z+|%qGs?K3ONi0o%F(ggf{_zPMDwE@1ozx(XzbO#XT%{UTqG#fI(#a|P#k2wgk|VA_ z&EExU$Ze}l;wzAuFZ-CPWJQ$)hN~qepS;qrhp=9f$qYikp%AdA5dSWgrs1*1Lr!~@ z+Mor?LvgvXUI|A8k`%YtQ1!?PMP`d7kE*q-V;LpcwmaE)EnMA69yIzAC3FS7`Jd!M*GV$uJXGNJ>U8`2{2P+y^i}g(=35tS{ zD>Q+`<6u@So9Ukzm|==|_I7$^wu^EfhtvO&(K)b9%yWykDMdc+K$p2Z+DYE=CpW4L zWBH&6Y-4QEP1U`!O@?;Xm%0&FmlsOYvjy7Eq5cUS>B0KAv|B8V4hEFJ6-;+yuC{)` zWS1R6ov_xwfxA9*-2fuCcE{|yKx%9e+#vChWMmIgz4b9x-Cr^m72NLh7{?3$ldggd zPouL$%8fB{q>T&e0Yje+hoi*QajSxjAX}L|G%D2f9~#NlJcd-Ho7bG$(@Ywv2Y6w~ z+tsZla_PGfe@Xu@-Ch^*2VF*_XOQ1ZQ_q1SOWQOI4qV;f`(DV`1Mxyj)$5HB;Y_4L zDNqq~XDn@=Tvedvu*J)me1&F#G@lqXA>OcR0Qs7+7qsgLhX9XRf^}{tW6IHJWhE)C(HyauI zcefmNd9mC7URodV3`zlUj*q4g>+-o2ijmY?-J}uY!O_;Biq`|wV|0@}m%fe=|8nDE z$il0820eskNU_#X7KH;^QJ|>u=TH?bBFk4s5R~ZSMk@|wT9nK3vOftDr7R@N}^m zAum-2Q*0W%LmtqwpVmn(x+!hXSH(m>qZ&m3sJyI6s2;w zNj=j+7AS`LQg`hr`4DFF_*$~o-W`NjLc~gsEC^%wCU-hH_|fNyvDCnC#z6)U+KZHSCfEo(=r+7d$mArpb@Lzmpm2zwi57Ri08MjZ7DNG|?5Ic3yJCJY9h|6UKN9 zb5v>er8AY|c*L#F7{Amnf3sSAww8I%*VW#l+K1YJB<{;iVGrRakisRZ$T+4+jM_3a zrQM4%A+>)>^sU|AQi3u8wOKNo8pkRI9(E3&r2h%Z0R1*6iHc@#77@HwMBf5}4 z1sH99`ASFxHRKvh(2bzg?ezF0)iNscq9k#nB?YGo-e2lUM0U@!=q$A6etCQ9nV6Tr zK978!G3wiun?{CJeWnj@WcU_AK4kZp5T=FFy$*p$dFjE>VTE22cd5dV`qEY8$ufLW zCa{B_(#Spg>+?6Z;7Wv{a|5vKF~1#DpPIqB1Z=d}81nAJZwdaAvyJ0u{)VeJwNszO zki4?yI{DXioQ=3v;+|Z~rH1D1XGFE=TlTrP@~mm9f3jkuY9mwZjfuB(4l{Eh1<`>H zYQFiQU!K-K>0CMd9`y~&yDFhj%8V;?3AdQnV^xen{Sux?i%sG`sf|{Qoi^p}u=VXT z)ON~8@TY5N;f{^44=DYasQzH_!JOT)jzn>6b9v@l>&vgKo_f%w_R<#=e=TBUEN|SJ z?kb>(U5Ny>shdfMMZ^Iyv(6k30Z?bKNW%CK?C*-j3GS*^!RbS_D4mfnLOkMo1Od#)MQ3Mp~I8kEoE! zg(0{6IC*@mFM+C^W|&5Ie3mNS-NfI`~zdo>Mr*j|HfZR=93W4`j%UefnZ*G}_G_{9wf?g0F5jH}su>LRdU@?B7zm!mc z{tDo&a6c$n2q?ka>}lE8Qg1GaM=nN0{zJz$XP!d``hkNAvziqq1wD5_33Cf2>kCMu&kAQAeCS1*HmPMc4JQiozq9Qg z?Ck1`U96!usuBn~8#|NvRheiJ+uV#aDQ@uqfNYZHs{;j;n1@j!&gMqFU%jn9H&Dzy zFa}kVvNnH=sBE^El9HP+@vE}b`q7Z?#hy(aNv`=;C`5|c#fmD>>y%qk?Ivc@zkyyJ zK^u2&40vlKOkc|?9CQ1vvg;#A4KxPs*aVnlT8DP%O_T+7fCIXmNIzaYFq)L4_z6}Q zpLa(Zbo=6$yy~kRDw`YDHv40nKeLOKSAb38=Nua6#@`eJHPNOise2kI7S`aM-v!O8 zWXd@A1!6{{@0_syvQVoWL2D@%6jd^@$FG)*p&LBbWO>DUyN)$k`T+n`V|i@a!M~6h zfjVI#9nRZn9WxKvH{>=UcQkJk9s4fWba`Aldg zYz%T%y~maWTx)9=&1GWbH15`ep{394EO;dL!pml3CiOI?<#}Rk(0!Aq+yDUgm|Y)! zu^Z)d7&wnni%TT024qMz^N@*y5FZi(lXbUFTAS^(Bn*{Rk1+44l}CQ56B6QSy7ZeV~Y&tnLpT6HC*i~lg92=W+N+^@_^ehooA9-~Es1`x ztfGV|8dEU8@{R3=>YxHVMqFCyZH)6yfrhOi@BVDU6obVSi|eIh1pMO z$fEtSU8&9${$x|$4hi_tG4x_Mrb)ImkV^<@uM5AwcRDlY^TOlN6V%Rl_B3IJUtZf1 zfh9;Ay)aSy`t6Xn8jGOAv4e43NeC*)&~6K#(zMhWt4T@NPh#*M*9je3gBN^t>=9h% znTp*-;S4mVToLy1KG-Px=dJdl$Ldi!0FcXY&5M>2uhL_E|5GapvA_e>$F0xeydo85 zwjr>oyS1$;&PYXd+Z09QGqD^h%}2f?ZZoVc&gp?tjI;)oaVh$k_6eksl7|hg$hXES zx;K-g{UQLHwJhv(;FAgV#?au9cz4!_BH8aIqtE%uV5dR{n-p3myePyYZ~*&?T|_@A zGgPEK5)U`32Ekeh?<&lm9p^w!y07WAaDQ-L_6pWU6rLU|6%^Zz5v0E{n*d{g3H`dp ziM-+JzEXKAw+ZX)#X4@fl1hOw)<*hMpV;W{YQ~2=Hbk6LNRxk9Ae`?&{LHWho7Dda zvIxsKjwX37BIC=Imdo?63WtyDr^w#kBgb)dhYI2tZ)?xtP7iOjt6`f3N~&e*)EehD zm!yl$xah%}XZ4(C?`McR&NCn=V7&9N>;HapNk)vYp#C)OyvrNVrgZtJv8wtT0GI;d zb#lVdgsa1}gQZ~-FHux4kW<1auVRIJG-i$+>19Q;bCdrcK@_92vbi^hvo|(Wum^MO zYH80|UCECN@QiHj|JOQ@qH(ZiFhD%zuJ@ z=Onl~Yg2rqHRSf%iVU4&=M?%)1=^QVqtaW_o%1~Oc2ZA@-z_c2f6jF1PvH}@kDZAl zD~1SioUO60UAunoiJoY3E#8@1aNp~iclLs~2M2L)ap}&o$oPxV1=5a|6HkLOF4_{HxsR`)E-WRN`y4MafpMa$ zC8tX&2B$so(*zN%pFvNFKWehV^v-&*xBJxMrGFYSARqO zH3`r%gXI;jze>NG5EM`O%5V3WBfHQh?+Dm7riy9Qd-%)ZyV8q1zVvf`ujDgU z1UgTx(qU!<^Jo~GD0sYEe0k7&KeINPSZK6?0RV1;;lK}3Xvznvk_nP6ZD4Au_Z~C$ z9bCt{O${JDz#jxbmV>h4zB8bqn-L>5&fv_I#9Tf?-+%a~&2=r;#4MN2e$;Wp=E`Oo z|CTzkfcD$_UUft>@xGb~4FKR02>x^%mOSrI2a(NNR6OU*I!ZP-HO4W0UOiNJMS8ix zHZ#nl+{B0@f+qzurlO;=i~59{t$aDvgn^&Bo@yzAyDDL>`j8dt`DAWnq+RAa@^I}L zS3AYzqLg7?)XPf?0|tme!+??p*`@S%x(C}YV(oHf?+nuGvBI_p@7>I@e@~?UP`n}{ z65?Q#&7@IX@G-o7Gzq`F8=h^lWX|=>)niy3%KXz($2o2JH;_sK;K0q1Mvh}Y@ZK-! z5k44jbWL~ugR{j*{ka7L$|i>j*KdU(*;_k=c;_<8BKWbx^%K)Lrw z5$0JyQmwo%#L^cSz&B5jC2*^$?kwtcct4r(!?)R0@V~t-zS`BE2t`wu3v&PlJ`1TK z^_nx1>>6ZC;!B^<<$=r?l~;=zGTSQ4(Z}3^RtrvdThrR?sA3d0Xo}JO>lztmVGljv zAavPL>U&DK1SlftKxP}dKd?Z8_tgq~Y2Ea@U)FoIyc^6(_p|ue51o|#ki@sRM~4NS z)cO`GK*$?Mb^}m3up!M9oREKRG&1mib@MulbHjWOGVR-la6?p%Q zXhQp5{KXlBX;WW|49|thk@UlB{Udm~Xk%7mO|`>o0N@9df&t)6UE}F@w_eUWOjc@YHAA;!_1;#o_*))Z{oXI{%`PTBuK3^6oLa!C80*S9wW94%sEx*Fe!|ZHNRI>nm?MKw z7?Ygbr`LQx{-S^Oevf2(`E8?MdcI4WrF_I!6-md?`dE`;XN(*#7*K9+z#7IC$ekB* z(Ke#qSw!|hW-J2JpxfD0ZcVcSE2JAYZKCo0m5;*E{>$QpvBL3xX;D{01Du8$M}pd; zm>YeQo;o>vUmdKwBE4T%WLS`T4E1Xa9M<>1l7fmNmYjHng?VZ!NCt`P>#0}|55a_kgT_Vk;Yw*5U4B8p!w`s$aK|BRZH zYJOFs1zlY5aKnY&1NhgC##RxZ9d%D2Zx2E?MEI&ICcuDU6#$CNPRN14hZzr2?7M!5U!hRM0%4I$pSm_3eAfG{wpx( zt+b+1Y|<+5L#eI&Bq)YvT!ZXoaTk83-TESHQJB3RJDQ?OQzRI^Krrmy!IU|f>F505 zz(>|QcrYe}VxsYAwe0aQl=#C#^ih}lnrl&)I0x0L^yNai$d#Kb`g8W z(?Abf*)qR$Z$qV1ZSz!av9T>y5-;wv*k571AEdJQ#LfNgouqK>eWqN``?IY1I<&0V zy~gPVpn?!!=-{{%2cu~A9JcgeNjm~^Qa(S!f&?i52@+T8Pynme*k1B$PZ|7Nl^P3XqXg<6!9?LIUi!Hq) zmV&)gPt|4s;1c0q6CkTOIWpQf`b?HxhNC33WHs83Xo*0Jct&60|JTHZCP-KM-8zZJ z5V(iio6r(bsUi*!s$>p|@>!C(Ots}o9qV$%)j-D`?#fqz@`=gY{E9|=T>fCv?6QdJ zr>1OZueM101E}5-*7?b-uQ|1n^x~_&y=Njx^e zodcTN0d%+$zxAs>LFMx$sgPQSp+r|N>lh(s6LXIG zL`dg(*c%Z5;H??Fr13_UdSU}COzfM!Gx5TdlYI)o-y6m7cacP3~irv{AN}5mp zZS0(%=)~2SIOXTzqkCsink1_^apv@H`cGXC@|~p zi6r(^A~3@C67KsJI6%Nl8Tb7h)vl{u^Ku8>Xu2fN=~s@&t2DdfiV(+$B#E(p^S`?> z*<3Q#S&}?)Ivh_$6JCd|I923}r7+QInIi-mdbXhfr@I3wbl~*#)soA*qaKT_I7r|If3&(#J$YTALjlc-3vN5C@&z z;9_-mDi+cQ+h*~^Pn8NyB<}|$&HQUElOsU19yx)4Z3m)#-z6>L{U9kNj-pMnyHW>Y z6MQ!MD*V;%Gm$+QZ;)TiY`frCyHpd3f<~8+zJ|`@KCA-{~@@1Ujy-&aY=M zTcY(Bvm2MqGv^B^OHX4lCTENeFN$+3<}M6`cuu(2Am}&l<`JJGp4W38Ac4w}=c7(p;wVthbsyA6h_s|adQx|A$ z{K^sA2przFQfxO0uhDj|tVx%*@m_S*-^i9Cpho`8j20U|nplV%DIuClDAQw~qFyM# zkIzFNzFNSSAG_@}?dles5O2niBPvG*E&7bl_Xg z7ecb>6-R|h;bS>J1LdG~<*-Ik$D-d6ZS-|KFmWBVN z$WXn;tpTS;ylVrx;s3_k5J_r0eZrIBjQl+^K@n&8rD7N6;k?|q%GqsPg`^b`u%!+n zg-uj+^CGM%4vkbhjI-ch>$ur+4ot^Fy|1@(sKS@QsxPgWV7Ze7y_}roU(1!LTO^Y$ zyPM*9&5#w|HcrH~_@rI-bHf$pKHdc6^0M)sEX88MIH|pZQ$FWjp`G_!c95AQ>#Ve< zYbc^UPn7S_`@Cv-uR0Q}yK0o}n>U@U*-N&475cNxzRve<&7JK_dRfjI;6HwFY&@&NW@jK}$ja zfQQ`f(W!D4Tx*D)7bYdYYZrN+!HL`%XE`hr8AK3 ztXm>{5}+$mk@)!CkW*6?9eo`Y;)=r1;MaDK;5`C557NMjr-Ze{Q&9>Sc0(mC;N?}d zmLf6O@KDnkvcyE|c4LqBEAD^PTEg7hfD01Ta z+@D`%3yKAI>N$R>c8-SBQk#D1OqY<3tZ=SlLl`OJ?K2(cDqF(()o)DYH4Q3^*&^(? zsVKAZ+okMA*%H>*oJq?9$KbE1K-D`mE^Gk~qfbfmPGBQtxXyfX`N)yk=wq1o{b||N z!x%)KjGa+qli?dG1O(#zQ^7FlY=5B8-ZA)00Dz_Z)5t46-Qp(x+~A=uBKzW=KOUf! zk^=-ZTmmV@U+TLJae#?6K4+0r^#@RR!^AXrE)K%?;K_P$wft!JU^&bHfOY&we^Y|y z&>yoJgC30}2-nG|F)B2(ZdR#9S`8|jPa~2jAlK!u!D2>*%2H0~%2#FXyFt5Ka}g1y zLlRdA$|f6!aNl2-CtbJ}p4O`E@=2?mX=6dzdJB{MW1|-uhJU;NBs3+B%b~8w+3wp5 zUbf~#)~e+vkn(oVPYZ%3Q-^J8K}9wX<4(+Kj!RB(6UfF#bKrb=B_;qsDQlqY;re8! zLH5owYHF8zXlbYKA+C;*3yqpv(S>=$@7UR>2`U+VYqkuPA(j$A$w-RaoP1KpUoH<$ zQ)vq??ejm-HzDimxqqY_&LcV5{sg7 zzIBCMzn!EzojNu9?|M2I>m$ECtxKo0DZ4>amv?z_6mhZP^>)O^*3!qGo-0EB$6C1+ zARgeJ^rARyFZF(X4)kMsCWDfU`s{!feg*QJh;ZEJq&a2+y}+}BF3v$aU&FGL=u0@ zHXH8qOXHw;JOHGtp%(hW<*Ka~005u`Dqf}Fl8W*XRbI>ogxxrto`k7LX-tQ@SBIj# zc#OuV{J{mHesLk|4EUxJ8O#__+BadIqNp)EjCeY@6V{E{{CI)@^)u=nq$i+T!KPCCCq z5dvsWNe^PFp*;ydfl6xtK#AaaRH9Ed`cqJShZ_8K(@+yZnEiZqPBKOQp44BZ>iP@@ z=PP+z3TS{59RM51tXNMfO~+YB$5Yxh3C9rjQ)^rfxc(n|Zy8Y4*0q6dKt$aV2Ad8= zKw6|l8bKu_q)X}Ebf=_%gp_oHv~-7bceiwR*S-_=c+Pph_kQ=!{rUL=+3dCE9CO4o zo-yVMAC0&RWnwC$1kZfCNK*|*-X`>A*K3byz$x+9ZR@8w&5zxf6nsyfRWc|-JK#V1 z4$z75@2acrQkP+@;`2*&ZEGa9r zHaV2Ptu(dW%+-C5?V;#h#x$*5*ybEAUDp9qGa-G1`I$i}T=C6|1u zzf6{5mp;%&x@Gh9)xu716NW)a)~$rBkZZqP#=ea@BH4#9Z&o6+#nd9tzMc3dy#3P3 zu*iBsqCynenU!rEkUv|9s&$i+OOHD>F+Y z^>29lxfTHB&lY=<$rAS(v7kJ#z9HmOpt|u(-6&UmA{tas@Piov!}!xKyi(Y6PSB!B$hr@TP89Ib#_H=6 z7k&jQ?^`$k#Ub1467L}%`BGTe^tZvsnbCwg$StiDQcyC2kF^B?9!U3rPCzFMe00(A z0xdPwpMpUk_oXF&`YFU^^_Rm!m{EVq1L2ike|r@qAU27s9zXl}+yD4s%Gy>U*YQd=(pIOu#r9?{rT(j=L|x6h89|83_^zQEwlu+G~k+A45C`b zIu^R5j87QZxw+AA{dK-gbd|ga{66FD>HucMgJz=?bko_&xA-2I7hQ69E9|x!FBqOFOr+KDU#?{sapx54ls+{% ze6>DR+-dOapxnr*W9UOq3}@d%SDlW`lpl%V@^1HDf9O-U-ZMqD(|aeu_e`Z=uY1(L0EIf?wB%@nR!6dnD?9eDD!|tsz=C z^Akn>EUyqoN73LDcK6dYVADRiOg3M0`_+yM5M)L+?X1l_tIV)N# zDaWjyS#J{kYgQ|-iKL|rrgptW#8CQv4TI*V_;`!xrzT_9oYYG;^}R<&^EP?8e;@U` ze0EA+eO-95ZCuxpVvh(8xZW0+ugtBlT^DEFe+U50mXUhQzE(D>sy0#Jm-K zL6)sFGuww`oQit=2$f>1Gm|#>8sn|x;(#|;OWvk|_)87XUuL-pvFj(raHKXg-^4f< zB=l}}|E}_wJjb6vCDgG83EqA&;Wv_#pN)6IH#++#)W_5YxfV!GSkljZr9==jOu$L^0Eg6{;TrIp6X zjc-7EhAhhaG`~eGGjTJ{sNdJ_<{;O+_edN*dyP!|CSfbOgat|mL9g#SaNYOAkqLYr z)B@QL3?E`<@Uxdx%Wylx9hsw)6FrwxUt?}Ew_Rg67)ZnPvZBuXra~B%;X+?wV=GYh zP~d@10D2Kl+V|9x1d~=_2h-0qtdUJsPt9X%Me$EWN8`@YNNg9a(|yNzutu#6a91Lo z4v>P+CKgo|tTBb-3f~MrC@d>-Ji0;c^71qFy1vMU}H+`K8uS!YC_;Gz0c*3 z^+F(F8RJGBUF;F$lm7;bzh%?=`d-1huU6G_<6I`5E~2EX*ae%mm;@CU9ddV+&GthF8V_ zpG@Fa_(ZDx-q2j@e}gPm4yLE9{|2q~$(s&u7pf3D{nZ(VK0``hhg`gIG;yxoyNhMQ z_f@uG2KDW^+JrIP+b}5{LDUzh88b3Dm-k?IP_rLD;Jc5S@i5Bg{;LmP?`Nr#Ub{1T z^8szQBZaVMYs5y!=;CvxxFed`j)p zPvPpnmv8>>%b!Z9oq00mAu^;p_~t$zEECryjXXT z_HO&8BsYAXee>MjFl7XBWRrOl!b?pGh4{;J=QMLD(uITjrLdjR;U^;-DkvqFO*V1m z@y03~7t09(o+}8+T-6y2n{ykJHlLlEGownaBE5l^zO%s#Bpz~>y(ddvCFfPCM2J=L zDn8%SgM|HV=(Au`zc+cb9A z`jgF6?_#TLrIMjEIk*FpgeN(`R#7;VxLhRvGFMZ{9-OT#Lx{qOYbxX>0B%o)Zib#UJlWA4c}L4g{r_ETTDuP z4(sgO!|2@ll@JfVWjX%%q#t&$<$S8NtzTiaakqS2)uQ*sj}7OM0s-;!H8m8qdo5jE z{^RfamkoyVG=w?G&jNxMYM3q^WK8VFi;djqz*&(Jo%yCEQ3%{hMHwco^_K6R+HnY* zEV;{OPJt=;k@6GsQ8VLS{)^S%B+nRm<07o-CVKX#{SJ}E9+CK6$b~$>AK=v^={n`9JbtFke~lyQq{B( zn1_sFp%rd5Vz@0;&jtfqWgYss{|pfBa^?;0p< zE?|)?dT2}u&=-;qdDmPL#R_EaIopKB*i;_l?R5Gk&a&)Wtf{ZH9-(e-Nv~hE*1`K^#rCnMH0&xQ<#8E$X?jJuPFCY{*(uJ=ilcc-nG8(XLE=_@P8#a{sQpa!$^Jym507JPw9eEF9!%$bI&Q1H zaWanxB6B4szI}3A^fI=qyw;n+Vwj8md=kElJ#D5;#NvOk*Hr^&69 zQq5sn#%5wsYDcb+70;q7c(Kfja6O_CVwlFOJmnz?ZHGydRB#IM0CQXi<`CBEtRhS6 zWe}jWa(0r5Q&5|fEnFxRL5_PdTEei6gupIn7REI+7#rc_Tr)2+2*=*>2Nxm)_i$>5 zL%VOQ4P|?1r4?3)Pn8>uvpU*sTiOKgZ{a+zv6{|YP;cXDWNHkBZ{8Q?#}_>3=j%ya z!4y`mFhxwhfMZ3XBGc@yWg^Q3X@7^gsT}>FXpyew>FtyveH>3M)#$*7xii@ z1hbJ!NGFXao^R`BJLN~RGAe+M^D4;eta_1`G1E7uIbzsNKUAidmn*7bocmQWR44e<&YsRi7m(yF`aJxmw6Yn zKDFWUTZU&$58Cvn&Gz3^MGkAaY7CAKwLqQmkMiG7)l}7}iaT$Gn(ppKr>M2H_iyE1 zzmZ3O>4$9RWo{q&xhRIxb8Q`}^6&s$cIJ(-9tT6U^r+(!d1b3eCW!(&?mwmMhlHrrHRe5;o6>i;mdQzTDfrUg|fxH ze}W)!pMIOi6i8}ZvtBt9LKZb0CQWS9WTOtQJ(0pxfMkf+!2-*94*l1c9K`JBFmcXH z-;GSYIO-tjORVDV;97%?&UE>^Vs?iU`775(P;ObfhF>7YPL{AeoF@vl8K7GR*4knu zP*%bl#fZs!Q1o^?bCW$^XME|SEbd-Wl5(8M@y&k93a8_ji_;{AXPXz9Y(DScHCQevL`uM zCWSgE#zy75w2>~%1>sZ9A6p~iqW2;Uc}NA$b~YZ+5Z6?urUmmXzm?h^@I@S~)u#Bc zoJxf~pWG-&%RSe0SZX92zmIVe0EfM~O!u>Lo6lyL?vpUydqZh~DB&FsL(?z>{89=P zC}vLp7AQnFnRB7&sguR}7uobB_qX8B=^`zRFQ(h0{E2Ej?F~w3n!w4;+DMg`x1D zJJ#GV%CUZG>n2aQSG1zr-ghD5J;;9_&@JrQg2+sg;kWrL>hR{qI<7p}Q4v<0r^PYm z1KFE9lASjz!-!$nl8evYnrH53V{Hj5#uQOL3o5>mM-de1#`V1BvSqp$H_e%>;XHCn zW<7Hy?X7pW!DZ;A)58WYnWo4EDNUXicdC7mAiQl4p}aRq9QP#^6ciewCR&g~Lqp>n z4@dop{Gi}#YBKOO06q*Smn6rtL+dHqC4hjtlh)HWz4B|DJ`q5n(A=8K({jtT>o{-U zzMU660O_+@5a!}6c$Q@&C>F(9HRsPc58+NIgFrt!c7sZQ4qpL6-O}D(akg2p)ERYj zanuyIQ(|j^ubrEbG4}1fo3cc%YSru4uM;~#tq_QO71sq|EG}u-#sLm!`}$FV{r@eOLM|;;EhH>sDX>@ z#UM(?9zsbwO$|{XE`={(ypeMwphK$XOB?9P+^C=wgR4}(umOtZ8EN47{8_41W8bG# zoi8lW?0rRuqrq#TK8>elWzmj_(ccID9uF8?a=5CU-dxis@q@*k-abH{6r9&t^OMWW zmxL->Pa=VfzvYFw3=|m*<1uNo4@NZ$i&$A57V7n@m$ZU^-Q58;_nKKjU9F^vQ3Qf_H5F{@Gde`vb$h94%j0E!XJc6I?#?M7_34KKroov+v?Os0vO>2{Lc~|lIQape;P4*%EVOv=2g>QE4%u0 zVLuw~n0wskKQaiu)^z>w;X`SAXLomZOUo0{d7$~Noe}8qH3bMb!(~DGHn5k=k7O8q;CWB5TuqW+=q}N6Wb%jkpj6SV2`P&K8}0RLP*OB zU*6Tiuec?R*fO=FczhC>pSGYI`aUB8k z*S$F8>RiZXg7b{0FKFxNG;=k&WEt(wxAtbBLNMKV)*GBiUoYd0iqDN)jhm2p^MJ7A zW%p38xJKzx{~I1LtDBenFc%Xhs106|SgbKE4-b!G5o87lahtsr*$RI=%Uvffc_dGx z*$RD6Ez~|&AruEDKgz*grA+e@5_lR7a-CH?S&n&mhN3H ze!^p-INwLQ?sgr0b+W>`P#>ulS0Vwe%jEOEdi83e(c`C` zT$<0BW*$LD^b8B`#yWnU9W%()Y9|2VN|9d$Mzf0YG1c||Ib0z=$k?s)4Z^@q>7P;e z8ylif9<7PQ`N>GOuHcQ-vckK1PxQYI3`OmTHa0vv+(?j9p}z&u-|2P< zrhS)|*ZJD!aAzt(z-yoO34E$jb9k0a6X^z9LGAWQtPs3x`O1ZihH}+N>;@MSA@Q(( zXqjZI>Tt}!4pzEZzV=zgHvmXJ(Sb}1x(5Oi(zoa5=S5?lvDk!a5d6nN6U)mZ>EHm* zUMA26Mn!R&%+!t;X{zFKS{ZIr0~8B5(W!j}`_@0AP5%7s5maf)g%%=dkLV(D`2N9O ziPml=5fI20XE$f4aG}##?BecFL`j@cHCw>K!a^h0q`Z@pleoC}|2C99#h0y44YTOD zprAV?Vrk+rALM}kY-oF%Wf%yWi77edUmXt)C<$|Z`axV=$ya!$y?#b32XjY zMOS`JBw*LiZe_32F21bK&(%!VS72G#kGuK)r_Jl~UEHc{J33aH{)-DKjO<CKbm}j4G86VEBLuOe2;U96Dzm}%I zHT`3cjeR5v?Y1xHT2{daOJeFUP%C zM>w6eMpCiGJZQiWwlfcVZ>sGi`&O~kB*9QtRu+I`t;T;5{L6UFSo-jDgco6&ow-DV z*Jdb zKN6#X2Mas_ZN&)EVxw_c1%(y<2*~!mzZDTAlmBf29Ykx<(H4#MW%10W(4H5YiV57; zdJA3z%B&;ea+{ST1)8{J_JwNJ`~@DJN#klZOm_x=9Mw!}ZiZ!g{i(5B_WJMh3kpEj zsL2m6I4(|2N-E!SO*YK{EztjOp@n3BTWDaa=7+$n$)f!p@9}ITs}VIN_kNGSkTwpB z+Ot46XFMcLtUyy<-a!IS7b_`KwaTt_DhE^ttE>7)P|O=V>=o8q+-CDH zIUJ8RCt=zF3HkX_7-!*hN)*zy@qBKYqAegZHC*FhWMd2e0wKl!FG};@R14lBVt?$Q zFj;OH#i${)HC6S7W8pruKq(3H40`L9<}F-ylX%fl>Z~#wHo{rFFh>K*~(n?0F9#pIf$T zTt^eZJ?pB9`I@c3oSAUunA@nYvd~=6{=0BXT`@HFG_U8UlJiQ|$BhyOP zpD2`Xu;bgCB+NPfpg9&~uDX>A8vF4Aod7qYCKcs|j|n6-;RnmPkIuL2F=Mf{o4>oE z5iskLLh0$~#H{Ebcg>f39@s89&VHnv*RmB>&DVUDPqRzbgnb7c_sPt6G?EaUF%T=s zuYxth=b-*1)P(j|_=aoF4)sw+}>-emOUubO_eXy^j zR(RUdUa|32GHE~4a&^FT;ojYsDkx|e1DLU4bV{lw-%(pR!!G#AprxW@(At%Ywc~Ny zyce|9z(1id+8c3B$J;-Mx$IgLz@Y5#spltI8&0mp%cd^YXb|#cK3-=PIsNHsuI||0 zZ(0veXMGvw!|-^VDxHcH3ba4rv%J=S@v0cSvA2wGz6Rs4HBSfRvTr5MRSrcBck&mL zgacMJ3{`}Lgk)uhfRh7O0E8+Ap{l0k4iatKLrg;Uz;%8|Ln4}M5Sj3*9O#;6?+oA( z5l}{UbDxPCM>iAOi`I+*dcW7r!&631F8Tg_!s0&WXOne2T}`CN)y`xv9^(mi4tIY} zxUKC8sKxiyF2hYp&%+T5Asro}7mw=5$;n%veKY|?=9rpsM#q!1Ctpj1u8$J?PV)Yq z|M-4frOke?@ImHNj4QlP$0qL#d>}BN8i;r{Liy9={5ux}dB>m}P*J|%e z5z)GZ%cSk+cM}f}&+`i@<>KPv^*0aKtwaMwqMvH{Wcothi8YX#0QJtxE||aO*|M1d zRRRUZOVIjO?8M_BS`XIf2I)NE`0|tdIpASm_x~3k@uFU7(B$k)#iPrnSPf0-l z!T%|-(Ri_Kjnq@JkdTmTJf@EX_>L+4=PfSo3Fq&u4rbn4Rh!FdaOqyIxsK=&z+92L ziM&X4Q8|YvK&U&ErBHs6AL#TM<0RM3(QF+L5SshbHFtc6)zbH>zj$jhn$6c3jy{b* z2E~--aYHZA82=j&tojft>GJ|9h(9qG_15Qr=eyocMKYPP*O2yXn3-M$plCGt_2w3X zA_t&&D_yI`AAfH=f)~y%>}xs>4p)LSWVJb=z;r=&GhfTpG_U;ylJ^#1v5GBlo?^Xz zPtriFlsN0>sm(|i>y}d5+Nrl=!B`@iNF|`=0a7wvQOl3bjq*&+mkz;d=v^%>Hs?Fd zT#s+^8lIlno#K4h-$EziFl#7yVP8Nhm(rwYqdk}*W9BRNNtxdxv=bFaO(9vVyhm})$UcL z?;=mngQ-%}(_;|Bx2ld*PYrqVUB*Gq^Vyo#ZCvA7oHJ##ve=v`gHKoIjfaGVQCAhX zgxuL!>1%&ML&q{yrvV`N)vRkc?VlC(`V2dFG|#E8_q^dEsSvZZE$icizQof+ijvZx zs}zQ9zxM)tAYKp+8k(-_>xKcod9QN7Zj#b$@eaZ|(KSRE_KgaRY_Ew#V)Yetk=$p` z*c5j_V66fr_D6E8(2(QJKm_4^EP>c@|_^Kqj()^DU(!)Ef_H>Gb*mbD|w%mxvJm$1o zX9tCjN`)232dLqX8M>o6_L>Ob1LONE{gj&wch7ENYJsMk!$<;Xz|l(@_@>Kb^ZCt& za!!8n3Fvc)ql!dr@+Zm+*p|zZzoXI1*B;KWHIN=0i%-bVME*QPLEyLbN*{H>IE$sc zi}OHNGp$EoY$oyJ-mCc_qjQCn8)UE0mc7Nv+z1y>h!SFVgTn{QUG@bgK=jh z%M@*|0wZgPSsLyW>1;)VYsne9Z^Erw7%OG>upz;|{<`PKJNe%MJBrC@yOMgY$#u#6 zr++G|Q$Tf%gbg}3hr38J1`)wwjE}diH+eTooCj7X(+A65^yeI<4uCdERW#@U*cAxs zfDJ~Q?wtn_#MkCuPMs$vDU_L|7%~Cu6~1MD^Pk#e3`SKyGMVVLQ)hQL{)RbykC|aJ zm7am&C<`|VY^hR56Md#rt5!ZFYu>&|_v|_s#_`D{5^-DBgTI_uod;_33TD0-p+iw| z%XijzwXaXA+XuW|1M;=*`-9QTi!&`s0ngj7EPC@+OlwP~iDv%k8*N&y(-)i8tvPjx zBTD&vZr7#L#1DQ?O-T58Fjq~DTS`IRLiyAhjTU?1*)(hs=;UXF0mp1ZzG7)C2D15? z-yR=^t@ow0`!ZB712u#7%doRN>aWSuGU}xuz$AXo{ynj>Rv-fO?fyQSOvvwezyD3J zipPt*l6muPuHhtM%)f+Be-IJv2-4yZ%*gXHbpD#}?gyUCU7o+)TFaGf%0>fmdGSkn zv#Cm3wh0qAoyHN(r%-@jwi35pa0&4~D-e8DvLX2%2S=|({&RL-$%s$V!;iUj2HSZI zHRpZjn`={5XNfO-D>)1y?tRg?pILN!YU>!BDar+>t63mWLXPc$+2hF6?o_5%fNGFU z!8l=NCpImZ-fd7}kFT)Vcr2b$8D;&C_9my>%Ul-AJ*B2|fcFqQ`&P4>k=YTFQ4+y! zstyz;paUPuir}CAe7&yBRL+t+$LpI~akMq~2DX_0M8wq8G|~LK7eD6nk^rMPlS<;6 z?00>7nn>0SQ|d7+8~9>t3VXRuKkUTX2MgDjH;y8$ic(e5^%M-v&1Z?DxjF27eSA)j zwn$Fj*{`OjOhQdK0<0YKTN!%cdWuK)q;t~C z96~YO%HR>L$N<%MQol${JM49WEd<9djwN^hzVQ+`kJI@vAWA~fjh9LLK*xZ>z{(q* zS+4rwv-l`O5F#bCPe9&mXQ-K|^Mi$5`GlI)s1_^?wdQX>r+_wm;fFox7KOC3FM z8VqHnXJr+tbpVvI-(OA`q)4MxtsLoC@8W*#wS>G%y)6nv^oCa zMc1MG{v(OQHc~fLx-0EWJ%)@h%;cs*Et4tR0L!rQ73^KhCFXWGZl#PnKV8dR>WWk{i2&(uiJNOM3Fp|NrREqxICScm0&HM zoiS|iSP#5xx}c?y0p7nb(4BV18{oC!SRs`a0h7YJ`IaCw48kw$rit&LOysK7q)Vq! zoZgEu3)>zZC&H7n-+NpIvZ!Q|&|tcBvjmSCmylVCDy%0&-DxsN5MQCznJ7d2${!D5 zQ0EyI(H}tyV^#JgKhiTy=bF-DtRkIG^4sa{4+iCEsHx`{>gwv`RBcnhh@klyu59-4 zoQ;yQ`3anl?>i`r)Up_X8OVhFzvK!!OHMwqF$Ru07gztLEyqY*O2~LY}s|`FmL0Y|L|N1w&=4@v@`xAO0?(I+FxetIW_JYjpl2C zuCtocNeW^8ngZ{2*PHh!T6St;QSA=bN5OS8fG966EO4oj@$eu3f&}U%W@PAtcm_>s z5sL)rR8iBFMg(rhx`iC3-)Mo(-k`=KUqwv2-dOt1HBh)LH{;OJjy*rY${1RF!F-7M zd?E0P+5BZ#P_J|vibfDs+V1dpChNFLI2_;-ORw>DUj`Zi@(L1PQGxEBc31Rhsj0@5 z%m2xBubx9Sbrmmg(XqrP(2LBMx^#_H?N(B{VmQ4pt%DCfDXMAx9L<=9o_a1od)l72;h}@YBf&(^5wgf(18ju&ZV3lDkb+D7nk}=H%YAQc2TTf zi?C2McVlB?CrE>)I_z{7F_10|is?1QPueG}PT^;EkdxS?PW#vnK+z4Y8hsbla*Z+@CPKcTv@R$ zqy_mpP>BCyYU;iK65+1>A4tNUnr5m{H4<@)j}$zVD~u#z$=CiMr|A?emX|7+t_7P_XOO@4 z0XZWx^FV`Q)Z@!SOQ0d3FGH&HZ@yjte!V)JOHvb4a(`^Nn*XnOd2|R{yIeODTg&0u zsWR0e+i67D$;z+3TAtZA$HH0#*7VFJRs%)>b(NTv!$F%d}sRNhu$KbFL7I2>OdOG1fGS`>Bq%A{2GU@)_m4>m3Z(8BfpF3$#1$QWl?s zE3`nf$9XSmdVQ>jW<+%A(Hw7I2J-`%0vB-}JaMM)_7zEvSw>g1{d2$;OySJE(dUYIHvyNWdOE2+1@i zK`(@`^dJkq)J5__Ma>+Me0Iy6YqZ=l(>|M3uTQh%3!{2Md^|5Aq{%eo(AV7Z9oR&Y ziJIQ@oBgzp|IXftMXB>YO9juXzO^B<-O zPkqf)r=1F%PsuM#7byXgfgrdq1#$P5W4t;@1-boyzXg!Mp3(bvTl6{>cPzEy(&!TG8dCS zSnnWct{Ad#?CmXf(kL}@@hd(^{K9E%JfI4x`X&t(1x2I04RE*UL6D-Avz}SArf!r! z1iOana6qiBHz)8KGO?a$Jcg3!BB7%<5$Pg5oM0;6bw-R`E=%1p8HhPr%$kHn>QI=q zl81W><|q_+Qff_=307+~W9S?##W@ev_|37uZZ};?2?tS@DQS$VAB^;W-7ZA5>MpF49Zwyi3k3(LZ`@^AU$wOV_&`nNo zY3BX4h|dS>qtb*$hNJl;PRHNp9sp~D;vH5X ziXyLon<<*2i+ADC4WjlDQ@>D0J<^Ciwfp>CGIxEab6kH-t8Uwyvpe1hbEFowdp(q` z#Ae!3=K*!IMyI{@_Xvvd?!IXG=BIf~6?ux7`F>sGTRP7hx;E?SZSE`ba`Yf8z8AXB zOSe$SJGP)36!n=4w7U~8KA^hNpRER8!{YuEx;DMYeE5(WUZ}1Io+P9wBx(;QH&)*G zES4c|FwN{yswTKH=DUYWF0rdEI7au$CNC5Vi7uYtuNhipOvg3m8dire?Fh%J*GOoZ zryjyi{V&;{p<&i;;##GBRJxc|-I-Ge`K@Tq;mpetJ<*$Intj;?>`*9goV)jB6c_>g@vSLDxmfenNIDKFmj^cY%b* z=V)l|@#I7GB?w8A=fH^V1o_w7SlD}z2Y_0B#O8e>NAJ306k9%vTkW8^BHeV^DCdW7DuXQ?YGB3n z{P35ST}ZJmP^3cv;=SE}ZM1q{5oPDY-w@ZQy}pJL9kcV!r-qu2a)IP_^Ba4x?psWW z5Pd+vlFXv2yV6TVb4*T0ME@~2qed|6?rDHyU5?FXnVNtYJDv&yhg>|W8bQbhfN}Uez$lP$@~;`nHj2kpomF;nJx?oon<=$aY)t2P zXn34kth?tM_t+6x^W?AwYRJ?}`sb@-L)R8AmtpVbuaNm4V*rXS4lZFzJglSXQ*_Bw z^c&>-5PB}uU`o5EJ9HxrW!pcujtNB>Nb8zsSu4`gq;#bEV zK@+;|?R9iNl_vQDRgzrUb!EcZe`82k_epp3)}r$H;CS;1QdbPxE$^d?k979z(=gAZ zp>LoSe$kGr2$2^7I}Jp1Pu|zLgJInZVUI7(t|1PwV-XYx+ucYOc#a~Ae=f1eLQ2y= z!QsY{Urgg`C;o@Qd5eA*L3wL<6;wO=q4LJxM+W^akT~Rg%+dGk4{wO{Q#@wv$b0CQop zACkkx6pxRDOCV%ke9)d5HjRZYZuB=oMh}QE4<4>@VCRy+J-MWwYK4ENf+cd~NQi!z>aR9ueRiZ0h{@MzeYnY7z*kc-LN{A@abH#Xj- zGua+r7iM^$)fHDweKN>y|F2xqgPibQDY3(Q_-Z!VUgWOrOEsOCr`xk*C|wthB}{Kh zmoy39pxs6``x}PTpabgb`}6fd<^dyNkC|p!0FJ>#3TxwSm4qD14Cdu38qc=LIf>6K zG;%R(j;3-M|K%Aqc;js+Z@h@;lljn#=p%fL8uf%Kui~0N8FhJkll4~h!kEx$Cx%`SB9=v-6m)PXp zNlR+xs-nxm2_v%6+6@Lm_iMG2i)tqxlwdR9hmGWqFW&pUIO6sAo-eVUN(E@PbGD}G;sYPQp}A1nWlI`+1bS=?qvJZxDGe}e*}Q{KHm6c ztEAWc+Vu5(+M%78&(?VzBfYaDL`?jq{nrd>#D6O89aEp;%wAB*ZmybHvG>ui)8k7- z)fBmzl+KeIEg-OeQ98jfzYB^7D63Xc`BZvI@-U@_!)a20)BhCeY)Zv;XXf)7vNef) ziH#aZ@!a8raC+qc?!Rmon>S%C?0ua@=_uPGcZB}Xhm|=e!t*Z^7*fnwd*N|%?o55_ z&dN@+j`}*mb>*&qbB|3t&Znl5?>1c9j(j%Mw}M*A*#2G$Qci50rEBn?yoUF^XdN?ZOZ|&y;Ty7>mLcLK5m@X&}(X5ZISwfW>QnQNSfz%09fW{}0rhVK{-ZOSQIjKop3`Tmz{ zpQ6yk(}5^}g&E}YRITO<!=61t+=@&-5Z64OiRSryvc zoxcK`G+S;>j2gAHIbPa2I#J0YBo?3hTZO}@``FbFFb84i%t*sj>^+=Z%J?nuiy zoXV5+k}|_rZX}rf?dIv1%H?dZ{jcV;(_P)TVG2hPi%Xv>6Q}NdlHampjl}@Kye(s+ z&6|nZW%G63QV}PtH)3%8?JgL!$ZGhrHRtEsph~lEntj}{-~yBI|Eb<@czSeCX4_R5 z5Nox^MdzJG#1cf&$7`oxO{H{LBAl$ zK)xXxfKy>iyq#t3)`JXGEO^{0U)IV4IIaqy)mKjNErL|>9ir|#y1d&|+$I04f+%1W zUJHuvtXuWz@)q)-qimx_tZz1GRn_0GXH!xxiltphEZ373x}X4O{W<7wyW!7nT<@@n zf368NZ>fAil+AasBhMiiV*XC=j*b{{c0+sQ5#8Bf-1owS7sU4LSJdoW%dkJ$EG-H{ zC+;r4cb%W0ZS&8l8}U0@5iDbEKKvrJ@k5X0dRGR=5n=On6)E6LA0zNMPupBCV?L1sOC@*8UxLR!6PDxm z@Mje}pBm*c=N!ecPcv;bqP=DvHpcTwE98Yf{-v?yHz%Avp;AL0IBe7^hsNKZU(NF? z;RX)HrHHV_HjZ3cnlIeecKjBWXMo3<$9$ThUbH~tOFsGO!4=au@;fj#`8u;|;dt~; zy}jwPfD>ggp`4;GliUVIvy2q~SHkgsW8%K$eK*)w7r<~S=gkI@7%r7BTh7>`H|NH8 z7K+#B&N;i5u)`~>MY0r8fun5ViHIH|p4cs1&VOoeJS2$K(K*lrMgFRFV*`fgwL%xO zUyS8a_baM@<-V(4K;gWDlKMv4FrtE(b5s8}hGY#R6Wm=AM_Q`5Tk}M*;UwWiYAsx? zr8>pm*$txTatm{24~W#AG9Sb75FNCE1-Xe zQ?Mv7WWO~?3i8?=v$9p*d>%_&&VY~oo-Eo~H#H)zh_-oCjyUa&^1)APzbY`^Cc?rt zH`%VYuR*Jb1Jnk~0iKF3d)U#riUmjQjZv`k(k+_2j+3Xq#iboa_M2?*FAJ41>UKJk zlie`3+T~ggu^&x8DwyV}&6sW$FO_EA*1|H;MS42HG?XOXO12kk*x|{lRsVE!TV%9_ z#^2^LQbSeG)Zq}FvifxCUc+krQbeG6HnlK~4mG)dQXwHATKmERbfjUK1qR|eY=o^} zY;fAGVlk|QhzQ$@coi|evg)D$hXP%VIx&)2cwOGdt#5K~Xy;_H?iQM*O3i`>&Q(qB zJOM9(zEr?bo%mY1-u}UM1kcjsbGv7GF$>?{g!ITyY`PVPJm+5Syo1E5o74Ax+hT3~509A18(7HLgupX9^3ATDmAjJug_kSK##SWoBD%Tf}S7 zn;@ls+=EM`nGV9M z95{qbNlY15BRX~VJ+tKN0UhjL-1f+iND90ka#X1Xqci1YPlnl*%HmNW-cUgE0+JSq zTg6-A`pEObhI8LzTb&y{qF+V-w*>NO=?LxN+d5fY9)4mQZv%22ICikOPlq7>j8g(rV%e2|`q<^lMlTJJniR!brx z(LVCqS9?g*mO}o?^gMnQ9Y=n87h(`NFH{1nSyB<>3QhhnIhtSXLfkk;U8Gxo!srhd z`#r)OQy6tEXhbp_Pn3cy)4(-W-?P(SATa+9-g|Tq>JOEze~JV59Xt{2nbU){#vJfg z7WQeLNH(}CmVtgRLg3@vV>&O8wJzR=gjn7&@?%7`4gSr9{%#aK;nqk;o~X1nAI;%* zJoR1xcP+e9lJ>nM@xj472&jVs#4xUSn(B22gzb5Z?kkd?D<-#@k;E`Cix;?Q4!g$Txzk=3!46IxqGA2n#hljUpwVLrxgCv#z6#@vL%| zz-+`rDO7n0i5b5~;1&vS^9iP|7~N@j-X9Mc;FrX%?xJ#@V=aVuf52*!Df#UAONnZD z_V6ivoy&98rGmyxeRbQ3bs39IRAHl6yioQA(~z+GtJVX+$d}MR9d0KktM+5(r?OT1 zs}oc#n-?Sxo8KbQ@$&u7qhC=0cmX=|yN?TS1ugvTM6u`IlH9tZm2J?_FbY8Wc+%hb zZr}>J!3@8&U9B2GEFp#0{d*!tkvlNYRY5-#lGTWZHdbVSQ$(hkXY%^GMeQHo{eL)n?{KX9 z_ka8%SL7;*tn3QeQHpF4E!lgNJwitItb_=m?2%;ey~z$CdvD3k-h9tXpfSiekTbQe>l5~RP9w-iP! z)3EKt>qN@sSHQC|$NsSQRu^W=3T{gV`EF9q)WtGOyd$Za`OfVa_N!z_d3fD_CIcw= zZ)%Gm9u<5LF+3g6UZNK!%aec!LM{gBng_3PH|1&}tvS}ZhM8FMvn$>oxiF@Pnvd=f zT=mCFY*g{Fcdm^pi#tHp(N$6w9izA5$q}dQUQG=MR>Duw`Vhh8{#~;U;&CdtxVR9K zgONHx2tN8l?FBxRqjMt<58v0)RZp|i+uT2ugz3mTw-O-bTM{|6f@{2K@%b)`r+i%v zTSYgYy%u?UQ9JgpAkyXCcpW3*;mOR*A3@?q4`%tQAbu_pn58+*<}~)QTl`(i!nxBF zYj%&MmC6}u!|WePuLf#w1xH{pUjA)sC%VTnmG%aOcilu` zVS%K7VbQhl;a52MNUxx7lwP!6Piq~XQ?N1Wxgkq^W3E=Ae)!#%VD%T5-4ab>VLlF` zUjaP>j1-|^aA(@u9uEH81dsY$v*T8k-SaTVYm@D*9_r;fwyW$>Sn0$GmPxFR7|EKmun<`#TsLfshDEN=TJu#S@x(VxFo7+_i6K{V-@{@^cd~a%O65q?(;CEouMWd|KHQ#phKkFei_96mgK*up~0bI_uHY1&{niQrOB<6n*V}*}Nn2rxI&PdodFPWeIz0}>(7HwR6vNN}B9i)K!>b*BW@i7QCHDTtg`PGjf6( z%4e6I_=?|QLv+DY@!!hNGncF>x_#>VO~qsBgeeQr&Z;c07uLmFHk)0Nv_F5lqT)WD zbTJ9@>_D`7cG9?Y)>k@)Hq7B)Bg50Ccj7BX+4%o8GN{${R2PZk5zC{zwO*`qckz;# z^5q!IGz~T?ysOOR@km%lH}N;s+oM8W9R(evBp@|9@fBnb-TJvu3`*eCKfLAj*|}Q( z-J-;B;ddIebj>Tto{J`5-51uvem)+H#3kleH!tbMVU5B1N!j?*E;I#$7I!;+ZEOEi z*bZK?9zQnG^YR-m2#?b585y4Hephumb|Q8kWmwi2TZJdvP=szVA8zqFrvWL;{iHFG zI~ksJ@t5HM|614ihjml}e(OA{a}gz2z3bIaQ>)2Scbw=lWEXWAMr04O9#A>DypG7D zi6Zs-wMj`yyxL79DV?r*;yM|EZ{mCa{L9emQi!YD@X6LHzEP3f(- zVbTzYTv8mmbMB|&c-)OSH@vX-9XaoH>v6r$f!8S%#ATXo953p#dNSP7`WbTnPtBOA zfJ)tZNUBYiy3cLBF6$$|eeimK;C;~EllJQ!Oxu!KC9vM#mx2N_+tBSV@xYutkbbbM zPM%<8r4ep%!>i}rCeOS~B_0Q*im4%BV+s9;;VZ>s{i_FE5Fup8cBg4ROr#788Wb8#UX`ytEUI`(Ifr z8y-Pu5UV-lC4)APOp(pp73v=Cd20TagG8I>-ekty+={u6!*CKtUbz2F0xF=9&=>HB zvEd|f(j9MH=h&}U+X*K2>Po2Cnrf6@rH;p`;8XMSd4}%DzB~Ed^^cKo%^2g8 zbqlIf`2+9Y66U|yGe%{!;JSA(ll~U1)g>uDX)NtV$s`wxdx9M>tc|xRlg3Sh{^tRxp$Y* z>Q}t-;MLd~{y=R-@8i<~%Z@$Iy)+q=2t&g?GN0UuC!V~I_s2r=X$?_Osl0YpPk;&i z{7P5ERI}1bbk0#aPI~HpDt1_ zz1pvJ9?zZprG($~Ex* z3_IwT%e87>K^e03LO;)`nqk+W8T1sl(_bxXnx0Lup{f;_>3L#*xLTXC|CKIpvyOy3 z&LrOC7%M(KI1Im^h%2eq*e4`z%|P~wu8s~)4iwX@O}!l#Vj9Q)HamZwhcJq}0y9^?HHzle;OC|$OE&ye%?bf| z@}pB-PR9M0J^1qkVvsds;M@zd*v7$y#AZPDYJ$ZDENY=r&;MrOOzi!p5ET@pf4Yah z0JR#oqxI|{8i_;wDmfd)~n_ExyK;J7CYh=cP7uJo^OJhi7=H}`YnY37H^2JHO% zUY_#cXHz&juK8RcQ`2-yUNyjs^R(xHhe87Gb?bh^1fBw|_H_{*WeB%Ga)T>BoP3;0 zrCn;sU>1g9PkQ8cd&mgVRb4w|K_FQW?fl87X#27swnXq~Z9`pMx@rNNw0Qh8;Yt#y z8ck{Fg<^}^70)vlo<*EB{QAovJv!=p$;c3o=*{;Nc|970cx#aV)f}40hjE7BASf!m zy?kpN^~kX^QM$zlD&AVSCr-we`(QnHwE-(gte6VWZuIk@`YNuk<3GksKw2*ifAMq? zxWo!|f%pPevz=b`V>yVkA#q5oyTNQk8y+Xg##5sJ9w#B%`G&g9OE=-l3tEH3MbISG zHOKy|7>RU(WPu+903fO4;pv@Vjz~0QL^tgoRDIxHXDgZ{pp3v6(+(jX{iuiyWn+`6 z-6@KvEh;aNVwFls;zR(xj@CMq;KW55t$*dN5T+d_>hEL{qJ+TK22JA@)K`1or zek~7I3L6MOzVi;GAu`goV?w4jWMu}e;2_@0rD|{#Fz!}pLLGXf`>SW_H(8}xR`jEt z6eRIF)78ThjjvReRw1XU>2(W^^N2iz65r1zA}I?7=2YH*x=8+KbdyX87s-0c^Q<&@ z%H@tlLE?zSIIZmZj-lz)0^N^C)bPRhW|Kbq^LD{AV_a?x~Dib|eQ zt-4mx;1`jsHq25vypt5%ZOTN&@sFxsisIE!`rJ*DV51FQ!cmGvcBiW|?g)&>|+KN28aHBH#P`=qNd3c-AaZU|yEiDj4k zf(gI$eD2LNR*WbWqV63#+P zuRrd^&%1CaoJI^)mSinzF+@Ztna815^d(o);V9*gZcV~?8g01b&Mtu?UZLf z)JuByd*8g&i5VLzb;FGxQ;6=Z0=ftSDtK#-QugOR-_gJeT9iH^%rbX*Ea(TMCZ;j; zA6O1-F-9+A>_GfRsbz7fB=p(?3aO9CTYglpEs5btnkQtl>@0SGO1FO130C|#bD;-l zD`pF^5B9X{Y7NFRRo(@oT-Oh#odTgq1l1RcIt8T;UN=)cxn^2#p;f zHV$v!?o)IsG#A{?`yr%Gg(yx2$Luk|M6AR9P6Bp# zfO)w%MOTjfa{~;=`-txkW^qR}cUBxgxCpxu<9t7nivWA`=T#ssc~~|%U3@ST(2WUI z7`o>iH^~q-ObScM*OwM;B;V?#?tDxwwp~&^EFibUw&#&57MB-7VXa}QAXI3Wd``Eq z!$XhU#pGzBfDTNkhd4tH7Lq{(rV!a%$=u@mO@}^~(@!V9vorCdVvtpa^TSl=TSQx; zj;_wY1Tiw_x0Pv6ux38|^bE($2(ywzgI=W#({Lc1$SGF5A5L2ofe{+wlaX*eWP^;Fsl^-K|6D_9{Iuq_L=YmB-3~bzql2Vn!0e!vpgu;X2Uuv{JJC0u8sRwE@q85x?@Ceh-Yj?8eK|^iz)eybBO5*_ zlsG)qZdoq3+?#9J@Z?-cf#O_#hP>vxjvHhuKEme`#9I4(k-sj!ouGfylHUm9Q;ip4 zQY3~D4-s=|YpzQZ<^Md6($PKFLlDLi4!0d**Ox{#bGK(xG9-o&uAYrddo=7(^@@D0 z!GaDCXRef9E@)@)4NX8g4zUsF{eNu>fBO9~NJ@S`SG6yiqF$13kZIJ#bORef^HIy) zChbCgWR+yGcVw>{yruS@sm@6IHiZ@=XKE~JwL4m9tAR>1(gw;EoRlT!bPPC-8%7ZG z8}kzXBG@02kb_S;b)`Wj(f~lPw0aB^A{CUBBs#eev{Eyo%-i(u?Hrf||2Mf+lC)fD z@Jz>(vybK9o($A-fSM>qdn}rJGWT)=h!&{~+;SJbgfQGh{Urt}I+NwSzt0L45#GOq zmyiJ_-lZj!>yIRz*!2?L4DBw#nI0?q!{(m;uJU)0Rp%5}L{A&Ip)bmVSZWR=##ZU} zw{R9=sFeP&A$9?R>Hd{PH!_WT*z)uOALRCExZjVSw|70Q zo;A8ORMDQCB3FnTMCwgc;yRWJjUyOXknssFNTSnM9N_iIMCF|LmDMT^rE5xK7KqC} zjgq0%!4;i)Q@sOsiC3d|3Uv~v(JJp)t`J%j#vsps+FmVwGFFvHc{D&=lJtj{Qdqbd zc%Fm{P+dc??@m&8x}Jy${(rrNXHGzYzt7!_}K`5oQWYfD9x@>1hg^IykxyEVNR=G zu6t#i`au)urtmaFfc}bczF3Jrk|6a3ixBaX+USEd2A9KQ#sH0Q@jDb5Dl#+xR~whU zq`mo))FlaB{%FE-@<*7_ag`z&s-zI^LJ7Sx5n|82Vd@E;Y=m+p5tQhyZ3<={Z@?59 zhd}))?aR>t0a{K_519&15IHJcCGU==ovXNwiw}1NOmACin=#x@% z#1eP!T4qaBipiG;Ia=RKKYjn~9c8hTiO%S=A^vkV=1|cE)_0M*djY_-InXgMkPG5I zM8nRM_-`x>XhIFh*fwBRTa?ID8n&FCDdW*tX#sdDe~Ah7=@#ljjL*$smMD1I)w#+ce&5J z98k>=2=UIXk2Tv!bu~+&Hn$Vwy)V_#|Elkg#J`12@2O304Le0d2onpDQijm@n)JE@ z;N+9z%7{l+51(=8KOzAn%1ScW>&bIhFRc(d2CG{uPmRm~I=b|qze=;yjZyc9z_Y5e z^TAlPht1Nk$sSXp3_ubMJ>wdVeQm4!qU6u7->}nNAF>Lx-vUe&xxN(;-HNRM#iO8s zz6%m|?g zLM=-SP(LW2)R(;xbt4O&oz}hdp!laoS^*c7eudA=~m4D zcwBMCkA<8v%B7A>|8Z}o+uti7WvAPO@?%Py!80I$zRsM!y1!niuwGYSVx>HxdCS>a z=~j)0Xnx|czYnw8mJtfZj3y{)c*|C5FB7uvERLAP?;>Ba(;jUz|nd$9~m7$O=Pm#e^JMWmAjw8Q1uaQ%Y0OQ zm%U6bA}A`#wEW@g0s|5a;xV@0mv`$aJKgT)QZ!{iK!A*z+G8h}n8W<@toa0w zD*j%`Nx-uv`TaStLMH0>W!=0O14OzRJz5!t!Tg(|Gf3G#W&HpCA6NP{QbeKeGQr-f zBl!;B9EfmN`d&Kyv`9E$A# zjpps~!U&K`Izztr+z0cv?lDvpU!MzKgo?ptJ*Y*MWwlx8r{lMar~m*v(_loW)}Jj4 z1(NbBlpESF$+B-f3V-HTuTx?E;48y~8e=o*Ykhz#RI7fgsA1@I^`KMsLG5F`$2S-l z81$M7IX#Uiazf8wp^Mdi0Jks30l-k9I;NC2hsHBa^T*X}IvDrwIi!}Zdl~aPpN(1{ zd``!bi7;wlgezU4A=dSTU$f!e7R?Q0Hz&?DajWv4gvngC->dBm!~ zbV%!3Q)8nHt2~9LT3h1|Wng&tTwZ`{zxzLjrq!<96cC+4x_o^{b_@4?yLw8O&&%rq zmb>9bR{eMGyBut+7Nfj(&p$o1D6~vnN$*QR7BA{RA4Lgt5c%2`QrSuLDxoQ}& zzG2dzn`E)a&P6eeB4N9yvkcx|a)2)dkCr08P}}9d4#hroh1#R5TJzvQAn^DN+$;2{ znycDS9T#YnAtJ1%idIBv1=NDhj;F41n5t1k=NN!qdh1cVFmzCP?6lIhkk!+jjfIpu zDi9HHBEtAoWqZQ8HtQ6q*Q;LFQ5P8)!mqYTE7`_E?9AN6bba;}a~MGVXlLyMC(7?a zJ#X6%{jJyMJ`(o<6mjha)J-Sv0~@89#X_*Pu0q9_SW4O$pli4^2Du5K*qd&b3G>`MqU-~V&R21wQm8Ut51ml|I$CiNtnaZHVQ3c zi8HQH30#kVpfgdxa3IECYOM0*FoYr}6QWeb7J~-8neW47Wn{F>`574*lgo+=dNtCN z^UNT0vo5n#ad=QjATBKIW+VtOv2)>f{ zBe$COYG#qUT#7?%cqkPLoXzL!Bb+$~RWDB5BJM4*F?+38^`Fy&l<^Kl>Qs)qem%`^ zzm~b*=s3}X0W@X2PuTX>ZbJyu2w8Xc2lJ5_jPX+T(`|W!P4cxfhKJNl|Rm3;%fGgC1+}L)n62rnvXkRF22=y01|u6Ws_hf3R`9Lw;E-(i@l2w^?8ZTZ_b}zxy~y|XM@VfKOL<$^ zzVuObZGG@4p}40ydnYmv??|?{)m&E=+Tq6oTa8+~bg~#gzrBqB#h5F5Y6Dr_*$kLq zh4MxVRXi|z2ppqv!54a;%^rzGLtNGP5>-qc}V&5ye^ir{FqiAuhYMGu2)qF zf|u3d5ReNFBNcEgT<6-N!8=Ptl(YdcvOqYc9`q?eXd{+MKVtY|@)Q)ickR`Bla$El zrvcC}dUqT%S?L`DMSCZ5r01~3CwZ~CI?obFVvON-w6~u>lr(ieJualI9BL$}+j#cZ zJ?odU|FE)Op3`jN3FS0w`f50bN=R=BuSmO;3~fr{jf$R(i73vdK0Q*+uJln0Sxh$O zMn=i@i7z|4dnTT9)1(yNXxhmp?pfM7=SqXV_K;{2oPi?C1f!ydpD>5$wvYWH4jI1% zJKec?XTMw`!U69S3pL`}{XrR#vr3PoiIQop9!?0^0-W&H$qBli+5@Whf%#@5=_)PJ zf|@qB4=R6&}%@wMAF zNkuLu@3RW-XS<%%q2SJ9uwV06yMVQY2P@+;>6crVC!w`RnY-hw-|Sd$H(;x|;!?qz zJq7jUSQWgF$$o7&uKpdJpd!c4ewDf_4S;TO+}X79)blq#?3v@+?fn~py9kdh51AQ&_y7m!PJ16=|zM3{-|BMu83voz$i-4 zI@@iyD)sSs9CIO%4Tlz^hwbpp(Gq@r>AeRP=kS@f9cpgO+O7NdZjO{Y1qK8RyBzLA zdMasa0P-PVJAx{)?#V5h?ej2wtTL4o2oFk8WxJZIRwR1n(K`Z1^2SoP6rhh23_swU z3)BY0s$c975omVmvGV2f7S49v!5>t}S|b8dDlT&^j~pKKG@d{BEq;EPp04!Y_^+{l z5cMRMHYw+Mb0%FyJ-sZ`cBFR0oB}N}>;4j%ecB}-=lN#JKAJh6<5~4Z4qWX%s}<)8 zG7gIe5A^fP>7QZ{tKz4-7?m2w+D zd&v^xb=%KtHj+&=GO(ijxMJ9s@e(%xh3UitRn4~XMs>|&_&R@mU`Sl35OJWm zJjYwhv*~$bUu+12s9Lq>lej&iH4)aSA$afR`8e4m2+M ze*XHssQu$E^PXwLejU_&+)P0PW;S|+ueH;wEcc}yv^x-kjF>9 zOS&A_A|YP$@{MKJn}O`M{#5HJ+&2-go0;-nB2Ri3+?HDk_pZW|L^AjY@AxMC^T3_x9f6 zJ>rpxlPf_ew!)ZkqVEv{OyxIA4xGm4OKLMrNd!xjJ&k)zTA!BOh^YKY5cllwDSh~W zwx;sF4GyZU;xez^r+`O1;Z8@i>WC1IYL68|ZFp{G`Te@ovPfn@#z5n^dw~|-K?8An z=dCK}uIi_y+HOQX??=fJ0?X%@$7oIn72b@$7Ye}%$CpJ5I;zy*Y;zt>%8qK1- zF*=(Roa7K1JYQM=>VtJwF=RS;t=Br?V*~8I+%6iMuI?t6iDOE@WM z`Q2Nz@0aCwS@CNjq7EbPDji=ne=ySBwb1^9za=b&7G(fNqQ&seZl2()10UD058rrY zl_o_eM?Pz>fC<3NEDP?88N17tF&MbjT?nEM6QJ68jlmUpVTx8UMda+yb!v5M(~;GM9M%qfZB681IJl=C z@V+Fo0rzzUG#0~ape|>m$g2_tG!k>>Pg9^n+Sjd~BZt+Frx4?4DuB$1 zl->)P&2QY78V21t=ZLnu?yfXVH(r+vE=n?0^dS5xZEl-1V{-bNS7xzXp6|bv}ItEB3SlZsI>MNr`yH%6FK1^%C1gz)uK^g<|%A!qVva((C^H0M8 zu0mtLM=t5yPpV7V5AqEPiib*RR@pNa3!NA91uWYgzNoG4)bahrq%5ebsavI$Z_<_& zwo}72-(a1a-7l>~M+0OY#f-b=D95ZMJUK=+s7uDTr3>i<_9%YJGdnP2dGuPjvv82szl8FaaEnQv%3B8B^%ximF3s= zx=jazqMp7sDr(1EZOQcgcU%l190rX90QbveD>i6yQl}v0m|6!s%1?-L1Hk&u+Dr}v z)VYkiNoQPpB9DlF!msR9y5gyH!TSxYSK_V?lYWuyFp_jXZttR5naKK0;FJW#6Bg7w zo9t=%bBSBsG!p|aPKJ)CsKb;=R}AKRv&!t(2@qOEu+q-$^RO9GVdA#x(s~QI=kYgR z%P!f7tl^=-DtE}_pcEN?I z#kRyi*arFpd=6v)1TFpL@mf=PWZ@z_`jkUU0T3>+EuM)zvKlr=lIbm#gMqwTA^q(L z3(Hz+uP&$P&j>XpGLRNi^?xIWKK<$irAv`Cd{T@EloClASwvbw&*esRng$cN{x$k+dc|BTMDDgX-qKo6`7`MR3 zTSM@Bbh}!7rK1oYi%VBrR9zS2g?IYAin5;E+Z`(M3Z#|XLJ+1$xS{8btGAEZi!o@W zs_5rQ80ijmQ4?HP>5^qv6)4{aXQ`Ao)@~(c_1lnnetA_8V3Nx#K59doDhLD?v9b_h zAQfTvcjoz5%;}diE=!rin-Btl2?q)W*M0TK&B^%e=_`|{lDJ|QYmbP$g)Q0eitslY zH=MLT=dQc^rSWr`D!*H-YTh(_GA>j|ePmQ35HwO?NC7{v=wXnBa6eqTX>FbFTcC}8 z|EO&G`KMHU3^E_?m?!|TlHLUIaXw6L`7s)3wypHL=L1SE@fD~|hdKY{Ep`9-@qZ&hLR`ZR6jD^B_SMN>`64*?smOa5;{ zi=$VLalHTit0cAn`$HL^A-L|JCK(W-$PpnfhFw$S=lzi#eJ7M&cgLSf|3%$_e%G1v zPPa00L{7)EDW#QMK5{aBoI?bhihXfz{aI^V{e~jnfU+p*>P9fLZ*r*OxzlsQT&-?D zDa+Mp6`rHK?~Je;q0kN5esF@j@{Ru;vu=mSFo`;Ym#Svi8x5W2=3t9Q`Dz-oQja(` z4TZ*qCI>L6S~0yBP1ZK{Ny>R+i_Foog3Lc zLj}Y9z0hv19&Q(`*sl40Tk3kZ{~gPT5cNIXnk1$?I<>yrReiYa zGX5M}m5s9N&XDQ0d99?Qp}O@mRS-3wMB(+QKMeNes;(D2Epz

    e1n90|T&C+Dt@nz=^ED5XPwYh8*7$OZ zUbBSIw7L@8(5q4nzB|#I(%-Dl5igp|ByUle5rKgon^FQN2^dVR!5Qa_?syEp)Tzfd zS7PaCu?`|^V}iP!X&hoF2{ueElldoN9po3IW<+mO{-jHakT>-Xc2Pgl^DPvt1(2jC zX&3^?xY1>VpAJkAPX~if$oo3Y!3ob|*J`r6x$oCuD6u(GvAk`WxeO$s^FDrogplr| zdTn_x)7FmN@h<(Ea2V^z%cpxvoJNuA7V;mI-ZT`&V}Czm>>Jf`St@M^J%T0l!kLR- zbm!*|%F!)>AOr9Qx9ECqg?Xur3h^Go2M|0mmN#P6v{_fw_5(pxbl+P+0^lApBPuRBuwbhj?JN3iu@U0c)~MfDkMkQdW)b2IWzoHIWY8f>7v5xPtb!t#f;nou{?EqNTP z=0CulO`+EJjBmcJJFxtGtAwc#qGKyh0!Wg+)+B8>u80HJWS~RbxLZr7es`a}9$sN+ zf;7UM_}N`M516Q4J9H6l%UNrtgilC=e5CoeQTDj`3BA=jUsg6&hzi+ChHKE>?7yD0 ziN!$^Zo?Irwjz{}paXZ3qYE+E!WJe4|B-IPDOl1n)cDcD1`49onO`B{Dya(UCaNX{b~>-27+iJU?SAl9U;3$dDAjQsk36xDZq%HgyTej-$19Am)es^dhXgFA#{i<~ zXOWZ9wEz{R$3F8};=&)X<{)2bS*-h(ivK1=te&UTWzbR=wY^E^ZZ&xpqD|s@dUlLphEho&$x$ zxDx!z8b$`ZM{_?PxlhL;D-Tv2bGSK8C;2b*CYV6@+C^>(+iZ*>PR6STC z(DOuYd?Q+vQ8`NemkUpj&I;PbmR!w2_BMaaGF2Roy|o?C*O35VZuDGUds;Yr5Y5Y1 zG<9Z0;LBAf2RdEW8RjdbtrXIkv(KMWw~MFePZROHdN0@Mej^Ckg8eOhFCTd(@oO}f zZ$wLjjVr01+B{f39pfF9l_3st+^)etiWa)KJ@9Jr1}x}~Z$}Ugt+IGXHud+;-i%nG zYl!sZjetH6>6j*pApHj4YQs5W>Qt1 z#X+DJ>y`LCAGJf`cyt3k(J%@h7dDzVTB)lxK(WX=x51USpNtOFCoY;R9Fgb^!%Yr* zBr?2Z69Z?b@yvg-MEh^`+jYZ-ixIH%3(%L)#KGW^jlCaD;k})R5J8X4>p6OelUy>) zrsPO;S<5$6ip#+Tb_XsUEDtJK@VAziaxxuH5!+?Y2WnAkZ)APu1(sE)WjpK)&88+2 zwuZ#~IBJoDcLFxZoQms}yn^vD1vMZr&q zKN%es5^IY_MgB1+k}ZpXm+zD3vkjca-2;K!)h}&y?JJmAY!vQFp6yyd8D??I;W<RL3Z!ZXOidQ;i{GIn3AQEcc|`+hysPK2tTM{Hnf6e=QWxObxywwk1r31M5E*e zALuie+DOrUU{`xhnp$PyQ`$kP=#Yz^yGGAJ2BC2~>cH5o1|ddcF&;cC3ejm|{xh9Q za%=s0a6W|REr|rV>nGFUm{LZjkY=5S3A%Q$E)Jm2Fb}M>%l~s?G9S z&du^uFD=gaK#(JG=_)4K{D0~sV7CQZQCMk^&>)O=wn#5;u`F z1&q(~=Ys~Y^;#ay=D|)r$Wovt?zZ1#Ejm6TaF2H#ZHy7r@;jnsr(HYqb_N7kC%(Fn z41-xBmWNq0Tts2BzbqrM7Z?onW^4@+SZTgM#~NDZXQQfx3};O1UL29UWCYG*k zHsRJ0P3Tk2d0jUUY{kv@v`)iu+lb9sXr8e4KRyN2jkY;Q(8XJoW`|0j|4jb^S%1X# z`vYC2!_L6?i9A#gnMRr%b_Ga6s5y#Os0XF`okWnmaGqOLJRXy8DvsrohN@+I(a`L> zv(fAq%OY)^yERWks4t7hDxKqJ3VACziL@%699I9YuB0<>JEN-grm)y#i{=ZaBjp zKOa8SsTuMWGeTTLHqqZR!WkE z0o#_AwP(v#O3GBee5H&1xC_wZSd9^lKOWrP49!(5l+{YB!`N|2Cz1T>RU&nQt+`Am zk&ffjNE>tjwfzw0P6aCS$Hl9hi#v$Lv>kw*2Mk4bn?O0z#XhhY7)mAduE)3 z+_zsc>QhBLzBTDHXpb`R-TA;Bj>R@hTW7(sm&WI-C}?hY5hT^Wr>e!y>-Zp&hUVrV zQmc=s;;$+`!`Ylu1rX!@^Yb{NX}kR@;77Uoi=>KRZNj!CMi@VqY>uBH2{147F^o;lR zEF?jaFr1!GtP6W-d2a1#Os+` zVZm-T0uKbKWy9QWLLO7Tph9c%rf6fxV-LF!FE4v_&Zk6#nf9 zdfMalX82L}Z?l_>PpE_H;D^)x#YyT8rt4Goo9FN6lbk%8bv<=te&%vFi;Du&r@(ja zQ_pnz3jREC2%q+D@AoBaZQ33n;;88545huSN}SWB6e+C0XS-?h zaPA+ve$sjk0IF7s52BS-Gd-q7J}Pu0OjX`ySxKu$SMr6_6|yY%AGDeLVD0~}40WoAw8;Q&9rB}UK z{LzU7PQCd~$h!V9m^x9zFuLClFhwb!bQlE|y&rLR4sfXxOSKMz|UO?G^I+Mr^L) z!2Odmx;2$$c3vj4_C2b#U(xsy`e+*q(E^Fpn13mI+xbZUge!^c#J^MoAS}3W?Au?K zi&553^PcG+1%5m`it!&9xq{|5M&9pq9!=V-Jokb0n@!VQWFjNmTH5fG+GvI%H*!5) z{-S{2RNfRK44PqV%eEZ?3oduI2I_1^EnU=_*$7z&`z%Hlher)@p-4!0zoqID#HOi&Y!I|lGP+uw$D^8dz`?5{U>(Q_#v_@H-4PKmLu^Y4qBwrj ze@>y~!xs>pFEZd^zWr(;nmtUZi>qNxCx`z?*eeYxiF2)P9G-Zv$XGGrb;ysKKKkwL zSQ{F5IH%mtuF7(r5C5TaIGB*v>1wm8-M~#PtuEeXc+STxs?Umv$|f%v4dQ*!*JE!8 z)813W)OO{owsnB9&Dis#9!(l5^7&OOat7`*_WCEVfziBeM9zr_BrJZp`K){GEZZgn z$QfnhI?W^8S3~j*Wtua*^(5U*(9dS1+5L2o3=N-YQhm8Ny`U>9AF;5>iu?4u7>kmo z2eH0VW6AePPNubdi1(WCg$e6vd1wrgTdvTqz7y_T>U)|ZIrCt+dsyBq`wusCQDmLd z-Q2Mu-!}D_QaYtee;3bZAc(^;ng-*y%0A_@39r@31q0l$MU{}MrtieLObsqg-5L2* zf4}X$fiFJ;V}_ZvBWK`vJiQ`h#-Y(0tsYNEhMo}6PGocz#4Bt)H#HQ#k+IX~l`%HQ z#T!dEA#||``bj@&41#aO;VhQx_mWpe1Y7&- z_-zMfIC_vcQ#IA)GJ)ii$7Fo+vq0uDDoNxLh|^>Wt*l0QdvHxV3{})m@GaCmT8#@6DGb0_te_@q#vi{>@ z_AlI#l5&?$uP%mC>sB_JVk; zCAiaew~Y_cLk^nYFSkDo^NXj^*)Bf_1pF5A{y0qWeZHMsoLzMn4C{T}omjbge4Sk6 z;`4j-4;XJK#SX&P&3He~NzUCXi=| z#_#Js$VIP?@cMnGG8O&gy^H_#dU8Si;s5jxnf%hzBZY^d-5#;HYq@ z9U$-dRf=Xl+nXDBkN=CccZ`xPXxar&yZf|lpSEq=wr$(CZQHhOoVLx=w!5d^Z`L+c6+?6{sYp-1u8L=bcd7_>iS_MwSL=TTzLV(FdobuvHKpx5xrSP{EVtL^R zgaA!TxDsh(N(+R#p#o|Au8*STA}}5nB1MUtCBtLM4!Mm*%V(i?F{&FT zS3L6!iOFi)%4k=)p-A#hz^=g(!2KxF2l6L{x`ZD3JED8|7gJcL>MBD+mGGI*VHlI zWVN7n)QCo#Tcs=E8b{3%KjemJx;D!Xow^0Y%69+W{;nWZ-8P682{5Zv85pI~A zl49Qc#)LLOzE#<(r@#bARD-POa~Yg^n;l!3fY>=MVdbe@Vu~g&i9z3jc*4t@y%OJv?L}e>`lc21p<~DgTp{ z5FI5HD7zYbPdPOLzc!F80Td!15;ZW8dKEWiUC2EKO9x^AB4oc9cn%)ITCKjz70Boe zJ)yt8d!;abbNuSBr9tx4(-v48I2v2e5sf63X?cVxu~u$P!Up!L#nGb!V94XQohB0h zRL{6JE31B*6gF#*X1)6l5A5y@<_c~usN{8t>z^&u)7Ttog6N4GK^C{kab{qo$|opx zrd7!Bl51r9&{jkQsco`7X&+*O)K0m*QP3sD7pQjkw*RyHQv1KU`!zO1Z=09C?z2nm zjw4E1b%1SaEkK6>BNf$}J4h75&0Pc^JOxt(%CE>3~CMA&(^%B-Jqaj)Zu7Xl4*3H1pRLdU5Dzh z1Yk5jMsK^WC}51O&9U2D+DoA@5^waxWYUw^4+AMr5UZ2b<_+BAu zVY=L9=G8*|rxJ7>r>M}Fdnmwmd#G3gEo@ugq}oh(UGjYCZb=bx;#Mptq1B^|x@`}& zk@e>ld&{e-Kw^ExduDw!1;W*n+)QY#7VoCTk-}C3ybRnxtDRs+K~|rk9<9JF(d(H! zAac$@1b^w~!6_{}(nn~rsnCQ~Et^7INvY{g`OeP2SL4*CG^Tv*#chNwtB&lWJZKQm zn-c5m+yN(+GsrY9wgKrZx?1NblWb5_p={cyHGu$ikKS;L$cpM zyIskfK_;iPYqt(T-e==6|II8{VFEit|4@?#BGex`viuFH%m@bRIfEjtTotn~+>%K_ zF#nx=Z)9uCJsk4knv=B!`#4=lCOzPW1j1gu0o|%UB-$d*v=%zEIcT6K^0Bi@_eb~a zg5Q3W6|1re(?#`LTiEjJY6}ktk%bgk^DEw-61Mw1&pw$yIiAz znQ^hfO=`lVlrbPKmK?2odBv#cQ8y({;yx+98|yuUbkxZ46dA1yPDFgz^21F*4C~bv zt*lE#Z0J*FTx@ug`-DxG@#K+FyOyEhH)PxN%|oPPp(J|fB2r8x5SzsvV=8SLM;M%D z+{uWLak6TNzopv1Uc&o<>;(gW!7A9wbn zHM??NZ;hrCF-r*;XYQL?uV?zJF|>o5qoPZ+2)Ka4rpO*1&MLrC8o1VL6=&53WQ9%O zxMF69FgdS&;j@%C%FeBZr-eBahHsVW{eH88?j>#&WmTtxsK)7Gl0 zTUY~Fuert0Zgpi+syRguvucgP#%9gSEML^i1Kbj{P;Er)x9@=Wwpw@PB)@TH9s{=P zXq6=ZT~i6TcYyF%vYJXmn^;oHizl-NRIz!YP6NC`M>lEVn!-@;F{B~28380vR%Y9^ ztpa<F0m;`|loGAxIlpa=T<#!;vqIox$dm17&DxbGlN&C>r)Q1@jou-imA8u-0No z*cLquP04<{%hZArc>{Bb$I${FRoC`QFN}>0w55U+t=lVM1nIEW?2v-yg?YpK6)NHn z>N&f`8jufR$-{Ty9gb?b6)3km_DaX6&3xX3o6RW4=*_He_sSv#9R@e0a$c%rdOlG; z6`v}HtaJjuzq-lItD9Nx4;FsKzJonh+ljp!<)q!|?CjZ6BqNxaw57W{c^4J={Jx<2(9I|9WSry*ZF2;0Zye$bS|0vI?a|tj>@ui5R zAcMz41xx;O5n`$Hu3k}D@*Cr_&G|9Z0liH|<||H3f;H-D0;i6MKoovH`FUY8_T&;@B znps(1lvy5A=PqSbraoBy^)%!;(VpLFw1TIS%)$EO%xmHP^MQ=2&m+}MO4$Eu&N998 zGi@0lh(a+p`$f>RXNdTxN`pRdR>`=p>SJJn#Iqz^$Hc=|nwk(*h{)^96SnZD(2fxH6 zSTR1=ot)ks>1YRi1GW8qKZNbSGg)EJ;Lyez$ppW5AT^Ep9?rih8NCtb0PgYF6kEn> z3M+bu;X4C5U$RP)o&kI3<@0b6SQs6-=AaOd{_gI7?nfaClT+98!(o_tJ)T8Kpml|) zaj+6mNV%!mGc+XT{^-IV#dUlB-LyTP5M8?51S>r%_ATz;vW-xAb8^S& zx)wtZTwu-d@p33}of~E8UrgR_V*sxA1r&>7pxgl09qxR2CWF{c+FeU&Zh*rO`Ss1B zaq(^khVAmvx3zyf>2jd!z4-X}>KXVJha4Mq=n#M(lJJeC0>w~qUBr$ZSDzjGjoTzh zSl&!$mV{$|C;s{!JZ(R6v!)@6xx3xLCs<&VhK!Dj1IdjshU2yAB1S%*h$d8p8macD zCmX>bAMBJm%ql^WBJcKkEaRQ5sxYqT>-Yi_QH-J+uXBl+_xNDsmlw%AcxY8t)iS`6 zX>;c;GDLPhtO0Vhq2F=l?35Gv$5566owQ!so@_)5zD5-^R{XF5>wTuzpfKTJ5ORQ8 zsFGV(=78g&H{Y0|FFHf1xYTsvfrIPNU2A@!z;alChrSBA0W?%bfA^x_lH@YUseqH@+bt<^vt+o(~lZm%&DLSNG- zw}|efns1fZw@R?GstQL5Qj654g8DtLGQycsGGIObt9EdzLqtrsp1Q$<>Xeqr2DH7N z_*{9!6!QK4`p-&~tn_ios+B~Ak-OBsR7Yp9e-D3qX)I!SGN)+18{k0m8fi9_lT~3o zm6KHU8CvX@z*w(p+QQH9wy*^Z6p&Y#6YfyN_AqPcf$c#HLw30}_E5xRE5o1pCJCW- z+zw)P5A+V&iHa06Prl|Mf3`9SCcmIm{6X%edJ%dLp-}@YNk342> zPrnyDEaxIL?8jvYCeS(k+CA7GUrPgc=zJ~&SJMN%o_jM@rCIVnZ4osFwbg2waZPa@ zG2WkriRZ`TuK92{3G8V;FhQN|xR0^TAqUhi_j#vnmogeu$xJbFrSE$uPs(J4bfM=b zpzj7#-xqVw4gNWC?Y%8p%%kw;`!>fLc2T3+A%wXv`3btIR+osfZj zyCrU_is5ee%w7Aleg70Y>x`#T2csjOR zFL(6aLb8CscbYSTcALX?N^QQ*oZu%&??>)qXx~fm8|SMpd!MEXMDA{bhn$^S;;oHc@1OeuQSDoDhP&-X~RJLFW~-&Y}_bm=;3upY|d6tFYu!*!(9hMKRH zTcfO6Q?gchiM8+ilW1L^^#LzMlJ;55H!$n9n6E#|0!JRpjscF`ytFPD8}&0H~VXA%CO={$PKo3)`ks zh|6;s=`rux9M3rT0<-F6q0MGlUVR?NU-5Q79QYyJ(T`i$H&m(qu4e{2CPlxtG!bc) zK@vjEL2u)~5OP}G($J8K1@xktxM0|gsG?HbfJZMDQl0}+&1qpbUwdH3M=2P-iL{0i?OMa38(lNFMU~yr28gBElahh)shiZv6 zN8wi7GE|cC)Z={NVuMjZ%xYq&m?cg1poK1LBt9Pi=mSHE+Az`~!}`J=0BpO&JgPNJRF1 zn=bl2y5|K>#z8S7>{-?jX`O}yRBsFbHFbI|+A}#5&+01Su&ag`5UA3gNF-=(WWtbx zKO9_isvDcD5`uv0sutQ1O8ladY(U-GP)a0tUFc#?Iau)U5!)y)e~IwBVxK{ISkb5h4J6cP zCPgRCJ8bx6{IuT80$CPv$r4Q~{V9J2rj2umifIYY^y{NVI8@Hs} zj+0%JHz+iOjPt@_-~Se{V0h}2?c{} zy%T|HSw3(e@(V*6+(E-<3@z_Y>}&^NRir%H6o@gg5!B@dn3oK=MX~@YR>$ z0f}JNFi)SE1}Hd|G;=wyHpv!o*3AXK8)E2aq&e%S&R3jgCAp#1c%lOLg_jcW2~^B2 z8mqqM!oHdvJ-bSr=CqC0<8$jUQL)}`+bTQi3GWie<<6T7@D>>aEz_o}{BEDW1B(XR zh_rhP2tfBdv1AXe1dy~xKNy#b4|Z5)p;PV#?xF$S67(AVV;Hn0uU=$A0eAWH;_E(J}(=sT&IldCwadh&@5=>z1UoBz_` zS=&KFyR`3;Z*&~3*Sx*74#zxHRbuicBMwyQ1))5_QvYddGAB!_tw!a{>;=eSS|w^O z<3<}sx4F=dXBe*8cF8DqfuANJDJ3)~VzNbAv`G4x&v>@_h%10OsWjw*Pn(}#`2O8x zt^wlxsL`Byjo3Oz?keD6YfEbM*fg_cp>kZpe~mcXUf@`SA;MDeAQr6umh- zzPhQS)74>ph5sgtL6p@^4(1D++U&bU?(6RLeqKJc>+9|HcAvUYbGH%>XZdEz$-nIa z9pChFC+bN1BJH--{mu*mrx0AEHLU3?a+PBiDr2RpwmaiZNo$F&?EZnM< zHuC*Bom00)pk-EXvMR?MU#&bjzL}bubzfyg!PCUG_IPdJR@Q2FJtw=C`up1~i_T|2 z(hj*);M%2uLA8F&`LzW}i>e`y&#qq27ZmBW2!4eWTK2{#fxLJJw7a_I7msQU)y;~0 zQ7|*Cs!jvlLVnyIs+K!oKVcbLQXcv=zk{3G+%9o+5y-ay#H?V53Ft)-5@vaJI_+;C zA-4oL-Dx}jvcN(9G{K5{0tNB{Z{PTtv64|`cxvX+l{$5T8jgXhqMANS)X{)S4Kz>E zi_om_`|?iH!1=eAEtkW;;h)9vZ@4UL*uKb=E!AbMRGg|cnO_3fVTr+Z zKDUbHf?5)I67E4NfQru-KxE(n$W}C(Y+BE|b?$6RczFid-$s#?QIP+31w@aD-(W97 z99YUid^6vx2uzW%TA+gxC{E&~rwLTfno5?!%@O8}^_(zhydO{zs-IlAU_K{S zXhI-nmwXB!R%aKm*(?xFWpp2xvxF;j*fNx+>@+PNU6;*p!?feyZU3m~tFeB7eAmP# zVuMoQro6cQlvn-#o(0PBQMn@@Bp4hOEoCw4}$+|mSdMJJR3+IQFc7N7Tm z8DBet0#|MsfdXf~z5*qtK#HT!k~O-9BqkPCV;Trf#)ct8;9z4L@SiJlb`BospJvJ6 z7AT0AXrPK_SVtdCzl1T8z7J*i(=~*V^kooE7=~fgC>G5yK{6VDi7X@?j0tu`B~(V9 zo}h#>Jx=l4^aurv$uTkzlLO2kR91l=q>McNzfbL|~Jd*_q-D`-z}0Og$t=^Ae2TA)RN_;FFtQ?GP+MQEU5b8||>xKOhS zhAv93x{>h*4TC%^Ds1%2a7=yBABI+&@#~X;Di|2@5ZD_5KlbK}g8B;n5^BLIl{FB| zCys+*`P`4?yM5^bNPOz5j2ZxC?Rsz-y=SY+Z84nx8*(n9JrY}ury8`CI zktfJTsyBic8KW3*98YG1hhNs7an1?RDJ2fHtX(17L;C|gKx6*0drBI0{6%U00=3qn zPE;P~n&%&-E-VjWvrV#RXiE5B9nc#gduYucH%>*O9(}(ze1L7YC_z=`0g(}6v;P{= z?@aT7)od4S8e}bR(nVD8sf>}MLW?G98-V|Q5M&L>jvP3Cv@p01`%VHe(qg*G-}jI8 z0wT0u!ysD25{+Ct${ca4Y+f>oZJpU)021FO>u<=lSPu4Iwz6Iu`@QU@%E% zVTUM+@+5_xA`$*3Hw2dkHnzebF6@`G%Z7g?Op8j|11}=fC*eU;R9WU{yOyBqj)A|c zn-ysp7aUeOL7ppYAyQyOQ!FEB3|W$#teV9tY~8Q z{vhLoMqHkJR{CP!Wdp8VkT9Uh&>UaowFgxw73q%j*NKQlOG{S0XF**YRfWBJX|21x z(coHH4cU`0VtGx|kfLpg9;7}>{bC5Ix?kLYjNU<|X*(!&L9nc;Q19KxBEQH{-&hnx zf6l0UF?h8Q@dfX*-^VCI!2#%8R58DEh9Oap$F%QXLQ5R zhhf~FX~J7EoKX;K<)Jp_Z6Mo%QyOu;#e5;DaXmb-8f@0kef5@R6hLRRAG@MJbeihxuQV0S_~mkpk$K z>wpm{0&<&O#`D2EtN9VljOIHZzg-9Np)@g>x%fH^?KJuiNixLuQo`qCUAUU#i(jt= zmEp1LT-lCeM6J6PG2kCpt&yPnXS3o;vyCO=p#0UaeI~cE^>A&$Jzs z26HYdL^awebaK>dU}_|1=SJ{%c=%r7`kB%VMsk$!&2lsN5e1BA4h)4l*?ef1>kncF zH87qVJ0)+#26H)lNauHN#E8><{Re^<6#hjh$%qu9pl_)>f)l&;i#1s!vzkI-7{}=dy;Qz3^C` znWs&bgL_WS#tr8rWj-}Xa)+R^QM>R{rOd|3aNVj)M69R=vly6-thf+`p=cr3m+?0m zTAc|uusm{)TyJ)EP9>vuEdVKAc7w#JsGG;QAj4isH#Hg7Wf-d{abytcN9&Tq_^R9o0gtZ@Yin_;ZQH^m5@rhV%?65r_f0cxn&iq=Q?;UuqI(^UFN5aYe3?+M4p>Cy& z<~D6W1@aSe9&XFl9$>7bJO3iH$2IxY131e+zLQQo_M_VMXfSyjWSwX5(#GHie^x>5T(I0 zQjGLO8Wx@O0C%#%HH0N=k=i+*yfqL{>9V?pDK2LPdJd(F3iTMZOTJ1IMN2?V*?OeY z9@4vREoIVB@lw)+A-c$|1L?e-gn-7>61yiFn;2I5bk70x~)XBxL2Gr z?;)U75Afg?zI59n&qlXCzHK^&6Zr2_r&1rvw4MaqwGI6`U>TccM(&q8Ei;u|BiDv1 z{rcMQCEfI!%sbDw3X8V4Ovk=$Rhxz3C%C9(cd19no@VYuV2y0&>5=R_Z4rI_iebML zk@5oW_G!yIaISYZuuJ;E*SOq$c#pppyR_cBY;eyp@$0GxVmTK+;XnKO9TUbD>m@^; zg0crTL^5wd11>7GD|Ps?h5}m_5L}M{`&IF#b~0jao|ObLB$5ILBsw(W7>@!QRzmxk zpMyCFu4L6is#{OCt~Y+6Z@|1As@DBzN8o-`>#y+?5n%kOPEYOT7)aUGHtk&hjfQQy zOoepA=k3X2H&=DHv9^tB1+OjmyHZK%g^(Q54SI~4j%jcCjYQ#q33PB!p17=o-QaH2 z$ip;wwj0mRU1wKm2YK(4M>Y&=&~krjeYuIvlndO0`@Cc*zn_t}e)dDtG~V6IP$(V&j zX;8FY^5ru0KKBJmZwl0^S9GnS4tH1S8so@7kIHTOpvG{B6Y~33h6BWZK zW12KQm?d6nn29WX*ox*8M~43GfjOh!qCw_iFpz~Pwfhz&iFAkgN}HQF6paHG4h-6( znlt|BMQY~1AUC-7&5G>~B43xowMm9qH4SLw)S`2&N57h zd!7ADHH>I!S3*uzLrGAucPmnLpA1{x{{{^OrtLqHSM5#xJre%y-Y*Os@FCK7JrwF2 z6c)^7k0H{PyC*s38-Ay=Anx@|GV_q9HXsgb!RcfpJ2T#7Mn1eTWM8!#z>TdeAqNF= zkIcqqpj4x8%$+2HVTY)e#ZoyN)@BZIyRUna_WQw2Q|B%Y``K7pQHnsQV>nMirG`+Z z@&Iv)K#iN>`AW+&xJVCHZt`;;*Qh?gyI|ZjQeNzhu}=TM=OXjt{BK+#|H)?jZ&%3w zi}RhHgXw=tV_f!;sUzWt-R2GWgm=^6;ny8|fru9;s48tVxVg0Gj|xB^)h}hk!)DT5 zg{G3mfApPepy5SLUwi$_Aev&-XSnIrwO(>Qtl%RTDi{k(02xpBI| z^Zk{_=l5Rc_wt&T$M^M~HkbE36!+Y~yLx_?=J)nV5s)SJk=|vt2pi{7km|Rs@An<{ zcDMS|BjNk$^0PYl^Zsxu_wzdS!Ux;;c$N3Pc}Vwj{!q5dR`K)pQ0C{=hMM(k!KvOr zWtQXT+tTUc3n#u^5%+Mt7n1{qcAumG}L9@w|I)^V<2-z!rzyecspODP8)u?meGQ{;$)f zR_0L7NuY0f&UJ*=eC(>v6@Qg^$>-;4Dm(PE&CUqxuEyl@Ozcn)PaN)FZ|SanSj#6B z)0cI3-KT-^Yk8W*45^@}{jvghe+| z+-4$s_d??d2k*DsyAjsrpUcH9?$t47Yq_EKwWVmCmrJp?fuGmUb9~n~CqT+{<+d+? ztn4|i)`vDl|J|U`+m8IqPg40F|L3Xi=P7T~!Ta;;$1}cT=$V^((P(j*XY_k{+q;du>&#V9jlz%5bTZcXNQg z(q~t(Rs?sZ9)d$0fFWIDeQ0$Q49U3gJ!U7BX}NiP2!MHKyo7o2oNBFTs}Y)SmR?x@ zGL|Sk9_$!;zmnQa-o;dePoHMHw>2^R3-V<1CRs4_{~|=#j&|$Djp`OnadKh*O?hlEV?)(dcx2{IF>{xCc*89k zZG+0pIcz+AP3>NpVnQ~CcbIOV?KA_`^OljAtlJ?H!fEEt=Jn?g85FBEQP}nbHwr?C&o9f(^E*bpQ%#K3Hh{5ShmWs|g|{Bt z!G;j>!gBS5qk3|DgB)+;3Q^k&a|313hS&jXGaQGzy^tS&%f{riViFO7?kYJ*1xg9Z zOwQq91ku3>Rqk<9Tm3K>qm;D-vznU5YVzJNXguHG$_Ika$RxRW}RWLzo zF1{z=v4loeo0!F`)`mYIpVo`81qh~-WO|ei*_I_}Ou-Bt| z@nYXTLunHeiMkTQSa{rO<8^HGRN-yN;qGI&9sFV1v%~8|K9w+r;`blkHEG?CY*Ge`s zgYik~^J5ST83^MJ=jSt#c3V~ z_1~~Un@weBQc{==Ap=8pjxBfn%;+#8g2S1iT||~0?eZbC9)sKU!n5qpegUZI2@aYS zJ}31-r^dX6zcRD>3%yGwyc!s}NkT8yH5BJj?hj{neSIT_KG2d%SYD!{u%1KnNdku_ zqZe>>jD&pF;-ktc*y-`BBKL=)CYit=JEx%3Hb=B1MIFVeOR`pPK>Z?$^8WDxX!WvU z{GN< zW{)B2FQr3^biMpnj7#-7Vo0qI`~|L<WGdcbT%YHG_-1^U+Ys_j>C)%)b7o z!n+yzc9|uzKL0!|Q z%9?3!mo9E6uQeBE^76BKFNHBz5x5<$W$O5MydTXa1U~!LB!1tZHeRdWI zw^%lnu}zT9VlK6N5^_peBk3MR@ea4z^B{Gdq%6u7`>hN$zIe;Qu-dxKTo1&f9S+7F zbf#wOs#8InRJ!uc4Up40#L6gDNMd6t zmIG60YBE3UU(TUOSX}D^8FcFnWquh5)7B?&HrOU|?gvzjtJ2QbO7V+Qg5;JYc6^@$ z3La64D6aF~;&RezearsIe&VF=RaM6sa2G03c8Cn2g+vfT`#)9Gbe1`U8n*3Wd8yNdru)RU#M&TA_a17;8qj zI-^X;ABY0pUH#NT_-;!z19_5pn)0L1)dk&_)Md}r0o~ffWX~1jVnN2~t&7PZl#ZCE zrdVA9Lnc1LJC&|G5`S(+=cF+47u*x3Lz=>e!_>(l$wJ)DYi*HncHV6A96>|0dLF~+ zDlf+{R3<}XeO6|*0BT?Rekt_Cv*Hl8Q+XCAPYC;(kM6#hs_uUBSFk^=S7p-SX8gl* zsM9Oc7or5>Zi`XJk(v!^vF5AFnSCNxz#~&O`|g$eu3Z#H`c*Q59NYbVJPX!gY>{Qm zOnb5P>*K!%gxSL#e~D$o9n3B0&8iV?JG!Wj9bGi1`P`wG*&|)@f=GX^C@(6Ya4$?l zdpktnjx@q_FZj@3*CiH&M=Q!;YX|hv2x*v*u9*S)Z3e;ZBmB4R=%C0Bu!#;c1O*3J ze?Zivux9fkcg~DQB$OD&jrKjv zv6`4byphl4sF%=+U~sj-G_hMmQ&{1pDVmPi2vV>wOErUAs|2e#keZ*g1+BRh=u!Cu z*7RtXs_He+`lUbiOt9Z=LM6iX^?GT_`yws|v3U14s=u2t-=WD(3nBK@^)}O*AGH>^ zv%Im&KYLb8Ul&%s{=q?|9h7{{qoU0~1?0>d^aSM>*azNf@P%KY&*=e{qR5z$<}L_* z(Yx7NSCNZug)>yu0%zXNFH|>fr_tsbI^B*qp!RmyQw_x>p4;FQ1xRjU02+ zk+>?zv`^3+O3++XOd#&zcA)NsbM?P-xH-yCMgM|T_}U&XP53hLw4V>p2VD3R{2Vbv zJhP8LgSL)@FP*zo^|57=JNmR1Aa#o?4M^w7cy_Sb@7PuP~R#OAP#nE!yXVTL(loNW59hLMb)stc>R5#mYp4%+;9pSFfzX8KpM z=?ap($vpi{*&o;G2m#&cj*$T&zx~^s%+8RX&)&4n8y7(}&$!I|Q7frRJFYDKFe{BX z#9D!9>{^SV068vPo>2+2`nk{XL_D(M0RpyS1<&oTe7D!T(2oXAano>b>jSPn#8ADX zWl4?s%CA{F*q-E;8aCrF{71$5=+;@{3N$FEW;P_tw>jS<^v9@bzvA%|^YA-%PW{O0 z+`~-gbgepY;S~kw!KezbebnXPc|`#Pvy0_;;yArjBkLYChLshng6x`A>d7rnlH{Hz zP3(|1U5gU}*(I%!wEUE9NX_*i&#G6j=6m}z)&2-ffA)q{fA)k{ZyecSf;eO)PJR$udbJrMtnMP0oj!VJ)eGxk_egt#YmK;>HjTJ}HiX0b8pfsl1#Ph^g)D3w zAYB~epufg?FpleLcOP@*vm%!sMPxpnt|=K$Ps_)BbH(i==hcMzJUkHlh@qp zA`K!js6q*ok%x>GOksq`xk4*q1{q*xa8QQM{-2UY6>h-zYlOZ9)ZUw$+Gw2_yEgA8 zvVZIF&{kZJn2VNU6^6HV65z_HVvLLH0Z7>OokKN1!bbnobPG^ag3rG}PIK|bKJnB} zu=Bz_=eVk9sQ9uCmD~WOdjYcjbvd};s~BVBf^y7e&pLS!>KD;q?iW%4(#6T!Ta*$T z*Yda5m+@T3)6}JKeQYe3=OM49lmdVD;NV00!fSr#HL&hG5!&`5@i7ce6%HV&0% z^sb?34DfC5NkildhsItQN2Xqyb$suyub~}|-Z);}yG7w~aV=vXEj$N@Mu!RSuZKo+ zwt$scV@QI@L|io?7<4t!=}E|b4LRvv9U29$jg5t-YyToQj6O6HlCCWwW;nTPAQ7!x za1HnIwvI^9>BT+3!N+#I*UcDbh>eTFxOi3PG{wU=Q#iR}D7ZX4+#vV%mS)O8=Dd=n z0Ue+2|MkoPUe89zzZDF0=?~n`X2mqxuBkP}aJ|wxv)@>W7i$=g9%~EY)nQ_uO-+U9 zIS=aH=*>D1d(?jpZ8`#$|KtxBw*WI^!T1aHWhAar%vs) zHro6JCAONoKl;~Y`mXUAuqpHUW6<$JrYqKL(GWd1x)O`GcnXPY^br3mY032y>Ha;C; zKtCR3kb3Uf2a&72y_nQHU2=Y|wi8h%r{k(Mn95tfGr-8L#Xb75KoLUF;^1?1jJ#snckYgKammfU^yG=(Y{5Z*t>iWuG4;YSvW<@`S6ZE=wV_u@ZBeXUdsjj ztT)-MzUmL?YDpK45D3kv!JXMz7_Kdd_ zoh&<6Id^?l{Etb6teUs0#H@~2>6EIP_u+BxUsE|BM==qPY%JhEA6vv%qWPp@hTpKm zP-ut6UQ;{vbEC*y*zx!eZ^nzL>LnaL=GY z7LZ9v_crnyR?G2Z7#6?!5r|>qdohlTlkV;5nYSGslRP4P$Wq>o8i0^+Yte zDrcJ9QsBb~aa*z=En3pHOW^?0Twl&l;VEy6)Z?duce4Pi@Bq(W>Q41{U0RIN@6I9w zACH<@yvVIhd9Fu+w`=tx?N{uMf^t>QdcrWE8E!YIVa2IOnOh8!x-0ZK5lek!FTe-y zC;)JT_3fv1w-X0Y9S8a*JjJ1`8UOPornhZ1bH|zQw35oq{)-v4f5#-W+w!)fBy@mus00DpRF#%pUpn~Z6g74#BMM4`(YW;(=B*V z_igEFpZWTa=N{IZDiSZ_1GPNUx5vx+Iw%+DIlNx%k`O|;gAjrZyZz+N8H3{Ye>URl zI6c{=AUGJuAh?>f#EpoOM7-l`ra@(q;pdtr`GLaPreyhtwECm<}w5O61;lN!76W zr6hTnP7`^O4wOlgl?{sNYVS9~(MSQnG(l=^#1^3PlD9+c^H;^Y{(iKWoFaHGn^V*s zE#>n`R4kIO%$?W*<%7HW#X~7$*Tr5}2%DEC7iI_g?u^wS{Kah2MR#VVWGy)}c?mDP z$)2Vu$Ewrx(aJQzny*8$6{CrAx)x0Jq#E-uVGePIRL5#k`Z=T6sdTGV3qeq66%PB7 z?YFUec9V+#E?N8m-wHv)mc=bM@!92KJ#CCL?zVw4=LNdBqdwSN>yeBL*w#XB$Sxvfg(xJWrA zou=WIqsw8}Q|v(_A63D0IWn`;HN%qAzV#W4 zN6EH&LPg8w_K0zOBH-s(4tPX>6^C(rAo!x_QL^Ogy1S0YLK*i9=r^ zS;`qNtBNkvwL-zhHmv+YB@wM)Ya3p1O+m_F+R;H$s{QhRF!t5~aeU31FcMsY6Fh<7 zkij8HaM$1(+})kv?(Xgq+#$F_aCdiy!MF3i_wL=@``d57e`uzAW}4>IQ}xty>eN{# zvP?+R&>Q}&w_kV?m~OUTajkIov0q}bK;LLMQ#ghCYtBi0I~!ur2}|5$fAboRJycyy z+5cjCQ;2Ni%n+98^BBMTT|3WM^al1|2Sdi59HotOHtp>38d^X9w!02PFjCdH+4zN{ z-}XzH2(^jm?6AvtVv=|I(}>&2p9u)poUA#(r`g#%liM`A{$Xr;t^OF72kPHE2&S%a zD@-!D_0e=iU?r`IR7cmAqKB_4{_M{YL;BaV`D4}+`eBCQg<&G>+UVgJ+I`HikSUMw zO&?^2v-xOZsFSN~_sNU`%@8IU8F3gce z?XES{OIDuCon&XcS}Wia({yeYJOE$pfdpb$rx7HgXTPu8vb#Qj|B?|+9OMZaG}P%k z_x{oRkdbNA+&mkHM$g`9$efU7&erK-Q@p|rb`iziw7cFoNd)`@^xM$O`V20_@%|_&$2c>Hw$NJL7ByA;Y?YM!C74u1E9_ z+7n93J-{CrX`Lf}r@Sn}f1Mh13m1ddTM-k)kCsvM(s!F#$d!gen&iC}lypm9fbv^e z5J-cyQgS7-+sHk4#>!~snOd-PKO49J4@-!IS~&UPMXf>=?l{_ry)-a6AjPT(0a<@8 zWK8{}uZ>-`;0f|-Y@DD&j34ujM0iXN^YHkeFe+L>1`S@AX%_I=MGn*IRAA>^_yMe z14^4q7eDq3u+o%JvajDKe==gQ6JW)Bm_h9wwKF$gM;{OdOX&sM*Am3AR)q9zbx&3k6;wFJY3TGWtd1Ivs%1Mv_(sEuZ;ed0`| z2<&0>-C44^MP9_{agasdM_!EnL>ISu zQaYjU6@#6KwI~nK>mvW{cf6Trpi1lTM@y|`Ga@my%1g(xHlwfXHF#SVz65rqE90#( zN+H#3T?o4tzChOUnIq>!`eKQy4MBz(uDWs!Sn6MKBJyXL7!V~GvlD_^>!9YwAbMNs z-}Sa=;Wott@Dm?fO&ziN`(lkn;reK$@7KL*@MtA zMqLf5q|5W4H&8H_4an9Ue&MX{&r4l zwN&=|8FF{y?v_|utXZ)5c)$WiryVd0JapnKP*>-CyH_uzvZ zaI%P$;qRD2l>t7XqAK41(4{NQ@iJUE6ofNM(E0s|#bCR6U zE-RGzq*}Ij*i~;P@2!yE<934F4dIIa&c(%QrgRnLYd))p+tXd@9xB3;q`jKgu8eYX z5K(W|h@7p?nt&3&_>3y7SaydQ7;iRPUcaAbKC4-4TcLhoyKmu-oq|u(&w+2&i#238 z^|jH6CoN8$)pw!ZED*0mX+$qvomDV=rnDNbR(TAgr{3&cwfQU^C>?~^JCuyV84EJz z6zSLORmHD$7#&TnrDE3q9G?N27wHO5F=pB?9FDL--bm4f7~F^TX#;}DXXtOJ#Sj-F zE?pZ0n{b?95tqjB*9aTv9pUMbch)bN!wYxI8y86Hl?cBEPxO3=E{%9ZdXYd@y=5qH zD=K$48y{;;vQnbNqA;=bq6x5R+C_wof|<@ubl^Dl-gH!|n=|^9EcgeI?&JPnI?MUo zwmy)!-`1m&sOTZ{8pV$d2*>vggfl&We_-wDr*i&)BdGiDY5OCp6QmE(iQhY$-sQ1@ zDvhK3Fn;30@qQKTqK(DMGUE7zf|s^huQc1*kAAjf{f3%`bQfg<+&th}eIRmQbCK{p z7c(=!Hiw&!`5cR%-Lg_B3iS8sRY>?rGOSBPKW^L$rGKfoGx>Ae=@$L9@TxYO-C!sU zO{Z%+-f_>U~)IWy$`cM*^PLye*a57d+Y;Ld0vzA#1t)I6t{L!kAUm}ET8Xh}T zf&%*?Ewm@hXTH`z#WCHx&$6LD1}>`EMi8n-%>I6DLLMThGq3KN`ZMiC9K-1C7WAhArG0=H+dmI0f&Am~*pd8SFP{JF1-dlJ;*~Nlf)#0R5v;G48R@8LBb^t@9P&CYrpN)AC^kM|*%J zGzVB!11tgG5jaT7LHY$}2C7d=&DkeY_YkA>w$ofy@!$X@ zd~B<(apo%I>uDZ9Wn?5!aI>6gT$Qg)YRs77uH3ccShtJ+Fl$+Di?ar(to@&So7AG1 zM!S|88q0R3*rX{KEL(E|X_o5{{ArghSVeR-{v;5BPt4L^6oYLsIbKqlzt7z{5#*Oj zUo%viwdNTM)gJV{F4fJF7Y$i4TXeh=sfH|3mVu`}ru2_j!VEVVlW|ZytnoiaqY#xmT=A(NDO+3}<%j#B&HQE;mL{?WXq*2KA4UM1BfjWi*r zyrL=!RBs;M@7G?4yU*`})4uo+$w7c+6#DU;W6e1GGswBjY!^`dxEq#Xoesa*p4d*Xyc^ah+B0No@ z3*%=Pf&HBRs62t&ri2tzHcxQz{-Dl~fIve{LOf=y5`A0A+7$Y!@Q7ZtR=(L0A4ap; zG0$DIzTn0>84bp7-L!M#QF-f$hmfG9@BM{+pPl8VD=QH@DfTqK*d`Y|wM7sar&wEBqkHY%5sEc)Kx=VXQ1M3$_o5t_2BhL`XDG)%&0d9{7A>QbIfCu z1;euqcYgupzN{=B6p~jCi%w=3TQyAk>l`!0(5>o{y}EoIKviIU|Kt%M-w{ z{JTW7t|96ee9}6=RA+jp8S+CqY9PlT$_%5CkazzViq?^8(B0*^H_yvPrwREb6YW$Z zjy?V*$q$ivnF%A0BK$Wr@ftFk|4SY0-%g(YSqJ;wQP08E#*k6U)XJPu!BF2pgOm+e zx64Y(&cw{X%1#RU!p`soM9R+0%JAjyYF>fw+}w;Rq+fV>8AV807=;Y&flvHvC9eXb zs+v0RVbXuK3e_V0+rYxm%HUr&VqyBb*7rZ}`TtRS3u0kq|KB@b%z8>zoeo;wK11E} zo#a?<(75sW^h35RTYXBQup)*2hud zL@=G{+wFBxgX#90#(aT$U6g5BYL0ig{=CJ@wzc>3^P!ISEqG!g%M1LsSQc#RVKK(W z=k+?hFZ+Ilw`E|8-I9+tF@ee(;n(`BmFx3EC-nY6*1fmJ!@Aeg!}NKl`LY$_+p+K9 zrX}(l7qYcZ;>E<}KqK|DK4N@H(Hl#}rne+`{rRrojp0?;C*pmNcg-K~v`RXtYerhZ zen(uaW}&OWxAekBM!{Q^n8|MM+wJ8f&eujS+!_@MRr0=*eg;Z>y*;z`TFUkw zoh%mkI38o?o?v+Vtor)3c>Ra36D*Xqb=0qI z7PixygD0bd22t+8p1kwadZ;PyaN0$LfljbsxY-*x$@}e^q}9~z`LUDujc`{s>Mi6g zxrm|ia(XJTGp#3UZ28kh(M4ld>$NvH3K)|{Mv7ub)d4DlWRmTLo8!FYRNL~zEkRu# zt1+xsr-P5$_V15{izb3smyGZYt8uT}-`Z{jxQ`!=P?m|3jN*xxbY0Wfy^IE;?ZWvc zp&xgK5|o)>r>$KmR_#mtsBEo74A0ZGPi0KAS8}|zIjg(EY^P}Pi4pcW)#p!4iXV#-R(FP}m!o74^_!0LRiBKAeR#)#7Sl0?VLPs< zT`ccXqDyY6)3;&m zejpZG2B{R3-&;1C*15~%&o?rt>zOTvVlI2MsQzvq-)tFYAk{}D2WCR9T1`y@FF}mo zFTO{aTD5H0`97Tvs9VB@CeDm`q7My|6nR36p0+N0Ln42@M?uF3u&O}$rH%C#Ng*-3 zK8IJ_HhkWS9@QeDYIBLZdQ7S=M*=0-sMvxgyCZ)~%piB2!Xx)`)o_xzCo0hZD_{GH z4Ig?MRB*`NsV4~5NhB{rnzUJYH+Dp&Y?&RX>94e0VL8()-bl==+AQukNyapp7KShdzioRY;)-B9J&4 zel6`zuy||9LpbFqXT-KW$w;G|aNw5YV7I|2S%RcYX=l&&Rh{={FB@;`CiT-459%95 zeuZazv&<$~qLO2VPOw<#(NdQE+qhAciOYFtqLbC0`RA8i1tza_g+wPLVsWY;K@Cwb z7IgMr@NZA0W`}dhM@y)7t5gxw{L+`#Z|7Z(~*c z{65%ap6@B~8Z}_rZe{<%Z)TIzU-D*LN1E&mlf$1I6oyu^?7<^|=r6n3MEPZynnkTm zZ%s7rH2 zg^5VZi=h^=9esY~qH5Z-&&q-Xvjx9e4FuL;CVq~ee{})p6`#9?f6gnSALFaUgzYSr zL9Y+c`;Jb5)}T;oXt4(Em$sfLx8KTsj!R+DVgB?Y2WHC>;10uZw$j^ZX#I89ac{Lu zExKe6j$76OAWlX zL(k`rr)tBtndr4F%fh3d3eiV?Qwx!tmv1gckSQu$*BHyl@Dz!Q#7HsWYNSiS^Mwy;_rs%%gShPAVUSS_Nz(ioWp9D>nyik5P_?&`CUT(? zdUG+QIf&0n=GA3KBOerId4ZC;4@U|SuGvXP@V0}u9xAgba6%>7=uvj~d1XPNtc9hu z5J^W{{3<9oXweGcjzPJ%$Xm^>DrmUoQc5+BK~s0g>QfEFKGlJWj#^10T$@cNaAth9 zEN`uIogYC&5GjKV2_Y6NG07HWm}&zlO=zF0X039kNw3t8l)aE7~MAxRbKb+pICcb&)<4jW>tU27sBSD&SM{_nPKD^l)&p5mBcc*C665H?3_WTvOvB@NhMF&ODF4nC*;QbISSQ_K-%h zO>$Cv)I9{oEMUifkvd?-A`4ifaDnK$b30hwJ;=f3FaL9_Ds~s|d1E2)osCoS+r%rz zWZa0*%?>MB2JL)CrDoPoLc8{O4xeLYY-k71K(gW6lU4{+PLcf+7p*?=}sTFGRlZhew=sotaMjYc~w33*#Oa`gq1RV|O0tVcfl zqyUKw_K-5~A@moyOh>KsC9ge3a^VF@&L3VKC2ym*yM6mfm(3=c5+aaPPe$z&kZW*d z#_q}#n>WA^TC+2|(Uof+u@A9*zgk$!emL?}#u9$2)QiSfSv9`+AUmMXae8!h zS$1n#Q6c%>Whr&cGI+;YJet^-3^w(r;4ffb>hWLWcS%ZBxRx2Q1sk0WH{4nEHtl7% zy?1jR2xs?KbwpMAr#iPypHF2^ERXNtw}087`buK?j@SuJ{6wGpP9=1Mg$#^)Qh{Bo zo?0Zwj=&FUVoACYBxuz{Od;A4W=u`sNIh~K;8T-6{%%;!z>EnyyCw%BVT}BH90Ekb zEijR7JOmM6J3~0miG*fVnC<7ZIV4TR+HiYA0f~#MQ~lxK#m}ZN@jdUK)#CQR&G+=& zGl#RuaV9Ur2_>k&8(Kn>e9ua^iE{fSRd0CxWr?_@Bx?+`7D&@2`w1$qrG;H~L+5J} z!D@I_ICnpP#Ma8b6XxOgvDa6A9r`G7~1>;_lTU&KFm zAUKJlYQe;f({>;l4a%SSa-I&k;tYXGd6AjQcps?S?Fml$KTbJ*8R`XdEzt90a!FRL zz1M<|bG}(a(sjo9&EB1#ZGY=G0l!77OH!rKfmsO7L_4Qf9Z_Zocp$!4d>=#F-UtCw zc3-cKFD*4Q&hanTPJnlK?tgC}*KYL<`8R=RG{2)QA5-)?N4IxIRp$U-pf%xUCu92r z#zfyoLfhh{Ku(`vVHaJ9FdJVJVHYD`;7BFx(vB|YKOG|^+$1F(2&>3Oo?Vjyj1^>d zjTaI&)+WAB=tU~@2SR^%72Z|YZMNPJ!cuodPG6+Cm5T?@${W?@FOO7V=^&*8UFGfC`1^}@NeddY|Qj8XLx?DNox^6}VsM81qXw%2S zo4Q;Xgjkq{W;GPqHTaMT^i<#Dv>*~@wTekU8$pDIu)?8ZHV-7|kG)z_P&0h^_K8i# zwwNcww@bjexAfAGJvaFPgR#Glm%}H_g_QwE&7H2Lv^Su3E3X&>kA$bjCj90si&!@? zA)_N30{2g7LN2VfgJ*f9$JZe9gK5T?=DW|roz>Pj_aXYS1KkP+tu!kE%(>ad;t8GQ zYbh~=g+i6wg<=d0-^p>asN?MIR!aJa!A~@kCv^&pZ=B^fPX5XV6gW-e%kL#pROkgN zufXIsCxdV~OtHQ{zM8@_B?OY1Q^Pj|1(GJHz;~c(`Kgw`#4`v19ZQ#gPP@P=)sDN^ zx0D6CU)?h(CbNY{kT_)}795ri(4(&I0mSe^1n zgLi$&EE?TdWA@VNBozHZbr{L)S zqumwzv0F!&a#!(PQ7YM2eB3jWh>PUaB>i%{nq7FuWLlCH+CY(re$WUdloGqtiG>OWYE3;1k_F+j^55lNA zn!lq`6nRID?$x~GQp6kCxEg%^J;C+8Psh(W4QN7V^kH;`qPSz{CFPM}p|6)cgYW+=V82?!LmB+Oe#?vPQ1C zI(N0$yOt~)PF4n!R@fuhNV%)|X0{k{N*p1AjE-nZpc`{gI3AWP|8|&54qO`IV)@b%qx;buNEns!MXch7Jg~5u??TQVs@vk5%Xotu>JG}s1e3ca}o1iQ+t9Tbo9qGg+WyDA|?qBG}wI?F+)%gso>2k{E-Pm{lM9q{LuW%biepEP>Q%-g6XpO zHj?l|y~I9tlsXFMaPh3EtW1emq`do&Xf^ji*~1L^;|Sq=`7#4NZnJg@69MDH*{`|s zWo>!)k?QAkKB5)fQ1AG_;iSa%UTqStGo?VBAgNa$Q5LD_7~o0ZAs1r=iVtZ5h$Krw z3rPhnf|T#cp@m*}-bF||4n;3)=m`B;P{h6cNB~ZQr-||yp|APlh0VXKpl(Ysljl_1 zU36r%3-7ly77#IK^*MghYP@{Li8<%S$-bRx#j5ao_^@3{>Ns?EO~L=_bhGIuAQThl z3FTTjy{3jAbKb!i?CxG7+4N9M{QR_Fj<}-*MckE{lwDM(78yGw5S}t6arFC>s$e9O zuj5$?iCV0Fz9*hRp=Wnx=kELR0tCZ%$F-7I-sECY6X^PV#sdm9_nNuLj_=C8)sO(j zp8^C6c~=&wA0=nA>o=C&f9SYHWV{Zpxl z+DHkOYmG16xJP~CUEo1R-0_M(MSlen0)O8MGhZe7KyVPALBF8xe9skI;RS1b&;`4+ z^>^%+;YE&7Bf$l;PcH6HOe)^Xv$LkK)6{8?f-q@0CGr=e9P?9=c%OniSq^HIu7ZHa zi~$}~Y(Rm=I(5xcXj>CT;Xw(WdK_CIG^j5+T?Y5&=@AxMGU#caGznE_>v(8pW!10Mf6Y15PgHG(0KcimyK(u z_hw4Toa2`6*Yw@yT?a|!;r6nzx3-l9NNA&k`)gAHIyFq=w}-wO`r`3y<9&Gc>F#Ps zzy%C}ZO#3_dtPmfyeNC6c-HaTlLu;Q7Cncv)NRpauC??&Qd(g^Zzb7Zi>-~apOBq( zOsDl=di*yY(#;VR`kVg)56!&2$;}-A9ysm+JnRE_;J63yu>Thi_5dC@=Kli^0{|Wd z{^G&*48VhJC4h(V|A_}%pjzTS(jLIWK=Xg%VgD~4(#!thVIROlfAjx=hautb!0x)= zKkQ=&k?hl0T(S1Of)OiboRk-K(!4!yKUT~n&AD7ix4eS6DrQ>dn(`a8z1>Qb&9rDe z?25|yI@D?FE0G8)9Hpu995d8PD$E5AD~(2k-ZszmLIZ z9S+{og!lIPj1NqSPl&8wlbzZug^6v_qgRGT4+$xrN&&%J$>8eetRiBJM-SC7K68jQjzy;A*{m zed+{uR_&Ep(gYpgphVW!^MUb_pH^L=r5R;^aRxQx8KiPqE5Q39V?1{sI8$>!(|&x1#7GLQFb)upM26e z&omt!w!72LYBGl##d~aNcu7z8#5i2#7>ITKrL(2!-w;|vD%hA`Vhasqw8coh%zD@UDXzaGSBm4Vox+&pDHIMT(vzOk z<>a`Ya$kjffXeL4Af4IHz)kUb@Y-(MXd4 z(JUI8aiRGPC&DT=WJ^gqK`JUc=lI6*K+6qj+kdk>1@kZf-wpWq!|!jENp71#Y z^5?DyZh>jLRQL?N$@rD|sN6@aJ5+hv`kZ_ zzBgcGkg|sp9fkYEnp=sAp8+4hZrI6Y4Y}0En$^tOEv~8;l0lCiKhk*krKikt4T*dbzwSLIIN&yZu`7W~C0a}50 z`kDc<30~@qC(0IeAcmZ9kd;wEq%A^0lr50XfEWON^Y%~t<`v*K-mGv~ZzeMF&`8e@ z&d?@(U0$Xw_8^CFzY=aSowR{GoC%3umxXhH)}ow|>*UB?{E42VbamHeCr)G9gY zPx}KY28(EYar3rtRR)J=;jSPEy)4~ICi%areQkg+4gZvmbpH9o= z$KGwRM$3hyvX$tALl<)axrCyB2$=*s#t5a(l_B&eKJUafZ z851m+LkfPJ{JKHXemWR(%O?hr)#xGIJn|H<-V70^14x3a8mt#jCfba*TSrN$O+H}q zrW>ucG&pgX5hl-$6T=`fgmx(jBYi;E?j{Pn-B$9v3D40O(gP$h$hMa=&RQvRiC^;a z`Y>0Oz3yeMoV{M0zY*Ydz%q@L^}oFC2f*u~oc@iV9UnY{J)gh4&RiZ4y3OVP zcib0TW#&z;Dw8IV1VnF1k>$H6A`jJHm^d|J^C}^*sjr@PiyT{F4j)(nf2(tvoSSp2 zHrAO{qbsX|6hLtjeTI$R{g;b!|C5dWAHWX|5&Xk%?g=Rfi4U?3Ue9dyfFN@=S)I=v z#ojQNPogLWRl|3K-s_hqQ}{$} zMrC!G$2^I~y$+V}zU|J-hl%Ktv3C~yl~?%6=hP{_7jWt6 z%7BKBq;TaJ47zp{U#xVOa5k9Yp^*RFR^%W#?jt$&|ti+#(Ss(|^!Lm_K-tBx`am)_wUcnB?% zO8a<_Cb3s@3EWcFo5n#bTKicbT!neXgTmBXQb5w%YQhUd#nVp=`W zX`J#SQfX$b>>6DI|4L!3_d;{uZZIg|^oq4$89)JizmfZM&BqnuP72D~0ne|p*sx(3 zjh1?&sLlEl6Yx1W&GtQtsMX0c$sHDpB5Klh6Pa1D0$O(am7LMYVJ}=&P&GB@*LpV$ z5A>YwNt)62XR$i&856-;yY}VIL-hO-Nh`*)SaX2#(G!Hn+>;c4dK|kRJ)~tP{`o9+ zJw{N=?(p{(Lo=D^VeHq_p&@KQ<;4Y5Ufc&z`!q#ob?^*DQr7?EamA9AE(OjGLqMj| zx)aGF$+03kxXbV`V4mE%6H5Dd!O&c9Q6PEd{`j3o$oJ+0zFzr<#p-xP>4>yjUPi&0 zdskLA>WMLni>I~^Y4Kc41Yq8(VLt_sQBM0rnce1~EP9@{3OL^ zc2uHb9-(0V7+`blGDUcfL;qwn48U?h2G=o2$DtDPFINtLVs!(S=AL+JYm)R5Wpf^a z*<3|ygxO?xP>akIH0G2*MFAP1(o+*U4*~orX{FiN36XqYkQp>M${e8OJ)&hNiTU>* zm$aiR9-RsKEj$5|BT?bOcsoKDMZwh!IAAMyG+g$75e#3f>n@_XE@uy>t zy80`U!jwxeXxc@5u|pY*lCpxBWU!?SCgPkyOhToz3LvnHS8kflOy`dw%oFM&trdzP znf_XFK@cWZVe^3RRg#U6QJ``jtiBnl;wDP_JPy@_eI{0GljAJP(AdqV-LrzIqB$AV z*j-^rTVb<4ofS%qk&oMru8-XvS)fSqU4yrltf3nrx3L?WZ8iZ-FR$+JFZ7{200DgtPBO-^G?dNnw#HmdzZ#d0&v;XY18FIXt`?@G&cRGG&TVnp-l%I%kV$h zNG{-5 z6*hvAQ;5!IDH%{hpere4rfVtP`E@(ml_~!z#Cu>4Kouk37y@125CV`k-&W?=aTZr+ z`^5Fd?q(3lo6TWjJsMy)-CFs)7Dfegi zYomG31pMOi`WpRr|ATGZcNO)Y!o;toS2mc< zyT<8K!m2RR^cMZ_gqE;jr}|Dn9=~g(!ffaSY;Jjd>u12JwIr&QxBIEM8s^C42nLKn z0>uWXee$)V7T(I+&lp_b``IB;yFIV{rNWiM`{`*8s`%wpywX4D7NL3O^%e0$;a%fi zC~wP)B?CcYXND{vE*G5`y%(sT%8N&`WH|rGomlTFjnwT)L-)JZb#Z6X$A(V8fW|_e z+p(%&DlP}v-yfY91fN<*NFFW{b^PlxuM$c5t9IzZD}zPd9eYmrowHFvLnlH(W9PAO z*ByJ7o?I|AO%|LGh2$q1HFUW}hG2=K%3?b*ZHR1iJ{yQr>&pL(Pw%~IU$supHEK#; z=n-%`VvexiEwAHpx}j$5QgO2MCUe&1+bp~`>}=dMI!_QziABa3>qVy+BLV{X@_ONC zo2O;dB&^l{@-(q8*Lt3e!hQy_72jf8l}U$;&Cx3xai83&KV)g{(eK?W(6C9&7{(Jj z0z$|UlSD*Iknm=)BxTTc+C@7SvKUSuL<1%4FIF*|!17O@I53<}!r-VH_Se3a9ZDc|Vahu%=jn}Wq>n(RH+kVV?h@(65*Wx6NM0}RDo zkL_lSs^Tc$TWSB4z((?t)uOhHgqTg=8A~_$C?9!g$FJ#i(wke?N)A{f$Aq)2Pvi=^ zDc{tH^dKxNa-9;Z>E)nr`7i&W<{9k1J9#!U#vL!mdk4eOdd9wMx33i&_VwJ^Q2t(v zYozJvEV{s9BZFqKb^PQ2W;10|(+n2^xO>~Mn` z==`LjYU;d$SFjfIl@4(&W;-tZ=-eUtxX17Pp(Yi4LyPZ^Fg>A~1m7RbSnnDU&Eynp zU<JF$` zSJFlg4+x0a)}Sl2(FN{cv^F8mXsUn}l{CGch{k~ zH^xo!-?ZVq-e@va3G{%FU?c`+hC8sNf#9G#^9C^U5EN{YEG$p2_4zX9v?q*(wS6>OxrE zffD`&_fqsLq<5erkpNk@877hfD>{q17C^9BO;#&${FHOkQmu_uNdU<4V9I}x<1ZPZ z<(|tpv%!r~x#1Upj&c*0b+0jdkQC}0(>mf}qfaM*?DOLFaiZ)G^pDuQUcPsro~-Ps z*GKIX*>Jp<7Ejf!l`nk1TJb)C`NQ#_dOuxgo@_Js>}n$#Qr8gSftD|l((+KkeY30G zL9l_MRoChtA6m5{iJ{*2hWbPXD0X0Ig)_V=G+(bA25+$g+ZvvHy?q+>|6;~BS}@EM zF)|tuq6|JI%{!9}pQ*8iJ5!-FZIiM49Plq?;mKC4jgf2ZGm+;(xFW|GCEfk@iFJ&*Vlb7q7Xp|;+TW?{Q{3*LU zgZ!dT)C2Tvc)`gE>B-ETU_)zrr$WHQhy`SavGBUyU611KcwObud;{C9n<-PQK zB5F_HjAWwWE!n8~ijnr@{MG9beEgXb(;BBt$D)7)rQ4?_gbJ;&BtYzw}_4xC7c2gVDTAmaL^$xc3JZ zay?(yt!3sN%Cz6F4rn;E3$ydO)wSwmPB^*oKD|B=7FOvb>fCro>2x|Qzr8xX7{4yP z)x4Q^a+hBi-`{E+8>ACY$WDdu&sW|rad@y}I$YnF;8^$?slJ&ywOf^*wN&X8O7C`h z60t>8sRSy&nk4r@rTtveY3R&>HNUBnx-#xj>ZN%eDMznA{A}2|a@-ljKUV2o7T2nm z$oI%(k(H_B1){BwBS9Gz(dkA@V5BMiaPfBr0DQLmABu^ z^Fv}(f|1FxD0@C1n1t``=Dzj0NY=5pWTt7DfKDe1hOyJcRGEf)FTEo|C4;Hd8~vHd zy>?pie$^K4Q?=%@=WIN8+T*ku&pOZqrm^v6QjNFMGo0wpz{8nF)`Qm~L)5lgZ55~7 zSH7i7eKnYfDBYEZ*9Yr6!}tE>RJ)PKmV8wm4vwnVqSGDZAMstixZRl$kGmNEmS7D{EUT?MGZ29JZe?a$ zB|vR-75~|N{_X562{B{{6s}-zlDTTG#(4mRRfv}b@prFGwnn2ST+~>Y+hY>OA>`v# z<~mARA^&fm$PYJQNl@9u*76udz}Lbfm%DfpXGxckRtSOvvM5=r5}@0c!tB|?+UL&v zoZq)RTZ6Z(TZENtJx=&-ICBjcCdI|g7uw2{9Yp9bN z)st0jYTjx)-sAS9Ug=Vy)>7|fn#8jT!I{>Xdp3&=G$uAr%i!rslI#P!N~C0t9L0n` z*2k^wDDOSDyk2X~PK|1m9)BHljbVvgjl=phqWL&GQ0>k{x~+7}KEVq6$NehzLRPcy zNS=U}cPr>)TXNH_BWN>d>-y%sE0uCR%k;gX9cI}8rO zZROyc#Sa?WZGF%qM7$54c$$BZLX%*mCroRNH)Nja5~RlLnFGCClf6sE+B+i4NrLw=cvIuw6byw3=gc&R8+A!{i3?%;dcyYz!JtK!NJ-tH>)k0Y(A zV*+R|FPaY7$0d7%^NdRB44fD{>VCVSd9ix)vTi*mNvN_NOOVL1}_&k z2HpM~Sk`p?-sQ9fgIO7GFHa99Eem!^ zW@KNdZ}K+(UNd-R>G|kmB6yEt5MDeKw14W3SMFmez!rpMlL3BbN{@{^v5EbkwkUYPv(zt*dlWoBBT(d*|rNzO7p{wry2h6{ljeVpqksZKGn_c10E2R>iiB z9jo5@edpbG&v)K;Tf2WG*_l~ux9qkCdLOgTF~$>o>o5yA%S$en{fqCq^i)qS%wKXi z(@Qxg(AkT^68}t_LSq+iEC}I3iHSb`|=z@Mx(F?$e?x zEl5eu`8lH^On^+l;tEIelH2Llu2XOM{s$` z_;26!Sf)%4H$a-PI%V#w+2!u5llr!+Hgw$~QsoLJRK*vy#8%O^y2N3M&r)=Qty1N6 z?+=gs^flZ&>M?S~bABg7vUbjIQVO@n;1d!k?+SXjXIF1c?;*r$#IGlQsr^~_49|V$ zC5V%Kpb&r732y@zg`v(K5H!%E1sVo)8`2Z_+sjTUlh4D=o}bsvng7|34KnVpDiMcYIz#nHoI^8s`BV51&MHyt^uZaXXZ;TfA zB@n#g2BK$%%jg?>^+BBZ7eY`W%b>?hOD5+%31nYV=B0|ou&na0LN0vuU~HPy%Nc^o z?EqG%8=+Bs+q{OmK*(YRaR?K8(2Fc$GAS+H6mrs`%}wL7tBa zo`6(tn;>1aO%2fdcW#oRRHHy?hOPjTusLv-`P?TujU~ZOcBT(L0_hNXuqTk7X)TtL zw%{x|wy_2m*Gi9x1V?+i)md;hFN{(Z-a_zqo;p-d9xWOURy`UK7PLs9VJ8|ImUty4 zt%wjS9!%LFvydDN_$UPsxtYL-u-JY=4QismN4(~Z$A%*vh77n0`b>%#(&KnunC-13 zb)&G+H@#+i>cYiFgh#-N2Ra%R$DpTv=E( zjZ^QLC8N8}WJooQQO{Y$nK$upKNR^+ZGxegC~*Xm)9dkEYp(6ey_@?V5w1t?#fs&8 zKFh_MFHh8t!Rc9lcvwM@n$@hppVDxCNZ941`39sD%_Go>hN^~-Ee4}HDp);RGJ8S` zYdY$FE(!9PNHKrl&MXfBo8WIaIP2wVz@erdZZgdHg9g$btF?EbR`Hq@13NUCEWN*O zc%q*1H5~u*bkc*9L$tA%4ANXePT12FKFe!jLGzW@3H zq==8&*@7&PtO;>e?Udlq`xIa>#sRhdSs~m0U(hh(&O(;KHS8kSi%FPloC6gTS%P!i z$l+KBh08SXMDBFSl_fhN%5@QGALl>E(O;643kFr_Q)Y9!jZHY{`sch3J2F}->*GA8 z4gIW*#nJG`XJ4zz_tpT9%}9?#Nu!&HPEV2F4(1jLk(`*Bth~adcN>~;uEp_e>4tY7iC@rOiu?@&>tn=>eeb|fmlvfjKvLZ(isrGt(=!~>nZ zHgAlo88asD+Vda=@FV=SrO3}POHMFdx-{rm(q)e7@n96@RAAzYA#re;!98#lXhgz* z=oVe|5`iyt$lsV5NiovEKLZIOL7Z9Wt1g&5fey1fkx!iH;mkWi=9qtdb2qk#!6v(B zTE@la9cLmR2DZHkcLE<%*P)phMha#-yo(bHGBzC@oskU7=b)2?JR;qSxs@U*M zb9s|TL;1s;5J7XNE$bDgg;k&2& zE9Hwq3lR0s`V%m05_!qVN~sLR=LNLE)`hrtq%AzfE$vYGGP%fp^n06srF4N}j>r44 zv=_$CiE;+dexIW)!td#7Y~6P!{ih?dHw`?;(8|eF+O{5(`nn#(>WbA%8C4hx zgD1LUSw9yMMn%scseibr%L)vp4}95!PP7vILY*6k#j;eqe;YUQ2Q!$0BDyX%PE{0x zt~|0uRQkXV>_8cFeDuAAvh*u+IoYIP1GK&Hg-!vnS{oRwVzpLc**siJUP(vmIwF_8 zql*^9X@s;}+WJV*Cou4n>K^|)2s)^;e^pWE!Jz$lA@D_cAR39@x)y6YzqdmD_K5)f zemC%So}^OxZMsqDLv$LVwoQKLfz2mArOGF6%FHR=bQdW3M*urr2$r*Ex>@lVo^r4i zzNqe<)Z>Fl5e8py7%8cv#J;sIySHPRL|yTr1C#=@EX@6^i!{2KDwOb-DsDdjU+nV* z@$P^M1pKUbw0`*5ux9-FlGEKLRIkKqq2B; zFtlJq3T!_I<}`tuX3Ic(bb}r@4Oh)umXT2KRmhzZ7$ErVy<8y}lp$nH8S+2acHYm^SQ-hm1$pARP`a0Vz^p{i{i> zmA6)+FuffT`5>w2x0?~Xg!;Rw*9WRZ6{?PG(EYeH((_w!!P6sCB<%yZtoRI*J_!!A zU_d!1YIqHh{3F0E6_6CIfSid5QwELzHoO!?e$$vDO1(U(=qYy5!moJ>{8=v(%&5A=!sPP2@|kfXm< zlmOr4g1HCZq##bcEDW;jqgK5{TqHWek$YhCHVgEzBen>%(IAS|ymIX2_v`C24z#&A zD~5lN^UT&Ky!%<`ohU=Iyd_@6(@X7p0-{+CC{BcToj@$olxgYUtfrf&?1MxcyVtD8 zJ~tiAh^@Iqd-;qfD=YAvKCr#jt8aKaBZUs>2Ol){$0?K|@Er#xIUysOci;pLjl0z* zbw4gf2)&;NBG8csLIdjp4lcqC>QsCu#(#aJe7UQuH2NQV2m8tm^S{jY@y>m8n0`L``15IM&0% zfPRMqu(Bo>w2d)20Z;kr1tCR}E0i#8hE{cPbh?k6k&emT`ZH^s9EY8a$#8i>TXAZF zFS3BmN%$t+qm!18Ej2Ur?v9*H0}FCK2QTkmvQhTU^+V|$O6jgDvgAjxHy4zc95glohT|LoeNoH3XkYa{>f6*x@oJo z&{BOcPRc|#Pe}RtSW`&28CfOPza?Bq+mYk@m%Mi*XUj0%)sJAk@n?#e7V$8Jq$%x` z%=Wa*?!`;Hs#p7_XNUZSr;+q6Nqxgvv|NI#kAFnNnGuJ)fuPe3rV!HMcbK8epog0yAs!Y7*GavfdYSfG$PAvJi(_BhfTCdB~*V(S`oi0VLohAIK&u90vMjLRqKoQseKM?YqPQ)FR2^l*e~uIXkOiZENKcw& z{bClRRHv}7y3y=ChJ*boj`aBf`T*-y1B>ASdNA@GkPk1X5~gFlqQzYzASosq`DJ=gTjj+tu{VT9! zBqX9_1naPPq(oOA#3vMzc{DRQ4ysbMg&1|vg|M*s3u~JrnG}$DBn(-;q{_(Dq>_fY z_6f0q&rU_xm1FoEO4&mXj_w0jBm#CQPTPVri1UNmu_B@xKkLQ~y?1B=)X zF(US#y*@xn&c~Hw=&zXsHjo2Fwm1Ox6%#xRoE|Ky6gp_{MEKvLr*lTLoB6pr53#Qm zFoT|0BLH8f{r<9rF#vXMVlapP`#dRmwh(J4}*Ea&qeVe)iO}edTjnk3ENxiM}raWwR$%ur$0~i$; zP@sjr@XE#18jd=%N!+<7JSDdtgw$oKFgw*2IbRwpH+Q?7qdjxgK%9OBEs1O-EsiX< zc+iP~5?9*Tq-Y==6lJ8L|0*WrdA|&||%q-RK*0)j^!p-J&@Dn0-Crg%o>` zN8+(BQ445qPa~dW1d8Cgn>T z4qtee=x>FBLc)}jn%Vu_EzN$$ksKY%x^(9F!}Sea`iZm?Y6z{G$YPe2LBlrJ0$ z9N`?KHFK1!?lw34hdvlKHW&&8pH$s3h#MRbUehCg!2HV@j1rGC8(70REOsbg7#9S> zIqVF%!ci1=q@3qFG9uxV^-dJCv`zqLh?csWbUCJ6ZQ#lh+d2&N`Uv*9NHllStC20Z znjNQHE#M?N$kb*H{}8ZDmSP9VR_Z&zSw=dMh@0~0 zChGQw(Iwgg!YXNo&GMl_fmgbiUbp%~AN@7uO9w&@g&F+|0d7bu-S1-Db^6Eh5lWsG z51+1(F`wwjy>+PBWq}N_LU&Ima2eG5zgJ$X#LG{;B1I)195?z_eMoqv#zI|MK|pwj7)U?h= zN2IIw0Dx5`Bt}B#I-QP~_Y{WkvwvGT9x;8VOcusVEbX2@pvYw-S z0rS(n{|!M>!-gBXgapionv2jSaedu4UM5xz6}S*NkhG6*`qbi($klKAJpJ)_@wxo0 zdze4&!7nAY()Y}w8CMQ6lXG}uPv9~JpYmCD$FYzu$YTb;-REv*Z|}+b9xRlvx)4(T zg^x_oCST3|&e6%r%jX|Cu0ln(N8)i@;v}(MP8A%tXeoNH1Cp)S_Jc!mZ07&L5wPut z;t*O=M29w}P1p=R1k9W+6GQATd%PI>d5&O(Ye?li0y1Pf6y~P})>I_nj;1;wPg|O< z_424iuhMvwaTQywb)LxF&V4kHNxZfU<6GVAr)L{gbME42&#EDr?QV%z9=zCDUr=nd z`zI_DpRWu0;Y_!Pj*AzM#BX*UZK{1Fvqia@wVAQdvi!I97tmV>RCR(^p5Es$QjEtqdo+FEJ)j*(rpFp)Idl^yNO(e z8`2K$NOL6O)zJjWOtFCrmH(Xvu8~%PQVTseBcDuJArFEI+Dy?FK{cjk*kF$S-8YNgvyt*;f~OI-3n!katYq_R#tSx_QBeo)r((R+rQ{ z|5o9rvz=t)S{ITkV||)qi@uPyw3%cTr1iziioeYYo?(OaQV;oV)*{Af z_GCQ4+~}QSVetniX^n~=vFVvx`eRdDqtyEMSjC-c&p$&14~V!`NVF4`NWV6QJx@6; zii>S$`%+$XDmn{ofyv&5Bzb+v_hlYbxGMC`4?A2n58yyNb$yw1G3VT9;5sk)U-7il z8HlHMvsbe+pEv#$PiLk60`XLW2Z*Pe-(tTIKh6Z{3XRhq+#*;bI3b*m1Xd`YuPhIm zySN{&aOpTxl<+v;T4yoxpsNt?x^w)BoHE|WM4uiyDPW^3HhH@9&C41sZ?jW4f*t$J z@@pA4N#)#3sbLuxi|GMK(S~|<#@gpb{+rlPWYjcZb<{9r>2N|wis1Tnddw}$f+M|l z$rc7XX0KRBCa<9DV_o-3Boe3aUl1JAOqJVojwQQvtU>=3NSFCg6?YCjoIwO7!D9+! zbW?I<(HDPvCP87W_8>mDU(M&M^LC5;Go(vrw#Z&jU zIlJgt_M@4^Z#cUmXdi}B3yul(fOAQlU3Z7%H&_74XAuH4qL%;Ch<+6U-*(Snq0Cuy z{08#Yaa&6EJ)aXOkTVRc(F`}AbzS%v) z40OD9Jf#wbP3s$-R`hQ7q6n`9F40GiHD;AeK0WhC1OZL_{Lq631L{J)#F8%b5u7=z|IeYX@{#&Z%Ye!tJ zW-GY8m4SHqjQIdu3>4HG$LwaUD%Yh(Jm(y`q&iEA_a0{*AHBakh$8VGm8*ZaR)Km} zCvV%fx>5-45X!?a7#}GKf;CQ@JUMWx?0QMgSQLw@GI0|m5qw~G|P5Uryn z*&Cqrv-pqkm;j|86QJ~C0+fEVjsWAy{$IvJ1(be@fznT_%Rk1G{U65j&~b6NcAl5z z&GggwN``FoFUGgq9;AZgM-+!$y7_z!#^7Vel zdbKh02!ql2@SVKg+Yp7a%DV5*ZaVb!i{{PvhW;wz&UuvX{;E#%Vo7=C-ZojmYO0)k!f-)P0BOVx4YL!VDWTy2qd z!9TIUC@!@?aJZ_S;L=(z+t3-lrOHPaLcx{|RmzrpNY0kQWgAeth_)lVq9}vB^w4LBE}b9UTKWQ;uE(rIg4b=_JQv%lJ)*DtQl) z#%?IWD`HYel~gvheHz|Y&#G`;#HlLBvV#JveSovJ+G=cpm6pPLN3ic`iP!ha^g zu$-;nkMb&4ta&eLY!2ireY~^sJ8<0FoimS$8LrP1EJMQ`VBcgXc(-FHAZTm;`skpZ z`)w7>Jh<7fX$n$OTTPP;SOIGNH<;ng*O*J!8fOfdQ_jrNA^edO?@*36l+n$n7+Vbr zvG!jI{oBS1Q2WLUse^xp9{F>sZfrc`=YFW^@4ez&d`MwidHrnm43$JF(h_Uwy~Nm= zJML|l1O^ro5tKk-M1@ZL@>MumVI^8+Awx7OGeZj@bPqfhHWs$PYcY<4SkTP0v<7d# zq-LnvZ`7E~9w&odOL&#PhmOR3CD++|=2r3?3uP`Q!j-)fF|rEAfO zSu7{c?dZ`~fVxG+WyJ`#M}e4} zNHz2Wp}aJv*W2h4+VYL9NZ6&wx7~52?OK@2L~}0m=$O8s*)NZJ#3}}|&EB zM^2|J>phykf!y6>4j&A=fwv#?g!PG``z7P&GQ?={y#|l5+pfdPj5?)Hdxp3Amx0&!}E4y5=ssTjS<$Q8V6kSX<7f zm(v?Qs{j?7`(qq87VoeYF&nLuvUP4zyt%mhXQQLx4Bj7cBo8NZ- zp=`3KU&`r$p7^uhVf`|~IZxBmPRZohZY20IRfrWVW}8=Z*EF+QOKFue+G2y4EexVN6C{=gmK;Jt|ko$!D=Oe9YF}`h63JiOoyLC%xqc_o7 zV7Co6334w=J$@kWvxtc-;wgFXFg&oa@KeA4sT@ovgFL4al4hk zp-fIhk(s+9=GZqlM z{eA9*986ie1z31NR{sD3-cDC%mbAh#E;fAKVdwZZ2Wb=fzQLA4D@nw)o`)Y?ZLa2{ z`#fb37S#07NI#LOo@m`{i4}ubdSfvX>s%Dk35*v9EN_21hTSCGf|Q?XUr;6mN}E)D zGF0}QsyNlD?m0OmCFW6()8&WH(*u2W$-@N$TRgh!_O@kTT%?I5wUU61(I5%xnZ@V` znwhMhNclk}^VU|S4i&gz4w1O8D;;c}zJN~Xl&Im7kk7tm%UzJws_6kV`|BB|F(w_^ z@-}4!nIxOpuZ`ZNMb>-r2sJg^B(c@;99}{E&s6`JA=J&-ZjXo~cq8kL!EMZTz3~@H z(rHz4kQS!tg;H(>&hesPi9`?% z#%Yh@&;%5a0u)5)c}Dc6Cd>d_QBfWW@DVt`M*;vJK}#Zp75^uhQ?*o>Lj5~x2~>GreFdyf+BxTFMyE|MBrLGfOYiqNUedSWng+qV)4z}843kK*WWTdz7>Vw zan6#Y-rnLZlT#aQr=iUA!bM|xv5Z7_{^;iw-7ZJTqT@OB^F70_RXO%t7u#E|d@nXfO+DJ{wOanh-H;FmyGR%9HG(#%pao)f(o> z9S1f{En)6(n-aE7kAHrTXHV1)==zZLgVgkG zIpqH!Vdwn6l(2v0`ud+8z2$1Cq-;03{6A3bxIg7pgLv&Af*?w?<{-UjEWj9`n2>}| zqfJEjLf%2d@syt^*gU>%ZsU2L^AdjLH) zuOG5rhB-Rk@3(wkZm0boAG(2`AU)ulo^SSM({NMA`@xpqOG594*54+SSlhJCMVjb0 z$-wvL+{Nat4~2rahqVtqg^wCQoqeG9@yF#o>*Fr`;K@e%FL%sVSM|x&NvfUGi`n&`>)gIFkBUp0Lj1WFyeqX;v$N&N)hZO;WY%jebw+n{gWK*RN30y+L0YfEAs zdbz;6FZn&|2zqm!QN3ek9RGc_w>`UCF1c#fSh}yN(K$vT-R8lDZ{Jgfr z@b~@Kkm>vJ1wZPZ5kXg`^m6s|no)Y!_*rI3o)%dD+T5pec7<45U&5cm%XW4ipJMGq z|GIMsy$pXD6|nc-)vN#UTJ!ft(D$|3H)reP!>{{Y^iZ(-ed}$B^-*Jp$tpERYu0G| zA?4c1lS9MpBlPd{T&mxyxRfPvu8JuuqutycUXI=SLt2SM5+1^tgV)5#O5L=3zZlYn zcxg@TM%ysYi8a&s3cp+DM{2;F$KI7Mg7ZyYp6ew*Ip)=fWVIf~oGbNt* zmeg8np5m=_)fn|V`uW;UhlWYHnom9=lH6zCFk!eZJ^7wl$DwV?XtN4a&Bee+6 z?hC7orB0~XX*(a?@YX%GERV^faRjIXq8i5s_;e#OIr)RhtD}1sdAo5Q8s2*jrdWaW zEMwo$a<$ALiuf0kZ(lT?=Qp^q7$E?$Upbr>Gsq(F?aYQK6)m_^qKb5s6F@P;xh&`9*Y-}7|oV9w`} z)o(7ybLEzm4r65o1^HKC%2kuX1;dK2+}d5 z6Bz`-a;Aq@@u8OSRUy_K$Es&DR|uh=Cb1!2Grgdq=6q?@;k6xUzPlE)pm-vL1=F6u z5u{o}jVmUg2WZ#VCq%NV-c3X_PYO6QgLM$uXEjC-OQlb`=Zv6>v;|VC|8UQ8HmTFs zQ5&hQgba3Z$qP)ZGnhJ4SmW%Z3Y|M7lO0Q4>IcPB9GQ$&N?c_d!lc|CdD`P0t?O~i z$h++#Md)Lsa06S%d5lfmA#h!cj#^Ws2J7xJxEO=O&g45_^{del1(9$_rv(ZDy~k%1 z+*2Q##Su=6&hbbi%)u_bIc2rdLrQbvU_V`0W)O-0gkZFXa9g#dwQp=gyYylwn8>!0 z<=)-*OQDm%SQ#+gYKuJwk#KbOm`?6wl$=?tsxOJ6$W%AB;>H~ zbQ{^p=tw*vO^-WzqH!585?Qd3w0vVhIYF()>C8Rg5}@{hMP5P!L36Ab{aJGC;J_PL zrj_BAozu;RXQcY!>dN8$=A$8l8dNG9LVs<0DPHEoa%9&pq}FRN=aqOl9(POIlApBT z6M&j^20Kmjp7^`VL!ch3;jwS?W#`vid+RAvgyEg;Hk)j$Ztm{zl$p9?WTlB!kw==R z{oyQO3+jXD*KZc)$C-8Gn4E*(H?LXvxqoiim(yQ*mvOlG_VU9e1zYB!3uhoz)}-so zE6H=SkXuTxi)64Pp;@3vy;3vSlFL=Ygt3=u`XmyNl6}oTLk&N{XIDs`;?gGndM4U8 zf0@u#mC%$%%?YKNAs^9Io@HQcF*bgY<+|RQ zJYq=OX=box{v2+DcKPBO{j@xVWXNZCC^MTjRDA=f*<~(700~dNAmJ+Mmv7>TlTUEt z8k9PY@0=m@n=s3I3a1U;udR3d2!W0*|5H--Oryw7Qp^L&*vXxehCK7wXAMbV%~C-| z8Au6@y||X+Ixt1cC1s&jVl^8g)KKA^&_d;m7hlfocEHOX0 ztp$JJ;rpT(Y74q099*bBJyVgwW>in_{A%mExKaJy|KKw)eB*<+{~};xXJ5h*v-MKF zLNu7`vVV)y7W=y?C-!~i&8CY-h&TNcPWLJIUd@1mSz~g$*17Q-a+JJ#yw^Q@Y3mYJ z1M<$smy{&Dl@Dw~zP|)$#mhTiK|L!J1WZkM(UD&n_n5VUDJkQ02@wlTBf~_(WtLtX zD^?1~P2f8gP0h+y49NrQ#RKtH3_rt-;8^@1n=X$XvkXwut>i)AEB$Es1%YRRExKFK z1tFAEUu^%7rLC8ih@H7uf_muD)<)XbY9nKzRi(1`C8?yX3=#kp)v+- z>O$Lbo~4qQ{z~7bfpKuY_bcg+8c)#FDcsKbVX;4tKB{MM<4h-(Dr|B!J(Dd;TiK^T zr`J>>pypJ~WmOnJSBCPyHX23s`B-cNZ(n@F%-|fSM9ceugj#N^l%&K;bnLTrdAL-1 z&dk964NF^^VI+NZH#6&Rm@tQW7?asvff7Q#)_AGCaKiM<>wI}?o9d@GkF)VEb zl>V^T#J~D+nSYP%PO?o)2t~ees@R9?89G{T@Fg5g{0U^d<`^@yLbq z_Y#N`n!Cw?@9`2q26vt=odtXJu0^{it8H`sDkq7R!Pc-VI?uk5CgJ3-;#Qhbf=j_A zM-vub(j4f|p6yC4J$J99VaGfc2^eBVwIE|9n1se)TuWIEm}17JvJmY0==4GjUA`h= zP={hW;VHIgrH|AoZ(zs5lk<9`pQ-I|X-GVJu1FMNu4P1z*)j@gp*LX`TX*5WaQF`M zNc2vU#@~?(nH=hpL?bR|x-&16b5Oa54&EQJOVaKhB?8sVgP zc-apjuziqus3HkY3Bw|~n*LBp6K2Q-MRbKEY1~mI>D<|b^oi@O@{Q1(7XHrCPx|pY z1|qlY-(4t9!I};%my71Bvy}_?>ro7Q_c0jp7MI{-(eW|Hv6E@*poOPGq{=sp1(m}q zZaqpCVv~bL-qK1}5w2+i%T@epr9NKwa{2mcSniqUImF412wX)hGziZrl?_tT`S&{! z0}l4jljt$yMkr?)3?yurG;V4xa7SDNVc()RtgC&^f2t7LektYAmOHwU4m0IdgZTRW zaCJQJ>*(@B6lK&6Y=z}Pz)&9iSfGr;HD3gRRWKAbK+qHyH`S$lOTEF_2w4@@pQ{Z9 zZdC=wtCf}N=|sq8ejTW~cU^;EOtC13_YJ9(X16AOk1U5Z6;(v*kMP)RRsyo6GmFf< z!nGW}rDmo6MCO$~WCf<&leUb08--pMtXQe22`o$_zF5HP@y_m9?3RoBZVbz2TGCEf zV>;-m$$RewY@gJ=p?5Sc%y`+13F)8waWDi&I|VdP=-&;kq54qYDLef93~14d&s!=;ZjFdd5%R zD$>)JlL9WYbrhGw^9Ip>a8HLRtpaYn=Q+_)lvjRWTb#rv)5fJJEMP-lmqt|#S2`H` zFp4n0plzG6u+Nf6RR>C6mk?OL=8XfNYC)v0Ky>A$Q{UtOHi*fX5Cjp8T!lCG65I1s zU2@yMp_q%tCPUC z!OXTi_J8@ji?9!@jWTYMiK{>-FwmXQO&D6wWi%V`c^1IuSzyKJo|lq5$`-~Q>kUHF z^xS@rBoTs(!1!&AQmF8pgh$Usm2``m~_uCuA`WAy!IQ7O} zR_A!m_B9g^EBrHE<+lmi`fuK72sq&$Y(W$(c*!>45+03bWxA9wvE#G;N{d{SjGkY> z6e$H1g~WH?LXfULSHg@$PJzr!n}qg~ZhKeA?AEzl3~WOi0IvtyGZW4NUSGP6Lb}d{ zoz2!=GcXMQ$~=Pn3V3}_vZlO1fW5@2I(czpiuM6hnBWrv z`9i`}XvR6Z+9VyND%*A|0|}{6^p3c5#(JC8pdEUZR zCXRzNB+St>Od>i;ziS&j;uPj3O}Kk$YmAAZ1(f`>Q(&Tg50N{}7=e@JE-MQO#G?!o z<%S98C`^!6o&+cuS3c3aObZPs(ZC$1jL`^~aa%vCBamgY%5|`9*Dz2nG%2ppwL8>`}V!f{ZKG2N*?Z4ke9W~*HHuq({Iud9jGDHiH zzn~!>0wsbg5jbVIA4{1xmcz~BeTOSwOeU8Is*@`Fxv*fHw?30MCsuJVDB4=c;Ebug zAQ$8~EHXAh3~zE2Q>Dn|Rx}y(RwO__4lm(Bbw+!g__Ft#T|en7N_Zp*BG~h23d9SC z#L@y2L)2NJ*J-jo;^Fr|r)L|!WF7hBZL3SXBWu+_g{*Ap+Co5cT?GZ}4DLq?%3&j9)hc$=kf%Wf;FMerUtHKH(*AZ+kl8NqA==!3M1(N&3lL zsRr7?kps&Z-FsOf#G?B^gjP(cVRdNmJrLdyxQMlwSa}35SwBejgp!igl&U46j=nb}K?%MI zDnX^E@#cXxlk+&qsG*SuKWTCe^RuLoReiV;hu*jJ6~6p)>n>~rGf+di5=Tw!SQA)b z$EpjKG3dWN2on$kwDW1UVExW!N*@^$&-B(Xw+<4)Qhd;%!|46CHsVk43*xD>rfy@J z#dg28=@DA4T>O=ICj(=#DYQL_sj;GHkW*-^l0C{)`Xt1SLxBvEW1)=6qM&2%%3QFL zeN0v4vbv#hCd zI&cnz0YZ_eBV@<|tU_+0%RNh}8gRP9IsY(Z;;Bd1MzMJc%3hFto6#?-0+?)!Sd`(+ zAx#QPL>-Z`9p^1|88JjUHgu|_?64-09kC=1R9@nXOBSe+0z)t+3+V0k;kdA0STNTF z>e^zh&_?V0wkN>a9IM17NhWj6E+0SV3;L_;9W77CTP5fDKYe(w9ESUzlYqvf%ci|mje42z* z+c&0~Cd6uDSUwmjE)_1I`A*{bIR*__X1w_vwQVivDdF|3kv-!zHr?#5@~p8}rQY)c zPwDxY*F14-I%Vbg?za9jC!-*&o{M~GT70^RQB2BTmmL|rpYSoXb^^S~$;57(I+HFaj1qU)lbl!k_2;l!`M zWLOg~KsI5sXQp3+h`P00QhG-s;`=v3(}VvM0Ko;BySUOFX6@f`%;z`8ltYafOr2zyaE*FNmGl#3x7F$)4o#&8=+~!ic=`6XB(z5j61?iJ#k!V zcmyUnUfAr3qNTV5*2cjQwHu;5lehHP($|{1dSVO-v0zuk}Ui{iLHudOu-X3 zG=7y1yYV&=N*XHSNsVXtW~7}xK7u?@Mvxh7X?~$A(R9o{Q{jfcg5CySyFNaWoRltz zF*Zf2m2dy?Efe-x+DA17GwN1)QEB`0{jiBe53Lmu1};Ai*ljWcv^~DZn8mR6RrABf z3C(w4pP!2l^-yhGtuycyWl_TAX<)S+#p@r&^io?GBik*?$3V*x<3 z7|?l7N2m-B2zwnCC8D{?Py`-7cO1`NsS%@RX;F2J1Xm8Y;VY$cad|v&JYx!Nel-T> zoi6}visu@@NW|)E)}=hm9sBl?3=09tC-^SPJF;b%Q=Pl~`zH7zLT*DR+!z4!ZIQBPdys4%VRu^%a$<&axwBET}0ZwPwUh2(VD zY0qlZrW$Nlf96>ag54lQS6|p!Pi~D|H6)?w5$LQZXI+Ar>!Cs>P%P@a1K+TtgPTlR zss`qYfK~LIr&hScSposS5XCx;00fGKbVie-}D%!D}=nj&0FDwdYL#XDe3g?vO=g59G7 zi0?mr@Qyv@#38TfF+n-cTGbem>_wh)EX@v3OL7y`ESL%&I!$O(pbGH#h#Q?wuOXbG zGy_PArl;H29?cR9^aQfEH?1My)gL;Ci_=Xu*I>r{;Mq*iTZxU=XYE?eP9tOs(1<(3k|N2`9S~?udV*ZjyIkreg1LrCh7M3*2TigQQ z)%HnO#wFMLOkj+_Z;Ky$oGYAP=N)$3 zau)k3ElWk`7Qe(wx`?CZPjt@^Z+H;?hjwKek}~G?jp2H;7}^Tv^-YO-GeA~Ys_7jp zW`>*pA;G=1P0V2&pU!d!$O=nWC=>+^Wz6i)bYLNA&Lw#=p?p&km-@LS4iXU0@P-Ux z{MwAlqM2L318Tm}m|n-aSRwuE>NUaY{r6%oi}|bt?S^(6F9Wv|*A$mX{6&j-^lh_6 zNEJB_9y8J-3j0I!Rf@unvMhTdQ#p&m_}s@DPguKndXfl9>7&sjJ`d{rtRM1T*~)pq z3(0l}@57=#Z(mMnwTKmEOexMz<)t921yv^XqRzGuqh;pCT} zmod}vSJ6M^N1T}cw^-zt2WCy}wVeS2tvEo^#3v2z1pHb8lvv&8*VA%q2Q^|Wo%g(V zt!4hwkSTzMOfm4Y$&CWyLme?7K7au6;SmrY9_x}Ck+9dabM$@F2bKmCCffazWq&SnEOF3j#&fMbFtfa9 z?@pF;{uJo^aKE)hS`Bvk;dQGgn`W^dTr=6BlY6n~u30eEmh(^8-r6gO!}{-%1Rfws zu=3JyyR6OgAjfaR3_jmv4$bos@C5#uJVRdh} zaaksE#YWVUGD6(^DF7>}>5(iWe5lJ*y!N0Ya8Ku2EH91nr;mBFDmzK6^w#Bl*T z3E`5Ka3in5o}r6a^leWWMd}3sesAP+{0z=?udVHGGRRKt`7Idw&j+D1czgTZFXQru z75uN3LA$7@$Wxho_c)=#ZFhZt{yREsHYa@yDE*>@{2%a;89jf0;XMEjmr~&zl)g^U zUmj?$^ExOU!2{T^evxY*{wEEw zJwOUSjIGx;*Cpz=-xYgNg_K5<+Tv#zaY;}?*(nG_AQdov9Heahp#@A`m)=u3AYd$LjY_L4`72)0C)#4 z=0;V_hEmF!$Wjz&|Cwm&)mCJ9uhzRa(VG2r%8GJoqB;8reia%x4<}NeP*Wo*ou;)V zMwdZ7y(i|YLYA}(o!^$=ihVEj;;2Q)HbNNIClv?d|721N#I6s!Zj$zZ?7Q5j{ zidz1pEpt)7%sxTj4xP;>K+^r!)}WGV;ZJ{F7Udw{cI-wDOTlKcmIp~U?$|N>t;_dT z!=!V~u)nVqvovisRMiLsGN;aC5Ko0%IV%`VG*R&aD;nQn?r=;#P@|GR*|VT>#Vp)R zb)R=;EyMQg^vGN>g8@KVcj(q=2N?$k6>12&=;-$l#w+hd(_G4^?omG-!E1Q*#BKn} zYOJAaXHTpl@G#*4OdDp@H~7(kZAj7K8o;zN7bFx-RA2Or7cjizrlN1BWhO1p;WaF- z&wxwcDa%yRK)fF2>O>b)-3t^a@&__6gBMAURyE|7d3caUf41sKe#l=&x z>)u!=68^2?YyYKTzUguP0XZJ8ttj=t>1At%DLVga9J3v6$3=b6Du8p{0G#WFLxRt3 z2yj0rfcvc*Z*4;dKc3n@EoxuS}VLzkkjsY3ZP zv|9)&SuYOk{@6L=rK2tdY;%Qj+D+UF(}`7&B`!c#@kIa-D+z#DV*tdu@Z(uB$(l%J zB5|SgF+k}Af89CJA(>MnK<7yv+Q!3q*rQlb_tO`;yGT}Y3a;s2kUOP-x7c?evD zzw^-NUHHX8WKoWNJM zXTEe4q=IeEQdxzr^l_^`#9AZKQi5^*aREjnTYi5sPRNB&x#>f@7mL5Bk8Ui;iVu*B zWW9EsJo8Z40`_!tRa=W~S!Hue43L=f{)=e2R8fS4z&_kplHBy)C3b{~1h}f^=CX7G zoHijnX%x1kJ23xx>;ZWHPBM@Hl7V;9(v?JjeAQ<7!Jm<{A8CLnScqOU$YCwTg5Bm5 zAVy`O8|!{5F7C5L2k;8$k=Q2 zDEq##u#?MGXGnN;n>JG7fg>pTLkZE@3t*$aqq}yaHx^w)8U%`8)n4(w|&|Pz>L4i z1b0TZdSITc*$pB3F?sxPzqdF?vdoJed?HmjBjch%eG#AW*y+VSb zM!H4Kg29l{msgOs)7AQ$0)i!)Uv?3^LhLUWy+Qzj?G+*<418`&7Ip$VnZP`|sD1_1 z+B8vnk9+WjzL9H$QRT&dBCB@IFPT%Vz@-SH?L9vsB1wRx%?+X-T#{;Km6?}ttL6d@ z(H$b3fxA*Vz_JwqmaQlwX_Zj1rwv+SR6qw_C;y}S^Vq!T?_lM_-vOXYd4>RFLvsPM zI17T*?hORD2AjF3T;BdtO8RXX%Q`j~wb5@D>Rfz8@Pa1MXJZu+2CWU?+b5*aR}G^1 zbxY&LS*t;V9#+5UtcbBJgft+A&d{*C0^Dz>&TNGN8g@{6_1U>7)R36p%f?aSBBYXJ z3RhZM5(!BDmL5RNdjfYqN0iC=l8;(CwV9+Q9se9%sks$uI z$F4tJ|CfsUqnIxW$n@rbXmhGNuET9CiXxtHSMeG{5T*37QR8kPn#u)XSL1C!f!fSN zOgc^ff*kuc@YrTOwHrc94S9pQ9O9b^EY|m=9teG?s3^Kd!;6?SC=zcIb6H=T^miia z#}Gm?%?f-2&@u|!;)4oM&(p8AXU7d>h*=AN*tg`z9HOp5(oQpwIG;W^+GZRV;K1N3 z@pp|n%yd80a#QHP+HdiT&Kofj-UlovO91tqqutz?oQh*B>z(zES$JsSYSAy7v?g_O z^)U3X>;B=xB5%df8YMgkAItn6sfo)~ZKvor!Ivoxz&n6Dc~R;N&Q}Kk(z)t5U9GAQ zI-&;111iEj@#Rkiqx4V>A-w)Rc#ATi_M~yCQpk|Nhdwv#j$314*nR(Tp6FCE} zDmSCU?(9R(+Yc4b&=W1gqGx>PHSXtJR+Ijj<+RazmMt$MuTq4|fB#!>k=ZMPX1Rh$ z%6bPKDu8(}ujHM_|Y!Kfa{r8-J%gVB<=(w&PA?eS#oZb6((uQ`7njO9!3+1|+ z0@(XDE>4-e0-3sh(MDt3=v056FFMu4qUCnvp}1#3s`}w~{=eKRy@^ zo9bR7iJFLofzNdi;zOnqR>BMtv%}2qEOaMqvV*5U(Otsaw#(OvHm^$&_LQFKDjYv} zLD6-G-hgG1g6KyD^aP?NVS~bzF0+NKPxc#EeLRFs#+9uFa(zP+ITQnsgS;#ODiXtKqRC3DTV$aRUO+fLwngK zTH<&k_<&w7qkEs@pOLMTUuuLgB}Xj4U&qH5wZ*e=-sU7}^VN5pE$y^#0j%on>gTB&a6v zk(^bZ06I&_=S#8#nJG8bNS+XZjDCd&DPQyRVQe?p34f=#xvr3M-8v(u6#Ru~T$y&Q zp_I`Z*#M5cqW1HQzfWfGfP-5#0r>c%4Y5U-SVd#&1OcDGj@234I!(bv=jks7`wD3Z zb<5fQWK6Q}y^|((|T#ai$wnmA6a;G{P^5OIbfwcS;CLbCZ-p(kCzYhx4(Zk5+33zwcS6h&ACp zNUYL2z>l59ZRs->7;!8MNj&_5U?wo9L3i@S>fB|1SpfN>)aolqFS1$)G=uec28e0k==q9ws9R)i zzpa9s&5uRwo!6u$5uW!>lGigNevrHFS)7hLH7)MOVY)}yzunHSE4^LB{iOBKi_SIJ zk%zhKzkg%Ey?Xh7lwC*JDq&H20X=E<1|)yMoo@>3%;F) zm0CZ!>V0o%OqoGRZaHMnS$tXGT3zAl{mr%ge0mI)d0GF{Sn2b)E>ii%v>r;<=w}-@ zh_iORF6^oJ>tV`!`X~NTi)*FgwmYX-=UCirgyQemW0BK_3agT**X4OW<_FgGfx4~Z zAJsoZ2=S^#Ih5~A9*MqIX}pav`SIvWmGyM8AgZL(&>vpryphUqx4xF^yY*B0UAxNp z&DoAz+xt~%4O9&3+%#wjn_3=yADpE|CF85vWAAv$zOJ;g`BBB{4F1-k*!##MxURu% zGB5PV{?`3=zd5>Yf3<&Rt5@pwl5a)w^=+;`t`L*SFIBojXkqFtQGD3%b>8oJRHSkH z{*csb{8# zkfzullMEi8x2q4!Rq*1{iIcI%4F(zpE&OG><)>@ZltdmLfS(Y{X&7KB{?wW>tJE#t zGtoHyYxs;hfq8w&G@@FCG4?C?(l}s)_vx7TPZ})=ZJ8sXy|2JTobR{vy-Ri$`|WGX z6bimMyW*5_vElfo5*_uUEwpW(fbFBOK2apohdUHDv`6qX6N2R4AZSOE)SVF_m=Bp$-&q6F#Fnec&_pXGg|HD-r66Kgoq?TZAmDBA% zT*XZf4TIv~h)mDfPo(c}YDO+M@uLI_OzIf1R<6f_{A9 zBJNK3aBayhGVRy{e$kWguA%i&Do5%u{n!9;tkdF0S9eq0wcAS1D#i1&$7CPdbyU9^ zQk~WUXM*`t>*g(Pi^KWnLNB{P6bEy#%uJ`dq%&t>&Eg`Kce`nHb|alLSXU_Fd*`ic zx`Nfjv*0fN;O+bVA-3$^qwR$(L@PzPCUO!u_0#y7>~ zIAoXd7^Dg-kNl|@@|PaR7#{R)*>%}i4%dA7@HkCPHj9T@mI5BjYH5S^%5{_d5Y zjZ%HBuY5ci?o3U{v~6f2R(zD{4oYhet<19D;BJrks*BeVGg6o($y<=ZP9Y@Rav8T) zkwh9ws<@fyNxz&`Z9cK_=D0JpAzRw*nND^oJ(p3ZEz=P6u19Oe-FuYQ(3Fk4s%LP4 z(#gQ$)9*c4VxJ(sBoD5jLmoZ2Lrxwh%9Y!j$wMFLq{C#)G`@Th;(ont7<*u~AGM+J zJUCA&fD)SIlkxB!o|YT=PBBkhW=fodE#NneQY*yY_V5+%UN?n6BqgM8(kyqwS&!~n z7Z>E2g?j`s6|zLJEKWk+q6|mRyMDwIR#+4>ehZh(_-<74yk2;1bn_cw!tjnQ6zf|( z5B~g$KKFjzX#v57?!(S*nG`ha{1%_xD%F~{!m)@*cm8I1{Se&Eg7yxSKZpabr@u?x z^2c`Oin=TcgeD?G@tk(xtd-!lJh_p~tn30iZnw;)nQYr4rgkW8WMqf2HHF5Glb&B4 zx}3Ly9>~`Urt*~Z#e<%bw+){t%$Z<1?pEwjO5OP@EibE=_D%D=`Z?|oG+&iVLHi^F zlZ5g0j=a&D%jwh>8zKwDUk69HqkS0o8SPF|b0-43)Q@&Aa9EOeGq*1$Rqh(@LN*=6 zM-KPbMnkbwMf^s3LEK{K2^;(5+MyT$3Q#Vz1<(Mm$5q9p9r-2>`CeAy``Sc zA8zl@#zeH4PSCsGf6SgJPF#OxKHY3sCRuni1u0Z*>saCVnC*np9(*=Pua;||9oor1 zz(gH&fX2Wl{;vG%JEVGvc56{I{VJc?Y~TXm0HnI>EGn!1*|xN=B~w6Z*@BM6{Q4osbQyfz-^Hy@kGZ+c(kGX0}}L3j6dX83uI*~anS}e*n_2W z|ClTx!>bzH=-;()3i>tL6AnFUaN|aK^}uo)rtfQQ|(IWtt(2fC_*kzk>k!6 zO^z5AX#;LV$DT{Ce)9pSr~eXa~O^&RuV*6%^f4@G;hH}hG&pdOE8_bDykoX z8hF0b`F(nG7PYR798@CmC`hv?dzH<5<1Z*8-tXMATiq-=wVJ*Ep`H5KeX}kbzGY4j z6Zu(kV{)1wy-ds8zRmhsw5r5u5(;{k1nymLNt^qbPBp{9g~x8|>3-{RL-u(VksvF< z!(+xZhZutT1TCg0>t||+8xH#5YmQTKL)IVS4y=ES$a8*o*dk(DPl0V%?sdmuvP+wP zgKd!Sb>~|I2UU0^WaG;V;_;U!BXZY*zPLYTy$=TG*}O505C>2{COI`pj8(vz*EPRm_#BAXcl|ncgOtWqximf@K;5aIM=D;4#yq* zM9k6raDEO;i4$t>BmM3t>MJ_~;kv8(%!2upPN<#=Y!SrABvZjET=Nq>y=hmfi{FeO zIW-|2+IQfbd4EP@wwT`s)=E7k>@MJPB60~~~j?%`5a40YcTMMpcAhF>CnL?g6C;}nE( z+l|$c1?ArAGq-$j$@fcs*6G`B`eft!JFZS^U0mf|0}x)>z{LYCmpketcci-(vyPKb};+QT8<3^>bxK{xRdxTo>&*v&s-O zC$F)0NRT4%4mSxjwHpjJiH38vj)8mp(1v#DX|JlgNU>F-&SD!k6$&ZP(uiF zzedJ?A&kiXg6gF&S(3)k`vn7&Sjkocagm0Ltt@Pa4tZ;#eQ$5Q-uY~H zpN9MT)ZEr6WI->Kpe$#q^-k`{9g?jS4_^K_3O!>UDfs>Eji{)3r>$JVLjL~Z!bS5> zEMZOO-2FxTi{=VfkJ!(T$P@%V)G*Eq+s+?jGFigL9oH~?Q0h4Cv4i0D!;Pou-KG^j z)wVK>Fnmt+%4%nUVbO)-`rhMeb98kEqDfKT2LqLddUY!=s0u_y)! za-{XN(VfH@KQ^MDG#SW#NI>r2fQFB_}B`mi7*h`@Hy}S1r-}<&z zpd$$*bxP(R;`4FfHhEgrdHpG`@;iCR+rNp6lDE<*ihY! z1u&}nb~MC*ANDI%Tj8@zis%qg(a5}FTad8OUgeQXYuDDVa`u=T@0$zX3U~)qcJQH6mnlX`^gK3H zV4PNbN}ZS1N(Xy>F!OOo?$OdQVO!aaY6-4;6}Dz9<91fc9@mzUjx}=<)~c*g`~D&sOkXKl5PI{Q3&UX1^EyJ4jG4-iQIJ->g^_C>Jv6)JF6Lh*w%5 zzJE^IfUV@HiZUvM6yvK8pp3+YgYGYdy2)|y5ar>ZX=Nur|Ca%7&0hoDDk$>Zb`!RJ zz~)`{yyT;9#wX9u8SY!3$d)-Z;YJFNl1a`GsJClZ9Gm!WULc86>? zmX)~gqh7#ImeIzKrm~B5g1w)mTrPAsKUf7f2f=wF)@u5RsYN#u@DK-!8;bU)S7vPw zkKRJ73i1b-W-E~|mU4!hUl8?0Oi3yYW7iU6=DV{qNh6I!Oi5wKOa*SSw~%7TPStq8 z528XY=Hchu_Wd<1HT`Q?Y6xc-+inOys6R?U+=>nH0wV7BVE6ncJdi?gn@*)1_`(&; z*)3dk-a-XJ==9AJLR*)@W6fnxCF)@I+PF9^rQ8(Y^|)co8)0Qr$sp<|KYU{;x#{9U zs3p~TJPDIZNuT0O#mj|)8tL%%{v}{!8B7y@GD#pQ#2-}rsR-Q>{hcEv*Y_U=^^{?f z2YSd+zXP2pT`;7m>TJ?81gaT;LS7N)&TwS|WE!YcZMwR|x9W<8Ufo$Jz3K({oTWz18J!CU< zI!nK9&rOc{?#_wmRy!RJyhmsx{VmmWlNtkF%sJSKfDCGm2w;CAZ}j~Hn589akCm^h zQLnVrN3b`Zl~S&F{%-R$#8US?l5n&;GU@DfZ@Tf?9$INJa|GTv%bmA+g8;^~1I~v& zHQ%J0DBG>#2W_$#%oMIXx@+m|&P6ysy4VS^IrWqe3W`lMN~WYoaHgh2z`?5R+Qjsh zBLzDjODfE!EPY!6Oc=S{coKCiIOH@m~+ewgLv_QHm8$PesZV{(cYIR~LjX zX1kYP8oP5S^*e23e;+|Di!Ur|3vc6u;Tge7su2Ce6G+xknAgLVG;d^oj($QYSKI5X zGEN7r403bM#BDh1i%atge~`z1NS8l@{o0w}_1*!pUo3f&Oj!JCk;Ks1EPH|Jh3$Ab znP?JN#tEcQUEXvxzXa9jD{59c zvPZGP4{iEizR2T$eUVB0n{NVv+h7iJI97$YE_K4*??0$(V|70MAd|E5Xa$ek7^}1W z4uk-lx&OaJdh_2%2ZHL~A|2%Yzy^j_Bu^3n?js+#k3Z}M8Rub(QNJaXTvbWUX~lu3 zAB>xbl^aDzma$Q%iDC^NrErXPHQ~ z3XP&ACYnYiBhp6Uf@3&h;RiX20d5n!m$EeH<27x-PEv9?L}V_!b8TD&JX#l?G;%3mwGFzR*}3``U2zi`$GWWRSBUn-F#Uftr~}izu@m zI$@K~Hg{Pd);YtOxDz=dVW&}@I$=fC%)ycsB&R=iV|0wr`Qk7h_424JE}Vyi4K=Qr zWQNts@>5YcHAOL%2NmP$!z?0&Jt!pjSx=pa!oGtoJ|3;OC)j(Y&1vMbx?M+~qkaeU zb3cms>mF}nJR1KGP1hJpcb}d%9`Ut|`BsF7Rugpb*Q`5ll^ah~yUuylJH^M~ zCPTuQy^v3>Pd7|;T7gnRfKf_vZ-0E`-H!zMIHJB1CUm~S@RZ&7ksg*%bzJCOHQY!# zZ7p={N~W2hz$BQ}p{5#t$QDL;Q3WLkV?|_TP7HtioOfL#J+a+MAwk4rlh*p%S75+} zlj!g)kW;b;Sr%SL3o6 z4X;OxO5VusB;SOPa*a@K$Te}&Wa7Pj1e`(d$!AhDLbs{PxHp=3wQw?;&9P6NLa4o+ zcoR_a5RqULB5wKEKY641lk{Uq`vAzc5D+$3%I1`HY=TbEV+k-V8YTZ}Z;wtYo|cg? zZ^p+PtYIt*ua1qD+e(Kkjw2;;V5H0&JhIn)%?JBGW(&k2m?Y>B97G%>JXwG48pRCO zhivHdGNEha5^;}vP|($kNPEfr_=UY>EE`)O$)f`uGEpa`Ga7Dw_q=%6>|vqKnOm1z z%lVevPDPk)6VF9fQJ7b!B&al57w&_InF)C%C0W`9qg(+wSw;Ll6D#MD1q>P5ZiUw!ox#VffT0im z;?#X@N)v2SDe}~8shVE!$i*Y7M8739Z>YFAi!f7DLCrFKh717_C68u5)07d^qz^@` z%$2B>>Af)OFMnbK_NK&(yo!-Y_!@9pN6R@XB&K}2w~mCF#eVJHW z?yEDpS4#dWHtj|7>rL}%!0q{)RB2}x>)Gq8d zSnxYA>nF|sSLcfFRsWS4KQ?LWexB~&!FM>1r5lIP~E4~Zy_;b;G%V>a z=v7n~=l^0)MML5ZTn+zIGww&;$T@sI?+2aQ%qf!T%{5+*Lv*lOGPI6K`Ty7M^ek?J(X-cN&B>VjVP>ih)MJ`7*}Lv(yT3KC;|T8pf_QQL2(Y?U+^ z_{vG`w;S%(Af-|q@pC*=W6%UOM$kV7zB%t<*H~rE5^>Yt2d=Wp7M{4ytv%_H1E%nw zZYR#)sT&Wy1V(P%A)Vzd0E4_cBvM$IbG(@tn``d9b|^>>O+){m#Q$h1@XxI?ofRpR z%V5swVpU3FeYg@MiSYH^)qB)y%tBvh!@=5Nx(~>&WhX#>ajzEjdq652n0l9IKj6aI zW2zA61-upPa5lX1h4_kELKN>Ik%HIHXHrU2UA&VC=s88ISAI%C(Li(!Eii)Ob9`7| z&WbW^%ZV(LAbS4rS)&K(oK9QD+$`jH_97t1-qe;wc8ywJ&F@=-IFRRqe2Iq|MQMg{IDuT#ea?l?3t;KB^&wm=cW z3~xH*G9f0^c**(rHcNku9^#>f=cfOM{oV3k`#S;3&j_R38o0`vn90^vWz;AZ$~ayH z{)eBh0q8KYQ<6<E{I7b}zF<<;uw8iJ9Qv%OJr{)fooyUSJ>dee)<7v*C~u2G zkyre1l+L~%qa4P%wXDglex2fFtqed$f+z0xDJ};BfIVOUQUb?{xT!>r5Drw=)a{1;f&L{vo#`F)_8#?RwHJ>9LHj}i38Kq zsqx-ej@1cQqT}ztzB0VqfRTe>iH?!-U_Meoj^))3eZLoxvItwTmiWr3pC#1i3kBVN zZzN@;FEDsDk`khOHVUyZo#uu3UnU|E9AYRJ>Wq`aw&ILk-O09v`{$ zt4M!*LXmqu9!W$71KEi*E&rME7Z>bAED`|KlEC!mn+Vb2lemHn2Oywk@}S9Y-~TsH zcXE>|6E+iv_@K_+dCx*J68ed#*h3cBd=c?D!dyiA|M*dFJlA!*%XnTYo;f(FKN zHC&o8(z@HiiSds2O<_x(KLC;uvA7#qEX8iBaGjE*xf2NL{P$J3ZUhB}mWm+d zdEOUE4eXeqFtN>Wrt~mLQ@}6cUnZvM2v9Q+0uVAgffN|c$r8NJjtVr_zVG6D1W`Lb zaXRxpGnS*zNAo?`nuqne&r!Y$vaa4k`m1XLEeTOIEp)J{Is!rpGi*QtM|Pl!nDCXQEK?pN92 zN$fI}=5#9`bA^Xu*400MZC8!b5|W`6!4g;bi;Z<3 z6}i~dV%%iMyI!2mU>v~U^GvYdSp@|vS{(NH%3mCHLZ2nsI)2IxOAVoH?Yv1U(XW~D zy?-s+ zf5CW#y-y8M8hxpt5J+|ul%gvo?eAB7&H?Rf$kOtS9$12*YOCq)!MFYl&#qoBSC39n z44oHBj%SVurxBgWWJgg6?Gwt`?l zXQ964F8_Ax3;dQ9OwX47jbZr%O;((F1-S#-aV3ORE#Sm7bFP3jdwQ_1E+>8N;2b3_ zIw&&vH^l&RuE9W{{DFI-EP@cP(Xg9Z%x7^6y2@bEG_bsOK`?0s(3&b&UTFCh;pbKx z*0qOhTd=R@tcLgpHFfIiQvD^3ugC#_GmH=boTDQcM0Rs|KkQZ;Yzg3818XLZ%M;3*r5@FiUN#$R zNsbP%X2QJe;nxM85i7y|@#-kn?s#|ezacY-l6?u<( zH2_lmPz&nJs$|LRXR-m17F5fmp@jX%V4Ub9avM|f2;DgPN9b0jWVyxCuo!nV)E}Q` zHwpJiGvtt);bc^vNi+1YTYu<&DlR^D;@-5C^p1bzJgM{ z1eDH*&@I5)c-6$^RM@anIZXaAAYj9wxHURBJ0pr^&8x@oZ1{mIuMs#2{50}W)60SH zx*Sj4w-UC$nO3nz%lEBQ881!XHKZj+sOA`3kLBZpp}s#Fa~NRPxsw)6(a5#-zSE){G7>1zDexd~?n%eBApC0O~I)6{sgZ{<}Tx65Es(M^` z$S-UyoK`zjlNHdT8B-O=S3W{*HVA9&D!VMy;Dd~czPb>{eoCFJx)8553iD}3$KF-x z5%zv&2|&8kZ-5OK)Bkzt3}9i|ct{IJjNzI^eqw!E@b9ve$2{ z9YIBxW@=AZFvmTh=IYu*2$`x2C_UI(%m~N;p~FNOTGx!luI?QEyu?;Jw4QvW=~90)A>W_On2YVms0>ikYU`lg;D z;{&v0O8_L7iPld@q7obd*l>Uj9fBq6wuPJrca5?YY)V;_;R1 zI&nII@jf+@+?ZY^PImPlX1xnm4EbElJg5SSg(vER113d)w4goDd*VVJ`u;g`3*n6= zlKMF^58(|^c{WpGqOC9R|EIoN1>0%jfbvQnHnUO0PAxM#>W0-s@r2!Sf9>o}PMD%RlHGO*zhe z`}4OimUj2^y@8BbnYnb!CYK)F0AaHP2wU?^?2lPw)n;}0MG_!`SAioTN4V-?%KhVW zp>?K&hNkhC93aN&fEcHP>4!1{Vw}$GE)l;@SAHA+yR97hSJgn}C}YV69I;{oH%Zi# z(RxvRQEGjbc|;FpE8ZXwdR@{sP*vF7W|=0OdR~!H|H5fZr?y7q4=ZN^{hr|o1kdQJ z(Qo3U(f`pg&}sY9O7MKIPj59C6RjeIKSlA!WH@IkQF?j#{o7z%BFtRO#U{JF`Fcl* z)1gD)m9eiOue!?t94Q!~AQBC|1`Zy6kqSCAG1~AY1q&RJab(EsBs&H`nN(If+C4lK zu05p4!Dmlp-aUJ-u=vdbj|N)rihSTx5j}kyUt=P z?^i`-7MKniI8>-tEYmK%_FxE+BiDQT4rIv0QD3lG>bU(zuP4GCiFT+ioSM`e;Wu>e zG3`mh@g3$aQ?eFuIYmmr>cOc+d6>^hJEIDxtX&B3m0eX?ugOD*_%ja5<@K;8waxhp zgqGn1KX(kgH8Qr^INz)!Gq5{Lt*H7x$#+zI^D}I;J zlp9HlBZX7cM=++ySmBJuv2|C+KxJpyAAGN~Dqga_X2py>%4R45H&pW6AeiM?>em$gR?WRMgrhkraSy1F3Igmve`_vi9P3cCr$Mk`(}6S>OdEgCbz?W~5Psq)IzJUjHQ-+lDZVE}MHB5fY^Q&hHs&i7 zPT6&VrLjnt8uQ&=#;C^$=b70Y_&~)to(CdYk1cLisOY#Hm(?#7(^K&X8y2Qv;)UM% zuf8!ne>>W`L6~oibNd@2uP*A zvs-T3|9^WjoJ@dp3cC)hB|>DmPHDMp4LWv6|1SRYIGD3kp&v8UJCkrU%xzhs8{5x zNK2gj^fU9ZxfbC6I%4i~#yp*cQPwov*Fe=7m$-Tw(x&kWM@uc@j|Ut*WoG&UxD?SE za`j%nyy(0YZBIjjXt(dh#;S_{NVsu(j|IRI!h&O$_8~8OuN4(_*jIm>&aCMF;c{Pw ziwU!ahP#FHk)%r3`soSR1y8EsJb?Lr+YP_yQY)b7XHd%imszgLiapmB8PA z^L0bKy)ry}2>lhw+-#o=pCia-$s%pD~NJV!4s)xv1yO+60bv?$W#Y z!*dj|(Q9_Jho210fBfP?W;ui|WwZ5*?%hvmYg~Y%=zY;ONw2=V)K-Gy`8)7@u_S7tNpz$b3~Q}Qult#^)BDp;3)cZ zoaRUN$IGkagq6O^37|(22iFVK^L3Sm>Kz9oKOEe3I^3q6eDNo!js1XFW<>| zxz`)vvNojT$k{}|`>Ic;D_Bc7i=0smDHw)q=g%8)MNVgWa9giu>lg)2m*_QK9>9Vw z6q@+le%uzXxT7{~JkLkrh1Vnhz1%l z6dmZltpHyKZu!PS)}>5J5-&_;O{06GJGMRp&p2SyrNwRml@S7;BgoRF6TWDpjl?*h zCh9=JrLLkFjo^hh}dvrwBCrAw3)30*4)qY9!!U*VmkrkJr( z>sc$!Z^vH8iL_Ua_i|7x8cE+EO&p?=zUDIZ^$9S&e)43kAA!+{MEDA8t?(tbX zZhBlWd2FmO9OZ)tt>}=Agn*jJ@Uzfpz?Zf(mI*!o^9{OPIco9KNttTWfad9f%(E`PU9QoUWRZw zUU)Py7L?eh9DR_2s<)4p=RBmCeCp-ZcZ6u}>}3-`cufG|#UGUOEfWZ@GR_0(0asY$-+E#Y z#!mme4rY$P4Y`7ocxMCEcUX7j7bQ?S5#iE|N#4}D&NH~!INkZiC`kVFI1YB~wEY8y zxPRa+%`4|X-pz_FhY3Iqs6@bmo=UTJSmJ6fe~-OKN?hK|Xu$QVaMm_8RA&2dxb{;m zF57p-FT(O!>eWliPUYKg0lPZfZ2R?(TzwaRVAEON?RRPAuw0-`ZYv6gOdd4Y`aH`O z>XxRUjff13KEaaWJr_0@JM(u6O z_VxfdZ}ACs^D=FiUduQ+Xxo`Ow9i87^1dy~_)<%SOPCBcWE}i{ z;ZHu7PlCjI;*TD&vxzPC8bN4j%8Gx-OQ7O_Fy%5T5nBE_H+qHz3LNX<$%tg)?qed$ zDfz(b#QiUy5K?BPDi5d`zE&vW!#FjOVYFK~`wY{2PS)_`XXlHe;xXrGI#$@RN>v(j z*E?It1`xB@1P9W6ML{@x{c-b_Y^D_J>m3`{;iaR>zS^R)m!_Wxg)&>d|Hn1_*k$C- zI7YYqnRuzu?``xD?R6rOpc0MBwXu+~*?@514a&inLhAoT8Ph&dVjP)v{3TX{+jBm?$?J2_ymmaY2LCL z8to{Rc&WB7a6iz3FbJNOS8%U=dN-$p;JzmBf^ zJjZ2syj&Fle}t_M#@#ebkoi5%XLnFqtwWl|{wV*iN6E}9E_U_-AJvl$$I4`}XHvE5#y=71(&6Wj|^Haprhzt)M@mu{=x12c^-t8qaB@Ph|wS!b2*(bML?q=x0ufIRG zOMkw<36%PtvVHmXuK(@hF8All!)i&m@6++Be$K3p+k%d-@7l|+c^&mH$H#U89}nyH zr;eH3F!IaT#-A;SFpM?(?f2YBeKwlY5WXL)*LEQn>(T_J7p}Re2Sl65k1hNlYlDx` z9?g6LA9uiE8cy9$*CUTtD)#BmTh|8Dj;h_*)yh#v?JK?WX5)MrXhi|0vZu?_K| zv0d%_xWX@kxTz%ijlmUxCv;skRvcfUCgIH<8|4fe*JAQE`fgYR25O3s(_W$p%0 zr*mV&Gz=DBZE#$cW^eer^*#G@T;9*?9@agPTfIV(DFm@Qa-MYK0D0aItc6)mB0Q6|L<@F-dRBQH%&u+k(jWx4$ zW^xtk!hy(B6PHo2}un^EFqV4kgKnYjoKrX&8EDPj%zX_PcH06AROXE^W=)BJSONIVF8_opIE`7aQK?+5D5D=Re&D_AB0DFPH-)Ibv&m6h@$CssX*QZJKYm4Wi%3w>| z8>Ju|!Q9=}pYMu|&arzZFVK6&6r2+)iIj-ptud=O=I6s8vF7ue>zsH1ahTZKtr&4G zdd~hDrXNwud<}??^)mG@9+TZDTU#DaEDtiN%G?znwOw#LiUkRnM#SK)6m6H)jE?&0 zM}WkZ&TpE}y5Hv^$=n6qNEKY=yzvx^KI|nRof7>@xyr#m%Az4f82MTM!(9RC)TXYf zXmZEmJoXnc+qZ=9DfyxHPO?HU{`?pEDM$U83@DC0jbA3m7sFO}oO{o4Z#-4jENAbP zm{j#AsjD~4q*S=2zr7`{+}Bdtd;Fq>Olv1Xk1V~|cmqMZTYHJSTfG7d$E12jwlH|> z>gi(h7exfgMPVhTT13e-L(rmNhPip@>LmNbVk_NO_wv_4|BQkTYOT6wB`rslhM!4E zYQ#aF!o?<5)59#NQ4F`O#Mf54>ew@ z6BxRh?Ld*iyA^Pg%4Jf58Dw?P zn2&U*gLKWQ3X5k=7!1LJ7~@Hn=u6-QWhYD{IkuetN%Hsu?%a&Z%~e$KGdGhF!;0;Q z4TmrjYVAjpnbw?GArZ6Z;393}ubvQDTwq_s~Z%kK_ zilUa5>S|ELz=LXSKHNDYtXjZ}CR0AhPZ3 z%H8-@GtR2;(($rVA~>)8QrJLO!oPNZ53(wLUH7?ua5flqag19}P42*H<@MLWo1Oog9o(4zAfM;31_boE$Wf@^Im+ z|7%Q9Nai}DwE&u&@jly~c>-=CsiEbi%;JK*b_S&*q)vuFZ1u0*3rS1&$kMq68fP1Z z=39ge zL?iEZJ1HH0l{}l=t=_^-*>?W*K6Zg4E}fWi)GEki7C(>8BnBDzoCLU76E0J}n^bF* zkNX^iRO)}j{-DioTq{gF^K#|7NmX7Y97h!EK8&qu3&!)i?S4;bmBikasrLJ(GL9~} zIY(V#?FFWac#RJlIC2XPw!?ndcsKaN!h>rgYvIM6zcTXym%%(nYwm|P9_LC4aVX^m z8B(6jNJ6~>CMQ~-Tq&_?H+N@jTGktA0?f)WL`fbJLs4rUsX1_CuVQH|t%r3MP7Nx4P4*I* zu6_ukY_=N+zx*gkRn6aD%D!{JYCvI>Vo34Db6 zd>)WD(=_d)^6_5Gf5c*Kjq27QOMJ5yW{MrMoy3Og8lE5}C&KCCxF~)?hTLqAF!mqY zLk|oE4=yC|QwPv6HWAtzkaDgmZjRA$U%|6Vg6VkKY(|O<+wJT6+Xb8UUFTBI-KUlTd^>Y2xllKn1d?^&R%D+gcsSNA9LAykWfvEG$ zg16|PUQ8{5O&8z&O-ML6ZV@Lm>m`gJ=!9!B)nG#oRm)vv=$A1|i#(MT5?mJEJpCpB z>-ghFqwwA*;cGM{DqF@Tl^P}26eSwjlr1EvKZlJhlCgreFj4Y7RAEP&OA^@Dtn_j0 zD6OB8I*SS82^|E!HXzL8D5y{K_&kF?I12Px>iT3O8z;frFFBWVGl7@TerNxhKa9HS zZ=j3EQmqh40(x`yOZz%Q60LB*p4U&~Y@ShPA3EUUwmn2}7jM-MkqK0x2PGq*562{s zx48&uDINJ$Z5BokH7`0^ep~fFc)et0oHcEq>Y!M(9MVYz;C)c!iLLuIX}G}t7%wd> zF^K^$LWr}1W!uah_{5?m<+4qt0@n4?Y-OJIF>b{LoAemFA z7||o5)ujcCGF(M2vwxA=FtVLcQ0?Y?N=oynmOv}tS{26D_qOFgEZ@dfH$%-WJEQ$c zhrzfzdmz*m`)`S8pS%Cj{A2|*Kf(518}Q>UMOtMCAA3Y|E`Oib+IF10>iC;(9{Qa= z38ebfUlwlJM>i93>j$!x6IPyl-aU))?yP($fU>?3N$$RU%RrjYkb`tk{VW|l9stBB zsY&q%KYK*0KMTiR7(ON;xw6481S1{)X2o`yO5x3s4L`+Jz$x^z&v85CgC4i_%Ek|*0LHD zV&u|&`#XCs5*~)#^Eyd~pRF#BeZ{#XJk2&c8}qLqf}0Q>Z%kk|?DF_|=M%6R1VD@1 z4mrAYP74hAI(pr(ljZl?GDD$s@30A*JQ&#zwLBdkq={_h6HHnch96F`Q?0rf@vYr3 zqCOZs!-+j<3-?U|SvS~Y)es6t2HlI&XaK|P(1 zNs%F;A8lH=^6W%3@$@LjqhwB z78Bn%2I@pa0*4S0?`OA!9|tf=io8U$jh4C`5W`kD8{8ho*$T>3GN)$|@lyer5~OpC zApbXtyq`nk7O*2NWA?rbMQyS~V|N3>^3w)x zi3Td?DESVBA`mRp=M%j^8X|@&woCi6g%m#m;u?lQSSA7iN3y(FeN8xr54NMIoUYrb zVTsg}CO*vQGJc`z1QQH9K?3NWi~N9`c7o|(6G8%wy74Sj`aWi+I!WR;=z%#!{p$;x z`MnxgoXC;`h+4vNo%JN==Jook@f8NCb8i?;rvbY2<+5uX>{JI|G9~}rO<&?PU zWya(Xk?rF0ElsQXe~#b>W-~&M_#X8aA)VvFHCqD7d3-stg4Di|@zD{B9<`2^Lv1KZ zwbEi~s01+m9W{t-W3!PsW7VuKFN6DJ(WM|6b}*N+2ao(MX0ZQzcJ>2tnma~Iv}oY3 zd`ope2p(Alk_H`bx)|nAo|y{eD1q=K=k%)ymq>_Tbg6(=wW1*?KS{x#7)3gWtH2*@ zwe3m|!tL^D!YLzpTl3`@Z_7t9Vb}=2<0)9EHBTwyjv7&hvCA3a%c}R;3Bz&m#G zr0fSHzLFF3k^#YHkP6TP`{g8Ein%CcQPn6Jq4rhsZzUF5VdH`cxxX1@owgN&0EHg9 zKuhBSYMc8+`iN~+Rb}PE_YziZD>vbuh3^}DJHFH&WRk>@5wPx3bfCP<(qOQxfO-=I zpnMlW-8>bRT11}sU0~P$fT?ktO!tuld#3m!XYgY^D$av|M&$)|t^Y!jn`qy`WG&87 z)D>45UsrUf!!_x*XbZtU8j@QfggN6l5rP=DPOdp2$*rdnDVr~<`B?L3KjlO=5hTsJ zMU^a{;KA2|Aj%J1`3sToiLCPxtUiPaY7rNTH z(1P*FBjjtptIow9HB35vzo;KDGcD6`tbjPc5TvXniV}-M;1Fik8gYTG<{I(N))rL! zk!O947?*reX!x3>g0EZ+dzcPN0G{X^#Q-8{s-I(E*vm|phd73)C7WlL&|U<{9B~0` zURGcY$aMC?H^E}({6?1t@B83|>ZK=+)2&m+YZTCBL)N0}-aS8RIrgu9?)AqQMCz}U zR*`bEPIA^)x(isDC0-ty=c|=?90M*3$>?2^D;Q-5-=I0IIiJ{R`g`D4&%?jA=JX)0 z!qc%?Q_=ET+XeR;^4gfqo#y|qD*c`3(f_D4nE=iW5M_&n`+lDM)VTIK&a!*0M=EZa z3w_})#AN;9LosOaPhM)+x-QnQZv_O~HiJzdI57-G4g}hAm|WwRTVE_bfLI?*fj{`% zCt7_A2oL{a|8^N&_q=EW?(!(waicr)X1%zwCoJ1;ed6ZYzG~X3FKh3$0?Lp&yd74R zj2{kRqHQa=t99W=y)Sr<7Lu0a{gNfGCaWBy9w<7oDBLS9cYT6rp69CrgX8YYIeC7_FsAH^6>X3)zu~w(Wg2u*; za>;wO&Sba}`eVj*0zg7;>X)$6Gy9Ro-o&!9`jO$Kq`&HyQyT#Tfu8 zmNh5|#*Fm;?9n%cg#TXBu&0i!veC@yceRhAceUqV&IhJ-T#u+Nabb6fM*R|YP#k?z z(_gMgAK0+^r4^ai0~{qR?ab+0tus0>dn|2KL2hV$&>i}R#fqfgO9RWd`&!lt)?|?c zUh5P`B*eT}A?$kefC~a_ItKzb3 zHrV`~UgHyWq|KEDt6Be49(@yk9c8`>C(DQtfHbhYbWX8Ewa(P3v-(TMql}#XEpN^7 zFL~=BKr z|1)8A{MT<=JTcCotoRm^yF4=zT4$B5fyO4|fldeL0rvRj=;*BzC9J2-?1TC!QW?9L z@lgj)GJ<=kw1OeI&ahz_yNy-%pPCjunb}I;!)$)ksX&;ttHcdBm4X#u&~Z{BtRthT zgi1wpvPu3u!bGcZ+b|-BjcnyMkAF3~qH(yci2^`jF7B`d9?_ zUcdvYja^6oYbf+6_AiYsr)gsn!3&vD&?Kw@m#S1S+q)NJ-S4Wlh9tUSJcb&i6rU3` zznE*4G`K)kT_7b;L21jvTvl{w^-&{N`mmtXM-ea>`Z0QxGKi)0^gp*{BH?&b*U56V zV@MCqyK^TeOffX8c&r~fk?8^g#v(*Le!iD7GtgFs#cyo+ktS^jkyy60(=DobHOQ{pic0UXR;$^FPB$W2i$hJjVcKs zhxS(j!X$J&ZU8v^kk3vx{RXsA62Le$*4yyhO?i|Svh05XgIk_kv2za{RB5`GB zNImM*Yb27HIKkI1W!CV_BNf0-+b=c$QtN6xszb?R?%D?_N5Mx}Vr&xvqTu6&xADkW z(`V`c^wkBXvzX%Lcx!1&T_H?~leR;xQ>2B?p}GomhxAk*OEoG2pt}5hppHN=aL5HD zTJBGXbC&D+O{RQX1JTU8D|a#f;d%TB%_YlBGQG^1(oJll{f?rL_8 zC!}n5@V3(Vt;g6`{ zzB;ZqUK>?SCTY6Kg-oKactDtt1az*;El?2{%^&3^?M$Kx*LFd!RRSF~hhF$NR1`s6 zCSt(PV$@Z?r^vcF0`~VhFjkwi*K3(q&$qX%-JJQCYpC$4mU=|>YQgnp;g>JygzcNO zb&b-_yP%SOub=!A{`Re#c?wH`k3!^GH&gmKB6Wd@E?*GkDUY~nn@?((x9iq9H1Pt` zzk9NRuFi-SSw}pi(&$0loP*5a%T9K67PtZ(na1=Sa_vEGPO^OiN3Atk_LH47isK+{ zoP(O)8I>7sPEl-ilwx}u_$pdj(*i2#(;xEG_~)}`P|LRe>u15gib(k1J`w*F^8}l` z20=~RY5tXCk9+rb`$@j8X16;>x-AMVF7#>Wt};T~Nq|}}0x7l{NU^%wVmK}E8+|>x zSJVG^r*Or*hKB1rPA-AzXP$N~Ri96&{ryOS*vscg4v5E~|rHr2H%YJfY;h!6zc>XVgr~iTpLpP21itP_(c_Yx-gUyr04zo+QM;o9{wquCB zxZG#pm5F^#s#`NH%T^MQ$oF`q#Fg=FF~0<}JO_s5--q9?f2j`%CN|l!Mc99U2DIiWdOp^-WYkyY4+{L$?93y{e@qX-DP>PaI+nQG4(l zh3|cdP;W(hYho^B9Q7bL0xU%iK1O0+6<%#vNZ3QUeeT(VFnddK?D0c!&|8sym!NGB z%`z=xrku@uj4CZ)e^M$s#wSYfFX~e6IX%-WCn(!JjZA0Pop5|}1fwhQy^e($a?K<` zoD*mtkGRR)OvmWAbr@1Y6fzk%^j-UEoWGuV-+RY_YVw&RFN{5wE77yUL;b0aXZczUR=jXJx_$@Z{j(OmLh7?YfT^!JAf9B(V0K;qTbs7l4Y#mLmwRTm8wn zz1Ngm*p=Kw^i>sjA4Y%xFM;v9EN_GOYx7ONM%nh2Wg>^k?)CaF$I8!ta)%s*iMbqcw1zc8m~ufi zl3s!82$60l3}NsiRHm{p3WvD6T`i5pQ?=eiOz-Q+0Nf=!6jzwE>L&aapyJVIun$> z#?w*W=-fHqUu^$O^PE4plHt)G4UJ9ZPO6X`jacbCF+OC#mP8I-+BJnI!6%%rT9$;F$vFP9+W8U;lb`Vw)x zu{+Wl3gFl==?N$h*FIsGySUng*#|M|EuC$m0F$bROli5tm<|Jp&;q<}eFe*cuZpfD z&h#s_yBb}v&gK*vAim21i0?wy1i=F0yQ2FAVIhF}t|M-&Xd@RdUyAcNk_OpfQo!`c zAZ5BXzr6NzE7G&I_U@4Q^^>19b}T=TA=hT=3L_gX4iiN1m&@=6oqLwq{R`^Pw6IZG zn{CgIjHcn;3{48H@#i4-!Rb`XhiThj;#$RwC9t2y^BLC!1Y2P^bdQN= zr7!Jf-mp}QQL!iLmIIf;`x$kt-wMsnKykkAE|o{5D*8p;;TAaMVW0O<;!9e6R6U-rkBMg zA6Yq$6)?lhyv0DOap=IP54Qkt#`Xb#v+<>Wz?s=uxXoOYF)+aZsC-yO5{+#J_=pzN z8BXs`m*6;SW@Xg%lvottW0jg&*IHyChxY%%(cn-YZ?R{!97qxTn#e`3w`6x^F=N-a z6ZHP@H=|>^*4@z8&@|*-)HL)Rh;(QF_}?7;JJJ#A{s(gQxJv;aDnvd`ki6vJ3>5jW`J^WSDUaDG*Xqk=70mXXGRC0Z0Cz#|&?+V)|>x4(X?+2vGBzki7j8y<# z*)C>54sGCgOB>A3Kf*8bY9H-1xQv$wJyh4So)ai7KU8DFt?+K}{n-G_Xyw_Z>6W8T z9+3DZWCF#dcGI{|>(D^Fns@!q2u!>2y+XtBy*P_1W{@6t@K5_y?@xU{5t1WywN$}d zimHDlGB-ie3x+@jvFAYYjoes=FNqB7Z$A)v$x0aKzBW z;ro(lKVP)fq8GQZX;kfHMfCQ#pukHCHYEqK$0SFE&ES_5`a~y)&EQwW73EYFdPOG; zmwApAxjG#-Q%PS}1Mjv|qmQ)|PsZT+#QbaQm!SUpb+Ss8%|;;gyC>@^Kw3CVhT5ZG zrMd*t#+B3TYA#Y6;@0Gy{xVSNjzsF+v9wi%)S+kKdcviU-JN&f$MnlAGOBF}!&3uY zMelXsGn3u(7?Ute2(3?vOg!o(fBOBKvHC@>XO|-<`ONxo?o*zic>7FtPBEr5wmA>5a=2>yA79Mh1yMfAoJJHwe^lPJER;Hfq%LQ!} z++rg}9D29~7@9+Xp_v32npcD)I7%O=BBS0(KL8g^`pvz=eFML-zcLq`zBfURw9oni zh2F{%^^szk3+ht%96U%tvT)BqM?1`P!RsF~PC4;{+wCUa=Q9y&$wr$Cy04eB|GuRA z5e|*0%?+jxx-vE^lDn5_KBus1XiLaj)*Rv4{4lQO<5mp0oQUTQ=B$BX0(}Aj`~ijY z9C$&}lLdTM4*@`wWlKrtRdX2NSW6RXE-3<+7QiKA@{%NQ>8QqGNd{1_!RU}DmcLUe z;!N&Y!)MP-uV}(qw~g7omeJ{!OJw)iy)f0yeq$>wkLv;cU(1mkY=eEA6zZW6_1r*7 z=|vH@$~7UxYXUf#z`7&SV<_3?(`fw60)I-GbkDQMl8QjZ9|UNfnAZd3?5^fLuZ9rD z(y#5;P9ASU-K!)p$Uh}q2_~PK`n3HJLa}FBkS>K%VpReg4od$j&a*!r@FN%^UbV|{ z#Y6(+HTLupvXKGzy3bKeie7vSUfSY~vF5pg#jkI*jSd+DP)Bcp+O6j==Jm(Zt2B!t z3rl@U*%m3E@s}%b)p_{80BZ3kKrMdwQIGp0bgxuN)ZdHQ9>&cXFTW5s6OK&`Hp%W3 z{RLP9u0tQ|Q<(LZ$cw?IJT z)D%B6w(l{E?I;qLI<25GXKqNWqc*+<${pttbC_LzH#NSm#*`Po%%F(d12_LnL5Wn5 zDj%O8$`f4A(Hy=Q`(PkRPR1!iPbMg97Ty*F+`i!E{w-iH_@5BL-*Xgn9ZlHZZ#Nt0 z8(sz8Gk4g(G?&@Gb4IXhg`DSqozslr2hcL(jZ_@@oI zfJdKSEoMJJX>*mcem%|hg%oJ~SayVoS*IK; z=jne_()R!*Jtig1-Rc(pMKnj>2V-E&Q@?Lm%MSAJ>ecQEnuM`Opv`-QwuS5b9L&GM z=_@gtaG4;N@E>;DpgbDhq=&A6VGBD%^pZ}dZN(Ms)mrBB^X)Gdms_3*k^Nk*9mXiF z*D)U%dXirHXaTbuFT8K!#8O^XOy}C>iU0$)^Q*ekNx}w!3ay=G%|NA%FDQEY zi)}3p1N!=fC0Qd&M|~Y`A3Rkd%Nm;GOyK&*Klj$QR2;2!8@Z`)VadkBldTn+yH_M_ z5SS{*2JC@Cs82iJz?jR<45cMdX#?v=l6pJNJh^hJ8Efemjk3+9 zM?!hiA#BC_<{Jm8(eaWuVvofK0E-sbA&!f<0hS9?r3|*_R!&j2H7qHo7vTg?h)X-H z>8M}BW#TjKr;6;xme&?wEe|{x<7qtqHJ1LlQddu5F{PbNy9nwX06c8}zlV?_miKUX zo%IHKm_^5%TlbmohR&#O?k%~HX4acPFVC=@3?yT8>cfNTl_FkUKrPoHfvP`wI?iAl z70Rsp^2Kj@u2mmM^5V9_+x<01|DIGZBV49of{aUeV(vbIZ01b3UI%Xgr9A^E?F`|O zA}eWo!9D|_`Bv(HVr}rfiB`t4w1uB|{+&pbc=er0Fys~4Lg!I`)|I~B2Q*Q6jh0g0 zLBD61#@1zU2ctPKP-P8BnV;eISq4DL?1}ow(UWq}M-5P3+oJeMhEm!xpZZY620RI{ zddh1WwKV-z&)Bz9lr5VTD;R~JDD$=gXK);K9U~_;m%@5kEik>(PtQ?YXuP58Q_z^CWi9RXx1;?<)QZ>1;d9m%Somb$7{h<1 z)yOpfTgFfGOYKDaQ=!0&u0&0YHqV+{|qw9|UF>tfXaQ4o4&e%0^ zB6{_ZrT>c=AT8T)3;To2^_w7XU3+N^N;X~}?}_F->kaypI6jd|XjVv6vVw>luoVFx zVY>4(hX<>%;u`jc_oJ^Wfp-yhW&YwkYJT5I-X=&`nMk^G{(O=3Uy{w#9&NH#mTvBDmmBnW}vdqtr0z86u-b} z7CZth;MhO1C5v>*-XUU(7ONPxp)+b00+gOi4MoTJ;RL24h;oE96lOii|ARwc3P%Ss zXclUves}4CG_44!A@%i~E%DBu<9F!{w8k}eaNZW4je|zd5%@Jxk=Bdk8c#u27e6B@ z?}s9vJHDiexIqFwS1uF@4^un}%CGQCDEn4(l}$XXcC`tk*|{O8LnO6GITa6F;6}Bf zal?q3e2dxyMi~|=)FsfOkl0R`#jBbf{&l&wr(LA6p0(mt)KudU@Vs@&f)7=}l0$4(H4gl<&BW z^ODoGb8!mNg3fJNRTh3Rgh?tAakTk00NDatWWIP#=*t@cOPxl*Qs<7ak++yFYeHIw zl{|J*Ik26lwx;5eT6!MX&XZba#epb24=l2k=aikP`p{v>&jZ_e-ktt8mE`%#|3?M= z?!*8+7bXA9JLqXdI_X)`9(kzpVfY9i+4u>mrG!k_P*~Klhq}p82sy-D7&q{>5L#=( ztU=~Ej4(qPTI*}^v%pIk))NI#93&Z$E+)}>30tdkn$q=`{MKY&p|O69s4D8l?RkX6 z34N80HNs(N_Y7-4q%&-$YBX~PN(m49?EN4L;?*l*+{r5_QHzPgZBU~hHR!8dWgyWcW$46^5B{>12K^w9Sop1&xpo}9PEhtL7p7j9ks?PGutXS2`#%DgYx-O9MeoM%e9}eAqeJ&?V z8WgEz(Z3H)W#(d*#9eqELS$r<9L^+h;@$ln1>?{ZilN66oJ(ryX40fAo=hmk`El83 zY!cYHD{ytwH2Z&S8_yLW8j|~SZK^Zr4C+XK*mPCN*V@YeB6mM%vrsByj2e^VM_=~$ zBtwary`G=NM{Xow`yAR zqI7W-)Wn6j8*CkE(PC^W0T@>0Bb6cIa(3=mFEoQ+8&^d5+jtX~pfSsur99q_AArEi zTeG6N`|qOrCfY{>ofXvX|pPFcKN$m80HUX6U$0mSEvr*7AIr*?< zvsvPsv4hTbxzeLgFBR4^-_M4~Va67R#%0iT_FPQpOa_sgTf^IStzdW3m*GUwgxEc;T6Ff+}NHX6@Mg%cGI9x zXWgNuQ;V`Pp9iuf`jan*x-GRgXbEhq$?W}uQ5G)wJkP2l5%L0$+5=vPW>dp%keAH?YVu7gU# z4(ys#6?p6OU7#O6HM(2(ZdYK6xb_8ASxkode?Rxc z#{B>5+!Om(7LNZs_B2m0T1~-i<6HcS+?XZQCYF;-`^%+h)$IuN427&tkRBx?9T6N1 z>*|@jE>T+TjQnsOO;S!Fx5awU^=*v%esU3;CGx7<#l;aVas8}vz5$op&hlk3wuZ^~ zZT{|YF?I4=N7vg+WLA@hb_vl>PaoJnMhS^3zZK0K=_=oLdb&OXGk<U!P zclr7}&vIUFX4o~nJxtCbcYFCfM-RTdE~ff!j0cx?(L*zmIut#>7Y^Ila^Sa0jVYHM zhjxD)h@VUv5+ojRI{ z^!dL0sl{}l@=Dc-TRs(S)nv8q;g`5 zemK+#?fmSgeHyCH^Uou`r{VL5OMD6ooV1SaCG*urg>b>G4KfY%)sD0rEM?d1ha>7_ z*k;2F-=0NQk5tRxoX?!UL0On0)4oI-C*wx{o&ACS@x2mZNG~g`*@ESR-8zxVI60$H zj_B?DejgdNTO~0y`HqMoM~$C`y9UzeiP@eI+x4hBL=o^b`nb7`omn|<_m%xqeSK)C zOQ|3ur#@zzzQ=*5VgT$J#H6$4+F1G4mc##=nVRfub$2eUkF9=%WI}Y(Xo|sRE5#X1BDJB&H;^}CgDwv>w}&aiR6nw7gkmz}!&z*yxnT0G zMTRir=Gjt@oh;WpnZ(Y*P+@F}smVzJ>G;Vih-Jbd< z1PeS;E|?KTdoEZZV=|4`wvn}Vh%-n%V7(I*C+tW301rVLNLeY!@O`eeik*3=wE7u= zTS1bFS|B`;sVcKE9n0LjUEVc)-!i~htpr0G8cs7I@`haLXrkWWpYO0Aa9A|kb{}LR62ec?FH4m0MjKih^>*N(A|udH$8gK9=pGpFFj(q0IQ(Ru zZVuD4-hz%rQo}J$f-ve9oE0`YwF1fZjME93Y2)IOO^Cq-_Z0&9g3}{v5K>ttyaA!u zwxojaixSZ6YO;JRe1CnlY9Gm6E>DmpKnetl!yH^;<$l<~ueMN*k(#GYs@Pivd07D8 zJ>c6x@zwpb?xZLfmAn!S&2`|D^2~?qNaq4`23^hwn6lZy)6Ai&jNCLX@G&P1-G)YZ6V=~L z?HqZ$cV^Wwe^f7IGVMqBj2HO47c`~WGIML^lKC)5$kXTBxIRGGsr5hc<#W|}#EQ<< z;Cd$Jk3FA#daKn9eJyGOe06N&1{$sFVZp6!2VPrJyGt}fY?K3Yhv|Rx=6q-Vk?5JJJ4*gaU7gak zEPU&UND^=pS6~xgh@eaIG8Bi-c;x(tIF|yAN^A)C6-tYD_)w~Uv9=&Zy0l)|*43)e zF~H?&AKK3)JNpOmAA?%5r4$vHeT5Qh;y;O*D1Q^ZAf_leAl)F;&Vzb49DazU`r)Iu z;%_ggeC@rBi;Ra*)-Z3yqN$xq#>|k@;o$;Ng>H|TIam}*>-St5LWMBtFA&taJ|(We zf=!x7LNj#%5z->4z3NZX>q`f@owvo>SF}N)m-9b8Xbl8`7^yGG zvTQ>)HG@f+sgI!-|D&m8&7sF|JWRS5eXnDX#Yz&H)E_%cS`02p%Y!M5MP^V*U!;KG ztdB*)XKmdzQz|>$%ZpMRV(K4Hop>`5pU@BTg$W)U+EWng9>OV$l>(lwpbswzQeG14 z+)C)|PRa#M6gebS82?7K(z{@`gm>tP%1R4bi8s1HJ%lg74aFrU$tg|Q$Bm9aI`{PJ zi>y<+s6^6ByvR?!K@EfVW$CAm%6{WU(BNSQJY zdMR6A`QKrA^1$;;=~VtNKS!C%j3&(Rg~d!)B9)mjWx+LV!Cb(RS2>u1_;wt8hzZU` z_Ju1R^IxZp{HekLL$|4zK>kPj8G#4f@7Y0%?SV0|;&h$;gBKE7mz|kilaMN9Z{vfI#Y4$pmxP8t7O*OFAqXiul)?0r#n5q`etXTTIuLRD4GlpwBeEW4Lz9AxHdeW5Da2w7v`#eA znsN;lKiZh5Do0%%S(ZUN!(PTC9T2{2obL5W5!{hRr1xbNr7jq@eAhVYzg^3w!K)s1 zow30xNww8(~Q-+YupKkk1YuYo^)CFEaaKU|*9ew<(mS!0SYW#!)k|ZfLCEjPtbM zfj1xPwmE+PdBUQlg3@~wwP@K3xoz)1+#y0e;mlj-O=p1TAuFM(n&*1GGn==PAQR=h z;-3M240!@7UN53X0)>`+xTlhSf2Q7gzo&KUxvn8Ukt`BFvevTE!u{81s9&x3&lF5v4Y)6c^|F#)r0daE<`#^Q!zzvURWjahKenb(nD?$G{ zaePp^AT#5H=nwkZG;~SSz`VHuooU|ct%fN?Y5VWd)XQY@4-OdY_c3#; zhODy|f2FWW@Tg&h=Jbiakc)(f<79Fg^A51VoABhvL!S%`cuub9$})~arW_wGL7`Hw zig{qKFYM!}*+5yWW!5C`mWDu>d)latS%VfkR?441P z6t~3JO&fog!**s>s|mMLdlvatmQ8-8i!nFe`Y)iT6TrWvA?^*X{Q++`S*%|yAw@>! zQE~k+nr>aI@nUHfUB7SF1^#JN#9CS#EovY&WdRv*GKqRrhLCtOt;9QSIZ}Xji$N7% z7OYDYycO((co~!R%JD+a=9=Qi`_4Z_VRq=I*dNYV*Et~CEK$ZXL!e>__n59&h-F~d z)(BE}+>?KS$nH=sGrd>Z);#53$7ovfrr9h~%Cbl>M@6YCSgLY-CAcmfDp1@k>uP5R zCefZ0@jQKj7&K#@%F}?nu7rD`%-d$!;stM$b%E9Zi|vj~f`2KXpTV_h(~^BS>E`9l z3DsdN!H+2>Sf)$+o4Hfj!!KD!xmB>xw(USB-66aCZj5w@69*maV1_M{SpO3o;;i@( z4Akw|W3WfZ^B`SvonIr!x!!BsaA=&hc@U5bSzi*r*lhtA#0wYkUbh<1qUlaX9K*In zgLEAd`5HSNAz7_7TQkp{{c;D}P)p++UTRRj3c=FE(QCnVooYj<&q;J$ z0k`Afa-b_`bsXaa%Lp>G()4~18Wh{t8(AQ@wBGkl&z!bbV8RpF!1RxH9zhx|Q&M$?#JmjoqME%|TTEWr0{cS8@A0{kCxjb1Yuysfe#wd)AQ0rW0ul zQN3DT?QWz!&xszOcfWPOQVyf^#(|gmuMyR*;e(#NR3U(;y@m z=N=JxuFCF6#Z#u{1&gv)L+)4FCGZ*Q`|@8RuTuoYdS4q0D?I0$rv~)C3T4{+Kce*N z-QO06cb& zm+Q)jpJMMym=jF2<0yMib#37_;mufRPGBt=SWNhiQ2dZfTfpx&S?N#3IXy>c(&A-y z9=%^3LmQRWZl0p_^)ek)^)*Lp7{hlGAu7FM_juj}YmC;?OW*Xw{pGp{*9?gnA z3`B=O-^gHPRTFkEisd7UEIHo|gjcKWUSfLLH8WE#H&pU>)kTCeTiv=ve^3S1Ac_uC z5C5&R+^AO&>*fPtGE|m%QF0&}Nesy!Jf2uXVLX$W%syWhhhKa=qV>81|E-AiNM6GUernFc|@LqbTAKJuZ%9<&Juih>Fy z4Ecx>_vrngmMpSUPgZp$F6v$PT!Lk3Cj9QTOmfs&B#5_&*R%;Z)5ftoK1s8u-A<=y zfc_n(YhQwy;S%R9ehY32PzHraTIvfSezV}U9?pWr6!ehcBSiY!eoeO->4e|1rClhz zc#RR(h>&b!P5uMB3sH=tvfBH4$zmYy;L6IKYKMd_!Rq9|S3><=ql^BOT^!~5Ec?;> zQ+q9@507bO>!O#*#kv&g28;(`@^ofbyf(r80Rq{G{(gksnWrJ57Eu>WX(L42Rz0XYjkrpUb?5Z z_xrrjMoiF?VOuVqJ=vG;e&gs-1wr+MM3sV^?9YTtRdJdhL#<|%26e+#c$Gl21(Cx- zNxmST zN3m%G)kAQ>=`N57zTMW!uZ)d@(c<{R&drPDAgh4V;0iB!CO%{zbtb-KXqb06U^O2@ zTb+mGgd*h^P7e}T2l>!aNbXj&^~MI@{ninq4O^04SEP7rkM14ik@NGbJAzG82#FUU z2UkHd-=2NHsix_IMs6Gm;+3vC69nURDPe@n4=w_(T{AuRZ~2PNC^7seU=_>13*;00 zrlGl!eSSi7Ckt^}$|DP=FwBXUBty_Dt03`%V*@X(%z(#i%(QJV)PgCb2yFY%7_T8oAY^_4({|yGyOD>jll9RV@Ohgno%Mii)Lmtt z{RHx^hI08Y1|Q~sGx(@_I+`(xJJ`E2s(dwe{qv#f{MGEQPS*@65Itn7vCU9A53{U@=gnTv_Dm7}YJGZ7mr3xJ|B zqqvo`i>rvGkuwo13!|*jzuq;hOkFKqh`7G6{Ym%7%4BA5>R@aQ5A(OIf4%bZGX9g< ze--{0?|*UnKbRA@HL`H|LrBUMz^;jqy@jnA5fh`3i;0=ND-kC<6XTyk{=Cz(06s*F z93{=HEG+*PCFExD7f(d&EX<5@Mjn6rVCH0F{fnN)-%_$MF)?cXJ=dQyR9wyM)B#!k zN+T@n;GsiA&(6g97Xcz>7B;3oWc7&tiWaxBHDdwPnEij(nDyVf2awFw%$X5T8CNq= zGeC2jG0K|RTew;hv9NsM{7-vaw~kyq89QQ6+u~WWj&Nc{O^-Vv%r&WFo%RHVYH4TQ6^G!tW4 z{N+s9NTIPu(^vPg+zHEOUT3?m#|7o{;={kJJ(xB6lS8`QYU19II@sLsgU$IY%u(!p^eKS6Pv8oSb-crIIW%dK@|wj z$0led{uEf$p@@bai<3Un;WgT2l6_DP)2E}}-s^GU5mDF;)9y;yrqJI#-5mXv-Uny9 zIwBn424!BKk%TB&dO5wGp|7Bme9{HDtDk;tt-A%RbiXQ-OpN+GQ>m`e*9p4a3hHfU zA=)HWJ)}9Jjp$7@4ld$x5*>6;Y@TdgQ{WnP=$O&}^?Ja&;QsEJaN!r3fr9^B_*{@H zuU6a)w$J1f^oWg*`l-*(uiKNge3&fs91>zfijoZ1%wsX+@;nc3!FS~p}kAN z5LKRR0_3wVO_dC8Z9boy28Jk>l4=J^Fp4+wWO%DcYM!|rtz9O#it78&fg*Y$o2X8# zg^D4RLOA$eP?HPdBANkQNI%+j&K?BbpykBt3Tb(Y~4{r-YlSjUaaxHUWij zt^CBkkL}W{)|J0nIU;#KvRY<FH0WVOz-rb%n{Sy`sKSqJm&k=$KIY;dAI?=^;y{_ z6FL3xZ5ko`N)t+^z)dN~sA+RDaaWC{M>S%Khvd`VuQeUB@-DGu;!(JJSVD)f!2<*1 zW5BiVGP}8?SZ6U#Gql&cx0|!T2qwxC7?9uOG^lb+K~D0n2M1|O+j<_sx}l337#jR% z(XsY+roqf)&jgc2@Up7%z$l>Bt}28`5bPiu3+gM`s}boL8m=12 z2X)+ZBv1yn39`L6tt}o-dCirOD!|=CexsVqE>}9MB&-b->-0e-%1DQ5~u!|;!x?&q-?jDNsuA};~5@=BHmz)`}C|IP8ahT`XsI>8rr8H2S{+Y za&x5PjNBnFX+Lya&)6(ZdzJUlT0=8`zt>XDCqz0HMHG&`;IS6t^#L0bq;TSqtEA9` zS{zE_=S8dQD204BH#lO0AUjU*?^m>9W{)-m+a4j@s6_{5igcxJqEwo;jgmPHzAds` zevz28+OuB$Le>W(qgp9p1x2B0Z$_mAEN}Z9_0rtw{uz*EOxlVeEBx~`SsZ88;C1%} zoM5@3a!J0}W%8zUbm0DL$66O!TUGy|D0ye&MMhb>UTPk<0+-G9olsPMAt7Zk>iiz= z=V?xBKK28Rrbwh!L4WB!z=r`SN2|N4W%(MvDr{eICEdA$lWo5rDh1cUx7f)yq6xAX0$U(&<)g#MXsg1kPD3)t!YTw6(X%)zc(1STd}Fza+|tl zd*6rbvJ4_6zr3-&pEaG^cm|_QasXUDw93d~JE`eb&)}}POsKWXaJA3trUqwMYey;% zPG^BYru6*g3ml{fk{N41FlR|^Gtm0=bB?<2qH49N>B46DDL|HvF1%Kb8jl4(`-}P^ z?~I5uzHA(LS5~@=Y(KP?jto+JUT@S7P!pAvltm^&M%_^s^UMzROe7MG2vo&c`%L&( zgEY-)t&^}S3p?dc;sp6`80TjEkko^PMCCbzWlL$q*gvhf8Ccd>uv@`(rWhrCQ=@%X zpS7E(UcwA4@0ay2dtMm*jA#<(If zk<7hQ;em6lEDqBkobY_);s-f-kykj_KJ=l200lNCo3p*e z>^3nyCX&?yqe=jQh`D3F^U%jj<4McNY)S`X5b++bjhiZsYe4xsbvEzWbk{UN9JWkVdO~{(SL&$A`_Sxb}M%l*A=LFFj@@ju<4%lCdoUkw)R@F>08y^Ydq^;LK zZnb;(V{)bHk-Xt$FELo*N$9eb>1ireM?5r~rD#c-nj`nL<`}z(JAR4i;)j09BJW+k zshM#R?6kl`b)WRQq7!AG4CNPA*$v2&)rR`i(6wwti)%;TE= zj%-3=nz8L#8I>hs{PJYvvXjkhEooG4<0u>Z2^yx>&H7(J?jI=mzi{KfKrR63GW`dz zl>q=-bu(vEBYPuyVFz2&f1z6`Qvgb|a`mK_{5xa>q;dTNaa{n&_AmU#sOq3*Z}kVA z0T3O>|3-9w68*)C4j{*0@p?r6j&c74{e#zk2iyOPr+;8{5h>9>4E({vEdN5qjDTQO z2MH-rIU`5Le{jtxszJoe1VE~QNEJ^P07R9tH+KN=!TE>Jzp&`P&@>>J>#tx9Kt=v~ z`%f_bC(l0^Rd6;na|WP)s((F1OK!D0uM@L&TyFW0S=|7A5 zQ~E!O`oH1&|J4rv|KJ`_UG{%N_e`Asj_x_w8UKauIRIC}{R7>zu&{If)f|6E_niM{ zbkFfuntz~sb|wJ2XW}Gc<^=q3a2HloX9NWJ z!HhVr$D%T!*|pLy-gbw2KKtYi{BN_$>aID{{a-(>cShU^H>=!}dOy$Zp5x;Y3A=v^ z@bUZb{0?oimpu|=%eC|KZ|xYGhGFGw$Yf>q{WuwM*UhoZVCBROjJ*{o%ifzGK?gX1 zVkskXF;`zc&c#AaV=lp3c<|E7y_q@mO58cJ_&lgRrS^+%Yr(X*@p6-m`L=>P1ja=9 zrpx-`p9W&JQB9T$VvjI{+IYO*We5s~;W=9P`Ab($@^s?9Fi&~&tGu&hJFGwxS*2s)NS&(LkX;TxXDF#*(`%{+0<`om6{=EEeNcTF-&zesD zV;&j@WKX|whE9yHcsI-E1;Ddj1^|%%CDexR{lh@TS>w6oaB|-+QmSbsE0VEEId)i2 z*uyvzVV3y4=pv1sfnR;Sa}-zDfy8sLQbLVbuqr!eLqKYO5L^?9itp?kFY%J`X2T~2 zlau0GHob@(!GNTbP0R$7;GiZ^MS1`)cm|4VL>V0GjypEAtXZ=X_=u<{9f?fJL;7Ob zRVwwg8Pa?>k_9S%!Q0-8T|Xp{UnY@oIF~&rmEG{>_%Wmwo})1`fiW~?hypAoy-12H zf1bXghod%=#-^S;V`CRSw2lmssVz`J85a+>0LC+TJ!5+2UZ4fxj6Ayr&Ckj5p#@4z z4L5x}duCxlt!=-;Ol9eP?bbz(&8Fxm&b&5ohwjYrOwC>@y(&YUs=REOd!^J$vNb&) zDFQVlnWYr{IZEGn&9vO9)CaMVn@W-9yJ|Z}MKaN71m8-t6nPrBnNk_#ksBu6JAtA; zvG6%*Mv8RJQRquH3#XmKf!w#zBz2*xtU=a=e@MZ^Ma+Q(0iR`k4YiU~B`+EK^*86&Mw$j>g10tW^@2QDeB|eO09APZ~3elo9LDM`!I1xEPU=zWq;$ExE(rqE$uAw%Dk#r}#ZL~Pa83RK+ zsPr*fhwkG{GZJy-Q7vH*dlw#}T6djtpwyo5Zk4`7)yi1;gk!+hNjYgtUBzLf)z66` zI}LECQPwxa()=2FtkEVXQ}F$D{(E19@d3irMsx zxi9f@mnNBy!%qhsOl|)5t^MlBGA#b4>7F27hOLGN48+J6t{K}*;L((32-wyi8lW;I z5e{UnB_5S+zuxMewgNcXV6#4p<%iRR*#!ye1s=X@%}7(`MF}8NesOiFUlG#Od7biYRC;-r$n{pqJhvq7q81uX(b*k?$;jzW;v4C@ zOzaCJSAKNIHHvs87?5sWLx;P$zkMIiF1=vIw@M93Ut_b-|nEfdGS+`9V2G~EG6z4}?g*{`^~ z?5n(&3(naXvrR!Vo}bl;WAb;T*wW7QV0D2qCmotp0&DYxl(R4wU6)m@9!jO7&-c9+ zXDbYnjR=IlfX|0nWO%T5W?#0dxJ>ym(DTix2U|_19p8;P z77}@SAh(7x!g0LT+`e!uuQxh(PI#;?Nc^LdDLD%AUSB`j8@<3&j~>fZ-a*7`Qs3h| zrarx?N?*CZqq8Gz!p~r%XOo_2CTGaK!LTjB9k;!nm9dozlXZ(CBSq>XL<1;<`uTwF zqQwEFO9UC`atS1D>mx>*J(_vYz!g@0Rg%4;MLu?(#@R|bV;y0`-13nt01Ud+q@{&Z zNSMLJBbyQ%bvkt1F}W~M^+t-yK>aj{Y{#O$b&c#?Ids6LA+We2bjhpIZHb3?saofb zB>LuXE})*k&SBx}NCeS7nlXp7f4?S#{K*>*1~(lTN7Y*%$`Sq}DMaxrG$=PPgDe(Y zC6U6ME05gVgcWf#eMe!gQG{#E7 ztHN}nrgJO>#vN~PgB_qk;SlcOg=qL;*1a(;kwqyQVah{mBWT3SMYWozOs8II&-f-b4fA5ggs^hMX>1KtLyY1b+}*24XXmXy@>#X=kH)8`n} zo<1ZRfTwE8B)LnNW8jrmh%`CdO`I}0z{NHOHvlIVyP8Mr$}LQ3*qpwni;l<;z;=*$ zP;O9qK&mLp6xAC#ZuaFmw6AWJd6ZfIkR*p}RSa5=0l^%#-vwET76+K~NSlb!j|Ljx zR;fhGDbX35uB2(6YmRu(;H8F6GFNyc&1_?c&_}UEY1Za1W;J0eewc_!k*|<=lZ%#8 zqL=laz8|v*q^q@NGk?b)(gJr&$xk)4orN}1=Bp`2L(>jiCDNWrP^l-A z)N332MOx8(TQ0U?Z?~nnG(`$=A#-_!O_p*{>(d;9;y5e8ve#iAb*&>mMiQ#xl?jo+ z%-#|JT}8nv!#JPMQChOIp77ky*sTb9p`}omG1ZRZHDtM01IwWJCI%iws%9v0W^DV^ zwVMAmFw`rJ+5XULu~RWBjLt;M!$V*qCKj0v6gybcJlZ*YSX&c z)+IfqR@gj?DfWa#+s?EJT@#=D#yM3VOMVg91er}M;AgFUI z!L*U((fO3`h-F-ObqziYC>DL>B10r_ zjCeWqkV;B}+F_ADR_61q74!l1kQ}M-;~#X938NfoeXNGPv8FoOZ~J`FKUV9sHOJIVQ!C=ZHyt?DAu6mn)EfGZ;JX%#IWgC3STKSOD))H`lTp_ zEK|~mi>&H)*L$Q4DJ6OEz_I1PNdoc7vFNdJ@8u#?XDq3~$%+!a!eYY6g?NwJf^T>< z4-l~<$PH%vAM?AKU_ZN~gZW>cqC>BoAg=>2f8aZ!`jib$318$<;6FcKMwgB;M$Ya_ zlvA_orR0yr&o=&qR5BIxQ$rNkper9Vl;(>&{-#;?@UH`2J zaKD$r_0^T%4K6O}C?X3);+EUiJD?WNfLe?(t`x3)(JZAD7&4b?a{*N1nVPu@b?u87 zzE~_i`RbQhrJT2vyoXv2B-!Q>@U3BEzV{L`#XC+#wtWFQ#O)p`4|CAy^@_o8+ zUo^T!l}N>cQmi|rltSXvC!k30m+}VYAZa3#KT#IS2p5MWI?#OLD;QCt|S#@NZ87etzo3Zp>Y-gYZ9{6 zBxw32Tqq@sG>W=He-q*p+>hqH`n%9juP$R5u2Jli9sqs)(DaE5YTuDzsIH(Gp%TWI z@!PXuZD|9KYAQo=9oG}siMxOyEsv8x5IX4zr24n6aPXo|5IZgk>Fr0zed-47@b>K^LAEKNyDj0T4!1$AfV z9g3YpoCwYGH}w9MNH8rFI3j{rxoLED0a7MG0Ujb`KANzSb{NzFnIp-QSIGR}!w!PP zH4coMYk;CrfmLVNIEg8|SVhHl6(l=a34kdkEF%AZTKgYWunRQqYGU5(F7eqS`3-OR-)yUUAc1=9eNs zc!jYsU!qiyVb;=Ccc=mt^8vMPypD*kENFz%5>c{-c@l?i3@dD|{!t&L$gUbisw4u? zfAqiU|Dxgvnwg?VpD>@W6^7fv--&C7gg=rlE@J@-Yp9o=MFj1!cLXok?kj*wwVeZS zx zwIgH@N2& z2j0$RM7;Dh2cj}E;pM?&{u5gKdG6Vdw+0pi0_P(qDv#B`f#D5XoAIcFn73o}GY>Z? z`^r5lzT?`U;cW~2|7^GdtP=jqF!JA*{{ZWX|6}=YNKY<)zZJO~k?FG_-UhTN!k`e0 z4rlqBN9_KgM<8Ad9>~C^OwNyK;^*g`GD)RsjhtKpO38ViqOwY3C9iwU6fZwtpNz7V zPYb=8_DRg9OsTPn%Aw}52?xNx`nUVD2?0Vvem{VTV1UwhrPQv&=i~XhFZd|BUGvM7 zvcYeh__tldEk6fFgO77MgPxGQpLYvw@rVLlc@_=2pKi}@MlB9l8BP|aoAm1xsy-j_3bYMcK9zLyI36qP-dZy26n=ho zh56GWCSLecXy0RiOn%yb=O25VYveSVyrfW$()7~7_qs-H;O)gyTmf~+wPF^hs_Ir} z_aY(cQB7c1Ke6}a#F`|#)${ifGBxTZx#$l)djn4JTVbv)PY>j(*az9OC-unlT}IP` zCqHdk!?%)nGCZ!_>!P|j|CfVH13#2u-H)fb3HQz3j<*qiI`Jng!r#BAb=IvW+?+i2 zrc)_CcM0PWx55>5wU;WpQCoDIbN9WF*Y>5Ipv~aKj-0Y%cr>8nA<>A_Rpbr!+_EXF zUhNeY9&gUX+)h`1qg;elofms^)-?VylX;yk9UTh}M6U97>ykMzLDyyK_N^j)G(en!980dfm_PE_musl0 zsB$uN%eTuNCM9kuS6T>4Rh+M~O6VjfiQVZjtNHY{ibVAr6el0Hb<(PivD<i~Q-L0Xu!1o(gZ~G&XqXUlZP(;g<#FMF9o?YmzRY<7YdsIsAbhzod5nhS z==blIqOUyJ44p0?BSmMX29q3AP4=$%!YK3dB(EsKorLmM;Tc%*ig6F=DPNhR#~RM5 z&q3Wrt1l7Ct1mgLdm(G@OD-1}5g)5>XjxC7S+?-q!b3{5G;Qd$emA4C@y1QRjjlYs zaPbN#e=({zvv7t_@y;TKKsQOMZLXo1N^Cwe{p}eSMmGocO%JI_74=gN1&_LzD!jOSKRQSYA{@mTX%FFweeP2ao1P$GON9qtm}WKctu7PHQCd z#HrN(B>0_OHY`q+Q?WCQ{){D47WATa&1)ze2;W9C2S{}wAGT|x=5{-B|j z2#9kA8Ign%&|4$LG^_s4JLd4+`u525^+t%59qWNc`-}CR`5)g4X+?giea;2{f}>js z{rFMdh{V@tCP(N@1rz-}gkJY25vi`6CdXD^w}Twl?V@FltJLwxSi>UkYt9@UdOT=a zA>2L*=LkRbnDXND>qakO85b2rY+Bj=H5!N~Z>VD2?Tu?gzr&u1r*uFZ+&(`%r9uI5 zT2QrHUvEILhebe0=X5_!o@qfgM7mYE09R6YAtRLn^kiaW&KmZ(ie+dC$@iz0RlSPi zE?-VOs_jDie8F6C3)#y&VSF&_ED$Qi0(|*`q~rv*p&%x7=97|Z6u;u{v~6Mg;vI@7 z;^(RLuL8LluMqR&!Z_|= zN=RMZ5R}LEtB$$*g@==gUf}9amxB|vI0Em zE9s3{9?_Tgdw>ZkyB%iZQPEnqqgObI1V@rE77%4{SO(PDtU;8Yt@DsI9x7Gu7u{-U zwgwdx5A36zzow6gOMFq@7p|Ys^xX^ooz`ZSWc`NoC52PshxO0_y|AMvsCiVW3a!d! zK&muwc`B{L5CV+@@rvQOIR4C>@`wxC>-Ftw&8`XkdlBM$$uLojcZT&`>2Jn!FbQ?2 zO|`m*A$I}!+7|_@QX3Ml)3Y~bG?^=}>#s%FFLLYlLL$CI;`PQ>Ccls9wt(l*3j!sa z=osB^CJh7}RkMJo19hm8fLC5SEPn zx8e%K2E9gzSn8w4rM;sim(=ic0aU^!ws1;uN$Wk*3#;!d@?Lb|uY80A4EdS#1dx;B z*rO#3co37~-+EnqxZ*MZBSR*=DQX1gKZga8Yl;+qyc~1~sNtI|f8mnufs<+WOBg1d zGZ5|7Z)M6Z6)u%GItSRB5~BZTb%O zE;rD?o5)1%!^pdB$Ki?gP=k$YbQAnLRV%T!JU7HattPl6WNpWhsrE?2$~u%*70^do zvXO!gJKz|3&0YL0q-P7rX}C~563!R$$=|kt$0F+?5nr8=gI=9~$TIi=2Lhhs(;vY7 zcL!1Uv+2>)r6u^Dykas03Q8$^lB=0&&z#9o3EE%t9*N>i`1kTIk>DW{6sF>y3db(@ zVH*ux)!3AH-jK0I0?b<$%rE)_Xf3B>!K7fjR1z{ki|VAoTOx5moq3mK@P~q5=sg_C ze6pY=k~$XxL+h?f_L|p8;rCxGuWfwxpS`8(CvALE!KE)sd@hXbmdcD~Bk-tV0g5Q9 z?qhSg!_d^;aCOcNWl}NY@ZJW`bMv(gSR>$BTO;AQkW&7M7;VB^U9QsXea$o8dKG>U z%CasNc|qTG$VMQ-M5->CGwN|2`4{{bu2I@e*_< z!J23V?Ceg>Il6qqa|d5;{R+Oq;k8RfDcoRNwbZ;J=R7|p&M)4%<`IS5Wam00BOhV1 z@^fl$^{$k)8=~_Y=xZ3{h1`ohXz#YX)C7v__k~+#(%=(*V#~6XTu4x^IjYZ5-u*$S zz8t8@{TDo>4Aj8XZcQ+#fyg0*-N6i#d_gI}xOA|6K^Pz!#fo>I1{kzHP5C?A4SMFB zp~ZIEACWb*qmgr{WrE022cjL%;A4DTP9o8bpcVB5ki^0-Baq+l+@OvzOif`$xW>JKEyL~xiG zJQ1)aae@3~z+d!H5odxwWoi7Tj99THx8xdN&zm&Cs_;;Ci21GEa_KU?KQq#Ikn zAcsGMDGs$|i@wgj^JT4PLOVQv6nLh)4PI?I&6-anN;Vg(14;=`?9}eID%@L%Y^feE zBC&K-B_@F+nO2>_7*8V6j{tMc4d&>MJi1PkW+WCr309);f|$G={0pu72*{+07DlPxyFO408zF^ z-qWPfy=QB)c7kW*d2N>Z*3&tlTjFIP(3hN4l7;U2h7kKsyM#Dni&#FC*yp;md-%## z);9}Rv5{iio}EFIK%AJV%L@v^Le|)r9tYG1r?nykhwUO;C5Dr*M-KcY0VKeB&UYHr z8o5t1O!ub5lYz{7Fo{6G;jUneAbl&daa2sL zM+=k_ofyMKp+k1`$p)`?>aJYMuHc|v3D+xQIF}fl$LvN$VMNnr0}aADiY%8o`*^(pERL2AG}^w*RRq#7mu^lY3;64bzZ%-KzUD0Bm#4m=Y8i93@4 zSwtyMLxMIDJe>2GZhs_H*}#QFy4?qmrruDQ-WS88jEzgd>;PL|h@qS(GY``QE3l&6q!*pOlc)a1y{o z20*0oh~vy;EsA{$wr4FC9TqL{B5>}o*UEu0vpgGPykd~52?8^9WkErzBn-{!uz~x_ zM~opWMgS5_@J|V@l=w{7t#Y8!aQW;Oj4@eJ43oiWBz~@)jIa?5kF}8vpIeeADYK3F zp(%W~<<0QR~m2ent@hH(wd$8TY^VB+{1yKhE6@!v{>ozO zU9TeVYUaA$mQTU+dRCR1dN><01yHQ@0cG9!r*0T$#URNh|B$7w9cN*K1_*GSb041)pyZ$xZ zO_gLVi7+UsvQI58nK*{NmN3W_NAk{FTa$vf`W?XlC;PFUR4r_zH{Ks~Hx2%GBJx*H z7T#AM9OP}OHqADeThkE3TrhKIGkB=UI~V}Kp5rsK>TVgJNUbqyxHrc#3051<3!obh2qo(ZdEGxjkMHL(av}^O7yIS2oF#b^# znWvz6o@=5NU%+T$&kq<)c(L@Zy#O6U0O%MVuQ{gzYu7@i?r>a#XRmF^yN$Z|`j4e` zmAe4c4ZW&*4q2pf_{2-LiLYJ|H?wfPJfJ&P;GnAiJ_Qz`M&lQwn#A$YI6!LFbyj#W z14a4(E^jVQDEJMus?Y-t3i1nYehMLfM#pd;bjKxOJ8e)AB3#+g*CppN}7iX3=Q94g<&TBqe_H;!b^m7h<7E028fghsdXj^|V%x?aiQdQX1}6Nw z;mHe;+Y~nRuAzi?ivdm0>{qFi#nG737a!yxPC`&S8#{nnP>s4?b|2w8vE`Q0E z@bme=!aF%C7$D~Zwrm6-Cj>VZh|I^HeGpj4W-%mh zN3{$P_xCTh2F~kt(9Uok5dzV+*0NcU>SU{>;-m!dSO&)d~ z{8er=E4&lC_Yv0mb*&F~Q!A{KG_j1q-$ndjS_&U9_J8J#$xgbx7`!>E4^K1bPfqN^ zRX3Y~U{x(v+jU>fluaO3_}5WfrH05Ll%GnCePP2tica*jUat0!vpQw$ecxMP1bE|E z^H<2M4Q#j~TyRp;fg-*oahf02b9}2ThtvV-f?8Ll{TH=l@Rs^5Sby66XnI`A{ zLd&BC>M!w`EGQ?EN@o_PSXeoDL8>SM=|T_Rh=L0y-AUU9>IQ=VB5(7^sp&qia1@nj z&A`CzVR8q-Zj}8x14{E|r9(AXS9rfHSrm9`i>l34M4UkMA0#RgN47Z@k~ciEI32x* z6KDc2{yBOhugd$*H50X@{>sl<>U7lTZ_bW9R>{w!RBg2$dN5ytn+ezXXAw{D(SdGX zIt}-5+FMlwIt@0eweTlM&=1;c5qh(VJE_pQOVUlixp?E`ebDwr%|`Z$*cJ(7z*UH$ z--v}{)DDYdq_WbDi}SBqKCp@kavim5kR(%9*|sb5&RdjJdBKa5mRqmER94n;N)Ye7 z2x~ZF%FE0ZgShwz7?lQPAvOEvaA7z`DfU0r1Q44k!AH-vfbHRmovjRFzABnq+E26I zmGZraO1*uYHm&RXxS1FgEY=sC1Lu62C!a>(WiPt&w4Dhm1#_b;<~J_Gk-)OGNWz9b zRd!U;*Ns#!cM*fGXH(ACBE{XKEQZhLQwI*6Pa2lHnsRNElsIN`L0hP`c4K;wzKs@* zwxcw0z-M#awPX6`X?VYB9r9}XP4Z|h=}w1c`kbe=6rp)T5=2Xj-Q^l@8GS7wE0)uJ zV8CS-`KGRh-YPi0!7Z0Qh#t!RGn+=YsEBQ!8M{sF7F0FeR ze8|8SjU-L)lKNK6XB%0Zz`$`iBle?wY}x=2d!}X(ZB<}TQ(+MI(PjwqVn0t#^Px(j z#UPHH(x8#`6t*M0V>D7~;XX&4S>MiR0}bnoz{+v7xg6<_;(&MAnK{g%GJj=7Om~3|tX#8ZgT0IVhd=%?+2( zJ5IM>VxrHs5z?17%Eh6*#-OhN2Y9X@PrT7)e*qKNhN{Fn6sSRTc z+sn}43`9X7hl6Ohjp#zaV2}~h9H9YC0A`H8C`*0QhfWi?{BFY_d7c7h&u55|(H)r{ zWa&*D*@_9H!=nbn&1j<8uAIPT0zR9)!dkNJG#^WfiqbAp3LwG^ATm^$@9q@t7z9J3 z;x87GP`08b%*Xg4nXKLqH>4iij9(Rs|La;iLVD$!ZcvU~UbL?Ki1UW#9bpPz6!?UU zdxJ5E)J%{37!l}Q1R4tyJ+2eF95U?nibbMvqgmq5(mBKG?3!&PyDuAAY|^p!^Tp~; zDynVbv4zoVonD-^YX@bb{$_E0&;hLd2~Ve4EGDclU~}yozmbU-Pf(kr zbA-Q54VzpARNVvf&n!0;*g6!;B6OhAOv<|zY-R}0|0Z*WQm9K82D7vnK^27oPFx6- zCteAWCl=+@sVD_a7LL%*TCW667jE?{M@!O`wUw)X7{{=wqx_%mi2g|1Cjfm2faGyUwJ^6J+%pp7F@vu`{F80h7e$Roc*& zZ*&VKaYjZHuH!MOw@=!zDy4)IQ%>aMEvwd)OXs)Wo}P7`;1$}oLNR;E zrJz3j*~g;!wGUz$E3>wmHLSYcJ*Vvag}~!^Tzam>%v)kTKZrxL{PBHcI~lGyM6?Tq zP-wvKZQ-K-F=kU}5KZmJp_}k!JwoeS?I;Mw_g-W_G0b{^>TVujOQF@53zbCTsA6OT ztNmC7Cz-;iPeHHp5bp0T-Yzhp-f@EN{ys#0z_7;zPG>e4^-ZxY-hmK<=eKFLg_Pw+ zE_s7I@DCP?sFe_Y6|&BX-EVZqtYU@fU%ymS3_2OP5$K2KpNB%N>TBAbtKu6QL3}q~ z9a&k|OD)E4W3cn73i8;HFT%ErRZ{%5m0-~@n~ksUmYM^3k%%%3&s9>AKk(1;#r&DA>) z2K=NfuedX7mmq>TLSO?2$RM;WVNxC;Msx~Qq*&$m5_seIGPNlhjIqlqnxw3)+`Q${ zZGXU~^?D(1K51sIAqmE?tzKS%_w($Xtr>;7yu7@RyIKeVFIK>U^fPqG$`43daf(Gl zeEw(l2;awGe7|SXX5Kdxf0vWC%iKJ_w?QnHKDG>*h2%5fPAoa{HQ zp34XY9G%*&QTYqGUwQ{xwAj61^5iqBff4EXG(x-eJwz!|>luyof<*4Km}bPTqT+wg zE$G00p}AhtP12Npohz?v^cg^65~1q)?oCzI3{nj5LEAxSNHP)dSVRkUEmBX$uj#i( zxqaOG_7ZQ<<5&|e@M-ZxaCf%Tdo^H*z`?JD(PPp7D~2$r3t6PA?P!BM2zn#xZg!YVh)u}b-fcvXYA}Hn(k@N}D zsO{ZYJm#}e3Sv!Utn`uigk)+wyS03k7_h-}4Om;a>KR6QUrO_d^ax zL@F!$v6@t1md+@W`1-<-Fu_wwV-=Oo#Fz+#E*#$(!X8q*1Z4#hEjdDcv#wMrxb9JN z%yoqDdenWyO8X&ZFJUp5+Q&UX0T;JF)?fLc#TvyyPtc}mr|~H|NUV``Sg!S#?IzOD z^72lL(xG)5%w$F?uPC*p1qo0O>d#!^2CVFoe zwNR+_))-m#GwNLq>Q%Q$INL@9J$#|_1G;!;)cR1S*2!4&D86-|Uw7)7vD z-7vZMSPMy+AiEar+ANHDg6aJ+@(XUg1a(Sb>k*eYG}pO;2T$+t=)0ThZA&{i?1cDp z=Pp#D$C|nMm{+e|f%~W%{OZIN*hb_j2s+|;wMxmiXJisOJYH*Ix1ted8JPGE6eFY} z!gk-x1O<=Vz=PHM*xqivd^{}0w=#HduCQdnPR4O@%6yf>=A2v?Ja-yklVY{l%%q)B zd-fd5;7DUN(hjjqt3fo)W@0$kOcLZ7qHief^u;N^zvMw^*=JtKwg z<}kQ8Z^XGAd3(zf9yuHzVl;VVY28V?2jlnH1bA0|cAeiqHuawpkcI0-b`CE*5W!z% zTb72Pk^%pua*<{^_Fc;oW8q5F&VY=PhB^XMPZQ&UN2OveqZ?P@F2O!*&gr)*UrOYg z!~jjosAz012u9tFO~_>4%z$Dr>j0U^R5tixK!$6jPQ@3Wm?3or79AT|VCm9$>|)G{ zIbc7pVf7-JW3)@(AyK!Hyw~J|LkDN?dVOuivQxm+Hll%PHF40Q`xG8-LEN`gBZYaS zlOt%<4;_hmkyEYK*~)CSzdKr*>C5)Yj9H{M4)UNcTO2cagHEZ%FE}7lJnFRTguLO_ z7n*e-NCwZ4K2*I1va@45u32r7(Bz1KiCk;4m|bb!;Bu5Y6@zCtRV>EOjx599MeXyT zq0}_4gI#B_-(xf?PATcFU`Pj@Mdb^8ibkTRiwX6$UOf%UecXA6Ly~(HJzN90edzSy z*KPMuPOvloKw(}6BnSi%u^?Uo6fhjW0+lre`2e^knc^sYrtH&JuF_h7X7KUPVZY!8 zMHaZ(34dYayk9vvTI>$%c>G(%i$#S1q0=XKB>w-1dkf&Wnj}$IXfZQ0bBmc7EM{hA zW@ct)X31if#mtgL7Fo<_q4oNo-JPA8d*|+rc=6)J>F7S)RR^l-bY*2_<(JvwW9p5+ z9AVMO)>=%E=G0B0EwXCq_?WbmV3V7zo4;%QoR&gXHH{r>_*{xVQ zipCs?!$l=$oeM~7x#qi^rNRx>YM3tO@oEXv9wq;vdlfrhSc1JTkD0V*u`sg}LRMrT zW~fRHxo=G}G@{431CzML9|E`ukS$<=%9Ppt@Uz|}PK_W#H*oq6O%T|*VxZ0sazW^1QU#)eq zwE>1!nQhdZi(!__kU1g=kC0dXvX`o<9p5!GLPPP8zM74670tR=XO0x}WN7GM7X`|8 z0w=e^(R|rBkd?Xe_Sm7=$c}`KZVZ&#WX98i_t&mGteD%4Sa$VGY$L3I`X;qkKTK=M z0%$S3k;q}ApkpCx7-OU?hr+2;k+kmHI8BO2SywyFE4llsl82+2v8+Jett`}^Hrb~y zIkbdWBrO2%_Azly`oPjkxd<`4^U`woY_im-=|IxSQ9Kfl7Ey2|b)jjqocG!W6@BA! z*zV~PV&lR__hnnGE_>RWS?M7lsH4)E9qPBQz*&=BGJlhtYjL23 z6jUs*#!w^hL~CNE+bU4aeK?q+U{3OFk^FDZe6#C^E>dTnFCPP|Hc5f{0x^L*Lt^?; zmU|MH>2Z}g;?0~+z6T|TY%@PeA)8q(gO)e7K)kzCC(_da7!pz@HAbplxH=>U6wf0w z-FnX3xFD&?OSO7wq)UXpf7sI|#Cc#za7LDV;7J)Zge3X^mHs45@&*Jw3arceof6*B z7hR7ijaADhamA&Sx=$FwRX*@lFQYmg_nmW`Rz{R+YJhdBmGQSk*mi%E%@pu%jFk@g zPQ2GR>U?w*>OPHlMg&Tc>RLR0B0Rdejb^XksMZjS7#yyBelz80T|K^T!*J1?xvO?H zH=M4e5;_WT$=A1bpat@P@5cy$VqEI|8AKc<26Rx>l-Q%|6w^>r1^_Ky6{|chYPJ=y ztV`h_?q$ftS>`07$Aqkg7K+*`GXjHz@zz-M2H;=39Xrp+r(>hnj(6H*epfaVYu|G|*z$fOQl~89&{9#a5(FlTJ=9RsF>Un@lX5>)DGq{QW}W+oL*~C+n+S!X`|QT8(A2)NYRR_Q1C`LRY>?ET)6S z!J|BDBbUbdbcbt9zG~Sa(BIYdmmq?baP`;sU5v3sOy=gxV_n*CMV*mKGunQO`cwM z;B~LcPvq^6efuf{Rl|BP1H(uZSc~v#diO0G|sZ z{OFeN9nC1Du|q6Ysj^Z*TPoVbZf2N;Qxs)#CAxqnlZbEG&U&)|>+X6E$rYNwUX@li zN3pu{F3=;7GiO+Rd({>3`_;TI-$=i+YTA~^#3x!ir;d?+rJZty<&~1UaMg@9Yc#pU zmX#INlDb_^gN0>a!g57jxh^oFw?CzA-z0xBz1jCvp3ia+tD#QY0JA-7;EtbU#jKHl zpaGB>p|a-lr6lk$SpbBKZeR;ldhlm*xl9pQf0cXmKoxmS*f{(hU7xlLiCK}VuvVGk zASB%?ZCP`KBQ@@@IP9go-RBI7tK2Rd8XMux7>T)x)*vetWMR3ysQJC$ zKeZOLmzH(+5qPL{@ciT3`&Ff<1o1Z3k+Xp|-(`~h*WIn%m5rPWzuj6raJ_k=kqvPg zr=-Kx;7AEp@sn*NQrt^)BU`4(OdTso6zUZi(#i4@Vlc@X6tP6G&Vv@Avn6^VD3mfe zvbQvQq64E8-^j%#zvZVR2qd0iq$a0doU;!4Nv z=Wk!|BAe?Lb?`Qpqq@A8*Avf_Ek>vi&MvI26_020sct0X{myJB-KtXb4LOyL_rqQG zyF0Wp&)arpGrX2yI!309bsyDd5cF#GfMhg%=x0y*dO*m~Q<;K#!-}L3kot>T8!(t9?KJsy$0L&%F zS#P>-Cc}p%w^cZJN;u96@TxU?^|-0VPimJFn)tD zcxwMqjPFZ>ynMKPfbDgeSEO}BNKIBuSUdI=&I8z-cjOL=G>Q_@E#4*if;iIcvAT9- zf-=D{Q%*68K_=pU%73&%$`Ab74br|Jxi!_K0y|!br8H~ezWVDI<@$snFoI#vuBH7$ z-@oiPFxZj_?6`3ildOopXOEremDiV#GA;qDx^RydNfOw6kp|}}`N>0~1#r zVh(ObPIh7rRyIavE+ANegAw?Jot2TD3+VbUq5_1JY5YYxV3Hta`wKzv*8zV6J}_x& zX#p)^41MHue0Brxrs`&TQD=h5H|3cuO(UNu8;y~_WYWxh6q#9_(cYa1Tgl^m( zwG1L$#6BT8A%R9U$i|e0YZ2^c^_5y?JoRSamf9Ty_WC(k$2mp<*B&yof3Sj~ib4r$ z*|Z@bxGirFhx~t^%ifOpd-%2Q`2B_*CWfNA61ei9uZ4haC|jiVmHH}>^y3nb>FTpM zZ^ZAN?pMG4@9uUi4W^s#12H?p+h5!q8wz!i9S5T?vep?YS^i8yUB>`!lEMOQm{58*-h!RvHWnk}$ zznpVx$fUAmhX{b;yRk?ULop%L9JktEYF0ZK9D9!};I^qPcgS%+Bq+viKsXRyt1?wd zzvU^}pRt@$(9p5STqzHL&&R)$SDjka~Km+6ptmQ;fwS;A2(FPYo^U>`f{YSpl+1S_XE5X3l{Wn2zWvtU$NGfPq zxSCQAurE=Xm(SEi{)TCXi$*6aj?=hMcNoveUZO};`MM!8YHH_{XVTNNUs0DFU=p?N zNOvsgF*drt2YRkh51xhf9YI?4UJva?@2N6G|r z4TE=_F&IfbWIunsLe@kp57lMI#|Ixf*@R%oAf6I;X7RYu#dCBz@&)c!+l#KihpMhF zEscX_F&a;SELhyvXud{y$LQ`gB=>)y*07PLQ&?h5ZuUivcy3G+dLNa_kxxrQR-1?_ z8UG7VGhUf531o0CSy@WbRy<)K(jnCF|c(2?eKT??-v!G1-VzOBC zS|0kXMFxE~IeP2(*&lJlHb1o}ZYiFoj4lsxU;@S!FfeQZ^8WPgxn338m~)dB*$T69 zZKZRLzhHvuY-MYxvzqvP8J6-u*FXHApfe2;5kAJ{zdH$pb1!{ewNaFec|M84EaTFulHtE$3w~C$iY8TH?^1km# zVCoh_r3eBy=F2M!aU|1kNx1$N*Ub7|0V*qwZBVtd`oq$yl0L>+82~oc{&s>B7P9tT;f26jH)+mKmxlOVzgpa1p%NfK>Waa7zYGXBsUTDCMg}d&*VWTzg4YmaA%!m3c{< zskJZ$KXrf}+_d7`*`^_LJIRI`*^L&f?SqD`tFlL$_e5vVq>ov|zAw0@gt@(djEMzU zd+k<3xxQOIC{wq|>!>IjEpG8l)zGWB9NoJ?30m$x1?yDGinr%A59O|I-D#gH0v z*fJxAY5`{!*L(oTN@NXJfpIpkbQ@(AR}L&5|4z@h=($RfG9i*js!~W>qrmB{XbA^^ z(fyjGlKTg9JoJoLM5Q2&-w$p2*3J*@0*@RmTSKo)Z}8N)Y+lNHL;x-f8y9{czsu_F z(`y=wUdXS}+ZknZcRwqf(M+TImM8~8Ht^p_sK4Wc{tJnz`PJAOD4hOIH(Wip1hH(vlS3^$;=2~W&!*qJ%Jk|D?1D8 zU#OeE@h<-@#1fe2Z&;xJwiq00&LpUE!uKbzeTv4nL?H9-D67Fh*sh4`u#{ALw9GGV zs|SOB+@BA>+~j!Oe`&*~WsvH&dBX}Axpe_M+xCarptE7~#Hfwe2bI|O?byEl>EFGB z6Q|&}ah?AYKiT_t684#Sze=IM>cc_rhNeI9HVZA(22G?iRu)b)(}d;9J=wYsqe!$nCFn&avNb+f!t8$ezwfCBuSE7}hNlB*-Vv z!Jdv*oi4bG#xFAzm6CbyV6b%QH;Z@3uJAF3pAUyLW4m2oE1d!_d>s)+lUl>Gy#%_@ z9PU>Uvk3Z@&m}H-39EKC&mGYFr!}hXQ{|~&J*J@Sgo38bmaG-~;|r4~+UR^)rhf(c zPw>xSkk1QQ*R2HBJ~b4r8WK+U^LH?27d@FBODLz#0kC_)yW{xndDBmre+D36 zYG>4s#*EG@C232VDH%;W&a~+?p(8W~A1LmETn=po=ejk*wHh2@c{k*#%vvJ}=hrJk zFT5y)39>V02HtKlRMx?>r7Hxd(jMDzI)}0P%zoK*#MD`4G!u!5j=~{E+jb? zS?(qF$HoDCQ+wyjT-a;-IM4>9tOMbL@APRWu59WQB875?Lo$jTk_0Jze0YEAz*q6< z`Bj!#c%K_f=V266fLKumHwPc-zaJJuwT&~ zNp9L%F-7oS)ZC`c0*&tKQyx@`rO&>!p%Q4-F(;zxhagI4&#~ixwUzKtz*U)1tma8C zeOSi);JiLr9#L=?q6Sd`sDW@*682*aj&-;ums(+uot#sWXYpl@CM`x&ttL^5qd&3y zl4dox?H@N2ccv$85B-%JBuyXERxxmhlE*Ah93+&l8A6{G4gDkL=$?e__!s1NGG0G| zc|NydW1hKkdvkE~ZJ0>Pvpq(T<5!`aORM^xdw z80}P7bO)20#2E&|5j1$&5C>dKlrgq?Z1+)=^=QG#Z?30mrVgeDj94-=0;oc`pS>GE z&)A<#o#BLBwpXH(j|7^Y=ThE;dQ8(PF<*mc(spn{Ep`&VqQ#=+D_&tB;2JkrW|z%e zN*l{usA0MIzbTh%ev=YpZL6gC(b1&gIy}Jw6f`f z<35Y)31#%=nHnBxLC{rXp7MuuxmbmB-g31d%xDg)x9iqibO&T6{3lLx(Nd^c(NAL$ zLq(Gx&S}-)*dN77tEnWAGX8jK?&Awr%%(Ayo+)#Mp9fhxWua9r{j=xX)+*-wU4ZtNk8^@w0igSn>u~=@ zy!<1L?(bx_zsr_?#Y40H6GcxBNYOj5NV>=iBm*#?eJeaqO{4#3n%@7!ie~#yF5Ul@ z70m)*2EwNQ6BhlKc==~o^xuyCFFW<$Z~edVc7Kz1{{@Twx3~H~!R5HP{t+(skLYMF zATsXna5*+$zJG+vvHb1o|3|nS8`t0R{3l$Fg_(uzFS;BvkR1)A^#M=*PjoqMV8Z`W z0sjkC@BgQv0XriX=f58tuyJ$#ZJh8|dH(&_fc;+)c!yfs@w)8reMDA2NiyKD*10~D zkdvRr0eu^1EE(#l)!N16yWot%YwHm`+vj8fSK zVI0W+1S5{WKAZRZygm9?l(y~P1GQb3$o*|9)5x3;g}`Uw-8r8o_RqjYQDpUr%ombL zzG^_^hy$48pCvNJ53pE8)SlnM_&Zk~!f;^$w?uWq5@gDWB;-!-i7TQx_4&STzWqD= zf|#J-gzPIu!v;9Pv{lK!p?L&E32Wus)kSj4cWQ<6(ih|vH8rIhO6aionEyPbYx1=xQTpYAp@maFJK>`^5i zpu{P{ezakwtv1!%{njRTw=uKjVjv6wL%oWvRrY7Slr}HBA;3x(Pc`K=w-fZRlojfW z#h|T8!lt+QG%P%>vaQyI$2QDvP^Vyhl)RF$T&%Hbt|Yu-6?m0P(88jP z5dj8Wj#e+O9SNdEJpAHosN=L6t7^fgU{>^Z@>GbGss_r-M+fc7E-D` zoLim2TrL&v*Z~q^V$^~i_eRpJl_z=AW~QPe7{i^>nXXpR#|#)5Q$H?~qbYUo_F;{s z#m(XxiT&_8xHl06pC8N;G=x4 zUl1ScUQBmAQ!F17(wmL|=vE2f-*_(Abtt=W5v@s?O~IA|tSy$p0%sCq2WlPVezHXM zht)b>a8?W9HjodUJ443n2>IVQt&%52P@W(jU}4GHB4y*^&LE4PHKEH*&Rd2*!_#1z zM_!S4kH`za?5SFb4GW_X;9sg_`@w~f@>mATWm{hGJyym%Ss9|M#-uK+gfg_n^-0>^ zQdVkyVXqeRL83?vt}Ak*u0$!8CAt`UCXHNU7q|u;%s(|Hsi-+J0INFNU}?;>B5i05 zqfL)5AFF2*a?+orpe~$*UW5aok}nQxY&?EJ&h*xUpj?Y!;Zx4hf=QPBk<=tUW2m-T zW)=;og;av5ms#C2N0uj;5xsG^uRCGc2*})ce?Kmrfs$+|A5S}J5q<=za$~GPsODU{ z5LCFrGO{zea7!hq@GoKGduhuvp9k>A`(R*r)x3gsoAdyha$wnQF_Ey4?w3W9b_YMj z8qipkPuo;7jfNC3tbfoD+ON(p54I6jCd?dFx#Q`asnJdUKo9%2;TKP9F{YbCSDkU} zQ*;EYr_?JIKvHcfh;=%7QRWM`BFoJ>svJKP9pwDd1gVPS`LbB1H}q=}^P6TO$c*Yw zs+cjs2P4}A+X8KxWVu~nDdP+iS!CWIhnbzOay|&*jd$t@9a%LH%g8%Qj)?C<}|>Yx+z z(%-kSED4VxCs(6l9TJs1#FLew(#TX*FsEbVH&^sDhRQhBq13mqOiX;WOjK%*3Zpir zYXgqYQ1D%T-2$YnR)hY09WOQ{sA*faDlvnjcy-DWFt_idX>Z)kX+DE`4jcQe`^IvY zGDCz(of}{;oql6KyZ5f)6T#9o@_hWHQ2NHJ^oEOEyHHo-u!Cl++`HzmLt`7;RdyP1 z|K1?W8p-+i`e&zFGV~p@?QyYlT-Q%|1o!x(Wm)@iUdW1HB!dVB$p*~%7?}z*BY!rc z>yiy)Q#)?vJ5;ay?n@3qTe+tImn0G`lFzg5o0MX|q~UM8xSeOhTc9V}?s8bg+2#sC zDH7^|c18~mrd!4{0d2;WOxnd8R7->f#lIQ7{NM3afr=;BzbsV)&ZXjUBKN_~2kb5& z5{D1%p)q6LlyGPlMi75-hW3UA8_Osa-vGOuyM`y)NK1DuzM>7kTuB~!2_N!OHfYDA z!1xT^6{a>SNvjlRCN9AY5U}IC){ok0&&e6PJbLU!QIen{6tv@%`;UoKiRVO%KIHWw z9j5$G(%F6P-mtr;#_gVdW!SBo9M5;N?w2UfzIFRP#*9H(8$?s7c`{ODmr?^xF7MX% zq1n5ov_}ret zj{<32zwOlCjG$-$S*UL^wRC&)TdA2?$~Q79{&x3mxMd51augJ@>(qHKBv-PMg}P8T z0S-({xHepi%01$d!3a1sKc|>UrbFnZv$=F{lUyF=3z*TsX2GU9 zZlhPZwU3I4y=84$>%a@zbK0fRmFd0=5ub#zC_{lNDbo^)zX(+K5tg*HUhn4_4{V*ptBdbak$*kXK*zu*KvRKs;ngFdog6FW45yN^() z(3W+mepe2apQ2@DsIi>Zttf1r!obZ?e(1qdo(F`5F%9uxIMq}u=rHsOCY&`I7}>~j zyc8ozk!7qvAUsILFNqr1Qvs>U+s-hHp|a`8)21!S%HKDY`*v1&dQ02Qf_jUP5Hb-z z@n1cQdX*JKEGh0c%u?-x`G(SS^U#Si?(Gvv!QN`4&Z?PEbb~O;HEfJnCj9~*A3>pv ztv}FrTjFMTgRnbpr8K>_ek|loi-ax4Q>dXLgo#M`eI<()?TQpeg`c2sop|Hw$Ker2 zX2l{`{@6wfotw=ZuSy|X)ng6Mbq8VfRZL%N#b{EzuDi6p!_vNjQEUJ1?5^$n1C{>E z)b$2Dw{luyOF%fNF{09+GvelvE%c+U92253WL}(djzu}oiwe8Wr;Xpa_IK7$b&~|^ zrX6B)lf~ir;0k7rNGYP|%Wr*ATW{MK9IVoahYV>DVWv`Chp+XFA-Dz~uGc1qr}f#O z&P95syQLws!UZGs9XXK3COM}+2{+S@Jf7@k<4aWpm8#k(1k*~ZV$xnd&K|^gzrduW z889^DugowXs=)8{>93`~j;ZtVH73~dMO1LHG}10CqoW?@c2+3kcZ#<9EbZ%x&z$&- zibsQo)Mn*eAmUxAwW^N|9+C|_>;klQO6#e;o{Zsg59J`3z}@Bce}FBaD>(~ZQOhcm zNIH^ynlyfG=(EX(WV{#O1&5Ud?1cs;u;j`pl~P+vtJI=9=%8aiIa4ZBB&j}{WL_Kv zQpfO4CQ~L1<5)4vwJ#ezfGylz$*U9~g_`iSzpYc7$4&OhR>gNHXDlX7S#WJ7Y<}<|MzO+ziDy*@0A$h|BSQq zPxF}UKwBO+E6{w$3HbAo5Ry zcMD0PTmQ}I#`)n<;3MJ<2p?C^1*17^V@Ax2kFpvq%}SoNn*8r+*M=dKpNg}mw0a8p zGSW1D-Q9cB6MOyLIbZdA?uIsh8)EBU*neSpcMkmYV}%dMMGm&&fA`uQy7BHn5oWde zGJ*kTjqSlXNn`%SN{@c$(q13<8l~!u3%FY%3M~jC{iCd%m$*R8h7Et<%pql7JP2Tl zcs67#MBfMB-(gGL<(xz^m@~AX40*h7xZf;%u=lt>lI+fLkfq~fQ;?s_J+s}Ht>rjv zayj3xsYS#=mj#fdx-1YP(6TQ9>IOjOR_76CmfywdD%70>)8wS;vSnnE4u7Xo3b(c* ziN!p#y5kKpGHxBRRNp9^!i7uW9K&Z)?c_&F%3Eq9r!KSJ0Q zhUNcoIM%*1{0cLR5oj+6zG;vg$4gZ-IPvP=v{Bf~8~U5mlL8JKetk7)My)*@Uc8JTd=NMR$^RT|)V z#b3i}BC9PVnm@hk49hg-kAnKW0l7O|jOBU%1l9Y!6hZcuG9R;*h7+79@7DMEvBPvO z-uBekv&as1JmmJ4F>kdDz;mLGF5nyl6hLuQ|C%~q(Y+;iCBK>!kf`>Vy`0;hq~7(W z{|omyUu-dTN93x3g9~AM_nPOIdUmJV_oyO%XR;HD#LWVstE^hG!NU=drg&;)t-sE? zbw8$oGjrh<;G2dro#TctGEW$R{D8`ZuCO~l9hFo#Z5)U!hb3Jriz5eZ8cQC59k%(v z@G|tBgyOl^G*o@uBW`dJFnofwwoAoOnf*Ng-cNot2D7;xPvXYC$@@~R?N8dfLab~A z%(uy;4SPfWd%6P%h|5mUV1Y0^WQ--|_9e9u|J7jJOikEg3vWbL-T|p+Nq}WMm8M%& zAQHZWS7w*+HuyBowrE8V3&*%OPu3PM#J5rv<#({y2z6(z>IC64~ z7^-5T{o$$w5vosq3VnjJ1T*|g=i?zo1W$%12aA&VKKhyAUgVmM*m483`Wb-C!Z3?3 ze-;Mf1N(&(i-_&&=PW`4{Wfq^*%@@}aaAEM5om)2t_rKaA)WC_k+FowfG&f3dFV|V zlBTyhA!uz5JZ!1-lZ9Vnu+RS5RY{@I=0C~zk>GzQO2_q9Hlau{BA`QQ8IytNg;39D zmiCE4K6h#`6UXjkeW1WSdTVo60_aaE1Kym}-lCPwxGfe6W|XI?&}t=7rK zG-ll0bhn$X=se*X@`F>S`7C2FtA|9ecFdqt3tykcVAtL) z1QkJ8GS;^qED*3Z>@`(i)1*Z#q`L{X#oA_d&4(tKYP%B?gAYBM{F7Sy-O7R(wJoC#{9r^L!OjoY(DbS3cydOY6WU@1g6=y9YI6p> zg3xfklz|$xq6n0@oJI2hsE;tZem~@mAv)#~ypGQa_Kp%iNx7I@Y^y1T>p7^hB*`F> zC1eX&-}9=vqPJRoYcMe}srzHMzqlWdwvRkmv0u{~3&GAR^82Ivj}!+J%dvKr2i;Dm zgzHVy2<{X3mGv1Zg7Bg|#G&~m-dO+d2a!h5KE|2w;_y_Qx6+^0B0;Fe*qM+HZQSQU zx-2D!VQq^(r>;y&C?^ih2xbia3(Miu2lMcGc=N0DRoIopqiriRcNM|*DzTXf2L07| z2-LH=+E@t0mQ1)p^DY$l^)7+mj;=5z5+HW4LbRIaC}&2);Q3uaA~OuVsZ|Y?b*Jc) zC=e#dGjH=qa!9e31U0rv#fQLvD|a@s(abiKmW zyK*flRU?0ui$H%Rog1_buX04;Zy-gyE`C{B;83>Kh&J3kGrA~YYWbVFGuBxg1PfM3 zTV$0QiKvb;Raqps`;0Oc(hGHOv=H_N339mpEe@@vA~%y3Q6W79ZA3X~53T75Xb_lO ziLs#Y8lw$RQ*I`KLLeQ`X4iTJbl)I&EWPF85+Gx=ph-+*&%K)4)b^ZC>z3&U3U82MW(Kg3hMQNtkm`Ol?8G`WAG8})^363w-Z>|!NkMW z#@??GXm2}4>S9G6%e}@?x6F-jjP9z_v8^P{n~dV=kdd!}58}k^7ea zI{d3DVCBB&a(5CY_ ziN&;S0H3@Dx_`2QYMuEL@_3CKMhlJ$t^Qtic2ObJx#S{7F z?|jQMESm?=#VGbXIhqTV_bhQg5P!~6P=jmkm%84o8<3s;2hWi$%hiX9n z)weU$Cp9-okZPP02MtbiDHhf}@*-)I)KgZq+XtIP4~>aoLx1(zpv`Qr z4(8}@d;;0vF=e7yT5|Ua5}>bv4gh6Rj6Vr^t90BrBZ?9W49U}{`VCv|Wg^cE4+eFj znKVb4>pcUJcO`4X>K6r{2hl@uoJB|tI1NV(IJFG7A8wkRpaPNU^O1RGlD>F}yeLMz z$I;Z~NxrQwi_0LL^t2Myt{`g=BeURrSP3*0Z2dm!3IWmcqps%`MU+=ki1|TR^0v~Ghco{325I0h36{f#<`@b5r6aT`Q;_H}mu&klgpo$0 z?R@U=r@L_Ehv=~7L$SgnDKu^P+0-8uj3-CEouFNUKm8B@w1-J82?c2O&qFh>Li~KF z9|4J(2c~+|^X8-(?HaDlNl%u?dwb`6xWYb!afKB=4=QGZg;T#0wg?S;^|@IYMh~x# zrndDx^R9-mZttGa1)?%Pm`Wb)`*ex^fSAE0tWI^j^A>EEdy1?77 zQl!Nc>_Pt2#B0teJ^zTK#p`0Kc`j@ropkS9C))S1^6ViyVcfpBE-#2=-uAv`NOff! zRUY2Z%yNbFr!Ug^a3N2|4N4$L7_Ry?lBn_fEau@{bSmOEU-+qOidy&t84E3grVe?6 z`GuRjRl6gtdD36_021GII-BmC?!2Q4XrwJgI(gLe0E(4a>%e@7|EW4_eDl zD1FFg+<&9A{NKSlSlL+qMNR0{l}*5JNBL_FUr|DG(CJ?;lHj%K$w^zraN~pHB+RBH zLb(G^Kx(g1(g6cR>gn=StCoGy>i% z?|&X|_Z)T*K7qjAo5S0^uLUo=k`Cca{d@v}%y`d+BjcgCwXy~8xWj#)3y3>^pvjPS z_8k!a7T2f3WA1u|cVg$$@A)ocEaI!ta=(DUyL&r^a5oDpU9t1>%g4f54}qHO_JG9f z@Xg_I!A~cr7US71OEH`LPhMSujKKy5w;{bV^s?F5YW8lb(NHrvn$ebC{dcrKw)I#r zf&tJ0TWb6x{rh7)k*r?)8&sq57vu%VXJV1;B|Ek-rEVq3mlV54i~-8HdH8-d!G%df zwBesQH9_Kjo%#{s55BIURwSENH)j-r!)#(c1iR`4RzMc~jluJjC1MD_Cdcbw zWulcov~>$|$@0r!KM+Y1<_SS^yM ztzqNtlQ_;np{PjFeUHE5X%I!;^2Tf~`_>ys6X{$iP7hVeQ>n!SB35Od1&_m147Hmw z04Nt2)5f@^Ato5efbSq*e*6yVN!|@-1}z9PC~-Jk)BrLUy z(nTmD4$cWM3Fk1&T_OyMA4@Nf+1kr1@rS)~>z z+h=lppnKDeAc3%g75yXz=&UGCrE383&G?uyidmQS8YsaJ*?1y#)J$3?jC{v9)X!iqhnnMv!sl|0n|T|xcoU9sG1V7e)eKpMK9 z-RP8}fKhqP)AXUJj>tlKQ4P-CZtn;82ByU@i}ljlB$u0w5QQyTOtuE)57#1g3OPm@ z3r2?;sL?p2$y^AC>hkFu+=C^yH|! zS-{igwO$46doG?BF)ikN6Kh2Ga&i#`&!A2o8p69t9`tYA3mxL!_u8u~j@qoP?60+U z6XDTO<47oqWB3J0lLEGfel96LB;&VN*7u`2SJF&n#0gDPzO$f4=Syp})J4z?oByo5 zhPoC7SN_Ae>Pd6m84mZ_qWYHFuUtmey#u#wFwvzu_fpx&<1fZZIVLhk%r!hMx~(f> zYWQ>*vhNCI)^?I=h6l~FcV%F9$>zr>hGPXN{nG)}{o)QB_k0(u!KJNY1O_e4tQiyv zM&=_;0^wOMt15xRXVB!!Emy-y?iyRvpfa3Y`*)@FTvZ=E^;~s~^o`@kHU(6$XiI-Y zy>s`;$E3bP*{k%CP!en-9Q(We!T)UO-jk3beNeCqU!t8m3sc$nS&X4DcUP;xRd*RG z;n_ndY{T1$#Aw2!lKr)cYu?i%u8LHlwtM$mz0mq$+8Ns=x#KHcH)DW&PRTJ>rFLwV--sZg zo@^OfNn?d+Fy%aCwX6^p<>{R<(vFuGnqgVlZETJr{?q&n%pEc)ALLlGlds6vrGy^4 z$?Amxgcehz_U}Ryr8AKa($X;O6y6X$PSJF=myB@pxcX%WT|O#ZNxiFD{VZP3(y8Gk zMJgiQdEFaTO6%>@dfEq8owC(6QGZyfL~cVtzLTio-t+g!4u|2Gne;Vjo-bn4%tTk~ zsA>Jofcn94B7aR|%Uz&dL$`@jh{UH~-lzbymJVSbngxviG|86PBb{ z`>7xU%t+&Als#nGQy;d4lKOUDdPM(hS&j0vr|Hrv zCBKDOu7U*A12TT1j~h*N_4w8NZ>o8k@M_m_dzrw~&?}$)#E(DaE`S`XW54{~BLLJHzOOJC3PXG9xLrcj4|1oTY z4#tx>y}@f4|K0Ff+Cp+ui>Z(F@yErUiOIfIN3cW}L8vK+ zqHf%lSYE#`mi%U-OuEsVftLUe4!A}nh!_!ju^57 zj!=&qSVJebfeoww%)?r+wd_OH(I(Rq|j&#=myLs${ug;!uP5e#^Qj%;~>>p;=u(i70 z+f1lmC>xY0>mu=|Ze||=Q}H2ftYjb!@}b*;`y!?u{YkdrBH3nVbQE3xM@wmY)zr_) zX^m>c+3oLrlB{kLWEYidDdm{Oli*hsZ0$e0&9Y0Rr0=s7(-^nbtoo8g zDu;@cv*$vqYqP_(>88V}kPaa*ZDOK9C>u3vs?C-~%4Oh&c28=QNPbV+Q8p^w`~gWD zc*%G1`xcX;@iygF;R~lM;~-K$W2Lwy63i7K?T-bo zS{^4kf+w{Ix`jrRVW|lIMth%daz1TS4F7PCph}A##+Ks_M$>%l!=p!XStOUBoMS_5 zt4{usOkrW=7*nrPepu^=E8c#OGCL_^lQY4vS6zK5S1OP!7_Uy`{+6uHv>KQ1qTp&r*B_KW;VWPZ9Wo zuIG2Q3as0LFnQSrC!C;cs~4F^Xo2B<)G;%UGuRunYVxug6k-Uk{y_-TP%tV@pKd4- z0LOhdajF=HP-W~Aco9GqD)g*qgE*CMnS?{UP~O%$3$e+_SCv&{{zTI4kOL*ss>4lq z0rxgHp1Xpx@5Wzxi(LT=RL8P;sQM`fo37^7QrY!U=PR(~*nlm^o3UQhCu*k7Xr+>+ zF^iT3kAlLkcJ>6Vfix#a~X|ykJ6m@Zc?HR;iFsMOeLVB7%gXT8oN&3DzV^eh~konk0?EW>sS% za)w8x%4RJqtBWG2)>Nz;^D^c2@=7PRQ$!QI`wBggi=8ObW7xv9 z4DgrccQ^Miw{>vm2)fKlqV`9Z_A5>udz`c+`!t3xj4c-1(854*Z9Z#wdpuiPJ4<*x zo7wh$973orP0{c$SfxYZfK_eW&{QQ!&u^f2e z;fr$Rn-DMYFWXU(hX%CT;-Z)-ZOe8SZVU9ZkzMlXUm-C(2-xK}%lM5+EWz&yS+3~C zGKE@lq1i$_%k&u#GJ>D5fZ=PXHY&IAx5VG0X00-gC_DVZG!WC>X;Cc?j+q@YB4T)E z2dWV0B9K?Mj}tTuu_B(f*f&WQ(MNan|-+q>oQuAN6mfKj@IY5_8lC?>Xg1yp(F@d)Ah%-n@A~lH`21cSC_3 z_6n=CQ%z)Z80n>9O3D6hvN&u)5c!UVzBh{QglG%k*=4(+_Jy4BIRdDH6!w}W;Kjv% zgk_WQeEH=%T=Fq}gpflvGF43M%!c|YJ&sElkL#b+Y--@ek7*@MgA~qL-x+Is53SNh zVvY7A%Mb?y-LZ1rj$6aO@ADWfxuyyL!ELvQMnCPN8)iopTHTRFaNmCO|5GcF5S`mf{LR3X|vbEt^E<#dGa#_np`H?o$xqef# zW9<_Yi86dN7KRImL!B-FYB_+B$VwImB01QtCCX>b162d7@FQtzx=$;%V`rc&sjK?@ zI`cMr4{pk*+xYJ-XIXX_jSC zZpc^N)*J9@*spA>y64tMt0cuHJ9S=d;#)#X`J>!-sTQ)1)gtrJy ziI+zP%d$YHxpNJwVkfWr3?TU$n8|PX!&0q;>>|3vis}q`e#D%WX>!dgVoe#bc7nrZ zZe%a!H;3D#6d7y!n{ksOxMua_RBq`Uj=Oz(Ihy3x84n*1qCgf*Dq~3(G#<`aV>-)- zTK>b61P&8CQ0Cr1T#EiRtx{dpqEsh+`7}M_sjJyy^IEm8F!qymkcbVJG+l} z_BA)u2vkSxWgdfykGBVE6-`3e1>_0uKOu4t=s2AK9Mbjyl6^e)=C)u_)CR-+F%N?C z^&nCIrepofJpND1uK&L>*mJTl0szF^+(56}zx-|g+w}-&uxI|u#1GsUnK=P~zpVuS zKl>g5xVZi$g4U(3)?ZU`{zQhKqJop4BKHD>eqs_}5Zo;e3drQm6l0o)iD+CezpM5a z^!RBJyVpuCqio@Bs;e3%_Q#C%OdHUJzwYeh3H;d?&(#m`@JL?5@~DuO@6X;1*ioP& zNWO7*)|SdB=k%x({(qSJ3+T9#C0iI4GgxdfGc&Wr%*@OdGg{2d%*@PS$rdv+Gg-`^ zd}sPi-+t}xx&PdG>#tf>s#@!m&e;)}d3I)G>{uj{PsKTt7Pdebyn;U2VQvIRP=CVo zP!_N-y&!15%^k?hg)lRhk%kPA0X+$yFm`jD!)$*ZT|Jx~Ka(ak2>%=>&!5a7?s}`; ztXfba#Se)I4MOA-;}O%Vdh$^_+KoXNx{gaU$8#VDV0gMuEh~&IYYjMIe3l6Gdb7{r z?dS{yMB*NpH@%*%+KK-=+v*pxacvKWH|h&wb#1V0Cg*v2|)I60IUSdSGNb zaZdKNijn5Zv%U4cJ)XlEKQug8qw?n*m6^(_cpwk@05ISuWbdIm{5YLgvKPrl+rOGb zz5XNvSwCOKmDN6;$!)_yq@*a78$?nBDPJ`AxDP#*HU5T)%2`wXoTy;nRXuM>DMQJ- zP_&}^X3Xi*@6_G&gP{GCEXfJ7TpPq*ZhmVKH}fWYE!#IwwLh}|JatWaBAd5*t$z8g zYRv*6d`cHog~)?Rka>a6ftnPvzFJo*<6`mC@(xJ2X}xdHq{|%G$_KIiz-KUZmDTY3 z#g&K)d}%lqnQNmR%94$?I2c`S-DP%@fC>Z8-iRBNWyt&RFvS7;F0RNhE zK3_jmqc89l9mLVyWEBn`R@|eP?r9 zB$WKlEntqKTzFCs+y>J_uxBY%M+J;T>m$ngG(&Nh3jjNd#oLQ`9=>r!XKc;szM0~R-7Rv`8ihA2?_fk+XG?CYK!Y6XU zD}93M&`f*X0wT3{zN$dIhXkKhr_@D>kPm>VIFA?b!F*2Ce9g_IkoPbqi*4cTji>IA zgz}hI10(9B8zUU6>o$Wf8jZcJ48CuS=JIuAHian}S9Fgsd1jKF-pFv9M(G9hM-UCims{wq!c{j zDBUlrP1c>pl2zM&g7O5n{!)dA#jw$|$}_;1Srz(X(Wf`Awz>d6H3_Tk27+?>Ii% zP&fn4{Q~i2o>bHfaJ@SluravyC~`=is}+vGH)y~X>-%yh?h@nJ*QQTREc|!~lCJ#* z9nAV_Gx5$oF|m|BwQTn-T)L|@{BqSweSK>C8pDZ@+Cfwrdi8mEh$ z$gaU&3iWYUcm)6GdpeELmH!mf;Y&k_pAMIHUn`y=$cx;W>eX`=XC3E-up`<9aqaXF z8nr25;8ttKE5GAI3)KPIc{@C@4^3d&7E%eyrfqk|*W(60Ik#Jdvu0$h0|;9+S7J3He{i50#%B|%MzP?d1_jGq%zB_fUY|5S2kop( z$))kyb+76_w6xtIB?0D8A#+s&fo*wF0};^q_9StW+xA71FXp(61q|j#;&B;R{ABX3 zu?MVX3#WF0Byv6a*_1j-?!>SWL8wQU5kVzhJTFKd!8No1pRW#om9tlMz`~v?%ZFvG zVc(G@kHD4yRi=<0&5VW8nmN4$tGEHg13m-DA7l~41mR4Hd{uS?a=|xp%@Kt@eBfUZ zcjUezA_#v)JWz_HfvO?*=C2D;1;YLy5iNos@#!OiMD{$}cCgr|YP!jN_o1Yeo8HB0 zzuS~q7bd=HBBp<3a%g>=`6X-IIv-lOOl<3H1_abq1x|k1L-HLwL^M z@{ZYDKC*snwG9hlgMu?c!`oWO)Y z9XL-VPvgih5*#Gd<@+P%xW0hWfi&S$5yxYM z#%I)$4?!a4DhTFcfe&(WlMT;{UxZQyrW14;_rLGoDlZizZg&M-&p`(y#}c1 zW>zt$2wCYG+mc)RPmJpIs6pcn%`><3l$`8w1dWf<-(BOU zJ0jo6+y8js)RGR~yFVZ7a?qbSbe(3h2f!VDMPA}=?p^<+t1>}aFsr{a zJmO7Tw!F2TkNt{R(N8`Xs12eSj^8|=b+Q>Xf)mSpZuPwsdu+6e_KfuOKE@PW?W$wm zC=QfY`25p+U?pU&OK74%`s$dB`fZK{`sle&GK*6@!i7O4Wm*oKiUG>8VhXj}Fj zYYhjH?7V|WK9NamUmV2Mn9DmIsw0t6{Qa&p7vc$oDiDsX1U~r9gz$6R{^K_QHYhLU zUrZ7HdlEMcOzeM95bnoZ4kc>3>BxD@!7+iQKKAm>(Q{(0BZ>z92JK4cN@v^-vgN-D zOY~kcGe1v4Ng^Y~YIecJ$!&%r<4LKA2Nyow_Icc$6ty#1H#s(J-Ve0J!<7T)^>Ovb z`1a=Mx9!a*ks1)xlOLD!+kmWBLR8WWG&frwk9({Ox0@nO9*uU%}3L<^gqgy_hKj#oHBt>pgdOsq(zk z?Nm8d=c-^rDMf1No@!YH)?L z1rw10YGvCbv(X&;rW-;YDJc<_H%oIS>K?seK=hveP>~DYy076g&!BRGxh2>-)erLGm?qW@>l?`tHpXG^izH?scuuylUVUa&bya}ym{{^Sv{zfM z=a1vgc7WQDsiN2z>}=EodzWFYPiQa--p{A|GBUl%yDwdd{*_=FS5APccJ%4& zZNc63*3uqxg*Cb@{PQ^*a$OM^q#FsJc?Mt$XxLn#6)#HVopQ9lpX`d~4v_l}khvyE z7p8O$esRnOhn<1TUO%EUBE|ZM-p7xIH9!@H6VM)nSA|Gs-0>v~T=i~eSkgiKKq-_^ z{<^p<>Z@Z6j@TIuk2$6{D9&dFje;7yX3vGC5Gb{ve3N8J#{~{fxR&T^W008UN--}# zRz18ySyF^C=};G4>u^-jRw7OxX_%PU#IFvT@xd`>{v#2K%4oTf=m)A4pUNnx*h(7e z0ZQT;*|nGkMg8*wuw`#wDdGTj7eDmuUrq#l#HLBd;EMd$Nq}^~!TyoLZ&?dRF*Kj*ce9d5xrDJ4= zW{|vn_ z^RdJ!QTMoJ4#X7mQd--Gfc#~W~R5V=u2eIZ8p z1pQsup4z5pXLA2*HMed-B6;0P`aFPp#*Dl=y1}fd8m-vaT=HqjCDFaB6etfk*HRC> zezQAsg`5DRcYuU1n<7P{=~q}xb7+JY?Hh}_OAs^t{i~ag2GsTjPeQO!HT>mR8Os<8 zmgRm%9_6@XG{FqSTlKJSU=q^4rOV41;WaF%X?TJ?+{PmWthmA6C2Zp?t-@X9N168Y z35_p6ctQEsxx5P?O(X7Yqh#{%y>VMi!=evDk(=hjYbaV_Oh-@Lh5_@;dr+>NAk^Tl z>mPM%i&9fgCw;0R+^8lI!EnXW(TtVsM(hB44YmcC;TTPd@9>XiW%ky0$=w5hltF|y23>+sy|D{WCXZKm%!tLLw; zyL9UcTeg;Rg6?l=X&%GeooDwYc+--|I_v`gN}>A{SzGUr*JhGRg1iP3j<8sC(jwkU z<+(!SZxuSnvEzZpmx7$K{Wz41@zflkt${L5Hak04MMTwjJo`vaXIU2id_%JSz2GA; zJc(z3T*ICi{a6Fl0!UWydEX-)8N_m~SbLWB#saAd@Zg&1Mxi}gsB@g|#@w}MMq92WTV85x1hyIZ z30{;q11%Kh3bL-s0lTM3vPrj>*9x)+(*1N)}CyyHglSNEZVlKS~0l_>OqUJ z=TEd>O7TN@_gc#ArUa2f#HHlHTQ&}7l3Vz4PA4x-u@x&m`iDm6qO&+0ld!Z>tL$tq z=9ZKq3n>~`he!FZm{BUb4p})O8fb7c(=AVtM)}^~;6Lrk55ZItxOGe|)h;xOIa2N@ zU9W$`g)B;`2fn_$66w7bal5?|(F%Tg$V2d*&n;{TPt{WSdI;w~Z$Z`p)y{mUK^i(; zb%LU8c;35IbGBiR-(Gljjel0iDF{?`7-{8b;x>w$+#EPB(V+MSr~YV(uRhFGoWgPm z#*Y~(xGW%6XbHb!oU6Gd)ohyx%3sYkmiQU9UbWL>iR!r^NYHg~+kPqHs|KK)ooJhJ zszfg!iwp2QMf>O!+$*XX$=$fj+pAhq%nIsvYUFL}ZN_Yx{!t5xx2S70Lg14*Zb(zg z&&3az#2-*VKFHyO;~t4+!%SRU;>xZEf2&&Oc7~1>)Cf*LB_YI87^SE2ACAjx2%#f5 z6AH&zvvM+3`5YP4VJVS_Xjx)d!9pBPNpm?2%i$;klu&|!E6eH zlq~rNcL`0H;iZfDOZ`;&VR^oFj>aCFxvuH++Q;GK)_K^JVS;u>3z4bFHShv0rekKq z3tA}`7Br9!==$n1;)hNIo5Ww_Z%VUI{dRf~v?4LJP#kV`)&%#yjO-fiJocJMxmcPc zgzZo1P_IKPmNp$qhD$Q!Pn0ZwpqOqIy)pla%BzyE$ojQgj7I6TL1Dz6f}cdG_+k`lqXcFtYqFZq9qYfjdJNBGxjYo)m(j zC~b-WQD)Cz@7wI6twYTBtsh+=@>4x4LzlIQPl2u$ZV!Ocyxgg|W*D)D_PS`InWy;) z@;V!QQe_*U!_W_`OrPPU#KRDN!3FlEf=%COI7{7QWXosANFmYs!Byo(|za=8S=iUaYyHRy>;}}3W3fZ zg=O>6rBwEyg}A?yRA|encbcxGurt*tvC_`^cAM6YMx3Oz%+)G@513)E?a*Ykoe+Ip zSOqYUSN$PEc+%4vlYH-MS0|*tR~K8Wby`aM!P(LtIZErvy>7kFz>mo~UX;>aYKpmH z9H0Tfo6@2wI3lp6kb_JtkWdiu?fPrMquXccSQ0n7t`X2&JGp(Jwh19M$hFXQD?(W= zq-$H!)Qw_jVN-}n$kKATNv6a5=_59b&m=THOuEpj5zUL{q3?T|a$rr56NkOih2-Sh z+Q+K;XT8aDn}h&)jTPNX^o95Pp}Im3qQccJ7;kgFQ#D;Ym>a|}iET9L`(}Y#ZhkNu zf_9HV6RtwJZ&b}LpQk~AN}NP2$GvVpqB-SX1iZN^A7F+ULLRcNlt7u3r93V^xq$Ih zp}Jtr<3GL$(Ks_ytA1KS6!34(=@klS3l~M{9`4@GP7O-ui2cu(5YCT>;TN3YzH?8a zQXWK|o30Px2sXjwYgM&!?4Ng19N9>8Qygh2ZG#}jg6vl^gX%meJ2$PCJ08eEEO?n&wi>uKLoaB1h5*|?ENxiq3uPgA>YT%ffULDP zht>+BNb3;!ipt~nt&UpeYhtr0i$zN%1Moq23g^4>V)3`je4xItS7#C7{8C`hTUH_= z_52!$7_hrQCO&8}zW(ULNyyk#r>Z9)X>51t-eQAsv5$_i`$U#rSJ_W~vE`WHyEEj5yH{=CgOBiVO1;0DRsCM7=TEds>6zYDN}2H(*%)Y;negb@=xA8k z@tEisXy|@+hd)&-W&If>m;X(zl$H7Y41Z87W&SD9^INp%*YYh4>}-FS%B{ZdbS7$o z?R+m&m=kFMToJ#9sLMphI7k(nb_!}oX9oe}PHXEY1vfYjPb^2Flu#_sI{;?s(paRC zB^nxgFGqFrK6M+lgnMH*d0>)RvLNZ-K{nBre0x1Syq>Qr^5)6V=HbqGy(lCZZ%^TQ zd3J!>aNUYSu|zemrD=Eus*YM;KD_eg`*F?QX@7sVJ$)EtvzL-Kyk02d?aJ{2Y3TLj z@MzZsn`qWhi(jhEWcPt))7zf@Lb*$OjH4aHqv5K2W%s1_+}@AEQErpEE1kQYB8_M6 zYS*&Q9nCulkYGcEw1i*4KVbZMm#R}N_6tM>?SAl`SeaYL0oCEd&q^@0DbqM9yIL5o zf_XjxKP$l$R^e2PX&A4GY4v4^vyqTHo^Qzz6USv@Y+R`vec6d}DxFFn4V-$oygb6P z_isIf>1}bGF2eP`@9ytY!n_a0IC#UU9HrPRxxa=BL)pqHm@lnPVvLFr(>v)?4ce6L z&>gX-cj+g2I@qlUFcg0_r{PbW%0#~@v(!oUTl@fJgQML`Q6Z2Y@Lmcgt5+cL!+A=X z&tadgn5F97J^knFEboV>{jBD>Y1}tvPt5D%!>-MKQyfdKMf6AX1LJ297g*1LG2G|v z!)s3_&=radDDnK1c>P|f6~^qX{&@QLLNMb@*9lx3ocIEz88YW`$0o)~8mHEel{&P! zJtp?)1Z7kT6;hO^{VpqYP_?i(i7W>hlubq<$l(%8h+aCiQ!~lU=`+EHX>`eIwlIo3 zMv6x$UYVQrK@aKDDXJkhRXOXs=|~*DYN^P{F@x9WpIQj2=74z;@eh$GjNCpVe{nGl zE#*^($Rj(&dHdesoxd-s+RM8<%LY7sKVb9 z?C(1Zh;5DdiN+_FUjS!a{kfKnYlQLM`*_p#2KKGj<32@xec`kkCuOAd{$y)-4&PI@ zHrO897@2>K{tTlMJLXesV{x|T@N_F2wCc$VM`hcl3LO8uTq~v*%7@GV+9e-OjYw`j z;xvC*$wMG{o}GrKkla4yIsRsrW~GH|!pjSAn#g?-wvfH~is34{(oK6kz`<{xG`_kI zLvqmxr&x|Y;w*rR0$y@_h^;GRAr7u`{4FcOXv0H&zDz_QR+kvt!IGM8d-&Dg#-l-q z)8_H<5QW;Xg{l&Po2tV6f1 zNtDkC9P)df7Jy#8g=Ay>fCE{gAVu1KBBt@tSMLu_-@np@32)?%sx9zTp{vX;;I^1H zDa}e(*=mtUr3Bx8!S#(1^WGcE5ZYf|+>+*|sVuFD*~boOjfTG!#O<8~6(p+L`zk2^ z6q`!~pT5^8BVHs#V;6eu+Fh81>}fzhGjOd@Ao)15ua{B+7qc$XGLGgiThC7SJP4h> z5i|9JNjh$9gPvK+=tz(0ipab$Cui}SD=Kk%Qe<6*{0WHCl)~0q$rv&X-7LbnNd^f( zV_XPE5>R4dO+?cTIxVa1Gz|n0sc)Hc^dvMuAoci$b%BjoaKqXsLh>BZg;N((^d|=P3F`*!2vIdEYD*AvX>h|xDRC*qCG>tPs)2Flcntn(Lq8#Upm(s@|o)LjrbwMb_wOC6ms}T}Bm6xSr ziUb}kPdATg!d%o>-%JyBN=AhvQg$q6X>e{%M2wC*Tv*Z5DD@V5iS>b7r$H3$6~f0J zs0gShXO$AOR3pj%0kRlLNW5%|CE@;Y0K-pt?n8qw)#Re;z$*Q!gPw?o!AkowxK^iY zWEz+9Xf>WU@HtHKN@!jkjID!-U6zaNJpPq~t;o!@-8S_M;rGz(U{9$tU*=;G&%`gN zM;t_1G-q@mND}8cCkFY2-@kna@CU8ftDuTA7VC)C^VHp`*gs+n^6bhA_k`T$fj?FY ziglarV{zr@-iMaE3$I~p14Auc`ap%_SVmYWexsW_`OQt8pK2bK(i9AqUX3ZN5}LYx zS%QYzO-QZQJ3%AVTCOpc55W*s0BJ`YfZVN`U`VT7(r%(Da&eA z!3*fgww)+raxJFCf@{)2;*f*S62yMli91Jd4beVal8DjYY5+{lOX!&zAhL&u0m5zq zviTSA8$??)IMyTaMW=rtzZp@TW<8Ln~KLpXn@ zgSA=vO82B|8{ml@h<>HE_PH1uczVr5u(=OlN!?ciN~}gvpK7-RvHRFYdMCeA)~636 zOZGK#OP!=tfB=sUXNAR5sQ>m!6Bt|3z+RibGvMV2Ga?`yP3PiM|{8S;#Z}|CS-;f0V`+M5x0>K5f(p6T_yaL+x9@!%Abgb-KnfHY83BlXWj)D0DI&c zxq%3lkpK(!-~i=b7y)y9-~g!+MS%qHv4Khh>LDSxwa3Jaoo9~lKG$P|L>3C{^d&B4 zG%DjYfGV-Fr$aCd-vQT~>ChbZM4ly@sy=Gl^d5BHb5(|Rs@E#awyFE2CQ3Xn_e6Cc zw7rJ-+@Z=jliJK7olC<-a$Uh4Q8*tqy^qh>mnQaGRhu$Tk_kX=V3?y0Fvn?`V(m^vA4l0^?aCbKBd$Y8 zQtnX|eRKkAoNi(i1(Xj?>47?t#IUJVVwEpb6<4?)n2j5hofZYx-U56nOTV0@3SifhmN-1kDw<$ z=U0208bH}|CbQ@+P{P_9zHvQ%$!tK(ubzWa5V8;-i8cRmg!2X^AK)M^o`7@t$nyrJ zDCE`II^D&eQ13H;_o$K`ig$lx{Wd2w8D`dsp{WSitR2jr?1)VS?&(AIAQ&;o$e$_? zNX9J@4Ei_`rCPNENGOXH*W-@I8-yYa-}8Q3vMUAseP3r2 z=NaSnn?X_6<1Z%dzgL$0HS1@jWB)^qf)0&ioA*ssZ!~;weO~R**|4~MXu1&5MvGDz z>Lt{yxPD#`FuY5du>;bRuMZjcK@w-wcjeP(#K9il7V-CcHf@ZXr)>63a?!4{?xdY^ z8GEkP9dQhC74IHi9u}k49lFx9xH~v`pKe=D1aK{#?>3*qlZ8k*i`;Uu?RmIcL>kd- zH195c%h%0b1OobrW`#~5_VscD*V z_P#piCJ8t?pL;EdHZrOzD9v)ir`*iImtxF^@Wixmt(0^<4GCZTOY zdN(DZrFQc6<9b8NY>9YSMr$1mH+br6(Ms>c1+oRy7?0vMf_^Zh-G@VbRBRP`wG^+v zq8COaJ|%dyp{4EzIBQb87kX-No+IPN^@?)3p(v#v!tiHTRbZSPUm3AEPeB2>gn4VJ0{XTXS_8?ERQf6Z!Vs3 zvUtg#%NddeG^z>H>O4*7E2KIZkLJcpYlF^G639g!GdupBP_!BWSC^fGserI71+9~A zx}F%LoDnYABh`{+J|0}57dR?wOFlGTek9@qDQ_xB68F2Mr2Yo!1((;3+0y*;=h60I z2EJkG-JH80J{j|2pBe>J@V{F)r%rIo5-S9z6edp3eYHvM*WCkxD;H0)eSTOb65O5Js? z@y-zY+Oo>#;U9VFG0I^U$FT~P%@k21BsPAeP&V;$vkc#*AWL_$$W~8JafbJ)70fCY zPF64Wc*l`q5s~rR9a#Jd6n2n#qy0Y8a2Pe^Bz+3QU*@wK@bXB|gm5ptyr8%`4mw*s#NNEO%cW_RwX)P zPl}J8KZM_0JXdh|5sXef^|`NMA-hBx1qaoQQY5s{cws~&)EGF!aJCjS2>Vz(99f?| zp4qLx*#{xmME1%Ks|>i(kO!e_O7aQ@w- zjH~S;1jSU&&jQhacvFE}^hAb4g=*Y>?1nWT2#7Qod=*L59|+(;|Ep%De-5?h#RM7SWY7gtZ|MCZq80FJK%-`i zMp1Q?q~?4-6ZejhQ(8ncQ|Zf=#msSOU+Wf_zZMkb&Yl^euajQ3^a;nJ7Nux5T2Yyp z`9eP36~vXJ7(=H)*!g_?B?uwGHHm}t9mWBQ4Q)gi(DZQw^c-{@Vg|=ENkVUxJydT0 zQsJFdrwaBU9$P%ytjhTc9qJHg({}nv(cOMyld3VLm+4Oj65=TUD5Ys zCA-yd*@|PV=}HV7L*%Ia!sKA})D#T@#^oZc31tW1WBvB4;Vc@=RXJDD_ua}!cL&YB zVB#AJTXTM$gggn`DRH^Q)z6D_aEK5hf@_9AiKaFA%>Qytk5ok7S2DB^o&0RL&UgqCOl>&GJSW!k1`Op zy%Ge=I8M3m+8%wOml19L7&&KS_1kGIZ^o2Dy{))&Q4aG#YOsl@z!ADlm%8Nqn9a0j zBK0?+BOdG@vGQI{l?RcMX%wOx9nHRSv4{(EJ|=)ix_*Rc3)N-Bml*_!bk+A;koY`u z#js&NA_7FG2Xmy0Z8amtr)4Aw?hnWXc#%87GXs@Q# z%n(LTx@nM~kLy!bi&wD~6TGvLsq6O82+7&s5twLZ=+=VS(%vV&`s_Xaaajr zk_$>8-~71S@U?|@m6)nx+4A)SVsVy19L9Ou;yVMUj=gq3`@4dQ8b*wC zxE7Z%IeN_6%LW3!rDT1}3I;`#;3G5*!TW*8LESU%h(XHjiZ5uaC?0wzc2&zp*< z-UHMN&cH&RelELAKF~d!P^IvifjIN<<=l89in%sjzl|fP!(jCbs$#Gb71}AHY_(M;hpLRa-5rWyAxUg6ftaAU@5$aakGe ziIXIx7)c+Y7SqeEExopXL2h@?{@6dOH`o(49gg!U?Wc`mAYH{t3^%_b*9j(OCL*|f zupx*JHu4QAKD;03MzA{u*wG-=rG@8|12-P^mv9-M#zjt!7C&%-ouT;ZHIU_PMSBwH zm2${?e|1jH6uZTt9;_|RD8q%*ReL?2e78pIsH_Ay0jxbK_MYNi*#Vi&>4jmf>@1f>Y22_;}2B97K^pm6+^~+m#)X330bcEGIW|?7%g3tC z%kf}mg=bp%FiscRqvUmk?ltX>6m3nueqLK^9;n-hY^zPL&*oztd@4xO{9pcfQi7PZN%zyb=gY|R-J{^*_CiWI|B0DdFO?f ze9g}(((&m_x57OlWxj;{h}6auLo|ebGBIVOJlSR~*kJZS!nh~?M?udIVHSu}@l?30 ze3CWpTSmdU{d5vaSV#|t{bGqxYe)FA*+Z@}_y z(k#E2Wc*&d_fO&(|5bfswq3 z0Ih(TgT1VgouG}?XB%rHYX>|wS}|(}BfHNwmhT04@Qn2=?Tu&^r1b19@R(SBs>aCw zg$O>&A5@A@d*}fH004TkZ)B_>WwPHt{`VgnW=*XCfPZfJe_Y7F%L*cPdagfna~zD` zs{;Le{tPz#cdYUk^UD9r4uG)l$a}NzWUS!9k^k8U-CqE?d47rX_gSF-4S&=9AAhs{ z8~pt#))5A;cvHG{L0^dVuk-F{{F9F z4hHv+cHjZyy7(p1--pEipZoi_+BKH%<2~(r9T^9sPeukdhDNlKM%E?{rg(ofoc~+> z{redG|I`Zq|LyPJuS@*3rA%=@3)wa+*JYvwPT z_3xR{{}kr`f>JrnzHUSwtdpL>z@??@Q)AGdz>0RALl zet2(H)}nkrKmOI@vx(o$FLD1~HSq7<`q#Ms7u@5p{c!jy#XR}k5L&zF9EYh!r7$^5g4J2W&buvn43bQa#S zML4TV&(P?gEm$$t4+Jp;aRqP%K1=49iOIj~SViUM#TW}OEv%)$hRk!eriVp#+NXIe zT7NX!jIvKe60V0K58GLPb%R?xQ~oaPOx;<9jEK-YWkHv)-HDs5=@||*gEkKK$Ptwso>&8wUd!C ze!6P0jxqN7GbHuY3Ed4eC`y3sh{GvOu5zF6vNzumgxNoCaqxqhL}E*+aUW z#%QeAu{HS=aoep|IzJw59^=C6@~%|(~s`Vj-x**oy;!sdu1ZSB-ig2y%uKm$uo_>zN~YfhXkpD(tqcDj4likg9ErZTwF2(e&` zz?_h%ic#rXUUIGWbq?pzM_jbbSTynlp+-#3BoOrhz{5GZuJ9?WT+~U1E?p!phHT5w zIbTR5ctW*;W+9#cVL;u|wR277hQT%Fm&%FVSyJs5E+v>Cks4}_!U<? zu|>oQbf9^3SnHaK4Yeq>u<{xTE(y;<68GE!0|WCyWBPT7joAl3kr=ZSgEer*G9t*X zx7e7u#;aBM$xR93^*ssunM5ORN9T|?ujGh*UvveO)=N!hx`vS;m#uSI`tBlXl}1pN z$c$0tmzQCon%j`fZzYjclk}l1a|585Rth0}C?mVsugWNP2nji8S(A@eH!!*rBRsqB z4mkVRU*=LD=gz6#*o(e5*y;hc{pG=Sm-BO({0SX9+_5=CYe*>pz1FcRX{N6dXxR7X+%71VfHs! zjwNuoyJ=C{W#m*+ut?+=Ly{@JK~1J(!x0eo3#P_(2;G9RpLIJZBu-e6^<0P3`1%~f$VpL{?l3KQr9TCRQJ2*> z_hVy%f?cLr=aHM;0yuSaY~Q@8o*3fWmpe-}0hr8c0yLVKtV4QAG^N~{Nye|1G?8kG zD%N@hKJBf|ul284lPDBI-(ua7W5uH;bT5-&*&8AlG1a_j#+t%S$?_COb5;^9%KPL^ zt*%>$uDF&*>y>*yqPKM$cuM*`h~+e{UP+Eli%V_eoUArym!<`#(s+r3iwm+~GCR3r zI__Q4$e77QBGj7YC)b_)crjJ#ib`QrIB}j?q>50Dch}4k8gm@%qd3%_bMeGjNOW5H zTqU8@#^>z7C^lya(=rzc6cNt1Laa&=YowW~6F$}BPtNSCwx5-E^r=+Olr!6UZcuVVa3*p88jnTCZ4kA?j`4T%+x zk)4T#l?9KL?mai@XN~ATPeb}S+5A6oI|kPGuYZW!vHgBg;ZIIV&%!{%&Vt9n%1A@^ z9xP;Mr(yliEBNI(|6`7+s;2&aAl{#)KD{Rs{!Oe<*v8I^R^G_o#?j8e$o@TLQOLzX zM8V;GA^82XhyoklPeT4)wEQ1+zW*ts@t-pnf2L|N;xVzk>)o-uU+?dP?%3Grf13OK zN%OuA_K&#T&-mJ}Ng&fZ_J1aUvse~UMy#h#qF1M#dV;DI8gNA`M6*b;K&wSercD-LKY2X@C6KEnCK{^RRQT zTi8{Bem+0mB}tvVufC_Q&`{T2TeCEl>S5_VyxjwJdPL;;${d(ar=TV!;G>f#D0yJc z00h8DJ+(9!n;4irYS&c+s@2un@vueO#M&zzYVkQW1FHC>e$8(tn6zoBoLx-MQJPK6 zOzQp3HGuc7loe3g{V|lYse;E^;@za(zUUhgYJwZSAzFZBPLz^08dDr28iQrf5zD&K zirXw7n+nT|q=gq`w8qM28AVQG;sOc65a-gFNZBid3aOK3hs#mgdhzZ!)iYqr6br@` zY%Ril^S^&(0{+||K5dVqX9%l{AwI?`gtfQ-!nr_>yWC%nx`HCR*C0~NQa%Y+%***{Rapg0(cb@v&rulcm7th)u@VlIbirTa15fYS_iQsjw}oG@?;R=w#^cd;ou%MnSo>^po7uaTz(F#ckg+A0jY!c+Sct4oAU+(sUA<9 zncQBBfV$Xfydy9X>gc5y8%B=`1a$6hyg`ll9Mud{O-C$oY=(+&PLWu@_eO-JOMMm8 z{#b#utBe~5ID&X*;M72Om-~cc87d`pk;I0CP$3;$T_K)<5uB2ZJqN^@iuoZ>_lq1f zoO>5(p_A+K>xawc`tE&@daTdTJeO(Xq_-o1^#kU7sotq(ed}o~E^$w{_cmV&5qq7` z?`)>2pB|7OrM?92_#Ld-)>^n!w5?aRs&chZnr_=Sb(Tx~z z=@FKr4S-ieF$P8wB7{j;2FZC-Os5zm4!U?AY&!HVoQ2qZ>DHLC3$oY^?AUdtys~bh zd{^(KHtye>^*6dGhr9h00xYl2PuXVTsO!#`u}~)-#$_RhI?e&~-c2~1H{eyy*}z1gp8K1PcpckvEn+jj_k%sK>Vck`L9hAgV%bK)tZB~6Y}>p92Og>q(Oyt!mb z_jp-Y^W8uf$TOrf&&@Fo`w{PJjpY)VpQi?=4c^q3lPsL8ThW$*F;p{e25ZLwtCT+W z-ZV>ajpX6N&?q7vS8XFNIP6DGtEt7<4DpB0jkVWk{TH95c)=suM;x>CU9n0UU4)`%Gs(#*Dq!?*g>! zjk8mTPYR8N`=PNS747PaD0kUtt!XexmJYJP(r09Nw)I|DQwg9jC$Ek5(=!(Z$TiTk zCc&IE4vj$WU1Vlq$|ZbQbZ}^y1?W{V*kIWfUV&W_1(@?b2Kd05DdnJ#+%~fOECvJ| zs}XTVkZPft*uDaDN;ni?m*lYCp6r&(#YIdg&#)|KQPtQ9I{k3MspgvnXt6|it9 zRh0Q*n1%3P=2#$+z=JaVP%_*s;H2AIEIDfX7ptaSHU*`$B7BO;@*}8J9lznAD z!+oO!N_(Kkgk*i;Mc?&GEeuN&=qd6o*ABaj-hXq{rci7wb6gTtI~c=oS(&l6==NdW zO%*Cu+8q(e{cWT^3VA(f=6PKywCZKosQ(+fW%7RLxt8{JA#xVrmn{n-d98DTIl{Ir zcP`RZR5KKcUEqxyDLya+O`Lqk=&jj zgc&B%;rgKmJExaK`eUCFKX+xkUrSyxK5le}B0Y?YJ{W)0w^eD+>4yK0w08{7EQ+>8 zW81cE+eU{S+qP|69dy!h$F_}*I<}JzIyTfU=E>g_+NO4av$d#^R;m}88& z*4mWfE4&_N*U-%AVoTP0=#)!XN#_lwoTAZbYaeUmPk^lC zkT#u>gqYDu4a7$>3b>TKLb#ETReO+-f$*Uu2e?6yajXZA!L+&x`ATorap&@v#Mn6K@1qn4x39U1i7>-W$lzkNSE)`aI;+Vf6K=L&{X zhLSH{YSdkOG_kjZE)i)r= zLOdIT*6)6-;7a^^$V17(PFEPV%^{o=&GAki8Cl(4IKhUUvfp41t1GrkzRg6jZ{BxfD@HY<>$QY}o%LL!`SK>!0Dh!JILYeBG>Lj0# zM--YAiN$>8PVE9Nwi8YWNV`R*L3?N!L4PXH!qC>+g18SS|B8AKIz65J1tMg;x7F`O zfI)D<-Rkr*IiEy|&DzeCM^!enO)ssKYiB-oiZ9Y!D@MAfdHMOfkhRmPgmv%FeL>8h zgNH<_k~SGE%^Bu$Fbg$17NZ?;a@2beQNC@;O4Cpq%tlTn74~wCWLA@eHZ1=v<}yJz zq~~R|3x+=o?A0|BJ?{VvVNJUd?u=`PoZ2&CND(Ye|$+gyZ-A7EbQH8V}`(+&pXv`On$O zVG1cF&BawgWh#rcY^6=xA}|F~!tgm|ZfPINPIM`rI|ZQ1v8$+Qv&CCb1AY zn90<*H031&eTO=cvy@72tV&m!PxDt2MO(Rc-z&cVy|U=MzFWi3t!j!Q>-p;d;$z38&&sMF#s&bN zO6ttzhbNCXI7X0>g)5z5s^mDE{Zv;&`%35c>XW*s>!2$n8|$9e>n z2F;hB@ckf1DV=h$G@hh5P8B7|f<)+JJV@NFb)wb2U*{j%WmP(n!14=R%U3IGdcRf; zRVX&j-3nVv`h8H1X=5*ZEB#lYk4s#Sb=>P1ja^rzK9@5#Ghoh^ zHh83CscN$8gJ$aBW#=Xp!G{zP=hlkY^eL z@BM<{OwAl@?*#D3;0r;{4(RmGQ;S}}Icp@B6~k&7iHJ!#_&zP`T0sTRH)u_o`k@gF zwk-c91z8=*X|_~;;pFMH0@Rl?T&VQUNx0++StGD?J9vk9xc#VsUT9;`5T3O214Y#N#HL-}CJ=4t51tmeXgf1Pj6Mv^rD zn?5DwW3vVOj^LE)%^>$6sEHT}%GHa$w-6(qaqXwC)Kdt?bcM<7$ro`B6p(h%`;%4v z5f$TNAGd1IeR1wDf*s3Ab!Z%4G_dinrodr?C7O};jcZ7V;pSYsKLtqGzk>y#!U@f< ze&(ybe&vYzTK%HY1OjJvI2CEEZC}j`dKHn3fF=EuJt_B%z{g&@U7LJiP+1TSy z0~j!~ovgF zmZaUBy^jdW7be7v=^G-I@5=i#eRQ#bq=ts4)(q73lPk7-u}loJ>L0`Wf+32%&!g4J z*tV5nB^`YW@-j*NkNei+vcS)a!`}P?p#Z;tm(9#BhJ`&Q;g9!cTCmvIE-y}4bEbd@ z>Q5LW;kQ-8fRDc{H;2b}%W;A4S8sOp?v-bhdp8s%`!4mw zCB+hW0(tN^_o&&$JW*3I{@m;v2EI@&u11w)QI|>GzHKke$r3*CiFvle=k3n6kH=X& z(uLiK;gE;jJ2Fqwf2?Ii0d!ry4LWjzO>o_{Er2=al zlTE<-vQu&Ad7V0ZGQx+&Izcmh75I2mgaqL&kNHt}+(>DgPV{qox=JXVqp5)_i`SLP z+@vA%GrBi7-MNmMx%6;PVfN|}ZC1O4%KkL;6Bq_pyzQUzJL$kKKat8F8s6!}zANKm z0YRGC!1&8uU-d8?pzy|*J^pZk(Z37(@g4X%sjeNXhzg=1S<~r6z`O#T#QDfXg_D z4h|P|XnH|lo8wo~EpBlB`Gg)7vDgiCMksJ#g*0J3nm9bF8zgv4{%7R4X%_RMz__;v zktuGmGiuTkrh;`}qsa;q#w6iP@t)K+74e`q+XFY`(x79@Fo1NP$a@x;40r>`lB@^IhF`m5)FnpbXXEO>|FFs(SdoQ@l*Vg^aq?1qaTBBFC`6Fe;JsXTX)9Hh7k6wt{<{ zDN>&A;|cm? zgLu7hdK;*EdeKtD1TKLe%cLel)oF^dNX&mzsWERf2X|f`Tpo(uvM`uw<+RgfC#rfb zv&kdZY&4x}?fATynfSc}s$-C8jOF0nC)=zRrRywvBcIOwbOu5&Z8OSrWMpX7SRu~J zNCkv(AJH~)KhnK=Ai!rPwIZIhn5@WyFNSVTjh`f9Farn& zm-l_Lc1@{D>LhN0ttp1rGj6;#=$?EUznd^Cjp>2+1?h=8<%dN7MgHOy@vwktFe*kp zQ)Xh8GID0Ht4vLaMgAU>*2YhDNRAkX#G0&29uOA{+U*ugo2{XxuH;L#d+hdmZJ5kA z@rC+VV6Od?x_K(MZ3~`Dgj>NwvReGi{r07F43iZ447E7tilI%hL4@FC zRfqfz3euB{Pi&n~HAQowWkIZ0jjN2;><1FK1;bAn8)O77H z>BfsY?HeJIsW^OaL)apl&1Zs;Ou9%#xy zCq|O$d;4O{gAPf_aFdZ(&b#mzual5QjskywM&g9Iksz%-h#UWoqXB8V(CVL6hzy-o zZ-FA!vBg>FSMOIn7X@vnMQ;v^KN%6}1l7kG__aCl5=LqEu6r3!u8HXpdFWD@t5}6? z6FY61<0(o#YjZ(o9t%Hb$29cAtDVV%aDWEtuSZfgit*UU*g4FKLDV?x1vo^c2@Yi1 zt9HBBd}o$X&8)*{Dl8@+X#}!#pT`f`2-JC`_dWaaw5WGp!O8$;8c4x2t~OvNqWhtx z_b}FqeYyRgX}#%}m_K*+UiB^$3eR`65#}iPP1&>q*J+_qn1PcEFh| zX%)WRM`J7+6g#%)Yu-&zZYtg7y@*iVX}xevXbiWHRu=SX^tSWNb3^|Z zSzH)oHRfGP;vkMfa69IMYiz8L1EB%+b1@VFtdAn&t{A4oLOOtG+)C7{;RmS|9lkh9 zA!gL6NM(}b%vU#m=6%-k&8Uen*}HJ~u?>)jStZH5U5l?{vMMBxMdM~CuGvn4D3bzh3E1}w_M#&`lpB%XzcxZq z_Teedaugxe>=&KMSL3Qulo2J!@RYj1aHcESs8@1LmZM}+bZ;w-xQ;Zc3mf=1!IP(K z-Tc4)45{#7=ayOvdO55jA7r9f^>&WULLEU7AbDwj-Pu;+QTat6M9LPj62Jd`%*|eL z+Cxu@GbE3bF6#FeV-?+zjWD!bKe=)~`cA4;+n-V}~a3=2oG zJB?HsbWO{^%i(smowE;KUF}%&&7HtXl|*vz^NVE8OY%6+6RgroZlY9trl>we@d!f> zhCNQ%o$I6cc@1=}3CC)$amVw?7Thbw_uTs3O+OhN=!({rY_ZbNA~Yn46>BcZz&S&r z{RD?Xx}?{V3M>sdirwwNzt*2Q*9TpvrvGdyN>RwXFVG{hLc(gAn`*<`DSzdvNvFI3 zDcjs0(JCsQkPkfpJ!vF4J~0p**=jr6u&uweX;00j6X6?YYqY+~GLC+UFfN@}Y9bra zjK$raPbad#e^_O2T2C>mTb4?W6C2PXJSvIE%&FdK8jtSGxS$!!w4=4x_(nRp+z}pj z`(4-SxYRfgt)}Z{aKHT??WW#AXPpXyPcxwl{jo|sr*UNZV)^LIL#Ps}*z88q{$~6% z^9ugl?)t-tS+dq;%p{UN*>8U1P9j)J`lkUz#>a;k?TNU*BQ{yBK8s-@Sn_oLZIst%Hgm3*T~2!f0UNPJ+L8~@ml%{ zkuP$vhY3~TpgBUZ`~1Lh+3rr>H<3wvavn~?q-wY+u)g@xk|$nXOL0dB0nJ?iQ7h3? zIbveHv4i3jjlMDeduz|^va=$o(_-{xrFue<(Qq%lHqJ+H+T!6l?s&C`;5Elfbl(P) z*>Nkij^>vJrLV&urav(Z2tkd#8j4(vazRVlQABdr8n;XfYQdVlA?3MzNFBeg1C^dD zZlw~agxQr{c%ZyX9AWF@L-)P1Y(?O>^V*zr&W;Y`G*IVwshebG z#4^#%3v`%D&Wb&HqQ4l@Qe9@$ z%Uxo?`Xfb%(We9w(ob8b#KQ;!!WOv!3w_pQmOwq&bh|Tsw86v;uCX3kZpPF%NSsi& zr`-91&AWnpldZ65L@rHCzL-i;p}1t*(*LTilJmZlXgh=Qiu#w}uP$unC($q#E}96uyn-E|B|=jB4g_H{3; zDpY$56-Ba(p;n9U$m-)&`?Xz;T9|4TUo?E1CZ7H}66PCzs8}R~e`1DahoQa3A9M{d zWf`^a;nQ_R<atFbSSt96g3lp)o^zhZ5-_YFWCEgb3Eq%<)g178w=T66Q)Bn`>G6qsj*5*s@jnHsxvh zqS}f<_7QO6F6_7l@+vxD{)^Ub`g|eW#npMGq1O?mDEFZV*}Ia#y+}mmqf#rs*67?5 z6-Saf>A!?dT`WbbW@&s0tzdG!sP@Q5wn1-BT5DNv;;2%w-LFZmiRD9;JMuYOb$7$< zWsWmf(5Mf#C$BNPE!WTUvP}EBFuZ8Llu5Gz90IfwF?@Xhir&n$wc1BI8RzJ4r|%?F z6D(J6PWkBTlP>SzpL@?w7hoE_*x0e#@Y+#7;IPz`k(7|aYhFl_Oh(LCqM`D+q!oA9==cPP4kz}Qm8en z7tD{%R!Q?rjq#4tX?bEb{p3Atk7CA2n1Z=#IIPb0onOS-WE~IRia7jOd%TJG%LOjp zZ}b;W(_z=Gh_X}{T3a5HbT9hBHpd`(PLVlVWm1$-1-_?Gbh<^=XvtGq-f$UwpdE3- z2C`mvMu+I5tLYPmDesS66?>QXWfo@(24o(5g6^s)j{i6Q+JD`n^1oRX#lgnQ#0D%Z z0$!xa0~`g-4ZKK`3s`2v&cx39|87|nEAX-ZWhvHw-7xaM`B63&;D`p+|2VLLmy?8z zlamQpkj2K$#PQ#sLjC`|{OkYQ)(tjb6Vm^6ZUgK8pZ!Sxy=TeB!o|hPXdKcrAzu#mUhH9pXL6`Rhx9V-5Yqh(GCucbZ~rpe0X@V)!iv#jnb@J zrr+s-iH*(8&HZ?Hhj1UI^5uVAFX3mS#DR9Uw(^RK?w+2l&CTxa?)O($Ph!9)op!}O z)>c=i^9A{Nc-p$U-a7yG;Qw(hEdC$Yo%~WLG%_7Meec`NWHPyQ0xrA7$J=voaIm5O zeR}J>(fIcE_UP#7+S<#4vT$=lgPf95kKgl+N+SG!d9)J428Zn)eHE2D;3u^iNJzfd z)zwv0RP^-pXj<3Z-7g#7a8gM~Lf4**iYR43u zCVhT9_Im!^I{eE{c%yKdj5EYeSo9x{dj}DHXl(p=xp{Ub2Lj`GGndEjxzpbxFz}7r zajVPYVhuBoWYn?uJ>w24J1LH!=kvoC=NMM%;c8dhMog|!Vi-Z_P|G#b27HGV_?|u6riC6u6xY<*vPF8_p_0B{1x-< zVRYSI|5vVp8?9{xUbsj>{}+8PPd&3zS8Eb1g^S669hm*e_oMQ#9!8HUuFZE1KO%)ZVfoc4e z%PU?8m9F(4f#QR->&$~)<9W3sYP1yO5xj7=Tx%MOiHT`%Zx3aNam&oqVm_IXPOk+8 z3CXD2!T?;9l#s3S(lTuQ?Guxe@^W$sg!~Vip4<1Y_{2ghb91VOhK3p%lIcMv@5;}a zHg;QGyzK0ag@vF6oHp!lz2(oBo1Omt{@vZ(o|hXH9`<;2gn~Y9_kR}au7p3Im4^rZ z1wJ^&&%@P5OL#cA`GtjgeFeQwH4&T|20FR{WoO-}FI&_&Rt^qFidDJc%hS{GyDE-bYH?NFf+9{YQHB(@VT59^N2i92cx-v$W@2v|g? zmfaW--UbHRc%j~F1K;I)@#I3O2Jof!f$l{jbI(&q*75e|N2`f3kZdKvQHu>FC6rWD zBU@Vm<0+J{4;P*8XBmD&^D&-|>n(_(q4d{0_4l2gf4Mk0ckgeHX9|S;UlatBVUOgg zcCLUtry!mpq#vaR2M3pBcmJb)K{EjI0c2Flb2hsr06)x;S7J!l*Vm-eak%VJxE5~| ze;Q3kk*>aeb~NPY6NN-ZMZo|ge^Vgn%f%dY$Lo5e0#PO<;k-KlSq<|aa8P2YVQFdU z2B8eps2?Yhj4p|u)9{VGR-k&e`XDb^6;qcW}RYt~DHVdVS6dg6TIn45)8_tpw~|t#1e_3gyIOY><95?VQIO43JMB}goG4}#khBs zkbqP{=VhRw(d>1-cfCIf-W!Jd_3PJ8j|(8L$X7tZ`i<@I2#(Aahv)yJ7R;2t$3>W>{w1nh$0-m4#_rKM?U z7*042!^<`{HclczK9d=YTwGjPj(U0+>v1w}~`b9_Ahf1CKwy zD>Qy;UL$QG#+ZOIv{cndClK^omMIqy5fcaAov12A-s$V>UtC;h3)93@gI8lS>3J2u zhG+KmjgDIG4Mnps`~}LNVW&s@2G7;`YNPMtC8XmxV#COiGp?Mql~qs=kf8Nic6oPg zjFBk3Nn6|7fk3H2@wBx)BhQ0Wxy#|S9XY`uB=mo}GFCv$EH+UGhlm&oKRG))3Q^8kVQd>%X zH8(eNP{BO&{3^jq)6mrPcze3eyvn!wPZ(t;%f)_;U~;zM(INo-0I<>1#bv;a$)Jmd z)>%UjfQqg8c{J({zvqUYnUIkzM<*vI3yab^7OM2zT!u%fYQtV4L#`(*LSZwv06j zfEqR80dS?dhK4T}E*1ak?VVppIIv3xeMivi%4i#6G=UHR`hlCevA^yqhK{3&#Kbr_ zFyC>DM+dx8hs@SjR%nDn2L?PmJa$-mp3SgE8UXYv$jgggFb)Lr2_vjvZ@5e;&u8hF zSs)UKPeMXMAdloT7~Gtns8+S;C8UT&;)b-%GN#IJ5_^ zmEZHy&C_#VMXgk!UzeSY%?E(qqiES+t-h)a!#LS~PjF*n1{?v1Cg(r)>n*$A0ItE! z&OZ2sRWr3UT#3ruSS(>_X(=fQ1qj^LB(saP=BDFHjh}vgepm0#`yLG-ly##5=CZ+qV_90wy12lcKNdJIiWIkM&e6vtxF zCXqmB0wMtotqv?REe!||Tim7JzsDkUfWR3kdtuC+H35FY4B$)REhM*(+kt^Ecc%ad zIah5ABT(Jq(Z@wc7ixOt9#NqL_Gcz)l78kEy z0CGg-!u=oknJI@uuo8Z4oCefnS5ME;4=g6Vligj=2GHW~tNeevz~7Dz4tB7CdOF-9 z!dqTlUT#IwnuC-S4v>5mef@5rMglkUITQGCdouS!7|0iZ9ILg)#UZRrKT&TNC`=3l z(M8em2T)K@jHT;0(FLAHwX|^IeO=qw-tH|d3@!(krV8Kzf~p?Enn}N7NOkrs9-o`z zTfoy5X)^e@l`h^NfP3`)u(Ke81^CX)E{?ymGpi~hYogt>pzp&uFt`Ae*)EdT1$RDP ztV4fGZ2(ffp^1KVEK*=RmjEc*xFBXLD=X#pEDj9+8R={>MpIW;SAcqXjOX4mnzpq6 z`{?oQ^X*vJ1s83H;qkn$GN}qE-KwGv4ophH#Pt~a@DQ+O;f=xx;m-6|SXfZL)NC#+=ZnsZ!#UpH?W?o)i8&Lvy zR%BQh1tq1qrKQ?Tx9_7%1Awc&0Md6L=>Frf*tBidK*`U_X>aER8MCcI;TwTzaoo<& z$-%?H;c?!R*hmWeyU`wMJ~T3-qpiK8r~PMN8>bVZYN!`#Yyd=GLu0Ya`xc1tY2o)% zWd{cb?ZVd9R=oxd9UVR%B{LhW2##n_i|HImwa0GN%r=wF4tEHsFcc1EM5UaNkdU~z zxQPilqxPaAGG1O@M#k!j3R%-#AO^)s-LUGV<>lpp>I`HFm&1lkj&w&4Nyc~h3_ySY zVE}-6&DTbY;TX&-9#I%xc@}X?)RH7{)rk~Jc@pz4z>eN8$~P1qMLatDeZk;>YAo@` z0ja5}X+k@kFO#w>k&X#3kZi(qJqCmbz(ZTz{%Cyhxu*y%NS9+eB>dz3+yLXb(i+dC$hiWblH^_n)r!{r+5BC|b@v4GeG` zJ>MP!%7@nm03m=O1GH3B6o_(%CL&yuji)xh_?hj#J`tEqW#Nw}Q+W7TU~U00TBuXE zIR2Fv5D?&EO|YXNS1G5)BDP~|6{{-hL-+aE`5-kM;U+)_`AamuRgGT1Le>b|GKzi-*>=cKFhIV%gj)0zAqf)257hp5$s2dv2s4|SnXEH4kAfiOeKSp^%8sYOJ? z?dU0l)fAd&S8qu8ov*NVARpwi7=uNhivH;;-LMUKrcOrblJnY(Q7b+O_tTv#8Q+%%4!23<5O$_ zV4gsw^pm?Az(uu|*yde(rX zK_(U>*WF%gz{eczI92yDcKGs;WA{&_kFQq5Yz!7ESzexkNJ}T|ELYP#!f`9_-pe z7>4M|hxa8y_F?iXlA`eU*T>!{XjQ&`5TdwpiYW3vFiurd^%UuAm8^qN7o)Eaw;8MCjj}0oMvZNzg_S6jpFV zF+Z|aFINU$6jBDArYQ09Kv!2+F|h$aEjfEfyZZS09vUYA$+=LbT&C-I0&!t-O+l_% zm`H)EPrE$E3WY;7#NsFCCpIJo0ao;-1Ynjp?_afI!lBCn>F-U)olM7`ki7>;w36bx zKe9|&$^Hcc0|VLuhKG`&u9=Z%#t>C2fUz{nf3*jo{zfpQ9+5kRq8s21yjcNuRGR_d zOKx_0-Z$>i2+S=lWkfL2(DbF%LwR$B30x)(-p?g2z5>OWBFKK%!RQT8<~S1owZLZ3 z23ZHZ#Z9AICM42~z5$uU;T>DR#UtZrcnZY?V%kKvy}ccfk~5o{ z0|TU_q;X`Gik)*{xt#qVmOc;XtKyMJ^;2jWRXWWRfJ6jLlqRA;e?LEM7k_s+1cb03 z^{UU^I5Z=;6=iEd^8acmI1yb?>N{ei>#~;5F5N%<8vJsqhm6M5~EA(7p zxT3mQ48*~bEEr07L_{CY#|8T-b&Li<im3Vifxr`Q!#flMSYW*C#y7hN9V75Zk4K9=+1xY{sGV#Zd40gtRAp$CYJI4o@*KE4is zodGQI6L3?8(*RmtP%uL_?gJ?}oyU)z;$t58ZIG%@dC4O;ZxP=h^yq**!{>ZuZ4FO2 z{DVX#!?}x}nHjm9fZKsUA#&JAkXkw4Y}ek}nwE(vAu0+aOjJ?<6nn7hYXR@Z#KZ(( z?@_|6lhMz+Vr+@dbt%vFF%Sm33i>@InbudU{*dD3<0~yG2`->^-xIM*5brbNLyG+r zrM3bNire}^jn&%Fz(xyT3pxg9sHyl5>BJFbdOA7-Gk#Rqw#%uX{9 z>mL9j0Z?3CSiw#G?9lZftLxeB`DQm=zFyTWEgnD=Wzk}R)QQMGX#tMP*=K|Ke9sf@K}TTd?`@j0-L;$GhMvn;Of?uy&3AfhxbP08fu)(Sj<%-XRx@AVvE&y078#SP%v+} z;2ukR5VcgfAYmEYQ&m-!q3b(MPhT$%)>7P8D`dj&{j^|b&Q4B!Y;SLGn$O*~Hz_L%rxK%FElEk6 zx->fd_2tE+2VwH<%})-rJR7oV-ibB4t3h1-A8H(`T3S*&P|iF7HZjK&**h?jRa-9j zv;y$;6R&O5xlxijy1J(J3{NhLD9q6tA%8!n1?}0}Y`xn-mOHkO*d!>-Nc* z@#8Ec{a3q#fHfxzKgnKd4dItNc#1LhzEW=r0o!oKQ}i)n|DSO%%F(3vuyg!dF)KE5jGN_(MhzPWMVrkav9Vm;> znUu?-)Kho`E-o%9irCx^8!!^K%V4S#_h-wK6B9dXxlw8C?t3)nBS4|Rg!Kh`Z(?4# zcGh&cA?+40)Mm0OV~EM(_hd?v?<(xY#N1NX(<8vclZ#BDE}43gO&g{Kie6+Y=M&QB z*OHk59KG%JY{{lVyX+!Puy`rNXoI!&bzNOu)-!*F96_GJT}{*q-@l=j-rnyJ7ZV1O}fUX2BVLpRZvN3*ib z0s_p$pkb3tD7^NXjE5(y%4zZP(&6jwVZPxPA8&8qbrpm=5uM2?rmQeiIU74X2$0k| zogR!DY){jujr?vWD2sjOSEvhu4qhw;6~%#AO#)>K;;MH5uE`EQn>3RYZqzEK-NauK z*_^i(6@~mkl^3aKVAk~W>+)0UCk2?AUkv2nKv!v=tgIS9%53vvH^IAHkEW7e!z*C9 z1c4&Lmz-bp9&9z0LjVw?(^-I2tZJsoNDUC3XxCpQ{d2?dx$Np%TP;_zLc;%Uc8=B+ z|A1Y2*_^l^mNaDV{QF5^@To;@piEj8Mx%>(dI$-}_p}pDLL-UFL*^-`?Exq4fPp2T*MeFxG}+^1gk8zis%9 z?>DT7Ek2r_p3ZPSkTTENMAW3Kq5?9#i^o9@6Q_nwv=a^3e<{?Tf8hUufV4VnPUyrB zkF{U|=m+H6fif+WBztH%axyqm;(cS68XPkVAsgTeC%x7+-F1!J*9`#OpYn2=m>ra< zWE3)>y(Rrx+PleLpz*@c1sHdu^(;)$w<$qX^8x2vDDZ651Gx1h3skUt&K|qFyIry{ z67Qm>fCK$2q-9tty2`4&U>NLQTk$UTH^6CTa(Tf!TAG^fHZx+3khSb>YzAl%9-OGA z`dR>Ow?pL=T_QwAMh2i-nh5$)5hWGnsF?SFW*AMU+a4rTW@;*Uttwn;bUhKjN8CiN zkblSD?sAOLGN8w?W7a$4!WIJ+8E$W97sYMm{Y%H8qQ~VB?g_TwU#k`{rIVQBsf2F; zdY3B1#ihBDEp8+Z2JV`-qqmBoK9%N_Nh5P1QJZk0s_3Wy*-qYJ!+JN;&>i>MCXPbTg?oYEVJ9 zdHOx|H43K{K-V|(73AU@g)eAopcQED-|rUqtTjxU4$lNke1Pb4n(A~f=rDBG# z(CcR28&8rqkelNCv%02^&VH;9O8)Ur*z3MT*K^w`RpN!I#}0onGcD~dN_O=-)7o$7 z)FPM^pu3KZi5cASoie|Mn9GU`ed|~)1hFpp4zT5?M5zcrtkMpxf*7W3P_VEpjEzZW z=+C)f3QYikgTn;RRxP@~dJagD*hHXf4@f%E>-_xuIA!2Fsi~*S5=it2ZJ86;l&goSZznoe};#`H?82 zqM_lgOubQ%_RlnGv_N!@Jpi9~SZ|sB_3N_xaSNZ<VzfbNhD@<+cw*JfId<|=gK zgTHB*ho#YE5I8`?`q!&#ZS~w%+$_+of{H^Qv9z)(f14P|D(1(5Qt;RpQcf)i>I05J zbOwskPz?iVC=aI;;Y~P@s0S6WlFVc0?7@+3DPdlN2 z5WChP*VDvYBF55SGiK@=)@^k_L`DXdWaP0JivbmC6z{S4AE8Wx`pWzn3J&E}Q7rp4 z3l2pfG-IWpxta6oU%LSe*pLCz{_F#^&Vb3Mib<^=beiwz=-AmY0s<<;>4L~+l?47T zk#N8p;LbQ}Fpg2^fYkIG0Bu(k@gBjQ@WjN#Z{GmyL>U6rQ@P7xH3cXXlA3Em7$uN> zxy;ZZ1%ND)c%9`oX3stR`!}&#t;6Fd8^FTZHfwJIxrZ~G6A})~iK~wQGE#hAVhW&; zspX(RK#sj%o}XDCvS6n8rSkxnx6SSJi*OzpIe9b@E>zI*(eyXm z!JT6@;#w%UWZr1pi(I5A?xa_^ub_Z~3OGjKZbh0d{1ovBX5)iu_O>qT}P2h{n;FxWnY(iSD1rQ4W zfpK-c{nutU9ZfLj!WB5s34E*udgp*s&O#3~Im9z~7B-#!W9dlooy>8xcA_0{D3fEM z;>3XVF0i%$(C5w~Qy4t=v(YDMy%L2$dIJWS%kUHVCZ_EQ{Ut&M;5}-+lE(Q38Fpn| zrH~kY!rKr$1E`D7?V#7~!%4unt=iWP_*%xs##fM%;@be63_VMXg;luNa`Ec5If2?B zAtM7ze$ryDLxzUt{oMX;3Bu%w@x~19LM{lB?qOOQEA_UvW*T4p-Zqc>HGm)xK@ci^ z31vIhG_;YS(HpFmme?M50Ot#%H0O&)YAVRWsc@xi5pa9M{ z!!gXhnYlTtl#n~VYYx&=h%O)svh)B_0p5<1oFVLT0F#F;KANgUBuUXj+_V({b-yD$u zUUG9V&hWLtu*5Hh9Gw>e8X9OB`rV9EznNzUGSQ>bLpi(xjh%**>`OBGf3_NBiL|i2 z59}jqI-!u?6D+@SPFgteX%Xk1LKNCexb}kET9dV!k`fYz-|0N1|0I+GjLKo9g`P&i zUcDnjPklWq%2Kat@>L>VYg3aG4D5lf?T5?DfwJg#HK0%D?=M)qF*{4*NjX`cf?)RD zAxOlo9q5 zZ710t8plG~eb4Ox47O+}B?WCO*pioXWF6>p@Z>@nuek2Ls1MuPY*nOsAVW%~rbPcA zJbh<8mVel{z4zW+cCyJPdv7Xa@14E(-XnWvQ%UxSY_hVWL?MJCt3l#9{O|jIdeMtM z)#q}Zzw;R1^^*dXM1|>SpC)hN@4r9#YGq7*o{cow4pvnBx(74CdLYz`68U>i zN!~GK+g<1eUa`HtR8`!`9_$i&v2}j`q>~XkqF}9P_p4W1Z^OQLi&M^fa3}tJl$0G; zy;4+H z!lVv68Meg;?^B496CT{VxG(zNm6dcLKZ0jz+cu61yFcT3=Of|x%2aE zZz26TgF%E6w}?pY-Z)NW80C#RL|?ECDweEpg2MRpyO>xiyU)*Sfl~IuZ69u05fTkV z$UXYpm_hTHq2-YFa6$z`mv64q)%Q7L^8|qzSrz*G74y!E*a^bji}KDZ9Vh)j@!2ZR zo!irct!`#!C6{S4pJ6+PIcW2;x5vhmq9(JvsQPJLV@9B8BsrB03irS4CxI z`68?ox@qO|jVfJGoL3B)N%f-|))850dT%1iOMm|SNpuEqF#w=q#X8$>Xxw5ZbLTt? zii(P&qZL3wP~iAiLqmO(RiHMzPLKS&-<_`0_uCSXriIU8j!T?E^vsx+@>@kgKi}YW zKp;4Cke#TvLgSl`YWQWs)r#};9bH^--yTQ(+Nf{id08;VS|-zq$~qRQ=@56jGLMPw zVkf&TzIHaBL%X=HzJ6+E=4&X5Q(8so%KKbzgd-m>FUGc5V^2?U_|sY+=@+d2^eA1J z1aui|shn5=qU#1LNlO|!IvquKt73W2o^f>%KjyWsGkxWwCRUi0<8TFZorNE6759oouRiS``lmWM zAd1pz#=JucWFQ(NHa@z#zt(9T6B`J1R%$K!im&`1WPsmq?!0@1jgg#oiOFyX(E@_Wixcc z)@v5VQ$;pRdl%K*^UT|v$-gqE*JW)|;oVS|_~ORq^%i}l%&;q>Io_riZb7C9cj^K&Ut$QogX=h)#o`%FtqD@9>CVYHUg z)YP<&>Z``|qNxbkX10rq%XSmW$9A`ey&>XE{gTQ(&L;5GdZ{+1RCcb$GFgmOjTZt+ zfO%h9s_A=^XDU0m9xjL*{SMF7F)z>!+SQ{+Gf_;EI|8D&Z{M~HM!qky^9P_h%MFh2 zh<-%9(v&;&^#2jns7EtJnQP913KizPYk02zmL5Ke1oc$|@DL6f8o1i5irjsg8;%N- zgD0mArVqqf$pfC*5)zT#)3LEa2|LmglOj8d~22e{)Ju2By>Xj}di{6iY_IfWIi zt%hMaS+*JMwkn49^?zfL={)eP(c^*DEho3v0*%3#a>7{c$-;i+6A>kj{g$>ivnFfw zw)uucbOl)iS8;AGM&!=+cIfd4r6EZbv$RmMDoJZfa&mMVepqEWH-V3jPYhgWSQ>b= zj%c@BWpRv_yWKBebarFnEPs!n_mpg)jnv+^}`F zr~6DX)bZh`a@{zz=hdb&I`3zST2VPvul0FrXSVEEBi_~5oiwhToOo$RvTxq>fxXU) ziy(NmHKZ*8~zhyvQ_7)c&*(k|3dqy=lFI>7-*3DPNyY7mOMJ> z_v4dRhO$wDxOE^9ohMV31OA*{`30SMb$?;;%CBz{xh0s36#@OUjse*Z4n<#{Utd{* zPD1Opzg0q_<;Tu*&_U-mwYFZa{Rn$w1WJ$a$f$@L>shUBRB8U4ll4I1` zszyyk8h%0_pfWMOi@pllmd=g%b4_4I7I)Kwi>zr&x(C3o1!FN<$1 z^b;h9b*u|S(`-X`T$W}hF@|VONhmN#o+e(Y6!V>C6OpAbulGF5Dwa7?CBh|PkO=`& zDvMfcFIyhH%o5%qKE9U#pN*2-WAm2ReFl1=)Y?M<4dQI{(fO0s{#abfGeX1?x}ls| z(icr*-n!8QVwO)q-*_H8SPuRB>yC&B9AV_WAAQ%ou2dR1b+xWeKf}M51cE@H{!L4( z(@K>7N;0C~_+(^x3F=XXc8U~w9#q3vIPM8VOA%-!6y%h) zLe91Zdi~|Rillm&&vJELie_heK5tD=qL%7>veBFVL5RWoyEHiW^AG>tC%+G+F`uCa zR2Oi1NF=@DF=^$LkzsJHeL1F-trJg#+l(vqmFautnQ%ca1sPd(UY>zkw0lp$u{Ef> zt3Rx)Z1UH*+AAVJ;oivKQC85(CLoYWg5*cm8^RFvWiE9wzI)+xv4FO^wst{QMMdSj z;mMMKCX9~jLWcuve=s;^5t*5pcsPAuga9nKOrGTFZ1(ZDu?nQ$fd(X8yxaXub7qT; zU`N-~eZ41gkJ~4Yp<$`g`Ik8 z<@_N{oSPdOc6!DZR?3tU(us6=~KSZiqkdUm@oYDQU>&-4!B__1! z^78d)Ou&o|h8+|4+$&AnSxo(qZ%Z#ugqgzqj_^hkt+=Mx2}p3m)Gooq&UgwzDM3fbcoHDME zbagnmRIjRnK(t53R%_N9QZP6WxEg0+X_c`sI*I{+k1G{<<(qy@QVWAS(}Ms(`e2#G zdwQJ%;R0=D#?--zXKB!D|L+lb} zimjeKd&bPBuMpJP*r+R+dW@cmZVxkGfR)7K^FpbO zjgP-galb~hpqW5O@zw-U`JboLV_!Wd&QhKxJxQ1Xok8!P&E&p6BYbDIBd}jwcYrDl ztRkuQe%{^>TOEm==~9z&UHW)@9ttnYv^Z#L;+YUD{RU@;kbw|7D!Q56^0-DUU@@{7 zOzwM}Xh^dI#qJ;Ct8ZyBn4sd@k}}2-rmdTo9H<|-3!k>k$P8g3k>0-joTD^g6WZxJ z{|Aa|mFY4UD=sewRFJSx1z_8W7>WA(aYB9ah^$J%j>r`RKEypjj9rZrNSkB=}>gsKg(+t{h^DZr-DwEpN}tn@MHgAKS3F0pr^{>EhZ2n11DAJNg&Yz zLP(vv|AZs2!Mrk9q`I`@;@4*1F*$ffQl=gcCa_8IlWg|9Ed5sL`;IiyvZWjH7x6U% z?Pomqw$0DT&9)kZ9*a|BOY4lYVs55QBk1~VSw5(lTUJ%>dON-v`4yEU9PhlK9CkPS zN@_h~*;8gpTX&MfOSbxIr`GVEdR*)m9}Q&%qBAZh^|DXvyf@A%D=Y0k|9*tea9+a9 z+t$>COp1h@+~N!d6q-r?@oyN6-&`{VrB7jqKRFrHsMRbu8Kr&1-_?l}#$4lK@{li#uuhD$Y-DtZH5dN(pkvXk$z9yN2g@2=&&ok? z3toTCv-cv6{5iFlj)lbvUTG;QF#-TJgP?5N5=>rk__Sjp7u>FPc5JN#C~$7cbTZHn z_JkMjxZUF7x*-;G%99US!3(so8-@fTv+N?Gl43(j~4)QAHZs+xXfx;s7+^ zq!FuFEAJRa-RDEUf+J(b<4Z+iVq&%|VLIbo8EDVMb4OVgoLR(GMvtjN=ezwMVbISM z08a%Huu$tpk;3z(7e;?zZUIjj5p@$$b&F2U9`%|$|EB%0-sj#>kbrOOe8v^p`@Odi z|2{i?G2u=~_ZZu;MnOS=9QQT|+l}L? z7noOmfFc;vS6N%8{yT?)0)x00nuo$7qRJw0n>>`F7q<1Fv)yBW3M(#%UISm7@NkiA zfw_5N3iCYwhx`7N<+xp1WtiQv;Q`avvW(2m1lt6v^5opgo#zi;Dpyu~lGI<(dp$a; zd~iO_PH(MiC9wr(l@r;}9lR0%pZE@au8K6ZBeu-@9sBR!zc~gxV<02UdA_^{-s$3T?AL|7X*VK9`+X{j)|&}K6IgcTXc`;%vLQ`nc~ZH4jKs7XjrFud#o0y>e+ z^tlB|oRSjU4nnO|G0P`IksS_`Da`u9Ubjn;VU4aGQ(ux!L@&k>(Bl*{r$h?&6V2ha z8&p4ZuUo9Lu|i^$A0|)j^ONdjAwIW-5h`yosKMsy-NwL&&E8uff3fZflp1!pp#BR_-qr-jy!ajz8b>x3) z*G+F2vPRB3Tt7tVj1CX4&oG8xHf8>fwX&*EFc0s41W*BVBdiVoPl55fJN|L96q-zF zU`>M4RM5=pcyh81w!r$giRmCr1yAga(`+!?Oryc9dvprRf}e-k4i55co|2hG>c#d0*0C_WV+V#Rm?fH!kv74RxI~r>+mt0%F zy^qfs$ajff!FoD2r<6>gcY3{B55UCzK=h2RTM}9Avd(9OZSu3s2DhGE&sRJQ^BFS3 z>c8ou{zX~AFlahckg(biJ!|%(h+RNKxj&s5`qEP0xgnLfW3&l0yXAVu9lu~(VJ_^X z*lT#*q^b-fJf&IWWexk8xZDka5X8sEHiCr{%k+I--XapDpBYl5b zTe`ncODLYDyVC9dvfftv2m=jmeP7no<(n!g`tY5^SUM%5%!*?N>)b){1lJ5ID|=Rt zS;DDw9+`ARjnRLNEZetH;Afe3NpZ2Q)h88(z^zzHbJ@)>U@17g*%gWRFKs6tHrpni z|HZ95?pKSk24R@QeO6)T;%LoIAc3Z$H485Zehb)nqu_{xSU@8tw!rNUh5eP=BPYzR z#dq)Y3V^kW3A(}RQJuGUcJ#xeyIH81SURz>BP(R3Sa!Dm$<+j$x>WS_d5GFt(UGi1 z8!&|ZvEuv#<97JB7ah8PEOBSwgl|h~jj{;}>X-h#^CWi9?@~xyJP_y=5U|8Wd-QL z4!9Z+0A+NXJJM|5_}+4HQFLDeouNHz>`ejx3p-Kkk61fQ^VHaxwzJp#`8pVlEiEkX z5`q`veaJFycXyR69Mme?f;wD}<{IU)i!LITd2Xx2)@mPqC^h-~Q?LfkouA>YP3i(^ z*kIHYb#)CmO27U&6>`cpQ<;`|h5A`ah`a32p2B0Kdz+d#Rgp*RS4W^>uDEu(l_rM@ z0?}VTKI=SL7djGjL&P|tgbxmq#i9!Dg%l|cgnJ4pzs>$vswoTuE?$Z(i{GcfuO-1h zUjG*DJJ$kPK;qKutgdP1)~%U_j5n$TfFTO^){>CYWzk>hkO>P5dytPu3M+ljO3O!P zOD7&xO1;T)#oC9Vg`%_#<-0_%aahv{l(>*quZyRf+woDi-IT-eu#Fh zz>CEMCvH5y@vFg-Zg(S0T4?}jQ-7Kz|Vuy9K4Vy&R2Auk#ehw0^3=xCTb9iSu66rWt^$8 zWq=KeM+(~&g9eE(PDfZBR-H|zHTj$|5#ijz1quRS z^5utxBS|_X&B}f0$!!`v@JyBN|J$VSp^RO4mx11u{Ka+=B!#9?&sEv^N8rPa^ZbdZ zF3pB&rTIDG>ENJz$agrIhwq+KX34Z9x*F6m{@g+<_5k<6sBwNidVD&axV-t}rx{P0 z-7&7}&ujvq5grUmrjb<5PJ(39iv@Ns3+rpW_Re~OT zJUqpuXns+jxR4SU^IWT<#-_%`u<-Gf>I~RgB^H``Y~v}mm!`%HfxTp#vJCxVV;YL=&q@i(t?N*T;`YG-h^59zO|ABm2ni^W41)0c z_wE&(?pDg+E`+ErVfJ@_nsrJ+=T5QF%;!h`r+jzv?-vXQ=KTm6+-8rgLz`ldtdX&p zWB$|?qq+m)7fT9{tav)SxGyk!2H-jn&`SujvMPQmT2PP9@%RbC?LqZ1J?%=PGQ!X9 z3>GJ0j1lQ7)IFO?*Nl>Wcuf=Gup9DO?9D$QvIba!oBi+61baD_9&;KMN45uR!@S>R zW;E=3KJ<^@{rM`g8vCcZy`o|}QT?DVLlFOSqZR6$Ty1$g!u^>FX{%kcBa10shCb#& zFmoXWhkgz63F_p-c30z8$IMyj#dJju7GlrlO5>m)i7$inYLqqKziCmC+=OI+tKjI? z;V@Bu3m}2>945si(?=}#CZ?tqe_2+%PNDyRO~-B^5Y@?MMS$X{(=$nAAn;5*j8@1V zPgH)3l8me$|}N%e0>+@H0Gn}7|OSvL`=N5WZeit}S(Igt}S7Lt^_!JgmV z{mYEn-vaf~_#bNRCM8eb#*CNZwXnEjqpIrZ{Qpf!1VT0ZhPU-8QVX-QL0-AKf-;wD zZKW6t&BvS0gmTbMyNZ(x^Z|Dyc*Mo2U!+Y}u{(OfwuFQ%p=@>d={2o*(vp%I^U1cE zMwJY6*S`Q=Kf_3-I$B{l^o%q3cZ+m%%Br%|9@0_B%9TpH=KH7yvWJH0=IFzYOk)_Y zDvF)TdIVNPzU^@1$;>`E^9JVwYm}{X>hel-Y*CnrP%#2S96SC%B036u@<&NKD1nlP zK=C4DA;DO?{}Hw0#rW+*9dRr-V7i1F?d(Xjo%lj5vwa5 zt?bQ>BhPE$j|-F~{>c?IyFV(SPw-Dc&=j~pJOFUtOt_}4_7qlD!yL{FA3l6oTPsn! zKO0d|W_B)t@%Gk`%E;EFHIQ`gsiSf+)2%i@O65bJkBv2yZ~7^02HWNH_n5diFN^)M zL@QP_@*=6g=JmqVDAkY7u5J`r02C zLaO&e?vHwRcYB*iy4PH^&Yx*$XW5-<@qLI=D7*Ov0)lO9D!C~fE~T;H;2&~l@%aPh z3{H`vk`kC~4sZOO_iO4U3;y){3D?t`0|`&+P)Rd;iM&EbmaO~JZtlTBQ*=FTC_G_{ zrBhWXU^+W-&%_X$f{I813n%OD13kTg)wkG|P5}X8W7skZvCudUUUl)G3LfDG3P?^? zmag`Jzj8kC%ouXled3g`O4fDojU2A!kngUnV6IzRre*i|~C^$L#O#gX3}D48%+v(~nmrK&S^X3X2}l zrcWpkQCS#=bH;jlnO6oiXF^pe-hv$m!^&dhUWI*^A!#9tDSCP5oag8J$r-PtV9w@a3zm zLkvj?eTjd1!OUQDF1M>-@Gn>VM@6)qm|R}T0GvjW0Q1t^l6aYC0bARB3k#i3-?;I5 zK!&EQj8STDXLkpZXF%fT_?eQ0;p%A%SoEX$>H*`-<2FXY#Y9I3n7>Ucjf+BLP9iVX zbNb}u1YDPpS_CM2bV*dst#6j_Y3uRf<@!Kx%c<$MAfcn9b9Q&d6|9SpJWw!k=rK9p zGTor@prb@0x_xkH2ppKQ?T~We>*w=H+Fk}X{Pe9T$X%L^+~K8j(WsIyl#O})IuwA- zF(>$C<}6aRvbVR#bQ5a?{usPPQ48r#rRQ~Z5m9z3IiMlgx$RqIofNAjY-QCmwzg*W zvAcZ;?<7_Rz^(^oW@{l&Zv%Gr{%90F^5ZybE4!K^_{~297!I)87Em+#E9IAWHteQ+ z$$RzKOk_Z!JFUZt{r<<|8qas+(k?GDB%gm?nc2mh-+{UP7l?W zXs;HRmv1mn$U=di_w8?K}}`mFxSJE_PacgYX)sXP7r8%>pB7 znr28)A!+OD3pY0}Z9krHE3mdA#drAFXa(lXXs8nt&sv^WY8pGNye$$1Qwm50Rh-e2 z0O}DMf!@;{jThJs9)J5w0M-Fn=xAq460}CsaB^XHF`pYI`q(39Bb&!2;{ z7b0W;J%(rP)R>Mcv@ZHNBr7{R#xgn&+%z}b?UqYO`D9uv4BfhJf!K?4bHt6WU+hC3 z1B4a?1_jACL-9|F{rHZm5HQU|QjUsEbqx(k%Yz`)h3go_gCAw}-YU3wVE26CC4nS9)E;p`nsn_P)JDYgPnOnbN74IDp^e8jc?yRe(>!Io?_;ns&@v5 z^UhAY%Q9~$h5a-b-V;(%ih*neOU1@pDD)>lg10&1uDIXiSHW}5D=zMV2(2TWTpdl# znAJzmGg=&GR zqu_41%!cKoN9m%^I+k+^tZQ2M8GN@V$ajWe{G{lpM$&{H-~q~#@PFSdM!()5^DFj^ zM_-?W^Kwt6d|r7NP~zAezX!oFfd838;K=v)O{5&!hI@EC56sP{2S8ghd}DZz-(s(s zhN{#3%5}6R>uI2#9`QSN*N3a!U;D2A{eXh*NLG8?(%XC0?v9`>Vi5C)NW4jw@)HX> ztCKTXrxU~r9ZBW>`;+=SsUAH=_o{@g{kQ;F1CXFFN6~%y@D9Gk5DE;8<$7Zwr+HQ* zpq~wbqtG!WefJmP+(3d7lN}*pI!OCJay=nQK>t9ezIyK$;1bRWV{Qg`m;aN7>_ zRv=PPqQW7T1+-nAEfishwI6q@~3jE}tbKC2c#n|80LCbaVFL z<8*!B2)R$PC5jUe)sX%qOCmol^|ojQ$yW|8fToWhs(-x*6^(ue+`A)7>~22;ZaYpbgXD32aJ(ic@+@V#%TyOi@*k;0zf@mCKI z5zCmpcl`tT3Q(vHx3|ejNN!R&z6jMob#!ZKm>EpaM8RQTCC|!*lp!%F9k&1$%;z6~ zbUH|DQ6y@jfMgn*8=9uNdLT5$d^u26A8$Oh7iG%{T`sAndWQIyp^Tv52`G8JXqXG` zml--et(}%xGKLX#e7MtZ+eFwK#J9^55)$$QvzTVWFpq<&?^sAms;9nQvs50rzhI>f zFZ5#^M0yI@vTlK&V*>id!CH5R8=n;TOQ%>&J2s?=757uhm$Y3Ym>3HMjjb5kr8p$obJC)hg^ChF_CpFV5nUxrYH z{IqS8{l?(m?#vRryba)cI$xPKO#jm80L{lD?0g$aU&F%=Z5j3(LB6{BrWeZRY^qy4 zJZyF4PWx&Mjvp2HZaUGcJkPv?QYhPk;l1pA@F?C0`ivH*1;M4XbX~12l?ES5tk|3R zTUaZ|3X-ZEg6t$j^6T|Z;4S<0>sP`%a9Y!TR*-`-8fwG!2<$oNF{!vO;E@0mrj#Yy z8G}tjH7GxZ4|#atQYeDKL{=6lgp!0rzCQCgCU0xbj-VKBEi>C|Nq>EraTGV%y zegm1n{_%0Aw}p3*um*#{D*^U>dI04=#6_QxoX2F{dh+<+<>l;ouJf(&_^T%ZHW>cH zZLO~jeqb4JuWCb&7ZQdS4}mJ1efxgBY>sCJH|D{s?(W~;meXS*gt{S(sH^KZ>u3OV zqZd?}>!EG449q%kfFk!c zHZnoYX39ol2Vm$4ctC?*2mNerYTB?(+BQcM697FoD$VC+(N6m9jNhGTAvHlAAA?QA zZyr=o+y;b<6><;0)HMDr{J~c4m+hkiEh4*#!lFd(5YS`75kSYK0LL!#4lD(DA$StX z;_1{vaRs4p#vo^=z@3Sl;T~A9FcB3gMDEKDR#Hz4@emcOkZ5xwTlRQAG2sl*bZ3yg z{f8GWbJzA`}{}H>C}qDVAmRzTak(#h-@W@UC8(ok{qnD^5Q5dDB9b5 zsXNw9KmnOgNdz%lHL~vuWW;^<$yQ2J6x%oa@Pugyn`OzphoKZj#5$qiO{VVNW^p#Y z4pnRM{* zL_$&)#oUe#(YrTOqnBVpKX(g9)6$BDa;K&dxZ$6Uh?2GXcd|$9FIW8;wBz0fSa{_?$DV|Gj(Uf6_gaX1!wW zvlM}8A_6N$xm>8~)*uND+pg?)s5iqrb`|d;Ria;;tbYSyP+=7|0ce|LE^>0ncIvIn zBM5cvvFYg=T5xg9?Oz6-*XB{*QQ$C$2X2E*QuHz3Hz&%s#!c2EfaYf|kTG{BXcvh9 z_?|AzoO6EI{i9BZW2#s@>)K20l&{NCWm{ z%=<@ao5^@cFp~9#iZ7bsP`}2gvjk?b6k;+mL5Rq-&Yc}PfOeMu*F&$OlxT99TJAN3 zHim&SeU!&@d8sU2mVJ*n2z2piD7;+UfH>haxxa6e>y6kE28nu`3vjUGStQ0M@7rHa zcRn~(VM;JSiDy;#sfx>L)f{h_ZkkzFS(Vs0Lpo7awI={LkyjCr6YCZ*CU?K_XQeq_Nl|qD%5^^ zngTj_D?t%WrKn}91g3}^4c!tlEWD6SYngp?aM)k*{ZJ@|x*ug*`o;0ALMJAy1EwBf}Hr0*LT$>G0jK{y%XEy#4nr zU0goGtOxlK25hecZrvI$yRUWkb*P`2!^(2o?iK_j`1}7RW>H4^K4w^Tr(gAFgbL4A z7eyigi-8Oan@&7Uwhl^SN(x%8(@hZM7Mltx%Mkx6D<+L9J)}Y+xHUTx?#jL~{Z=m& zl-SFh{86DY_e)s79t^$5Z1V3eIm*VeSf%Y}KnDjze%gs0#Ky{(0^6JY zrd*sLi$t(9K9{vil9Hr|m5uqibf^A(JC2JnsjZmF9XIkDoC`!GD>E5xnnYs^Cw@vw zBWfiAp8PqBi;Gl&oM{CK*a;d)Lz|n{*@&aDo~MLynwVIs_Q>vtC#W4pWX2`8QueTk zHA3-b^(ios&V9MYvu#&G$1BI4J5 ziEc7IGd&b7OiT*l<#G5#%#9Zbt>DAQkECMYSt?7txMqu=C4$k#L~#c(ztIdF&cL%> z4|Kgh-bY7VQ=)8Ux#ZND<575cfjkqh=+#~SA{!Bsv46fPEBo5E~JfWV)vG} zES($D7%t?ZFi~$n}AVfH6({&U6h;K^=xBn@n zY7~pA_3S!6*pOJh>8!>{u|+;Y+|ykE*g%FgF{Qosw&rlN%sdSAZG=y4zqzeH_`8Zx zKKP?mo+2YN+Ef)?QJadeLxeV#v=-cxY%>`(Q?B3BVH|-Oy*^aak4@Hrc{D0I`oTAD zPEJmc>fI%_->s&2vJ&eteiP+dj5h#!I_`(@Pl z-$p}N=S<@N`c_3GMxQxa9JPKIn!?|`CT%OLhHYh^FA>P78BZ+GGst*F$P#+JI4D z+n^JGQ>^8sB@uS^I2YKb_$+!gA0x*M$l8iyZog0%(C^QX*%Gdjw7kh;8_cj)16-lv zF`c|2i5-$9K$P%)+zQzm%LM%rfGb37)oUl+w2#Twu5c(9wSari2Ay!F=JE zFe1K+_vE+l&zd`y+=Yeu4_F4|w7<{=UeGL}>k!b1$v)sSYK(Y%15gQ`X4$G0 z&gUFoV7=idh}+Ds?D!=(0XgYT){ZR?ie_Iiqwlx%W4?njseM-uK4YDiC7echsfv!k zQxN&@aDX@Szv8JKXDiPa*`%t=x&ToPWSui^6$&l8+p;H_sormR*}wAt`!XTFq8QH6MdW7ax_<| zf{;YYWks52&e;&0&0$5Y92bvZErC#I%$LN`k8xD*#L!}nt41KUZq{nWhsMTmOm(qJ zTHxscAIr%21jL6!{yu^sf*@Lj2|;k9tNY{s?%6%? z7z1aD`c~IHp#ns%2uTBd{jwEyGkJSv1qq1Hba~%sWl*cVEo{q^gc~|$npjauM@C;^ zct2{}B0Ie%=S2Yc$Fr26uDW_;WM#1&C2T41c$1%yZ1a8I*}W~DT(tKY7Yxx50fpGx zINJ#>XTG(kP?!=nTWB+!QSTG{()=~i6CV_RmFr2#(1}+$0=uU^JG;ABas54nAoi5z z`CyYS{cGa(OFViBvUmvCiTU~Js%Qsjv22C`UT(Y<(&+zz;tZzcjNDgR6DOSn@i=V4-Oj_4D^urePzoav7qHJy9quM74XJ}UtNN27=~%=dC1j9iRcI!yi{3x zo`5FPMf{5Hf2{X_FI9h3yKnfo`-tz~?^y9L80Os-6?%Gl(&)tJi(?U5NH@!hVVvu& z&u&0$0Q};obY^IcmTl!C%amk3X&AG87c;?t$x3#P%^dl?(w~3DD z?;vRor$e$ah|Q#WVrDlTVDJwj*Gl`O99Yp==)>syI0Jxg3_L1J8=L5xsCdQi1O*ijpF%DWUDr6!9F!*ze4| zT3(~7w%;ESKRj71hgUvow_25JI_wnRy#dWGxvdL&(x0h=Gw3%-gaN@Jf=qn zH=9X^dkMhI$;rt=r}>e5^cK?7vNVMIP!S%$8+lJD0Q9c#tqx$!(wWk9O2KJZburCn z@TD-NiYmy>RsPt&-pJC`NQBQU_J%E*0z+~>c@zRm0B>QVX@Csfiu(X#=~f#h_rWd; zM6+WX^jy!mP#_MD6oqBz(=zakm9rp0Rp4G^Z7qk1{KmGm>4+ogEI;!AXiDS)HX1!_ z!8;@-*lQYc-)$h{1k{tWUYkT?ZujmWk4Qqz0+5c8ocx}-d0GzTB{SO zygHSDFf}f59OAK}X?zCZTfxBx$F^t*c|_`n!zf&qT_x7IUCIIMD1oG~cYcAbw+`D4 z@nC9t&*>W#6BENk!T_j@7YMmu?hE&tsMO$g+}e-a`K{B(AUN{GFN+oY09i>w&bt7s25%ybBF7ip}uMc_fFro=% zB1AWw2iY)KfN~pAqoSu@Gx$WQ>|*KY2!`7G)gO{)^JO2*H9~3}PTt)@c21rKELeY> zLsrO^>HT7aAZnKE<3ci(n8z!sk$;1rT8G33l$AQzgjnK+@5DYQp?n}K+Ye0_CV-Hu z%fPxD)d>jlke9POtW3*+4u=84k-Aa(%mbhqK$f4WeBIxda96lQEGur1#aS9MvWHPO$w%Dp0WM zp%mU70#XMoEuXX<`g@Un*om&Ioy2VAZmI}SKctIL^nU;HCAwvDagi+N6nq;udEg%_ zrH8+&1QP9u_*s=8{5HU{>9=uslV4*>wJg)kKg6HXUWQppd>|`N?7`L6kigYMvBAjP z3T80wE}y-}uno$80G6Z7?tZh>W%4d=HxrZGZbAZqfSaWy)4WPCh{8m)ew557oE5LQFqAhcc!?z#4C$a4B?kU!X5&ahUjstO`Yb z&oQbLzPjc4PS(CWOPyEK#-Y3|a#pX8zmTAnR+xdz4b^5O?MO>;$C|RHsbzI_)iSD1 z`aLff&PC#FVjlh1D4qKdv68~(sMAP<(`7eFk&X7k05VQua4U@?#1yzTmf_wM@|AcP zXDY`Be`@D(k)yipfr)$L1bpwh7?;T!wA%w|j{I}|aj~%o%6E)sj`dE3Zu{`nE7jv3 zS6K}paY1xH1#?P$CP5*6$d5V+Bd#l@)H$TTx(^^uQn*}9nP!Ty8bSd%oe6nUyfL&O zhF^pW=O?IMal70d9c3$%)|_w*!Trpd#($F{jB%*!1h*Ni&xvt5%9x0h$mE_zG~#~4 zx)`AQtw>8-1hOsZiz#Jd=qeUM2tjv^C>(aq8G*{rXkwGjUPE?_EY+X`Nr^X zgmC0bl2-@Y+vuW<(m}#Wsqz(S!^=2*Hy2i{D3HAaj`B^EA9-y2yEIx+g7}nN3Uvlj z(&$|3?k^#-$J!+lv1~@k?O5&_3eU?cGT5DwF4CVx9LVqcAFRaA%MTIT+wi=V!MhWu zQfc@eJu1bU(CrEcfQ%n3e+xOFbeB;+1@0_f47t`3sPcvch|jw%joDhzS;RsE&H;Ik zsPOJ?#ga;hIgNeO5urg&`4W1Mwb1{Zhlny7jCe83ND3OG5amlh2vUJ;1I3_(&qOSU z6A1TJAw!!P@iG#UXF+>FpVp&ZLZE9|#dk1BB+Hthd%V>1(J1Rz8b5B` zrQ7P}N0ASTCPZv&q6?#m4n9)+k)XH7;bSG`h8+`TMb{10MLK|(i6D10*E8a zqxFG|Tc(LdD08H7Mvn~6mitFMre~QB(tB}PDn0`BCLM*VW=JC)5f(T!u*r8xKrGh4 z6hQr*WJA==Ku=H3`)7qx)C>-N^Q>?yEod?nvy<)Pnq_`GF9Xs z1A>DWerxmPaGUo~b(ftF9?RG16+jrdN~%?`A@1umVi4IySqT}UfCMrMHCVm z3gNZ@hsI=u!#jYb6hiLf-?bGb`1kz0+)99-KVQ%eCzq);z&1R`nksJ3cwHnuAG2u* zg!0LjgmaYcfmqcml z_xn?D;~-e{cH!YEHs)nyF#YR&L6P}m&<$q+ZghdXqBp77ddzR-?cCi7Sd=XjcWE#` zZeY#3_4!&UdZu4Je3H@-i7oHti3}P2pjM)$q$k60uRAM5;vEOM;TaR=A+ut-4Co>)#S`$cDGeouXGFT)GW`6`v< zTZ)R`VqB=74m{M$YLpjd?`*ZPwl3RxkKWB93A!b;aiHmO4GrB2GL?Yf?j?Y&qYCvr zmSZzW1vfEn4lJY4LGR3|{rgK21%tW8l0=sJ*;j5xg|B$WVsn@*+`8Ft3jNU_) z^OWD@>UVR8o!C1VrIT)lt0r-HjXIB&7eAYBS&-)kaoHW&NWJTXOpk$0!LMSGR#eIl znbsAl5;KB<*@2W$D#&kj_rt)zaP+czsjRG=a6yDA|Bc86AuP}8WNj@Ndg1fF$%L}z z_u_iQdxmi0;rU{9`d9oY>mU&6g$u( za$uGn;RSb|vQHAfzJX{K*Z08`Dy&Dw#?;aawA9oEIXTsPP3H_>2VuVBpY_Jsg)0I~ z@-3~bHh@6eD0P&WP3ivwS_UM4QU*CW$q0H_t6=d7HZ7dwiHz2wDp@=f@j5BO%fOzjFZWjGmi}sCD%k}#m)qr0gK_+3EJCt`-XX^u-01#_RzG3lrX6Wx~j(K zR)WfKaqnhl?sJ*32-9=WP@5>xez91Ln62arM?|vKDVXNh(U}%)F8emI`N^pr<&`on zK`2JGRkLN8>H%E1bBFneFV~>9F+)&9*{?IVsU27E_C%t0nL4s>=56{s-3MZ_p5sr^ zm6ycKziU+5JgrGzYogX472zy@U$bZVRC{<_A3uTcR4>}h$bYu}A&~z4|MaL1WdsC{ zeRPmEhMh)S9YKUaE9^+LNw;eYVl9w5=!m10PXo_QWN}=^j5ow@f)+_s;aGUQ0901Z z8Y_r?teQ6&@D)|SYX%vckxvf)A6f4K&vn1QkKYYNl0t}3wlWKaBztA=?2*v0DMHB} zAu}T*dqf$@NLi7{%qFBzRum!quTS^+{?2(k{yom4b57kiAMf|;^&Hppx~}KIQ|bAz zXv{K8E}5E+V^bC}H<_j=ZiqflW+ikr*98u)%gV`hYpZOoE#_o1o|yamd5?5sJ^UlD z4(WxuwEHt1bTgN`^N!S)C1OxHui9B zdSTD-j%xm%duBA&v^(%wd4i6>wXLwI$n^Gd6ZD^ZCU#p;Fg|`@P8)FCL7teL{14Wh z!9NglYB52TgdvC;)2h%EnZnHE-SgaBVs=u}=KQiULC%u&^odNx=!zSo`{KE|xJdTx z+klo2)I)+)o^G2+((~cY+jqQ~wG?;{H;jyI!F;v;aTs>+Kse6N&rhhnc5Xwro^qZ6 zM{xC6Bk$28N4WkRb`6;Idgae&Qq#iwj#EQle+J}|?ajp=nfYCN_Ji#Q;MFfViswjx zd4h?WtE*7`EPN8c^kQLW&jv%%WApQ8>qdG(^3Nb_9di9P@?r%BxdUsk1UM*~_=uz} zy|okTmAc`1FtCij0UPaOlcS>}EOdjl9bmEsfl?!X%Nb^7Db9dnHI;_Ps{wsu=M>8u z!p^5JU%uX0qINUS-Ydyl1GyLtO+4JIKY+U99!#4p_IlJDaBU^?fd7$B!=7@!TLL(q5%hx5T-<#f9lQbp8s{p)*cE62Er4M36IubR_JAY2 z&ET`kSYU)GjpOr3s(|sQx zGzSUa;mJp_ad83dTfcuF4^V2l;D!bpR4MH2IEO2#q7r_8jLw?I+T)x9wPa1_R)XAI;&})y1mWo3_`k!NG}DDuyr$@bYehs47e= z3k#*H+gcENT}}&{UV*0yB%?#WeAzDSegHNfCZv2sCA08sfplY;n}6xAgii)2U<)8$ zz|!!GT0U;{8W3{&-7+ss+e4y|_9|#G?<=vVb%aG78tyVKlb>(0{G9t*T5M*!v+!KH zy1FE|f~*tXkR-2EQVU~+rA-$z9<#Sx;tusuPy{ij*u+7Jc|eLjf4s5r6N13j2lVdm zEDy-+U)z~rEiHYon<~!fm5IZE5mCuUaDR1Uu{LrTp(1D)3<~=)t^9wK{cekK?`cm8 zzV33St>!jOHkXVBYp_AY)47VBvtw>JyjMS73W|tucXFbW@UD6J^1M_vwwkqL;tdC? zL~};^89x}bCyKhxJsir+ceE_XzNvAWYylTGaN;h)ef-n?b{QaoRQv9(Z&h0bV!kBtMMSg8z!7& z3_yZPQhbT_ubeeUOU%;DR)R$-;0k0MSzIWHo>g_wYa;VN#3wL_dPDecPWaOxpsFRG z)i5+19-Y37?@r6$JIvf$4!u}@zLLiX&o5r^)>0!QOVBH0jT5}$`}_KW`zOcfn3y66 zD>4++Hzu4352Fls4AQFD*xwL-!_g6;Km;^r?uK*TAGaj(j|mAKRuPZL+fKM==-}c~ z78TW{%vZa6-U}kZ&7bp|@7B3C#6#6Xs{X6#nrXEq~uOB77&uE-8dsGj5QTzvAAN@)t;J~4_1>~zSY0m z6ONgeZL+Zav&b z5L_23usDGM;=jqJP}_grFYpTC12xR-t0XMMk{JM5U~upk+#G^JLr?PZ>IzxV@>&^u z-mJ%j2B{^r@D=uN&h-_nK5>C{R7Avk#Cim$fN2H4apV$2-#|E(k>1F|lf>#oOiqLD z-#?Elg7l9TMGS@)jsGBRMudkq@pxk^5NKcLvA_~#p?iEH;kdXEQ5}A;303b$_Olj^ zK_I8P!{7~6B0K^R4=psV-?)KL;s(Ngr~fe3?>Ys_pBvNhriqD(3(y>*#^WDE&W0r@ zSUQvJNsk_lO%xV?t0z48$A=x5_;`2-HkqtvU`b3pX+00KHL>Oty0zcJO-A8CgwEs^ z?&T%#%L(RH^r+G%=D&^AZl+Hs#mAp9+P&>%V{rPNbarLsO*~n+Mah8$4&uXJu7D*J z4lHmUD%i~uP+RrlMXTe4aFbyJ40WDAecFY~^89%O)Ma5|Mp(NG-oertF0MT3LpLn{ zJ~vt($x_RY3*3+5uwv=R8G{kRf?Goq6ID`s?Bm6fRB@)=4gBPRa4Ba#&y;J1&q&T= z>bJ0KSaOHi4sxEWax|Sbtzi_}A_&j>iHX-?9&EF}6}kK~JkP#D#dyWs{O&Z~!9Bmp zg`L!WW(u=yb+JRo>9J_UqodVn2BZ z>vDJ*mxp%jCk;`_^1W-o1^(1BdpbeRe-S5Cxyxic!;6WiOhRf9ATXB_i)O+27UvUSrDYx|7}hWwm0Emz&4(^d9V|6peLKW$H`hg(wUx2rF`p=4 z6JlWr_`Kxz|47|l&4Gsg3f98`ib%!U*9yP)QclyoK43vzyM}tm8Pqd^PX;hToPidm zj+p=Zk?md0D|v{1tsgT{eO>oJ^msi7gZfayq)#Em#!%VU`jQiU^^vi=vQ$M+ywIfK z_#u3PCug+Bhg3;6E+-~sJ;)(}qC@s->uwFl$#?#*2FdX*u3e$EDcw3*O#5ccVvibK|Mid&y9bGeZUJk+ zM1hisI*b^}1UU*unm)gO^gHxjN%hj5e{b|3do59`mV*FVkqa^JT= z@tlvbrzvUfyoCh|sk`j~~FsQjyaqS@z zC<{wHs`{oTA|dSe?Irk`ko&CP%O?gSl|1nr0;&M!222uT&CTo(N_H?%vM0sH5=Fy{ zZ5zVusBM(Fjt{?l{;Z^y#j1MuKd*9!(OE1@F7o9S zvYGnc@)K`Ld)=r)G&E^v&&#lpYKbx{DHXYdGL6KZ*Id4&VkjpotJojAwPAbpk7`q% z#>S-5S8ZPg-guL~>@1JChcvZC+>RZjY5}CmGp|Yd%qs<5$x2DrYzRxmH8hrCdi4k< zHp#v#VL?ZUK=U9-CRMW5{0SKoS2K)JobGMdNq78T++3p)v)9lB`OVS_cEJ-2Oio%_ z8#_Bu@H{fjpyo3(Gqbj)K6=y$6V=lPl>d&c8u&$}9L!~!D%++$jNoP-=w`^9+_nh* zB1O{jjMqqC^eQWxP0Y}JDFN2DQ^xv=zSk%_fpw&3bhCa~2or4(_Ad!>tK1-iIiTrRy@tgraQup{=JE=2?h;-F2!@Kg)z2)Kcpi)AINq~ z=1~`Gkx&@BO$cA_E#0c=wLX3~J353y!|S3i;m0wm^B(Q*U&Ao%Ro?a+7&-OnnKGbs z;BAGnhOlR?uW!QbUebXlJ29~&BoAmXczCX(E5Qb86pWkLnS`O*@wx%R``$((I(qbI zbW|JG!Zyx-8{+1dshUdIM+pg<5(EIm)+sEWi5_s^Do{IcZye8Z>wl!ctq zpZPx=GY{F2U1NHC6C~ZpV>;q7Q}q_2tL%=x`SO`RmyNR+b%I%%?lVwdm_KV~7o{bU z->O8FrbSXAo>94cqLv78&{Rs?aTkcEy1T^U?bI|m^FKp&6S4PblD?FR#SAVYisXYTl0#F zbcViTf(53#!3(FXGSYFZ<(l8W<&O5e^7+R4`myr3IOCZ{@wq_@hCS3f=(;GI=?Iyg7Zy!9>Z=e+gYDaPDW$Ddsk?2FK( z{w`~%>MQCxap0?gw@Ko&NG)Lj)V!9&3->^t0#U8A8%qoaZommM?TLj|l>>A&;!4+X zist9TW1h1>UDS)cHhqyT!^+~%4m$* zXK#0shhtIt^{2Xm0+OtaD1(wGZ0mOxNhQn(=T3_{G1A*q|3}V#bQCnsz<{wv1TX`1 zR|?C(i(v6IP5?D%>F7ePJM;y~>Zhfp{WBIW)`j!JYx*)QhE3@vt`xd^A9%fCB!N`% z?c0u1f3p>d-wr~Dx5LTV*>UqFXy;9j?q%OCHaAH$k!LfYd?(e>Rx}W>U$v)2N+w5! z8ywkRb{+%#gmz!)Ow+t7SN_(&Kgz&m znh#3iZSRytNp~K9zCJK}Q^zO1)8*My($lpGSU5~gl(@%|Dsr}7AwN5tV0gK7wVZi> zVp5Vhfzbw7XYw^U5$yB(dwX55CppN$!Xo3|y#|id+ZOirjw!D6)bJQHbbOh3RNjHD zoP5FUt-nxsICuE}|3e?AS&q+`HR|g<(+)K0#;12H!|cQUQA1utPTzHsgt%!Fu~*s& zruRlUsEe4;%ts!VMwHnl7N@0+FdSgcXvn%>FVFPsi4#iof{I5P?@212YdLY{(Xk!R zgjIf>aMkLbusL;T&h@aMQhm~l>!sxh8>gca%f{tzPQLNaW6Z6Xj)=*M@qf1wrG=w0 zAVl*)SG=vYpn-d+_eIH&Ae*?84eiBTdU)m*Jq+J`UP4AHa`I22N30G&FQUUAHKqqa_l5U*qj=1}>7TQ;qO_X-2 zw-qxzB>F1lo8oFd|DiP5qNO!M1uj$Oo{s$`jeH8YIX&6pG}-qpJ@!>$W{7s>duV7E zkfZrK!NILCeFu03C0_}InU~SU!=h&gO+>68YLI`HKzW=Q-|Ms7sT-GSGBeDh%yi|HIF%Q_wM{@vb+wrUh^v% zm!e~JRW+3_DVv-#+EuT%HzrLpF(sc#gz&*BC^inF*b?|;l};ksIx86Gos)zBJ;XTR z565*F3_`J@ntGlAgjZTx!s2)+)g~sM0=I+S8OkJV@vSU@Ap+G+Y?g+d4{$&TXMwO5 z6ct@Q^f!aau`kJ=qS~PlGL+}sNWW&ADnfHVZT9Y!zVOR0*$a1fb7URnAd=J)iwT)) z=Datw=J5341K0Y?y$3#CWSFtj(|BHaiRdv$su07o^%pjM4{}{6Dt<0#WZCCv{pk5H zc9(bA*hTpD-3-ZA?JiewJl%BCo*11JhHS(2yEbW`jPwXE;lmLcY*nZQF&i~JHvA$; zC@U!uj!$AD!Qc?N2ofd$kMw$}(3d`a`V{OR^g3wW(whN1!Qee8I9LSDa5#CejQ(9h z*%2^%>I_xONTrr=dY-$JnS8ESzmE4y^7E&o?#Q$&6n3*lFf0sA*IIpuaCu!7nN~4# zNu1K9L*2AOX6@;grJhvJtlxC~>BIPVENW`H>2@28N5CQL!czLtH*W}c z?ce{rjU;VwKxI$^ZE{;YpL8Jj`z}CD5ximB?*);Ex-lvs&XSZA24Ps>0w(u|njX{l z4>)&T3nMSUssuWU=#5vRK1+Q>i~jWg`|1~;caDtQMu$FAl%9S(#tpCX@KnnpfE98EQ9ol0Gw`}$jDH%0qi>s25fXTa?rUBD{p1(l zj9{pK@+9eJF*tUEWQN^Sdxoxp-MZrH=Js=G32e>=ka~awtYF5UlbMMHC*_2hg+Pq1 zs%i(=&;)@q#H}|mwMH%;p#fLyKhNdd#s%AAx3P!(*%+)R(Vnjx7(Bb_qEhM zz-Zpna}%`v-wW<_Uwb-G-7b9m7zu<4^rBHBtA9Tx;rHv^ot-d2y^AJ|K-lz>`Dp6p z^&8gd3v~beEFP49M}mV;GT+-n<}n$}(a0O-W9m6C1k){WiD%^t|6a+zM?ZV71`afq zeS->!F1I3LAHi@`7fbKQAr7uqe*6F40{^8n+$l8lz&QS_zmd?EO}P1^PY<#q2fS4P zp$oix|96o0H=H|oZ~~(rd^CJO2$Y_h0yx=R^O?_l-`} zWd^F5rv@G#8-HU|@BbYjK%=f`^DySdZU-;`eCt4%N8AFKh|%0bJWXg?4xD^-0w(E@ zrJzp7;`(wd?sR|sKTj%j1Q0O}Dh37UFxS=5RrU79Bq#3|GI?gDXk`V1v0wAU&oSan ze}|zTYIt12f9t`&&*kEKB3(}aWpJ9{T*8+cqFOl#^P~;XCJfP$0_?ZFG=a{cA?PXD zhwqzzk%NE#MZR72o`7^=z=1@9mJs`dT`&Opd-4ds%RR?@gqXJmkly6v-}j!ScOHycwlLA4Kc*_`DKMDGyHs zXNGQARmJNP4Jpk-GlZLW-F9!P4&|E%h;t-K6T7yvEP~u=;tEEUnZ0yMECGu$5DkRN z=b8~d&*zC(yoFl0X946)WAcr#EClSHjIna{ZH`a`kS-SzYiW-At^32T=Ra_)aNc3BLa~69fTr@GoVm!>Aw4ZvYJi>*ev4CA zp|A*dJ2&^0i9XSr!uwS&nABA8Y%X8Bt+693!sqFh&!0`EZf#GOv&`Q@txBIgLs5=U zQPPh_G%|Z3Kj!bH3oxVkz|eWriMoZx@6eMSly}w4)ALI%xV6Y=pJ|qQT7&+Zt7oFl z|J%i6z+K9{H-cIEnx2+%t?Cw4M^y%w2H0wnJSF{+Jh|%^OUUtWLEqH{v?FB8Z|3`J z7%K0y7|tS#6rzyHwySg3rs5eXy7Trv z^IYTQ3FLW%g_->P4gjEWJ=FEg58v5|KyCI0-DQSOpo--hDgmUMVxt$`h%k7vsKXl? zrU>J<`}YIB?8`7VGz?wYA%d>w11JfG7N?U%aB&T=0Yvi^1^jI7?HA$CfhL7>38%fb zrY7>3X7io_My3+J?^4U^`*X|mKamW00N()oi7N?K8pajRF}KS62BW%qRQu;*_Wg}? zpO^hHt&b$n_tWB8Kxy*C|D8z$!ZEmY`_3Ftjn4-7?;9fFa zx5i{Hx%bs8v))_{gr|Cud%JqwjQu#ZKR_K9zKl zJxS5!BJJ(8AYaa7Z&^6^wMbLlK6WgFnbTKhx3&xw{$d|zMoUZF7|-*E zyNiDH?P${c7|L4JjY3M=ju=%+6-N6?OL* zvF|bB-+`455_d`5IM@Rwv-js#bBO;qn*ZLovU=0Wm91Z)%&u2c*@WrywBwnD>{VU8 zkr;lrhlgDCN-FZJ?`n*G`te=TlI3#u<(IvVOsB2Gq67>4hyJk2*+t!;E9+h;_)hhR zsbuKiIStg>^8-(J`D?e~gx{av9{=-u91@n04CW*hNOp%`hS9Oq+kAsb3)=aHL#Y^W zV8|GJ1qB>e%E!9g+=7CWq^KR+Okxg5++JTo=*NglNks)_x?bR*J`0m6O4ITxvlwt_0(c>&%+Dsut`>a)ulYtP8`U@@gT%Nh$!(DTq zKKY$z$wWztvGymh#E6?+&%-4Sf%U8_>RIgT_9obIz!Td*U%#=r8N2av*HL%1Jpm&a zqUO}U8>GbG3G(sdM^FJK?dLvWkS#;O#AJW@vaDBg_VNu)g{^CCG?Vh-j#gS)T0bAe z$IFMVRIW5HVjXKYZ28l`CrHJez{aYW=xv;{?u*ue`;SmCGIorS**~EsJTJ)^${14Y zTlN6)X{ria?@Lv?$oF18l9=NXNEa%2{wk$vCeh8YW`EbfQ=7tk5o9ApVvbsgwpQn= zs)@;ZK5+0}JQon$yZJb+Iym3u@x0@yliv$A-%Fdu<}7X9Az^+2tIWzdB&hPblU?r! ziTKhb%x#^(;6M=}=DyIVx!i*$8vqi9U)WgVaO;Y>q~z;DgHn*>US}~bYYW`|H61T= zp9*Vpv(1;^K7M?4zhn_esBMoDWx#4=K1gyFUkUy#;jTGL z9_ZQeBrs*Mx3G{(fTxq2{5}zyfvH@L$B|z_nmH+{i~Sy0L}K}x)f#+doV2ba2ep6C zQ*o!!O$=%9Yc=taYl!z*o0UW1sL@AfRC^w^m@xV1@fNNnp*eFs z`&9SH)CIfvis31;R*!SG)}FT{N*8u@sKq=Q^IP<~weLOoI(tXU<=uyi^7Ex+QJx&q z-|Fw_aYn6W_kk;!iu9$=pYv~MewRTv!Ku*?gbd!5HM9?#f-ug@qLFYVtoj566)6^t zl85v8(qixvkt=##F~vny-=$2yiBgP!l%j{isaj>`c#SrvvLrUP4++9y0`y!~Ha0jQ zbTl=wfiAlH>vdnrR5AA(=*S==g5`L?j=yK*;KptvLWMszHg@C24MbiVngzods|@S6 zL4CBT>3QO_q1QGn7YcjEWym7tLXtvs`4WVGI7V2esKv*>5nafxO>b=>8?dXgE-DLt z_{zgaGMCuk*g3P z-aMm6fP)nM!xR9la z2<>~UrloVRrM+F&4?y)S$Cpo^UxgmT2{vs}fnA^!#9!l>Y4 zA!8wmY_W~U=lri6*iJw?L9ltkoP?2mC68V3m_=T1GzvgK4Ugk+lwWTWpN3fA%9Ssu z(DMSX!<-_`hydIN@P=IjBZ`1_(tIwhsL)+=ZGc^Hrx9(S&YHs2t0JS|2*}HNfUSTp zJy;BeXUBQ4VQ`ml1P`k~{3dwdQA$eq<M4}`{wNgU1A zdsi)9LIU%>pf(F8SLKrF_V;*#OxBfT|Ni~^_9b$xHli_nl<`Vv$87uj8{_W`VWjlu zmxiY+OC}E5NsO`-jlL}y+wMvF;(IuNZ(iuTud%C9m8Zv0B;#pi=JNi1^whTQxB64s zs#2T%Q>*Y;q&z*vm_;y<1KOUGovo{FBrtZnMh-W3Al51PL5U&v2+V+ z1?cPGhf8oT7hI^15F3}T!KCL}{8{wx1!Bgrjx;Ypr@=+S_RejP>^&h+gEhK6jY*t!)FRGzItDx6d_686Y`Ke2aO90XB?Y&{c(O zPkpZ9yZko{PsrZ8p$Py&TRR32g>eeR@7Q3?z3P{mn!5Z<$mw~}!sExI?Hq-q-o0%v zd^a5y3Uh)g>{q_8Uv=;CqA5+ht7L!j=fJ3+@le^NszYw>HXBQ~%;($Nq_N!*aeJEZ1Z)22h8)ad5Ja1J&1dH1RMp_^AD{sg)_+;ja_#2=oS7uN}S z%INNXVIiTc%uKj@;ZRIIf~nH_XXGsW5~zeOly{W#5mpuW!ZzscFDLQ3o68xA+=PWl zY%Sb3^!4>~X(R}nhIa1Sr6J4x1LaO_o%|(FlbgV95HbN2iS)MO=)@kzCdW ze0=hVR!xdDEJc3bhQWE`T1x>Dl zA)!(%Q@}}$y3tyCVZFo8mI}3}@`Ox&@MT2jA()3eF4jc+>QOA}B%S;EH9RJNAt_*g zm(Bym90koY?RFY@!#Aqw_joZUeRZbs?yfnPQY|i=uxoI=I{Bq1jqdvZ`qY4ewm)RO zoJE)*m)>%JxMwtMO$Ql^9(u`!Ku|57s-8QLgSY(?0Rp7(Au#3uAi@k3273TxaJmpR zncI-7aZ)}{6?6Ej^M+B-F?#iqlQp^#)JF~7M`xZ0E!49frXJpAn@PS>#BX@m>tegT4P@oiJI6JVp&RhP2( zo4z2siBm$vx)$ILTX!wu8NzPg6(e`ouA$WA$i*H*fqij(bIZP)4xQVH++ znsepVw(jRok_k?R+2stGc(|C?UOV6P>PbjW+b%2Gi+q~_yI#)~NAO1EJ+mEU_(6Dx z$DuDq<%3?aftTZA!mD)cicQRO&EJCGjOREr2m0x~15-KZ66ehI&mEv?P8&Q(gH!(@ z^aXkee*VtJ#@(?UZ{K!Ta~z=@0Wt>0m&-U`VNsuFUC9Fd9BOveTDH8F&!Er@hF@vksG|7_ zkMd>na~bXnTtePAQf%Q%g}v$}V0w7*j&9v~YkdFqCcd2vbL}#f!3)YU`^Tj-)k&A> zc=$b}$cx$xug9*;?U6I@t>>ufDVH!>5B{DJ*C`;J9ILE|_T=)((Y?lfs;*3r`rPux zbe=iVeAtYT67yBlW*===us+N8aO}^XIpR5;{g?AR40;YcHyPR}Ip_Z+JRl&TrKN=c z{b2*->mY$~;01^k<sp}a zXi@{_1OdUjckckWfCc6hW@um6cu(0P8>Boy$hPxmt~?}|$N@jI!{7m7{S{K?)e|bP zU+M4<2sm)$)b4q3R^Hq<0KhN(E@e7lv(j;@)7<;PHlm-Vj)n$m(E}*U0D8gQ?^ya= zxw_iEj%xhtD0U%DLSkCha_7+u8vs1WJoGKbsFdYrXg_>ZCqC-x>Q+kJm$>!aDlCB+ zmb=P|iW7pZfLuY)!*&{5_B~TRA^ZNVJW4(a+0WD%t%pAu`)+xT|3-J#iy0!_=v@-C z)fStr{$$bp#wNlyMsnH4maeNrcxviR=XfdWK=$n&ezBGY0|0t=qCA{5|9U^;ixta2P^AGj2UOz#H;@w=E) z(K>_M`8jlKI1n)(mD2RLZ(FbTGO70=B!r%OVBHN4*m;nQeku!@R63Z0J>6Ud;}dAK zq@E>AGf+9`j!qeY<}QnDQ{J)8}>?|S}umd^2(^mSNR>UZ1Qbsho*OGBz;_B__^a@zvxJ*Tct z)!VI?SNAVIt7$7sXEgrY;$WmN$*f2rcFNni`t2q6K*KYnUiy#fSMJe`P0!SAE>zz< zGH#Gj)Fgl)Wod8!=ZnN2Fpt>IoYA9K)uB{zw$c#6R1C`=G2g&65=Nb9+0&n1BUt}B zE;_}Xn1-|JOOP_bI6%klv7*3If(zW(91nKq+><5&k_ZQIH1dN3?rrlfDS=?hcLCBNT&J2cPQ*w8_GPx&FRx zK|J@x6w%m4#D+w4bnxC{s2!0_^{5s{6##0b=`8pBE^o~XjfI<5C`;NvYK{{lYgPYs+Gd`kQz#MnC^7w z>*{7-{ZMr*09}GK(7j9k7T6{}6TXF_)CHEx2zpa(i2pwV90xsZZD%1u0gV~SS237Q zgpF1s>NBD3!A6EdZVnEw1fE*Dq5TWg@J^b|_kf8!0|RTqGv<3xkK29V8~ukh*1vG5 z&PO5`bc^xl_DuWK+`uhYtibRxJiHxpJQRh%bifirFah-Bh$a#bqGi74@7*MRzneum zIwZ$)pV~pcD?2UxO^)AKw}d8QF5gpZ0lEZ?=Rpd`tPpbd#=)XsS~;x2d@I$l!Gb&p zaQN@fpk>Al_dWXr|;Y@wt2-ypp=yv&3%h@*UmLw-j21 z%qJ5Rk91gV@gRuVQ)h%VX2r)gsaBi)4^2Rp3Md#?B43PI-LsY-u8lF>F!{otL!QHV z>zO*r2Yvk@no?F6ZL+djVLXO;7aIAwT0Jz?Y^7>z!kquUQNr&uf|OA*s$_dFmh0fb z1vEr)o9m$dz7(Q9zTn5_VR6+e2kla$VQ{0vrx@|`-~9MSo0^@3-<%||rrq`VzF9^$ zPSjcN)SBAdGrVC#EJZ+gifexk5mb=}=wB|<&Kp-;8wAh-3zXk5RJME1uI>_a+7=uV zE=&_4tS!KB0wY)X2*&xZUjh2%S^wJpdF#->y(@nAj=cRtsCNILWyx42KV3Bn`*Um9 zJ#6}Cetf(A_3LyjhvXydTSN(i#1VUD?54EJf#S6bWgDbY54|yMmXT@nBcU7_9esX% z_`|>e=W|8Uf8Q*AAE)SjhJ%9WT~#%-?$s+^#V@78iXjP7ytHeV`AaKn>$x%Bjx|hi z0Df#w($uXtFm3aL^aEUHUR*ceSRFd}Z*b(hg0}_hgol+Se-S^zw^-u&H5tl>8M5yu z@CrW`Av%tZYK=Z7wamjM#M{6sx!2B6#_Ld0QfA1S^;Mou1uzXy+fYbOcIea7(Ooh! zy7r0dBXIZC)z!fij79IYH~IhPN=hE|vnTO}uIdl?xI=@3D#>RB;M&8&@)$pj!$L70 z82w~@;|jv@)^dc8;OWzZkqdHK%=~vW*uf|%ENIW)h8yQE)EjC5KtVz={tjikh8adv zNO?h||9w_oM7l|lk!BVa-xvREFaBAP_=CY{B@#KLC0IZ)TAeL0h>^xrJ+!@Y1a4%< z*qApg&mi!}P|ju%*SPmu_icy-_U_)D_DaUW(vp&n&I8M6SWYkbfJO{+p(LTJabf@a zJ&7Mw!&Q@NJxAjAEEEB&rK!KaVHttDqaz79`TLHJCj|vsmW@E;K=MG?lryT#&$olo z4pvf@l$EV6FC&T`XY_lHRaF0AY>LgBQ8_tRkc6t*^Kl#*#oP+3X#^ywY5oP&a3j{; zZXc&jtND&<86Ln(KYwmV{8^7+a{W&Duey!C;xX^dd4%ky#>QL$i;HeZb0B4){K7df zu37?0_W1E*#|iM|zY~4I7#LfOF(PKU0J80g_V<940fkTWRuasS{^vz*P|Fkpcc`fN zi8l9&mf=zJ!Hwa6j`k`~ zi#CRva3RG62AwtJM{y*gh5AnkBChfAaVYD``%N|p$bmTNc8}O!mOxmpO|CEU@`MT7f76LeJT=gx#@lS7DFB4^9}S;>A~DRg?3D3wQqKsIQ9P zAVIw)2OzE4_Pc*aJ3`#<%49eSNl9QpsugU%h*WPJ$o28aymvVK>Ob z{2JA&lf}NmITLj*{7Ijelw9zH`UJ=%2ET;GOH3GEV=g}Q!Z~MOa$;f#AIl36_<*I% zE-VHA&xQN_8YvB%U>9a*e|{O_P*)1&8?W>EgHaY-E09|J z8m$l+S0`UYL+j+1uB_-DtY#W*JETd_KRFnPCZ;wlDe7BbWlvQBko@)#H`d zpAKyH|4>p}o6@`h3yT?EeR=u4J0R0lNPy>-l08qGZ5JYp~fHhr~_`~{-quz7VTBgi?O@nK|vhN;lmTXc%@iE4aT%ODeeh1C1ojI zi<{)tG2@{|Lg@9|-m@%o2}vJ2g`9v>UaU}N$QHudDfU;myQrG7Prhh$0736k8D2Ny zUC%37d3m5r80)1<_?WEmnObCQS5KZeKO&1|U*k+^4PIz4FIDG%_s~ zi?lDj3DUqa9t9@i z6?iLYF9edAzB^uN^B?oLbqllzprJPA16zPq^d0b|lEluQg-0?5DbP5roCX^W*dU15 zZ;Mf8IzV9r5Cm!O)R{ADU@{o92e;_>fgAwsUKJUeBHNL&FrYMLh<8O^Cdd9}O5e_b z0fholbW5N?U;5JBt(j<6>siIPR`1W`{&Vj@NiAj3MD~v_Uyz6mvK|&L55E)q&&vp4 zpT#x7OGAoK^Z8L=ii2(IE?|2Oa6?+!tEQ%D%&`BonQmh<3~Qdw?R5X|%gfjxU-s;o zRIaUBj!F^?&|1_o&vJ4S+v?1-7n#EV^ngG*^Z00*Kx&cNBlRBI+{-mFTu37 za~|NDYQeFZ0mK45MsA^4|7;Zhp~E^RMvtH040!&z&elW=#*KNsA5>S~Tgz{7#}Jka>*fA!;*l9q5&TlT zX6mJa3EPKvC*aMBKfHhcz=w=hL|SNFo8S09&d7+I!O5S@oQFX-wo*vnMQQ29!I1+H ziOyn*?D^mFOHRGi;3s=#Wyz9w21@&k3=2eQq!mmbLw8TevIz>hV1q|8agdIiTSZio zkBOD~C@MP4>ItXl9?&n$7M@h0b>(@5ON`5jT{274$oq#bRLfW4T=n(2!h;gR3MgmG z#xf0Yn1RzI2)!iCw|;2uvA{jLPyjzg%SL}N;6Ty}jw?8__x^{2M9qy5FxcL&OVZUR zv{rs^ChP0hLXb~@%5`3a(|Q8VTwUECLMcF8AG){yJsM_0&+}KG@(D-G>9Ejl*(@t~ zmH=p+`}pyWlcrHiv92!dRqU_CVX_TFXB-&*cI^GHK$GF}LAiT^$P(=wLADqc);LO7S_z;& zJ1YLm%aV^dl_##Z1J3{Q?xD0YEF-fv`3b8r;4UYKBLZy%N(QwgVR$~peSL=&9QRL^ z9_E=s?OIhsWSpdQgqC#d=&&qec)N@uS%8P<&4i>0##yf!Ib=3m-p1h(bONbhih$L{INLM6Y4*3h=;>nK7+sFj=8Y|spla?paC9A; zqqhhJHk!KLWaIH2f~zP)2}D9b)2-_Wr(6f^dV#PnFN1sc{iILP-{i29aD<4B5+0pk zCJ+=ug4g#JJ{9dd13Wb*7#hN^`<0M-#Lzm|hFsM##ql zL<|OA-evNo*Qav`E9^9=tk6)zy?^!U6<#R1jhciI*nk^qYs(}6VB1Hifo}>Jw(goG zR0HJXgh;cS7b|s@|6B({4PfhgQIUG`eN`JSn|1R283;4ZKbkYq={5OR%e*LoM-B!q zKc53l$I(%R<0dS)@C%K%L5Z0p;0CM`{TY}6Vl|4nohsJSbTVL)LUZZ6+{5p*@93YV z>nKa5rzgau<`))jjQHDHy$B+BnJ(6>bf8{^h%W(lzgp1F5|_Ea+z{VszW-GE1Mp50 zjbK+ACHd@PBK%?HA{Zf=5P)CplP570CXly3A=uqX_`F0#4~lSRvQy-;1tGQu%J3QM zi_^lQTy8P+z@3Rd4cu0Tcl1VUHM^hSwu-7MEW+D{66)=Je+p73JqQcleB#@Flel`E7YqTuDX^kuiPI%Z?b z6i7B1@BDH!4^a@gIXP{tPeuIR+EQ?cpBvRvkIwsKQ|VzQ0?3PtE7)iy#lq3qc`Dih zti^x-d&Nsp2nU9K8qYnZqL)q3zt0}szqn?;jkouC(R<~yPwIzeS~P|YJmG_W-9_5-$}%cO z!ZtCr^7v=c{HjbRPTv@4drBdEoOCrAJa`4W)Yapy?1SKdUxT#9e&N%WaHMyKu4s31 z1-MSrl!peoNQm0j%2Wxq)_an~(2Bi78Lc?%Y-4v+sA~0S6cAZ(C#-RbK`}>(bXz_I z)`*3%9Km`t7fz6vZt(Mc+bQ{2Nqtvp@0~_}Pe11A!Z&K*?xsF?AT=e+;y*Hxj#!4Z zPF9E66=a(hJH`D3nVB=t{W?eAn}l~Fc*=0faR^(ru#N`2*X5$QjYl|l_&x3xM?KQp zME%he-sr|7jo!FL!PZWPw62e1Af4+9oR$e&=FvQgq-@%sI{AdwD!ewW7X7tV_(|G$ zd0rOuoe5`MZGX)5p@Tej2P4zqU=k8%7njV|1Ei#nW6t)7y!$6MGo{7s3Sdgz`dvheLVOx2vWco=@w(rrg*G&??cQy#|CiW{d+#F z^LkbFyA?Z)F$eXiaM7K8W;eDBIupi|2wVZ^jfcweGBTvpGOF)I`}4A0X-8M@SxR!t zit@y{9Fpp<1vK?eHy-@s(a*~0^|fD&ww3*gXo?$W%qF~&5EZ)Aj18=jonkgj(~g$?(>;9wL{4U_|*IWcg|9f#Dt$}-OdAYTt zgFPW}Y;bJsk??)z=Laj-5_KHYTr^!Y1fd|zHq$asw)%-P5${YwS zijJ3mb^PLWj9hdg)MJjCiNKc!%N;zXvq@!?ailS*EDY^yg&K+%pDYp{N!th?*hkN$DiLFbqE*;oIvDm);@H&@Zlqkdjt8tYTEq z*V%c&y>}^Ym$8S-#N3>n-AC-k$m>S&o>kcUK-1P1 zdV~Lxwyv)AIkJ5o9{Q())1@mte#|W_1orxy2yz@~Mi{=k+1Ud{nOZtjltjlfOhY_> zey!Hv#0o8?nmf_)Cj+Xh#bh@&$Ny}jFDvvRv zN=O)Rnv8r=Sp<^N2Mn>CAK*&!t~P=;N}|HD4Q|zx7gF+cPBeMEV}4 zCjU)p*7&dg?4|jINGaE2^WA1veG1yo2<;)P6n6~DODEs6-_tRl|H67)sBl^fCaXI^;>tY>qB2Tj4v+4eIoYkfnSYZ=>Rh48exg+F<0bitWNZ;a zGhy^~=gytE_Hz>!z$~1Uh(5nR!|O?u|n{<+0I|U`f?R^GCTRv`JD}Hciyrj9sEU;Li^LqK!Kn8Wl2foOL4h_3=Ar^1UEhu zW}v;i5j}py@5PuR^Z^?e{+Q0EJkxn>$@xz;i}2{1=LStu6p!_W=;rQTu9$4ezjDo0 zFR!J~`R(rNev%ibYibTwR#k~|bB8rr3f{B<5mqrvcS%wF(?4B(Iq&P>iuzObL2fEo zvCk?hvLDQh(MoH_@F-?Ha{0wsweK+l=>wIaGS-QDfGP@u7{qjdz2f%|26*u&WV;jg={Cj zMeGFn2PGbBpEt-bcEkxwz05a`SacYnYkyb za&%~am>OWk$9y5exLzzeoz*uI3RS$x*nEqDXi`z7T$D;jA}n3MOixE$RVC{n;-;m^ z);}wD@E61dKn8jqoBaZ5@`a2;`qb(dR!uFdF12y`jcr!1!87}5093Wp8SKF`zVxfDl zZuK=-i4=zqPb0xWD1w}7DcY<5qeI=bEdy;?aq%Kfb1zHAHJGNpR;zm3y9Epu!(9oF zdv6bFSZi9?*=-DuZ&Q=AW&XT*>#3t}Ko`&M*A_ z$6kmgDezQ=7@3$T`upW=)33MV-mkgbY3P#q_{RIsYteI8BsPD2tCdK7 z?_KvE__$e;xlSY3)8y3DI@t&U(Y9vEDvVH+R4-~zucFD_AhQ68VEFqE#rxViI+6hb z0ojuEM-)w0($j@IFaegX=;YiJMakx= z{-8^~)k0!HM!+NBlbm5>s$77;eWRTmk{uU@md`Z6XS$irQAt;ZTbolN@@Ei<2|$ zaM=Ce=`|(N=cFDHJD9a+O#&`t>>6k1e^}CaZ@0gUV0siffWwDnFWRd175aSJsb_9t zIdjMZ{D(A*P(~T4sAO7bJ&rwLgzp&}*PTC=)zvFI-Y@_B`8i|10857fAc3ud-*T*G zySeU^D_rA#{jmME$&}k><58Y1D8877pWfZc!;)*jv^{=%h3vfAIPkEjo*4p3hlYk` zNO}0-eypDq>3MXu1tKm=@XcT)fr$5Uf3`!`TGmyu!MbY7`{! z;_y@cn5CenD*vFR-ROm$7;L*}Q?6aUw`TDk1C}6C?SK-oZRY#x#f`-5k{*s937$0= z6~HJQTqoKS#Rl)mm;a!BL?P=_!AR^-W(Tzvhg3Zv3MdM%UBBMMIOrNzP-ygm;`P`E zPkljklJ3Lzj8|Vt-Eu!MlB6qbH0{k>bxK?ut_C!kbNPE|>J=unAD7SUq&nhvG)|Q) zCVdzV3s!;2z#5*9P`@G;q;6NZ4+GAIj$L|f-LaAq64*Ly3N}Et? zm}O4f^;oV*E8$+4e`)FIxKE!yJB9QH%*j;nb6TDFrE%ZeQs!)^V`l3Nv4;2zakD!v zpIA!=GL5##{d;dfuUtP}8F!X~&X4;<=qCZjrx#4%zBx@SIt>(Sb(b*}BctkX z_BcgOo)O*|%8`Uhm_6~|IMyoTopK=_iX@x51FcGC%t05j-J5c`$#-~p;pn~AiU4a zfn$uOdH;i5P3qIMJ#*14f_Lf8Z?9X1fbA2m$}XVv@gU7nI10ac{wD0mY0Rr(@SMtd z;ZQVnOfk&AI5}Moxjdl`ScC{*YOJPLKmFvc2-qauo(eyMI_by9d*m-yyOmo`PUw}W zhX;VDlpX-M#(FYE=_bv~5R-uP*EdCoS29ny?91eZ|5B7czf2mz+#%*mF_!M3J2_U> z`@Y<)ly+C>V_J&XlNn@(PNDA);v1o#-JK%+ny{p>l_Rxr{m7QvU)*D7TxAJ-EWz9BgAJ!};T}kW4_2V4?_!jdSwcS|pd#rl0xsbt)dLP_PA* z@M}kU--jrAfduDP>t4dlqpSXtqCpCXwe2Nu^{0-93B^yDqMi6oUmG~+a?0}fb=EV? z2OW+l26)IWozleP7nGN)tEoA<*G&BrO#<|;564k zn4-)9O>VghqQ&VG7Y-bsB))*5d$M{?PiqF32#&Vz-i2OkzmZ|A{|c>k+tHRng!F2l;l{ZGnB!@#oH4Pae7OmcL!# zIi0v_?p=ci#rzZyX;0w%f$<)YCq+EndiHvoivOcsds5Pii;D@%S^-{SRcDVfIn^>$ zs^UOQZuTOlI#5hb{+ndo-iXM^0#Aplw-_Bu=AcKF32C~s^8pV<0H|MB zjEOB=Tz+FnN`qqBRs1Ehv-tI4%{jg*;$r$O5IC1~o-dMU;a@!Ox9Z)_kEAaKn=d^b zPQ7A`3WicCJ;jmnPhVTq+;-|-0;7*@qI*}#d4bBq@lwW_xXrvd!k7f2ur!Fk$1+Ky4g*1mSi^iuKE?o2z(|6nUDQVBsMDxksb2`f5M47>V z=OuRUPGKDChmP#tjoVzjPC@R#qY|z_U<$2;s3!@F-*~z(F1HoMI>@~+kFD*kr+!K~ zYie{ghO|y(!oD09a%#V)F1?$N<2BRR8C4bCBtD2-sb z&ggl4?jR`)mlbS+ObmHZ-|mK%oJuXO|JE9P;LF=r$2a9N%Ob(Nf*5QVzw2&ZVBDLq zb^a2m-{ik_Y>#~arA6>i@PXZ)&1BknuO{+Rr1?0K<|J!{Z+c%MPe6)Jm=>+3)m{Nr z`2KNA`E*5?o*mQP6MWW)HMJEQJu1$q7LoTN$Iky*PPy$RP$L*unX zhU9&#ke7yR32WTdsKdBC7Tw`?KDnNU3q&Y2pWlQt~JEi58D zK|Ajiv!Rj-qvn4?ds64`k%P%EySGtLjU`+L)m7AC{_1@wDxjQB`?!~!Jv%EaHdu3$ zV{PNm<8LHmtyQkyCn%zy0n}g@j}iQ0%fT}7`10m0Ba-SHc8Ennib?Xz#pfqqu=h{P zRjSLF>|Qw%7^t=8KDBsyN5m?zrg3+0RQ zHr;ozZ_kc@lnmr`)GZP>Iy2TppE*vf?dJ{WQ&&~WFcaksi-OQ8!zVdMk0<5xi)95ogmPD|JVGTrcwrY~(&KB?lE(husXuoENn3E17} z)r|<8bIHb$St!%z5A(S|f1n)cuVc~5%mww;VKiP}n=cBhDjs7@doBf#`|c6pdP1ib zUD{LSLW&C_*5`sj9e|7)epUP@d<>bGH0>of-(8+%E(vbnHO4BZppHy9{B{TZyk#a~ zhs-!un4)PxQLKOd{1K;5ME0HhGVEea& z_0`D6erV0h@l5xD*c>T*{1yj4|KZcvyB(KZB@kA7ZB)6pLPP>rhxEUK3T5Bk?E`~i zOd(>;bT()ldHIJl2OH)|zFWyV>%RflO|h<4)#8@^c)4z?p+HA%Iqo``H65R)BQiq| zboI6538csnbra`hwh-;-HVKR-Mjeu z;Hy|vq{DL=>s=}u8jr7u9^=wHiX3-O3k~(SCftorKASB`=4iko@ocF7qOQ|J>r8cL zt6v#&hK_#1vgtG8?e47N;!KOzxZRD(saIP)9D#gcc9oTxvuN6@tA>8>lIdVfoDUIiI3DxJx<;*&RZv^}uu zkXavjaAj`)6Y%^M>zrL(XJ6J|f>`QS;dAPhRH^g#Iv-+-fT7}@Dq&{ZUNRme`Ws02_P2-;P2qX{H`t7(-K>vh6A$3 zY7p^@Rj{>}XvZ?Lv)s{36dRINxCg@K;K`6+4ex^7Z;~%33U%Wh5|SNX(v9lA)uOA2 zFeb9jbCvM+DjiM?2tDR3Ef6E-$8wRG8I~0vm7GpeiP&Z$~5@A-v)LzMg{&CE2+?)Xh#ei?Ovqg;YkC;DD| z2q#Dxygt%0%^7d{b(5z1(={pWeQL&-IG8Btw@V~CkoB9TQg((Fc51~)da%6G9U(9s zJmcu7=_nRi$M$H@yq5DP{A=6?I>auUM*{UG8M!;gSl%+~acZAYa9PrHczPsYLDl(B z`|3-Oz-DIaece+6#WibZ?VqMOz? zHkp_on7<8BSYOuN91dOG^GaP(GV<2q{Cp%~ukp(He|Evy8r9E^2n)FXYA(L@_?x*4 zmL*sJ{QL_l!3rE42yM2{1Zwh0bxek;Eh6OcD>_F3${ufju|3BaXIqs$3vr|H-YOsr~ zOdnHaJwwW$m#32&Mu|Z5z7EoD2)zw^DS-RmyF@|Dn?B(1#J~V+YLr~WV+HUNZl+yH zDdmU|(x*H4eyX$65sZY=69N3DJcqP@nBBbfB66@Y#*9n2pKVIinpgd#t*voA$DigZ znf*h=UdcPR!wnc^G~Wp2m^Ua>)s+0D(6d~jQ=&LkPsmQO?QU?Mq3Zc{2~I<$c2}-k zxt<@dLcSwM3B#`NenXR9#{~Uv941lmn3p|us@xn+XX2C($;vLGrMBLk9TmCCyI3(> zPuQn(MS=aj-P1uCucn>9$rX(Moqh`xmTx~pFQcO@{PzR^EBFw0)@K`ulUHX%7~Xk% zb=|H_m$7MWhgc8T-LkRw5L@Gpw)B}I6Z64Xj-HfE3f^}B&DF9rMUSLz^0CO<*1NG= zzx<{{MOS$zZHQ>RbHTPROYhI66w$s`)$O@QF6b?44iIE}dA#(JTpJmRBe|7(uR02B zFP5dqctmd3c_LB3FjEhg5QEN&1WjK*=n?%}Km*4zvdt)EM@L4|<_uHQ1?=c7yS zMEcgfvd%n?y?$(p*|FZrSV6^{HGr7|wia&{w7mSd7^tnS?hbZ| z%w7*m7B0)X{GQ%~0-TP&B&H#j=k5W|j$%y*G>(F2Esn^s8Y{Gn^;{bL>8TZ=MUmnT>JA)CY~zoyotw-UDvV@5u5*z1sa6Q!yX1Q|Rlt&i zrVJM%j7D_nj?~|{$jJSJEn(%UGzb4LX~4dl;XF#4&l#>59IspLFx@HfdHcTjkg+(} zJx0t9_g~z``Yx0w!;T30c>}R9vdj^blu3S4w|Z&=Ux*b-kE7kG%#_ctYf*uu*_G*H2}4W2lcZcbYEDC|K(x%ZO|*X&_|LuDfKj(9?Hz zI#*ErqE5Qih$>ZkJ1L1($kZ|@rAFy``_|Dr;t(I zsDyLVSx5y~^cV&r6u<8(Vn6P(v6&kp?pp^LO2;^xUp4yz-yEBYf{kJ3ATg7iFTD5y z!)S~<@#)jJEG=)=hL*?|cF|eZnHNRJvYb=6SN>EN1SAh*;wiDyVd!TIJsoJ*v?TuA zxaIP9Cn;MM1-a?y%M={bH9bO{qCv1wI{0U)u3Pp~Y^H9KvWkkRjB}1G=O2T;pTBB~ zT;A(7xr&U1!Vm+Cr_eYCxAw7-l&=Mx~2>yR^@W zF%G>2=jAskX;60ttHhNPa{Tn8Zf>KxqXx;#{s$TNdZ0EPSBrR| z(+~eorE|$+>>J<``cVYxWH1mGHn?92QKzJ(h3AfHWI-^f7?dC-tvF@2?*Z2lr6E#r zOVF@lX9qE9;-`=RRvVqVrsDA)hOw8?Dm>U{omr&gkZSX7_sK)rdhfYRSJ&1glh5om zkDF=2Crbjou68JhvY!9AjiOB9*xB(PuDmQnvvkEdlVz?hD^Rb@x{gQ($2wHg$I6Nknht*9OmAZ(8vCrIGs8NGSX3b#etS^pyeDE<(T)@}p zRj=ulMy|>TgWZ2kCCNt_m-oVk9o{j*x(QlC<8t4)$q`N*(<9buuDziC#kC5{MYpd{ zH7z*SNak%OCXvQZyBLGOG%=LxAP5L~=o{)VHwx~*cosbEQX^tS{@eS}k0hhTgCa*G z!^FnveqhV$Y0WzpUa0x;yeQ`k*^S2*0WY#AV=#OCEND!w_i0_@*+-QYqkjDv2aD=Q zxRW8xIE2s5@)ZqV7j&?6K`Tt;Fex>VNL^-oar@fV18}V7kWraAX*byzGO2q!eiLqK z%D>Cl{>C^I?d|0yxH4!*?RLl3XhFS_aIjyujJ&Msi?-3V)aWz*%r416*w4%8?K(%L zW!hzE3&34KB63(mPD1?LzE7^zyZ^FK8fHjmZyUyt!c?m*@;g`b7; zq~e>;C6>Ka`>Rv1!~H-#V$I7h^)OZI0obTCf`W?9|3#@6I-g%0OuUom(8i;8nBe}T zr>ASv(Hj!4(CMMHZ`#U_!SpL5|3H)Gv!2T``6qH-FyHG-W96WB#{#Dcf?5ON5_UUi z#qbS%jYdfCb9L>gBe%rn>9Q{3%MSj!4Wa;2I?c(@K_y%7r>W zhT`@92fGrwr4FX@E;_QL1`+ljIB@HOgTn6<%lt}ua~={3DkCzZrT6;i>F7EFjCT=A ze$0PRZP+bl8!wc%G0qrw*@FJ`!d~W1H@0#0!2;sEuX&mCX5l zZ-m?#18*SXiLcTN?r%J6CiucKPvh9cr)%EDqB5SZSXi!2b5-eLijle5sN!p-00n5-s3q zaXm_|La5G6TH)g3y>RJq^iJ!HJdiqFlvZOvQO<%q$4^k}abIDdTm780cO#qIvShxVS< znS6W!D+B9UKBHZ@1@lv-SevxCDD#QC9pJBmFfxn zp{C(KM#NSM8mrpyKmXNj8vIwQf$W0UaQ-nS@@_e?Zgq?`^l1fW=Z`gWw&@%?mas^( zi(}?Uqco+`EP-wEq{MJhk%aB_TW6au_t70Z%sBh2zY=N_5Exd1zv(hGg`DbB(%;}+ zWPkn#kFx1a;whbTz_h?KDv;R-f_>H;CBV?J<7_wRiEx=E-f2zyllO)x19NezSjF08GTjJQ|#?Ww~uL2n;Y`p z`CHVr_%8U50(0MZIBR;!$BXlkCP7BqACy{%-CvsVqX=a!`3iz!(H1aEK!s>~0+XW5 z>W)ii1VL^=a>IK5yqKQriPqADknj_O?15OB^`i%65|+e-40T|hA%3;NzsrEKWH`}} zPVzy^P$^%qk=E=f2?^$&%Q`j3tpaltdGA%8zFBjpXN{nUKO;RZlXrv3QM`fPhbx~Jxjv5x4HM}u*K~8$8kGIdNO6 zHUE3GU}%w7$|QN}E3@SuyToCn>A(~qdY-_qsI;&I``aFF{ayzziVhSi?iV>-mNlSoEyb;(*iU`x*~yjbMU9FD z&73!SY!Zft zeJwxzOpnl&gjo=E%yQvVYB7EY&QA?%Y1^z{!YBHSi4Uir^pU(GmyK9ZE^*D?i)E6J z-<^L11RI!*cqG=7fTaoA^eYfpx*_|?Gr&G`$t11{f{#DyW;$Ns@+${=Ztk@6ICExx zcJ=}qx#PdM6U^_96v&iCU&s;xCtu<|-R_`scSYxF&LBYfgA@bi>aG&_m9Rfv@Rnkf z%FU=y+fQ++B=VYMI?r)JgnTKXht}JOaYrUuA#2=2k}#jPJZa!>{KKA_+Bba(e= z(`F7pwGexip{XHBd0!{|qiWN$vSg?7Qd22v_>fn~9{>Po#kvWNL-I}oemBR4r2jpG z=tsw5SJlrvO~(|681SRsH+x5}1rdIq*@DypdK8$HKp-h~bZ9{({rT^y8(E6bwr0Q^ zNnYE_&1&n+)0o6WFVB-IIu`a{l--9VTxdVgJzz9DdNfQ~2vTpfV>6qRJ9PH59FkGE zH+VI_{@WCh^u|t}q%V-9fl+gQExaRgm0VeVMGL)c@;Qa9vBj}ThTQ8y7=il9FL(w8 zZQ?}h(j8jq6-QE~Xcd$o_&mxhDtz8FYuesKED06W<-ovT=w-cH^#od%mX}%fl5DFO zmGsljLj;Ok%7cFOjef8ularH+dv$>15-?aJxxkKSb` zn$!cz%IW*G+UC#W(?Ur6)#V2t;qd0CmOFG&`H~=JGe2<|g`g&pBNIeB2n9q-Yxz>J zI)R)9o?x-A7Thf?r0=C0YJZ67Rv=?0DP3XoaSeQhXk-nA(#Pj9+A!NeC^9*7(Ceko4*K83zy@Nw-uwH-S?!;csz#Oc$Fyt5)_ z58mSF;9$oa5ZjoxE$?5410t z(jn$tJUn7qq&zmF*QB-h)aYo0an!$LG3VHrB?{>)@7$A8XCi7H1y5zYoYVP#KU}f; zz^()MHg(+ah=-PUD2VRjh`W9r!+2vu!@O*JXPs$;L0Gv7k5b_K%(gfCN@oqO z<3~)c+@ z1K4>W?eK>q4tvmblF>!4!>0$Azl)tqoG#Yd-L=qTLm`~^1A@c_KehjGVKOkPv;{g# zXqfru78ebzuvO5N*<{c`Hf!`y;J46g`(KMi{_Hehr-L>DX>?E(YB0i|t-SR`prZ5M zEwpSMmd01Y{`(eG8Va;C;cuz3bS0*L)V}SgIC7n)VHTpb69lptQ@na z4YFbFIVX1FerYMh(=VZ%PxyI}6;k*5_>NXsR37`tAC@YI> zXMZ86e;#`ag;N!iJi+5#e)r`R?)kbl`_53XC|&qqywMKE2KegE>!+zBg{UDch4P02 z>N0v%1vJa0+t>1&!uFY2TNP}dftLwnwQJx)WiCU|LwbC$O(+dGRd81`=q<#4__QHg z#|JyK=$9*)YbPjXXFmU>R$QrpU};{9kEN|`3w7(PtetE~mHwjmSsMihI#l&#CQO8| zT@GniI9c0`w)d1~fxZ}aaqaNDlw=?hiLf*>c!7Taw(GDy9q7C{OGsT9dNuTF>>OfEppt->@iM->y$VXtM~N1_ z2`KDY>FGN5Ext2h=AnR2ii(TPDbrxs9*)-}NWM9T)xGNL6mflQmwg+xs3Y@e&jp)7 z>Ui>`d-MJKVPWp1i!6~W_AiVqP#j=^n@p*ey9}!STq_Fa#fwOxjqBHNeSLX5VdTT9 zSM|%U53LKS9>{CI^wr+tB}8nvWd4R-h`*GJECaRu^wFPuBp-s9s+ zTd1{{^h>7bU=Rv7#|lJ>C$Q(yx2Ds6SKCkfkD@lIWvQ8E>)oyb!Swm;qnYiwUj8{9 zdv@EL^{&VqcYbBOb@9H_>eAh%%Y(zI*Ll4wC#w!HD1>%8e}#kuHaHeJaMgF!J#&fN zG;#}Fq5f?MQwUIUW1Ny1&)^u_{1{1k5vi%~=jN2LVu7w4yW^bSt@laxy9;{MBp!cI z19W3}+gW>#{8yzhQ(a-jr_sVrlDT-j-qS2ruLRc)yTG#iZ~yi}X#!@Uj!x+x;)iMI zZE(-kRaale-3q2psF>a-9B~*~ZEp*lg6G5++)rZk^mz9=UA&Mwb2(1hjytS2~ zka~cR1VepNh3wz^`ijX7Jg)!#S{K4FhNhx(bU^3bCxPd-*S<`u$US=Xc25z$!Z&=* ziS?+@ZZj~PFQ`*cq-iq=5gFdiJ^$iV!rZBs&|GcXzJ2>XI#C}Fj}|ZsP5zb(6-MFt zUe4J7;IaE*K>>M=$$_ik>G@<-TQ6uLAB7@|97a89k=Cs?(UPm&d)`dtit;J`7N%^O zji?D1F2 z#S0&C0OI0RpdjU zX9xL-^dWzJo12!_)d^lF9MrYQeqQ;#>h8p#t1WS{@yintQ+HEKOKum4CI=&M(-zJr z7Uo=|p8-buEG@JC<_Fsc=N}7f9pU3({2LOa;irSRuBfO&@!^q?p~r}VAD1h*Hpn%6 zLgB~2efL?|bUru^7ZDe~UHcLmtW4iZ#mgz2mHOt`QUMEmIJIlBh{ydp3xna~nsJ3O zFpv4>DmDRQ8eI3yXC4O7M3d4gBGKaF<~soSIMl@m#%8?s#O`@|{r_-u8JRa%GVez^ zCKwNbdlmX!i#s7^=jgV;b~uUPHe1MATyyyE>u3Q+boQ(cowS4m6)o+xKt`(_pIgBD z&DeE{r3cPn8vNSNQu=Wl8uV6;^Z4=12}B(+`}6tT@oE^d!5zTm%o#`^J@(f2QF6^X zK(rjky(43%-C@cJh|K`OqL^j3QGMk217HzxBCEqV2dEA%pEcDOVEi&LF!A%lJY`n& zW*wjzwO`&UoRTjtr~Kxv1@Y1UzL#`jJ%JRdMY<|MvQ%ME2zdu9tn2^}Y@4C4*?jI3 zJo1@GD0pKA{2~;eSiq%mINx+VPo5NdLCM&g;PQfLY;<%LAQ@Z;FJTLU;dHx-2iJF~ z2!@u2-I)J>kE|>*D}Bd~9R=OE2iQ(5WTfy!l_9seud9nv3IPS`Bk4zR^0lXpYCGEO zB!?C1eGvw&W3BwPeQRwOW+~);q4k7g6S3aBd(#fy@p8>rxxnKCmjh&OnV-(S{>Q2# zV<9jWTNd%Csp*oL8Jj{_=^gQEo-;#1M*U&}yzI{MUnF&t4R7VMu7=PX6T{K8CGbnPeBD8z2gOG zzm&k2mzUQ}d)?!O@8GY9J~-BZDCqM(m&)Jf853F`Gtytp>x5&BN_R;I`nsC?a1lNX z%wJCo7!f1fX^tkV#i26{UI!xrR{uRdI|GAEiSD=E@ut}i!+GHC$Iylfu#Q&*15ns) zhsN+Cu^X4u&^fmAOaEYP5Kg5Yys7&8dUdMgh{7q;$$Q&!6i)Cr1y+wKe&F(4+dyB4 zjgBIFTs2(kbslsL#J<9qDb5-5-Q{lww4!(H(F`QBLgk2SNy|I`H*`$MZC{LQ41PCl z`$g6$g0i(9&lNik+!(MRP~<3!x}V&IN&Mflw^{nS{zX?+pUAri98pwy)$fv#mL;EN zXe=N-)U0D}W*ZhK_MWAgmd#(HP&j6xRK|_VR)h8=#d25(1A`p-8Fuq0k}L*X+~1F} z-zeSv`{3Qrb9q}WIVI+1qwDLmcONvBdt3UC{b@V$?n(tO2NP=*c2Ad3BeB$u@vu<>N%jXF zUr(2;g5iSr`fUk@;NaogR7_C_qPE|s$q*e0=aS4>mB1Q1D=P_(Se?QvyBJSy;P$3! z?e4%N)!p($zCQ&m8w~J}*=J&9Wg))11q}v-V<5<(FvF?b(%RZ1wSeqN;0xk(AH>@+ zr|A=537w^aX|12%=`>Vq*-wmTRFyB8OQXZcx@49ac&?bueg7z%) zJeA;kP&%WTyTLGeWGBqI3H#+)SAb^V2AFU3)=;Ea^su(OeLH)PgX1Uo^E_S3KS8^w zY*i#pBX@NeDkl;bViy8^Kw0(H=p;q5!tD4>xn%`uueUt4JxB=dFX&+v9_+HUw|~J4 z^9iy-l4%ldE7)zpg3hW!VW%)H>$c&&gyblKnvrh1A1c4G%buovQUh>nPmkdi{IG;8f!_JP5VqyLje|NRpKA}IyyBeOV@KqB|u^sGEH9T_UpQdvW zFj6RBl>BN4fWzC=8TUeZ4FwNOfgB_VnS8&Xr?aSVIJweBpp^Yj*;)bGB0f65bLUWj zSXZC-Hw5J%%`=7c%9dL_x`_Y)BRVZ>s^j83yNR%v==eJE{;+Bxt$BiEsR!r@_%adq z^ajAi+bX;LxZv?0&g*EaueW&EMDgJ4WPNNVXF?dm=R=Huk%r>PDQVcYW31xR8#%-% zz0;<#zpE>}T{$f#dwd@t&!B#l5}I2t+pk?ifD+ulFjId9!utcO_ue_hnm6OxuV<&Ys1HHq z{K=kh8Ut7IZeN%+PhSGa#iZbJ4Egi{`@5DLIx1*4vdoGQ8Krb5{aV&Weto3N;s4dd zb>nUW9rkYP?r74-v|-}$!}iD5jp>bEtzMlNU9uxoZqwDH2h&gaDgI-~IUH+TBKmbe z&D`|M^4+rge_jwZOd38vj}xNSbRN`)Ty)f4nyTVp;HufP7NR^R9oG_q1-emQrHC?C zD#q|+puEZ3I9&m;qlk|j$^o}k8=DL_cC#o)dPYW=kgVa&?MJ8tzz>WZY!(vxYt#x< zVz((+cWcsFv@$bn4oiO;m-g$Em*SUZVP$5v1MTAWZQ)f~7R2rTD?NtGI%kg;f|C`t zD3XAp6dcNW@xexO$|BwL?2$8>iwvKj)O;G`I({G750v))H-*pA&LHb%^vj8Giq4CP`m6f3VyKfm%}F<|pg7$^=cjlndoMG-JpUMr&CW$MS$7V>t!uRLg~R?S z705Y3>K!ST2v-?pBw~hw=%sj|PgmPb_u|Dpb|2x~wEj2}P12`2e-56iGG2qho#JyC zKzs)CJ4sDP?HMB=)-+F02gkmeGwF(vU(O&u^<|65)$8Emp~T3ml;Gi#Hfb3eFi~?_ z|CSWXP^w)=M5L}*ih-XGRX6(u*cWpA3<-Vc58mmvs^n|v`a9IpWc2kY&O5OVL&(m3 zvjrnu;VP(VhVhh!U9PrrW_^+|V-PLY+_CXZUnmGUC|wB!I+p%X`}GzD_N) zRTBb2!%!Asi%#9T>C%HA(Qub@U|A-sH3E==9N~e~{x*0?+2rxX^@=ZQJ?F1vZ zhEhenC_{u;KcOnpaPiABMR6r#-M6r~7+drHl+zj>P?P7xb=joHlyWs%V{OB9(TX-` zdVV9)_vhkBv=+fO=v=-Sc{}2mk#TN$a5_@ZO{IDt4EoaK6LLch$sIq@#Nq5`vi{j; zG+#zJr3ws2o~DxWr{-x57rfV1q43afH1nB?EST!)EP8@b>dDxIK)k`TeZbbY@Di@T zzi+95D-0$W(=$?T{O^b@RK(C53wzrHmwcyQy?uDI+SepfY5ueKNXc`OB_v=PCPB6I zbG4WR!kOECz1@5E=FTzT(tZS%YSUtg}fhG@z4>Kjq=s%-95 z@_9%wp3M9N;(yr*YAjvK7c38vYq~f%i0m$sluwBHwrJ=ST+7xr%-!A3SEz5CaIkG1 z9kAZEv(Kh~t&SYQ1X9$V|NLw4`a>xH+JQuN7sG!t!P3csXe3jz)$Z<{7a5!EXumN0 z?%hAo3LRvB2x=iBrF*nA`u4AQNzq{;f{Yd*pRbqp>~Zws_(lW(UYmh97uPvaR8k)9F_$9Su<76&nF`1ttP zCIzm)(O`10x{M>^VwD-7S&J{l;d+bTq9T~qC3k5?KUH2p^Vs|vL})VC^lV}C;^N|d z#kRx$yGh5&Ld>*O4H_*gj*|*%e10Js!~OgAu`hln5s@S8Cs`jnz0YpRv9a%yG7|3< zIh;bze>y0xr9M3SXu2E7vl(4a?AgSoe`fe^9)-+|`n@60oyuQ>Xs^5I%m^u!;o+3q zBqJ(V*CSPUq%sI^-*NV|I&@M5c7c<-QD@B~)q>deU?6sIBh^eWv2XaDxRAoZ)Vt1d zw?B9PHnLDZ03WTO<~*6RmnI1rLM1ij)8bVx;vZT2XY#}2WTxq$Rpy6?=xC+Su^n07 za?erM$*k^?4;wsK76sf>IGIwoBMonDX6Lp+(lkx>L(;8D|V-O*+Lzg++{Sz5Cyc!EU%b*$|(; z{`ab*!>w`lpkbLp+cbFrUS5L}$xk?Vq?{%Hg65<1jeaTtRt6n>Qi0t%OnvL7&2*I= zD*g9iS3HZY*PcG&wT&)elF--e@z798%x=Vbq_&za-}iV*?APSzssrFJ$INzE@55Bn zyI`Z$D&py|AEb#pE^@4E?B#B)Wk^~b12FrG9&hO7{tzkdw@r`rG{yY6eSt!=$HvOd zta5t9X%7<|QulE`I)19?-b&2d`9{Dz- zsZP`Trku{cCyZ7fnnOrc&quVV9UJL}N#_K_DNmK2C|EO(Ox>qd3!;qApgTAre$*S< zNBH+pp((6<7wBFiN!S&~7TLnLo99HKp1&vFM^eS7pTnLw`|ya^&|w z=;@mOU@xnrk}zRJ>jNLlgu9ekd`~_!@fRHjy9IEM^FkGY&M{=j6p5=}+=N_X&FSE3ly@$XjQuCLaY3dw_I(%qr z<4#i25rF#isR{w7GKaB!S%==S!FwwEa-Q$|{X=)kVe<0*61r34=q9vGvC?^i`qNP7 zla$v9oTXVB>}|7@b>hf2(gcUCEVQxQ$MZ1875y30V>v~b(4mc7Juh!kUZ2nioU5h?o;Wsa_lj# zo$;DlEt0~I57|p9QSGxFPuP@w$KBzNOeP*#aVc2EK17k=HkxtqT*mo?2X~-Dj+w52 zzn7%sBJ(iWbVz&4eL+6H*c(rX4gp+@lp)~1J8YmycE7WAx{8m+`a!+f`2tMN#Q^Yr0< zSmkF7j|h?AOAO>aR&Q7D6)~ke6wA@R z_1n{m*I@1}JSuJ(Fg4=FT^p?rjoC*(+v)LMMxFC9a5na<>`Ckl*^Z8HZci?Tmy)Om zI(ZwKzQa8|(MBg8E;(2Bp68L$C?@*FPrl^ZICy?8CeFNofH}YAZ@O9KPJN~ur(|E?`5Gbqm*n%>aAP%tjcO@6=h}V`vc5= zT7>Ck9VfGE@}6>wA!j^o6LNBzE9kVGW=bXtM-m#vlV!slL}$V#LKg*3lMsfvtFR9Zjgy2CNa80fx*2v-Mw8*D{Voy)PXiG%oX9NFE zqN-WknFWe=Y#xES0AW-(of_L^>mtJ5 z9U)WvF1j4cy9g$lhQLfRaBfuLm;>}#Rj1lnc5&)=zL+b&IB&VR&HNQ`E15S37&%j6 z_{hGv_T}}>%P*fluLKqg65&pkp^ezimb7{KpdTMhwBCb#@yU23!{p-UTUs*V@y$rL5E( zO{Wz=2G{e_^shZed#?xgYYk;lr-2hgDP^qeyH~HWRE2-;ty>mu+zmV~Pn9_M0JJY7-7+E1ZQ!hnX!d;@c4c?)3@*wKiPX)ZdJ zH2DJu@SL9e5y3q|76ZAjp5Pi)-{OV9gjFW^-0LtY&iht^7#VoQ)5@y(y5G7y^lh&4 zGtBh+z#8b<8>tcxB1c+)~w`{iB!rl^n7v}ui!o*%*BJsw2GDjIa!uw zm94eqR6Z2v80Htq`x!O%Iufban4Va;yPb#b{?*6lIX(Bx-3JyrbiShL*RIk4?q8KwVv3r%l}Z?Vb5+U*Fws z&YjfL(UIx3?2{2V9BK0h0i<&g+>Z-6HgitN$Eckas(FHKq{xAQnyi=h@`MSZ;^1kL z9o#@7ftN69C6rKJ%j-uGPPH2K++BXca{b!fBe&bo=w4`V?Ixda=vq9QdXAedvpt=X z4m<)f+2mabN2=!Loc$}okh=#bl;vDycMjUmUj)@0XViwLs=om~>wDo06Fx@)igx3p zda{)LU?H$Set9}d(Kbslec)Wlq4BferM#pKIDD8iKKm<|{0aU$O8 zLx#zhr{{T&7l!JLM%)MMLb?!mw#d()=*@k+y{jVctI{*ZuRgvkBP;8u)}O5sUsDfi zdHF>#N?##)o~Q||V%*rrT*7#H*-8Q+95ipL-DCU&d%wXd*yy-_)&#tjm7l$YA!qWu z8VJbFGa_jx!qwNbZ)NAm&3)Kq%wSr6`>w$Q2t2}mz_9@Ei{(R8#S`QW`C5V73CQA* zAmM%&&Yi1K7jly5bbKF>T6Qqs{eDZ9r{T6C-#{8l3N8m@gpSBctE#FhD44usI{FI~ zHM12E8XMO2xniTEqEe~J?zTD`A33tOV-p|$;DAJU05CI`{!C420rem&f5yMscW{W{ zZdN$?_>}sEN{m6Dq~teC`_A*U1^kd2(P`Br>w7=JOMnZ7YY52NyG1}nd(+@~0vkol z^I;vNK%mR0s~4cE$#E|oZ*b{W6#o2$lkUHcDl?)-^waVESwtsX1FilPcIRw#oXF&t znP~Nk5XGbNn3$}%yS(pi!1pMLJ62AI)Tbv&Q`B&_tK(B?k1ykuuXB9bUSU6h!I&+O zoq=LDA~)krcop|pI?5RZ!S+z_KTxoA5_D3#pXe-p_)5MAxTR>99@puR86AfKE2p^= z+??vZ@(JfcCSH8*rVXc!a#t;VCcAY$E+CYzvsYtq^1P)rJ>ky9~NgJWu!P_!Fs3qV3Qv|-;Jvkjax63OZLo{P9(}^tt7rme!%*u!Wkr{(MG*ZgNvVH z=Zhw7sdrEb4to4`%wOX+8UL!sUuc)T^n~xmf>o-yqI;?6tckXNRj|3Vx*OlVXALkH ze_-2cXJU<#S5#A-lk*x}CX?S+^{9K!IinTc@D2ja<38k!dFe6~C)5q^g1S`hBMnnd z+@zqO>TJ`YUc1(_lT`l>-V@8G&;pNvHfE+Al+s!R*%0ZGGxnqey5Iv+oHT+ z!$4_pKXaekJJ|3WhVIC|xixv}GHig{-QCBCAMg%+J+K*4u}8SZxI;Yi0XxLS z2#p}j29Kbcu;}RcNTQ8HZ;zFNemlR;T6Oj0q~Vq8=THm*)x%R$P^J}FU-*{w3k<+O~eHt zXh`v>Y8=N}o5~K69N&{b3Idrzm4sofej0;+!qeBUub}1i$a&VK#?8a?e&_e3%Qoeq zMv~%VgKvc>m=Mf_esr?Y3u?Iu80IKFYkk`aXq+{fi}6Z802lq?7n{^uUx1m?Ed0v7;I<&Q z8;y*G&1cv*J#Zb!!->GWYsUD%w;ZGxa7mtozQ|Id)q?@C&7;fbpmBMT;*5-?9{+Ov z26(zQ0yIvHr1!~pA71}F!Ro4p`yX`qmMceLlCVk^n|Z9}8=6s&P33KyY$XD%{Fh$t z-i)vI{lXCa=k~u%*H^V?AmbJ{^@Syy4SE_U^ijZSP@>E{$NAb{k@I7e6JiVgy?7T3 zl*3OTiTX24^~U6lbFwz%2?n!m$ZRz_Tryy!7%v z%Dl{u9Y$YPHJjT2dBIi;(uAWe4<5kHGSP~<2??JwU%%eY$e>Z2_0n`G?|cQ|_^*eI zg~ffr(72+#b+yZO*iYeC^^umSRxf*c?yCE2%5h9>2j2`1+@+_YmoM1~$=$elbGE3~ z@95{(H#0KIA3v#hszt^I$4C$cfMTeZ9K%R%lm5b^YM8hd8QLtgaYj2u+Am6Z0^_>>}yF!0VNH*_yyT7t! zJ_?PV{I8}NMVxwwBvep*NX7iB#-s*DyC4|L{U}}uIvX(R@BYf(Z>&zwNSS0~V8(~h`;_Lt z({UnfM`z9cJ4WX_lSnvKX(?Hv$(GZ-r?i*Hu5|tm^KDp9D6gcs8$7gl-P5L0-qo?3 zd=@Wr*f-7dbZUtAJ_H?iIBoS^aC98XCC#(gk%@@pV*@P$A&@1WXr*qU{!ykaIHh6v z11>7!cYX#BywJCXK{FQ7$au4cZJILAhaVO9+tk3ILW|UQdYJTw?i(Nu zB-7l4!Z|O`{^(JsZ{@(s_ToHX*Pe0fRuI7IAMyO8%5Kc0q{rxpT&|-?K}a`Pb^8n& zYmZd8HSTZHODrVz+2i76Ofi4WH~b06NwiEHl0_fx&iVXVsGG4ZE^Si9^4qyB5HDvxXUaz~fp`_-cz{l|}w<4mr-;~Jkp$ZOZh;a>Z$|NYqQ?AvZ+WRRHV zup>e-BB{1xkgV$wl=JdD&y(4Yb8{Pi{;Yp_Jpne@&he0&mzmUXzty+4{>7AJ=n^~u zD*b;g0u}Ku_lNu1vQMq>0YP*HZvPO`Jf$jAs0LUxFf6&V@H$cPAqq%z8? z5RqB-jD(Qv%oI`~^j>%8Jm-Dif1c0toTn4_egA&naec4r`tlH)BS9O6DDC5m^S4$X zAw+z{-++Gv4l~{#22T_In;7WniQGrZ_xU_#Jh;vu;p{k>a`kH))S!@FEO+3_Vji>c zw0Ll|@kP6A%Mc7u#)ND%-2R5HuZcSk$VeboLYn#oByuP-Bp;UI;x-nSlo%c}coK(2 zpkrg_ko7o-%Z=eMw!k#qz#)MfhBYSDV49F_r6Q-KJi^PH`;!xHL4RLC2Qh90S12_# z6-4x|$C`Wb09XVAiZZsFEn*E9)G&+l^TXto1t{h52EwpgWTlzS_}`C#NCq~5&&JB+ ztz$oXW5JYJoqdiO>tJ7>B=QeVHr_z7Tl3quKY#oviVnsilsgX|e4CuywsUOh(J*Gv z3VnEmKG!Pw`c!rP84d0#q@@H?R!IH{@M(}aYa1HIb^r%HhY-BxfW;RM4!q}y$OZ6= zm;w(n6K_}N()I_g@HrjUnA7LbO~sV6Wb-&`axp2XQmBC7MONbNGEEp3!G_AX=z^&C z(iFBFqqhL}6+JL^WP5sgBCnn;s1m%3nd-Lt{1}vB57Oa4T*f%|GA&6Xl=l+HZa% z9{qt(RPfWT)FCA&Ya5#pVqexo`vWJiG)r90t!K!jzzc*}t@w$3t18m$I?l<>gL1OM z!UcJG9k(|G`1lAeH@4gY(ZFuBss%_V0-S2ApKJg3+hHR(x2}=V%J+7;i?f~&j$Q2m z=mLNU>>9kAQ`;oZX6>2&%w$iTgHILEE@2b{|BS}~4%MDGDOYVjAp^rYIXOAmUHc^R z@S!x5MC^gPz8SsKF7v&WQlNaEScBNxOH?OCmoFPJhMfOb#IH zUaX$}EayS_cUm+xavH1lHmdzsSfKD=`{47vOi%B{Jr7X%4khj0K;GyhFC)N&OT998&f;%bz8O~FUDX2 zxQFoI!u(wE&{z06Mf5%e?G#5?j!0;7$$95YFh_}`}c|6aW0Qj`=Zm(|po2uV|#)H-+Pd+`3I60u6Jq!-@# zXQe3#;}n7qUR3l0a0wD)1?CE%S#L@|^@8)_`E{6)p=wDMIv3)d>kX|AR5ZBfh&p4W zPgp)*sFMBnmiwSL!3~MYOvwbx6^97NfW;Y8$$KL9i$6a)VFS7M)vMV5{dr;nJiig* z2!pL~4h-N8hzLmE*-~%_MafD?l;8!=3q154Z=?zR*wC=;!%&6>LsT-fIsM_tEBFyp zBe8k&zFjbDtL)nICrND|4UOgb^XFxSwu@cr*VEsg{JHF9xi{*{pW<*C%5ExU;z;wh zeqQ#H<=OG1?6=G!cbYR@p4A%7SR_aEFo`F|+4fs4x+d9?+&${W*S+2N$Iah;%c($P zM4zPu+!RQcYdtBJe9@)ZXYa+XkNELXWKL)sz6b7--4xWl=iN#EDNo=*7K=qgVkBE_ zQK<0tnJ;6EgW=ph8P7a;hRIjpg5iG>(jdV2X!9-&mcPQnKx`%`(pLZ9p(P$mM@#E1 zm_3B~*1{Qu@Sg%tsCJVc<`7IYd_dpSs1PfXo?dX)P?10TnH4X^yXPEZ4c5X1d%x>k zDk94&*T2tJcEx?F-P&pI(pEabKI-^)9PJmp&m0;XlTU4Se7vFWF1oGh*{w`BRejN} z^grF3Ug?YO%N679@f=RfecE(-wSo`KG($9mn6oBHxL9FxP=XOur)bI0kl`u1&F7gM zHNl5_@Mq=01*4L`TN(S_zkdN}7?d$Jb#;=+?krH5@C?szZww3!EZp%IfCry=pFMNa zm2+UT5d9NUpB)FUk9m4@?XVyBA7;}2xgo(?mi&4T=Hos5lsV0UVy+uiwBpm}Vyfi> zt!E6y@O+G&2l9nbSN^t- z+!KVzD>^zFb^;yxAHSZ84gL!^I~>PqN8s5k<=#Io*jA{Nq40#9>z>fLt&eTzhu`2X z$ED2`+v##796cZP4=3{gvpp|d#q@M^T&i-utEvX1H2t=t$Xaqz*qxD3ZUlwYm8FQM zeDq>9CuZX6U+B|a1#}%)mQmDu=c9f{qR>coe^RZX{;?g~+XhxeGE?$tUH1AsQF^qm zi~8&z+CLYj+uVvu^CM1fXxVV3&8%hf+<^vFfSOGjVth)i(wAb7Yr z-j0c5ppPmR@j?oec<&yBMFZFlgOS?U*Bw{(5Np*je}7}yY=oWOetwlJhceo6%waF< zKGpH&{rm4A-g^w_5}_q*CXAL6yLZK~Gw^mihq8x&G8>nIHf#J9b(&Zvj;^ZxUhRg4 z$KL1A**rE}pXqiy>v%8qXsq4iQ(e}IUB3EraZL2jetx-hdi`OgUEPbVMV(H$UbOm( z)f`SqO_|N&E3U-`XP-)X)K`;Kv+2yupvLT&#gJjME~%YpG(HKWmW|%v03g5z=4#$C z!m6{x#8-&aKs;#a=$LM7M%X6m4)ixFbzm9_G8mHn8>#kP4|chLC1y~^mJPXk>7~m* z=Lzko3e0j$PcjcuFMIFZZrJ;f`)J(^gKpWSSC^E|>px0-W9mRU{p+V`L7odqw{`53 z3qh&+ru`@Dvj@$4lumrwK}m@&zKB0_&pxs5_0PB{jTl47ECPA}0nWe5jxYly7@d&3 z5Qn1)NgsYNlqS&5qRdCP-vvG!creYm_N4#h3nw0a{`y3sy+lhR1!mr#88OCVb%r@ei}dY!6gF*I8LD$SYQ6dv^@4)#UU#Dl6oG zWBwa5V&T2R5Wlq|O{l7pLuN-sg>3j|dYD-1a^ugrZ>@pZr90(Pnglc(wC=rZO27X& zQZqL5lJKR@uBpPLlKNWCP-(|Z2NHf>ig51hzqf`T8Gc6NEWTC8NUxU6WK%pR3wH!> z>a|?9*>BR{PJSI2_%--BV3+fX--gB4vR_}m&42w}e)+2;7Z(@tl@<1Ko<2^_n!X=f zbch|{#RF*Ou-tw=J%qZ`2f&Em($qWfiY1hBbXTd0Rr)Au@~G@0-cIMX6?}N;BA_FETI_ zJ<;g{J3`p@FWk4plY=#(XC8TaQv=Ou{W?d9(JbA%Uc29=&f0uI=7`*hJ~H zR4USZC!Kz4|F~*KZ~K|S{{D+55#K4yge_v-0!CBqdR_M4RL`v7WhK7)e=kjrJqyw43F_gxCS*vFhpz!ExIaF1oT6s`^07 zeZTM}BYkP{(qyQ9&kkCzSL5otC|mY0G=$&qiu_u=S|9Vn@*!n$ZqasDl@lY?n11mD zK#^ECdIm`heE|UUWh(eTU9+e+`|>bNcoDA@Oni6mI{PK32Ha2OMsRK)gCMlZv+RAa23Imk9!{C*Wq#y zcHnX+dh{p+`lfjn0Z=r8Z8-i5+Cki2O_UH#P2dIS4qXf*K8>Hfo!#sGFJOF7Qo>kV zZq=y^Z;#Neyho0l_K&7Gz|r?iY*C?x>jVFtmNT(OxQd=r7C+=~`*iv+O3%U+A8|90 zqDnCxhn*R^$7|+vdBZvV4GmLBW-=>k4PrH)I&F9S;hx?-L@Oj|PPI_%pv`%oxF4hz zk5O%3qXZLA!4$)>y`sggLd)1Iyo&uxpfY2JgW()7Qq*h^Cr?jJq4#vdrhwY(N@I-M zP%)tGxX%0y_z~b7P(A@t%$dsJ<^+}JF;;rqVvN%B($&w8%njdsxQE|ycUqbAinp_>l8CB<&*ELjL~Pef7fEijHdibC~pC-4$r z6$FtGICM||%>xOSRDe+x7Zvd~qwkV8{VtqSyc%pKw6t}PPH(ccnrj8!xzPTA;NOh0 z3Z?mUCMEfj?n2f#Oz7O>f2Zkr)WIv`WOu_XUAGc%c~`Mx{M#OS&FuAoe2M23mU{}Q zDSf7YlPRtr>n!d+s?J^dVCi01B}t=D9`5KRc}O%j7H~2M6#;+>pi~Gc5&}!~x1|A; zmk{v-Jb>XUbekx$?p|4KX=>^#w10ob+_xKyQSwC$n?II`1rZ1P74>y4F20B#;Tdi5 zh5OZ+FT{~(TqU(Xd;3arh(I9k6H${ABlj3%#l0hqGc_fPF-G`F&Sec@>wC(mnn5BvGaq^20AwYP&T~$?udDq5f zq%kiSKtP&CRQj_%^rzxyKST%afwDstSMRoy|2^Inf=ZHPUd$<6 zM)tJYWUQi3D`as87kRC-j}?7<9sTsi$hVjg-<#BnUrvL+iv@}%CO+n*JLm0@JoeD# zJk896)(FBA|6iXx>h{HhSl80oxd;SpA2S!;O=HWZWYr<^hg&KT#mof3V8nsArM(Q= z2|0rwtj-o(fz1E&XK|3J7e{rl!e{^fOZN6FPat}uVKb4YM5)2Z~lKCAXF$|MnzdU%mp1Ev8DP5i3nbo#+(1W zs%Qhiiuk_OaMKtZ1biTYwb2zCO8@)+3;EstdlZ4a|KEQfF|fi720}5rvImvH+m@E2 zg7bJ8MKvt1!NY$pKxU#$-^Ro0YP5R!6xEysnqSjwWdI75)-;1~(ykaKe`sdRalK^K zn94=RT-nsSxufrzs%9A*d6q82<|I?;R5lvlUN=6zcG{#PXUuZR9Q z6aq4CBR!i2iDlsM^ix}=+*zw}sV^vHijueZ9-8M7jzSIdC^qlQ8kv!)(cFP=E##jZ zd-Kh$M_Ff)OCw@FG}L&u<#>3Mpe}#-$D1i8J0oJlH=7HwWl0QKG4#-j>sZXi7%2<7Y_&P1r*wXyFy;h} zQ{vi9k-_XwB%a&qe)DT;Z*uu^@MBvomY8w@?J_hH20a0@M<%U z889(+^QTDhTuOam#+_2mQQb@z`TYK4n%^pEZ{|?8;nX#@1P%lTUcWw7tsTbb+C9nO z8cf5Lnkra55mZ%}NEwmNA#i#@)@!AX$~ykM7G3IBFkH5iNOhjQAPGyPASQ=ehzrOSm!o;m)DdQ<9g0c#C_3e}vuR4y=6Q`*~OQ%K!)n`xUNo z^1qYl41M*sRO3ZYoyOSDNR_~ku`&WvM{DWtv9rMyLY%2WA>^mmk9juAng~5(?AW8qcp&C)bdhgvX~AIOd|T4z@o_hZ-w}g~Ji=10)+QTH-CQN93+c@%+~RWY zm7r_fp^Q=A)TGfSt9XmWxE%sd2R?PxX?pjc@eO%VIwv-r629*q%Co|gcT`O>K7YPs zHBkAkH~A+=yR2X{pg?O|TY8`x1_sS!-$4!rSz&p61@=xZKQK;MH1veQbsUHSRT3c% z7zvBRiXC?fpck(H2T`a+C&tHV85m&Js`2g!4-bO6%V90j)L}-Kg)`w%Rj1A<-yEERTLte-<44~}wR0yZ+^+!sz;X=J zXpADG(4bn><}Hg|JWt%m@_A&XV9XWuPsS`A@^v4kIkWY`w_k)PYZY7wJYYTiO`J9FpaI%rd|}+;OJG` z)z+WXN6kQQ^EJJQ>^67E+h02BJyX7E`73j3DK_(udU`4zIeE*Hu z+Jkgk?z2)*qGxV?%fh*p&<~nv-a)9sbjLqI#sTc)OxGNQRZfc5*iUO04o&u5; zjxF-^s(l7E=rH(rd813P6*E;nS;r!4KJx=c439=aC2U(6x2Rl!2ZRKpr0Y03Tb54% z`*7;FZgBmLHK|&lVn98IWxT`Hp!76|Cnqw03FAkL)jL3st-GJf&M=q76=r^F2re>Z z433jK_c5~}xNbgYK;*2wi6O<~iY>*m^E(dKfB637l2^uvXADbt#nPlIYxkkT7JCDm zx)e>_)o{9sCC-oPW7T|i+KW^q=VjccsDoUvy+Y#-?<@2Hp2_sO+qVbLfHScYDL#13 z>42P{303*%W!b}r?ZK$P1S!N6gy5|L3l9kKIl3K1C(DPx7d~PG2O69h64_bm{l`ne z-Gk*p`YuxCTvS95*uqkaDa;3^wuJ34R#Q1w8G-?<#U>>ykJ)a=A=WyqfN4W@PWF2c z^-5L9wQHL=0Pt4>pBarJZNkD~C3mF?$Dzu(*;&fdAvV%dQkblgW)Z$9WvQysZYIX% zogzl@CCej*F z0fXmN1y^`oNKFk7sN^Q+I<<3Mstwogyr9R^PC54b=Mm$poH-pP3pdC#A{_R*L=}$s zroCgt8QlM{xI-7VGVo)(f;$J1(rA{YkJO0FzzgJE#EqbEh=4Rs@~F@*?eS@>aXyo4 zxB#VowKE3#Fd86zq#|AdvkE<0Upg+zzBSkyS)}3XKM=NQ#$XeOBmDh<^3fdOM5(b%TFbbZqQ*5GC;;uzYd{^bRkt!qYcB`R5+;z?^xjI6@83$SnS_ zw6qk&M|`~Mm`Cl@bKrJbTU)aXAq=x@3I{<_Dpcm{DR4wgK3pf>U43eH_6#rt7<-_7 zS{5S_mC#zc_APlPGOQ=iW$J9OS|y!UtUBk`Z$VEp9nz23nKPt3^%^&@*>79-SfkDK zgfY*}LgTw}&%{6kyYj!CF`ftk#Xjm0J;a2vFt)7ZV#*V@V}D42(eOra$w*i&zC{n|p)`KVoBT%B!A$L1g#>swu2p30u_pOq9q_pD}~Hz%JR zalGA9+!|cB=}7eB^EnLKenmS>3_m|T)9T+7^{n?)iIHzw9e?hplyR@k!dDl^)iB&% zBFber&iY7!+JMB|QddWIJ&e?@0PVO|_2mV6MY%d=WHDMC6IcX-&xJLe!_}S4M!To@ zI%Yt2V^R0?EU`L691seIEAH;W$vh^EgWe)vnHH#l~JtDqoZ zUsph%0pShY zhHki=O7&&Bj9~X86_c=1>-8S92e2r(3QV8yVZ^lb)_&>7(Vqc$x1}80`wkv0a2cVk zX$G!u46AVmkAV^wFvG}wFf>WvrDEPT8j}oM8pvg;Anbv-eE^7&;2rc14Vh1ly#`VX zFtCyQ%)KIQp})nq{ZZPFyVc0QMN>w(sR%t`7AV?7bMAS6z}Y-ghl?e7B8{2H1bO^6 zM{8I`m>Q~U(wY?|;xJ^ZS_A$UA=^Q7*uR+6TJyKF+3Q+DT-LXz^XLtMHRUIl#I2iTYk zLh>?|U+5a>nG9_@ay_dguXp>IA=wjF%8pH+KkwkS$FsPcH^Jr5 zGt8B3jX(vUQN(<|=>dC4LRxQUN#4(DqsSy>J|g!cqXBR^dp5_gC4x8uK{A1vxzBQ0 zv;zYIet2merpRSQ{_3QkJi~{Hgj2xPtV+@AMebqR5086un?@8vlZT=)|WBr z7S!Aab~6VrFV)?LvEZC~N}hf3&zvna#e69~*CPc3ku}j8i7RFzonwob6yPZhJU^z*dmSC+C zw#n$LjFJmnQ25)?g$7kFC~S8;tkFpM8tN$6LqNBL8UIGn*0X0n5@-I(MfB#`*^m`| z1@-4#(aVH%(H_h-<*$0Q* z+AF@3nSbc?G@u4_Ps&~)JNK8u=LU28(9c-_e$Jgc2N8)5CDyNpzPRFB=WWvH2#*$E zzTxgq|A!itQvslWC*BDa6=(tdmq%_8UNM+O6sBKBp=fJ6f%3p27euKkAZv(ozrGEb zo0{^CR;Qt&m$d6(sa-`eG2FO=d1iKYqz+}g3Fsrh%mGG2AYi*(WTmwTRwC+o8tmHI zoXEpvO3rWqCRv~y0J#2q7d;jH5;lbq_)c-LcsT1KmYiS-+ui{m%*d$MKp~A&vJS5T z8r9*Wgt=8aOouqaxCHoi$h{jR`x_lug9=5d15gUJB?yiE%OJBT0t>)Ol*h6eA{-AO z@<5+97VlOeDNSG+WOX6mneZd~fprL&7vVz^Y!VDDu-IMXsECLgxO5VKVC=uC=SsU5>nj?h*$ilG&?a1;r_3 zEW7CX;5&vy80|8*X}CUa;fsG}d;UClWb~sepuzzF zbpJ8a4TeK7?Q?9=zAX*S8>?an%Nuw#Ob?7qf%Ti`(EAn0CCDpJ4Q*CYP$TV$2ni)Z zP8LPyLPR4F))2Z0CJwp@au$_er_%qr8_r@y2cTm4Zh}sRkOhn$HALKbn3{Sf#>So- zSK(;ig#!e`GVu2m8q5l;)nFx#@*01huwFJZ+W=6Rl*GKDMO<{CAC$Y+Tu^)=iX!X4 z-UrzrK4MeuQLyEj;|}LFf}6vd=kqEX1*@V=ozfJn05wx&z%AaV7Re|?vduXXsuUr+ zoHk&j;I|J?O_iXELgB?Tp$fpBXbF+8g~hATY`y^5vBBU`<9~g($|kyF&o*zvtLfz2cZidd zpdmt{fdC1bmC2*c+ng^jy5akVpBex+8FOS2ktN&_$QZ-pi)y>UdSaE5GJc|Y7ffkb z1h&pE;Hr}|(6h*VLDk?7Ljla+UESUPHO|qhc3JkB(s+x=CFVF_ci}=mJ}EA(e>d&jUww)tLvGg(sHf&2=2P1 zJIC3=&M7JJ+D!xCq_i2f0XJiHRIib|kJadY*?M@6m4L;4W;WLo{HN%G^k}K_Vbu)O z)M6I%YRoo0!(wSIZ^y?MS=MaDsevCg3M_yR=wOI_Lb!y1%)9oAIUs|c8UuM3ZP+@3 z4(x?SjXd4Nejfbi#E`SLleZb~lsbC!GX53VHNo#M>eem)r70cIuQ39Hq+tOQjFE9< zaDwrRSse^Bf%tsv?FFR~J@?PJO(e(f%h1ZBY|Jfi7Sv z$1vCsua;1a%K7nXw}FrEgbHIy7>e(S5i zz!4Lt2byvgCi#5;-Ee(~2pO@pwni_q1upKMo~_A}gsuc#D>5D)G6?}3;bEi>DJ7Tz z#9`2AT~_}aMu-igYIe4qntP}axccjx9Li`kc>|TcWr$yvkAZ5pxsIr`sXOA7Llp}T z1blb2cgQXTCOAPPpqs8 z+@?FhuEKZ>uR|g6+fY>%A|7az^ksQUrl$LO_ipFn;J}_qAIv^fuMm_DObNb2wObPk zQpIi%Bk1V~(-d@9>i^X7te3V{{(-Hs!I?8-SIc@28%nW^lMUl!P7Q*dk6%4W#%mD< zY8%bkYa73+{vc_AhAaZV)Ts-A8L+`%%?(zt=(POQ8Scn`6||ZXqj1K3!9A~zK&Ep# ze}0>&n5ZZrsEk_!X&2Z2@y>rnl>3Yb04VaT;^Ja}ahS#Qo^Imi&wYrpNm7zY7qeVj35iAF53su}!x39s z^GTaj{pY*AgPd#}a~o_@e}HK@#}1@BgP?M5$OjaULYhhOkw{%driSw%la%6m zJaw{>QVGJZzsy%P_|T#@@&A!y-lV*YLgvf6v>O@Z&8Eoxo?f%c)H zgZyhtS9zGUptlBP%ES{2ag;!p0{8vj;!xfleXSUBA4+68HbT`YEnS9pU0+)Zg|O;d z=p3l2sXOZVP0pUZ3aGgBf1^ff_C+Llgk`+iE4aBBDhrE?4*`(G<$!@1=6>U>z#1_l zJMrHyzF2-5HVb?9yuj(g(9Ylg53m3@`;Zr0X~e@1xnc<%nt zV_`mkK^};*Z))|0GbsNHVJE0uM({(B$^4)Pz>5Rg1B%>9M0*)|MMXs`t5HN-)JZEt ze>PB>@4=Hh0R}a5;?<@)=V*4YY5hP3E}S7qJq+VQ{lmQBJre1;~7zjK+h`Wn|b`SXgLjMU|jua)Tu`z9*_m1RuuyoSa$ozj}Y)Q|LQ<#uEQO8#opi zx}r+}MP&{fJhlrRfDy;w;2^Tc%n@s19M?{UmjN>;Kud&+17rw7YCJ!`!ENdaYpms1TKi0v;55evni53#$x&2)_; zDiHqebv#LUiH(*mi9S!JF$@({K|6*?wRN z0qTsi`l#a(e-W`PD3H@WfyINN9VT{Lesy^$)@C3TU;=*?sUFVc3bn6a%PT2tb_;1( z7vkr4gdIOFfs!YfBc9tFugufLsh_9yIr)g6y9rDOYBHurK`00nn_Oxx*;B?aP$-%( zRy3ksfe5ytVG=d&)Clq<5MzV~DiJRfO!#rw#I(y>z|9l9Lh4D35#vuzR|iFlf#!#% zc;G#HbhY z!B4}-tHUE!`2wDJc|Zg0mciKFlB>V?9cMds&~6<%VtnefkJ8xpef;}&?@qlh%c@Pf zY7L2CZ0w%OO~Wl5rU}suw?W93Kk(;4h4iibneuO2Q(k8G7p|wRut&!6sQn4$5!Xp7 z47+QENfy6_gTaR{77kZ7TQxXLkZtAt`BeT|mSykOEuvQY4H$y9onmBsohx>VFNVbmC! z$@y>i_}tLd+4ZYgS}_Ev_ubFum8&xspI6Y^5(~Xh75(M^x3Z0UO|&vu!w8qVssC{* zv|zaW{=esnk@q6wj`D3+UmuUvUZ&L_JuyCn`6V394rf5rlH7>wjW#mTzBE2`p)ZoC z6E{|8d3uECwBRHo!Cg~L*as?3wR0R;$b87~I2X;99GZ>?_D|6=O4y+EMyvlg<41w} ztikC|x$q!3AmHoy)y_er{<0*J!=Cy6};{RMFEP7iU`T7(0C6s|xktb$gI z++Vk7VGzK1#em7{vFS|;_9+xvEzvdxv7UEMbKbA>wcEe#>4w6nn%*SdFaZ{=I6S^D zc{$`NOyeXp34Y%D&MpYDZZlnl=1si-gO=Tg%p}4%ZhIdNpb)*+GII@*{HE!2-w(;vgPTy&XU`$Gou&xQS72Q=C8@ICQFCD^&0JCwbOcf zdOh6}s2}HP<}U*i92VJWb;$;8YYu=O!W#Q5R@=f0bf69<9A*h+0<&T-8u&M&Y2r=q z&g}jBwJ+@M-KNhfef<8y+O0p!w@OYYUBcMtkkiL>wLKDfHZAlGF<>nH=1}nm)^T2N z|6Qs%*Rqp#sGg#~f$-ZYE`49Zg=A=M+MsCTjk}q